aboutsummaryrefslogtreecommitdiff
path: root/contrib
diff options
context:
space:
mode:
Diffstat (limited to 'contrib')
-rw-r--r--contrib/60-openocd.rules1
-rw-r--r--contrib/firmware/angie/c/Makefile3
-rw-r--r--contrib/firmware/angie/c/src/usb.c2
3 files changed, 5 insertions, 1 deletions
diff --git a/contrib/60-openocd.rules b/contrib/60-openocd.rules
index b0e229d..fe8b00c 100644
--- a/contrib/60-openocd.rules
+++ b/contrib/60-openocd.rules
@@ -225,6 +225,7 @@ ATTRS{idVendor}=="303a", ATTRS{idProduct}=="1001", MODE="660", GROUP="plugdev",
ATTRS{idVendor}=="303a", ATTRS{idProduct}=="1002", MODE="660", GROUP="plugdev", TAG+="uaccess"
# ANGIE USB-JTAG Adapter
+ATTRS{idVendor}=="584e", ATTRS{idProduct}=="414f", MODE="660", GROUP="plugdev", TAG+="uaccess"
ATTRS{idVendor}=="584e", ATTRS{idProduct}=="424e", MODE="660", GROUP="plugdev", TAG+="uaccess"
ATTRS{idVendor}=="584e", ATTRS{idProduct}=="4255", MODE="660", GROUP="plugdev", TAG+="uaccess"
ATTRS{idVendor}=="584e", ATTRS{idProduct}=="4355", MODE="660", GROUP="plugdev", TAG+="uaccess"
diff --git a/contrib/firmware/angie/c/Makefile b/contrib/firmware/angie/c/Makefile
index e919cd0..1bcc1f7 100644
--- a/contrib/firmware/angie/c/Makefile
+++ b/contrib/firmware/angie/c/Makefile
@@ -74,3 +74,6 @@ clean:
bin: angie_firmware.ihx
makebin -p angie_firmware.ihx angie_firmware.bin
+
+hex: angie_firmware.ihx
+ $(PACKIHX) angie_firmware.ihx > fx2.hex
diff --git a/contrib/firmware/angie/c/src/usb.c b/contrib/firmware/angie/c/src/usb.c
index de19641..a1b72e2 100644
--- a/contrib/firmware/angie/c/src/usb.c
+++ b/contrib/firmware/angie/c/src/usb.c
@@ -42,7 +42,7 @@ __code struct usb_device_descriptor device_descriptor = {
.bdeviceprotocol = 0x01,
.bmaxpacketsize0 = 64,
.idvendor = 0x584e,
- .idproduct = 0x424e,
+ .idproduct = 0x414f,
.bcddevice = 0x0000,
.imanufacturer = 1,
.iproduct = 2,