aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/c_flw.h
blob: 95ae2602826cb01fb913687bc3b7d4d5d12a4fa2 (plain)
1
2
3
4
5
6
7
8
if (xlen == 32) {
  require_extension(EXT_ZCF);
  require_fp;
  WRITE_RVC_FRS2S(f32(MMU.load<uint32_t>(RVC_RS1S + insn.rvc_lw_imm())));
} else { // c.ld
  require_extension(EXT_ZCA);
  WRITE_RVC_RS2S(MMU.load<int64_t>(RVC_RS1S + insn.rvc_ld_imm()));
}