aboutsummaryrefslogtreecommitdiff
path: root/test/tests/rv64si-p-scall.dump
blob: 996745074fb8d56fc5099fdef91626d03c059f6e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121

rv64si-p-scall:     file format elf64-littleriscv


Disassembly of section .text.init:

0000000080000000 <_start>:
    80000000:	04c0006f          	j	8000004c <reset_vector>

0000000080000004 <trap_vector>:
    80000004:	34202f73          	csrr	t5,mcause
    80000008:	00800f93          	li	t6,8
    8000000c:	03ff0a63          	beq	t5,t6,80000040 <write_tohost>
    80000010:	00900f93          	li	t6,9
    80000014:	03ff0663          	beq	t5,t6,80000040 <write_tohost>
    80000018:	00b00f93          	li	t6,11
    8000001c:	03ff0263          	beq	t5,t6,80000040 <write_tohost>
    80000020:	80000f17          	auipc	t5,0x80000
    80000024:	fe0f0f13          	addi	t5,t5,-32 # 0 <_start-0x80000000>
    80000028:	000f0463          	beqz	t5,80000030 <trap_vector+0x2c>
    8000002c:	000f0067          	jr	t5
    80000030:	34202f73          	csrr	t5,mcause
    80000034:	000f5463          	bgez	t5,8000003c <handle_exception>
    80000038:	0040006f          	j	8000003c <handle_exception>

000000008000003c <handle_exception>:
    8000003c:	5391e193          	ori	gp,gp,1337

0000000080000040 <write_tohost>:
    80000040:	00001f17          	auipc	t5,0x1
    80000044:	fc3f2023          	sw	gp,-64(t5) # 80001000 <tohost>
    80000048:	ff9ff06f          	j	80000040 <write_tohost>

000000008000004c <reset_vector>:
    8000004c:	f1402573          	csrr	a0,mhartid
    80000050:	00051063          	bnez	a0,80000050 <reset_vector+0x4>
    80000054:	00000297          	auipc	t0,0x0
    80000058:	01028293          	addi	t0,t0,16 # 80000064 <reset_vector+0x18>
    8000005c:	30529073          	csrw	mtvec,t0
    80000060:	18005073          	csrwi	satp,0
    80000064:	00000297          	auipc	t0,0x0
    80000068:	01c28293          	addi	t0,t0,28 # 80000080 <reset_vector+0x34>
    8000006c:	30529073          	csrw	mtvec,t0
    80000070:	fff00293          	li	t0,-1
    80000074:	3b029073          	csrw	pmpaddr0,t0
    80000078:	01f00293          	li	t0,31
    8000007c:	3a029073          	csrw	pmpcfg0,t0
    80000080:	00000297          	auipc	t0,0x0
    80000084:	01828293          	addi	t0,t0,24 # 80000098 <reset_vector+0x4c>
    80000088:	30529073          	csrw	mtvec,t0
    8000008c:	30205073          	csrwi	medeleg,0
    80000090:	30305073          	csrwi	mideleg,0
    80000094:	30405073          	csrwi	mie,0
    80000098:	00000193          	li	gp,0
    8000009c:	00000297          	auipc	t0,0x0
    800000a0:	f6828293          	addi	t0,t0,-152 # 80000004 <trap_vector>
    800000a4:	30529073          	csrw	mtvec,t0
    800000a8:	00100513          	li	a0,1
    800000ac:	01f51513          	slli	a0,a0,0x1f
    800000b0:	00055863          	bgez	a0,800000c0 <reset_vector+0x74>
    800000b4:	0ff0000f          	fence
    800000b8:	00100193          	li	gp,1
    800000bc:	00000073          	ecall
    800000c0:	00000297          	auipc	t0,0x0
    800000c4:	0a028293          	addi	t0,t0,160 # 80000160 <stvec_handler>
    800000c8:	00028e63          	beqz	t0,800000e4 <reset_vector+0x98>
    800000cc:	10529073          	csrw	stvec,t0
    800000d0:	0000b2b7          	lui	t0,0xb
    800000d4:	1092829b          	addiw	t0,t0,265
    800000d8:	30229073          	csrw	medeleg,t0
    800000dc:	30202373          	csrr	t1,medeleg
    800000e0:	f4629ee3          	bne	t0,t1,8000003c <handle_exception>
    800000e4:	30005073          	csrwi	mstatus,0
    800000e8:	00001537          	lui	a0,0x1
    800000ec:	8005051b          	addiw	a0,a0,-2048
    800000f0:	30052073          	csrs	mstatus,a0
    800000f4:	02200513          	li	a0,34
    800000f8:	30352073          	csrs	mideleg,a0
    800000fc:	00000297          	auipc	t0,0x0
    80000100:	01428293          	addi	t0,t0,20 # 80000110 <reset_vector+0xc4>
    80000104:	34129073          	csrw	mepc,t0
    80000108:	f1402573          	csrr	a0,mhartid
    8000010c:	30200073          	mret
    80000110:	00200193          	li	gp,2
    80000114:	00800313          	li	t1,8
    80000118:	10000293          	li	t0,256
    8000011c:	1002b073          	csrc	sstatus,t0
    80000120:	00000297          	auipc	t0,0x0
    80000124:	01028293          	addi	t0,t0,16 # 80000130 <reset_vector+0xe4>
    80000128:	14129073          	csrw	sepc,t0
    8000012c:	10200073          	sret
    80000130:	00100193          	li	gp,1

0000000080000134 <do_scall>:
    80000134:	00000073          	ecall
    80000138:	0080006f          	j	80000140 <fail>
    8000013c:	00301c63          	bne	zero,gp,80000154 <pass>

0000000080000140 <fail>:
    80000140:	0ff0000f          	fence
    80000144:	00018063          	beqz	gp,80000144 <fail+0x4>
    80000148:	00119193          	slli	gp,gp,0x1
    8000014c:	0011e193          	ori	gp,gp,1
    80000150:	00000073          	ecall

0000000080000154 <pass>:
    80000154:	0ff0000f          	fence
    80000158:	00100193          	li	gp,1
    8000015c:	00000073          	ecall

0000000080000160 <stvec_handler>:
    80000160:	142022f3          	csrr	t0,scause
    80000164:	fc629ee3          	bne	t0,t1,80000140 <fail>
    80000168:	00000397          	auipc	t2,0x0
    8000016c:	fcc38393          	addi	t2,t2,-52 # 80000134 <do_scall>
    80000170:	141022f3          	csrr	t0,sepc
    80000174:	fc7296e3          	bne	t0,t2,80000140 <fail>
    80000178:	fddff06f          	j	80000154 <pass>
    8000017c:	c0001073          	unimp
    80000180:	0000                	unimp
    80000182:	0000                	unimp