aboutsummaryrefslogtreecommitdiff
path: root/test/riscv-tests/rv64si-p-csr.dump
blob: e4e92ac7fdfbf7e2e657564958e779ac7f50d2d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222

rv64si-p-csr:     file format elf64-littleriscv


Disassembly of section .text.init:

0000000080000000 <_start>:
    80000000:	04c0006f          	j	8000004c <reset_vector>

0000000080000004 <trap_vector>:
    80000004:	34202f73          	csrr	t5,mcause
    80000008:	00800f93          	li	t6,8
    8000000c:	03ff0a63          	beq	t5,t6,80000040 <write_tohost>
    80000010:	00900f93          	li	t6,9
    80000014:	03ff0663          	beq	t5,t6,80000040 <write_tohost>
    80000018:	00b00f93          	li	t6,11
    8000001c:	03ff0263          	beq	t5,t6,80000040 <write_tohost>
    80000020:	80000f17          	auipc	t5,0x80000
    80000024:	fe0f0f13          	addi	t5,t5,-32 # 0 <_start-0x80000000>
    80000028:	000f0463          	beqz	t5,80000030 <trap_vector+0x2c>
    8000002c:	000f0067          	jr	t5
    80000030:	34202f73          	csrr	t5,mcause
    80000034:	000f5463          	bgez	t5,8000003c <handle_exception>
    80000038:	0040006f          	j	8000003c <handle_exception>

000000008000003c <handle_exception>:
    8000003c:	5391e193          	ori	gp,gp,1337

0000000080000040 <write_tohost>:
    80000040:	00001f17          	auipc	t5,0x1
    80000044:	fc3f2023          	sw	gp,-64(t5) # 80001000 <tohost>
    80000048:	ff9ff06f          	j	80000040 <write_tohost>

000000008000004c <reset_vector>:
    8000004c:	f1402573          	csrr	a0,mhartid
    80000050:	00051063          	bnez	a0,80000050 <reset_vector+0x4>
    80000054:	00000297          	auipc	t0,0x0
    80000058:	01028293          	addi	t0,t0,16 # 80000064 <reset_vector+0x18>
    8000005c:	30529073          	csrw	mtvec,t0
    80000060:	18005073          	csrwi	satp,0
    80000064:	00000297          	auipc	t0,0x0
    80000068:	01c28293          	addi	t0,t0,28 # 80000080 <reset_vector+0x34>
    8000006c:	30529073          	csrw	mtvec,t0
    80000070:	fff00293          	li	t0,-1
    80000074:	3b029073          	csrw	pmpaddr0,t0
    80000078:	01f00293          	li	t0,31
    8000007c:	3a029073          	csrw	pmpcfg0,t0
    80000080:	00000297          	auipc	t0,0x0
    80000084:	01828293          	addi	t0,t0,24 # 80000098 <reset_vector+0x4c>
    80000088:	30529073          	csrw	mtvec,t0
    8000008c:	30205073          	csrwi	medeleg,0
    80000090:	30305073          	csrwi	mideleg,0
    80000094:	30405073          	csrwi	mie,0
    80000098:	00000193          	li	gp,0
    8000009c:	00000297          	auipc	t0,0x0
    800000a0:	f6828293          	addi	t0,t0,-152 # 80000004 <trap_vector>
    800000a4:	30529073          	csrw	mtvec,t0
    800000a8:	00100513          	li	a0,1
    800000ac:	01f51513          	slli	a0,a0,0x1f
    800000b0:	00055863          	bgez	a0,800000c0 <reset_vector+0x74>
    800000b4:	0ff0000f          	fence
    800000b8:	00100193          	li	gp,1
    800000bc:	00000073          	ecall
    800000c0:	00000297          	auipc	t0,0x0
    800000c4:	16c28293          	addi	t0,t0,364 # 8000022c <stvec_handler>
    800000c8:	00028e63          	beqz	t0,800000e4 <reset_vector+0x98>
    800000cc:	10529073          	csrw	stvec,t0
    800000d0:	0000b2b7          	lui	t0,0xb
    800000d4:	1092829b          	addiw	t0,t0,265
    800000d8:	30229073          	csrw	medeleg,t0
    800000dc:	30202373          	csrr	t1,medeleg
    800000e0:	f4629ee3          	bne	t0,t1,8000003c <handle_exception>
    800000e4:	30005073          	csrwi	mstatus,0
    800000e8:	00001537          	lui	a0,0x1
    800000ec:	8005051b          	addiw	a0,a0,-2048
    800000f0:	30052073          	csrs	mstatus,a0
    800000f4:	02200513          	li	a0,34
    800000f8:	30352073          	csrs	mideleg,a0
    800000fc:	00000297          	auipc	t0,0x0
    80000100:	01428293          	addi	t0,t0,20 # 80000110 <test_13>
    80000104:	34129073          	csrw	mepc,t0
    80000108:	f1402573          	csrr	a0,mhartid
    8000010c:	30200073          	mret

0000000080000110 <test_13>:
    80000110:	10002573          	csrr	a0,sstatus
    80000114:	0030059b          	addiw	a1,zero,3
    80000118:	02059593          	slli	a1,a1,0x20
    8000011c:	00b57533          	and	a0,a0,a1
    80000120:	00100e9b          	addiw	t4,zero,1
    80000124:	021e9e93          	slli	t4,t4,0x21
    80000128:	00d00193          	li	gp,13
    8000012c:	0fd51063          	bne	a0,t4,8000020c <fail>
    80000130:	1401d073          	csrwi	sscratch,3

0000000080000134 <test_2>:
    80000134:	14002573          	csrr	a0,sscratch
    80000138:	00300e93          	li	t4,3
    8000013c:	00200193          	li	gp,2
    80000140:	0dd51663          	bne	a0,t4,8000020c <fail>

0000000080000144 <test_3>:
    80000144:	1400f5f3          	csrrci	a1,sscratch,1
    80000148:	00300e93          	li	t4,3
    8000014c:	00300193          	li	gp,3
    80000150:	0bd59e63          	bne	a1,t4,8000020c <fail>

0000000080000154 <test_4>:
    80000154:	14026673          	csrrsi	a2,sscratch,4
    80000158:	00200e93          	li	t4,2
    8000015c:	00400193          	li	gp,4
    80000160:	0bd61663          	bne	a2,t4,8000020c <fail>

0000000080000164 <test_5>:
    80000164:	140156f3          	csrrwi	a3,sscratch,2
    80000168:	00600e93          	li	t4,6
    8000016c:	00500193          	li	gp,5
    80000170:	09d69e63          	bne	a3,t4,8000020c <fail>

0000000080000174 <test_6>:
    80000174:	0bad2537          	lui	a0,0xbad2
    80000178:	dea5051b          	addiw	a0,a0,-534
    8000017c:	140515f3          	csrrw	a1,sscratch,a0
    80000180:	00200e93          	li	t4,2
    80000184:	00600193          	li	gp,6
    80000188:	09d59263          	bne	a1,t4,8000020c <fail>

000000008000018c <test_7>:
    8000018c:	00002537          	lui	a0,0x2
    80000190:	dea5051b          	addiw	a0,a0,-534
    80000194:	14053573          	csrrc	a0,sscratch,a0
    80000198:	0bad2eb7          	lui	t4,0xbad2
    8000019c:	deae8e9b          	addiw	t4,t4,-534
    800001a0:	00700193          	li	gp,7
    800001a4:	07d51463          	bne	a0,t4,8000020c <fail>

00000000800001a8 <test_8>:
    800001a8:	0000c537          	lui	a0,0xc
    800001ac:	eef5051b          	addiw	a0,a0,-273
    800001b0:	14052573          	csrrs	a0,sscratch,a0
    800001b4:	0bad0eb7          	lui	t4,0xbad0
    800001b8:	00800193          	li	gp,8
    800001bc:	05d51863          	bne	a0,t4,8000020c <fail>

00000000800001c0 <test_9>:
    800001c0:	14002573          	csrr	a0,sscratch
    800001c4:	0badceb7          	lui	t4,0xbadc
    800001c8:	eefe8e9b          	addiw	t4,t4,-273
    800001cc:	00900193          	li	gp,9
    800001d0:	03d51e63          	bne	a0,t4,8000020c <fail>
    800001d4:	10000293          	li	t0,256
    800001d8:	1002b073          	csrc	sstatus,t0
    800001dc:	00000297          	auipc	t0,0x0
    800001e0:	01028293          	addi	t0,t0,16 # 800001ec <test_12>
    800001e4:	14129073          	csrw	sepc,t0
    800001e8:	10200073          	sret

00000000800001ec <test_12>:
    800001ec:	00000013          	nop
    800001f0:	00000e93          	li	t4,0
    800001f4:	00c00193          	li	gp,12
    800001f8:	01d01a63          	bne	zero,t4,8000020c <fail>

00000000800001fc <finish>:
    800001fc:	0ff0000f          	fence
    80000200:	00100193          	li	gp,1
    80000204:	00000073          	ecall
    80000208:	00301c63          	bne	zero,gp,80000220 <pass>

000000008000020c <fail>:
    8000020c:	0ff0000f          	fence
    80000210:	00018063          	beqz	gp,80000210 <fail+0x4>
    80000214:	00119193          	slli	gp,gp,0x1
    80000218:	0011e193          	ori	gp,gp,1
    8000021c:	00000073          	ecall

0000000080000220 <pass>:
    80000220:	0ff0000f          	fence
    80000224:	00100193          	li	gp,1
    80000228:	00000073          	ecall

000000008000022c <stvec_handler>:
    8000022c:	00900293          	li	t0,9
    80000230:	0051e663          	bltu	gp,t0,8000023c <stvec_handler+0x10>
    80000234:	00b00293          	li	t0,11
    80000238:	0032fe63          	bleu	gp,t0,80000254 <privileged>
    8000023c:	142022f3          	csrr	t0,scause
    80000240:	00800313          	li	t1,8
    80000244:	fc6294e3          	bne	t0,t1,8000020c <fail>
    80000248:	0ff0000f          	fence
    8000024c:	00100193          	li	gp,1
    80000250:	00000073          	ecall

0000000080000254 <privileged>:
    80000254:	142022f3          	csrr	t0,scause
    80000258:	00200313          	li	t1,2
    8000025c:	fa6298e3          	bne	t0,t1,8000020c <fail>
    80000260:	141022f3          	csrr	t0,sepc
    80000264:	00428293          	addi	t0,t0,4
    80000268:	14129073          	csrw	sepc,t0
    8000026c:	10200073          	sret
    80000270:	c0001073          	unimp
    80000274:	0000                	unimp
    80000276:	0000                	unimp
    80000278:	0000                	unimp
    8000027a:	0000                	unimp
    8000027c:	0000                	unimp
    8000027e:	0000                	unimp
    80000280:	0000                	unimp
    80000282:	0000                	unimp

Disassembly of section .data:

0000000080002000 <begin_signature>:
    80002000:	0001                	nop
    80002002:	0000                	unimp
    80002004:	0000                	unimp
    80002006:	0000                	unimp
    80002008:	0000                	unimp
    8000200a:	0000                	unimp
    8000200c:	0000                	unimp
    8000200e:	0000                	unimp