aboutsummaryrefslogtreecommitdiff
path: root/test/tests/rv64ui-p-slli.dump
diff options
context:
space:
mode:
Diffstat (limited to 'test/tests/rv64ui-p-slli.dump')
-rw-r--r--test/tests/rv64ui-p-slli.dump357
1 files changed, 357 insertions, 0 deletions
diff --git a/test/tests/rv64ui-p-slli.dump b/test/tests/rv64ui-p-slli.dump
new file mode 100644
index 0000000..f04acaa
--- /dev/null
+++ b/test/tests/rv64ui-p-slli.dump
@@ -0,0 +1,357 @@
+
+rv64ui-p-slli: file format elf64-littleriscv
+
+
+Disassembly of section .text.init:
+
+0000000080000000 <_start>:
+ 80000000: 04c0006f j 8000004c <reset_vector>
+
+0000000080000004 <trap_vector>:
+ 80000004: 34202f73 csrr t5,mcause
+ 80000008: 00800f93 li t6,8
+ 8000000c: 03ff0a63 beq t5,t6,80000040 <write_tohost>
+ 80000010: 00900f93 li t6,9
+ 80000014: 03ff0663 beq t5,t6,80000040 <write_tohost>
+ 80000018: 00b00f93 li t6,11
+ 8000001c: 03ff0263 beq t5,t6,80000040 <write_tohost>
+ 80000020: 80000f17 auipc t5,0x80000
+ 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000>
+ 80000028: 000f0463 beqz t5,80000030 <trap_vector+0x2c>
+ 8000002c: 000f0067 jr t5
+ 80000030: 34202f73 csrr t5,mcause
+ 80000034: 000f5463 bgez t5,8000003c <handle_exception>
+ 80000038: 0040006f j 8000003c <handle_exception>
+
+000000008000003c <handle_exception>:
+ 8000003c: 5391e193 ori gp,gp,1337
+
+0000000080000040 <write_tohost>:
+ 80000040: 00001f17 auipc t5,0x1
+ 80000044: fc3f2023 sw gp,-64(t5) # 80001000 <tohost>
+ 80000048: ff9ff06f j 80000040 <write_tohost>
+
+000000008000004c <reset_vector>:
+ 8000004c: f1402573 csrr a0,mhartid
+ 80000050: 00051063 bnez a0,80000050 <reset_vector+0x4>
+ 80000054: 00000297 auipc t0,0x0
+ 80000058: 01028293 addi t0,t0,16 # 80000064 <reset_vector+0x18>
+ 8000005c: 30529073 csrw mtvec,t0
+ 80000060: 18005073 csrwi satp,0
+ 80000064: 00000297 auipc t0,0x0
+ 80000068: 01c28293 addi t0,t0,28 # 80000080 <reset_vector+0x34>
+ 8000006c: 30529073 csrw mtvec,t0
+ 80000070: fff00293 li t0,-1
+ 80000074: 3b029073 csrw pmpaddr0,t0
+ 80000078: 01f00293 li t0,31
+ 8000007c: 3a029073 csrw pmpcfg0,t0
+ 80000080: 00000297 auipc t0,0x0
+ 80000084: 01828293 addi t0,t0,24 # 80000098 <reset_vector+0x4c>
+ 80000088: 30529073 csrw mtvec,t0
+ 8000008c: 30205073 csrwi medeleg,0
+ 80000090: 30305073 csrwi mideleg,0
+ 80000094: 30405073 csrwi mie,0
+ 80000098: 00000193 li gp,0
+ 8000009c: 00000297 auipc t0,0x0
+ 800000a0: f6828293 addi t0,t0,-152 # 80000004 <trap_vector>
+ 800000a4: 30529073 csrw mtvec,t0
+ 800000a8: 00100513 li a0,1
+ 800000ac: 01f51513 slli a0,a0,0x1f
+ 800000b0: 00055863 bgez a0,800000c0 <reset_vector+0x74>
+ 800000b4: 0ff0000f fence
+ 800000b8: 00100193 li gp,1
+ 800000bc: 00000073 ecall
+ 800000c0: 80000297 auipc t0,0x80000
+ 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000>
+ 800000c8: 00028e63 beqz t0,800000e4 <reset_vector+0x98>
+ 800000cc: 10529073 csrw stvec,t0
+ 800000d0: 0000b2b7 lui t0,0xb
+ 800000d4: 1092829b addiw t0,t0,265
+ 800000d8: 30229073 csrw medeleg,t0
+ 800000dc: 30202373 csrr t1,medeleg
+ 800000e0: f4629ee3 bne t0,t1,8000003c <handle_exception>
+ 800000e4: 30005073 csrwi mstatus,0
+ 800000e8: 00000297 auipc t0,0x0
+ 800000ec: 01428293 addi t0,t0,20 # 800000fc <test_2>
+ 800000f0: 34129073 csrw mepc,t0
+ 800000f4: f1402573 csrr a0,mhartid
+ 800000f8: 30200073 mret
+
+00000000800000fc <test_2>:
+ 800000fc: 00100093 li ra,1
+ 80000100: 00009f13 slli t5,ra,0x0
+ 80000104: 00100e93 li t4,1
+ 80000108: 00200193 li gp,2
+ 8000010c: 2fdf1463 bne t5,t4,800003f4 <fail>
+
+0000000080000110 <test_3>:
+ 80000110: 00100093 li ra,1
+ 80000114: 00109f13 slli t5,ra,0x1
+ 80000118: 00200e93 li t4,2
+ 8000011c: 00300193 li gp,3
+ 80000120: 2ddf1a63 bne t5,t4,800003f4 <fail>
+
+0000000080000124 <test_4>:
+ 80000124: 00100093 li ra,1
+ 80000128: 00709f13 slli t5,ra,0x7
+ 8000012c: 08000e93 li t4,128
+ 80000130: 00400193 li gp,4
+ 80000134: 2ddf1063 bne t5,t4,800003f4 <fail>
+
+0000000080000138 <test_5>:
+ 80000138: 00100093 li ra,1
+ 8000013c: 00e09f13 slli t5,ra,0xe
+ 80000140: 00004eb7 lui t4,0x4
+ 80000144: 00500193 li gp,5
+ 80000148: 2bdf1663 bne t5,t4,800003f4 <fail>
+
+000000008000014c <test_6>:
+ 8000014c: 00100093 li ra,1
+ 80000150: 01f09f13 slli t5,ra,0x1f
+ 80000154: 00100e9b addiw t4,zero,1
+ 80000158: 01fe9e93 slli t4,t4,0x1f
+ 8000015c: 00600193 li gp,6
+ 80000160: 29df1a63 bne t5,t4,800003f4 <fail>
+
+0000000080000164 <test_7>:
+ 80000164: fff00093 li ra,-1
+ 80000168: 00009f13 slli t5,ra,0x0
+ 8000016c: fff00e93 li t4,-1
+ 80000170: 00700193 li gp,7
+ 80000174: 29df1063 bne t5,t4,800003f4 <fail>
+
+0000000080000178 <test_8>:
+ 80000178: fff00093 li ra,-1
+ 8000017c: 00109f13 slli t5,ra,0x1
+ 80000180: ffe00e93 li t4,-2
+ 80000184: 00800193 li gp,8
+ 80000188: 27df1663 bne t5,t4,800003f4 <fail>
+
+000000008000018c <test_9>:
+ 8000018c: fff00093 li ra,-1
+ 80000190: 00709f13 slli t5,ra,0x7
+ 80000194: f8000e93 li t4,-128
+ 80000198: 00900193 li gp,9
+ 8000019c: 25df1c63 bne t5,t4,800003f4 <fail>
+
+00000000800001a0 <test_10>:
+ 800001a0: fff00093 li ra,-1
+ 800001a4: 00e09f13 slli t5,ra,0xe
+ 800001a8: ffffceb7 lui t4,0xffffc
+ 800001ac: 00a00193 li gp,10
+ 800001b0: 25df1263 bne t5,t4,800003f4 <fail>
+
+00000000800001b4 <test_11>:
+ 800001b4: fff00093 li ra,-1
+ 800001b8: 01f09f13 slli t5,ra,0x1f
+ 800001bc: 80000eb7 lui t4,0x80000
+ 800001c0: 00b00193 li gp,11
+ 800001c4: 23df1863 bne t5,t4,800003f4 <fail>
+
+00000000800001c8 <test_12>:
+ 800001c8: 212120b7 lui ra,0x21212
+ 800001cc: 1210809b addiw ra,ra,289
+ 800001d0: 00009f13 slli t5,ra,0x0
+ 800001d4: 21212eb7 lui t4,0x21212
+ 800001d8: 121e8e9b addiw t4,t4,289
+ 800001dc: 00c00193 li gp,12
+ 800001e0: 21df1a63 bne t5,t4,800003f4 <fail>
+
+00000000800001e4 <test_13>:
+ 800001e4: 212120b7 lui ra,0x21212
+ 800001e8: 1210809b addiw ra,ra,289
+ 800001ec: 00109f13 slli t5,ra,0x1
+ 800001f0: 42424eb7 lui t4,0x42424
+ 800001f4: 242e8e9b addiw t4,t4,578
+ 800001f8: 00d00193 li gp,13
+ 800001fc: 1fdf1c63 bne t5,t4,800003f4 <fail>
+
+0000000080000200 <test_14>:
+ 80000200: 212120b7 lui ra,0x21212
+ 80000204: 1210809b addiw ra,ra,289
+ 80000208: 00709f13 slli t5,ra,0x7
+ 8000020c: 01091eb7 lui t4,0x1091
+ 80000210: 909e8e9b addiw t4,t4,-1783
+ 80000214: 00ce9e93 slli t4,t4,0xc
+ 80000218: 080e8e93 addi t4,t4,128 # 1091080 <_start-0x7ef6ef80>
+ 8000021c: 00e00193 li gp,14
+ 80000220: 1ddf1a63 bne t5,t4,800003f4 <fail>
+
+0000000080000224 <test_15>:
+ 80000224: 212120b7 lui ra,0x21212
+ 80000228: 1210809b addiw ra,ra,289
+ 8000022c: 00e09f13 slli t5,ra,0xe
+ 80000230: 21212eb7 lui t4,0x21212
+ 80000234: 121e8e9b addiw t4,t4,289
+ 80000238: 00ee9e93 slli t4,t4,0xe
+ 8000023c: 00f00193 li gp,15
+ 80000240: 1bdf1a63 bne t5,t4,800003f4 <fail>
+
+0000000080000244 <test_16>:
+ 80000244: 212120b7 lui ra,0x21212
+ 80000248: 1210809b addiw ra,ra,289
+ 8000024c: 01f09f13 slli t5,ra,0x1f
+ 80000250: 21212eb7 lui t4,0x21212
+ 80000254: 121e8e9b addiw t4,t4,289
+ 80000258: 01fe9e93 slli t4,t4,0x1f
+ 8000025c: 01000193 li gp,16
+ 80000260: 19df1a63 bne t5,t4,800003f4 <fail>
+
+0000000080000264 <test_50>:
+ 80000264: 00100093 li ra,1
+ 80000268: 03f09f13 slli t5,ra,0x3f
+ 8000026c: fff00e9b addiw t4,zero,-1
+ 80000270: 03fe9e93 slli t4,t4,0x3f
+ 80000274: 03200193 li gp,50
+ 80000278: 17df1e63 bne t5,t4,800003f4 <fail>
+
+000000008000027c <test_51>:
+ 8000027c: fff00093 li ra,-1
+ 80000280: 02709f13 slli t5,ra,0x27
+ 80000284: fff00e9b addiw t4,zero,-1
+ 80000288: 027e9e93 slli t4,t4,0x27
+ 8000028c: 03300193 li gp,51
+ 80000290: 17df1263 bne t5,t4,800003f4 <fail>
+
+0000000080000294 <test_52>:
+ 80000294: 212120b7 lui ra,0x21212
+ 80000298: 1210809b addiw ra,ra,289
+ 8000029c: 02b09f13 slli t5,ra,0x2b
+ 800002a0: 00012eb7 lui t4,0x12
+ 800002a4: 121e8e9b addiw t4,t4,289
+ 800002a8: 02be9e93 slli t4,t4,0x2b
+ 800002ac: 03400193 li gp,52
+ 800002b0: 15df1263 bne t5,t4,800003f4 <fail>
+
+00000000800002b4 <test_17>:
+ 800002b4: 00100093 li ra,1
+ 800002b8: 00709093 slli ra,ra,0x7
+ 800002bc: 08000e93 li t4,128
+ 800002c0: 01100193 li gp,17
+ 800002c4: 13d09863 bne ra,t4,800003f4 <fail>
+
+00000000800002c8 <test_18>:
+ 800002c8: 00000213 li tp,0
+ 800002cc: 00100093 li ra,1
+ 800002d0: 00709f13 slli t5,ra,0x7
+ 800002d4: 000f0313 mv t1,t5
+ 800002d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800002dc: 00200293 li t0,2
+ 800002e0: fe5216e3 bne tp,t0,800002cc <test_18+0x4>
+ 800002e4: 08000e93 li t4,128
+ 800002e8: 01200193 li gp,18
+ 800002ec: 11d31463 bne t1,t4,800003f4 <fail>
+
+00000000800002f0 <test_19>:
+ 800002f0: 00000213 li tp,0
+ 800002f4: 00100093 li ra,1
+ 800002f8: 00e09f13 slli t5,ra,0xe
+ 800002fc: 00000013 nop
+ 80000300: 000f0313 mv t1,t5
+ 80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000308: 00200293 li t0,2
+ 8000030c: fe5214e3 bne tp,t0,800002f4 <test_19+0x4>
+ 80000310: 00004eb7 lui t4,0x4
+ 80000314: 01300193 li gp,19
+ 80000318: 0dd31e63 bne t1,t4,800003f4 <fail>
+
+000000008000031c <test_20>:
+ 8000031c: 00000213 li tp,0
+ 80000320: 00100093 li ra,1
+ 80000324: 01f09f13 slli t5,ra,0x1f
+ 80000328: 00000013 nop
+ 8000032c: 00000013 nop
+ 80000330: 000f0313 mv t1,t5
+ 80000334: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000338: 00200293 li t0,2
+ 8000033c: fe5212e3 bne tp,t0,80000320 <test_20+0x4>
+ 80000340: 00100e9b addiw t4,zero,1
+ 80000344: 01fe9e93 slli t4,t4,0x1f
+ 80000348: 01400193 li gp,20
+ 8000034c: 0bd31463 bne t1,t4,800003f4 <fail>
+
+0000000080000350 <test_21>:
+ 80000350: 00000213 li tp,0
+ 80000354: 00100093 li ra,1
+ 80000358: 00709f13 slli t5,ra,0x7
+ 8000035c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000360: 00200293 li t0,2
+ 80000364: fe5218e3 bne tp,t0,80000354 <test_21+0x4>
+ 80000368: 08000e93 li t4,128
+ 8000036c: 01500193 li gp,21
+ 80000370: 09df1263 bne t5,t4,800003f4 <fail>
+
+0000000080000374 <test_22>:
+ 80000374: 00000213 li tp,0
+ 80000378: 00100093 li ra,1
+ 8000037c: 00000013 nop
+ 80000380: 00e09f13 slli t5,ra,0xe
+ 80000384: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000388: 00200293 li t0,2
+ 8000038c: fe5216e3 bne tp,t0,80000378 <test_22+0x4>
+ 80000390: 00004eb7 lui t4,0x4
+ 80000394: 01600193 li gp,22
+ 80000398: 05df1e63 bne t5,t4,800003f4 <fail>
+
+000000008000039c <test_23>:
+ 8000039c: 00000213 li tp,0
+ 800003a0: 00100093 li ra,1
+ 800003a4: 00000013 nop
+ 800003a8: 00000013 nop
+ 800003ac: 01f09f13 slli t5,ra,0x1f
+ 800003b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003b4: 00200293 li t0,2
+ 800003b8: fe5214e3 bne tp,t0,800003a0 <test_23+0x4>
+ 800003bc: 00100e9b addiw t4,zero,1
+ 800003c0: 01fe9e93 slli t4,t4,0x1f
+ 800003c4: 01700193 li gp,23
+ 800003c8: 03df1663 bne t5,t4,800003f4 <fail>
+
+00000000800003cc <test_24>:
+ 800003cc: 01f01093 slli ra,zero,0x1f
+ 800003d0: 00000e93 li t4,0
+ 800003d4: 01800193 li gp,24
+ 800003d8: 01d09e63 bne ra,t4,800003f4 <fail>
+
+00000000800003dc <test_25>:
+ 800003dc: 02100093 li ra,33
+ 800003e0: 01409013 slli zero,ra,0x14
+ 800003e4: 00000e93 li t4,0
+ 800003e8: 01900193 li gp,25
+ 800003ec: 01d01463 bne zero,t4,800003f4 <fail>
+ 800003f0: 00301c63 bne zero,gp,80000408 <pass>
+
+00000000800003f4 <fail>:
+ 800003f4: 0ff0000f fence
+ 800003f8: 00018063 beqz gp,800003f8 <fail+0x4>
+ 800003fc: 00119193 slli gp,gp,0x1
+ 80000400: 0011e193 ori gp,gp,1
+ 80000404: 00000073 ecall
+
+0000000080000408 <pass>:
+ 80000408: 0ff0000f fence
+ 8000040c: 00100193 li gp,1
+ 80000410: 00000073 ecall
+ 80000414: c0001073 unimp
+ 80000418: 0000 unimp
+ 8000041a: 0000 unimp
+ 8000041c: 0000 unimp
+ 8000041e: 0000 unimp
+ 80000420: 0000 unimp
+ 80000422: 0000 unimp
+ 80000424: 0000 unimp
+ 80000426: 0000 unimp
+ 80000428: 0000 unimp
+ 8000042a: 0000 unimp
+ 8000042c: 0000 unimp
+ 8000042e: 0000 unimp
+ 80000430: 0000 unimp
+ 80000432: 0000 unimp
+ 80000434: 0000 unimp
+ 80000436: 0000 unimp
+ 80000438: 0000 unimp
+ 8000043a: 0000 unimp
+ 8000043c: 0000 unimp
+ 8000043e: 0000 unimp
+ 80000440: 0000 unimp
+ 80000442: 0000 unimp