aboutsummaryrefslogtreecommitdiff
path: root/test/tests/rv64ui-p-blt.dump
diff options
context:
space:
mode:
Diffstat (limited to 'test/tests/rv64ui-p-blt.dump')
-rw-r--r--test/tests/rv64ui-p-blt.dump325
1 files changed, 325 insertions, 0 deletions
diff --git a/test/tests/rv64ui-p-blt.dump b/test/tests/rv64ui-p-blt.dump
new file mode 100644
index 0000000..3e6b518
--- /dev/null
+++ b/test/tests/rv64ui-p-blt.dump
@@ -0,0 +1,325 @@
+
+rv64ui-p-blt: file format elf64-littleriscv
+
+
+Disassembly of section .text.init:
+
+0000000080000000 <_start>:
+ 80000000: 04c0006f j 8000004c <reset_vector>
+
+0000000080000004 <trap_vector>:
+ 80000004: 34202f73 csrr t5,mcause
+ 80000008: 00800f93 li t6,8
+ 8000000c: 03ff0a63 beq t5,t6,80000040 <write_tohost>
+ 80000010: 00900f93 li t6,9
+ 80000014: 03ff0663 beq t5,t6,80000040 <write_tohost>
+ 80000018: 00b00f93 li t6,11
+ 8000001c: 03ff0263 beq t5,t6,80000040 <write_tohost>
+ 80000020: 80000f17 auipc t5,0x80000
+ 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000>
+ 80000028: 000f0463 beqz t5,80000030 <trap_vector+0x2c>
+ 8000002c: 000f0067 jr t5
+ 80000030: 34202f73 csrr t5,mcause
+ 80000034: 000f5463 bgez t5,8000003c <handle_exception>
+ 80000038: 0040006f j 8000003c <handle_exception>
+
+000000008000003c <handle_exception>:
+ 8000003c: 5391e193 ori gp,gp,1337
+
+0000000080000040 <write_tohost>:
+ 80000040: 00001f17 auipc t5,0x1
+ 80000044: fc3f2023 sw gp,-64(t5) # 80001000 <tohost>
+ 80000048: ff9ff06f j 80000040 <write_tohost>
+
+000000008000004c <reset_vector>:
+ 8000004c: f1402573 csrr a0,mhartid
+ 80000050: 00051063 bnez a0,80000050 <reset_vector+0x4>
+ 80000054: 00000297 auipc t0,0x0
+ 80000058: 01028293 addi t0,t0,16 # 80000064 <reset_vector+0x18>
+ 8000005c: 30529073 csrw mtvec,t0
+ 80000060: 18005073 csrwi satp,0
+ 80000064: 00000297 auipc t0,0x0
+ 80000068: 01c28293 addi t0,t0,28 # 80000080 <reset_vector+0x34>
+ 8000006c: 30529073 csrw mtvec,t0
+ 80000070: fff00293 li t0,-1
+ 80000074: 3b029073 csrw pmpaddr0,t0
+ 80000078: 01f00293 li t0,31
+ 8000007c: 3a029073 csrw pmpcfg0,t0
+ 80000080: 00000297 auipc t0,0x0
+ 80000084: 01828293 addi t0,t0,24 # 80000098 <reset_vector+0x4c>
+ 80000088: 30529073 csrw mtvec,t0
+ 8000008c: 30205073 csrwi medeleg,0
+ 80000090: 30305073 csrwi mideleg,0
+ 80000094: 30405073 csrwi mie,0
+ 80000098: 00000193 li gp,0
+ 8000009c: 00000297 auipc t0,0x0
+ 800000a0: f6828293 addi t0,t0,-152 # 80000004 <trap_vector>
+ 800000a4: 30529073 csrw mtvec,t0
+ 800000a8: 00100513 li a0,1
+ 800000ac: 01f51513 slli a0,a0,0x1f
+ 800000b0: 00055863 bgez a0,800000c0 <reset_vector+0x74>
+ 800000b4: 0ff0000f fence
+ 800000b8: 00100193 li gp,1
+ 800000bc: 00000073 ecall
+ 800000c0: 80000297 auipc t0,0x80000
+ 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000>
+ 800000c8: 00028e63 beqz t0,800000e4 <reset_vector+0x98>
+ 800000cc: 10529073 csrw stvec,t0
+ 800000d0: 0000b2b7 lui t0,0xb
+ 800000d4: 1092829b addiw t0,t0,265
+ 800000d8: 30229073 csrw medeleg,t0
+ 800000dc: 30202373 csrr t1,medeleg
+ 800000e0: f4629ee3 bne t0,t1,8000003c <handle_exception>
+ 800000e4: 30005073 csrwi mstatus,0
+ 800000e8: 00000297 auipc t0,0x0
+ 800000ec: 01428293 addi t0,t0,20 # 800000fc <test_2>
+ 800000f0: 34129073 csrw mepc,t0
+ 800000f4: f1402573 csrr a0,mhartid
+ 800000f8: 30200073 mret
+
+00000000800000fc <test_2>:
+ 800000fc: 00200193 li gp,2
+ 80000100: 00000093 li ra,0
+ 80000104: 00100113 li sp,1
+ 80000108: 0020c663 blt ra,sp,80000114 <test_2+0x18>
+ 8000010c: 2a301863 bne zero,gp,800003bc <fail>
+ 80000110: 00301663 bne zero,gp,8000011c <test_3>
+ 80000114: fe20cee3 blt ra,sp,80000110 <test_2+0x14>
+ 80000118: 2a301263 bne zero,gp,800003bc <fail>
+
+000000008000011c <test_3>:
+ 8000011c: 00300193 li gp,3
+ 80000120: fff00093 li ra,-1
+ 80000124: 00100113 li sp,1
+ 80000128: 0020c663 blt ra,sp,80000134 <test_3+0x18>
+ 8000012c: 28301863 bne zero,gp,800003bc <fail>
+ 80000130: 00301663 bne zero,gp,8000013c <test_4>
+ 80000134: fe20cee3 blt ra,sp,80000130 <test_3+0x14>
+ 80000138: 28301263 bne zero,gp,800003bc <fail>
+
+000000008000013c <test_4>:
+ 8000013c: 00400193 li gp,4
+ 80000140: ffe00093 li ra,-2
+ 80000144: fff00113 li sp,-1
+ 80000148: 0020c663 blt ra,sp,80000154 <test_4+0x18>
+ 8000014c: 26301863 bne zero,gp,800003bc <fail>
+ 80000150: 00301663 bne zero,gp,8000015c <test_5>
+ 80000154: fe20cee3 blt ra,sp,80000150 <test_4+0x14>
+ 80000158: 26301263 bne zero,gp,800003bc <fail>
+
+000000008000015c <test_5>:
+ 8000015c: 00500193 li gp,5
+ 80000160: 00100093 li ra,1
+ 80000164: 00000113 li sp,0
+ 80000168: 0020c463 blt ra,sp,80000170 <test_5+0x14>
+ 8000016c: 00301463 bne zero,gp,80000174 <test_5+0x18>
+ 80000170: 24301663 bne zero,gp,800003bc <fail>
+ 80000174: fe20cee3 blt ra,sp,80000170 <test_5+0x14>
+
+0000000080000178 <test_6>:
+ 80000178: 00600193 li gp,6
+ 8000017c: 00100093 li ra,1
+ 80000180: fff00113 li sp,-1
+ 80000184: 0020c463 blt ra,sp,8000018c <test_6+0x14>
+ 80000188: 00301463 bne zero,gp,80000190 <test_6+0x18>
+ 8000018c: 22301863 bne zero,gp,800003bc <fail>
+ 80000190: fe20cee3 blt ra,sp,8000018c <test_6+0x14>
+
+0000000080000194 <test_7>:
+ 80000194: 00700193 li gp,7
+ 80000198: fff00093 li ra,-1
+ 8000019c: ffe00113 li sp,-2
+ 800001a0: 0020c463 blt ra,sp,800001a8 <test_7+0x14>
+ 800001a4: 00301463 bne zero,gp,800001ac <test_7+0x18>
+ 800001a8: 20301a63 bne zero,gp,800003bc <fail>
+ 800001ac: fe20cee3 blt ra,sp,800001a8 <test_7+0x14>
+
+00000000800001b0 <test_8>:
+ 800001b0: 00800193 li gp,8
+ 800001b4: 00100093 li ra,1
+ 800001b8: ffe00113 li sp,-2
+ 800001bc: 0020c463 blt ra,sp,800001c4 <test_8+0x14>
+ 800001c0: 00301463 bne zero,gp,800001c8 <test_8+0x18>
+ 800001c4: 1e301c63 bne zero,gp,800003bc <fail>
+ 800001c8: fe20cee3 blt ra,sp,800001c4 <test_8+0x14>
+
+00000000800001cc <test_9>:
+ 800001cc: 00900193 li gp,9
+ 800001d0: 00000213 li tp,0
+ 800001d4: 00000093 li ra,0
+ 800001d8: fff00113 li sp,-1
+ 800001dc: 1e20c063 blt ra,sp,800003bc <fail>
+ 800001e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800001e4: 00200293 li t0,2
+ 800001e8: fe5216e3 bne tp,t0,800001d4 <test_9+0x8>
+
+00000000800001ec <test_10>:
+ 800001ec: 00a00193 li gp,10
+ 800001f0: 00000213 li tp,0
+ 800001f4: 00000093 li ra,0
+ 800001f8: fff00113 li sp,-1
+ 800001fc: 00000013 nop
+ 80000200: 1a20ce63 blt ra,sp,800003bc <fail>
+ 80000204: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000208: 00200293 li t0,2
+ 8000020c: fe5214e3 bne tp,t0,800001f4 <test_10+0x8>
+
+0000000080000210 <test_11>:
+ 80000210: 00b00193 li gp,11
+ 80000214: 00000213 li tp,0
+ 80000218: 00000093 li ra,0
+ 8000021c: fff00113 li sp,-1
+ 80000220: 00000013 nop
+ 80000224: 00000013 nop
+ 80000228: 1820ca63 blt ra,sp,800003bc <fail>
+ 8000022c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000230: 00200293 li t0,2
+ 80000234: fe5212e3 bne tp,t0,80000218 <test_11+0x8>
+
+0000000080000238 <test_12>:
+ 80000238: 00c00193 li gp,12
+ 8000023c: 00000213 li tp,0
+ 80000240: 00000093 li ra,0
+ 80000244: 00000013 nop
+ 80000248: fff00113 li sp,-1
+ 8000024c: 1620c863 blt ra,sp,800003bc <fail>
+ 80000250: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000254: 00200293 li t0,2
+ 80000258: fe5214e3 bne tp,t0,80000240 <test_12+0x8>
+
+000000008000025c <test_13>:
+ 8000025c: 00d00193 li gp,13
+ 80000260: 00000213 li tp,0
+ 80000264: 00000093 li ra,0
+ 80000268: 00000013 nop
+ 8000026c: fff00113 li sp,-1
+ 80000270: 00000013 nop
+ 80000274: 1420c463 blt ra,sp,800003bc <fail>
+ 80000278: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000027c: 00200293 li t0,2
+ 80000280: fe5212e3 bne tp,t0,80000264 <test_13+0x8>
+
+0000000080000284 <test_14>:
+ 80000284: 00e00193 li gp,14
+ 80000288: 00000213 li tp,0
+ 8000028c: 00000093 li ra,0
+ 80000290: 00000013 nop
+ 80000294: 00000013 nop
+ 80000298: fff00113 li sp,-1
+ 8000029c: 1220c063 blt ra,sp,800003bc <fail>
+ 800002a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800002a4: 00200293 li t0,2
+ 800002a8: fe5212e3 bne tp,t0,8000028c <test_14+0x8>
+
+00000000800002ac <test_15>:
+ 800002ac: 00f00193 li gp,15
+ 800002b0: 00000213 li tp,0
+ 800002b4: 00000093 li ra,0
+ 800002b8: fff00113 li sp,-1
+ 800002bc: 1020c063 blt ra,sp,800003bc <fail>
+ 800002c0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800002c4: 00200293 li t0,2
+ 800002c8: fe5216e3 bne tp,t0,800002b4 <test_15+0x8>
+
+00000000800002cc <test_16>:
+ 800002cc: 01000193 li gp,16
+ 800002d0: 00000213 li tp,0
+ 800002d4: 00000093 li ra,0
+ 800002d8: fff00113 li sp,-1
+ 800002dc: 00000013 nop
+ 800002e0: 0c20ce63 blt ra,sp,800003bc <fail>
+ 800002e4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800002e8: 00200293 li t0,2
+ 800002ec: fe5214e3 bne tp,t0,800002d4 <test_16+0x8>
+
+00000000800002f0 <test_17>:
+ 800002f0: 01100193 li gp,17
+ 800002f4: 00000213 li tp,0
+ 800002f8: 00000093 li ra,0
+ 800002fc: fff00113 li sp,-1
+ 80000300: 00000013 nop
+ 80000304: 00000013 nop
+ 80000308: 0a20ca63 blt ra,sp,800003bc <fail>
+ 8000030c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000310: 00200293 li t0,2
+ 80000314: fe5212e3 bne tp,t0,800002f8 <test_17+0x8>
+
+0000000080000318 <test_18>:
+ 80000318: 01200193 li gp,18
+ 8000031c: 00000213 li tp,0
+ 80000320: 00000093 li ra,0
+ 80000324: 00000013 nop
+ 80000328: fff00113 li sp,-1
+ 8000032c: 0820c863 blt ra,sp,800003bc <fail>
+ 80000330: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000334: 00200293 li t0,2
+ 80000338: fe5214e3 bne tp,t0,80000320 <test_18+0x8>
+
+000000008000033c <test_19>:
+ 8000033c: 01300193 li gp,19
+ 80000340: 00000213 li tp,0
+ 80000344: 00000093 li ra,0
+ 80000348: 00000013 nop
+ 8000034c: fff00113 li sp,-1
+ 80000350: 00000013 nop
+ 80000354: 0620c463 blt ra,sp,800003bc <fail>
+ 80000358: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000035c: 00200293 li t0,2
+ 80000360: fe5212e3 bne tp,t0,80000344 <test_19+0x8>
+
+0000000080000364 <test_20>:
+ 80000364: 01400193 li gp,20
+ 80000368: 00000213 li tp,0
+ 8000036c: 00000093 li ra,0
+ 80000370: 00000013 nop
+ 80000374: 00000013 nop
+ 80000378: fff00113 li sp,-1
+ 8000037c: 0420c063 blt ra,sp,800003bc <fail>
+ 80000380: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000384: 00200293 li t0,2
+ 80000388: fe5212e3 bne tp,t0,8000036c <test_20+0x8>
+
+000000008000038c <test_21>:
+ 8000038c: 00100093 li ra,1
+ 80000390: 00104a63 bgtz ra,800003a4 <test_21+0x18>
+ 80000394: 00108093 addi ra,ra,1
+ 80000398: 00108093 addi ra,ra,1
+ 8000039c: 00108093 addi ra,ra,1
+ 800003a0: 00108093 addi ra,ra,1
+ 800003a4: 00108093 addi ra,ra,1
+ 800003a8: 00108093 addi ra,ra,1
+ 800003ac: 00300e93 li t4,3
+ 800003b0: 01500193 li gp,21
+ 800003b4: 01d09463 bne ra,t4,800003bc <fail>
+ 800003b8: 00301c63 bne zero,gp,800003d0 <pass>
+
+00000000800003bc <fail>:
+ 800003bc: 0ff0000f fence
+ 800003c0: 00018063 beqz gp,800003c0 <fail+0x4>
+ 800003c4: 00119193 slli gp,gp,0x1
+ 800003c8: 0011e193 ori gp,gp,1
+ 800003cc: 00000073 ecall
+
+00000000800003d0 <pass>:
+ 800003d0: 0ff0000f fence
+ 800003d4: 00100193 li gp,1
+ 800003d8: 00000073 ecall
+ 800003dc: c0001073 unimp
+ 800003e0: 0000 unimp
+ 800003e2: 0000 unimp
+ 800003e4: 0000 unimp
+ 800003e6: 0000 unimp
+ 800003e8: 0000 unimp
+ 800003ea: 0000 unimp
+ 800003ec: 0000 unimp
+ 800003ee: 0000 unimp
+ 800003f0: 0000 unimp
+ 800003f2: 0000 unimp
+ 800003f4: 0000 unimp
+ 800003f6: 0000 unimp
+ 800003f8: 0000 unimp
+ 800003fa: 0000 unimp
+ 800003fc: 0000 unimp
+ 800003fe: 0000 unimp
+ 80000400: 0000 unimp
+ 80000402: 0000 unimp