aboutsummaryrefslogtreecommitdiff
path: root/test/riscv-tests/rv64uf-p-fmadd.dump
diff options
context:
space:
mode:
Diffstat (limited to 'test/riscv-tests/rv64uf-p-fmadd.dump')
-rw-r--r--test/riscv-tests/rv64uf-p-fmadd.dump411
1 files changed, 411 insertions, 0 deletions
diff --git a/test/riscv-tests/rv64uf-p-fmadd.dump b/test/riscv-tests/rv64uf-p-fmadd.dump
new file mode 100644
index 0000000..6257d91
--- /dev/null
+++ b/test/riscv-tests/rv64uf-p-fmadd.dump
@@ -0,0 +1,411 @@
+
+rv64uf-p-fmadd: file format elf64-littleriscv
+
+
+Disassembly of section .text.init:
+
+0000000080000000 <_start>:
+ 80000000: 04c0006f j 8000004c <reset_vector>
+
+0000000080000004 <trap_vector>:
+ 80000004: 34202f73 csrr t5,mcause
+ 80000008: 00800f93 li t6,8
+ 8000000c: 03ff0a63 beq t5,t6,80000040 <write_tohost>
+ 80000010: 00900f93 li t6,9
+ 80000014: 03ff0663 beq t5,t6,80000040 <write_tohost>
+ 80000018: 00b00f93 li t6,11
+ 8000001c: 03ff0263 beq t5,t6,80000040 <write_tohost>
+ 80000020: 80000f17 auipc t5,0x80000
+ 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000>
+ 80000028: 000f0463 beqz t5,80000030 <trap_vector+0x2c>
+ 8000002c: 000f0067 jr t5
+ 80000030: 34202f73 csrr t5,mcause
+ 80000034: 000f5463 bgez t5,8000003c <handle_exception>
+ 80000038: 0040006f j 8000003c <handle_exception>
+
+000000008000003c <handle_exception>:
+ 8000003c: 5391e193 ori gp,gp,1337
+
+0000000080000040 <write_tohost>:
+ 80000040: 00001f17 auipc t5,0x1
+ 80000044: fc3f2023 sw gp,-64(t5) # 80001000 <tohost>
+ 80000048: ff9ff06f j 80000040 <write_tohost>
+
+000000008000004c <reset_vector>:
+ 8000004c: f1402573 csrr a0,mhartid
+ 80000050: 00051063 bnez a0,80000050 <reset_vector+0x4>
+ 80000054: 00000297 auipc t0,0x0
+ 80000058: 01028293 addi t0,t0,16 # 80000064 <reset_vector+0x18>
+ 8000005c: 30529073 csrw mtvec,t0
+ 80000060: 18005073 csrwi satp,0
+ 80000064: 00000297 auipc t0,0x0
+ 80000068: 01c28293 addi t0,t0,28 # 80000080 <reset_vector+0x34>
+ 8000006c: 30529073 csrw mtvec,t0
+ 80000070: fff00293 li t0,-1
+ 80000074: 3b029073 csrw pmpaddr0,t0
+ 80000078: 01f00293 li t0,31
+ 8000007c: 3a029073 csrw pmpcfg0,t0
+ 80000080: 00000297 auipc t0,0x0
+ 80000084: 01828293 addi t0,t0,24 # 80000098 <reset_vector+0x4c>
+ 80000088: 30529073 csrw mtvec,t0
+ 8000008c: 30205073 csrwi medeleg,0
+ 80000090: 30305073 csrwi mideleg,0
+ 80000094: 30405073 csrwi mie,0
+ 80000098: 00000193 li gp,0
+ 8000009c: 00000297 auipc t0,0x0
+ 800000a0: f6828293 addi t0,t0,-152 # 80000004 <trap_vector>
+ 800000a4: 30529073 csrw mtvec,t0
+ 800000a8: 00100513 li a0,1
+ 800000ac: 01f51513 slli a0,a0,0x1f
+ 800000b0: 00055863 bgez a0,800000c0 <reset_vector+0x74>
+ 800000b4: 0ff0000f fence
+ 800000b8: 00100193 li gp,1
+ 800000bc: 00000073 ecall
+ 800000c0: 80000297 auipc t0,0x80000
+ 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000>
+ 800000c8: 00028e63 beqz t0,800000e4 <reset_vector+0x98>
+ 800000cc: 10529073 csrw stvec,t0
+ 800000d0: 0000b2b7 lui t0,0xb
+ 800000d4: 1092829b addiw t0,t0,265
+ 800000d8: 30229073 csrw medeleg,t0
+ 800000dc: 30202373 csrr t1,medeleg
+ 800000e0: f4629ee3 bne t0,t1,8000003c <handle_exception>
+ 800000e4: 30005073 csrwi mstatus,0
+ 800000e8: 00002537 lui a0,0x2
+ 800000ec: 30052073 csrs mstatus,a0
+ 800000f0: 00305073 csrwi fcsr,0
+ 800000f4: 00000297 auipc t0,0x0
+ 800000f8: 01428293 addi t0,t0,20 # 80000108 <test_2>
+ 800000fc: 34129073 csrw mepc,t0
+ 80000100: f1402573 csrr a0,mhartid
+ 80000104: 30200073 mret
+
+0000000080000108 <test_2>:
+ 80000108: 00200193 li gp,2
+ 8000010c: 00002517 auipc a0,0x2
+ 80000110: ef450513 addi a0,a0,-268 # 80002000 <test_2_data>
+ 80000114: 00052007 flw ft0,0(a0)
+ 80000118: 00452087 flw ft1,4(a0)
+ 8000011c: 00852107 flw ft2,8(a0)
+ 80000120: 00c52683 lw a3,12(a0)
+ 80000124: 101071c3 fmadd.s ft3,ft0,ft1,ft2
+ 80000128: e0018553 fmv.x.w a0,ft3
+ 8000012c: 001015f3 fsflags a1,zero
+ 80000130: 00000613 li a2,0
+ 80000134: 24d51463 bne a0,a3,8000037c <fail>
+ 80000138: 24c59263 bne a1,a2,8000037c <fail>
+
+000000008000013c <test_3>:
+ 8000013c: 00300193 li gp,3
+ 80000140: 00002517 auipc a0,0x2
+ 80000144: ed050513 addi a0,a0,-304 # 80002010 <test_3_data>
+ 80000148: 00052007 flw ft0,0(a0)
+ 8000014c: 00452087 flw ft1,4(a0)
+ 80000150: 00852107 flw ft2,8(a0)
+ 80000154: 00c52683 lw a3,12(a0)
+ 80000158: 101071c3 fmadd.s ft3,ft0,ft1,ft2
+ 8000015c: e0018553 fmv.x.w a0,ft3
+ 80000160: 001015f3 fsflags a1,zero
+ 80000164: 00100613 li a2,1
+ 80000168: 20d51a63 bne a0,a3,8000037c <fail>
+ 8000016c: 20c59863 bne a1,a2,8000037c <fail>
+
+0000000080000170 <test_4>:
+ 80000170: 00400193 li gp,4
+ 80000174: 00002517 auipc a0,0x2
+ 80000178: eac50513 addi a0,a0,-340 # 80002020 <test_4_data>
+ 8000017c: 00052007 flw ft0,0(a0)
+ 80000180: 00452087 flw ft1,4(a0)
+ 80000184: 00852107 flw ft2,8(a0)
+ 80000188: 00c52683 lw a3,12(a0)
+ 8000018c: 101071c3 fmadd.s ft3,ft0,ft1,ft2
+ 80000190: e0018553 fmv.x.w a0,ft3
+ 80000194: 001015f3 fsflags a1,zero
+ 80000198: 00000613 li a2,0
+ 8000019c: 1ed51063 bne a0,a3,8000037c <fail>
+ 800001a0: 1cc59e63 bne a1,a2,8000037c <fail>
+
+00000000800001a4 <test_5>:
+ 800001a4: 00500193 li gp,5
+ 800001a8: 00002517 auipc a0,0x2
+ 800001ac: e8850513 addi a0,a0,-376 # 80002030 <test_5_data>
+ 800001b0: 00052007 flw ft0,0(a0)
+ 800001b4: 00452087 flw ft1,4(a0)
+ 800001b8: 00852107 flw ft2,8(a0)
+ 800001bc: 00c52683 lw a3,12(a0)
+ 800001c0: 101071cf fnmadd.s ft3,ft0,ft1,ft2
+ 800001c4: e0018553 fmv.x.w a0,ft3
+ 800001c8: 001015f3 fsflags a1,zero
+ 800001cc: 00000613 li a2,0
+ 800001d0: 1ad51663 bne a0,a3,8000037c <fail>
+ 800001d4: 1ac59463 bne a1,a2,8000037c <fail>
+
+00000000800001d8 <test_6>:
+ 800001d8: 00600193 li gp,6
+ 800001dc: 00002517 auipc a0,0x2
+ 800001e0: e6450513 addi a0,a0,-412 # 80002040 <test_6_data>
+ 800001e4: 00052007 flw ft0,0(a0)
+ 800001e8: 00452087 flw ft1,4(a0)
+ 800001ec: 00852107 flw ft2,8(a0)
+ 800001f0: 00c52683 lw a3,12(a0)
+ 800001f4: 101071cf fnmadd.s ft3,ft0,ft1,ft2
+ 800001f8: e0018553 fmv.x.w a0,ft3
+ 800001fc: 001015f3 fsflags a1,zero
+ 80000200: 00100613 li a2,1
+ 80000204: 16d51c63 bne a0,a3,8000037c <fail>
+ 80000208: 16c59a63 bne a1,a2,8000037c <fail>
+
+000000008000020c <test_7>:
+ 8000020c: 00700193 li gp,7
+ 80000210: 00002517 auipc a0,0x2
+ 80000214: e4050513 addi a0,a0,-448 # 80002050 <test_7_data>
+ 80000218: 00052007 flw ft0,0(a0)
+ 8000021c: 00452087 flw ft1,4(a0)
+ 80000220: 00852107 flw ft2,8(a0)
+ 80000224: 00c52683 lw a3,12(a0)
+ 80000228: 101071cf fnmadd.s ft3,ft0,ft1,ft2
+ 8000022c: e0018553 fmv.x.w a0,ft3
+ 80000230: 001015f3 fsflags a1,zero
+ 80000234: 00000613 li a2,0
+ 80000238: 14d51263 bne a0,a3,8000037c <fail>
+ 8000023c: 14c59063 bne a1,a2,8000037c <fail>
+
+0000000080000240 <test_8>:
+ 80000240: 00800193 li gp,8
+ 80000244: 00002517 auipc a0,0x2
+ 80000248: e1c50513 addi a0,a0,-484 # 80002060 <test_8_data>
+ 8000024c: 00052007 flw ft0,0(a0)
+ 80000250: 00452087 flw ft1,4(a0)
+ 80000254: 00852107 flw ft2,8(a0)
+ 80000258: 00c52683 lw a3,12(a0)
+ 8000025c: 101071c7 fmsub.s ft3,ft0,ft1,ft2
+ 80000260: e0018553 fmv.x.w a0,ft3
+ 80000264: 001015f3 fsflags a1,zero
+ 80000268: 00000613 li a2,0
+ 8000026c: 10d51863 bne a0,a3,8000037c <fail>
+ 80000270: 10c59663 bne a1,a2,8000037c <fail>
+
+0000000080000274 <test_9>:
+ 80000274: 00900193 li gp,9
+ 80000278: 00002517 auipc a0,0x2
+ 8000027c: df850513 addi a0,a0,-520 # 80002070 <test_9_data>
+ 80000280: 00052007 flw ft0,0(a0)
+ 80000284: 00452087 flw ft1,4(a0)
+ 80000288: 00852107 flw ft2,8(a0)
+ 8000028c: 00c52683 lw a3,12(a0)
+ 80000290: 101071c7 fmsub.s ft3,ft0,ft1,ft2
+ 80000294: e0018553 fmv.x.w a0,ft3
+ 80000298: 001015f3 fsflags a1,zero
+ 8000029c: 00100613 li a2,1
+ 800002a0: 0cd51e63 bne a0,a3,8000037c <fail>
+ 800002a4: 0cc59c63 bne a1,a2,8000037c <fail>
+
+00000000800002a8 <test_10>:
+ 800002a8: 00a00193 li gp,10
+ 800002ac: 00002517 auipc a0,0x2
+ 800002b0: dd450513 addi a0,a0,-556 # 80002080 <test_10_data>
+ 800002b4: 00052007 flw ft0,0(a0)
+ 800002b8: 00452087 flw ft1,4(a0)
+ 800002bc: 00852107 flw ft2,8(a0)
+ 800002c0: 00c52683 lw a3,12(a0)
+ 800002c4: 101071c7 fmsub.s ft3,ft0,ft1,ft2
+ 800002c8: e0018553 fmv.x.w a0,ft3
+ 800002cc: 001015f3 fsflags a1,zero
+ 800002d0: 00000613 li a2,0
+ 800002d4: 0ad51463 bne a0,a3,8000037c <fail>
+ 800002d8: 0ac59263 bne a1,a2,8000037c <fail>
+
+00000000800002dc <test_11>:
+ 800002dc: 00b00193 li gp,11
+ 800002e0: 00002517 auipc a0,0x2
+ 800002e4: db050513 addi a0,a0,-592 # 80002090 <test_11_data>
+ 800002e8: 00052007 flw ft0,0(a0)
+ 800002ec: 00452087 flw ft1,4(a0)
+ 800002f0: 00852107 flw ft2,8(a0)
+ 800002f4: 00c52683 lw a3,12(a0)
+ 800002f8: 101071cb fnmsub.s ft3,ft0,ft1,ft2
+ 800002fc: e0018553 fmv.x.w a0,ft3
+ 80000300: 001015f3 fsflags a1,zero
+ 80000304: 00000613 li a2,0
+ 80000308: 06d51a63 bne a0,a3,8000037c <fail>
+ 8000030c: 06c59863 bne a1,a2,8000037c <fail>
+
+0000000080000310 <test_12>:
+ 80000310: 00c00193 li gp,12
+ 80000314: 00002517 auipc a0,0x2
+ 80000318: d8c50513 addi a0,a0,-628 # 800020a0 <test_12_data>
+ 8000031c: 00052007 flw ft0,0(a0)
+ 80000320: 00452087 flw ft1,4(a0)
+ 80000324: 00852107 flw ft2,8(a0)
+ 80000328: 00c52683 lw a3,12(a0)
+ 8000032c: 101071cb fnmsub.s ft3,ft0,ft1,ft2
+ 80000330: e0018553 fmv.x.w a0,ft3
+ 80000334: 001015f3 fsflags a1,zero
+ 80000338: 00100613 li a2,1
+ 8000033c: 04d51063 bne a0,a3,8000037c <fail>
+ 80000340: 02c59e63 bne a1,a2,8000037c <fail>
+
+0000000080000344 <test_13>:
+ 80000344: 00d00193 li gp,13
+ 80000348: 00002517 auipc a0,0x2
+ 8000034c: d6850513 addi a0,a0,-664 # 800020b0 <test_13_data>
+ 80000350: 00052007 flw ft0,0(a0)
+ 80000354: 00452087 flw ft1,4(a0)
+ 80000358: 00852107 flw ft2,8(a0)
+ 8000035c: 00c52683 lw a3,12(a0)
+ 80000360: 101071cb fnmsub.s ft3,ft0,ft1,ft2
+ 80000364: e0018553 fmv.x.w a0,ft3
+ 80000368: 001015f3 fsflags a1,zero
+ 8000036c: 00000613 li a2,0
+ 80000370: 00d51663 bne a0,a3,8000037c <fail>
+ 80000374: 00c59463 bne a1,a2,8000037c <fail>
+ 80000378: 00301c63 bne zero,gp,80000390 <pass>
+
+000000008000037c <fail>:
+ 8000037c: 0ff0000f fence
+ 80000380: 00018063 beqz gp,80000380 <fail+0x4>
+ 80000384: 00119193 slli gp,gp,0x1
+ 80000388: 0011e193 ori gp,gp,1
+ 8000038c: 00000073 ecall
+
+0000000080000390 <pass>:
+ 80000390: 0ff0000f fence
+ 80000394: 00100193 li gp,1
+ 80000398: 00000073 ecall
+ 8000039c: c0001073 unimp
+ 800003a0: 0000 unimp
+ 800003a2: 0000 unimp
+ 800003a4: 0000 unimp
+ 800003a6: 0000 unimp
+ 800003a8: 0000 unimp
+ 800003aa: 0000 unimp
+ 800003ac: 0000 unimp
+ 800003ae: 0000 unimp
+ 800003b0: 0000 unimp
+ 800003b2: 0000 unimp
+ 800003b4: 0000 unimp
+ 800003b6: 0000 unimp
+ 800003b8: 0000 unimp
+ 800003ba: 0000 unimp
+ 800003bc: 0000 unimp
+ 800003be: 0000 unimp
+ 800003c0: 0000 unimp
+ 800003c2: 0000 unimp
+
+Disassembly of section .data:
+
+0000000080002000 <test_2_data>:
+ 80002000: 0000 unimp
+ 80002002: 3f80 fld fs0,56(a5)
+ 80002004: 0000 unimp
+ 80002006: 4020 lw s0,64(s0)
+ 80002008: 0000 unimp
+ 8000200a: 3f80 fld fs0,56(a5)
+ 8000200c: 0000 unimp
+ 8000200e: 4060 lw s0,68(s0)
+
+0000000080002010 <test_3_data>:
+ 80002010: 0000 unimp
+ 80002012: bf80 fsd fs0,56(a5)
+ 80002014: c49a6333 0xc49a6333
+ 80002018: cccd beqz s1,800020d2 <_end+0x12>
+ 8000201a: 3f8c fld fa1,56(a5)
+ 8000201c: 8666 mv a2,s9
+ 8000201e: 449a lw s1,132(sp)
+
+0000000080002020 <test_4_data>:
+ 80002020: 0000 unimp
+ 80002022: 4000 lw s0,0(s0)
+ 80002024: 0000 unimp
+ 80002026: c0a0 sw s0,64(s1)
+ 80002028: 0000 unimp
+ 8000202a: c000 sw s0,0(s0)
+ 8000202c: 0000 unimp
+ 8000202e: c140 sw s0,4(a0)
+
+0000000080002030 <test_5_data>:
+ 80002030: 0000 unimp
+ 80002032: 3f80 fld fs0,56(a5)
+ 80002034: 0000 unimp
+ 80002036: 4020 lw s0,64(s0)
+ 80002038: 0000 unimp
+ 8000203a: 3f80 fld fs0,56(a5)
+ 8000203c: 0000 unimp
+ 8000203e: c060 sw s0,68(s0)
+
+0000000080002040 <test_6_data>:
+ 80002040: 0000 unimp
+ 80002042: bf80 fsd fs0,56(a5)
+ 80002044: c49a6333 0xc49a6333
+ 80002048: cccd beqz s1,80002102 <_end+0x42>
+ 8000204a: 3f8c fld fa1,56(a5)
+ 8000204c: 8666 mv a2,s9
+ 8000204e: c49a sw t1,72(sp)
+
+0000000080002050 <test_7_data>:
+ 80002050: 0000 unimp
+ 80002052: 4000 lw s0,0(s0)
+ 80002054: 0000 unimp
+ 80002056: c0a0 sw s0,64(s1)
+ 80002058: 0000 unimp
+ 8000205a: c000 sw s0,0(s0)
+ 8000205c: 0000 unimp
+ 8000205e: 4140 lw s0,4(a0)
+
+0000000080002060 <test_8_data>:
+ 80002060: 0000 unimp
+ 80002062: 3f80 fld fs0,56(a5)
+ 80002064: 0000 unimp
+ 80002066: 4020 lw s0,64(s0)
+ 80002068: 0000 unimp
+ 8000206a: 3f80 fld fs0,56(a5)
+ 8000206c: 0000 unimp
+ 8000206e: 3fc0 fld fs0,184(a5)
+
+0000000080002070 <test_9_data>:
+ 80002070: 0000 unimp
+ 80002072: bf80 fsd fs0,56(a5)
+ 80002074: c49a6333 0xc49a6333
+ 80002078: cccd beqz s1,80002132 <_end+0x72>
+ 8000207a: 3f8c fld fa1,56(a5)
+ 8000207c: 4000 lw s0,0(s0)
+ 8000207e: 449a lw s1,132(sp)
+
+0000000080002080 <test_10_data>:
+ 80002080: 0000 unimp
+ 80002082: 4000 lw s0,0(s0)
+ 80002084: 0000 unimp
+ 80002086: c0a0 sw s0,64(s1)
+ 80002088: 0000 unimp
+ 8000208a: c000 sw s0,0(s0)
+ 8000208c: 0000 unimp
+ 8000208e: c100 sw s0,0(a0)
+
+0000000080002090 <test_11_data>:
+ 80002090: 0000 unimp
+ 80002092: 3f80 fld fs0,56(a5)
+ 80002094: 0000 unimp
+ 80002096: 4020 lw s0,64(s0)
+ 80002098: 0000 unimp
+ 8000209a: 3f80 fld fs0,56(a5)
+ 8000209c: 0000 unimp
+ 8000209e: bfc0 fsd fs0,184(a5)
+
+00000000800020a0 <test_12_data>:
+ 800020a0: 0000 unimp
+ 800020a2: bf80 fsd fs0,56(a5)
+ 800020a4: c49a6333 0xc49a6333
+ 800020a8: cccd beqz s1,80002162 <_end+0xa2>
+ 800020aa: 3f8c fld fa1,56(a5)
+ 800020ac: 4000 lw s0,0(s0)
+ 800020ae: c49a sw t1,72(sp)
+
+00000000800020b0 <test_13_data>:
+ 800020b0: 0000 unimp
+ 800020b2: 4000 lw s0,0(s0)
+ 800020b4: 0000 unimp
+ 800020b6: c0a0 sw s0,64(s1)
+ 800020b8: 0000 unimp
+ 800020ba: c000 sw s0,0(s0)
+ 800020bc: 0000 unimp
+ 800020be: 4100 lw s0,0(a0)