aboutsummaryrefslogtreecommitdiff
path: root/test/riscv-tests/rv64ud-p-fdiv.dump
diff options
context:
space:
mode:
Diffstat (limited to 'test/riscv-tests/rv64ud-p-fdiv.dump')
-rw-r--r--test/riscv-tests/rv64ud-p-fdiv.dump375
1 files changed, 375 insertions, 0 deletions
diff --git a/test/riscv-tests/rv64ud-p-fdiv.dump b/test/riscv-tests/rv64ud-p-fdiv.dump
new file mode 100644
index 0000000..ee1330c
--- /dev/null
+++ b/test/riscv-tests/rv64ud-p-fdiv.dump
@@ -0,0 +1,375 @@
+
+rv64ud-p-fdiv: file format elf64-littleriscv
+
+
+Disassembly of section .text.init:
+
+0000000080000000 <_start>:
+ 80000000: 04c0006f j 8000004c <reset_vector>
+
+0000000080000004 <trap_vector>:
+ 80000004: 34202f73 csrr t5,mcause
+ 80000008: 00800f93 li t6,8
+ 8000000c: 03ff0a63 beq t5,t6,80000040 <write_tohost>
+ 80000010: 00900f93 li t6,9
+ 80000014: 03ff0663 beq t5,t6,80000040 <write_tohost>
+ 80000018: 00b00f93 li t6,11
+ 8000001c: 03ff0263 beq t5,t6,80000040 <write_tohost>
+ 80000020: 80000f17 auipc t5,0x80000
+ 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000>
+ 80000028: 000f0463 beqz t5,80000030 <trap_vector+0x2c>
+ 8000002c: 000f0067 jr t5
+ 80000030: 34202f73 csrr t5,mcause
+ 80000034: 000f5463 bgez t5,8000003c <handle_exception>
+ 80000038: 0040006f j 8000003c <handle_exception>
+
+000000008000003c <handle_exception>:
+ 8000003c: 5391e193 ori gp,gp,1337
+
+0000000080000040 <write_tohost>:
+ 80000040: 00001f17 auipc t5,0x1
+ 80000044: fc3f2023 sw gp,-64(t5) # 80001000 <tohost>
+ 80000048: ff9ff06f j 80000040 <write_tohost>
+
+000000008000004c <reset_vector>:
+ 8000004c: f1402573 csrr a0,mhartid
+ 80000050: 00051063 bnez a0,80000050 <reset_vector+0x4>
+ 80000054: 00000297 auipc t0,0x0
+ 80000058: 01028293 addi t0,t0,16 # 80000064 <reset_vector+0x18>
+ 8000005c: 30529073 csrw mtvec,t0
+ 80000060: 18005073 csrwi satp,0
+ 80000064: 00000297 auipc t0,0x0
+ 80000068: 01c28293 addi t0,t0,28 # 80000080 <reset_vector+0x34>
+ 8000006c: 30529073 csrw mtvec,t0
+ 80000070: fff00293 li t0,-1
+ 80000074: 3b029073 csrw pmpaddr0,t0
+ 80000078: 01f00293 li t0,31
+ 8000007c: 3a029073 csrw pmpcfg0,t0
+ 80000080: 00000297 auipc t0,0x0
+ 80000084: 01828293 addi t0,t0,24 # 80000098 <reset_vector+0x4c>
+ 80000088: 30529073 csrw mtvec,t0
+ 8000008c: 30205073 csrwi medeleg,0
+ 80000090: 30305073 csrwi mideleg,0
+ 80000094: 30405073 csrwi mie,0
+ 80000098: 00000193 li gp,0
+ 8000009c: 00000297 auipc t0,0x0
+ 800000a0: f6828293 addi t0,t0,-152 # 80000004 <trap_vector>
+ 800000a4: 30529073 csrw mtvec,t0
+ 800000a8: 00100513 li a0,1
+ 800000ac: 01f51513 slli a0,a0,0x1f
+ 800000b0: 00055863 bgez a0,800000c0 <reset_vector+0x74>
+ 800000b4: 0ff0000f fence
+ 800000b8: 00100193 li gp,1
+ 800000bc: 00000073 ecall
+ 800000c0: 80000297 auipc t0,0x80000
+ 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000>
+ 800000c8: 00028e63 beqz t0,800000e4 <reset_vector+0x98>
+ 800000cc: 10529073 csrw stvec,t0
+ 800000d0: 0000b2b7 lui t0,0xb
+ 800000d4: 1092829b addiw t0,t0,265
+ 800000d8: 30229073 csrw medeleg,t0
+ 800000dc: 30202373 csrr t1,medeleg
+ 800000e0: f4629ee3 bne t0,t1,8000003c <handle_exception>
+ 800000e4: 30005073 csrwi mstatus,0
+ 800000e8: 00002537 lui a0,0x2
+ 800000ec: 30052073 csrs mstatus,a0
+ 800000f0: 00305073 csrwi fcsr,0
+ 800000f4: 00000297 auipc t0,0x0
+ 800000f8: 01428293 addi t0,t0,20 # 80000108 <test_2>
+ 800000fc: 34129073 csrw mepc,t0
+ 80000100: f1402573 csrr a0,mhartid
+ 80000104: 30200073 mret
+
+0000000080000108 <test_2>:
+ 80000108: 00200193 li gp,2
+ 8000010c: 00002517 auipc a0,0x2
+ 80000110: ef450513 addi a0,a0,-268 # 80002000 <test_2_data>
+ 80000114: 00053007 fld ft0,0(a0)
+ 80000118: 00853087 fld ft1,8(a0)
+ 8000011c: 01053107 fld ft2,16(a0)
+ 80000120: 01853683 ld a3,24(a0)
+ 80000124: 1a1071d3 fdiv.d ft3,ft0,ft1
+ 80000128: e2018553 fmv.x.d a0,ft3
+ 8000012c: 001015f3 fsflags a1,zero
+ 80000130: 00100613 li a2,1
+ 80000134: 16d51c63 bne a0,a3,800002ac <fail>
+ 80000138: 16c59a63 bne a1,a2,800002ac <fail>
+
+000000008000013c <test_3>:
+ 8000013c: 00300193 li gp,3
+ 80000140: 00002517 auipc a0,0x2
+ 80000144: ee050513 addi a0,a0,-288 # 80002020 <test_3_data>
+ 80000148: 00053007 fld ft0,0(a0)
+ 8000014c: 00853087 fld ft1,8(a0)
+ 80000150: 01053107 fld ft2,16(a0)
+ 80000154: 01853683 ld a3,24(a0)
+ 80000158: 1a1071d3 fdiv.d ft3,ft0,ft1
+ 8000015c: e2018553 fmv.x.d a0,ft3
+ 80000160: 001015f3 fsflags a1,zero
+ 80000164: 00100613 li a2,1
+ 80000168: 14d51263 bne a0,a3,800002ac <fail>
+ 8000016c: 14c59063 bne a1,a2,800002ac <fail>
+
+0000000080000170 <test_4>:
+ 80000170: 00400193 li gp,4
+ 80000174: 00002517 auipc a0,0x2
+ 80000178: ecc50513 addi a0,a0,-308 # 80002040 <test_4_data>
+ 8000017c: 00053007 fld ft0,0(a0)
+ 80000180: 00853087 fld ft1,8(a0)
+ 80000184: 01053107 fld ft2,16(a0)
+ 80000188: 01853683 ld a3,24(a0)
+ 8000018c: 1a1071d3 fdiv.d ft3,ft0,ft1
+ 80000190: e2018553 fmv.x.d a0,ft3
+ 80000194: 001015f3 fsflags a1,zero
+ 80000198: 00000613 li a2,0
+ 8000019c: 10d51863 bne a0,a3,800002ac <fail>
+ 800001a0: 10c59663 bne a1,a2,800002ac <fail>
+
+00000000800001a4 <test_5>:
+ 800001a4: 00500193 li gp,5
+ 800001a8: 00002517 auipc a0,0x2
+ 800001ac: eb850513 addi a0,a0,-328 # 80002060 <test_5_data>
+ 800001b0: 00053007 fld ft0,0(a0)
+ 800001b4: 00853087 fld ft1,8(a0)
+ 800001b8: 01053107 fld ft2,16(a0)
+ 800001bc: 01853683 ld a3,24(a0)
+ 800001c0: 5a0071d3 fsqrt.d ft3,ft0
+ 800001c4: e2018553 fmv.x.d a0,ft3
+ 800001c8: 001015f3 fsflags a1,zero
+ 800001cc: 00100613 li a2,1
+ 800001d0: 0cd51e63 bne a0,a3,800002ac <fail>
+ 800001d4: 0cc59c63 bne a1,a2,800002ac <fail>
+
+00000000800001d8 <test_6>:
+ 800001d8: 00600193 li gp,6
+ 800001dc: 00002517 auipc a0,0x2
+ 800001e0: ea450513 addi a0,a0,-348 # 80002080 <test_6_data>
+ 800001e4: 00053007 fld ft0,0(a0)
+ 800001e8: 00853087 fld ft1,8(a0)
+ 800001ec: 01053107 fld ft2,16(a0)
+ 800001f0: 01853683 ld a3,24(a0)
+ 800001f4: 5a0071d3 fsqrt.d ft3,ft0
+ 800001f8: e2018553 fmv.x.d a0,ft3
+ 800001fc: 001015f3 fsflags a1,zero
+ 80000200: 00000613 li a2,0
+ 80000204: 0ad51463 bne a0,a3,800002ac <fail>
+ 80000208: 0ac59263 bne a1,a2,800002ac <fail>
+
+000000008000020c <test_16>:
+ 8000020c: 01000193 li gp,16
+ 80000210: 00002517 auipc a0,0x2
+ 80000214: e9050513 addi a0,a0,-368 # 800020a0 <test_16_data>
+ 80000218: 00053007 fld ft0,0(a0)
+ 8000021c: 00853087 fld ft1,8(a0)
+ 80000220: 01053107 fld ft2,16(a0)
+ 80000224: 01853683 ld a3,24(a0)
+ 80000228: 5a0071d3 fsqrt.d ft3,ft0
+ 8000022c: e2018553 fmv.x.d a0,ft3
+ 80000230: 001015f3 fsflags a1,zero
+ 80000234: 01000613 li a2,16
+ 80000238: 06d51a63 bne a0,a3,800002ac <fail>
+ 8000023c: 06c59863 bne a1,a2,800002ac <fail>
+
+0000000080000240 <test_7>:
+ 80000240: 00700193 li gp,7
+ 80000244: 00002517 auipc a0,0x2
+ 80000248: e7c50513 addi a0,a0,-388 # 800020c0 <test_7_data>
+ 8000024c: 00053007 fld ft0,0(a0)
+ 80000250: 00853087 fld ft1,8(a0)
+ 80000254: 01053107 fld ft2,16(a0)
+ 80000258: 01853683 ld a3,24(a0)
+ 8000025c: 5a0071d3 fsqrt.d ft3,ft0
+ 80000260: e2018553 fmv.x.d a0,ft3
+ 80000264: 001015f3 fsflags a1,zero
+ 80000268: 00100613 li a2,1
+ 8000026c: 04d51063 bne a0,a3,800002ac <fail>
+ 80000270: 02c59e63 bne a1,a2,800002ac <fail>
+
+0000000080000274 <test_8>:
+ 80000274: 00800193 li gp,8
+ 80000278: 00002517 auipc a0,0x2
+ 8000027c: e6850513 addi a0,a0,-408 # 800020e0 <test_8_data>
+ 80000280: 00053007 fld ft0,0(a0)
+ 80000284: 00853087 fld ft1,8(a0)
+ 80000288: 01053107 fld ft2,16(a0)
+ 8000028c: 01853683 ld a3,24(a0)
+ 80000290: 5a0071d3 fsqrt.d ft3,ft0
+ 80000294: e2018553 fmv.x.d a0,ft3
+ 80000298: 001015f3 fsflags a1,zero
+ 8000029c: 00100613 li a2,1
+ 800002a0: 00d51663 bne a0,a3,800002ac <fail>
+ 800002a4: 00c59463 bne a1,a2,800002ac <fail>
+ 800002a8: 00301c63 bne zero,gp,800002c0 <pass>
+
+00000000800002ac <fail>:
+ 800002ac: 0ff0000f fence
+ 800002b0: 00018063 beqz gp,800002b0 <fail+0x4>
+ 800002b4: 00119193 slli gp,gp,0x1
+ 800002b8: 0011e193 ori gp,gp,1
+ 800002bc: 00000073 ecall
+
+00000000800002c0 <pass>:
+ 800002c0: 0ff0000f fence
+ 800002c4: 00100193 li gp,1
+ 800002c8: 00000073 ecall
+ 800002cc: c0001073 unimp
+ 800002d0: 0000 unimp
+ 800002d2: 0000 unimp
+ 800002d4: 0000 unimp
+ 800002d6: 0000 unimp
+ 800002d8: 0000 unimp
+ 800002da: 0000 unimp
+ 800002dc: 0000 unimp
+ 800002de: 0000 unimp
+ 800002e0: 0000 unimp
+ 800002e2: 0000 unimp
+ 800002e4: 0000 unimp
+ 800002e6: 0000 unimp
+ 800002e8: 0000 unimp
+ 800002ea: 0000 unimp
+ 800002ec: 0000 unimp
+ 800002ee: 0000 unimp
+ 800002f0: 0000 unimp
+ 800002f2: 0000 unimp
+ 800002f4: 0000 unimp
+ 800002f6: 0000 unimp
+ 800002f8: 0000 unimp
+ 800002fa: 0000 unimp
+ 800002fc: 0000 unimp
+ 800002fe: 0000 unimp
+ 80000300: 0000 unimp
+ 80000302: 0000 unimp
+
+Disassembly of section .data:
+
+0000000080002000 <test_2_data>:
+ 80002000: d4f1 beqz s1,80001fcc <fromhost+0xf8c>
+ 80002002: 53c8 lw a0,36(a5)
+ 80002004: 400921fb 0x400921fb
+ 80002008: b0dd j 800018ee <fromhost+0x8ae>
+ 8000200a: 89f1 andi a1,a1,28
+ 8000200c: bf0a fsd ft2,440(sp)
+ 8000200e: 4005 c.li zero,1
+ 80002010: 0000 unimp
+ 80002012: 0000 unimp
+ 80002014: 0000 unimp
+ 80002016: 0000 unimp
+ 80002018: 83ec 0x83ec
+ 8000201a: 7ddbf6c3 0x7ddbf6c3
+ 8000201e: 3ff2 fld ft11,312(sp)
+
+0000000080002020 <test_3_data>:
+ 80002020: 0000 unimp
+ 80002022: 0000 unimp
+ 80002024: 4800 lw s0,16(s0)
+ 80002026: 6666c093 xori ra,a3,1638
+ 8000202a: 6666 ld a2,88(sp)
+ 8000202c: 4c66 lw s8,88(sp)
+ 8000202e: 00004093 xori ra,zero,0
+ 80002032: 0000 unimp
+ 80002034: 0000 unimp
+ 80002036: 0000 unimp
+ 80002038: 29a5 addiw s3,s3,9
+ 8000203a: 3e19 addiw t3,t3,-26
+ 8000203c: f8b4 sd a3,112(s1)
+ 8000203e: jal t6,7ff1dd8c <_start-0xe2274>
+
+0000000080002040 <test_4_data>:
+ 80002040: d4f1 beqz s1,8000200c <test_2_data+0xc>
+ 80002042: 53c8 lw a0,36(a5)
+ 80002044: 400921fb 0x400921fb
+ 80002048: 0000 unimp
+ 8000204a: 0000 unimp
+ 8000204c: 0000 unimp
+ 8000204e: 3ff0 fld fa2,248(a5)
+ 80002050: 0000 unimp
+ 80002052: 0000 unimp
+ 80002054: 0000 unimp
+ 80002056: 0000 unimp
+ 80002058: d4f1 beqz s1,80002024 <test_3_data+0x4>
+ 8000205a: 53c8 lw a0,36(a5)
+ 8000205c: 400921fb 0x400921fb
+
+0000000080002060 <test_5_data>:
+ 80002060: d4f1 beqz s1,8000202c <test_3_data+0xc>
+ 80002062: 53c8 lw a0,36(a5)
+ 80002064: 400921fb 0x400921fb
+ 80002068: 0000 unimp
+ 8000206a: 0000 unimp
+ 8000206c: 0000 unimp
+ 8000206e: 0000 unimp
+ 80002070: 0000 unimp
+ 80002072: 0000 unimp
+ 80002074: 0000 unimp
+ 80002076: 0000 unimp
+ 80002078: 916f587b 0x916f587b
+ 8000207c: 5bf8 lw a4,116(a5)
+ 8000207e: 3ffc fld fa5,248(a5)
+
+0000000080002080 <test_6_data>:
+ 80002080: 0000 unimp
+ 80002082: 0000 unimp
+ 80002084: 8800 0x8800
+ 80002086: 000040c3 fmadd.s ft1,ft0,ft0,ft0,rmm
+ 8000208a: 0000 unimp
+ 8000208c: 0000 unimp
+ 8000208e: 0000 unimp
+ 80002090: 0000 unimp
+ 80002092: 0000 unimp
+ 80002094: 0000 unimp
+ 80002096: 0000 unimp
+ 80002098: 0000 unimp
+ 8000209a: 0000 unimp
+ 8000209c: 0000 unimp
+ 8000209e: 4059 c.li zero,22
+
+00000000800020a0 <test_16_data>:
+ 800020a0: 0000 unimp
+ 800020a2: 0000 unimp
+ 800020a4: 0000 unimp
+ 800020a6: bff0 fsd fa2,248(a5)
+ 800020a8: 0000 unimp
+ 800020aa: 0000 unimp
+ 800020ac: 0000 unimp
+ 800020ae: 0000 unimp
+ 800020b0: 0000 unimp
+ 800020b2: 0000 unimp
+ 800020b4: 0000 unimp
+ 800020b6: 0000 unimp
+ 800020b8: 0000 unimp
+ 800020ba: 0000 unimp
+ 800020bc: 0000 unimp
+ 800020be: 7ff8 ld a4,248(a5)
+
+00000000800020c0 <test_7_data>:
+ 800020c0: 0000 unimp
+ 800020c2: 0000 unimp
+ 800020c4: 6000 ld s0,0(s0)
+ 800020c6: 4065 c.li zero,25
+ 800020c8: 0000 unimp
+ 800020ca: 0000 unimp
+ 800020cc: 0000 unimp
+ 800020ce: 0000 unimp
+ 800020d0: 0000 unimp
+ 800020d2: 0000 unimp
+ 800020d4: 0000 unimp
+ 800020d6: 0000 unimp
+ 800020d8: 74f5 lui s1,0xffffd
+ 800020da: ce96 sw t0,92(sp)
+ 800020dc: 2744 fld fs1,136(a4)
+ 800020de: 402a 0x402a
+
+00000000800020e0 <test_8_data>:
+ 800020e0: a105 j 80002500 <_end+0x400>
+ 800020e2: c70a sw sp,140(sp)
+ 800020e4: 94df 3e85 0000 0x3e8594df
+ 800020ea: 0000 unimp
+ 800020ec: 0000 unimp
+ 800020ee: 0000 unimp
+ 800020f0: 0000 unimp
+ 800020f2: 0000 unimp
+ 800020f4: 0000 unimp
+ 800020f6: 0000 unimp
+ 800020f8: 7f99 lui t6,0xfffe6
+ 800020fa: 4789c0e3 blt s3,s8,80002d5a <_end+0xc5a>
+ 800020fe: 3f3a fld ft10,424(sp)