aboutsummaryrefslogtreecommitdiff
path: root/test/riscv-tests/rv64ud-p-fcmp.dump
diff options
context:
space:
mode:
Diffstat (limited to 'test/riscv-tests/rv64ud-p-fcmp.dump')
-rw-r--r--test/riscv-tests/rv64ud-p-fcmp.dump561
1 files changed, 561 insertions, 0 deletions
diff --git a/test/riscv-tests/rv64ud-p-fcmp.dump b/test/riscv-tests/rv64ud-p-fcmp.dump
new file mode 100644
index 0000000..3598543
--- /dev/null
+++ b/test/riscv-tests/rv64ud-p-fcmp.dump
@@ -0,0 +1,561 @@
+
+rv64ud-p-fcmp: file format elf64-littleriscv
+
+
+Disassembly of section .text.init:
+
+0000000080000000 <_start>:
+ 80000000: 04c0006f j 8000004c <reset_vector>
+
+0000000080000004 <trap_vector>:
+ 80000004: 34202f73 csrr t5,mcause
+ 80000008: 00800f93 li t6,8
+ 8000000c: 03ff0a63 beq t5,t6,80000040 <write_tohost>
+ 80000010: 00900f93 li t6,9
+ 80000014: 03ff0663 beq t5,t6,80000040 <write_tohost>
+ 80000018: 00b00f93 li t6,11
+ 8000001c: 03ff0263 beq t5,t6,80000040 <write_tohost>
+ 80000020: 80000f17 auipc t5,0x80000
+ 80000024: fe0f0f13 addi t5,t5,-32 # 0 <_start-0x80000000>
+ 80000028: 000f0463 beqz t5,80000030 <trap_vector+0x2c>
+ 8000002c: 000f0067 jr t5
+ 80000030: 34202f73 csrr t5,mcause
+ 80000034: 000f5463 bgez t5,8000003c <handle_exception>
+ 80000038: 0040006f j 8000003c <handle_exception>
+
+000000008000003c <handle_exception>:
+ 8000003c: 5391e193 ori gp,gp,1337
+
+0000000080000040 <write_tohost>:
+ 80000040: 00001f17 auipc t5,0x1
+ 80000044: fc3f2023 sw gp,-64(t5) # 80001000 <tohost>
+ 80000048: ff9ff06f j 80000040 <write_tohost>
+
+000000008000004c <reset_vector>:
+ 8000004c: f1402573 csrr a0,mhartid
+ 80000050: 00051063 bnez a0,80000050 <reset_vector+0x4>
+ 80000054: 00000297 auipc t0,0x0
+ 80000058: 01028293 addi t0,t0,16 # 80000064 <reset_vector+0x18>
+ 8000005c: 30529073 csrw mtvec,t0
+ 80000060: 18005073 csrwi satp,0
+ 80000064: 00000297 auipc t0,0x0
+ 80000068: 01c28293 addi t0,t0,28 # 80000080 <reset_vector+0x34>
+ 8000006c: 30529073 csrw mtvec,t0
+ 80000070: fff00293 li t0,-1
+ 80000074: 3b029073 csrw pmpaddr0,t0
+ 80000078: 01f00293 li t0,31
+ 8000007c: 3a029073 csrw pmpcfg0,t0
+ 80000080: 00000297 auipc t0,0x0
+ 80000084: 01828293 addi t0,t0,24 # 80000098 <reset_vector+0x4c>
+ 80000088: 30529073 csrw mtvec,t0
+ 8000008c: 30205073 csrwi medeleg,0
+ 80000090: 30305073 csrwi mideleg,0
+ 80000094: 30405073 csrwi mie,0
+ 80000098: 00000193 li gp,0
+ 8000009c: 00000297 auipc t0,0x0
+ 800000a0: f6828293 addi t0,t0,-152 # 80000004 <trap_vector>
+ 800000a4: 30529073 csrw mtvec,t0
+ 800000a8: 00100513 li a0,1
+ 800000ac: 01f51513 slli a0,a0,0x1f
+ 800000b0: 00055863 bgez a0,800000c0 <reset_vector+0x74>
+ 800000b4: 0ff0000f fence
+ 800000b8: 00100193 li gp,1
+ 800000bc: 00000073 ecall
+ 800000c0: 80000297 auipc t0,0x80000
+ 800000c4: f4028293 addi t0,t0,-192 # 0 <_start-0x80000000>
+ 800000c8: 00028e63 beqz t0,800000e4 <reset_vector+0x98>
+ 800000cc: 10529073 csrw stvec,t0
+ 800000d0: 0000b2b7 lui t0,0xb
+ 800000d4: 1092829b addiw t0,t0,265
+ 800000d8: 30229073 csrw medeleg,t0
+ 800000dc: 30202373 csrr t1,medeleg
+ 800000e0: f4629ee3 bne t0,t1,8000003c <handle_exception>
+ 800000e4: 30005073 csrwi mstatus,0
+ 800000e8: 00002537 lui a0,0x2
+ 800000ec: 30052073 csrs mstatus,a0
+ 800000f0: 00305073 csrwi fcsr,0
+ 800000f4: 00000297 auipc t0,0x0
+ 800000f8: 01428293 addi t0,t0,20 # 80000108 <test_2>
+ 800000fc: 34129073 csrw mepc,t0
+ 80000100: f1402573 csrr a0,mhartid
+ 80000104: 30200073 mret
+
+0000000080000108 <test_2>:
+ 80000108: 00200193 li gp,2
+ 8000010c: 00002517 auipc a0,0x2
+ 80000110: ef450513 addi a0,a0,-268 # 80002000 <test_2_data>
+ 80000114: 00053007 fld ft0,0(a0)
+ 80000118: 00853087 fld ft1,8(a0)
+ 8000011c: 01053107 fld ft2,16(a0)
+ 80000120: 01853683 ld a3,24(a0)
+ 80000124: a2102553 feq.d a0,ft0,ft1
+ 80000128: 001015f3 fsflags a1,zero
+ 8000012c: 00000613 li a2,0
+ 80000130: 2ad51663 bne a0,a3,800003dc <fail>
+ 80000134: 2ac59463 bne a1,a2,800003dc <fail>
+
+0000000080000138 <test_3>:
+ 80000138: 00300193 li gp,3
+ 8000013c: 00002517 auipc a0,0x2
+ 80000140: ee450513 addi a0,a0,-284 # 80002020 <test_3_data>
+ 80000144: 00053007 fld ft0,0(a0)
+ 80000148: 00853087 fld ft1,8(a0)
+ 8000014c: 01053107 fld ft2,16(a0)
+ 80000150: 01853683 ld a3,24(a0)
+ 80000154: a2100553 fle.d a0,ft0,ft1
+ 80000158: 001015f3 fsflags a1,zero
+ 8000015c: 00000613 li a2,0
+ 80000160: 26d51e63 bne a0,a3,800003dc <fail>
+ 80000164: 26c59c63 bne a1,a2,800003dc <fail>
+
+0000000080000168 <test_4>:
+ 80000168: 00400193 li gp,4
+ 8000016c: 00002517 auipc a0,0x2
+ 80000170: ed450513 addi a0,a0,-300 # 80002040 <test_4_data>
+ 80000174: 00053007 fld ft0,0(a0)
+ 80000178: 00853087 fld ft1,8(a0)
+ 8000017c: 01053107 fld ft2,16(a0)
+ 80000180: 01853683 ld a3,24(a0)
+ 80000184: a2101553 flt.d a0,ft0,ft1
+ 80000188: 001015f3 fsflags a1,zero
+ 8000018c: 00000613 li a2,0
+ 80000190: 24d51663 bne a0,a3,800003dc <fail>
+ 80000194: 24c59463 bne a1,a2,800003dc <fail>
+
+0000000080000198 <test_5>:
+ 80000198: 00500193 li gp,5
+ 8000019c: 00002517 auipc a0,0x2
+ 800001a0: ec450513 addi a0,a0,-316 # 80002060 <test_5_data>
+ 800001a4: 00053007 fld ft0,0(a0)
+ 800001a8: 00853087 fld ft1,8(a0)
+ 800001ac: 01053107 fld ft2,16(a0)
+ 800001b0: 01853683 ld a3,24(a0)
+ 800001b4: a2102553 feq.d a0,ft0,ft1
+ 800001b8: 001015f3 fsflags a1,zero
+ 800001bc: 00000613 li a2,0
+ 800001c0: 20d51e63 bne a0,a3,800003dc <fail>
+ 800001c4: 20c59c63 bne a1,a2,800003dc <fail>
+
+00000000800001c8 <test_6>:
+ 800001c8: 00600193 li gp,6
+ 800001cc: 00002517 auipc a0,0x2
+ 800001d0: eb450513 addi a0,a0,-332 # 80002080 <test_6_data>
+ 800001d4: 00053007 fld ft0,0(a0)
+ 800001d8: 00853087 fld ft1,8(a0)
+ 800001dc: 01053107 fld ft2,16(a0)
+ 800001e0: 01853683 ld a3,24(a0)
+ 800001e4: a2100553 fle.d a0,ft0,ft1
+ 800001e8: 001015f3 fsflags a1,zero
+ 800001ec: 00000613 li a2,0
+ 800001f0: 1ed51663 bne a0,a3,800003dc <fail>
+ 800001f4: 1ec59463 bne a1,a2,800003dc <fail>
+
+00000000800001f8 <test_7>:
+ 800001f8: 00700193 li gp,7
+ 800001fc: 00002517 auipc a0,0x2
+ 80000200: ea450513 addi a0,a0,-348 # 800020a0 <test_7_data>
+ 80000204: 00053007 fld ft0,0(a0)
+ 80000208: 00853087 fld ft1,8(a0)
+ 8000020c: 01053107 fld ft2,16(a0)
+ 80000210: 01853683 ld a3,24(a0)
+ 80000214: a2101553 flt.d a0,ft0,ft1
+ 80000218: 001015f3 fsflags a1,zero
+ 8000021c: 00000613 li a2,0
+ 80000220: 1ad51e63 bne a0,a3,800003dc <fail>
+ 80000224: 1ac59c63 bne a1,a2,800003dc <fail>
+
+0000000080000228 <test_8>:
+ 80000228: 00800193 li gp,8
+ 8000022c: 00002517 auipc a0,0x2
+ 80000230: e9450513 addi a0,a0,-364 # 800020c0 <test_8_data>
+ 80000234: 00053007 fld ft0,0(a0)
+ 80000238: 00853087 fld ft1,8(a0)
+ 8000023c: 01053107 fld ft2,16(a0)
+ 80000240: 01853683 ld a3,24(a0)
+ 80000244: a2102553 feq.d a0,ft0,ft1
+ 80000248: 001015f3 fsflags a1,zero
+ 8000024c: 00000613 li a2,0
+ 80000250: 18d51663 bne a0,a3,800003dc <fail>
+ 80000254: 18c59463 bne a1,a2,800003dc <fail>
+
+0000000080000258 <test_9>:
+ 80000258: 00900193 li gp,9
+ 8000025c: 00002517 auipc a0,0x2
+ 80000260: e8450513 addi a0,a0,-380 # 800020e0 <test_9_data>
+ 80000264: 00053007 fld ft0,0(a0)
+ 80000268: 00853087 fld ft1,8(a0)
+ 8000026c: 01053107 fld ft2,16(a0)
+ 80000270: 01853683 ld a3,24(a0)
+ 80000274: a2102553 feq.d a0,ft0,ft1
+ 80000278: 001015f3 fsflags a1,zero
+ 8000027c: 00000613 li a2,0
+ 80000280: 14d51e63 bne a0,a3,800003dc <fail>
+ 80000284: 14c59c63 bne a1,a2,800003dc <fail>
+
+0000000080000288 <test_10>:
+ 80000288: 00a00193 li gp,10
+ 8000028c: 00002517 auipc a0,0x2
+ 80000290: e7450513 addi a0,a0,-396 # 80002100 <test_10_data>
+ 80000294: 00053007 fld ft0,0(a0)
+ 80000298: 00853087 fld ft1,8(a0)
+ 8000029c: 01053107 fld ft2,16(a0)
+ 800002a0: 01853683 ld a3,24(a0)
+ 800002a4: a2102553 feq.d a0,ft0,ft1
+ 800002a8: 001015f3 fsflags a1,zero
+ 800002ac: 01000613 li a2,16
+ 800002b0: 12d51663 bne a0,a3,800003dc <fail>
+ 800002b4: 12c59463 bne a1,a2,800003dc <fail>
+
+00000000800002b8 <test_11>:
+ 800002b8: 00b00193 li gp,11
+ 800002bc: 00002517 auipc a0,0x2
+ 800002c0: e6450513 addi a0,a0,-412 # 80002120 <test_11_data>
+ 800002c4: 00053007 fld ft0,0(a0)
+ 800002c8: 00853087 fld ft1,8(a0)
+ 800002cc: 01053107 fld ft2,16(a0)
+ 800002d0: 01853683 ld a3,24(a0)
+ 800002d4: a2101553 flt.d a0,ft0,ft1
+ 800002d8: 001015f3 fsflags a1,zero
+ 800002dc: 01000613 li a2,16
+ 800002e0: 0ed51e63 bne a0,a3,800003dc <fail>
+ 800002e4: 0ec59c63 bne a1,a2,800003dc <fail>
+
+00000000800002e8 <test_12>:
+ 800002e8: 00c00193 li gp,12
+ 800002ec: 00002517 auipc a0,0x2
+ 800002f0: e5450513 addi a0,a0,-428 # 80002140 <test_12_data>
+ 800002f4: 00053007 fld ft0,0(a0)
+ 800002f8: 00853087 fld ft1,8(a0)
+ 800002fc: 01053107 fld ft2,16(a0)
+ 80000300: 01853683 ld a3,24(a0)
+ 80000304: a2101553 flt.d a0,ft0,ft1
+ 80000308: 001015f3 fsflags a1,zero
+ 8000030c: 01000613 li a2,16
+ 80000310: 0cd51663 bne a0,a3,800003dc <fail>
+ 80000314: 0cc59463 bne a1,a2,800003dc <fail>
+
+0000000080000318 <test_13>:
+ 80000318: 00d00193 li gp,13
+ 8000031c: 00002517 auipc a0,0x2
+ 80000320: e4450513 addi a0,a0,-444 # 80002160 <test_13_data>
+ 80000324: 00053007 fld ft0,0(a0)
+ 80000328: 00853087 fld ft1,8(a0)
+ 8000032c: 01053107 fld ft2,16(a0)
+ 80000330: 01853683 ld a3,24(a0)
+ 80000334: a2101553 flt.d a0,ft0,ft1
+ 80000338: 001015f3 fsflags a1,zero
+ 8000033c: 01000613 li a2,16
+ 80000340: 08d51e63 bne a0,a3,800003dc <fail>
+ 80000344: 08c59c63 bne a1,a2,800003dc <fail>
+
+0000000080000348 <test_14>:
+ 80000348: 00e00193 li gp,14
+ 8000034c: 00002517 auipc a0,0x2
+ 80000350: e3450513 addi a0,a0,-460 # 80002180 <test_14_data>
+ 80000354: 00053007 fld ft0,0(a0)
+ 80000358: 00853087 fld ft1,8(a0)
+ 8000035c: 01053107 fld ft2,16(a0)
+ 80000360: 01853683 ld a3,24(a0)
+ 80000364: a2100553 fle.d a0,ft0,ft1
+ 80000368: 001015f3 fsflags a1,zero
+ 8000036c: 01000613 li a2,16
+ 80000370: 06d51663 bne a0,a3,800003dc <fail>
+ 80000374: 06c59463 bne a1,a2,800003dc <fail>
+
+0000000080000378 <test_15>:
+ 80000378: 00f00193 li gp,15
+ 8000037c: 00002517 auipc a0,0x2
+ 80000380: e2450513 addi a0,a0,-476 # 800021a0 <test_15_data>
+ 80000384: 00053007 fld ft0,0(a0)
+ 80000388: 00853087 fld ft1,8(a0)
+ 8000038c: 01053107 fld ft2,16(a0)
+ 80000390: 01853683 ld a3,24(a0)
+ 80000394: a2100553 fle.d a0,ft0,ft1
+ 80000398: 001015f3 fsflags a1,zero
+ 8000039c: 01000613 li a2,16
+ 800003a0: 02d51e63 bne a0,a3,800003dc <fail>
+ 800003a4: 02c59c63 bne a1,a2,800003dc <fail>
+
+00000000800003a8 <test_16>:
+ 800003a8: 01000193 li gp,16
+ 800003ac: 00002517 auipc a0,0x2
+ 800003b0: e1450513 addi a0,a0,-492 # 800021c0 <test_16_data>
+ 800003b4: 00053007 fld ft0,0(a0)
+ 800003b8: 00853087 fld ft1,8(a0)
+ 800003bc: 01053107 fld ft2,16(a0)
+ 800003c0: 01853683 ld a3,24(a0)
+ 800003c4: a2100553 fle.d a0,ft0,ft1
+ 800003c8: 001015f3 fsflags a1,zero
+ 800003cc: 01000613 li a2,16
+ 800003d0: 00d51663 bne a0,a3,800003dc <fail>
+ 800003d4: 00c59463 bne a1,a2,800003dc <fail>
+ 800003d8: 00301c63 bne zero,gp,800003f0 <pass>
+
+00000000800003dc <fail>:
+ 800003dc: 0ff0000f fence
+ 800003e0: 00018063 beqz gp,800003e0 <fail+0x4>
+ 800003e4: 00119193 slli gp,gp,0x1
+ 800003e8: 0011e193 ori gp,gp,1
+ 800003ec: 00000073 ecall
+
+00000000800003f0 <pass>:
+ 800003f0: 0ff0000f fence
+ 800003f4: 00100193 li gp,1
+ 800003f8: 00000073 ecall
+ 800003fc: c0001073 unimp
+ 80000400: 0000 unimp
+ 80000402: 0000 unimp
+
+Disassembly of section .data:
+
+0000000080002000 <test_2_data>:
+ 80002000: 5c28f5c3 0x5c28f5c3
+ 80002004: bff5c28f 0xbff5c28f
+ 80002008: 5c28f5c3 0x5c28f5c3
+ 8000200c: bff5c28f 0xbff5c28f
+ 80002010: 0000 unimp
+ 80002012: 0000 unimp
+ 80002014: 0000 unimp
+ 80002016: 0000 unimp
+ 80002018: 0001 nop
+ 8000201a: 0000 unimp
+ 8000201c: 0000 unimp
+ 8000201e: 0000 unimp
+
+0000000080002020 <test_3_data>:
+ 80002020: 5c28f5c3 0x5c28f5c3
+ 80002024: bff5c28f 0xbff5c28f
+ 80002028: 5c28f5c3 0x5c28f5c3
+ 8000202c: bff5c28f 0xbff5c28f
+ 80002030: 0000 unimp
+ 80002032: 0000 unimp
+ 80002034: 0000 unimp
+ 80002036: 0000 unimp
+ 80002038: 0001 nop
+ 8000203a: 0000 unimp
+ 8000203c: 0000 unimp
+ 8000203e: 0000 unimp
+
+0000000080002040 <test_4_data>:
+ 80002040: 5c28f5c3 0x5c28f5c3
+ 80002044: bff5c28f 0xbff5c28f
+ 80002048: 5c28f5c3 0x5c28f5c3
+ 8000204c: bff5c28f 0xbff5c28f
+ 80002050: 0000 unimp
+ 80002052: 0000 unimp
+ 80002054: 0000 unimp
+ 80002056: 0000 unimp
+ 80002058: 0000 unimp
+ 8000205a: 0000 unimp
+ 8000205c: 0000 unimp
+ 8000205e: 0000 unimp
+
+0000000080002060 <test_5_data>:
+ 80002060: 51ec lw a1,100(a1)
+ 80002062: 1eb8 addi a4,sp,888
+ 80002064: eb85 bnez a5,80002094 <test_6_data+0x14>
+ 80002066: bff5 j 80002062 <test_5_data+0x2>
+ 80002068: 5c28f5c3 0x5c28f5c3
+ 8000206c: bff5c28f 0xbff5c28f
+ 80002070: 0000 unimp
+ 80002072: 0000 unimp
+ 80002074: 0000 unimp
+ 80002076: 0000 unimp
+ 80002078: 0000 unimp
+ 8000207a: 0000 unimp
+ 8000207c: 0000 unimp
+ 8000207e: 0000 unimp
+
+0000000080002080 <test_6_data>:
+ 80002080: 51ec lw a1,100(a1)
+ 80002082: 1eb8 addi a4,sp,888
+ 80002084: eb85 bnez a5,800020b4 <test_7_data+0x14>
+ 80002086: bff5 j 80002082 <test_6_data+0x2>
+ 80002088: 5c28f5c3 0x5c28f5c3
+ 8000208c: bff5c28f 0xbff5c28f
+ 80002090: 0000 unimp
+ 80002092: 0000 unimp
+ 80002094: 0000 unimp
+ 80002096: 0000 unimp
+ 80002098: 0001 nop
+ 8000209a: 0000 unimp
+ 8000209c: 0000 unimp
+ 8000209e: 0000 unimp
+
+00000000800020a0 <test_7_data>:
+ 800020a0: 51ec lw a1,100(a1)
+ 800020a2: 1eb8 addi a4,sp,888
+ 800020a4: eb85 bnez a5,800020d4 <test_8_data+0x14>
+ 800020a6: bff5 j 800020a2 <test_7_data+0x2>
+ 800020a8: 5c28f5c3 0x5c28f5c3
+ 800020ac: bff5c28f 0xbff5c28f
+ 800020b0: 0000 unimp
+ 800020b2: 0000 unimp
+ 800020b4: 0000 unimp
+ 800020b6: 0000 unimp
+ 800020b8: 0001 nop
+ 800020ba: 0000 unimp
+ 800020bc: 0000 unimp
+ 800020be: 0000 unimp
+
+00000000800020c0 <test_8_data>:
+ 800020c0: ffff 0xffff
+ 800020c2: ffff 0xffff
+ 800020c4: ffff 0xffff
+ 800020c6: 7fff 0x7fff
+ 800020c8: 0000 unimp
+ 800020ca: 0000 unimp
+ 800020cc: 0000 unimp
+ 800020ce: 0000 unimp
+ 800020d0: 0000 unimp
+ 800020d2: 0000 unimp
+ 800020d4: 0000 unimp
+ 800020d6: 0000 unimp
+ 800020d8: 0000 unimp
+ 800020da: 0000 unimp
+ 800020dc: 0000 unimp
+ 800020de: 0000 unimp
+
+00000000800020e0 <test_9_data>:
+ 800020e0: ffff 0xffff
+ 800020e2: ffff 0xffff
+ 800020e4: ffff 0xffff
+ 800020e6: 7fff 0x7fff
+ 800020e8: ffff 0xffff
+ 800020ea: ffff 0xffff
+ 800020ec: ffff 0xffff
+ 800020ee: 7fff 0x7fff
+ 800020f0: 0000 unimp
+ 800020f2: 0000 unimp
+ 800020f4: 0000 unimp
+ 800020f6: 0000 unimp
+ 800020f8: 0000 unimp
+ 800020fa: 0000 unimp
+ 800020fc: 0000 unimp
+ 800020fe: 0000 unimp
+
+0000000080002100 <test_10_data>:
+ 80002100: 0001 nop
+ 80002102: 0000 unimp
+ 80002104: 0000 unimp
+ 80002106: 7ff0 ld a2,248(a5)
+ 80002108: 0000 unimp
+ 8000210a: 0000 unimp
+ 8000210c: 0000 unimp
+ 8000210e: 0000 unimp
+ 80002110: 0000 unimp
+ 80002112: 0000 unimp
+ 80002114: 0000 unimp
+ 80002116: 0000 unimp
+ 80002118: 0000 unimp
+ 8000211a: 0000 unimp
+ 8000211c: 0000 unimp
+ 8000211e: 0000 unimp
+
+0000000080002120 <test_11_data>:
+ 80002120: ffff 0xffff
+ 80002122: ffff 0xffff
+ 80002124: ffff 0xffff
+ 80002126: 7fff 0x7fff
+ 80002128: 0000 unimp
+ 8000212a: 0000 unimp
+ 8000212c: 0000 unimp
+ 8000212e: 0000 unimp
+ 80002130: 0000 unimp
+ 80002132: 0000 unimp
+ 80002134: 0000 unimp
+ 80002136: 0000 unimp
+ 80002138: 0000 unimp
+ 8000213a: 0000 unimp
+ 8000213c: 0000 unimp
+ 8000213e: 0000 unimp
+
+0000000080002140 <test_12_data>:
+ 80002140: ffff 0xffff
+ 80002142: ffff 0xffff
+ 80002144: ffff 0xffff
+ 80002146: 7fff 0x7fff
+ 80002148: ffff 0xffff
+ 8000214a: ffff 0xffff
+ 8000214c: ffff 0xffff
+ 8000214e: 7fff 0x7fff
+ 80002150: 0000 unimp
+ 80002152: 0000 unimp
+ 80002154: 0000 unimp
+ 80002156: 0000 unimp
+ 80002158: 0000 unimp
+ 8000215a: 0000 unimp
+ 8000215c: 0000 unimp
+ 8000215e: 0000 unimp
+
+0000000080002160 <test_13_data>:
+ 80002160: 0001 nop
+ 80002162: 0000 unimp
+ 80002164: 0000 unimp
+ 80002166: 7ff0 ld a2,248(a5)
+ 80002168: 0000 unimp
+ 8000216a: 0000 unimp
+ 8000216c: 0000 unimp
+ 8000216e: 0000 unimp
+ 80002170: 0000 unimp
+ 80002172: 0000 unimp
+ 80002174: 0000 unimp
+ 80002176: 0000 unimp
+ 80002178: 0000 unimp
+ 8000217a: 0000 unimp
+ 8000217c: 0000 unimp
+ 8000217e: 0000 unimp
+
+0000000080002180 <test_14_data>:
+ 80002180: ffff 0xffff
+ 80002182: ffff 0xffff
+ 80002184: ffff 0xffff
+ 80002186: 7fff 0x7fff
+ 80002188: 0000 unimp
+ 8000218a: 0000 unimp
+ 8000218c: 0000 unimp
+ 8000218e: 0000 unimp
+ 80002190: 0000 unimp
+ 80002192: 0000 unimp
+ 80002194: 0000 unimp
+ 80002196: 0000 unimp
+ 80002198: 0000 unimp
+ 8000219a: 0000 unimp
+ 8000219c: 0000 unimp
+ 8000219e: 0000 unimp
+
+00000000800021a0 <test_15_data>:
+ 800021a0: ffff 0xffff
+ 800021a2: ffff 0xffff
+ 800021a4: ffff 0xffff
+ 800021a6: 7fff 0x7fff
+ 800021a8: ffff 0xffff
+ 800021aa: ffff 0xffff
+ 800021ac: ffff 0xffff
+ 800021ae: 7fff 0x7fff
+ 800021b0: 0000 unimp
+ 800021b2: 0000 unimp
+ 800021b4: 0000 unimp
+ 800021b6: 0000 unimp
+ 800021b8: 0000 unimp
+ 800021ba: 0000 unimp
+ 800021bc: 0000 unimp
+ 800021be: 0000 unimp
+
+00000000800021c0 <test_16_data>:
+ 800021c0: 0001 nop
+ 800021c2: 0000 unimp
+ 800021c4: 0000 unimp
+ 800021c6: 7ff0 ld a2,248(a5)
+ 800021c8: 0000 unimp
+ 800021ca: 0000 unimp
+ 800021cc: 0000 unimp
+ 800021ce: 0000 unimp
+ 800021d0: 0000 unimp
+ 800021d2: 0000 unimp
+ 800021d4: 0000 unimp
+ 800021d6: 0000 unimp
+ 800021d8: 0000 unimp
+ 800021da: 0000 unimp
+ 800021dc: 0000 unimp
+ 800021de: 0000 unimp