aboutsummaryrefslogtreecommitdiff
path: root/test
diff options
context:
space:
mode:
authorPrashanth Mundkur <prashanth.mundkur@gmail.com>2019-02-27 13:12:05 -0800
committerPrashanth Mundkur <prashanth.mundkur@gmail.com>2019-02-27 13:12:05 -0800
commitc1b311b1d6a4097b8b3ca1900bf5e37791af43f7 (patch)
tree42555cdaac7ef9b85bad927d6ce8614149a4f0dc /test
parentdee912ab4b724eb05bfd229032e80d29aea9ea64 (diff)
downloadsail-riscv-c1b311b1d6a4097b8b3ca1900bf5e37791af43f7.zip
sail-riscv-c1b311b1d6a4097b8b3ca1900bf5e37791af43f7.tar.gz
sail-riscv-c1b311b1d6a4097b8b3ca1900bf5e37791af43f7.tar.bz2
Refresh to latest tests from riscv-tests. The 32-bit -v- elfs still seem broken to linksem.
Diffstat (limited to 'test')
-rw-r--r--test/riscv-tests/rv32mi-p-breakpoint.elfbin13148 -> 13148 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-csr.elfbin13436 -> 13436 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-illegal.dump95
-rw-r--r--test/riscv-tests/rv32mi-p-illegal.elfbin9272 -> 9272 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-ma_addr.dump2
-rw-r--r--test/riscv-tests/rv32mi-p-ma_addr.elfbin13380 -> 13380 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-ma_fetch.dump2
-rw-r--r--test/riscv-tests/rv32mi-p-ma_fetch.elfbin9004 -> 9004 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-mcsr.elfbin9020 -> 9020 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-sbreak.elfbin9028 -> 9028 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-scall.dump4
-rw-r--r--test/riscv-tests/rv32mi-p-scall.elfbin9028 -> 9028 bytes
-rw-r--r--test/riscv-tests/rv32mi-p-shamt.elfbin9048 -> 9048 bytes
-rw-r--r--test/riscv-tests/rv32si-p-csr.elfbin13388 -> 13388 bytes
-rw-r--r--test/riscv-tests/rv32si-p-dirty.elfbin13196 -> 13196 bytes
-rw-r--r--test/riscv-tests/rv32si-p-ma_fetch.dump2
-rw-r--r--test/riscv-tests/rv32si-p-ma_fetch.elfbin9004 -> 9004 bytes
-rw-r--r--test/riscv-tests/rv32si-p-sbreak.elfbin9028 -> 9028 bytes
-rw-r--r--test/riscv-tests/rv32si-p-scall.elfbin9028 -> 9028 bytes
-rw-r--r--test/riscv-tests/rv32si-p-wfi.elfbin8972 -> 8972 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amoadd_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amoand_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amomax_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amomaxu_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amomin_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amominu_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amoor_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amoswap_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-amoxor_w.elfbin9152 -> 9152 bytes
-rw-r--r--test/riscv-tests/rv32ua-p-lrsc.dump677
-rw-r--r--test/riscv-tests/rv32ua-p-lrsc.elfbin13212 -> 14304 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amoadd_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amoadd_w.elfbin17528 -> 17528 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amoand_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amoand_w.elfbin17520 -> 17520 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amomax_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amomax_w.elfbin17524 -> 17524 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amomaxu_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amomaxu_w.elfbin17524 -> 17524 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amomin_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amomin_w.elfbin17524 -> 17524 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amominu_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amominu_w.elfbin17524 -> 17524 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amoor_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amoor_w.elfbin17520 -> 17520 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amoswap_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amoswap_w.elfbin17520 -> 17520 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-amoxor_w.dump2
-rw-r--r--test/riscv-tests/rv32ua-v-amoxor_w.elfbin17536 -> 17536 bytes
-rw-r--r--test/riscv-tests/rv32ua-v-lrsc.dump669
-rw-r--r--test/riscv-tests/rv32ua-v-lrsc.elfbin18096 -> 19196 bytes
-rw-r--r--test/riscv-tests/rv32uc-p-rvc.elfbin17780 -> 17780 bytes
-rw-r--r--test/riscv-tests/rv32uc-v-rvc.dump2
-rw-r--r--test/riscv-tests/rv32uc-v-rvc.elfbin31196 -> 31196 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-add.elfbin9852 -> 9852 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-addi.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-and.elfbin9588 -> 9588 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-andi.elfbin9276 -> 9276 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-auipc.elfbin9020 -> 9020 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-beq.elfbin9444 -> 9444 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-bge.elfbin9516 -> 9516 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-bgeu.elfbin9516 -> 9516 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-blt.elfbin9444 -> 9444 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-bltu.elfbin9444 -> 9444 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-bne.elfbin9444 -> 9444 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-fence_i.elfbin13140 -> 13140 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-jal.elfbin9072 -> 9072 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-jalr.elfbin9140 -> 9140 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lb.elfbin13608 -> 13608 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lbu.elfbin13608 -> 13608 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lh.elfbin13608 -> 13608 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lhu.elfbin13608 -> 13608 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lui.elfbin9088 -> 9088 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-lw.elfbin13608 -> 13608 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-or.elfbin9588 -> 9588 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-ori.elfbin9276 -> 9276 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sb.elfbin13836 -> 13836 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sh.elfbin13852 -> 13852 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-simple.elfbin8932 -> 8932 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sll.elfbin9948 -> 9948 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-slli.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-slt.elfbin9852 -> 9852 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-slti.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sltiu.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sltu.elfbin9852 -> 9852 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sra.elfbin9972 -> 9972 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-srai.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-srl.elfbin9972 -> 9972 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-srli.elfbin9540 -> 9540 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sub.elfbin9828 -> 9828 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-sw.elfbin13868 -> 13868 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-xor.elfbin9588 -> 9588 bytes
-rw-r--r--test/riscv-tests/rv32ui-p-xori.elfbin9276 -> 9276 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-add.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-add.elfbin19436 -> 19436 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-addi.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-addi.elfbin18524 -> 18524 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-and.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-and.elfbin19132 -> 19132 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-andi.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-andi.elfbin18060 -> 18060 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-auipc.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-auipc.elfbin17424 -> 17424 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-beq.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-beq.elfbin18484 -> 18484 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-bge.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-bge.elfbin18652 -> 18652 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-bgeu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-bgeu.elfbin18704 -> 18704 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-blt.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-blt.elfbin18484 -> 18484 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-bltu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-bltu.elfbin18536 -> 18536 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-bne.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-bne.elfbin18488 -> 18488 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-fence_i.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-fence_i.elfbin18020 -> 18020 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-jal.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-jal.elfbin17492 -> 17492 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-jalr.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-jalr.elfbin17688 -> 17688 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lb.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lb.elfbin22580 -> 22580 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lbu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lbu.elfbin22580 -> 22580 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lh.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lh.elfbin22584 -> 22584 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lhu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lhu.elfbin22584 -> 22584 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lui.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lui.elfbin17520 -> 17520 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-lw.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-lw.elfbin22592 -> 22592 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-or.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-or.elfbin19144 -> 19144 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-ori.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-ori.elfbin18088 -> 18088 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sb.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sb.elfbin22812 -> 22812 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sh.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sh.elfbin22824 -> 22824 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-simple.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-simple.elfbin17220 -> 17220 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sll.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sll.elfbin19644 -> 19644 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-slli.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-slli.elfbin18520 -> 18520 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-slt.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-slt.elfbin19412 -> 19412 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-slti.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-slti.elfbin18504 -> 18504 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sltiu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sltiu.elfbin18504 -> 18504 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sltu.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sltu.elfbin19412 -> 19412 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sra.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sra.elfbin19744 -> 19744 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-srai.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-srai.elfbin18572 -> 18572 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-srl.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-srl.elfbin19720 -> 19720 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-srli.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-srli.elfbin18548 -> 18548 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sub.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sub.elfbin19380 -> 19380 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-sw.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-sw.elfbin22844 -> 22844 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-xor.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-xor.elfbin19140 -> 19140 bytes
-rw-r--r--test/riscv-tests/rv32ui-v-xori.dump2
-rw-r--r--test/riscv-tests/rv32ui-v-xori.elfbin18096 -> 18096 bytes
-rw-r--r--test/riscv-tests/rv32um-p-div.elfbin9180 -> 9180 bytes
-rw-r--r--test/riscv-tests/rv32um-p-divu.elfbin9180 -> 9180 bytes
-rw-r--r--test/riscv-tests/rv32um-p-mul.elfbin9828 -> 9828 bytes
-rw-r--r--test/riscv-tests/rv32um-p-mulh.elfbin9780 -> 9780 bytes
-rw-r--r--test/riscv-tests/rv32um-p-mulhsu.elfbin9780 -> 9780 bytes
-rw-r--r--test/riscv-tests/rv32um-p-mulhu.elfbin9780 -> 9780 bytes
-rw-r--r--test/riscv-tests/rv32um-p-rem.elfbin9180 -> 9180 bytes
-rw-r--r--test/riscv-tests/rv32um-p-remu.elfbin9180 -> 9180 bytes
-rw-r--r--test/riscv-tests/rv32um-v-div.dump2
-rw-r--r--test/riscv-tests/rv32um-v-div.elfbin17736 -> 17736 bytes
-rw-r--r--test/riscv-tests/rv32um-v-divu.dump2
-rw-r--r--test/riscv-tests/rv32um-v-divu.elfbin17740 -> 17740 bytes
-rw-r--r--test/riscv-tests/rv32um-v-mul.dump2
-rw-r--r--test/riscv-tests/rv32um-v-mul.elfbin19388 -> 19388 bytes
-rw-r--r--test/riscv-tests/rv32um-v-mulh.dump2
-rw-r--r--test/riscv-tests/rv32um-v-mulh.elfbin19340 -> 19340 bytes
-rw-r--r--test/riscv-tests/rv32um-v-mulhsu.dump2
-rw-r--r--test/riscv-tests/rv32um-v-mulhsu.elfbin19340 -> 19340 bytes
-rw-r--r--test/riscv-tests/rv32um-v-mulhu.dump2
-rw-r--r--test/riscv-tests/rv32um-v-mulhu.elfbin19340 -> 19340 bytes
-rw-r--r--test/riscv-tests/rv32um-v-rem.dump2
-rw-r--r--test/riscv-tests/rv32um-v-rem.elfbin17736 -> 17736 bytes
-rw-r--r--test/riscv-tests/rv32um-v-remu.dump2
-rw-r--r--test/riscv-tests/rv32um-v-remu.elfbin17736 -> 17736 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-breakpoint.elfbin13488 -> 13488 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-csr.elfbin13936 -> 13936 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-illegal.dump95
-rw-r--r--test/riscv-tests/rv64mi-p-illegal.elfbin9648 -> 9648 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-ma_addr.dump2
-rw-r--r--test/riscv-tests/rv64mi-p-ma_addr.elfbin13712 -> 13712 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-ma_fetch.dump2
-rw-r--r--test/riscv-tests/rv64mi-p-ma_fetch.elfbin9296 -> 9296 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-mcsr.elfbin9320 -> 9320 bytes
-rw-r--r--test/riscv-tests/rv64mi-p-scall.dump4
-rw-r--r--test/riscv-tests/rv64mi-p-scall.elfbin9328 -> 9328 bytes
-rw-r--r--test/riscv-tests/rv64si-p-csr.elfbin13840 -> 13840 bytes
-rw-r--r--test/riscv-tests/rv64si-p-dirty.elfbin13552 -> 13552 bytes
-rw-r--r--test/riscv-tests/rv64si-p-ma_fetch.dump2
-rw-r--r--test/riscv-tests/rv64si-p-ma_fetch.elfbin9296 -> 9296 bytes
-rw-r--r--test/riscv-tests/rv64si-p-scall.elfbin9328 -> 9328 bytes
-rw-r--r--test/riscv-tests/rv64si-p-wfi.elfbin9256 -> 9256 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoadd_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoadd_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoand_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoand_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomax_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomax_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomaxu_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomaxu_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomin_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amomin_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amominu_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amominu_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoor_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoor_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoswap_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoswap_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoxor_d.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-amoxor_w.elfbin9504 -> 9504 bytes
-rw-r--r--test/riscv-tests/rv64ua-p-lrsc.dump146
-rw-r--r--test/riscv-tests/rv64ua-p-lrsc.elfbin14632 -> 14688 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoadd_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoadd_d.elfbin17912 -> 17912 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoadd_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoadd_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoand_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoand_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoand_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoand_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomax_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomax_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomax_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomax_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomaxu_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomaxu_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomaxu_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomaxu_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomin_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomin_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amomin_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amomin_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amominu_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amominu_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amominu_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amominu_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoor_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoor_d.elfbin17888 -> 17888 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoor_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoor_w.elfbin17888 -> 17888 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoswap_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoswap_d.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoswap_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoswap_w.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoxor_d.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoxor_d.elfbin17904 -> 17904 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-amoxor_w.dump2
-rw-r--r--test/riscv-tests/rv64ua-v-amoxor_w.elfbin17912 -> 17912 bytes
-rw-r--r--test/riscv-tests/rv64ua-v-lrsc.dump138
-rw-r--r--test/riscv-tests/rv64ua-v-lrsc.elfbin19816 -> 19880 bytes
-rw-r--r--test/riscv-tests/rv64uc-p-rvc.elfbin18392 -> 18392 bytes
-rw-r--r--test/riscv-tests/rv64uc-v-rvc.dump2
-rw-r--r--test/riscv-tests/rv64uc-v-rvc.elfbin32128 -> 32128 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-add.elfbin10432 -> 10432 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-addi.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-addiw.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-addw.elfbin10432 -> 10432 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-and.elfbin10080 -> 10080 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-andi.elfbin9664 -> 9664 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-auipc.elfbin9320 -> 9320 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-beq.elfbin9888 -> 9888 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-bge.elfbin9984 -> 9984 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-bgeu.elfbin9984 -> 9984 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-blt.elfbin9888 -> 9888 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-bltu.elfbin9888 -> 9888 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-bne.elfbin9888 -> 9888 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-fence_i.elfbin13480 -> 13480 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-jal.elfbin9384 -> 9384 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-jalr.elfbin9480 -> 9480 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lb.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lbu.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-ld.elfbin14120 -> 14120 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lh.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lhu.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lui.elfbin9408 -> 9408 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lw.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-lwu.elfbin14104 -> 14104 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-or.elfbin10080 -> 10080 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-ori.elfbin9664 -> 9664 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sb.elfbin14416 -> 14416 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sd.elfbin14480 -> 14480 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sh.elfbin14432 -> 14432 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-simple.elfbin9200 -> 9200 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sll.elfbin10688 -> 10688 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-slli.elfbin10112 -> 10112 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-slliw.dump316
-rw-r--r--test/riscv-tests/rv64ui-p-slliw.elfbin10016 -> 10144 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sllw.dump664
-rw-r--r--test/riscv-tests/rv64ui-p-sllw.elfbin10592 -> 10720 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-slt.elfbin10432 -> 10432 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-slti.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sltiu.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sltu.elfbin10432 -> 10432 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sra.elfbin10592 -> 10592 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-srai.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sraiw.dump380
-rw-r--r--test/riscv-tests/rv64ui-p-sraiw.elfbin10080 -> 10208 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sraw.dump648
-rw-r--r--test/riscv-tests/rv64ui-p-sraw.elfbin10592 -> 10720 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-srl.elfbin10592 -> 10592 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-srli.elfbin10016 -> 10016 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-srliw.dump324
-rw-r--r--test/riscv-tests/rv64ui-p-srliw.elfbin10016 -> 10144 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-srlw.dump640
-rw-r--r--test/riscv-tests/rv64ui-p-srlw.elfbin10592 -> 10720 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sub.elfbin10400 -> 10400 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-subw.elfbin10400 -> 10400 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-sw.elfbin14448 -> 14448 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-xor.elfbin10080 -> 10080 bytes
-rw-r--r--test/riscv-tests/rv64ui-p-xori.elfbin9664 -> 9664 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-add.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-add.elfbin20080 -> 20080 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-addi.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-addi.elfbin19056 -> 19056 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-addiw.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-addiw.elfbin19048 -> 19048 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-addw.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-addw.elfbin20064 -> 20064 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-and.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-and.elfbin19832 -> 19832 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-andi.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-andi.elfbin18552 -> 18552 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-auipc.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-auipc.elfbin17768 -> 17768 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-beq.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-beq.elfbin18976 -> 18976 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-bge.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-bge.elfbin19168 -> 19168 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-bgeu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-bgeu.elfbin19384 -> 19384 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-blt.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-blt.elfbin18976 -> 18976 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-bltu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-bltu.elfbin19176 -> 19176 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-bne.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-bne.elfbin18976 -> 18976 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-fence_i.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-fence_i.elfbin18656 -> 18656 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-jal.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-jal.elfbin17856 -> 17856 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-jalr.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-jalr.elfbin18072 -> 18072 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lb.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lb.elfbin19280 -> 19280 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lbu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lbu.elfbin19280 -> 19280 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-ld.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-ld.elfbin23408 -> 23408 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lh.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lh.elfbin19288 -> 19288 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lhu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lhu.elfbin19288 -> 19288 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lui.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lui.elfbin17896 -> 17896 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lw.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lw.elfbin19296 -> 19296 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-lwu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-lwu.elfbin19296 -> 19296 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-or.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-or.elfbin19960 -> 19960 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-ori.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-ori.elfbin18536 -> 18536 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sb.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sb.elfbin23688 -> 23688 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sd.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sd.elfbin23760 -> 23760 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sh.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sh.elfbin23696 -> 23696 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-simple.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-simple.elfbin17528 -> 17528 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sll.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sll.elfbin20616 -> 20616 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-slli.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-slli.elfbin19256 -> 19256 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-slliw.dump288
-rw-r--r--test/riscv-tests/rv64ui-v-slliw.elfbin19040 -> 19312 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sllw.dump640
-rw-r--r--test/riscv-tests/rv64ui-v-sllw.elfbin20360 -> 20648 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-slt.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-slt.elfbin20040 -> 20040 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-slti.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-slti.elfbin19024 -> 19024 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sltiu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sltiu.elfbin19024 -> 19024 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sltu.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sltu.elfbin20104 -> 20104 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sra.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sra.elfbin20408 -> 20408 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-srai.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-srai.elfbin19104 -> 19104 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sraiw.dump426
-rw-r--r--test/riscv-tests/rv64ui-v-sraiw.elfbin19208 -> 19480 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sraw.dump640
-rw-r--r--test/riscv-tests/rv64ui-v-sraw.elfbin20408 -> 20696 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-srl.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-srl.elfbin20520 -> 20520 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-srli.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-srli.elfbin19160 -> 19160 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-srliw.dump290
-rw-r--r--test/riscv-tests/rv64ui-v-srliw.elfbin19072 -> 19344 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-srlw.dump640
-rw-r--r--test/riscv-tests/rv64ui-v-srlw.elfbin20384 -> 20672 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sub.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sub.elfbin20016 -> 20016 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-subw.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-subw.elfbin20000 -> 20000 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-sw.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-sw.elfbin23720 -> 23720 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-xor.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-xor.elfbin19944 -> 19944 bytes
-rw-r--r--test/riscv-tests/rv64ui-v-xori.dump2
-rw-r--r--test/riscv-tests/rv64ui-v-xori.elfbin18528 -> 18528 bytes
-rw-r--r--test/riscv-tests/rv64um-p-div.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-divu.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-divuw.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-divw.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-mul.elfbin10272 -> 10272 bytes
-rw-r--r--test/riscv-tests/rv64um-p-mulh.elfbin10144 -> 10144 bytes
-rw-r--r--test/riscv-tests/rv64um-p-mulhsu.elfbin10144 -> 10144 bytes
-rw-r--r--test/riscv-tests/rv64um-p-mulhu.elfbin10208 -> 10208 bytes
-rw-r--r--test/riscv-tests/rv64um-p-mulw.elfbin10144 -> 10144 bytes
-rw-r--r--test/riscv-tests/rv64um-p-rem.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-remu.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-remuw.elfbin9536 -> 9536 bytes
-rw-r--r--test/riscv-tests/rv64um-p-remw.elfbin9568 -> 9568 bytes
-rw-r--r--test/riscv-tests/rv64um-v-div.dump2
-rw-r--r--test/riscv-tests/rv64um-v-div.elfbin18160 -> 18160 bytes
-rw-r--r--test/riscv-tests/rv64um-v-divu.dump2
-rw-r--r--test/riscv-tests/rv64um-v-divu.elfbin18184 -> 18184 bytes
-rw-r--r--test/riscv-tests/rv64um-v-divuw.dump2
-rw-r--r--test/riscv-tests/rv64um-v-divuw.elfbin18152 -> 18152 bytes
-rw-r--r--test/riscv-tests/rv64um-v-divw.dump2
-rw-r--r--test/riscv-tests/rv64um-v-divw.elfbin18136 -> 18136 bytes
-rw-r--r--test/riscv-tests/rv64um-v-mul.dump2
-rw-r--r--test/riscv-tests/rv64um-v-mul.elfbin19880 -> 19880 bytes
-rw-r--r--test/riscv-tests/rv64um-v-mulh.dump2
-rw-r--r--test/riscv-tests/rv64um-v-mulh.elfbin19664 -> 19664 bytes
-rw-r--r--test/riscv-tests/rv64um-v-mulhsu.dump2
-rw-r--r--test/riscv-tests/rv64um-v-mulhsu.elfbin19664 -> 19664 bytes
-rw-r--r--test/riscv-tests/rv64um-v-mulhu.dump2
-rw-r--r--test/riscv-tests/rv64um-v-mulhu.elfbin19856 -> 19856 bytes
-rw-r--r--test/riscv-tests/rv64um-v-mulw.dump2
-rw-r--r--test/riscv-tests/rv64um-v-mulw.elfbin19512 -> 19512 bytes
-rw-r--r--test/riscv-tests/rv64um-v-rem.dump2
-rw-r--r--test/riscv-tests/rv64um-v-rem.elfbin18152 -> 18152 bytes
-rw-r--r--test/riscv-tests/rv64um-v-remu.dump2
-rw-r--r--test/riscv-tests/rv64um-v-remu.elfbin18160 -> 18160 bytes
-rw-r--r--test/riscv-tests/rv64um-v-remuw.dump2
-rw-r--r--test/riscv-tests/rv64um-v-remuw.elfbin18136 -> 18136 bytes
-rw-r--r--test/riscv-tests/rv64um-v-remw.dump2
-rw-r--r--test/riscv-tests/rv64um-v-remw.elfbin18192 -> 18192 bytes
471 files changed, 4828 insertions, 3176 deletions
diff --git a/test/riscv-tests/rv32mi-p-breakpoint.elf b/test/riscv-tests/rv32mi-p-breakpoint.elf
index ec4dc70..b054737 100644
--- a/test/riscv-tests/rv32mi-p-breakpoint.elf
+++ b/test/riscv-tests/rv32mi-p-breakpoint.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-csr.elf b/test/riscv-tests/rv32mi-p-csr.elf
index 0e1b988..bdd015b 100644
--- a/test/riscv-tests/rv32mi-p-csr.elf
+++ b/test/riscv-tests/rv32mi-p-csr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-illegal.dump b/test/riscv-tests/rv32mi-p-illegal.dump
index e1c163d..91d8671 100644
--- a/test/riscv-tests/rv32mi-p-illegal.dump
+++ b/test/riscv-tests/rv32mi-p-illegal.dump
@@ -257,54 +257,53 @@ Disassembly of section .text.init:
80000344: 342022f3 csrr t0,mcause
80000348: ea629ee3 bne t0,t1,80000204 <fail>
8000034c: 341022f3 csrr t0,mepc
-80000350: 343023f3 csrr t2,mbadaddr
-80000354: 00038c63 beqz t2,8000036c <synchronous_exception+0x2c>
-80000358: 0002de03 lhu t3,0(t0)
-8000035c: 0022de83 lhu t4,2(t0)
-80000360: 010e9e93 slli t4,t4,0x10
-80000364: 01de6e33 or t3,t3,t4
-80000368: e9c39ee3 bne t2,t3,80000204 <fail>
-8000036c: 00000317 auipc t1,0x0
-80000370: da030313 addi t1,t1,-608 # 8000010c <bad2>
-80000374: 04628e63 beq t0,t1,800003d0 <synchronous_exception+0x90>
-80000378: 00000317 auipc t1,0x0
-8000037c: e1c30313 addi t1,t1,-484 # 80000194 <bad3>
-80000380: 04628e63 beq t0,t1,800003dc <synchronous_exception+0x9c>
-80000384: 00000317 auipc t1,0x0
-80000388: e1830313 addi t1,t1,-488 # 8000019c <bad4>
-8000038c: 04628263 beq t0,t1,800003d0 <synchronous_exception+0x90>
-80000390: 00000317 auipc t1,0x0
-80000394: e1c30313 addi t1,t1,-484 # 800001ac <bad5>
-80000398: 04628863 beq t0,t1,800003e8 <synchronous_exception+0xa8>
-8000039c: 00000317 auipc t1,0x0
-800003a0: e1830313 addi t1,t1,-488 # 800001b4 <bad6>
-800003a4: 02628663 beq t0,t1,800003d0 <synchronous_exception+0x90>
-800003a8: 00000317 auipc t1,0x0
-800003ac: e1430313 addi t1,t1,-492 # 800001bc <bad7>
-800003b0: 02628063 beq t0,t1,800003d0 <synchronous_exception+0x90>
-800003b4: 00000317 auipc t1,0x0
-800003b8: e3030313 addi t1,t1,-464 # 800001e4 <bad8>
-800003bc: 02628c63 beq t0,t1,800003f4 <synchronous_exception+0xb4>
-800003c0: 00000317 auipc t1,0x0
-800003c4: e3830313 addi t1,t1,-456 # 800001f8 <bad9>
-800003c8: 02628c63 beq t0,t1,80000400 <synchronous_exception+0xc0>
-800003cc: e39ff06f j 80000204 <fail>
-800003d0: 00828293 addi t0,t0,8
-800003d4: 34129073 csrw mepc,t0
-800003d8: 30200073 mret
-800003dc: 00200337 lui t1,0x200
-800003e0: 30032073 csrs mstatus,t1
-800003e4: fedff06f j 800003d0 <synchronous_exception+0x90>
-800003e8: 00100337 lui t1,0x100
-800003ec: 30032073 csrs mstatus,t1
-800003f0: fe1ff06f j 800003d0 <synchronous_exception+0x90>
-800003f4: 00400337 lui t1,0x400
-800003f8: 30032073 csrs mstatus,t1
-800003fc: fd5ff06f j 800003d0 <synchronous_exception+0x90>
-80000400: fd1ff06f j 800003d0 <synchronous_exception+0x90>
-80000404: c0001073 unimp
-80000408: 0000 unimp
-8000040a: 0000 unimp
+80000350: 343023f3 csrr t2,mtval
+80000354: 00038e63 beqz t2,80000370 <synchronous_exception+0x30>
+80000358: 0002d303 lhu t1,0(t0)
+8000035c: 0063c3b3 xor t2,t2,t1
+80000360: 0022d303 lhu t1,2(t0)
+80000364: 01031313 slli t1,t1,0x10
+80000368: 0063c3b3 xor t2,t2,t1
+8000036c: e8039ce3 bnez t2,80000204 <fail>
+80000370: 00000317 auipc t1,0x0
+80000374: d9c30313 addi t1,t1,-612 # 8000010c <bad2>
+80000378: 04628e63 beq t0,t1,800003d4 <synchronous_exception+0x94>
+8000037c: 00000317 auipc t1,0x0
+80000380: e1830313 addi t1,t1,-488 # 80000194 <bad3>
+80000384: 04628e63 beq t0,t1,800003e0 <synchronous_exception+0xa0>
+80000388: 00000317 auipc t1,0x0
+8000038c: e1430313 addi t1,t1,-492 # 8000019c <bad4>
+80000390: 04628263 beq t0,t1,800003d4 <synchronous_exception+0x94>
+80000394: 00000317 auipc t1,0x0
+80000398: e1830313 addi t1,t1,-488 # 800001ac <bad5>
+8000039c: 04628863 beq t0,t1,800003ec <synchronous_exception+0xac>
+800003a0: 00000317 auipc t1,0x0
+800003a4: e1430313 addi t1,t1,-492 # 800001b4 <bad6>
+800003a8: 02628663 beq t0,t1,800003d4 <synchronous_exception+0x94>
+800003ac: 00000317 auipc t1,0x0
+800003b0: e1030313 addi t1,t1,-496 # 800001bc <bad7>
+800003b4: 02628063 beq t0,t1,800003d4 <synchronous_exception+0x94>
+800003b8: 00000317 auipc t1,0x0
+800003bc: e2c30313 addi t1,t1,-468 # 800001e4 <bad8>
+800003c0: 02628c63 beq t0,t1,800003f8 <synchronous_exception+0xb8>
+800003c4: 00000317 auipc t1,0x0
+800003c8: e3430313 addi t1,t1,-460 # 800001f8 <bad9>
+800003cc: 02628c63 beq t0,t1,80000404 <synchronous_exception+0xc4>
+800003d0: e35ff06f j 80000204 <fail>
+800003d4: 00828293 addi t0,t0,8
+800003d8: 34129073 csrw mepc,t0
+800003dc: 30200073 mret
+800003e0: 00200337 lui t1,0x200
+800003e4: 30032073 csrs mstatus,t1
+800003e8: fedff06f j 800003d4 <synchronous_exception+0x94>
+800003ec: 00100337 lui t1,0x100
+800003f0: 30032073 csrs mstatus,t1
+800003f4: fe1ff06f j 800003d4 <synchronous_exception+0x94>
+800003f8: 00400337 lui t1,0x400
+800003fc: 30032073 csrs mstatus,t1
+80000400: fd5ff06f j 800003d4 <synchronous_exception+0x94>
+80000404: fd1ff06f j 800003d4 <synchronous_exception+0x94>
+80000408: c0001073 unimp
8000040c: 0000 unimp
8000040e: 0000 unimp
80000410: 0000 unimp
diff --git a/test/riscv-tests/rv32mi-p-illegal.elf b/test/riscv-tests/rv32mi-p-illegal.elf
index b1119ea..c4175e8 100644
--- a/test/riscv-tests/rv32mi-p-illegal.elf
+++ b/test/riscv-tests/rv32mi-p-illegal.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-ma_addr.dump b/test/riscv-tests/rv32mi-p-ma_addr.dump
index acc23b8..ed02740 100644
--- a/test/riscv-tests/rv32mi-p-ma_addr.dump
+++ b/test/riscv-tests/rv32mi-p-ma_addr.dump
@@ -193,7 +193,7 @@ Disassembly of section .text.init:
800002b0 <mtvec_handler>:
800002b0: 342022f3 csrr t0,mcause
800002b4: fc929ce3 bne t0,s1,8000028c <fail>
-800002b8: 343022f3 csrr t0,mbadaddr
+800002b8: 343022f3 csrr t0,mtval
800002bc: fc6298e3 bne t0,t1,8000028c <fail>
800002c0: 00028283 lb t0,0(t0)
800002c4: fc0284e3 beqz t0,8000028c <fail>
diff --git a/test/riscv-tests/rv32mi-p-ma_addr.elf b/test/riscv-tests/rv32mi-p-ma_addr.elf
index 434e540..954fccc 100644
--- a/test/riscv-tests/rv32mi-p-ma_addr.elf
+++ b/test/riscv-tests/rv32mi-p-ma_addr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-ma_fetch.dump b/test/riscv-tests/rv32mi-p-ma_fetch.dump
index b7125a0..81d0f96 100644
--- a/test/riscv-tests/rv32mi-p-ma_fetch.dump
+++ b/test/riscv-tests/rv32mi-p-ma_fetch.dump
@@ -179,7 +179,7 @@ Disassembly of section .text.init:
8000025c: 341025f3 csrr a1,mepc
80000260: 00458593 addi a1,a1,4
80000264: fab292e3 bne t0,a1,80000208 <fail>
-80000268: 34302573 csrr a0,mbadaddr
+80000268: 34302573 csrr a0,mtval
8000026c: 00050663 beqz a0,80000278 <mtvec_handler+0x50>
80000270: ffe50513 addi a0,a0,-2
80000274: f8551ae3 bne a0,t0,80000208 <fail>
diff --git a/test/riscv-tests/rv32mi-p-ma_fetch.elf b/test/riscv-tests/rv32mi-p-ma_fetch.elf
index 1bcd8de..523433e 100644
--- a/test/riscv-tests/rv32mi-p-ma_fetch.elf
+++ b/test/riscv-tests/rv32mi-p-ma_fetch.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-mcsr.elf b/test/riscv-tests/rv32mi-p-mcsr.elf
index 27de0e0..9c15c58 100644
--- a/test/riscv-tests/rv32mi-p-mcsr.elf
+++ b/test/riscv-tests/rv32mi-p-mcsr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-sbreak.elf b/test/riscv-tests/rv32mi-p-sbreak.elf
index 3d681b2..867e1d7 100644
--- a/test/riscv-tests/rv32mi-p-sbreak.elf
+++ b/test/riscv-tests/rv32mi-p-sbreak.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-scall.dump b/test/riscv-tests/rv32mi-p-scall.dump
index 769cd3b..fea6323 100644
--- a/test/riscv-tests/rv32mi-p-scall.dump
+++ b/test/riscv-tests/rv32mi-p-scall.dump
@@ -84,8 +84,8 @@ Disassembly of section .text.init:
80000110: 000022b7 lui t0,0x2
80000114: 80028293 addi t0,t0,-2048 # 1800 <_start-0x7fffe800>
80000118: 3002b073 csrc mstatus,t0
-8000011c: 30002373 csrr t1,mstatus
-80000120: 0062f2b3 and t0,t0,t1
+8000011c: 300023f3 csrr t2,mstatus
+80000120: 0072f2b3 and t0,t0,t2
80000124: 00028463 beqz t0,8000012c <reset_vector+0xe0>
80000128: 00b00313 li t1,11
8000012c: 000022b7 lui t0,0x2
diff --git a/test/riscv-tests/rv32mi-p-scall.elf b/test/riscv-tests/rv32mi-p-scall.elf
index 50e8d05..20c6331 100644
--- a/test/riscv-tests/rv32mi-p-scall.elf
+++ b/test/riscv-tests/rv32mi-p-scall.elf
Binary files differ
diff --git a/test/riscv-tests/rv32mi-p-shamt.elf b/test/riscv-tests/rv32mi-p-shamt.elf
index 72690be..5f4964f 100644
--- a/test/riscv-tests/rv32mi-p-shamt.elf
+++ b/test/riscv-tests/rv32mi-p-shamt.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-csr.elf b/test/riscv-tests/rv32si-p-csr.elf
index f96edb6..a0f14b7 100644
--- a/test/riscv-tests/rv32si-p-csr.elf
+++ b/test/riscv-tests/rv32si-p-csr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-dirty.elf b/test/riscv-tests/rv32si-p-dirty.elf
index e280275..693451c 100644
--- a/test/riscv-tests/rv32si-p-dirty.elf
+++ b/test/riscv-tests/rv32si-p-dirty.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-ma_fetch.dump b/test/riscv-tests/rv32si-p-ma_fetch.dump
index 2449040..45e4c0f 100644
--- a/test/riscv-tests/rv32si-p-ma_fetch.dump
+++ b/test/riscv-tests/rv32si-p-ma_fetch.dump
@@ -157,7 +157,7 @@ Disassembly of section .text.init:
80000208: 141025f3 csrr a1,sepc
8000020c: 00458593 addi a1,a1,4
80000210: fab292e3 bne t0,a1,800001b4 <fail>
-80000214: 14302573 csrr a0,sbadaddr
+80000214: 14302573 csrr a0,stval
80000218: 00050663 beqz a0,80000224 <stvec_handler+0x50>
8000021c: ffe50513 addi a0,a0,-2
80000220: f8551ae3 bne a0,t0,800001b4 <fail>
diff --git a/test/riscv-tests/rv32si-p-ma_fetch.elf b/test/riscv-tests/rv32si-p-ma_fetch.elf
index 0ba668b..7a5fc3c 100644
--- a/test/riscv-tests/rv32si-p-ma_fetch.elf
+++ b/test/riscv-tests/rv32si-p-ma_fetch.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-sbreak.elf b/test/riscv-tests/rv32si-p-sbreak.elf
index e671998..13af354 100644
--- a/test/riscv-tests/rv32si-p-sbreak.elf
+++ b/test/riscv-tests/rv32si-p-sbreak.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-scall.elf b/test/riscv-tests/rv32si-p-scall.elf
index 7b3e0cf..a73522a 100644
--- a/test/riscv-tests/rv32si-p-scall.elf
+++ b/test/riscv-tests/rv32si-p-scall.elf
Binary files differ
diff --git a/test/riscv-tests/rv32si-p-wfi.elf b/test/riscv-tests/rv32si-p-wfi.elf
index 1dc9a8a..b5471c1 100644
--- a/test/riscv-tests/rv32si-p-wfi.elf
+++ b/test/riscv-tests/rv32si-p-wfi.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amoadd_w.elf b/test/riscv-tests/rv32ua-p-amoadd_w.elf
index 588ba2e..7e01c35 100644
--- a/test/riscv-tests/rv32ua-p-amoadd_w.elf
+++ b/test/riscv-tests/rv32ua-p-amoadd_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amoand_w.elf b/test/riscv-tests/rv32ua-p-amoand_w.elf
index 06f52ae..0196fd6 100644
--- a/test/riscv-tests/rv32ua-p-amoand_w.elf
+++ b/test/riscv-tests/rv32ua-p-amoand_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amomax_w.elf b/test/riscv-tests/rv32ua-p-amomax_w.elf
index 3b02afa..b6e91a5 100644
--- a/test/riscv-tests/rv32ua-p-amomax_w.elf
+++ b/test/riscv-tests/rv32ua-p-amomax_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amomaxu_w.elf b/test/riscv-tests/rv32ua-p-amomaxu_w.elf
index ef016f2..81eeb29 100644
--- a/test/riscv-tests/rv32ua-p-amomaxu_w.elf
+++ b/test/riscv-tests/rv32ua-p-amomaxu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amomin_w.elf b/test/riscv-tests/rv32ua-p-amomin_w.elf
index 90c791d..959194f 100644
--- a/test/riscv-tests/rv32ua-p-amomin_w.elf
+++ b/test/riscv-tests/rv32ua-p-amomin_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amominu_w.elf b/test/riscv-tests/rv32ua-p-amominu_w.elf
index 21a18dd..c818070 100644
--- a/test/riscv-tests/rv32ua-p-amominu_w.elf
+++ b/test/riscv-tests/rv32ua-p-amominu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amoor_w.elf b/test/riscv-tests/rv32ua-p-amoor_w.elf
index 16303b4..b62c0e8 100644
--- a/test/riscv-tests/rv32ua-p-amoor_w.elf
+++ b/test/riscv-tests/rv32ua-p-amoor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amoswap_w.elf b/test/riscv-tests/rv32ua-p-amoswap_w.elf
index 7477705..3094b78 100644
--- a/test/riscv-tests/rv32ua-p-amoswap_w.elf
+++ b/test/riscv-tests/rv32ua-p-amoswap_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-amoxor_w.elf b/test/riscv-tests/rv32ua-p-amoxor_w.elf
index 9606228..a893682 100644
--- a/test/riscv-tests/rv32ua-p-amoxor_w.elf
+++ b/test/riscv-tests/rv32ua-p-amoxor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-p-lrsc.dump b/test/riscv-tests/rv32ua-p-lrsc.dump
index de3daa7..1cc4576 100644
--- a/test/riscv-tests/rv32ua-p-lrsc.dump
+++ b/test/riscv-tests/rv32ua-p-lrsc.dump
@@ -88,78 +88,97 @@ Disassembly of section .text.init:
8000011c <test_2>:
8000011c: 00002517 auipc a0,0x2
80000120: eec50513 addi a0,a0,-276 # 80002008 <foo>
-80000124: 1805272f sc.w a4,zero,(a0)
-80000128: 00100e93 li t4,1
-8000012c: 00200193 li gp,2
-80000130: 09d71863 bne a4,t4,800001c0 <fail>
-
-80000134 <test_3>:
-80000134: 00002517 auipc a0,0x2
-80000138: ed450513 addi a0,a0,-300 # 80002008 <foo>
-8000013c: 40050593 addi a1,a0,1024
-80000140: 1005a5af lr.w a1,(a1)
-80000144: 18b5272f sc.w a4,a1,(a0)
-80000148: 00100e93 li t4,1
-8000014c: 00300193 li gp,3
-80000150: 07d71863 bne a4,t4,800001c0 <fail>
-80000154: 00002517 auipc a0,0x2
-80000158: eb450513 addi a0,a0,-332 # 80002008 <foo>
-8000015c: 40000593 li a1,1024
-80000160: 00160613 addi a2,a2,1
-80000164: 1005272f lr.w a4,(a0)
-80000168: 00c70733 add a4,a4,a2
-8000016c: 18e5272f sc.w a4,a4,(a0)
-80000170: fe071ae3 bnez a4,80000164 <test_3+0x30>
-80000174: fff58593 addi a1,a1,-1
-80000178: fe0596e3 bnez a1,80000164 <test_3+0x30>
-8000017c: 00002517 auipc a0,0x2
-80000180: e8850513 addi a0,a0,-376 # 80002004 <barrier>
-80000184: 00100593 li a1,1
-80000188: 00b5202f amoadd.w zero,a1,(a0)
-8000018c: 00052583 lw a1,0(a0)
-80000190: fed5cee3 blt a1,a3,8000018c <test_3+0x58>
-80000194: 0ff0000f fence
-
-80000198 <test_4>:
-80000198: 00002517 auipc a0,0x2
-8000019c: e7052503 lw a0,-400(a0) # 80002008 <foo>
-800001a0: 00969593 slli a1,a3,0x9
-800001a4: 40b50533 sub a0,a0,a1
-800001a8: fff68693 addi a3,a3,-1
-800001ac: fe06dce3 bgez a3,800001a4 <test_4+0xc>
-800001b0: 00000e93 li t4,0
-800001b4: 00400193 li gp,4
-800001b8: 01d51463 bne a0,t4,800001c0 <fail>
-800001bc: 00301c63 bne zero,gp,800001d4 <pass>
-
-800001c0 <fail>:
-800001c0: 0ff0000f fence
-800001c4: 00018063 beqz gp,800001c4 <fail+0x4>
-800001c8: 00119193 slli gp,gp,0x1
-800001cc: 0011e193 ori gp,gp,1
-800001d0: 00000073 ecall
-
-800001d4 <pass>:
-800001d4: 0ff0000f fence
-800001d8: 00100193 li gp,1
-800001dc: 00000073 ecall
-800001e0: c0001073 unimp
-800001e4: 0000 unimp
-800001e6: 0000 unimp
-800001e8: 0000 unimp
-800001ea: 0000 unimp
-800001ec: 0000 unimp
-800001ee: 0000 unimp
-800001f0: 0000 unimp
-800001f2: 0000 unimp
-800001f4: 0000 unimp
-800001f6: 0000 unimp
-800001f8: 0000 unimp
-800001fa: 0000 unimp
-800001fc: 0000 unimp
-800001fe: 0000 unimp
-80000200: 0000 unimp
-80000202: 0000 unimp
+80000124: deadc7b7 lui a5,0xdeadc
+80000128: eef78793 addi a5,a5,-273 # deadbeef <_end+0x5ead9adf>
+8000012c: 18f5272f sc.w a4,a5,(a0)
+80000130: 00100e93 li t4,1
+80000134: 00200193 li gp,2
+80000138: 0dd71663 bne a4,t4,80000204 <fail>
+
+8000013c <test_3>:
+8000013c: 00002717 auipc a4,0x2
+80000140: ecc72703 lw a4,-308(a4) # 80002008 <foo>
+80000144: 00000e93 li t4,0
+80000148: 00300193 li gp,3
+8000014c: 0bd71c63 bne a4,t4,80000204 <fail>
+
+80000150 <test_4>:
+80000150: 00002517 auipc a0,0x2
+80000154: eb850513 addi a0,a0,-328 # 80002008 <foo>
+80000158: 00002597 auipc a1,0x2
+8000015c: 2b458593 addi a1,a1,692 # 8000240c <fooTest3>
+80000160: 1005a5af lr.w a1,(a1)
+80000164: 18b5272f sc.w a4,a1,(a0)
+80000168: 00100e93 li t4,1
+8000016c: 00400193 li gp,4
+80000170: 09d71a63 bne a4,t4,80000204 <fail>
+80000174: 00002517 auipc a0,0x2
+80000178: e9450513 addi a0,a0,-364 # 80002008 <foo>
+8000017c: 40000593 li a1,1024
+80000180: 00160613 addi a2,a2,1
+80000184: 1005272f lr.w a4,(a0)
+80000188: 00c70733 add a4,a4,a2
+8000018c: 18e5272f sc.w a4,a4,(a0)
+80000190: fe071ae3 bnez a4,80000184 <test_4+0x34>
+80000194: fff58593 addi a1,a1,-1
+80000198: fe0596e3 bnez a1,80000184 <test_4+0x34>
+8000019c: 00002517 auipc a0,0x2
+800001a0: e6850513 addi a0,a0,-408 # 80002004 <barrier>
+800001a4: 00100593 li a1,1
+800001a8: 00b5202f amoadd.w zero,a1,(a0)
+800001ac: 00052583 lw a1,0(a0)
+800001b0: fed5cee3 blt a1,a3,800001ac <test_4+0x5c>
+800001b4: 0ff0000f fence
+
+800001b8 <test_5>:
+800001b8: 00002517 auipc a0,0x2
+800001bc: e5052503 lw a0,-432(a0) # 80002008 <foo>
+800001c0: 00969593 slli a1,a3,0x9
+800001c4: 40b50533 sub a0,a0,a1
+800001c8: fff68693 addi a3,a3,-1
+800001cc: fe06dce3 bgez a3,800001c4 <test_5+0xc>
+800001d0: 00000e93 li t4,0
+800001d4: 00500193 li gp,5
+800001d8: 03d51663 bne a0,t4,80000204 <fail>
+
+800001dc <test_6>:
+800001dc: 00002517 auipc a0,0x2
+800001e0: e2c50513 addi a0,a0,-468 # 80002008 <foo>
+800001e4: 100525af lr.w a1,(a0)
+800001e8: 180525af sc.w a1,zero,(a0)
+800001ec: fe059ce3 bnez a1,800001e4 <test_6+0x8>
+800001f0: 180525af sc.w a1,zero,(a0)
+800001f4: 00100e93 li t4,1
+800001f8: 00600193 li gp,6
+800001fc: 01d59463 bne a1,t4,80000204 <fail>
+80000200: 00301c63 bne zero,gp,80000218 <pass>
+
+80000204 <fail>:
+80000204: 0ff0000f fence
+80000208: 00018063 beqz gp,80000208 <fail+0x4>
+8000020c: 00119193 slli gp,gp,0x1
+80000210: 0011e193 ori gp,gp,1
+80000214: 00000073 ecall
+
+80000218 <pass>:
+80000218: 0ff0000f fence
+8000021c: 00100193 li gp,1
+80000220: 00000073 ecall
+80000224: c0001073 unimp
+80000228: 0000 unimp
+8000022a: 0000 unimp
+8000022c: 0000 unimp
+8000022e: 0000 unimp
+80000230: 0000 unimp
+80000232: 0000 unimp
+80000234: 0000 unimp
+80000236: 0000 unimp
+80000238: 0000 unimp
+8000023a: 0000 unimp
+8000023c: 0000 unimp
+8000023e: 0000 unimp
+80000240: 0000 unimp
+80000242: 0000 unimp
Disassembly of section .data:
@@ -176,3 +195,517 @@ Disassembly of section .data:
8000200a: 0000 unimp
8000200c: 0000 unimp
8000200e: 0000 unimp
+80002010: 0000 unimp
+80002012: 0000 unimp
+80002014: 0000 unimp
+80002016: 0000 unimp
+80002018: 0000 unimp
+8000201a: 0000 unimp
+8000201c: 0000 unimp
+8000201e: 0000 unimp
+80002020: 0000 unimp
+80002022: 0000 unimp
+80002024: 0000 unimp
+80002026: 0000 unimp
+80002028: 0000 unimp
+8000202a: 0000 unimp
+8000202c: 0000 unimp
+8000202e: 0000 unimp
+80002030: 0000 unimp
+80002032: 0000 unimp
+80002034: 0000 unimp
+80002036: 0000 unimp
+80002038: 0000 unimp
+8000203a: 0000 unimp
+8000203c: 0000 unimp
+8000203e: 0000 unimp
+80002040: 0000 unimp
+80002042: 0000 unimp
+80002044: 0000 unimp
+80002046: 0000 unimp
+80002048: 0000 unimp
+8000204a: 0000 unimp
+8000204c: 0000 unimp
+8000204e: 0000 unimp
+80002050: 0000 unimp
+80002052: 0000 unimp
+80002054: 0000 unimp
+80002056: 0000 unimp
+80002058: 0000 unimp
+8000205a: 0000 unimp
+8000205c: 0000 unimp
+8000205e: 0000 unimp
+80002060: 0000 unimp
+80002062: 0000 unimp
+80002064: 0000 unimp
+80002066: 0000 unimp
+80002068: 0000 unimp
+8000206a: 0000 unimp
+8000206c: 0000 unimp
+8000206e: 0000 unimp
+80002070: 0000 unimp
+80002072: 0000 unimp
+80002074: 0000 unimp
+80002076: 0000 unimp
+80002078: 0000 unimp
+8000207a: 0000 unimp
+8000207c: 0000 unimp
+8000207e: 0000 unimp
+80002080: 0000 unimp
+80002082: 0000 unimp
+80002084: 0000 unimp
+80002086: 0000 unimp
+80002088: 0000 unimp
+8000208a: 0000 unimp
+8000208c: 0000 unimp
+8000208e: 0000 unimp
+80002090: 0000 unimp
+80002092: 0000 unimp
+80002094: 0000 unimp
+80002096: 0000 unimp
+80002098: 0000 unimp
+8000209a: 0000 unimp
+8000209c: 0000 unimp
+8000209e: 0000 unimp
+800020a0: 0000 unimp
+800020a2: 0000 unimp
+800020a4: 0000 unimp
+800020a6: 0000 unimp
+800020a8: 0000 unimp
+800020aa: 0000 unimp
+800020ac: 0000 unimp
+800020ae: 0000 unimp
+800020b0: 0000 unimp
+800020b2: 0000 unimp
+800020b4: 0000 unimp
+800020b6: 0000 unimp
+800020b8: 0000 unimp
+800020ba: 0000 unimp
+800020bc: 0000 unimp
+800020be: 0000 unimp
+800020c0: 0000 unimp
+800020c2: 0000 unimp
+800020c4: 0000 unimp
+800020c6: 0000 unimp
+800020c8: 0000 unimp
+800020ca: 0000 unimp
+800020cc: 0000 unimp
+800020ce: 0000 unimp
+800020d0: 0000 unimp
+800020d2: 0000 unimp
+800020d4: 0000 unimp
+800020d6: 0000 unimp
+800020d8: 0000 unimp
+800020da: 0000 unimp
+800020dc: 0000 unimp
+800020de: 0000 unimp
+800020e0: 0000 unimp
+800020e2: 0000 unimp
+800020e4: 0000 unimp
+800020e6: 0000 unimp
+800020e8: 0000 unimp
+800020ea: 0000 unimp
+800020ec: 0000 unimp
+800020ee: 0000 unimp
+800020f0: 0000 unimp
+800020f2: 0000 unimp
+800020f4: 0000 unimp
+800020f6: 0000 unimp
+800020f8: 0000 unimp
+800020fa: 0000 unimp
+800020fc: 0000 unimp
+800020fe: 0000 unimp
+80002100: 0000 unimp
+80002102: 0000 unimp
+80002104: 0000 unimp
+80002106: 0000 unimp
+80002108: 0000 unimp
+8000210a: 0000 unimp
+8000210c: 0000 unimp
+8000210e: 0000 unimp
+80002110: 0000 unimp
+80002112: 0000 unimp
+80002114: 0000 unimp
+80002116: 0000 unimp
+80002118: 0000 unimp
+8000211a: 0000 unimp
+8000211c: 0000 unimp
+8000211e: 0000 unimp
+80002120: 0000 unimp
+80002122: 0000 unimp
+80002124: 0000 unimp
+80002126: 0000 unimp
+80002128: 0000 unimp
+8000212a: 0000 unimp
+8000212c: 0000 unimp
+8000212e: 0000 unimp
+80002130: 0000 unimp
+80002132: 0000 unimp
+80002134: 0000 unimp
+80002136: 0000 unimp
+80002138: 0000 unimp
+8000213a: 0000 unimp
+8000213c: 0000 unimp
+8000213e: 0000 unimp
+80002140: 0000 unimp
+80002142: 0000 unimp
+80002144: 0000 unimp
+80002146: 0000 unimp
+80002148: 0000 unimp
+8000214a: 0000 unimp
+8000214c: 0000 unimp
+8000214e: 0000 unimp
+80002150: 0000 unimp
+80002152: 0000 unimp
+80002154: 0000 unimp
+80002156: 0000 unimp
+80002158: 0000 unimp
+8000215a: 0000 unimp
+8000215c: 0000 unimp
+8000215e: 0000 unimp
+80002160: 0000 unimp
+80002162: 0000 unimp
+80002164: 0000 unimp
+80002166: 0000 unimp
+80002168: 0000 unimp
+8000216a: 0000 unimp
+8000216c: 0000 unimp
+8000216e: 0000 unimp
+80002170: 0000 unimp
+80002172: 0000 unimp
+80002174: 0000 unimp
+80002176: 0000 unimp
+80002178: 0000 unimp
+8000217a: 0000 unimp
+8000217c: 0000 unimp
+8000217e: 0000 unimp
+80002180: 0000 unimp
+80002182: 0000 unimp
+80002184: 0000 unimp
+80002186: 0000 unimp
+80002188: 0000 unimp
+8000218a: 0000 unimp
+8000218c: 0000 unimp
+8000218e: 0000 unimp
+80002190: 0000 unimp
+80002192: 0000 unimp
+80002194: 0000 unimp
+80002196: 0000 unimp
+80002198: 0000 unimp
+8000219a: 0000 unimp
+8000219c: 0000 unimp
+8000219e: 0000 unimp
+800021a0: 0000 unimp
+800021a2: 0000 unimp
+800021a4: 0000 unimp
+800021a6: 0000 unimp
+800021a8: 0000 unimp
+800021aa: 0000 unimp
+800021ac: 0000 unimp
+800021ae: 0000 unimp
+800021b0: 0000 unimp
+800021b2: 0000 unimp
+800021b4: 0000 unimp
+800021b6: 0000 unimp
+800021b8: 0000 unimp
+800021ba: 0000 unimp
+800021bc: 0000 unimp
+800021be: 0000 unimp
+800021c0: 0000 unimp
+800021c2: 0000 unimp
+800021c4: 0000 unimp
+800021c6: 0000 unimp
+800021c8: 0000 unimp
+800021ca: 0000 unimp
+800021cc: 0000 unimp
+800021ce: 0000 unimp
+800021d0: 0000 unimp
+800021d2: 0000 unimp
+800021d4: 0000 unimp
+800021d6: 0000 unimp
+800021d8: 0000 unimp
+800021da: 0000 unimp
+800021dc: 0000 unimp
+800021de: 0000 unimp
+800021e0: 0000 unimp
+800021e2: 0000 unimp
+800021e4: 0000 unimp
+800021e6: 0000 unimp
+800021e8: 0000 unimp
+800021ea: 0000 unimp
+800021ec: 0000 unimp
+800021ee: 0000 unimp
+800021f0: 0000 unimp
+800021f2: 0000 unimp
+800021f4: 0000 unimp
+800021f6: 0000 unimp
+800021f8: 0000 unimp
+800021fa: 0000 unimp
+800021fc: 0000 unimp
+800021fe: 0000 unimp
+80002200: 0000 unimp
+80002202: 0000 unimp
+80002204: 0000 unimp
+80002206: 0000 unimp
+80002208: 0000 unimp
+8000220a: 0000 unimp
+8000220c: 0000 unimp
+8000220e: 0000 unimp
+80002210: 0000 unimp
+80002212: 0000 unimp
+80002214: 0000 unimp
+80002216: 0000 unimp
+80002218: 0000 unimp
+8000221a: 0000 unimp
+8000221c: 0000 unimp
+8000221e: 0000 unimp
+80002220: 0000 unimp
+80002222: 0000 unimp
+80002224: 0000 unimp
+80002226: 0000 unimp
+80002228: 0000 unimp
+8000222a: 0000 unimp
+8000222c: 0000 unimp
+8000222e: 0000 unimp
+80002230: 0000 unimp
+80002232: 0000 unimp
+80002234: 0000 unimp
+80002236: 0000 unimp
+80002238: 0000 unimp
+8000223a: 0000 unimp
+8000223c: 0000 unimp
+8000223e: 0000 unimp
+80002240: 0000 unimp
+80002242: 0000 unimp
+80002244: 0000 unimp
+80002246: 0000 unimp
+80002248: 0000 unimp
+8000224a: 0000 unimp
+8000224c: 0000 unimp
+8000224e: 0000 unimp
+80002250: 0000 unimp
+80002252: 0000 unimp
+80002254: 0000 unimp
+80002256: 0000 unimp
+80002258: 0000 unimp
+8000225a: 0000 unimp
+8000225c: 0000 unimp
+8000225e: 0000 unimp
+80002260: 0000 unimp
+80002262: 0000 unimp
+80002264: 0000 unimp
+80002266: 0000 unimp
+80002268: 0000 unimp
+8000226a: 0000 unimp
+8000226c: 0000 unimp
+8000226e: 0000 unimp
+80002270: 0000 unimp
+80002272: 0000 unimp
+80002274: 0000 unimp
+80002276: 0000 unimp
+80002278: 0000 unimp
+8000227a: 0000 unimp
+8000227c: 0000 unimp
+8000227e: 0000 unimp
+80002280: 0000 unimp
+80002282: 0000 unimp
+80002284: 0000 unimp
+80002286: 0000 unimp
+80002288: 0000 unimp
+8000228a: 0000 unimp
+8000228c: 0000 unimp
+8000228e: 0000 unimp
+80002290: 0000 unimp
+80002292: 0000 unimp
+80002294: 0000 unimp
+80002296: 0000 unimp
+80002298: 0000 unimp
+8000229a: 0000 unimp
+8000229c: 0000 unimp
+8000229e: 0000 unimp
+800022a0: 0000 unimp
+800022a2: 0000 unimp
+800022a4: 0000 unimp
+800022a6: 0000 unimp
+800022a8: 0000 unimp
+800022aa: 0000 unimp
+800022ac: 0000 unimp
+800022ae: 0000 unimp
+800022b0: 0000 unimp
+800022b2: 0000 unimp
+800022b4: 0000 unimp
+800022b6: 0000 unimp
+800022b8: 0000 unimp
+800022ba: 0000 unimp
+800022bc: 0000 unimp
+800022be: 0000 unimp
+800022c0: 0000 unimp
+800022c2: 0000 unimp
+800022c4: 0000 unimp
+800022c6: 0000 unimp
+800022c8: 0000 unimp
+800022ca: 0000 unimp
+800022cc: 0000 unimp
+800022ce: 0000 unimp
+800022d0: 0000 unimp
+800022d2: 0000 unimp
+800022d4: 0000 unimp
+800022d6: 0000 unimp
+800022d8: 0000 unimp
+800022da: 0000 unimp
+800022dc: 0000 unimp
+800022de: 0000 unimp
+800022e0: 0000 unimp
+800022e2: 0000 unimp
+800022e4: 0000 unimp
+800022e6: 0000 unimp
+800022e8: 0000 unimp
+800022ea: 0000 unimp
+800022ec: 0000 unimp
+800022ee: 0000 unimp
+800022f0: 0000 unimp
+800022f2: 0000 unimp
+800022f4: 0000 unimp
+800022f6: 0000 unimp
+800022f8: 0000 unimp
+800022fa: 0000 unimp
+800022fc: 0000 unimp
+800022fe: 0000 unimp
+80002300: 0000 unimp
+80002302: 0000 unimp
+80002304: 0000 unimp
+80002306: 0000 unimp
+80002308: 0000 unimp
+8000230a: 0000 unimp
+8000230c: 0000 unimp
+8000230e: 0000 unimp
+80002310: 0000 unimp
+80002312: 0000 unimp
+80002314: 0000 unimp
+80002316: 0000 unimp
+80002318: 0000 unimp
+8000231a: 0000 unimp
+8000231c: 0000 unimp
+8000231e: 0000 unimp
+80002320: 0000 unimp
+80002322: 0000 unimp
+80002324: 0000 unimp
+80002326: 0000 unimp
+80002328: 0000 unimp
+8000232a: 0000 unimp
+8000232c: 0000 unimp
+8000232e: 0000 unimp
+80002330: 0000 unimp
+80002332: 0000 unimp
+80002334: 0000 unimp
+80002336: 0000 unimp
+80002338: 0000 unimp
+8000233a: 0000 unimp
+8000233c: 0000 unimp
+8000233e: 0000 unimp
+80002340: 0000 unimp
+80002342: 0000 unimp
+80002344: 0000 unimp
+80002346: 0000 unimp
+80002348: 0000 unimp
+8000234a: 0000 unimp
+8000234c: 0000 unimp
+8000234e: 0000 unimp
+80002350: 0000 unimp
+80002352: 0000 unimp
+80002354: 0000 unimp
+80002356: 0000 unimp
+80002358: 0000 unimp
+8000235a: 0000 unimp
+8000235c: 0000 unimp
+8000235e: 0000 unimp
+80002360: 0000 unimp
+80002362: 0000 unimp
+80002364: 0000 unimp
+80002366: 0000 unimp
+80002368: 0000 unimp
+8000236a: 0000 unimp
+8000236c: 0000 unimp
+8000236e: 0000 unimp
+80002370: 0000 unimp
+80002372: 0000 unimp
+80002374: 0000 unimp
+80002376: 0000 unimp
+80002378: 0000 unimp
+8000237a: 0000 unimp
+8000237c: 0000 unimp
+8000237e: 0000 unimp
+80002380: 0000 unimp
+80002382: 0000 unimp
+80002384: 0000 unimp
+80002386: 0000 unimp
+80002388: 0000 unimp
+8000238a: 0000 unimp
+8000238c: 0000 unimp
+8000238e: 0000 unimp
+80002390: 0000 unimp
+80002392: 0000 unimp
+80002394: 0000 unimp
+80002396: 0000 unimp
+80002398: 0000 unimp
+8000239a: 0000 unimp
+8000239c: 0000 unimp
+8000239e: 0000 unimp
+800023a0: 0000 unimp
+800023a2: 0000 unimp
+800023a4: 0000 unimp
+800023a6: 0000 unimp
+800023a8: 0000 unimp
+800023aa: 0000 unimp
+800023ac: 0000 unimp
+800023ae: 0000 unimp
+800023b0: 0000 unimp
+800023b2: 0000 unimp
+800023b4: 0000 unimp
+800023b6: 0000 unimp
+800023b8: 0000 unimp
+800023ba: 0000 unimp
+800023bc: 0000 unimp
+800023be: 0000 unimp
+800023c0: 0000 unimp
+800023c2: 0000 unimp
+800023c4: 0000 unimp
+800023c6: 0000 unimp
+800023c8: 0000 unimp
+800023ca: 0000 unimp
+800023cc: 0000 unimp
+800023ce: 0000 unimp
+800023d0: 0000 unimp
+800023d2: 0000 unimp
+800023d4: 0000 unimp
+800023d6: 0000 unimp
+800023d8: 0000 unimp
+800023da: 0000 unimp
+800023dc: 0000 unimp
+800023de: 0000 unimp
+800023e0: 0000 unimp
+800023e2: 0000 unimp
+800023e4: 0000 unimp
+800023e6: 0000 unimp
+800023e8: 0000 unimp
+800023ea: 0000 unimp
+800023ec: 0000 unimp
+800023ee: 0000 unimp
+800023f0: 0000 unimp
+800023f2: 0000 unimp
+800023f4: 0000 unimp
+800023f6: 0000 unimp
+800023f8: 0000 unimp
+800023fa: 0000 unimp
+800023fc: 0000 unimp
+800023fe: 0000 unimp
+80002400: 0000 unimp
+80002402: 0000 unimp
+80002404: 0000 unimp
+80002406: 0000 unimp
+80002408: 0000 unimp
+8000240a: 0000 unimp
+
+8000240c <fooTest3>:
+8000240c: 0000 unimp
+8000240e: 0000 unimp
diff --git a/test/riscv-tests/rv32ua-p-lrsc.elf b/test/riscv-tests/rv32ua-p-lrsc.elf
index 64c7e76..4f0e3ed 100644
--- a/test/riscv-tests/rv32ua-p-lrsc.elf
+++ b/test/riscv-tests/rv32ua-p-lrsc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amoadd_w.dump b/test/riscv-tests/rv32ua-v-amoadd_w.dump
index 2d40d2e..b1aa9d0 100644
--- a/test/riscv-tests/rv32ua-v-amoadd_w.dump
+++ b/test/riscv-tests/rv32ua-v-amoadd_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amoadd_w.elf b/test/riscv-tests/rv32ua-v-amoadd_w.elf
index 813d52f..6b8ed69 100644
--- a/test/riscv-tests/rv32ua-v-amoadd_w.elf
+++ b/test/riscv-tests/rv32ua-v-amoadd_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amoand_w.dump b/test/riscv-tests/rv32ua-v-amoand_w.dump
index fb10e74..c5d7a24 100644
--- a/test/riscv-tests/rv32ua-v-amoand_w.dump
+++ b/test/riscv-tests/rv32ua-v-amoand_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amoand_w.elf b/test/riscv-tests/rv32ua-v-amoand_w.elf
index 499e10c..65c734f 100644
--- a/test/riscv-tests/rv32ua-v-amoand_w.elf
+++ b/test/riscv-tests/rv32ua-v-amoand_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amomax_w.dump b/test/riscv-tests/rv32ua-v-amomax_w.dump
index adaf83f..101edff 100644
--- a/test/riscv-tests/rv32ua-v-amomax_w.dump
+++ b/test/riscv-tests/rv32ua-v-amomax_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amomax_w.elf b/test/riscv-tests/rv32ua-v-amomax_w.elf
index bc41c75..ef5118e 100644
--- a/test/riscv-tests/rv32ua-v-amomax_w.elf
+++ b/test/riscv-tests/rv32ua-v-amomax_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amomaxu_w.dump b/test/riscv-tests/rv32ua-v-amomaxu_w.dump
index 6e2a092..3a91210 100644
--- a/test/riscv-tests/rv32ua-v-amomaxu_w.dump
+++ b/test/riscv-tests/rv32ua-v-amomaxu_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amomaxu_w.elf b/test/riscv-tests/rv32ua-v-amomaxu_w.elf
index e0f36f3..7f5aecc 100644
--- a/test/riscv-tests/rv32ua-v-amomaxu_w.elf
+++ b/test/riscv-tests/rv32ua-v-amomaxu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amomin_w.dump b/test/riscv-tests/rv32ua-v-amomin_w.dump
index 39ef592..19d2927 100644
--- a/test/riscv-tests/rv32ua-v-amomin_w.dump
+++ b/test/riscv-tests/rv32ua-v-amomin_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amomin_w.elf b/test/riscv-tests/rv32ua-v-amomin_w.elf
index f70515c..c20cc10 100644
--- a/test/riscv-tests/rv32ua-v-amomin_w.elf
+++ b/test/riscv-tests/rv32ua-v-amomin_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amominu_w.dump b/test/riscv-tests/rv32ua-v-amominu_w.dump
index 300f3d5..fd6db12 100644
--- a/test/riscv-tests/rv32ua-v-amominu_w.dump
+++ b/test/riscv-tests/rv32ua-v-amominu_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amominu_w.elf b/test/riscv-tests/rv32ua-v-amominu_w.elf
index 275f1ba..c9cd3b1 100644
--- a/test/riscv-tests/rv32ua-v-amominu_w.elf
+++ b/test/riscv-tests/rv32ua-v-amominu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amoor_w.dump b/test/riscv-tests/rv32ua-v-amoor_w.dump
index 4bea68e..9fc9adb 100644
--- a/test/riscv-tests/rv32ua-v-amoor_w.dump
+++ b/test/riscv-tests/rv32ua-v-amoor_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amoor_w.elf b/test/riscv-tests/rv32ua-v-amoor_w.elf
index 88a70d6..eaa507c 100644
--- a/test/riscv-tests/rv32ua-v-amoor_w.elf
+++ b/test/riscv-tests/rv32ua-v-amoor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amoswap_w.dump b/test/riscv-tests/rv32ua-v-amoswap_w.dump
index 23eb81f..9544c6d 100644
--- a/test/riscv-tests/rv32ua-v-amoswap_w.dump
+++ b/test/riscv-tests/rv32ua-v-amoswap_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amoswap_w.elf b/test/riscv-tests/rv32ua-v-amoswap_w.elf
index a7e4636..60bc0f9 100644
--- a/test/riscv-tests/rv32ua-v-amoswap_w.elf
+++ b/test/riscv-tests/rv32ua-v-amoswap_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-amoxor_w.dump b/test/riscv-tests/rv32ua-v-amoxor_w.dump
index 098c0c1..84c62a1 100644
--- a/test/riscv-tests/rv32ua-v-amoxor_w.dump
+++ b/test/riscv-tests/rv32ua-v-amoxor_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ua-v-amoxor_w.elf b/test/riscv-tests/rv32ua-v-amoxor_w.elf
index ecf52a6..a00a81c 100644
--- a/test/riscv-tests/rv32ua-v-amoxor_w.elf
+++ b/test/riscv-tests/rv32ua-v-amoxor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ua-v-lrsc.dump b/test/riscv-tests/rv32ua-v-lrsc.dump
index cf552e8..857b65f 100644
--- a/test/riscv-tests/rv32ua-v-lrsc.dump
+++ b/test/riscv-tests/rv32ua-v-lrsc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
@@ -437,8 +437,8 @@ Disassembly of section .text:
800024bc: f207ac23 sw zero,-200(a5) # 800063f0 <freelist_tail>
800024c0: ef5ff06f j 800023b4 <handle_fault+0x70>
800024c4: 04100513 li a0,65
-800024c8: 00000597 auipc a1,0x0
-800024cc: 7f858593 addi a1,a1,2040 # 80002cc0 <pass+0xc>
+800024c8: 00001597 auipc a1,0x1
+800024cc: 83c58593 addi a1,a1,-1988 # 80002d04 <pass+0xc>
800024d0: fffff697 auipc a3,0xfffff
800024d4: b3068693 addi a3,a3,-1232 # 80001000 <tohost>
800024d8: fffff617 auipc a2,0xfffff
@@ -466,7 +466,7 @@ Disassembly of section .text:
80002530: cf9ff0ef jal ra,80002228 <terminate>
80002534: 04100513 li a0,65
80002538: 00001597 auipc a1,0x1
-8000253c: 81458593 addi a1,a1,-2028 # 80002d4c <pass+0x98>
+8000253c: 85858593 addi a1,a1,-1960 # 80002d90 <pass+0x98>
80002540: fffff697 auipc a3,0xfffff
80002544: ac068693 addi a3,a3,-1344 # 80001000 <tohost>
80002548: fffff617 auipc a2,0xfffff
@@ -492,8 +492,8 @@ Disassembly of section .text:
80002598: fa051ce3 bnez a0,80002550 <handle_fault+0x20c>
8000259c: f91ff06f j 8000252c <handle_fault+0x1e8>
800025a0: 04100513 li a0,65
-800025a4: 00000597 auipc a1,0x0
-800025a8: 7c058593 addi a1,a1,1984 # 80002d64 <pass+0xb0>
+800025a4: 00001597 auipc a1,0x1
+800025a8: 80458593 addi a1,a1,-2044 # 80002da8 <pass+0xb0>
800025ac: fffff697 auipc a3,0xfffff
800025b0: a5468693 addi a3,a3,-1452 # 80001000 <tohost>
800025b4: fffff617 auipc a2,0xfffff
@@ -520,7 +520,7 @@ Disassembly of section .text:
80002608: f25ff06f j 8000252c <handle_fault+0x1e8>
8000260c: 04100793 li a5,65
80002610: 00000597 auipc a1,0x0
-80002614: 6f458593 addi a1,a1,1780 # 80002d04 <pass+0x50>
+80002614: 73858593 addi a1,a1,1848 # 80002d48 <pass+0x50>
80002618: fffff697 auipc a3,0xfffff
8000261c: 9e868693 addi a3,a3,-1560 # 80001000 <tohost>
80002620: fffff617 auipc a2,0xfffff
@@ -600,7 +600,7 @@ Disassembly of section .text:
80002740: 06f70a63 beq a4,a5,800027b4 <handle_trap+0x13c>
80002744: 04100513 li a0,65
80002748: 00000597 auipc a1,0x0
-8000274c: 6e458593 addi a1,a1,1764 # 80002e2c <pass+0x178>
+8000274c: 72858593 addi a1,a1,1832 # 80002e70 <pass+0x178>
80002750: fffff697 auipc a3,0xfffff
80002754: 8b068693 addi a3,a3,-1872 # 80001000 <tohost>
80002758: fffff617 auipc a2,0xfffff
@@ -629,7 +629,7 @@ Disassembly of section .text:
800027b4: 00100513 li a0,1
800027b8: a71ff0ef jal ra,80002228 <terminate>
800027bc: 00000597 auipc a1,0x0
-800027c0: 64c58593 addi a1,a1,1612 # 80002e08 <pass+0x154>
+800027c0: 69058593 addi a1,a1,1680 # 80002e4c <pass+0x154>
800027c4: 04100613 li a2,65
800027c8: fffff697 auipc a3,0xfffff
800027cc: 83868693 addi a3,a3,-1992 # 80001000 <tohost>
@@ -714,7 +714,7 @@ Disassembly of section .text:
80002908: f59ff06f j 80002860 <handle_trap+0x1e8>
8000290c: 04100593 li a1,65
80002910: 00000617 auipc a2,0x0
-80002914: 54860613 addi a2,a2,1352 # 80002e58 <pass+0x1a4>
+80002914: 58c60613 addi a2,a2,1420 # 80002e9c <pass+0x1a4>
80002918: ffffe697 auipc a3,0xffffe
8000291c: 6e868693 addi a3,a3,1768 # 80001000 <tohost>
80002920: ffffe517 auipc a0,0xffffe
@@ -741,7 +741,7 @@ Disassembly of section .text:
80002974: e39ff06f j 800027ac <handle_trap+0x134>
80002978: 04100793 li a5,65
8000297c: 00000617 auipc a2,0x0
-80002980: 45860613 addi a2,a2,1112 # 80002dd4 <pass+0x120>
+80002980: 49c60613 addi a2,a2,1180 # 80002e18 <pass+0x120>
80002984: ffffe697 auipc a3,0xffffe
80002988: 67c68693 addi a3,a3,1660 # 80001000 <tohost>
8000298c: ffffe597 auipc a1,0xffffe
@@ -760,7 +760,7 @@ Disassembly of section .text:
800029c0: fe1ff06f j 800029a0 <handle_trap+0x328>
800029c4: 04100793 li a5,65
800029c8: 00000617 auipc a2,0x0
-800029cc: 3d860613 addi a2,a2,984 # 80002da0 <pass+0xec>
+800029cc: 41c60613 addi a2,a2,1052 # 80002de4 <pass+0xec>
800029d0: ffffe697 auipc a3,0xffffe
800029d4: 63068693 addi a3,a3,1584 # 80001000 <tohost>
800029d8: ffffe597 auipc a1,0xffffe
@@ -909,60 +909,81 @@ Disassembly of section .text:
80002c00 <test_2>:
80002c00: 00000517 auipc a0,0x0
80002c04: 40850513 addi a0,a0,1032 # 80003008 <foo>
-80002c08: 1805272f sc.w a4,zero,(a0)
-80002c0c: 00100e93 li t4,1
-80002c10: 00200193 li gp,2
-80002c14: 09d71863 bne a4,t4,80002ca4 <fail>
-
-80002c18 <test_3>:
-80002c18: 00000517 auipc a0,0x0
-80002c1c: 3f050513 addi a0,a0,1008 # 80003008 <foo>
-80002c20: 40050593 addi a1,a0,1024
-80002c24: 1005a5af lr.w a1,(a1)
-80002c28: 18b5272f sc.w a4,a1,(a0)
-80002c2c: 00100e93 li t4,1
-80002c30: 00300193 li gp,3
-80002c34: 07d71863 bne a4,t4,80002ca4 <fail>
-80002c38: 00000517 auipc a0,0x0
-80002c3c: 3d050513 addi a0,a0,976 # 80003008 <foo>
-80002c40: 40000593 li a1,1024
-80002c44: 00160613 addi a2,a2,1
-80002c48: 1005272f lr.w a4,(a0)
-80002c4c: 00c70733 add a4,a4,a2
-80002c50: 18e5272f sc.w a4,a4,(a0)
-80002c54: fe071ae3 bnez a4,80002c48 <test_3+0x30>
-80002c58: fff58593 addi a1,a1,-1 # 7fffffff <_end+0xffff9c07>
-80002c5c: fe0596e3 bnez a1,80002c48 <test_3+0x30>
-80002c60: 00000517 auipc a0,0x0
-80002c64: 3a450513 addi a0,a0,932 # 80003004 <barrier>
-80002c68: 00100593 li a1,1
-80002c6c: 00b5202f amoadd.w zero,a1,(a0)
-80002c70: 00052583 lw a1,0(a0)
-80002c74: fed5cee3 blt a1,a3,80002c70 <test_3+0x58>
-80002c78: 0ff0000f fence
-
-80002c7c <test_4>:
-80002c7c: 00000517 auipc a0,0x0
-80002c80: 38c52503 lw a0,908(a0) # 80003008 <foo>
-80002c84: 00969593 slli a1,a3,0x9
-80002c88: 40b50533 sub a0,a0,a1
-80002c8c: fff68693 addi a3,a3,-1
-80002c90: fe06dce3 bgez a3,80002c88 <test_4+0xc>
-80002c94: 00000e93 li t4,0
-80002c98: 00400193 li gp,4
-80002c9c: 01d51463 bne a0,t4,80002ca4 <fail>
-80002ca0: 00301a63 bne zero,gp,80002cb4 <pass>
-
-80002ca4 <fail>:
-80002ca4: 00119513 slli a0,gp,0x1
-80002ca8: 00050063 beqz a0,80002ca8 <fail+0x4>
-80002cac: 00156513 ori a0,a0,1
-80002cb0: 00000073 ecall
-
-80002cb4 <pass>:
-80002cb4: 00100513 li a0,1
-80002cb8: 00000073 ecall
-80002cbc: c0001073 unimp
+80002c08: deadc7b7 lui a5,0xdeadc
+80002c0c: eef78793 addi a5,a5,-273 # deadbeef <_end+0x5ead5af7>
+80002c10: 18f5272f sc.w a4,a5,(a0)
+80002c14: 00100e93 li t4,1
+80002c18: 00200193 li gp,2
+80002c1c: 0dd71663 bne a4,t4,80002ce8 <fail>
+
+80002c20 <test_3>:
+80002c20: 00000717 auipc a4,0x0
+80002c24: 3e872703 lw a4,1000(a4) # 80003008 <foo>
+80002c28: 00000e93 li t4,0
+80002c2c: 00300193 li gp,3
+80002c30: 0bd71c63 bne a4,t4,80002ce8 <fail>
+
+80002c34 <test_4>:
+80002c34: 00000517 auipc a0,0x0
+80002c38: 3d450513 addi a0,a0,980 # 80003008 <foo>
+80002c3c: 00000597 auipc a1,0x0
+80002c40: 7d058593 addi a1,a1,2000 # 8000340c <fooTest3>
+80002c44: 1005a5af lr.w a1,(a1)
+80002c48: 18b5272f sc.w a4,a1,(a0)
+80002c4c: 00100e93 li t4,1
+80002c50: 00400193 li gp,4
+80002c54: 09d71a63 bne a4,t4,80002ce8 <fail>
+80002c58: 00000517 auipc a0,0x0
+80002c5c: 3b050513 addi a0,a0,944 # 80003008 <foo>
+80002c60: 40000593 li a1,1024
+80002c64: 00160613 addi a2,a2,1
+80002c68: 1005272f lr.w a4,(a0)
+80002c6c: 00c70733 add a4,a4,a2
+80002c70: 18e5272f sc.w a4,a4,(a0)
+80002c74: fe071ae3 bnez a4,80002c68 <test_4+0x34>
+80002c78: fff58593 addi a1,a1,-1
+80002c7c: fe0596e3 bnez a1,80002c68 <test_4+0x34>
+80002c80: 00000517 auipc a0,0x0
+80002c84: 38450513 addi a0,a0,900 # 80003004 <barrier>
+80002c88: 00100593 li a1,1
+80002c8c: 00b5202f amoadd.w zero,a1,(a0)
+80002c90: 00052583 lw a1,0(a0)
+80002c94: fed5cee3 blt a1,a3,80002c90 <test_4+0x5c>
+80002c98: 0ff0000f fence
+
+80002c9c <test_5>:
+80002c9c: 00000517 auipc a0,0x0
+80002ca0: 36c52503 lw a0,876(a0) # 80003008 <foo>
+80002ca4: 00969593 slli a1,a3,0x9
+80002ca8: 40b50533 sub a0,a0,a1
+80002cac: fff68693 addi a3,a3,-1
+80002cb0: fe06dce3 bgez a3,80002ca8 <test_5+0xc>
+80002cb4: 00000e93 li t4,0
+80002cb8: 00500193 li gp,5
+80002cbc: 03d51663 bne a0,t4,80002ce8 <fail>
+
+80002cc0 <test_6>:
+80002cc0: 00000517 auipc a0,0x0
+80002cc4: 34850513 addi a0,a0,840 # 80003008 <foo>
+80002cc8: 100525af lr.w a1,(a0)
+80002ccc: 180525af sc.w a1,zero,(a0)
+80002cd0: fe059ce3 bnez a1,80002cc8 <test_6+0x8>
+80002cd4: 180525af sc.w a1,zero,(a0)
+80002cd8: 00100e93 li t4,1
+80002cdc: 00600193 li gp,6
+80002ce0: 01d59463 bne a1,t4,80002ce8 <fail>
+80002ce4: 00301a63 bne zero,gp,80002cf8 <pass>
+
+80002ce8 <fail>:
+80002ce8: 00119513 slli a0,gp,0x1
+80002cec: 00050063 beqz a0,80002cec <fail+0x4>
+80002cf0: 00156513 ori a0,a0,1
+80002cf4: 00000073 ecall
+
+80002cf8 <pass>:
+80002cf8: 00100513 li a0,1
+80002cfc: 00000073 ecall
+80002d00: c0001073 unimp
Disassembly of section .data:
@@ -977,3 +998,519 @@ Disassembly of section .data:
80003008 <foo>:
80003008: 0000 unimp
8000300a: 0000 unimp
+8000300c: 0000 unimp
+8000300e: 0000 unimp
+80003010: 0000 unimp
+80003012: 0000 unimp
+80003014: 0000 unimp
+80003016: 0000 unimp
+80003018: 0000 unimp
+8000301a: 0000 unimp
+8000301c: 0000 unimp
+8000301e: 0000 unimp
+80003020: 0000 unimp
+80003022: 0000 unimp
+80003024: 0000 unimp
+80003026: 0000 unimp
+80003028: 0000 unimp
+8000302a: 0000 unimp
+8000302c: 0000 unimp
+8000302e: 0000 unimp
+80003030: 0000 unimp
+80003032: 0000 unimp
+80003034: 0000 unimp
+80003036: 0000 unimp
+80003038: 0000 unimp
+8000303a: 0000 unimp
+8000303c: 0000 unimp
+8000303e: 0000 unimp
+80003040: 0000 unimp
+80003042: 0000 unimp
+80003044: 0000 unimp
+80003046: 0000 unimp
+80003048: 0000 unimp
+8000304a: 0000 unimp
+8000304c: 0000 unimp
+8000304e: 0000 unimp
+80003050: 0000 unimp
+80003052: 0000 unimp
+80003054: 0000 unimp
+80003056: 0000 unimp
+80003058: 0000 unimp
+8000305a: 0000 unimp
+8000305c: 0000 unimp
+8000305e: 0000 unimp
+80003060: 0000 unimp
+80003062: 0000 unimp
+80003064: 0000 unimp
+80003066: 0000 unimp
+80003068: 0000 unimp
+8000306a: 0000 unimp
+8000306c: 0000 unimp
+8000306e: 0000 unimp
+80003070: 0000 unimp
+80003072: 0000 unimp
+80003074: 0000 unimp
+80003076: 0000 unimp
+80003078: 0000 unimp
+8000307a: 0000 unimp
+8000307c: 0000 unimp
+8000307e: 0000 unimp
+80003080: 0000 unimp
+80003082: 0000 unimp
+80003084: 0000 unimp
+80003086: 0000 unimp
+80003088: 0000 unimp
+8000308a: 0000 unimp
+8000308c: 0000 unimp
+8000308e: 0000 unimp
+80003090: 0000 unimp
+80003092: 0000 unimp
+80003094: 0000 unimp
+80003096: 0000 unimp
+80003098: 0000 unimp
+8000309a: 0000 unimp
+8000309c: 0000 unimp
+8000309e: 0000 unimp
+800030a0: 0000 unimp
+800030a2: 0000 unimp
+800030a4: 0000 unimp
+800030a6: 0000 unimp
+800030a8: 0000 unimp
+800030aa: 0000 unimp
+800030ac: 0000 unimp
+800030ae: 0000 unimp
+800030b0: 0000 unimp
+800030b2: 0000 unimp
+800030b4: 0000 unimp
+800030b6: 0000 unimp
+800030b8: 0000 unimp
+800030ba: 0000 unimp
+800030bc: 0000 unimp
+800030be: 0000 unimp
+800030c0: 0000 unimp
+800030c2: 0000 unimp
+800030c4: 0000 unimp
+800030c6: 0000 unimp
+800030c8: 0000 unimp
+800030ca: 0000 unimp
+800030cc: 0000 unimp
+800030ce: 0000 unimp
+800030d0: 0000 unimp
+800030d2: 0000 unimp
+800030d4: 0000 unimp
+800030d6: 0000 unimp
+800030d8: 0000 unimp
+800030da: 0000 unimp
+800030dc: 0000 unimp
+800030de: 0000 unimp
+800030e0: 0000 unimp
+800030e2: 0000 unimp
+800030e4: 0000 unimp
+800030e6: 0000 unimp
+800030e8: 0000 unimp
+800030ea: 0000 unimp
+800030ec: 0000 unimp
+800030ee: 0000 unimp
+800030f0: 0000 unimp
+800030f2: 0000 unimp
+800030f4: 0000 unimp
+800030f6: 0000 unimp
+800030f8: 0000 unimp
+800030fa: 0000 unimp
+800030fc: 0000 unimp
+800030fe: 0000 unimp
+80003100: 0000 unimp
+80003102: 0000 unimp
+80003104: 0000 unimp
+80003106: 0000 unimp
+80003108: 0000 unimp
+8000310a: 0000 unimp
+8000310c: 0000 unimp
+8000310e: 0000 unimp
+80003110: 0000 unimp
+80003112: 0000 unimp
+80003114: 0000 unimp
+80003116: 0000 unimp
+80003118: 0000 unimp
+8000311a: 0000 unimp
+8000311c: 0000 unimp
+8000311e: 0000 unimp
+80003120: 0000 unimp
+80003122: 0000 unimp
+80003124: 0000 unimp
+80003126: 0000 unimp
+80003128: 0000 unimp
+8000312a: 0000 unimp
+8000312c: 0000 unimp
+8000312e: 0000 unimp
+80003130: 0000 unimp
+80003132: 0000 unimp
+80003134: 0000 unimp
+80003136: 0000 unimp
+80003138: 0000 unimp
+8000313a: 0000 unimp
+8000313c: 0000 unimp
+8000313e: 0000 unimp
+80003140: 0000 unimp
+80003142: 0000 unimp
+80003144: 0000 unimp
+80003146: 0000 unimp
+80003148: 0000 unimp
+8000314a: 0000 unimp
+8000314c: 0000 unimp
+8000314e: 0000 unimp
+80003150: 0000 unimp
+80003152: 0000 unimp
+80003154: 0000 unimp
+80003156: 0000 unimp
+80003158: 0000 unimp
+8000315a: 0000 unimp
+8000315c: 0000 unimp
+8000315e: 0000 unimp
+80003160: 0000 unimp
+80003162: 0000 unimp
+80003164: 0000 unimp
+80003166: 0000 unimp
+80003168: 0000 unimp
+8000316a: 0000 unimp
+8000316c: 0000 unimp
+8000316e: 0000 unimp
+80003170: 0000 unimp
+80003172: 0000 unimp
+80003174: 0000 unimp
+80003176: 0000 unimp
+80003178: 0000 unimp
+8000317a: 0000 unimp
+8000317c: 0000 unimp
+8000317e: 0000 unimp
+80003180: 0000 unimp
+80003182: 0000 unimp
+80003184: 0000 unimp
+80003186: 0000 unimp
+80003188: 0000 unimp
+8000318a: 0000 unimp
+8000318c: 0000 unimp
+8000318e: 0000 unimp
+80003190: 0000 unimp
+80003192: 0000 unimp
+80003194: 0000 unimp
+80003196: 0000 unimp
+80003198: 0000 unimp
+8000319a: 0000 unimp
+8000319c: 0000 unimp
+8000319e: 0000 unimp
+800031a0: 0000 unimp
+800031a2: 0000 unimp
+800031a4: 0000 unimp
+800031a6: 0000 unimp
+800031a8: 0000 unimp
+800031aa: 0000 unimp
+800031ac: 0000 unimp
+800031ae: 0000 unimp
+800031b0: 0000 unimp
+800031b2: 0000 unimp
+800031b4: 0000 unimp
+800031b6: 0000 unimp
+800031b8: 0000 unimp
+800031ba: 0000 unimp
+800031bc: 0000 unimp
+800031be: 0000 unimp
+800031c0: 0000 unimp
+800031c2: 0000 unimp
+800031c4: 0000 unimp
+800031c6: 0000 unimp
+800031c8: 0000 unimp
+800031ca: 0000 unimp
+800031cc: 0000 unimp
+800031ce: 0000 unimp
+800031d0: 0000 unimp
+800031d2: 0000 unimp
+800031d4: 0000 unimp
+800031d6: 0000 unimp
+800031d8: 0000 unimp
+800031da: 0000 unimp
+800031dc: 0000 unimp
+800031de: 0000 unimp
+800031e0: 0000 unimp
+800031e2: 0000 unimp
+800031e4: 0000 unimp
+800031e6: 0000 unimp
+800031e8: 0000 unimp
+800031ea: 0000 unimp
+800031ec: 0000 unimp
+800031ee: 0000 unimp
+800031f0: 0000 unimp
+800031f2: 0000 unimp
+800031f4: 0000 unimp
+800031f6: 0000 unimp
+800031f8: 0000 unimp
+800031fa: 0000 unimp
+800031fc: 0000 unimp
+800031fe: 0000 unimp
+80003200: 0000 unimp
+80003202: 0000 unimp
+80003204: 0000 unimp
+80003206: 0000 unimp
+80003208: 0000 unimp
+8000320a: 0000 unimp
+8000320c: 0000 unimp
+8000320e: 0000 unimp
+80003210: 0000 unimp
+80003212: 0000 unimp
+80003214: 0000 unimp
+80003216: 0000 unimp
+80003218: 0000 unimp
+8000321a: 0000 unimp
+8000321c: 0000 unimp
+8000321e: 0000 unimp
+80003220: 0000 unimp
+80003222: 0000 unimp
+80003224: 0000 unimp
+80003226: 0000 unimp
+80003228: 0000 unimp
+8000322a: 0000 unimp
+8000322c: 0000 unimp
+8000322e: 0000 unimp
+80003230: 0000 unimp
+80003232: 0000 unimp
+80003234: 0000 unimp
+80003236: 0000 unimp
+80003238: 0000 unimp
+8000323a: 0000 unimp
+8000323c: 0000 unimp
+8000323e: 0000 unimp
+80003240: 0000 unimp
+80003242: 0000 unimp
+80003244: 0000 unimp
+80003246: 0000 unimp
+80003248: 0000 unimp
+8000324a: 0000 unimp
+8000324c: 0000 unimp
+8000324e: 0000 unimp
+80003250: 0000 unimp
+80003252: 0000 unimp
+80003254: 0000 unimp
+80003256: 0000 unimp
+80003258: 0000 unimp
+8000325a: 0000 unimp
+8000325c: 0000 unimp
+8000325e: 0000 unimp
+80003260: 0000 unimp
+80003262: 0000 unimp
+80003264: 0000 unimp
+80003266: 0000 unimp
+80003268: 0000 unimp
+8000326a: 0000 unimp
+8000326c: 0000 unimp
+8000326e: 0000 unimp
+80003270: 0000 unimp
+80003272: 0000 unimp
+80003274: 0000 unimp
+80003276: 0000 unimp
+80003278: 0000 unimp
+8000327a: 0000 unimp
+8000327c: 0000 unimp
+8000327e: 0000 unimp
+80003280: 0000 unimp
+80003282: 0000 unimp
+80003284: 0000 unimp
+80003286: 0000 unimp
+80003288: 0000 unimp
+8000328a: 0000 unimp
+8000328c: 0000 unimp
+8000328e: 0000 unimp
+80003290: 0000 unimp
+80003292: 0000 unimp
+80003294: 0000 unimp
+80003296: 0000 unimp
+80003298: 0000 unimp
+8000329a: 0000 unimp
+8000329c: 0000 unimp
+8000329e: 0000 unimp
+800032a0: 0000 unimp
+800032a2: 0000 unimp
+800032a4: 0000 unimp
+800032a6: 0000 unimp
+800032a8: 0000 unimp
+800032aa: 0000 unimp
+800032ac: 0000 unimp
+800032ae: 0000 unimp
+800032b0: 0000 unimp
+800032b2: 0000 unimp
+800032b4: 0000 unimp
+800032b6: 0000 unimp
+800032b8: 0000 unimp
+800032ba: 0000 unimp
+800032bc: 0000 unimp
+800032be: 0000 unimp
+800032c0: 0000 unimp
+800032c2: 0000 unimp
+800032c4: 0000 unimp
+800032c6: 0000 unimp
+800032c8: 0000 unimp
+800032ca: 0000 unimp
+800032cc: 0000 unimp
+800032ce: 0000 unimp
+800032d0: 0000 unimp
+800032d2: 0000 unimp
+800032d4: 0000 unimp
+800032d6: 0000 unimp
+800032d8: 0000 unimp
+800032da: 0000 unimp
+800032dc: 0000 unimp
+800032de: 0000 unimp
+800032e0: 0000 unimp
+800032e2: 0000 unimp
+800032e4: 0000 unimp
+800032e6: 0000 unimp
+800032e8: 0000 unimp
+800032ea: 0000 unimp
+800032ec: 0000 unimp
+800032ee: 0000 unimp
+800032f0: 0000 unimp
+800032f2: 0000 unimp
+800032f4: 0000 unimp
+800032f6: 0000 unimp
+800032f8: 0000 unimp
+800032fa: 0000 unimp
+800032fc: 0000 unimp
+800032fe: 0000 unimp
+80003300: 0000 unimp
+80003302: 0000 unimp
+80003304: 0000 unimp
+80003306: 0000 unimp
+80003308: 0000 unimp
+8000330a: 0000 unimp
+8000330c: 0000 unimp
+8000330e: 0000 unimp
+80003310: 0000 unimp
+80003312: 0000 unimp
+80003314: 0000 unimp
+80003316: 0000 unimp
+80003318: 0000 unimp
+8000331a: 0000 unimp
+8000331c: 0000 unimp
+8000331e: 0000 unimp
+80003320: 0000 unimp
+80003322: 0000 unimp
+80003324: 0000 unimp
+80003326: 0000 unimp
+80003328: 0000 unimp
+8000332a: 0000 unimp
+8000332c: 0000 unimp
+8000332e: 0000 unimp
+80003330: 0000 unimp
+80003332: 0000 unimp
+80003334: 0000 unimp
+80003336: 0000 unimp
+80003338: 0000 unimp
+8000333a: 0000 unimp
+8000333c: 0000 unimp
+8000333e: 0000 unimp
+80003340: 0000 unimp
+80003342: 0000 unimp
+80003344: 0000 unimp
+80003346: 0000 unimp
+80003348: 0000 unimp
+8000334a: 0000 unimp
+8000334c: 0000 unimp
+8000334e: 0000 unimp
+80003350: 0000 unimp
+80003352: 0000 unimp
+80003354: 0000 unimp
+80003356: 0000 unimp
+80003358: 0000 unimp
+8000335a: 0000 unimp
+8000335c: 0000 unimp
+8000335e: 0000 unimp
+80003360: 0000 unimp
+80003362: 0000 unimp
+80003364: 0000 unimp
+80003366: 0000 unimp
+80003368: 0000 unimp
+8000336a: 0000 unimp
+8000336c: 0000 unimp
+8000336e: 0000 unimp
+80003370: 0000 unimp
+80003372: 0000 unimp
+80003374: 0000 unimp
+80003376: 0000 unimp
+80003378: 0000 unimp
+8000337a: 0000 unimp
+8000337c: 0000 unimp
+8000337e: 0000 unimp
+80003380: 0000 unimp
+80003382: 0000 unimp
+80003384: 0000 unimp
+80003386: 0000 unimp
+80003388: 0000 unimp
+8000338a: 0000 unimp
+8000338c: 0000 unimp
+8000338e: 0000 unimp
+80003390: 0000 unimp
+80003392: 0000 unimp
+80003394: 0000 unimp
+80003396: 0000 unimp
+80003398: 0000 unimp
+8000339a: 0000 unimp
+8000339c: 0000 unimp
+8000339e: 0000 unimp
+800033a0: 0000 unimp
+800033a2: 0000 unimp
+800033a4: 0000 unimp
+800033a6: 0000 unimp
+800033a8: 0000 unimp
+800033aa: 0000 unimp
+800033ac: 0000 unimp
+800033ae: 0000 unimp
+800033b0: 0000 unimp
+800033b2: 0000 unimp
+800033b4: 0000 unimp
+800033b6: 0000 unimp
+800033b8: 0000 unimp
+800033ba: 0000 unimp
+800033bc: 0000 unimp
+800033be: 0000 unimp
+800033c0: 0000 unimp
+800033c2: 0000 unimp
+800033c4: 0000 unimp
+800033c6: 0000 unimp
+800033c8: 0000 unimp
+800033ca: 0000 unimp
+800033cc: 0000 unimp
+800033ce: 0000 unimp
+800033d0: 0000 unimp
+800033d2: 0000 unimp
+800033d4: 0000 unimp
+800033d6: 0000 unimp
+800033d8: 0000 unimp
+800033da: 0000 unimp
+800033dc: 0000 unimp
+800033de: 0000 unimp
+800033e0: 0000 unimp
+800033e2: 0000 unimp
+800033e4: 0000 unimp
+800033e6: 0000 unimp
+800033e8: 0000 unimp
+800033ea: 0000 unimp
+800033ec: 0000 unimp
+800033ee: 0000 unimp
+800033f0: 0000 unimp
+800033f2: 0000 unimp
+800033f4: 0000 unimp
+800033f6: 0000 unimp
+800033f8: 0000 unimp
+800033fa: 0000 unimp
+800033fc: 0000 unimp
+800033fe: 0000 unimp
+80003400: 0000 unimp
+80003402: 0000 unimp
+80003404: 0000 unimp
+80003406: 0000 unimp
+80003408: 0000 unimp
+8000340a: 0000 unimp
+
+8000340c <fooTest3>:
+8000340c: 0000 unimp
+8000340e: 0000 unimp
diff --git a/test/riscv-tests/rv32ua-v-lrsc.elf b/test/riscv-tests/rv32ua-v-lrsc.elf
index 028bd28..c19ef2f 100644
--- a/test/riscv-tests/rv32ua-v-lrsc.elf
+++ b/test/riscv-tests/rv32ua-v-lrsc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32uc-p-rvc.elf b/test/riscv-tests/rv32uc-p-rvc.elf
index 1bf660c..7813b71 100644
--- a/test/riscv-tests/rv32uc-p-rvc.elf
+++ b/test/riscv-tests/rv32uc-p-rvc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32uc-v-rvc.dump b/test/riscv-tests/rv32uc-v-rvc.dump
index 5f5fe02..39c393a 100644
--- a/test/riscv-tests/rv32uc-v-rvc.dump
+++ b/test/riscv-tests/rv32uc-v-rvc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32uc-v-rvc.elf b/test/riscv-tests/rv32uc-v-rvc.elf
index b310f16..f8d54be 100644
--- a/test/riscv-tests/rv32uc-v-rvc.elf
+++ b/test/riscv-tests/rv32uc-v-rvc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-add.elf b/test/riscv-tests/rv32ui-p-add.elf
index 08e1992..a32ea33 100644
--- a/test/riscv-tests/rv32ui-p-add.elf
+++ b/test/riscv-tests/rv32ui-p-add.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-addi.elf b/test/riscv-tests/rv32ui-p-addi.elf
index e13ba96..25f1c02 100644
--- a/test/riscv-tests/rv32ui-p-addi.elf
+++ b/test/riscv-tests/rv32ui-p-addi.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-and.elf b/test/riscv-tests/rv32ui-p-and.elf
index 6d8cee2..f1468e4 100644
--- a/test/riscv-tests/rv32ui-p-and.elf
+++ b/test/riscv-tests/rv32ui-p-and.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-andi.elf b/test/riscv-tests/rv32ui-p-andi.elf
index fc8526f..5c02664 100644
--- a/test/riscv-tests/rv32ui-p-andi.elf
+++ b/test/riscv-tests/rv32ui-p-andi.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-auipc.elf b/test/riscv-tests/rv32ui-p-auipc.elf
index 424cf69..c50a29c 100644
--- a/test/riscv-tests/rv32ui-p-auipc.elf
+++ b/test/riscv-tests/rv32ui-p-auipc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-beq.elf b/test/riscv-tests/rv32ui-p-beq.elf
index c453e9c..0d2a410 100644
--- a/test/riscv-tests/rv32ui-p-beq.elf
+++ b/test/riscv-tests/rv32ui-p-beq.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-bge.elf b/test/riscv-tests/rv32ui-p-bge.elf
index a041b4a..8979b9e 100644
--- a/test/riscv-tests/rv32ui-p-bge.elf
+++ b/test/riscv-tests/rv32ui-p-bge.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-bgeu.elf b/test/riscv-tests/rv32ui-p-bgeu.elf
index ebf5238..8051042 100644
--- a/test/riscv-tests/rv32ui-p-bgeu.elf
+++ b/test/riscv-tests/rv32ui-p-bgeu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-blt.elf b/test/riscv-tests/rv32ui-p-blt.elf
index 7a544ce..d46af04 100644
--- a/test/riscv-tests/rv32ui-p-blt.elf
+++ b/test/riscv-tests/rv32ui-p-blt.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-bltu.elf b/test/riscv-tests/rv32ui-p-bltu.elf
index 4b8907c..e4e90ec 100644
--- a/test/riscv-tests/rv32ui-p-bltu.elf
+++ b/test/riscv-tests/rv32ui-p-bltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-bne.elf b/test/riscv-tests/rv32ui-p-bne.elf
index 0835371..3c3460b 100644
--- a/test/riscv-tests/rv32ui-p-bne.elf
+++ b/test/riscv-tests/rv32ui-p-bne.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-fence_i.elf b/test/riscv-tests/rv32ui-p-fence_i.elf
index 4ecf229..5a14522 100644
--- a/test/riscv-tests/rv32ui-p-fence_i.elf
+++ b/test/riscv-tests/rv32ui-p-fence_i.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-jal.elf b/test/riscv-tests/rv32ui-p-jal.elf
index 48cc1bd..2f30903 100644
--- a/test/riscv-tests/rv32ui-p-jal.elf
+++ b/test/riscv-tests/rv32ui-p-jal.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-jalr.elf b/test/riscv-tests/rv32ui-p-jalr.elf
index 1f91bf5..78f985d 100644
--- a/test/riscv-tests/rv32ui-p-jalr.elf
+++ b/test/riscv-tests/rv32ui-p-jalr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lb.elf b/test/riscv-tests/rv32ui-p-lb.elf
index 1f282e2..5e070c8 100644
--- a/test/riscv-tests/rv32ui-p-lb.elf
+++ b/test/riscv-tests/rv32ui-p-lb.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lbu.elf b/test/riscv-tests/rv32ui-p-lbu.elf
index f2983fa..b094c86 100644
--- a/test/riscv-tests/rv32ui-p-lbu.elf
+++ b/test/riscv-tests/rv32ui-p-lbu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lh.elf b/test/riscv-tests/rv32ui-p-lh.elf
index c3efa0e..348fa06 100644
--- a/test/riscv-tests/rv32ui-p-lh.elf
+++ b/test/riscv-tests/rv32ui-p-lh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lhu.elf b/test/riscv-tests/rv32ui-p-lhu.elf
index 959551e..2849a58 100644
--- a/test/riscv-tests/rv32ui-p-lhu.elf
+++ b/test/riscv-tests/rv32ui-p-lhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lui.elf b/test/riscv-tests/rv32ui-p-lui.elf
index 4f8c949..c38c7f2 100644
--- a/test/riscv-tests/rv32ui-p-lui.elf
+++ b/test/riscv-tests/rv32ui-p-lui.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-lw.elf b/test/riscv-tests/rv32ui-p-lw.elf
index 51219be..d28a7f2 100644
--- a/test/riscv-tests/rv32ui-p-lw.elf
+++ b/test/riscv-tests/rv32ui-p-lw.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-or.elf b/test/riscv-tests/rv32ui-p-or.elf
index 149c3dd..0f505c0 100644
--- a/test/riscv-tests/rv32ui-p-or.elf
+++ b/test/riscv-tests/rv32ui-p-or.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-ori.elf b/test/riscv-tests/rv32ui-p-ori.elf
index d66e485..eb13ca2 100644
--- a/test/riscv-tests/rv32ui-p-ori.elf
+++ b/test/riscv-tests/rv32ui-p-ori.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sb.elf b/test/riscv-tests/rv32ui-p-sb.elf
index 29bf0e4..f51313b 100644
--- a/test/riscv-tests/rv32ui-p-sb.elf
+++ b/test/riscv-tests/rv32ui-p-sb.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sh.elf b/test/riscv-tests/rv32ui-p-sh.elf
index 923effd..5d8c244 100644
--- a/test/riscv-tests/rv32ui-p-sh.elf
+++ b/test/riscv-tests/rv32ui-p-sh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-simple.elf b/test/riscv-tests/rv32ui-p-simple.elf
index c578534..5880ead 100644
--- a/test/riscv-tests/rv32ui-p-simple.elf
+++ b/test/riscv-tests/rv32ui-p-simple.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sll.elf b/test/riscv-tests/rv32ui-p-sll.elf
index 038897d..eb8029f 100644
--- a/test/riscv-tests/rv32ui-p-sll.elf
+++ b/test/riscv-tests/rv32ui-p-sll.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-slli.elf b/test/riscv-tests/rv32ui-p-slli.elf
index 7c4bd08..fdaf7c2 100644
--- a/test/riscv-tests/rv32ui-p-slli.elf
+++ b/test/riscv-tests/rv32ui-p-slli.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-slt.elf b/test/riscv-tests/rv32ui-p-slt.elf
index cbd003e..844410a 100644
--- a/test/riscv-tests/rv32ui-p-slt.elf
+++ b/test/riscv-tests/rv32ui-p-slt.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-slti.elf b/test/riscv-tests/rv32ui-p-slti.elf
index 020067f..faccd51 100644
--- a/test/riscv-tests/rv32ui-p-slti.elf
+++ b/test/riscv-tests/rv32ui-p-slti.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sltiu.elf b/test/riscv-tests/rv32ui-p-sltiu.elf
index 99bed26..ada45e2 100644
--- a/test/riscv-tests/rv32ui-p-sltiu.elf
+++ b/test/riscv-tests/rv32ui-p-sltiu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sltu.elf b/test/riscv-tests/rv32ui-p-sltu.elf
index b8b49d0..893aeb4 100644
--- a/test/riscv-tests/rv32ui-p-sltu.elf
+++ b/test/riscv-tests/rv32ui-p-sltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sra.elf b/test/riscv-tests/rv32ui-p-sra.elf
index 4ade1a6..ab4e4fb 100644
--- a/test/riscv-tests/rv32ui-p-sra.elf
+++ b/test/riscv-tests/rv32ui-p-sra.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-srai.elf b/test/riscv-tests/rv32ui-p-srai.elf
index 200b450..9a21c35 100644
--- a/test/riscv-tests/rv32ui-p-srai.elf
+++ b/test/riscv-tests/rv32ui-p-srai.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-srl.elf b/test/riscv-tests/rv32ui-p-srl.elf
index 5e61851..996dc3b 100644
--- a/test/riscv-tests/rv32ui-p-srl.elf
+++ b/test/riscv-tests/rv32ui-p-srl.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-srli.elf b/test/riscv-tests/rv32ui-p-srli.elf
index 05884ce..19c5927 100644
--- a/test/riscv-tests/rv32ui-p-srli.elf
+++ b/test/riscv-tests/rv32ui-p-srli.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sub.elf b/test/riscv-tests/rv32ui-p-sub.elf
index 5ca9221..90ed554 100644
--- a/test/riscv-tests/rv32ui-p-sub.elf
+++ b/test/riscv-tests/rv32ui-p-sub.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-sw.elf b/test/riscv-tests/rv32ui-p-sw.elf
index 6801986..eb1ae2b 100644
--- a/test/riscv-tests/rv32ui-p-sw.elf
+++ b/test/riscv-tests/rv32ui-p-sw.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-xor.elf b/test/riscv-tests/rv32ui-p-xor.elf
index 43383b3..1fcbf5b 100644
--- a/test/riscv-tests/rv32ui-p-xor.elf
+++ b/test/riscv-tests/rv32ui-p-xor.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-p-xori.elf b/test/riscv-tests/rv32ui-p-xori.elf
index 002de5f..34f8717 100644
--- a/test/riscv-tests/rv32ui-p-xori.elf
+++ b/test/riscv-tests/rv32ui-p-xori.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-add.dump b/test/riscv-tests/rv32ui-v-add.dump
index d5d4214..50324a6 100644
--- a/test/riscv-tests/rv32ui-v-add.dump
+++ b/test/riscv-tests/rv32ui-v-add.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-add.elf b/test/riscv-tests/rv32ui-v-add.elf
index 2583361..bb0f908 100644
--- a/test/riscv-tests/rv32ui-v-add.elf
+++ b/test/riscv-tests/rv32ui-v-add.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-addi.dump b/test/riscv-tests/rv32ui-v-addi.dump
index 7268180..35b1348 100644
--- a/test/riscv-tests/rv32ui-v-addi.dump
+++ b/test/riscv-tests/rv32ui-v-addi.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-addi.elf b/test/riscv-tests/rv32ui-v-addi.elf
index 0940931..e948b74 100644
--- a/test/riscv-tests/rv32ui-v-addi.elf
+++ b/test/riscv-tests/rv32ui-v-addi.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-and.dump b/test/riscv-tests/rv32ui-v-and.dump
index 26b8f0b..9e787f1 100644
--- a/test/riscv-tests/rv32ui-v-and.dump
+++ b/test/riscv-tests/rv32ui-v-and.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-and.elf b/test/riscv-tests/rv32ui-v-and.elf
index 0167436..b2f7c3a 100644
--- a/test/riscv-tests/rv32ui-v-and.elf
+++ b/test/riscv-tests/rv32ui-v-and.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-andi.dump b/test/riscv-tests/rv32ui-v-andi.dump
index 3700289..8f052f3 100644
--- a/test/riscv-tests/rv32ui-v-andi.dump
+++ b/test/riscv-tests/rv32ui-v-andi.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-andi.elf b/test/riscv-tests/rv32ui-v-andi.elf
index b5ef22e..78db8c9 100644
--- a/test/riscv-tests/rv32ui-v-andi.elf
+++ b/test/riscv-tests/rv32ui-v-andi.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-auipc.dump b/test/riscv-tests/rv32ui-v-auipc.dump
index f61180f..83f7d90 100644
--- a/test/riscv-tests/rv32ui-v-auipc.dump
+++ b/test/riscv-tests/rv32ui-v-auipc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-auipc.elf b/test/riscv-tests/rv32ui-v-auipc.elf
index 8ac894f..9a35aed 100644
--- a/test/riscv-tests/rv32ui-v-auipc.elf
+++ b/test/riscv-tests/rv32ui-v-auipc.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-beq.dump b/test/riscv-tests/rv32ui-v-beq.dump
index e49c63a..79bc15e 100644
--- a/test/riscv-tests/rv32ui-v-beq.dump
+++ b/test/riscv-tests/rv32ui-v-beq.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-beq.elf b/test/riscv-tests/rv32ui-v-beq.elf
index 7509ca9..39ff6da 100644
--- a/test/riscv-tests/rv32ui-v-beq.elf
+++ b/test/riscv-tests/rv32ui-v-beq.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-bge.dump b/test/riscv-tests/rv32ui-v-bge.dump
index 2b459c8..a34abe0 100644
--- a/test/riscv-tests/rv32ui-v-bge.dump
+++ b/test/riscv-tests/rv32ui-v-bge.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-bge.elf b/test/riscv-tests/rv32ui-v-bge.elf
index b3b3aee..fe9d348 100644
--- a/test/riscv-tests/rv32ui-v-bge.elf
+++ b/test/riscv-tests/rv32ui-v-bge.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-bgeu.dump b/test/riscv-tests/rv32ui-v-bgeu.dump
index ac6bfe1..1383b12 100644
--- a/test/riscv-tests/rv32ui-v-bgeu.dump
+++ b/test/riscv-tests/rv32ui-v-bgeu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-bgeu.elf b/test/riscv-tests/rv32ui-v-bgeu.elf
index 2f4d882..5fd2761 100644
--- a/test/riscv-tests/rv32ui-v-bgeu.elf
+++ b/test/riscv-tests/rv32ui-v-bgeu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-blt.dump b/test/riscv-tests/rv32ui-v-blt.dump
index 24b1d64..6d5652b 100644
--- a/test/riscv-tests/rv32ui-v-blt.dump
+++ b/test/riscv-tests/rv32ui-v-blt.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-blt.elf b/test/riscv-tests/rv32ui-v-blt.elf
index b1a527f..9e6ffff 100644
--- a/test/riscv-tests/rv32ui-v-blt.elf
+++ b/test/riscv-tests/rv32ui-v-blt.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-bltu.dump b/test/riscv-tests/rv32ui-v-bltu.dump
index cd3fffb..b15436b 100644
--- a/test/riscv-tests/rv32ui-v-bltu.dump
+++ b/test/riscv-tests/rv32ui-v-bltu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-bltu.elf b/test/riscv-tests/rv32ui-v-bltu.elf
index eda976a..df3383e 100644
--- a/test/riscv-tests/rv32ui-v-bltu.elf
+++ b/test/riscv-tests/rv32ui-v-bltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-bne.dump b/test/riscv-tests/rv32ui-v-bne.dump
index 2b4de55..50abbf4 100644
--- a/test/riscv-tests/rv32ui-v-bne.dump
+++ b/test/riscv-tests/rv32ui-v-bne.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-bne.elf b/test/riscv-tests/rv32ui-v-bne.elf
index 4757ddc..6a62841 100644
--- a/test/riscv-tests/rv32ui-v-bne.elf
+++ b/test/riscv-tests/rv32ui-v-bne.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-fence_i.dump b/test/riscv-tests/rv32ui-v-fence_i.dump
index 59500cb..b07b734 100644
--- a/test/riscv-tests/rv32ui-v-fence_i.dump
+++ b/test/riscv-tests/rv32ui-v-fence_i.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-fence_i.elf b/test/riscv-tests/rv32ui-v-fence_i.elf
index 9489075..25cc628 100644
--- a/test/riscv-tests/rv32ui-v-fence_i.elf
+++ b/test/riscv-tests/rv32ui-v-fence_i.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-jal.dump b/test/riscv-tests/rv32ui-v-jal.dump
index 7f96c3c..9c9d229 100644
--- a/test/riscv-tests/rv32ui-v-jal.dump
+++ b/test/riscv-tests/rv32ui-v-jal.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-jal.elf b/test/riscv-tests/rv32ui-v-jal.elf
index c059f2a..e245e2e 100644
--- a/test/riscv-tests/rv32ui-v-jal.elf
+++ b/test/riscv-tests/rv32ui-v-jal.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-jalr.dump b/test/riscv-tests/rv32ui-v-jalr.dump
index b6b2395..67fec4b 100644
--- a/test/riscv-tests/rv32ui-v-jalr.dump
+++ b/test/riscv-tests/rv32ui-v-jalr.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-jalr.elf b/test/riscv-tests/rv32ui-v-jalr.elf
index 2eb7966..bb4faa7 100644
--- a/test/riscv-tests/rv32ui-v-jalr.elf
+++ b/test/riscv-tests/rv32ui-v-jalr.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lb.dump b/test/riscv-tests/rv32ui-v-lb.dump
index 72282ab..3cdefa6 100644
--- a/test/riscv-tests/rv32ui-v-lb.dump
+++ b/test/riscv-tests/rv32ui-v-lb.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lb.elf b/test/riscv-tests/rv32ui-v-lb.elf
index cb02bba..d93b431 100644
--- a/test/riscv-tests/rv32ui-v-lb.elf
+++ b/test/riscv-tests/rv32ui-v-lb.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lbu.dump b/test/riscv-tests/rv32ui-v-lbu.dump
index 9ad9e74..f39e6cc 100644
--- a/test/riscv-tests/rv32ui-v-lbu.dump
+++ b/test/riscv-tests/rv32ui-v-lbu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lbu.elf b/test/riscv-tests/rv32ui-v-lbu.elf
index 8e29583..0c7a831 100644
--- a/test/riscv-tests/rv32ui-v-lbu.elf
+++ b/test/riscv-tests/rv32ui-v-lbu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lh.dump b/test/riscv-tests/rv32ui-v-lh.dump
index 4e9df63..7e5edd3 100644
--- a/test/riscv-tests/rv32ui-v-lh.dump
+++ b/test/riscv-tests/rv32ui-v-lh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lh.elf b/test/riscv-tests/rv32ui-v-lh.elf
index a5118d4..b82a95f 100644
--- a/test/riscv-tests/rv32ui-v-lh.elf
+++ b/test/riscv-tests/rv32ui-v-lh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lhu.dump b/test/riscv-tests/rv32ui-v-lhu.dump
index 86e4b7d..21de113 100644
--- a/test/riscv-tests/rv32ui-v-lhu.dump
+++ b/test/riscv-tests/rv32ui-v-lhu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lhu.elf b/test/riscv-tests/rv32ui-v-lhu.elf
index f6d350f..0e4f992 100644
--- a/test/riscv-tests/rv32ui-v-lhu.elf
+++ b/test/riscv-tests/rv32ui-v-lhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lui.dump b/test/riscv-tests/rv32ui-v-lui.dump
index c9abb43..4ab12fe 100644
--- a/test/riscv-tests/rv32ui-v-lui.dump
+++ b/test/riscv-tests/rv32ui-v-lui.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lui.elf b/test/riscv-tests/rv32ui-v-lui.elf
index de2ba2d..4870fb6 100644
--- a/test/riscv-tests/rv32ui-v-lui.elf
+++ b/test/riscv-tests/rv32ui-v-lui.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-lw.dump b/test/riscv-tests/rv32ui-v-lw.dump
index e8f1f4c..58f77c1 100644
--- a/test/riscv-tests/rv32ui-v-lw.dump
+++ b/test/riscv-tests/rv32ui-v-lw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-lw.elf b/test/riscv-tests/rv32ui-v-lw.elf
index a88c1d7..c3f458c 100644
--- a/test/riscv-tests/rv32ui-v-lw.elf
+++ b/test/riscv-tests/rv32ui-v-lw.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-or.dump b/test/riscv-tests/rv32ui-v-or.dump
index 2b4c8cb..31b983c 100644
--- a/test/riscv-tests/rv32ui-v-or.dump
+++ b/test/riscv-tests/rv32ui-v-or.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-or.elf b/test/riscv-tests/rv32ui-v-or.elf
index 541ab9c..518eb70 100644
--- a/test/riscv-tests/rv32ui-v-or.elf
+++ b/test/riscv-tests/rv32ui-v-or.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-ori.dump b/test/riscv-tests/rv32ui-v-ori.dump
index 662257e..47a1380 100644
--- a/test/riscv-tests/rv32ui-v-ori.dump
+++ b/test/riscv-tests/rv32ui-v-ori.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-ori.elf b/test/riscv-tests/rv32ui-v-ori.elf
index 2bf2e67..14d826d 100644
--- a/test/riscv-tests/rv32ui-v-ori.elf
+++ b/test/riscv-tests/rv32ui-v-ori.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sb.dump b/test/riscv-tests/rv32ui-v-sb.dump
index 6b6ed07..70f0b4b 100644
--- a/test/riscv-tests/rv32ui-v-sb.dump
+++ b/test/riscv-tests/rv32ui-v-sb.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sb.elf b/test/riscv-tests/rv32ui-v-sb.elf
index 0fad6f1..a700151 100644
--- a/test/riscv-tests/rv32ui-v-sb.elf
+++ b/test/riscv-tests/rv32ui-v-sb.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sh.dump b/test/riscv-tests/rv32ui-v-sh.dump
index 9fbbea1..6880295 100644
--- a/test/riscv-tests/rv32ui-v-sh.dump
+++ b/test/riscv-tests/rv32ui-v-sh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sh.elf b/test/riscv-tests/rv32ui-v-sh.elf
index 78f6a5d..d3f3d86 100644
--- a/test/riscv-tests/rv32ui-v-sh.elf
+++ b/test/riscv-tests/rv32ui-v-sh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-simple.dump b/test/riscv-tests/rv32ui-v-simple.dump
index bfe12f5..92e8001 100644
--- a/test/riscv-tests/rv32ui-v-simple.dump
+++ b/test/riscv-tests/rv32ui-v-simple.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-simple.elf b/test/riscv-tests/rv32ui-v-simple.elf
index 61413b2..85bfb91 100644
--- a/test/riscv-tests/rv32ui-v-simple.elf
+++ b/test/riscv-tests/rv32ui-v-simple.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sll.dump b/test/riscv-tests/rv32ui-v-sll.dump
index abb36d2..47b83bd 100644
--- a/test/riscv-tests/rv32ui-v-sll.dump
+++ b/test/riscv-tests/rv32ui-v-sll.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sll.elf b/test/riscv-tests/rv32ui-v-sll.elf
index 99cc21f..12cf0fb 100644
--- a/test/riscv-tests/rv32ui-v-sll.elf
+++ b/test/riscv-tests/rv32ui-v-sll.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-slli.dump b/test/riscv-tests/rv32ui-v-slli.dump
index d1abf8b..2b154d5 100644
--- a/test/riscv-tests/rv32ui-v-slli.dump
+++ b/test/riscv-tests/rv32ui-v-slli.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-slli.elf b/test/riscv-tests/rv32ui-v-slli.elf
index b0a02ee..12d09d4 100644
--- a/test/riscv-tests/rv32ui-v-slli.elf
+++ b/test/riscv-tests/rv32ui-v-slli.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-slt.dump b/test/riscv-tests/rv32ui-v-slt.dump
index 307431a..c103360 100644
--- a/test/riscv-tests/rv32ui-v-slt.dump
+++ b/test/riscv-tests/rv32ui-v-slt.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-slt.elf b/test/riscv-tests/rv32ui-v-slt.elf
index cd22dd9..cce04e4 100644
--- a/test/riscv-tests/rv32ui-v-slt.elf
+++ b/test/riscv-tests/rv32ui-v-slt.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-slti.dump b/test/riscv-tests/rv32ui-v-slti.dump
index 3115595..71fdf1d 100644
--- a/test/riscv-tests/rv32ui-v-slti.dump
+++ b/test/riscv-tests/rv32ui-v-slti.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-slti.elf b/test/riscv-tests/rv32ui-v-slti.elf
index c03c470..7f14ea2 100644
--- a/test/riscv-tests/rv32ui-v-slti.elf
+++ b/test/riscv-tests/rv32ui-v-slti.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sltiu.dump b/test/riscv-tests/rv32ui-v-sltiu.dump
index 64b39a6..dc38e72 100644
--- a/test/riscv-tests/rv32ui-v-sltiu.dump
+++ b/test/riscv-tests/rv32ui-v-sltiu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sltiu.elf b/test/riscv-tests/rv32ui-v-sltiu.elf
index e3d004d..7b2d656 100644
--- a/test/riscv-tests/rv32ui-v-sltiu.elf
+++ b/test/riscv-tests/rv32ui-v-sltiu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sltu.dump b/test/riscv-tests/rv32ui-v-sltu.dump
index 6b6fd80..38f0bdf 100644
--- a/test/riscv-tests/rv32ui-v-sltu.dump
+++ b/test/riscv-tests/rv32ui-v-sltu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sltu.elf b/test/riscv-tests/rv32ui-v-sltu.elf
index 77c8e7d..4b3cc3a 100644
--- a/test/riscv-tests/rv32ui-v-sltu.elf
+++ b/test/riscv-tests/rv32ui-v-sltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sra.dump b/test/riscv-tests/rv32ui-v-sra.dump
index 62f72de..617e0e1 100644
--- a/test/riscv-tests/rv32ui-v-sra.dump
+++ b/test/riscv-tests/rv32ui-v-sra.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sra.elf b/test/riscv-tests/rv32ui-v-sra.elf
index 85cc239..118f036 100644
--- a/test/riscv-tests/rv32ui-v-sra.elf
+++ b/test/riscv-tests/rv32ui-v-sra.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-srai.dump b/test/riscv-tests/rv32ui-v-srai.dump
index 3c7c960..4cc1511 100644
--- a/test/riscv-tests/rv32ui-v-srai.dump
+++ b/test/riscv-tests/rv32ui-v-srai.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-srai.elf b/test/riscv-tests/rv32ui-v-srai.elf
index 7bcb4a3..6945e6b 100644
--- a/test/riscv-tests/rv32ui-v-srai.elf
+++ b/test/riscv-tests/rv32ui-v-srai.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-srl.dump b/test/riscv-tests/rv32ui-v-srl.dump
index 2ae8970..cf41ee1 100644
--- a/test/riscv-tests/rv32ui-v-srl.dump
+++ b/test/riscv-tests/rv32ui-v-srl.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-srl.elf b/test/riscv-tests/rv32ui-v-srl.elf
index c3c52f9..f171582 100644
--- a/test/riscv-tests/rv32ui-v-srl.elf
+++ b/test/riscv-tests/rv32ui-v-srl.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-srli.dump b/test/riscv-tests/rv32ui-v-srli.dump
index 2b26a3a..de2e7a2 100644
--- a/test/riscv-tests/rv32ui-v-srli.dump
+++ b/test/riscv-tests/rv32ui-v-srli.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-srli.elf b/test/riscv-tests/rv32ui-v-srli.elf
index f30158d..07cde59 100644
--- a/test/riscv-tests/rv32ui-v-srli.elf
+++ b/test/riscv-tests/rv32ui-v-srli.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sub.dump b/test/riscv-tests/rv32ui-v-sub.dump
index 8ab87f3..4910522 100644
--- a/test/riscv-tests/rv32ui-v-sub.dump
+++ b/test/riscv-tests/rv32ui-v-sub.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sub.elf b/test/riscv-tests/rv32ui-v-sub.elf
index 7a06edd..b7b3cf1 100644
--- a/test/riscv-tests/rv32ui-v-sub.elf
+++ b/test/riscv-tests/rv32ui-v-sub.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-sw.dump b/test/riscv-tests/rv32ui-v-sw.dump
index 7e98073..ae112b4 100644
--- a/test/riscv-tests/rv32ui-v-sw.dump
+++ b/test/riscv-tests/rv32ui-v-sw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-sw.elf b/test/riscv-tests/rv32ui-v-sw.elf
index 90bad75..6ca3234 100644
--- a/test/riscv-tests/rv32ui-v-sw.elf
+++ b/test/riscv-tests/rv32ui-v-sw.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-xor.dump b/test/riscv-tests/rv32ui-v-xor.dump
index de690b7..6353abd 100644
--- a/test/riscv-tests/rv32ui-v-xor.dump
+++ b/test/riscv-tests/rv32ui-v-xor.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-xor.elf b/test/riscv-tests/rv32ui-v-xor.elf
index 691e96d..ca52493 100644
--- a/test/riscv-tests/rv32ui-v-xor.elf
+++ b/test/riscv-tests/rv32ui-v-xor.elf
Binary files differ
diff --git a/test/riscv-tests/rv32ui-v-xori.dump b/test/riscv-tests/rv32ui-v-xori.dump
index b2fde9b..1bf5b8a 100644
--- a/test/riscv-tests/rv32ui-v-xori.dump
+++ b/test/riscv-tests/rv32ui-v-xori.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32ui-v-xori.elf b/test/riscv-tests/rv32ui-v-xori.elf
index 5b92fde..a2d4e47 100644
--- a/test/riscv-tests/rv32ui-v-xori.elf
+++ b/test/riscv-tests/rv32ui-v-xori.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-div.elf b/test/riscv-tests/rv32um-p-div.elf
index b5bf233..121c055 100644
--- a/test/riscv-tests/rv32um-p-div.elf
+++ b/test/riscv-tests/rv32um-p-div.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-divu.elf b/test/riscv-tests/rv32um-p-divu.elf
index 083a628..0c3335f 100644
--- a/test/riscv-tests/rv32um-p-divu.elf
+++ b/test/riscv-tests/rv32um-p-divu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-mul.elf b/test/riscv-tests/rv32um-p-mul.elf
index ccfb794..75eebf3 100644
--- a/test/riscv-tests/rv32um-p-mul.elf
+++ b/test/riscv-tests/rv32um-p-mul.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-mulh.elf b/test/riscv-tests/rv32um-p-mulh.elf
index 5dac92f..38e8ca7 100644
--- a/test/riscv-tests/rv32um-p-mulh.elf
+++ b/test/riscv-tests/rv32um-p-mulh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-mulhsu.elf b/test/riscv-tests/rv32um-p-mulhsu.elf
index 4bbec21..48f64a1 100644
--- a/test/riscv-tests/rv32um-p-mulhsu.elf
+++ b/test/riscv-tests/rv32um-p-mulhsu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-mulhu.elf b/test/riscv-tests/rv32um-p-mulhu.elf
index dc72bf4..5010a3d 100644
--- a/test/riscv-tests/rv32um-p-mulhu.elf
+++ b/test/riscv-tests/rv32um-p-mulhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-rem.elf b/test/riscv-tests/rv32um-p-rem.elf
index 3df04cf..605e403 100644
--- a/test/riscv-tests/rv32um-p-rem.elf
+++ b/test/riscv-tests/rv32um-p-rem.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-p-remu.elf b/test/riscv-tests/rv32um-p-remu.elf
index fcfbb7f..6814ffa 100644
--- a/test/riscv-tests/rv32um-p-remu.elf
+++ b/test/riscv-tests/rv32um-p-remu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-div.dump b/test/riscv-tests/rv32um-v-div.dump
index 00ce24b..0ba0f3a 100644
--- a/test/riscv-tests/rv32um-v-div.dump
+++ b/test/riscv-tests/rv32um-v-div.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-div.elf b/test/riscv-tests/rv32um-v-div.elf
index b76bf2e..18baeb5 100644
--- a/test/riscv-tests/rv32um-v-div.elf
+++ b/test/riscv-tests/rv32um-v-div.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-divu.dump b/test/riscv-tests/rv32um-v-divu.dump
index de53373..aaf7f04 100644
--- a/test/riscv-tests/rv32um-v-divu.dump
+++ b/test/riscv-tests/rv32um-v-divu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-divu.elf b/test/riscv-tests/rv32um-v-divu.elf
index 2ca3f88..87e24b5 100644
--- a/test/riscv-tests/rv32um-v-divu.elf
+++ b/test/riscv-tests/rv32um-v-divu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-mul.dump b/test/riscv-tests/rv32um-v-mul.dump
index d7c43a8..222e8ad 100644
--- a/test/riscv-tests/rv32um-v-mul.dump
+++ b/test/riscv-tests/rv32um-v-mul.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-mul.elf b/test/riscv-tests/rv32um-v-mul.elf
index cd20990..e78ed99 100644
--- a/test/riscv-tests/rv32um-v-mul.elf
+++ b/test/riscv-tests/rv32um-v-mul.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-mulh.dump b/test/riscv-tests/rv32um-v-mulh.dump
index 3be2412..eef2631 100644
--- a/test/riscv-tests/rv32um-v-mulh.dump
+++ b/test/riscv-tests/rv32um-v-mulh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-mulh.elf b/test/riscv-tests/rv32um-v-mulh.elf
index 5d14e0e..0b26d3d 100644
--- a/test/riscv-tests/rv32um-v-mulh.elf
+++ b/test/riscv-tests/rv32um-v-mulh.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-mulhsu.dump b/test/riscv-tests/rv32um-v-mulhsu.dump
index 72056c3..e9681e4 100644
--- a/test/riscv-tests/rv32um-v-mulhsu.dump
+++ b/test/riscv-tests/rv32um-v-mulhsu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-mulhsu.elf b/test/riscv-tests/rv32um-v-mulhsu.elf
index beba322..780b1e8 100644
--- a/test/riscv-tests/rv32um-v-mulhsu.elf
+++ b/test/riscv-tests/rv32um-v-mulhsu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-mulhu.dump b/test/riscv-tests/rv32um-v-mulhu.dump
index 4ce5bb1..74dc8e7 100644
--- a/test/riscv-tests/rv32um-v-mulhu.dump
+++ b/test/riscv-tests/rv32um-v-mulhu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-mulhu.elf b/test/riscv-tests/rv32um-v-mulhu.elf
index aa8591c..29dde86 100644
--- a/test/riscv-tests/rv32um-v-mulhu.elf
+++ b/test/riscv-tests/rv32um-v-mulhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-rem.dump b/test/riscv-tests/rv32um-v-rem.dump
index fd78107..801467e 100644
--- a/test/riscv-tests/rv32um-v-rem.dump
+++ b/test/riscv-tests/rv32um-v-rem.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-rem.elf b/test/riscv-tests/rv32um-v-rem.elf
index 36c1d24..07d246e 100644
--- a/test/riscv-tests/rv32um-v-rem.elf
+++ b/test/riscv-tests/rv32um-v-rem.elf
Binary files differ
diff --git a/test/riscv-tests/rv32um-v-remu.dump b/test/riscv-tests/rv32um-v-remu.dump
index b02526b..600e796 100644
--- a/test/riscv-tests/rv32um-v-remu.dump
+++ b/test/riscv-tests/rv32um-v-remu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 08512023 sw t0,128(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 08512223 sw t0,132(sp)
-80000158: 143022f3 csrr t0,sbadaddr
+80000158: 143022f3 csrr t0,stval
8000015c: 08512423 sw t0,136(sp)
80000160: 142022f3 csrr t0,scause
80000164: 08512623 sw t0,140(sp)
diff --git a/test/riscv-tests/rv32um-v-remu.elf b/test/riscv-tests/rv32um-v-remu.elf
index d1bf499..f384383 100644
--- a/test/riscv-tests/rv32um-v-remu.elf
+++ b/test/riscv-tests/rv32um-v-remu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-breakpoint.elf b/test/riscv-tests/rv64mi-p-breakpoint.elf
index 04af6b2..571bfd7 100644
--- a/test/riscv-tests/rv64mi-p-breakpoint.elf
+++ b/test/riscv-tests/rv64mi-p-breakpoint.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-csr.elf b/test/riscv-tests/rv64mi-p-csr.elf
index d7c74bc..be07922 100644
--- a/test/riscv-tests/rv64mi-p-csr.elf
+++ b/test/riscv-tests/rv64mi-p-csr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-illegal.dump b/test/riscv-tests/rv64mi-p-illegal.dump
index 56485c4..f3f6c62 100644
--- a/test/riscv-tests/rv64mi-p-illegal.dump
+++ b/test/riscv-tests/rv64mi-p-illegal.dump
@@ -257,54 +257,53 @@ Disassembly of section .text.init:
80000344: 342022f3 csrr t0,mcause
80000348: ea629ee3 bne t0,t1,80000204 <fail>
8000034c: 341022f3 csrr t0,mepc
- 80000350: 343023f3 csrr t2,mbadaddr
- 80000354: 00038c63 beqz t2,8000036c <synchronous_exception+0x2c>
- 80000358: 0002de03 lhu t3,0(t0)
- 8000035c: 0022de83 lhu t4,2(t0)
- 80000360: 010e9e93 slli t4,t4,0x10
- 80000364: 01de6e33 or t3,t3,t4
- 80000368: e9c39ee3 bne t2,t3,80000204 <fail>
- 8000036c: 00000317 auipc t1,0x0
- 80000370: da030313 addi t1,t1,-608 # 8000010c <bad2>
- 80000374: 04628e63 beq t0,t1,800003d0 <synchronous_exception+0x90>
- 80000378: 00000317 auipc t1,0x0
- 8000037c: e1c30313 addi t1,t1,-484 # 80000194 <bad3>
- 80000380: 04628e63 beq t0,t1,800003dc <synchronous_exception+0x9c>
- 80000384: 00000317 auipc t1,0x0
- 80000388: e1830313 addi t1,t1,-488 # 8000019c <bad4>
- 8000038c: 04628263 beq t0,t1,800003d0 <synchronous_exception+0x90>
- 80000390: 00000317 auipc t1,0x0
- 80000394: e1c30313 addi t1,t1,-484 # 800001ac <bad5>
- 80000398: 04628863 beq t0,t1,800003e8 <synchronous_exception+0xa8>
- 8000039c: 00000317 auipc t1,0x0
- 800003a0: e1830313 addi t1,t1,-488 # 800001b4 <bad6>
- 800003a4: 02628663 beq t0,t1,800003d0 <synchronous_exception+0x90>
- 800003a8: 00000317 auipc t1,0x0
- 800003ac: e1430313 addi t1,t1,-492 # 800001bc <bad7>
- 800003b0: 02628063 beq t0,t1,800003d0 <synchronous_exception+0x90>
- 800003b4: 00000317 auipc t1,0x0
- 800003b8: e3030313 addi t1,t1,-464 # 800001e4 <bad8>
- 800003bc: 02628c63 beq t0,t1,800003f4 <synchronous_exception+0xb4>
- 800003c0: 00000317 auipc t1,0x0
- 800003c4: e3830313 addi t1,t1,-456 # 800001f8 <bad9>
- 800003c8: 02628c63 beq t0,t1,80000400 <synchronous_exception+0xc0>
- 800003cc: e39ff06f j 80000204 <fail>
- 800003d0: 00828293 addi t0,t0,8
- 800003d4: 34129073 csrw mepc,t0
- 800003d8: 30200073 mret
- 800003dc: 00200337 lui t1,0x200
- 800003e0: 30032073 csrs mstatus,t1
- 800003e4: fedff06f j 800003d0 <synchronous_exception+0x90>
- 800003e8: 00100337 lui t1,0x100
- 800003ec: 30032073 csrs mstatus,t1
- 800003f0: fe1ff06f j 800003d0 <synchronous_exception+0x90>
- 800003f4: 00400337 lui t1,0x400
- 800003f8: 30032073 csrs mstatus,t1
- 800003fc: fd5ff06f j 800003d0 <synchronous_exception+0x90>
- 80000400: fd1ff06f j 800003d0 <synchronous_exception+0x90>
- 80000404: c0001073 unimp
- 80000408: 0000 unimp
- 8000040a: 0000 unimp
+ 80000350: 343023f3 csrr t2,mtval
+ 80000354: 00038e63 beqz t2,80000370 <synchronous_exception+0x30>
+ 80000358: 0002d303 lhu t1,0(t0)
+ 8000035c: 0063c3b3 xor t2,t2,t1
+ 80000360: 0022d303 lhu t1,2(t0)
+ 80000364: 01031313 slli t1,t1,0x10
+ 80000368: 0063c3b3 xor t2,t2,t1
+ 8000036c: e8039ce3 bnez t2,80000204 <fail>
+ 80000370: 00000317 auipc t1,0x0
+ 80000374: d9c30313 addi t1,t1,-612 # 8000010c <bad2>
+ 80000378: 04628e63 beq t0,t1,800003d4 <synchronous_exception+0x94>
+ 8000037c: 00000317 auipc t1,0x0
+ 80000380: e1830313 addi t1,t1,-488 # 80000194 <bad3>
+ 80000384: 04628e63 beq t0,t1,800003e0 <synchronous_exception+0xa0>
+ 80000388: 00000317 auipc t1,0x0
+ 8000038c: e1430313 addi t1,t1,-492 # 8000019c <bad4>
+ 80000390: 04628263 beq t0,t1,800003d4 <synchronous_exception+0x94>
+ 80000394: 00000317 auipc t1,0x0
+ 80000398: e1830313 addi t1,t1,-488 # 800001ac <bad5>
+ 8000039c: 04628863 beq t0,t1,800003ec <synchronous_exception+0xac>
+ 800003a0: 00000317 auipc t1,0x0
+ 800003a4: e1430313 addi t1,t1,-492 # 800001b4 <bad6>
+ 800003a8: 02628663 beq t0,t1,800003d4 <synchronous_exception+0x94>
+ 800003ac: 00000317 auipc t1,0x0
+ 800003b0: e1030313 addi t1,t1,-496 # 800001bc <bad7>
+ 800003b4: 02628063 beq t0,t1,800003d4 <synchronous_exception+0x94>
+ 800003b8: 00000317 auipc t1,0x0
+ 800003bc: e2c30313 addi t1,t1,-468 # 800001e4 <bad8>
+ 800003c0: 02628c63 beq t0,t1,800003f8 <synchronous_exception+0xb8>
+ 800003c4: 00000317 auipc t1,0x0
+ 800003c8: e3430313 addi t1,t1,-460 # 800001f8 <bad9>
+ 800003cc: 02628c63 beq t0,t1,80000404 <synchronous_exception+0xc4>
+ 800003d0: e35ff06f j 80000204 <fail>
+ 800003d4: 00828293 addi t0,t0,8
+ 800003d8: 34129073 csrw mepc,t0
+ 800003dc: 30200073 mret
+ 800003e0: 00200337 lui t1,0x200
+ 800003e4: 30032073 csrs mstatus,t1
+ 800003e8: fedff06f j 800003d4 <synchronous_exception+0x94>
+ 800003ec: 00100337 lui t1,0x100
+ 800003f0: 30032073 csrs mstatus,t1
+ 800003f4: fe1ff06f j 800003d4 <synchronous_exception+0x94>
+ 800003f8: 00400337 lui t1,0x400
+ 800003fc: 30032073 csrs mstatus,t1
+ 80000400: fd5ff06f j 800003d4 <synchronous_exception+0x94>
+ 80000404: fd1ff06f j 800003d4 <synchronous_exception+0x94>
+ 80000408: c0001073 unimp
8000040c: 0000 unimp
8000040e: 0000 unimp
80000410: 0000 unimp
diff --git a/test/riscv-tests/rv64mi-p-illegal.elf b/test/riscv-tests/rv64mi-p-illegal.elf
index 7441498..d301049 100644
--- a/test/riscv-tests/rv64mi-p-illegal.elf
+++ b/test/riscv-tests/rv64mi-p-illegal.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-ma_addr.dump b/test/riscv-tests/rv64mi-p-ma_addr.dump
index b559567..596e009 100644
--- a/test/riscv-tests/rv64mi-p-ma_addr.dump
+++ b/test/riscv-tests/rv64mi-p-ma_addr.dump
@@ -407,7 +407,7 @@ Disassembly of section .text.init:
0000000080000608 <mtvec_handler>:
80000608: 342022f3 csrr t0,mcause
8000060c: fc929ee3 bne t0,s1,800005e8 <fail>
- 80000610: 343022f3 csrr t0,mbadaddr
+ 80000610: 343022f3 csrr t0,mtval
80000614: fc629ae3 bne t0,t1,800005e8 <fail>
80000618: 00028283 lb t0,0(t0)
8000061c: fc0286e3 beqz t0,800005e8 <fail>
diff --git a/test/riscv-tests/rv64mi-p-ma_addr.elf b/test/riscv-tests/rv64mi-p-ma_addr.elf
index fc32662..e5eadd5 100644
--- a/test/riscv-tests/rv64mi-p-ma_addr.elf
+++ b/test/riscv-tests/rv64mi-p-ma_addr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-ma_fetch.dump b/test/riscv-tests/rv64mi-p-ma_fetch.dump
index 6a5285a..ab4b9d0 100644
--- a/test/riscv-tests/rv64mi-p-ma_fetch.dump
+++ b/test/riscv-tests/rv64mi-p-ma_fetch.dump
@@ -179,7 +179,7 @@ Disassembly of section .text.init:
8000025c: 341025f3 csrr a1,mepc
80000260: 00458593 addi a1,a1,4
80000264: fab292e3 bne t0,a1,80000208 <fail>
- 80000268: 34302573 csrr a0,mbadaddr
+ 80000268: 34302573 csrr a0,mtval
8000026c: 00050663 beqz a0,80000278 <mtvec_handler+0x50>
80000270: ffe50513 addi a0,a0,-2 # 1ffe <_start-0x7fffe002>
80000274: f8551ae3 bne a0,t0,80000208 <fail>
diff --git a/test/riscv-tests/rv64mi-p-ma_fetch.elf b/test/riscv-tests/rv64mi-p-ma_fetch.elf
index 40ffdf3..4d66e69 100644
--- a/test/riscv-tests/rv64mi-p-ma_fetch.elf
+++ b/test/riscv-tests/rv64mi-p-ma_fetch.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-mcsr.elf b/test/riscv-tests/rv64mi-p-mcsr.elf
index 4ce3d35..796100b 100644
--- a/test/riscv-tests/rv64mi-p-mcsr.elf
+++ b/test/riscv-tests/rv64mi-p-mcsr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64mi-p-scall.dump b/test/riscv-tests/rv64mi-p-scall.dump
index 940db03..f5f3402 100644
--- a/test/riscv-tests/rv64mi-p-scall.dump
+++ b/test/riscv-tests/rv64mi-p-scall.dump
@@ -84,8 +84,8 @@ Disassembly of section .text.init:
80000110: 000022b7 lui t0,0x2
80000114: 8002829b addiw t0,t0,-2048
80000118: 3002b073 csrc mstatus,t0
- 8000011c: 30002373 csrr t1,mstatus
- 80000120: 0062f2b3 and t0,t0,t1
+ 8000011c: 300023f3 csrr t2,mstatus
+ 80000120: 0072f2b3 and t0,t0,t2
80000124: 00028463 beqz t0,8000012c <reset_vector+0xe0>
80000128: 00b00313 li t1,11
8000012c: 000022b7 lui t0,0x2
diff --git a/test/riscv-tests/rv64mi-p-scall.elf b/test/riscv-tests/rv64mi-p-scall.elf
index bbb1526..f82dea5 100644
--- a/test/riscv-tests/rv64mi-p-scall.elf
+++ b/test/riscv-tests/rv64mi-p-scall.elf
Binary files differ
diff --git a/test/riscv-tests/rv64si-p-csr.elf b/test/riscv-tests/rv64si-p-csr.elf
index 3f0921c..a6290ef 100644
--- a/test/riscv-tests/rv64si-p-csr.elf
+++ b/test/riscv-tests/rv64si-p-csr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64si-p-dirty.elf b/test/riscv-tests/rv64si-p-dirty.elf
index 7ff11e5..a15a033 100644
--- a/test/riscv-tests/rv64si-p-dirty.elf
+++ b/test/riscv-tests/rv64si-p-dirty.elf
Binary files differ
diff --git a/test/riscv-tests/rv64si-p-ma_fetch.dump b/test/riscv-tests/rv64si-p-ma_fetch.dump
index bd8178b..1ca5922 100644
--- a/test/riscv-tests/rv64si-p-ma_fetch.dump
+++ b/test/riscv-tests/rv64si-p-ma_fetch.dump
@@ -157,7 +157,7 @@ Disassembly of section .text.init:
80000208: 141025f3 csrr a1,sepc
8000020c: 00458593 addi a1,a1,4
80000210: fab292e3 bne t0,a1,800001b4 <fail>
- 80000214: 14302573 csrr a0,sbadaddr
+ 80000214: 14302573 csrr a0,stval
80000218: 00050663 beqz a0,80000224 <stvec_handler+0x50>
8000021c: ffe50513 addi a0,a0,-2 # ffe <_start-0x7ffff002>
80000220: f8551ae3 bne a0,t0,800001b4 <fail>
diff --git a/test/riscv-tests/rv64si-p-ma_fetch.elf b/test/riscv-tests/rv64si-p-ma_fetch.elf
index 23977ad..02155e5 100644
--- a/test/riscv-tests/rv64si-p-ma_fetch.elf
+++ b/test/riscv-tests/rv64si-p-ma_fetch.elf
Binary files differ
diff --git a/test/riscv-tests/rv64si-p-scall.elf b/test/riscv-tests/rv64si-p-scall.elf
index 4d7dc27..5eb47e1 100644
--- a/test/riscv-tests/rv64si-p-scall.elf
+++ b/test/riscv-tests/rv64si-p-scall.elf
Binary files differ
diff --git a/test/riscv-tests/rv64si-p-wfi.elf b/test/riscv-tests/rv64si-p-wfi.elf
index 23d0c0c..46e1115 100644
--- a/test/riscv-tests/rv64si-p-wfi.elf
+++ b/test/riscv-tests/rv64si-p-wfi.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoadd_d.elf b/test/riscv-tests/rv64ua-p-amoadd_d.elf
index e20d290..359f604 100644
--- a/test/riscv-tests/rv64ua-p-amoadd_d.elf
+++ b/test/riscv-tests/rv64ua-p-amoadd_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoadd_w.elf b/test/riscv-tests/rv64ua-p-amoadd_w.elf
index f8c15af..a76f604 100644
--- a/test/riscv-tests/rv64ua-p-amoadd_w.elf
+++ b/test/riscv-tests/rv64ua-p-amoadd_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoand_d.elf b/test/riscv-tests/rv64ua-p-amoand_d.elf
index 6f9ec5c..091e7f7 100644
--- a/test/riscv-tests/rv64ua-p-amoand_d.elf
+++ b/test/riscv-tests/rv64ua-p-amoand_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoand_w.elf b/test/riscv-tests/rv64ua-p-amoand_w.elf
index fefc4fc..edf0588 100644
--- a/test/riscv-tests/rv64ua-p-amoand_w.elf
+++ b/test/riscv-tests/rv64ua-p-amoand_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomax_d.elf b/test/riscv-tests/rv64ua-p-amomax_d.elf
index 051cf48..c8867f8 100644
--- a/test/riscv-tests/rv64ua-p-amomax_d.elf
+++ b/test/riscv-tests/rv64ua-p-amomax_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomax_w.elf b/test/riscv-tests/rv64ua-p-amomax_w.elf
index 59d12a1..e7d9ea5 100644
--- a/test/riscv-tests/rv64ua-p-amomax_w.elf
+++ b/test/riscv-tests/rv64ua-p-amomax_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomaxu_d.elf b/test/riscv-tests/rv64ua-p-amomaxu_d.elf
index 4c13c12..dab4026 100644
--- a/test/riscv-tests/rv64ua-p-amomaxu_d.elf
+++ b/test/riscv-tests/rv64ua-p-amomaxu_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomaxu_w.elf b/test/riscv-tests/rv64ua-p-amomaxu_w.elf
index 0c97a3a..6486fa46 100644
--- a/test/riscv-tests/rv64ua-p-amomaxu_w.elf
+++ b/test/riscv-tests/rv64ua-p-amomaxu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomin_d.elf b/test/riscv-tests/rv64ua-p-amomin_d.elf
index 791c78f..425c72c 100644
--- a/test/riscv-tests/rv64ua-p-amomin_d.elf
+++ b/test/riscv-tests/rv64ua-p-amomin_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amomin_w.elf b/test/riscv-tests/rv64ua-p-amomin_w.elf
index 7bf86a3..2cdb17e 100644
--- a/test/riscv-tests/rv64ua-p-amomin_w.elf
+++ b/test/riscv-tests/rv64ua-p-amomin_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amominu_d.elf b/test/riscv-tests/rv64ua-p-amominu_d.elf
index 1c6d6ad..20d1b6f 100644
--- a/test/riscv-tests/rv64ua-p-amominu_d.elf
+++ b/test/riscv-tests/rv64ua-p-amominu_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amominu_w.elf b/test/riscv-tests/rv64ua-p-amominu_w.elf
index af14db9..6a73fc4 100644
--- a/test/riscv-tests/rv64ua-p-amominu_w.elf
+++ b/test/riscv-tests/rv64ua-p-amominu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoor_d.elf b/test/riscv-tests/rv64ua-p-amoor_d.elf
index a00b97c..71a3215 100644
--- a/test/riscv-tests/rv64ua-p-amoor_d.elf
+++ b/test/riscv-tests/rv64ua-p-amoor_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoor_w.elf b/test/riscv-tests/rv64ua-p-amoor_w.elf
index e35c29f..33196ad 100644
--- a/test/riscv-tests/rv64ua-p-amoor_w.elf
+++ b/test/riscv-tests/rv64ua-p-amoor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoswap_d.elf b/test/riscv-tests/rv64ua-p-amoswap_d.elf
index 63d01a7..2b2200c 100644
--- a/test/riscv-tests/rv64ua-p-amoswap_d.elf
+++ b/test/riscv-tests/rv64ua-p-amoswap_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoswap_w.elf b/test/riscv-tests/rv64ua-p-amoswap_w.elf
index 011d5e2..39ee63f 100644
--- a/test/riscv-tests/rv64ua-p-amoswap_w.elf
+++ b/test/riscv-tests/rv64ua-p-amoswap_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoxor_d.elf b/test/riscv-tests/rv64ua-p-amoxor_d.elf
index 4bec6cc..cb45e31 100644
--- a/test/riscv-tests/rv64ua-p-amoxor_d.elf
+++ b/test/riscv-tests/rv64ua-p-amoxor_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-amoxor_w.elf b/test/riscv-tests/rv64ua-p-amoxor_w.elf
index 22e8ba9..c2d3412 100644
--- a/test/riscv-tests/rv64ua-p-amoxor_w.elf
+++ b/test/riscv-tests/rv64ua-p-amoxor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-p-lrsc.dump b/test/riscv-tests/rv64ua-p-lrsc.dump
index bcca392..4f87408 100644
--- a/test/riscv-tests/rv64ua-p-lrsc.dump
+++ b/test/riscv-tests/rv64ua-p-lrsc.dump
@@ -88,77 +88,95 @@ Disassembly of section .text.init:
000000008000011c <test_2>:
8000011c: 00002517 auipc a0,0x2
80000120: eec50513 addi a0,a0,-276 # 80002008 <foo>
- 80000124: 1805272f sc.w a4,zero,(a0)
- 80000128: 00100e93 li t4,1
- 8000012c: 00200193 li gp,2
- 80000130: 09d71a63 bne a4,t4,800001c4 <fail>
+ 80000124: 000387b7 lui a5,0x38
+ 80000128: ab77879b addiw a5,a5,-1353
+ 8000012c: 00e79793 slli a5,a5,0xe
+ 80000130: eef78793 addi a5,a5,-273 # 37eef <_start-0x7ffc8111>
+ 80000134: 18f5272f sc.w a4,a5,(a0)
+ 80000138: 00100e93 li t4,1
+ 8000013c: 00200193 li gp,2
+ 80000140: 0dd71663 bne a4,t4,8000020c <fail>
-0000000080000134 <test_3>:
- 80000134: 00002517 auipc a0,0x2
- 80000138: ed450513 addi a0,a0,-300 # 80002008 <foo>
- 8000013c: 00002597 auipc a1,0x2
- 80000140: 2d058593 addi a1,a1,720 # 8000240c <fooTest3>
- 80000144: 1005a5af lr.w a1,(a1)
- 80000148: 18b5272f sc.w a4,a1,(a0)
- 8000014c: 00100e93 li t4,1
+0000000080000144 <test_3>:
+ 80000144: 00002717 auipc a4,0x2
+ 80000148: ec472703 lw a4,-316(a4) # 80002008 <foo>
+ 8000014c: 00000e93 li t4,0
80000150: 00300193 li gp,3
- 80000154: 07d71863 bne a4,t4,800001c4 <fail>
+ 80000154: 0bd71c63 bne a4,t4,8000020c <fail>
+
+0000000080000158 <test_4>:
80000158: 00002517 auipc a0,0x2
8000015c: eb050513 addi a0,a0,-336 # 80002008 <foo>
- 80000160: 40000593 li a1,1024
- 80000164: 00160613 addi a2,a2,1
- 80000168: 1005272f lr.w a4,(a0)
- 8000016c: 00c70733 add a4,a4,a2
- 80000170: 18e5272f sc.w a4,a4,(a0)
- 80000174: fe071ae3 bnez a4,80000168 <test_3+0x34>
- 80000178: fff58593 addi a1,a1,-1
- 8000017c: fe0596e3 bnez a1,80000168 <test_3+0x34>
- 80000180: 00002517 auipc a0,0x2
- 80000184: e8450513 addi a0,a0,-380 # 80002004 <barrier>
- 80000188: 00100593 li a1,1
- 8000018c: 00b5202f amoadd.w zero,a1,(a0)
- 80000190: 00052583 lw a1,0(a0)
- 80000194: fed5cee3 blt a1,a3,80000190 <test_3+0x5c>
- 80000198: 0ff0000f fence
+ 80000160: 00002597 auipc a1,0x2
+ 80000164: 2ac58593 addi a1,a1,684 # 8000240c <fooTest3>
+ 80000168: 1005a5af lr.w a1,(a1)
+ 8000016c: 18b5272f sc.w a4,a1,(a0)
+ 80000170: 00100e93 li t4,1
+ 80000174: 00400193 li gp,4
+ 80000178: 09d71a63 bne a4,t4,8000020c <fail>
+ 8000017c: 00002517 auipc a0,0x2
+ 80000180: e8c50513 addi a0,a0,-372 # 80002008 <foo>
+ 80000184: 40000593 li a1,1024
+ 80000188: 00160613 addi a2,a2,1
+ 8000018c: 1005272f lr.w a4,(a0)
+ 80000190: 00c70733 add a4,a4,a2
+ 80000194: 18e5272f sc.w a4,a4,(a0)
+ 80000198: fe071ae3 bnez a4,8000018c <test_4+0x34>
+ 8000019c: fff58593 addi a1,a1,-1
+ 800001a0: fe0596e3 bnez a1,8000018c <test_4+0x34>
+ 800001a4: 00002517 auipc a0,0x2
+ 800001a8: e6050513 addi a0,a0,-416 # 80002004 <barrier>
+ 800001ac: 00100593 li a1,1
+ 800001b0: 00b5202f amoadd.w zero,a1,(a0)
+ 800001b4: 00052583 lw a1,0(a0)
+ 800001b8: fed5cee3 blt a1,a3,800001b4 <test_4+0x5c>
+ 800001bc: 0ff0000f fence
+
+00000000800001c0 <test_5>:
+ 800001c0: 00002517 auipc a0,0x2
+ 800001c4: e4852503 lw a0,-440(a0) # 80002008 <foo>
+ 800001c8: 00969593 slli a1,a3,0x9
+ 800001cc: 40b50533 sub a0,a0,a1
+ 800001d0: fff68693 addi a3,a3,-1
+ 800001d4: fe06dce3 bgez a3,800001cc <test_5+0xc>
+ 800001d8: 00000e93 li t4,0
+ 800001dc: 00500193 li gp,5
+ 800001e0: 03d51663 bne a0,t4,8000020c <fail>
-000000008000019c <test_4>:
- 8000019c: 00002517 auipc a0,0x2
- 800001a0: e6c52503 lw a0,-404(a0) # 80002008 <foo>
- 800001a4: 00969593 slli a1,a3,0x9
- 800001a8: 40b50533 sub a0,a0,a1
- 800001ac: fff68693 addi a3,a3,-1
- 800001b0: fe06dce3 bgez a3,800001a8 <test_4+0xc>
- 800001b4: 00000e93 li t4,0
- 800001b8: 00400193 li gp,4
- 800001bc: 01d51463 bne a0,t4,800001c4 <fail>
- 800001c0: 00301c63 bne zero,gp,800001d8 <pass>
+00000000800001e4 <test_6>:
+ 800001e4: 00002517 auipc a0,0x2
+ 800001e8: e2450513 addi a0,a0,-476 # 80002008 <foo>
+ 800001ec: 100525af lr.w a1,(a0)
+ 800001f0: 180525af sc.w a1,zero,(a0)
+ 800001f4: fe059ce3 bnez a1,800001ec <test_6+0x8>
+ 800001f8: 180525af sc.w a1,zero,(a0)
+ 800001fc: 00100e93 li t4,1
+ 80000200: 00600193 li gp,6
+ 80000204: 01d59463 bne a1,t4,8000020c <fail>
+ 80000208: 00301c63 bne zero,gp,80000220 <pass>
-00000000800001c4 <fail>:
- 800001c4: 0ff0000f fence
- 800001c8: 00018063 beqz gp,800001c8 <fail+0x4>
- 800001cc: 00119193 slli gp,gp,0x1
- 800001d0: 0011e193 ori gp,gp,1
- 800001d4: 00000073 ecall
+000000008000020c <fail>:
+ 8000020c: 0ff0000f fence
+ 80000210: 00018063 beqz gp,80000210 <fail+0x4>
+ 80000214: 00119193 slli gp,gp,0x1
+ 80000218: 0011e193 ori gp,gp,1
+ 8000021c: 00000073 ecall
-00000000800001d8 <pass>:
- 800001d8: 0ff0000f fence
- 800001dc: 00100193 li gp,1
- 800001e0: 00000073 ecall
- 800001e4: c0001073 unimp
- 800001e8: 0000 unimp
- 800001ea: 0000 unimp
- 800001ec: 0000 unimp
- 800001ee: 0000 unimp
- 800001f0: 0000 unimp
- 800001f2: 0000 unimp
- 800001f4: 0000 unimp
- 800001f6: 0000 unimp
- 800001f8: 0000 unimp
- 800001fa: 0000 unimp
- 800001fc: 0000 unimp
- 800001fe: 0000 unimp
- 80000200: 0000 unimp
- 80000202: 0000 unimp
+0000000080000220 <pass>:
+ 80000220: 0ff0000f fence
+ 80000224: 00100193 li gp,1
+ 80000228: 00000073 ecall
+ 8000022c: c0001073 unimp
+ 80000230: 0000 unimp
+ 80000232: 0000 unimp
+ 80000234: 0000 unimp
+ 80000236: 0000 unimp
+ 80000238: 0000 unimp
+ 8000023a: 0000 unimp
+ 8000023c: 0000 unimp
+ 8000023e: 0000 unimp
+ 80000240: 0000 unimp
+ 80000242: 0000 unimp
Disassembly of section .data:
diff --git a/test/riscv-tests/rv64ua-p-lrsc.elf b/test/riscv-tests/rv64ua-p-lrsc.elf
index 0fb5b44..c443cf2 100644
--- a/test/riscv-tests/rv64ua-p-lrsc.elf
+++ b/test/riscv-tests/rv64ua-p-lrsc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoadd_d.dump b/test/riscv-tests/rv64ua-v-amoadd_d.dump
index 6e2c614..b61d38e 100644
--- a/test/riscv-tests/rv64ua-v-amoadd_d.dump
+++ b/test/riscv-tests/rv64ua-v-amoadd_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoadd_d.elf b/test/riscv-tests/rv64ua-v-amoadd_d.elf
index 655f27c..43d3ed9 100644
--- a/test/riscv-tests/rv64ua-v-amoadd_d.elf
+++ b/test/riscv-tests/rv64ua-v-amoadd_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoadd_w.dump b/test/riscv-tests/rv64ua-v-amoadd_w.dump
index b8ca9c8..16a1d4c 100644
--- a/test/riscv-tests/rv64ua-v-amoadd_w.dump
+++ b/test/riscv-tests/rv64ua-v-amoadd_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoadd_w.elf b/test/riscv-tests/rv64ua-v-amoadd_w.elf
index 32d6c1a..975ae5f 100644
--- a/test/riscv-tests/rv64ua-v-amoadd_w.elf
+++ b/test/riscv-tests/rv64ua-v-amoadd_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoand_d.dump b/test/riscv-tests/rv64ua-v-amoand_d.dump
index 89e4722..7409814 100644
--- a/test/riscv-tests/rv64ua-v-amoand_d.dump
+++ b/test/riscv-tests/rv64ua-v-amoand_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoand_d.elf b/test/riscv-tests/rv64ua-v-amoand_d.elf
index ae0fa7c..4964a75 100644
--- a/test/riscv-tests/rv64ua-v-amoand_d.elf
+++ b/test/riscv-tests/rv64ua-v-amoand_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoand_w.dump b/test/riscv-tests/rv64ua-v-amoand_w.dump
index 0fdbc13..1d74a70 100644
--- a/test/riscv-tests/rv64ua-v-amoand_w.dump
+++ b/test/riscv-tests/rv64ua-v-amoand_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoand_w.elf b/test/riscv-tests/rv64ua-v-amoand_w.elf
index 97e45f4..a16a0bf 100644
--- a/test/riscv-tests/rv64ua-v-amoand_w.elf
+++ b/test/riscv-tests/rv64ua-v-amoand_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomax_d.dump b/test/riscv-tests/rv64ua-v-amomax_d.dump
index d69d15d..bea2a85 100644
--- a/test/riscv-tests/rv64ua-v-amomax_d.dump
+++ b/test/riscv-tests/rv64ua-v-amomax_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomax_d.elf b/test/riscv-tests/rv64ua-v-amomax_d.elf
index 04dfdae..d7baebb 100644
--- a/test/riscv-tests/rv64ua-v-amomax_d.elf
+++ b/test/riscv-tests/rv64ua-v-amomax_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomax_w.dump b/test/riscv-tests/rv64ua-v-amomax_w.dump
index eb204ee..fcc9aa7 100644
--- a/test/riscv-tests/rv64ua-v-amomax_w.dump
+++ b/test/riscv-tests/rv64ua-v-amomax_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomax_w.elf b/test/riscv-tests/rv64ua-v-amomax_w.elf
index 4952179..904bbd3 100644
--- a/test/riscv-tests/rv64ua-v-amomax_w.elf
+++ b/test/riscv-tests/rv64ua-v-amomax_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomaxu_d.dump b/test/riscv-tests/rv64ua-v-amomaxu_d.dump
index 271a03c..0ffd7a5 100644
--- a/test/riscv-tests/rv64ua-v-amomaxu_d.dump
+++ b/test/riscv-tests/rv64ua-v-amomaxu_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomaxu_d.elf b/test/riscv-tests/rv64ua-v-amomaxu_d.elf
index 0b9930f..78418a5 100644
--- a/test/riscv-tests/rv64ua-v-amomaxu_d.elf
+++ b/test/riscv-tests/rv64ua-v-amomaxu_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomaxu_w.dump b/test/riscv-tests/rv64ua-v-amomaxu_w.dump
index d6f336e..9b516a8 100644
--- a/test/riscv-tests/rv64ua-v-amomaxu_w.dump
+++ b/test/riscv-tests/rv64ua-v-amomaxu_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomaxu_w.elf b/test/riscv-tests/rv64ua-v-amomaxu_w.elf
index 989ad0c..b4dafed 100644
--- a/test/riscv-tests/rv64ua-v-amomaxu_w.elf
+++ b/test/riscv-tests/rv64ua-v-amomaxu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomin_d.dump b/test/riscv-tests/rv64ua-v-amomin_d.dump
index a97febd..78b7f27 100644
--- a/test/riscv-tests/rv64ua-v-amomin_d.dump
+++ b/test/riscv-tests/rv64ua-v-amomin_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomin_d.elf b/test/riscv-tests/rv64ua-v-amomin_d.elf
index c136408..a61a830 100644
--- a/test/riscv-tests/rv64ua-v-amomin_d.elf
+++ b/test/riscv-tests/rv64ua-v-amomin_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amomin_w.dump b/test/riscv-tests/rv64ua-v-amomin_w.dump
index a436c95..c06a0b3 100644
--- a/test/riscv-tests/rv64ua-v-amomin_w.dump
+++ b/test/riscv-tests/rv64ua-v-amomin_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amomin_w.elf b/test/riscv-tests/rv64ua-v-amomin_w.elf
index 46fa3ac..378c3b4 100644
--- a/test/riscv-tests/rv64ua-v-amomin_w.elf
+++ b/test/riscv-tests/rv64ua-v-amomin_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amominu_d.dump b/test/riscv-tests/rv64ua-v-amominu_d.dump
index 693d6a6..5051a91 100644
--- a/test/riscv-tests/rv64ua-v-amominu_d.dump
+++ b/test/riscv-tests/rv64ua-v-amominu_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amominu_d.elf b/test/riscv-tests/rv64ua-v-amominu_d.elf
index 1c7e7db..bfb401c 100644
--- a/test/riscv-tests/rv64ua-v-amominu_d.elf
+++ b/test/riscv-tests/rv64ua-v-amominu_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amominu_w.dump b/test/riscv-tests/rv64ua-v-amominu_w.dump
index ac8240d..6a3660d 100644
--- a/test/riscv-tests/rv64ua-v-amominu_w.dump
+++ b/test/riscv-tests/rv64ua-v-amominu_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amominu_w.elf b/test/riscv-tests/rv64ua-v-amominu_w.elf
index b255689..0070c6b 100644
--- a/test/riscv-tests/rv64ua-v-amominu_w.elf
+++ b/test/riscv-tests/rv64ua-v-amominu_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoor_d.dump b/test/riscv-tests/rv64ua-v-amoor_d.dump
index 6bf5fa1..f4c96df 100644
--- a/test/riscv-tests/rv64ua-v-amoor_d.dump
+++ b/test/riscv-tests/rv64ua-v-amoor_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoor_d.elf b/test/riscv-tests/rv64ua-v-amoor_d.elf
index ce15b22..a0c8b24 100644
--- a/test/riscv-tests/rv64ua-v-amoor_d.elf
+++ b/test/riscv-tests/rv64ua-v-amoor_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoor_w.dump b/test/riscv-tests/rv64ua-v-amoor_w.dump
index 71a4ebe..19662ac 100644
--- a/test/riscv-tests/rv64ua-v-amoor_w.dump
+++ b/test/riscv-tests/rv64ua-v-amoor_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoor_w.elf b/test/riscv-tests/rv64ua-v-amoor_w.elf
index 44f0a91..2a225a6 100644
--- a/test/riscv-tests/rv64ua-v-amoor_w.elf
+++ b/test/riscv-tests/rv64ua-v-amoor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoswap_d.dump b/test/riscv-tests/rv64ua-v-amoswap_d.dump
index bcb607b..192cfb8 100644
--- a/test/riscv-tests/rv64ua-v-amoswap_d.dump
+++ b/test/riscv-tests/rv64ua-v-amoswap_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoswap_d.elf b/test/riscv-tests/rv64ua-v-amoswap_d.elf
index 6f8a817..22c5a8d 100644
--- a/test/riscv-tests/rv64ua-v-amoswap_d.elf
+++ b/test/riscv-tests/rv64ua-v-amoswap_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoswap_w.dump b/test/riscv-tests/rv64ua-v-amoswap_w.dump
index 2369b0d..96a8e04 100644
--- a/test/riscv-tests/rv64ua-v-amoswap_w.dump
+++ b/test/riscv-tests/rv64ua-v-amoswap_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoswap_w.elf b/test/riscv-tests/rv64ua-v-amoswap_w.elf
index 58a23d3..5972a03 100644
--- a/test/riscv-tests/rv64ua-v-amoswap_w.elf
+++ b/test/riscv-tests/rv64ua-v-amoswap_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoxor_d.dump b/test/riscv-tests/rv64ua-v-amoxor_d.dump
index 6fa564d..e9b240a 100644
--- a/test/riscv-tests/rv64ua-v-amoxor_d.dump
+++ b/test/riscv-tests/rv64ua-v-amoxor_d.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoxor_d.elf b/test/riscv-tests/rv64ua-v-amoxor_d.elf
index 963af59..29fab28 100644
--- a/test/riscv-tests/rv64ua-v-amoxor_d.elf
+++ b/test/riscv-tests/rv64ua-v-amoxor_d.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-amoxor_w.dump b/test/riscv-tests/rv64ua-v-amoxor_w.dump
index 6ced740..a403da5 100644
--- a/test/riscv-tests/rv64ua-v-amoxor_w.dump
+++ b/test/riscv-tests/rv64ua-v-amoxor_w.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ua-v-amoxor_w.elf b/test/riscv-tests/rv64ua-v-amoxor_w.elf
index 3b91cc1..2f462de 100644
--- a/test/riscv-tests/rv64ua-v-amoxor_w.elf
+++ b/test/riscv-tests/rv64ua-v-amoxor_w.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ua-v-lrsc.dump b/test/riscv-tests/rv64ua-v-lrsc.dump
index 5678673..79c042f 100644
--- a/test/riscv-tests/rv64ua-v-lrsc.dump
+++ b/test/riscv-tests/rv64ua-v-lrsc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00000697 auipc a3,0x0
- 8000245c: 75868693 addi a3,a3,1880 # 80002bb0 <pass+0x10>
+ 8000245c: 7a068693 addi a3,a3,1952 # 80002bf8 <pass+0x10>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00000617 auipc a2,0x0
- 800024b8: 78c60613 addi a2,a2,1932 # 80002c40 <pass+0xa0>
+ 800024b8: 7d460613 addi a2,a2,2004 # 80002c88 <pass+0xa0>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00000617 auipc a2,0x0
- 80002508: 75460613 addi a2,a2,1876 # 80002c58 <pass+0xb8>
+ 80002508: 79c60613 addi a2,a2,1948 # 80002ca0 <pass+0xb8>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00000697 auipc a3,0x0
- 80002558: 6a468693 addi a3,a3,1700 # 80002bf8 <pass+0x58>
+ 80002558: 6ec68693 addi a3,a3,1772 # 80002c40 <pass+0x58>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00000697 auipc a3,0x0
- 80002674: 6c068693 addi a3,a3,1728 # 80002d30 <pass+0x190>
+ 80002674: 70868693 addi a3,a3,1800 # 80002d78 <pass+0x190>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00000617 auipc a2,0x0
- 800026cc: 64060613 addi a2,a2,1600 # 80002d08 <pass+0x168>
+ 800026cc: 68860613 addi a2,a2,1672 # 80002d50 <pass+0x168>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00000697 auipc a3,0x0
- 800027f0: 57468693 addi a3,a3,1396 # 80002d60 <pass+0x1c0>
+ 800027f0: 5bc68693 addi a3,a3,1468 # 80002da8 <pass+0x1c0>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00000697 auipc a3,0x0
- 80002840: 49468693 addi a3,a3,1172 # 80002cd0 <pass+0x130>
+ 80002840: 4dc68693 addi a3,a3,1244 # 80002d18 <pass+0x130>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00000697 auipc a3,0x0
- 80002878: 42468693 addi a3,a3,1060 # 80002c98 <pass+0xf8>
+ 80002878: 46c68693 addi a3,a3,1132 # 80002ce0 <pass+0xf8>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -839,61 +839,83 @@ Disassembly of section .text:
0000000080002ae8 <test_2>:
80002ae8: 00000517 auipc a0,0x0
80002aec: 52050513 addi a0,a0,1312 # 80003008 <foo>
- 80002af0: 1805272f sc.w a4,zero,(a0)
- 80002af4: 00100e93 li t4,1
- 80002af8: 00200193 li gp,2
- 80002afc: 09d71a63 bne a4,t4,80002b90 <fail>
+ 80002af0: 000387b7 lui a5,0x38
+ 80002af4: ab77879b addiw a5,a5,-1353
+ 80002af8: 00e79793 slli a5,a5,0xe
+ 80002afc: eef78793 addi a5,a5,-273 # 37eef <_start-0x7ffc8111>
+ 80002b00: 18f5272f sc.w a4,a5,(a0)
+ 80002b04: 00100e93 li t4,1
+ 80002b08: 00200193 li gp,2
+ 80002b0c: 0dd71663 bne a4,t4,80002bd8 <fail>
-0000000080002b00 <test_3>:
- 80002b00: 00000517 auipc a0,0x0
- 80002b04: 50850513 addi a0,a0,1288 # 80003008 <foo>
- 80002b08: 00001597 auipc a1,0x1
- 80002b0c: 90458593 addi a1,a1,-1788 # 8000340c <fooTest3>
- 80002b10: 1005a5af lr.w a1,(a1)
- 80002b14: 18b5272f sc.w a4,a1,(a0)
- 80002b18: 00100e93 li t4,1
+0000000080002b10 <test_3>:
+ 80002b10: 00000717 auipc a4,0x0
+ 80002b14: 4f872703 lw a4,1272(a4) # 80003008 <foo>
+ 80002b18: 00000e93 li t4,0
80002b1c: 00300193 li gp,3
- 80002b20: 07d71863 bne a4,t4,80002b90 <fail>
+ 80002b20: 0bd71c63 bne a4,t4,80002bd8 <fail>
+
+0000000080002b24 <test_4>:
80002b24: 00000517 auipc a0,0x0
80002b28: 4e450513 addi a0,a0,1252 # 80003008 <foo>
- 80002b2c: 40000593 li a1,1024
- 80002b30: 00160613 addi a2,a2,1
- 80002b34: 1005272f lr.w a4,(a0)
- 80002b38: 00c70733 add a4,a4,a2
- 80002b3c: 18e5272f sc.w a4,a4,(a0)
- 80002b40: fe071ae3 bnez a4,80002b34 <test_3+0x34>
- 80002b44: fff58593 addi a1,a1,-1
- 80002b48: fe0596e3 bnez a1,80002b34 <test_3+0x34>
- 80002b4c: 00000517 auipc a0,0x0
- 80002b50: 4b850513 addi a0,a0,1208 # 80003004 <barrier>
- 80002b54: 00100593 li a1,1
- 80002b58: 00b5202f amoadd.w zero,a1,(a0)
- 80002b5c: 00052583 lw a1,0(a0)
- 80002b60: fed5cee3 blt a1,a3,80002b5c <test_3+0x5c>
- 80002b64: 0ff0000f fence
+ 80002b2c: 00001597 auipc a1,0x1
+ 80002b30: 8e058593 addi a1,a1,-1824 # 8000340c <fooTest3>
+ 80002b34: 1005a5af lr.w a1,(a1)
+ 80002b38: 18b5272f sc.w a4,a1,(a0)
+ 80002b3c: 00100e93 li t4,1
+ 80002b40: 00400193 li gp,4
+ 80002b44: 09d71a63 bne a4,t4,80002bd8 <fail>
+ 80002b48: 00000517 auipc a0,0x0
+ 80002b4c: 4c050513 addi a0,a0,1216 # 80003008 <foo>
+ 80002b50: 40000593 li a1,1024
+ 80002b54: 00160613 addi a2,a2,1
+ 80002b58: 1005272f lr.w a4,(a0)
+ 80002b5c: 00c70733 add a4,a4,a2
+ 80002b60: 18e5272f sc.w a4,a4,(a0)
+ 80002b64: fe071ae3 bnez a4,80002b58 <test_4+0x34>
+ 80002b68: fff58593 addi a1,a1,-1
+ 80002b6c: fe0596e3 bnez a1,80002b58 <test_4+0x34>
+ 80002b70: 00000517 auipc a0,0x0
+ 80002b74: 49450513 addi a0,a0,1172 # 80003004 <barrier>
+ 80002b78: 00100593 li a1,1
+ 80002b7c: 00b5202f amoadd.w zero,a1,(a0)
+ 80002b80: 00052583 lw a1,0(a0)
+ 80002b84: fed5cee3 blt a1,a3,80002b80 <test_4+0x5c>
+ 80002b88: 0ff0000f fence
+
+0000000080002b8c <test_5>:
+ 80002b8c: 00000517 auipc a0,0x0
+ 80002b90: 47c52503 lw a0,1148(a0) # 80003008 <foo>
+ 80002b94: 00969593 slli a1,a3,0x9
+ 80002b98: 40b50533 sub a0,a0,a1
+ 80002b9c: fff68693 addi a3,a3,-1
+ 80002ba0: fe06dce3 bgez a3,80002b98 <test_5+0xc>
+ 80002ba4: 00000e93 li t4,0
+ 80002ba8: 00500193 li gp,5
+ 80002bac: 03d51663 bne a0,t4,80002bd8 <fail>
-0000000080002b68 <test_4>:
- 80002b68: 00000517 auipc a0,0x0
- 80002b6c: 4a052503 lw a0,1184(a0) # 80003008 <foo>
- 80002b70: 00969593 slli a1,a3,0x9
- 80002b74: 40b50533 sub a0,a0,a1
- 80002b78: fff68693 addi a3,a3,-1
- 80002b7c: fe06dce3 bgez a3,80002b74 <test_4+0xc>
- 80002b80: 00000e93 li t4,0
- 80002b84: 00400193 li gp,4
- 80002b88: 01d51463 bne a0,t4,80002b90 <fail>
- 80002b8c: 00301a63 bne zero,gp,80002ba0 <pass>
+0000000080002bb0 <test_6>:
+ 80002bb0: 00000517 auipc a0,0x0
+ 80002bb4: 45850513 addi a0,a0,1112 # 80003008 <foo>
+ 80002bb8: 100525af lr.w a1,(a0)
+ 80002bbc: 180525af sc.w a1,zero,(a0)
+ 80002bc0: fe059ce3 bnez a1,80002bb8 <test_6+0x8>
+ 80002bc4: 180525af sc.w a1,zero,(a0)
+ 80002bc8: 00100e93 li t4,1
+ 80002bcc: 00600193 li gp,6
+ 80002bd0: 01d59463 bne a1,t4,80002bd8 <fail>
+ 80002bd4: 00301a63 bne zero,gp,80002be8 <pass>
-0000000080002b90 <fail>:
- 80002b90: 00119513 slli a0,gp,0x1
- 80002b94: 00050063 beqz a0,80002b94 <fail+0x4>
- 80002b98: 00156513 ori a0,a0,1
- 80002b9c: 00000073 ecall
+0000000080002bd8 <fail>:
+ 80002bd8: 00119513 slli a0,gp,0x1
+ 80002bdc: 00050063 beqz a0,80002bdc <fail+0x4>
+ 80002be0: 00156513 ori a0,a0,1
+ 80002be4: 00000073 ecall
-0000000080002ba0 <pass>:
- 80002ba0: 00100513 li a0,1
- 80002ba4: 00000073 ecall
- 80002ba8: c0001073 unimp
+0000000080002be8 <pass>:
+ 80002be8: 00100513 li a0,1
+ 80002bec: 00000073 ecall
+ 80002bf0: c0001073 unimp
Disassembly of section .data:
diff --git a/test/riscv-tests/rv64ua-v-lrsc.elf b/test/riscv-tests/rv64ua-v-lrsc.elf
index 3f49c87..61ee7d4 100644
--- a/test/riscv-tests/rv64ua-v-lrsc.elf
+++ b/test/riscv-tests/rv64ua-v-lrsc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64uc-p-rvc.elf b/test/riscv-tests/rv64uc-p-rvc.elf
index 3421d31..ee821eb 100644
--- a/test/riscv-tests/rv64uc-p-rvc.elf
+++ b/test/riscv-tests/rv64uc-p-rvc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64uc-v-rvc.dump b/test/riscv-tests/rv64uc-v-rvc.dump
index 696b038..a4c2392 100644
--- a/test/riscv-tests/rv64uc-v-rvc.dump
+++ b/test/riscv-tests/rv64uc-v-rvc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64uc-v-rvc.elf b/test/riscv-tests/rv64uc-v-rvc.elf
index 89152b9..329f542 100644
--- a/test/riscv-tests/rv64uc-v-rvc.elf
+++ b/test/riscv-tests/rv64uc-v-rvc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-add.elf b/test/riscv-tests/rv64ui-p-add.elf
index 711d4fc..74330e9 100644
--- a/test/riscv-tests/rv64ui-p-add.elf
+++ b/test/riscv-tests/rv64ui-p-add.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-addi.elf b/test/riscv-tests/rv64ui-p-addi.elf
index 511253a..d83a9a7 100644
--- a/test/riscv-tests/rv64ui-p-addi.elf
+++ b/test/riscv-tests/rv64ui-p-addi.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-addiw.elf b/test/riscv-tests/rv64ui-p-addiw.elf
index c58d521..1556eea 100644
--- a/test/riscv-tests/rv64ui-p-addiw.elf
+++ b/test/riscv-tests/rv64ui-p-addiw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-addw.elf b/test/riscv-tests/rv64ui-p-addw.elf
index b4cc491..7949631 100644
--- a/test/riscv-tests/rv64ui-p-addw.elf
+++ b/test/riscv-tests/rv64ui-p-addw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-and.elf b/test/riscv-tests/rv64ui-p-and.elf
index 6450bbc..9dda5d1 100644
--- a/test/riscv-tests/rv64ui-p-and.elf
+++ b/test/riscv-tests/rv64ui-p-and.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-andi.elf b/test/riscv-tests/rv64ui-p-andi.elf
index 26396d9..39d71d3 100644
--- a/test/riscv-tests/rv64ui-p-andi.elf
+++ b/test/riscv-tests/rv64ui-p-andi.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-auipc.elf b/test/riscv-tests/rv64ui-p-auipc.elf
index 841136e..cf2211c 100644
--- a/test/riscv-tests/rv64ui-p-auipc.elf
+++ b/test/riscv-tests/rv64ui-p-auipc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-beq.elf b/test/riscv-tests/rv64ui-p-beq.elf
index 74d6392..5b89865 100644
--- a/test/riscv-tests/rv64ui-p-beq.elf
+++ b/test/riscv-tests/rv64ui-p-beq.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-bge.elf b/test/riscv-tests/rv64ui-p-bge.elf
index 6a5c2ef..972d7fa 100644
--- a/test/riscv-tests/rv64ui-p-bge.elf
+++ b/test/riscv-tests/rv64ui-p-bge.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-bgeu.elf b/test/riscv-tests/rv64ui-p-bgeu.elf
index a1ae7fe..cd7e7e3 100644
--- a/test/riscv-tests/rv64ui-p-bgeu.elf
+++ b/test/riscv-tests/rv64ui-p-bgeu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-blt.elf b/test/riscv-tests/rv64ui-p-blt.elf
index 53f06fa..e3676a3 100644
--- a/test/riscv-tests/rv64ui-p-blt.elf
+++ b/test/riscv-tests/rv64ui-p-blt.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-bltu.elf b/test/riscv-tests/rv64ui-p-bltu.elf
index a5d0639..e4e6077 100644
--- a/test/riscv-tests/rv64ui-p-bltu.elf
+++ b/test/riscv-tests/rv64ui-p-bltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-bne.elf b/test/riscv-tests/rv64ui-p-bne.elf
index 9a83bec..d28d9aa 100644
--- a/test/riscv-tests/rv64ui-p-bne.elf
+++ b/test/riscv-tests/rv64ui-p-bne.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-fence_i.elf b/test/riscv-tests/rv64ui-p-fence_i.elf
index 9f8d772..682802e 100644
--- a/test/riscv-tests/rv64ui-p-fence_i.elf
+++ b/test/riscv-tests/rv64ui-p-fence_i.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-jal.elf b/test/riscv-tests/rv64ui-p-jal.elf
index 3741bbb..8250b75 100644
--- a/test/riscv-tests/rv64ui-p-jal.elf
+++ b/test/riscv-tests/rv64ui-p-jal.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-jalr.elf b/test/riscv-tests/rv64ui-p-jalr.elf
index 9682d86..4d111a5 100644
--- a/test/riscv-tests/rv64ui-p-jalr.elf
+++ b/test/riscv-tests/rv64ui-p-jalr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lb.elf b/test/riscv-tests/rv64ui-p-lb.elf
index 7e0a8a4..13970ac 100644
--- a/test/riscv-tests/rv64ui-p-lb.elf
+++ b/test/riscv-tests/rv64ui-p-lb.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lbu.elf b/test/riscv-tests/rv64ui-p-lbu.elf
index 14f1586..b68b199 100644
--- a/test/riscv-tests/rv64ui-p-lbu.elf
+++ b/test/riscv-tests/rv64ui-p-lbu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-ld.elf b/test/riscv-tests/rv64ui-p-ld.elf
index df2a734..b4e6596 100644
--- a/test/riscv-tests/rv64ui-p-ld.elf
+++ b/test/riscv-tests/rv64ui-p-ld.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lh.elf b/test/riscv-tests/rv64ui-p-lh.elf
index fcff462..5d6b965 100644
--- a/test/riscv-tests/rv64ui-p-lh.elf
+++ b/test/riscv-tests/rv64ui-p-lh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lhu.elf b/test/riscv-tests/rv64ui-p-lhu.elf
index 78bb0e9..1b2550d 100644
--- a/test/riscv-tests/rv64ui-p-lhu.elf
+++ b/test/riscv-tests/rv64ui-p-lhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lui.elf b/test/riscv-tests/rv64ui-p-lui.elf
index 67dfe10..300ef0d 100644
--- a/test/riscv-tests/rv64ui-p-lui.elf
+++ b/test/riscv-tests/rv64ui-p-lui.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lw.elf b/test/riscv-tests/rv64ui-p-lw.elf
index 714ae85..6c77ab8 100644
--- a/test/riscv-tests/rv64ui-p-lw.elf
+++ b/test/riscv-tests/rv64ui-p-lw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-lwu.elf b/test/riscv-tests/rv64ui-p-lwu.elf
index de88bd2..b920704 100644
--- a/test/riscv-tests/rv64ui-p-lwu.elf
+++ b/test/riscv-tests/rv64ui-p-lwu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-or.elf b/test/riscv-tests/rv64ui-p-or.elf
index fbd5299..b3772ca 100644
--- a/test/riscv-tests/rv64ui-p-or.elf
+++ b/test/riscv-tests/rv64ui-p-or.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-ori.elf b/test/riscv-tests/rv64ui-p-ori.elf
index 81868c2..d3a6b6d 100644
--- a/test/riscv-tests/rv64ui-p-ori.elf
+++ b/test/riscv-tests/rv64ui-p-ori.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sb.elf b/test/riscv-tests/rv64ui-p-sb.elf
index 0f672c3..20fdd3c 100644
--- a/test/riscv-tests/rv64ui-p-sb.elf
+++ b/test/riscv-tests/rv64ui-p-sb.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sd.elf b/test/riscv-tests/rv64ui-p-sd.elf
index 8ebb0db..d3e68ea 100644
--- a/test/riscv-tests/rv64ui-p-sd.elf
+++ b/test/riscv-tests/rv64ui-p-sd.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sh.elf b/test/riscv-tests/rv64ui-p-sh.elf
index 5ba031e..4a38a06 100644
--- a/test/riscv-tests/rv64ui-p-sh.elf
+++ b/test/riscv-tests/rv64ui-p-sh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-simple.elf b/test/riscv-tests/rv64ui-p-simple.elf
index bc8cb92..68457c2 100644
--- a/test/riscv-tests/rv64ui-p-simple.elf
+++ b/test/riscv-tests/rv64ui-p-simple.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sll.elf b/test/riscv-tests/rv64ui-p-sll.elf
index 75144ab..0c615ca 100644
--- a/test/riscv-tests/rv64ui-p-sll.elf
+++ b/test/riscv-tests/rv64ui-p-sll.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-slli.elf b/test/riscv-tests/rv64ui-p-slli.elf
index 5214f29..c5f99f5 100644
--- a/test/riscv-tests/rv64ui-p-slli.elf
+++ b/test/riscv-tests/rv64ui-p-slli.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-slliw.dump b/test/riscv-tests/rv64ui-p-slliw.dump
index b821b9f..6246bdb 100644
--- a/test/riscv-tests/rv64ui-p-slliw.dump
+++ b/test/riscv-tests/rv64ui-p-slliw.dump
@@ -82,70 +82,70 @@ Disassembly of section .text.init:
80000100: 00009f1b slliw t5,ra,0x0
80000104: 00100e93 li t4,1
80000108: 00200193 li gp,2
- 8000010c: 27df1a63 bne t5,t4,80000380 <fail>
+ 8000010c: 31df1263 bne t5,t4,80000410 <fail>
0000000080000110 <test_3>:
80000110: 00100093 li ra,1
80000114: 00109f1b slliw t5,ra,0x1
80000118: 00200e93 li t4,2
8000011c: 00300193 li gp,3
- 80000120: 27df1063 bne t5,t4,80000380 <fail>
+ 80000120: 2fdf1863 bne t5,t4,80000410 <fail>
0000000080000124 <test_4>:
80000124: 00100093 li ra,1
80000128: 00709f1b slliw t5,ra,0x7
8000012c: 08000e93 li t4,128
80000130: 00400193 li gp,4
- 80000134: 25df1663 bne t5,t4,80000380 <fail>
+ 80000134: 2ddf1e63 bne t5,t4,80000410 <fail>
0000000080000138 <test_5>:
80000138: 00100093 li ra,1
8000013c: 00e09f1b slliw t5,ra,0xe
80000140: 00004eb7 lui t4,0x4
80000144: 00500193 li gp,5
- 80000148: 23df1c63 bne t5,t4,80000380 <fail>
+ 80000148: 2ddf1463 bne t5,t4,80000410 <fail>
000000008000014c <test_6>:
8000014c: 00100093 li ra,1
80000150: 01f09f1b slliw t5,ra,0x1f
80000154: 80000eb7 lui t4,0x80000
80000158: 00600193 li gp,6
- 8000015c: 23df1263 bne t5,t4,80000380 <fail>
+ 8000015c: 2bdf1a63 bne t5,t4,80000410 <fail>
0000000080000160 <test_7>:
80000160: fff00093 li ra,-1
80000164: 00009f1b slliw t5,ra,0x0
80000168: fff00e93 li t4,-1
8000016c: 00700193 li gp,7
- 80000170: 21df1863 bne t5,t4,80000380 <fail>
+ 80000170: 2bdf1063 bne t5,t4,80000410 <fail>
0000000080000174 <test_8>:
80000174: fff00093 li ra,-1
80000178: 00109f1b slliw t5,ra,0x1
8000017c: ffe00e93 li t4,-2
80000180: 00800193 li gp,8
- 80000184: 1fdf1e63 bne t5,t4,80000380 <fail>
+ 80000184: 29df1663 bne t5,t4,80000410 <fail>
0000000080000188 <test_9>:
80000188: fff00093 li ra,-1
8000018c: 00709f1b slliw t5,ra,0x7
80000190: f8000e93 li t4,-128
80000194: 00900193 li gp,9
- 80000198: 1fdf1463 bne t5,t4,80000380 <fail>
+ 80000198: 27df1c63 bne t5,t4,80000410 <fail>
000000008000019c <test_10>:
8000019c: fff00093 li ra,-1
800001a0: 00e09f1b slliw t5,ra,0xe
800001a4: ffffceb7 lui t4,0xffffc
800001a8: 00a00193 li gp,10
- 800001ac: 1ddf1a63 bne t5,t4,80000380 <fail>
+ 800001ac: 27df1263 bne t5,t4,80000410 <fail>
00000000800001b0 <test_11>:
800001b0: fff00093 li ra,-1
800001b4: 01f09f1b slliw t5,ra,0x1f
800001b8: 80000eb7 lui t4,0x80000
800001bc: 00b00193 li gp,11
- 800001c0: 1ddf1063 bne t5,t4,80000380 <fail>
+ 800001c0: 25df1863 bne t5,t4,80000410 <fail>
00000000800001c4 <test_12>:
800001c4: 212120b7 lui ra,0x21212
@@ -154,7 +154,7 @@ Disassembly of section .text.init:
800001d0: 21212eb7 lui t4,0x21212
800001d4: 121e8e9b addiw t4,t4,289
800001d8: 00c00193 li gp,12
- 800001dc: 1bdf1263 bne t5,t4,80000380 <fail>
+ 800001dc: 23df1a63 bne t5,t4,80000410 <fail>
00000000800001e0 <test_13>:
800001e0: 212120b7 lui ra,0x21212
@@ -163,7 +163,7 @@ Disassembly of section .text.init:
800001ec: 42424eb7 lui t4,0x42424
800001f0: 242e8e9b addiw t4,t4,578
800001f4: 00d00193 li gp,13
- 800001f8: 19df1463 bne t5,t4,80000380 <fail>
+ 800001f8: 21df1c63 bne t5,t4,80000410 <fail>
00000000800001fc <test_14>:
800001fc: 212120b7 lui ra,0x21212
@@ -172,7 +172,7 @@ Disassembly of section .text.init:
80000208: 90909eb7 lui t4,0x90909
8000020c: 080e8e9b addiw t4,t4,128
80000210: 00e00193 li gp,14
- 80000214: 17df1663 bne t5,t4,80000380 <fail>
+ 80000214: 1fdf1e63 bne t5,t4,80000410 <fail>
0000000080000218 <test_15>:
80000218: 212120b7 lui ra,0x21212
@@ -180,7 +180,7 @@ Disassembly of section .text.init:
80000220: 00e09f1b slliw t5,ra,0xe
80000224: 48484eb7 lui t4,0x48484
80000228: 00f00193 li gp,15
- 8000022c: 15df1a63 bne t5,t4,80000380 <fail>
+ 8000022c: 1fdf1263 bne t5,t4,80000410 <fail>
0000000080000230 <test_16>:
80000230: 212120b7 lui ra,0x21212
@@ -188,129 +188,165 @@ Disassembly of section .text.init:
80000238: 01f09f1b slliw t5,ra,0x1f
8000023c: 80000eb7 lui t4,0x80000
80000240: 01000193 li gp,16
- 80000244: 13df1e63 bne t5,t4,80000380 <fail>
-
-0000000080000248 <test_17>:
- 80000248: 00100093 li ra,1
- 8000024c: 0070909b slliw ra,ra,0x7
- 80000250: 08000e93 li t4,128
- 80000254: 01100193 li gp,17
- 80000258: 13d09463 bne ra,t4,80000380 <fail>
-
-000000008000025c <test_18>:
- 8000025c: 00000213 li tp,0
- 80000260: 00100093 li ra,1
- 80000264: 00709f1b slliw t5,ra,0x7
- 80000268: 000f0313 mv t1,t5
- 8000026c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000270: 00200293 li t0,2
- 80000274: fe5216e3 bne tp,t0,80000260 <test_18+0x4>
- 80000278: 08000e93 li t4,128
- 8000027c: 01200193 li gp,18
- 80000280: 11d31063 bne t1,t4,80000380 <fail>
-
-0000000080000284 <test_19>:
- 80000284: 00000213 li tp,0
- 80000288: 00100093 li ra,1
- 8000028c: 00e09f1b slliw t5,ra,0xe
- 80000290: 00000013 nop
- 80000294: 000f0313 mv t1,t5
- 80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000029c: 00200293 li t0,2
- 800002a0: fe5214e3 bne tp,t0,80000288 <test_19+0x4>
- 800002a4: 00004eb7 lui t4,0x4
- 800002a8: 01300193 li gp,19
- 800002ac: 0dd31a63 bne t1,t4,80000380 <fail>
-
-00000000800002b0 <test_20>:
- 800002b0: 00000213 li tp,0
- 800002b4: 00100093 li ra,1
- 800002b8: 01f09f1b slliw t5,ra,0x1f
- 800002bc: 00000013 nop
- 800002c0: 00000013 nop
- 800002c4: 000f0313 mv t1,t5
- 800002c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002cc: 00200293 li t0,2
- 800002d0: fe5212e3 bne tp,t0,800002b4 <test_20+0x4>
- 800002d4: 80000eb7 lui t4,0x80000
- 800002d8: 01400193 li gp,20
- 800002dc: 0bd31263 bne t1,t4,80000380 <fail>
-
-00000000800002e0 <test_21>:
- 800002e0: 00000213 li tp,0
- 800002e4: 00100093 li ra,1
- 800002e8: 00709f1b slliw t5,ra,0x7
- 800002ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002f0: 00200293 li t0,2
- 800002f4: fe5218e3 bne tp,t0,800002e4 <test_21+0x4>
- 800002f8: 08000e93 li t4,128
- 800002fc: 01500193 li gp,21
- 80000300: 09df1063 bne t5,t4,80000380 <fail>
-
-0000000080000304 <test_22>:
- 80000304: 00000213 li tp,0
- 80000308: 00100093 li ra,1
- 8000030c: 00000013 nop
- 80000310: 00e09f1b slliw t5,ra,0xe
- 80000314: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000318: 00200293 li t0,2
- 8000031c: fe5216e3 bne tp,t0,80000308 <test_22+0x4>
- 80000320: 00004eb7 lui t4,0x4
- 80000324: 01600193 li gp,22
- 80000328: 05df1c63 bne t5,t4,80000380 <fail>
-
-000000008000032c <test_23>:
- 8000032c: 00000213 li tp,0
- 80000330: 00100093 li ra,1
- 80000334: 00000013 nop
- 80000338: 00000013 nop
- 8000033c: 01f09f1b slliw t5,ra,0x1f
- 80000340: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000344: 00200293 li t0,2
- 80000348: fe5214e3 bne tp,t0,80000330 <test_23+0x4>
- 8000034c: 80000eb7 lui t4,0x80000
- 80000350: 01700193 li gp,23
- 80000354: 03df1663 bne t5,t4,80000380 <fail>
-
-0000000080000358 <test_24>:
- 80000358: 01f0109b slliw ra,zero,0x1f
- 8000035c: 00000e93 li t4,0
- 80000360: 01800193 li gp,24
- 80000364: 01d09e63 bne ra,t4,80000380 <fail>
-
-0000000080000368 <test_25>:
- 80000368: 01f00093 li ra,31
- 8000036c: 01c0901b slliw zero,ra,0x1c
- 80000370: 00000e93 li t4,0
- 80000374: 01900193 li gp,25
- 80000378: 01d01463 bne zero,t4,80000380 <fail>
- 8000037c: 00301c63 bne zero,gp,80000394 <pass>
-
-0000000080000380 <fail>:
- 80000380: 0ff0000f fence
- 80000384: 00018063 beqz gp,80000384 <fail+0x4>
- 80000388: 00119193 slli gp,gp,0x1
- 8000038c: 0011e193 ori gp,gp,1
- 80000390: 00000073 ecall
-
-0000000080000394 <pass>:
- 80000394: 0ff0000f fence
- 80000398: 00100193 li gp,1
- 8000039c: 00000073 ecall
- 800003a0: c0001073 unimp
- 800003a4: 0000 unimp
- 800003a6: 0000 unimp
- 800003a8: 0000 unimp
- 800003aa: 0000 unimp
- 800003ac: 0000 unimp
- 800003ae: 0000 unimp
- 800003b0: 0000 unimp
- 800003b2: 0000 unimp
- 800003b4: 0000 unimp
- 800003b6: 0000 unimp
- 800003b8: 0000 unimp
- 800003ba: 0000 unimp
- 800003bc: 0000 unimp
- 800003be: 0000 unimp
- 800003c0: 0000 unimp
- 800003c2: 0000 unimp
+ 80000244: 1ddf1663 bne t5,t4,80000410 <fail>
+
+0000000080000248 <test_44>:
+ 80000248: fff120b7 lui ra,0xfff12
+ 8000024c: 3450809b addiw ra,ra,837
+ 80000250: 00c09093 slli ra,ra,0xc
+ 80000254: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000258: 00009f1b slliw t5,ra,0x0
+ 8000025c: 12345eb7 lui t4,0x12345
+ 80000260: 678e8e9b addiw t4,t4,1656
+ 80000264: 02c00193 li gp,44
+ 80000268: 1bdf1463 bne t5,t4,80000410 <fail>
+
+000000008000026c <test_45>:
+ 8000026c: fff120b7 lui ra,0xfff12
+ 80000270: 3450809b addiw ra,ra,837
+ 80000274: 00c09093 slli ra,ra,0xc
+ 80000278: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 8000027c: 00409f1b slliw t5,ra,0x4
+ 80000280: 23456eb7 lui t4,0x23456
+ 80000284: 780e8e9b addiw t4,t4,1920
+ 80000288: 02d00193 li gp,45
+ 8000028c: 19df1263 bne t5,t4,80000410 <fail>
+
+0000000080000290 <test_46>:
+ 80000290: 000920b7 lui ra,0x92
+ 80000294: 3450809b addiw ra,ra,837
+ 80000298: 00c09093 slli ra,ra,0xc
+ 8000029c: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800002a0: 00009f1b slliw t5,ra,0x0
+ 800002a4: 92345eb7 lui t4,0x92345
+ 800002a8: 678e8e9b addiw t4,t4,1656
+ 800002ac: 02e00193 li gp,46
+ 800002b0: 17df1063 bne t5,t4,80000410 <fail>
+
+00000000800002b4 <test_47>:
+ 800002b4: 000990b7 lui ra,0x99
+ 800002b8: 3450809b addiw ra,ra,837
+ 800002bc: 00c09093 slli ra,ra,0xc
+ 800002c0: 67808093 addi ra,ra,1656 # 99678 <_start-0x7ff66988>
+ 800002c4: 00409f1b slliw t5,ra,0x4
+ 800002c8: 93456eb7 lui t4,0x93456
+ 800002cc: 780e8e9b addiw t4,t4,1920
+ 800002d0: 02f00193 li gp,47
+ 800002d4: 13df1e63 bne t5,t4,80000410 <fail>
+
+00000000800002d8 <test_17>:
+ 800002d8: 00100093 li ra,1
+ 800002dc: 0070909b slliw ra,ra,0x7
+ 800002e0: 08000e93 li t4,128
+ 800002e4: 01100193 li gp,17
+ 800002e8: 13d09463 bne ra,t4,80000410 <fail>
+
+00000000800002ec <test_18>:
+ 800002ec: 00000213 li tp,0
+ 800002f0: 00100093 li ra,1
+ 800002f4: 00709f1b slliw t5,ra,0x7
+ 800002f8: 000f0313 mv t1,t5
+ 800002fc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000300: 00200293 li t0,2
+ 80000304: fe5216e3 bne tp,t0,800002f0 <test_18+0x4>
+ 80000308: 08000e93 li t4,128
+ 8000030c: 01200193 li gp,18
+ 80000310: 11d31063 bne t1,t4,80000410 <fail>
+
+0000000080000314 <test_19>:
+ 80000314: 00000213 li tp,0
+ 80000318: 00100093 li ra,1
+ 8000031c: 00e09f1b slliw t5,ra,0xe
+ 80000320: 00000013 nop
+ 80000324: 000f0313 mv t1,t5
+ 80000328: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000032c: 00200293 li t0,2
+ 80000330: fe5214e3 bne tp,t0,80000318 <test_19+0x4>
+ 80000334: 00004eb7 lui t4,0x4
+ 80000338: 01300193 li gp,19
+ 8000033c: 0dd31a63 bne t1,t4,80000410 <fail>
+
+0000000080000340 <test_20>:
+ 80000340: 00000213 li tp,0
+ 80000344: 00100093 li ra,1
+ 80000348: 01f09f1b slliw t5,ra,0x1f
+ 8000034c: 00000013 nop
+ 80000350: 00000013 nop
+ 80000354: 000f0313 mv t1,t5
+ 80000358: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000035c: 00200293 li t0,2
+ 80000360: fe5212e3 bne tp,t0,80000344 <test_20+0x4>
+ 80000364: 80000eb7 lui t4,0x80000
+ 80000368: 01400193 li gp,20
+ 8000036c: 0bd31263 bne t1,t4,80000410 <fail>
+
+0000000080000370 <test_21>:
+ 80000370: 00000213 li tp,0
+ 80000374: 00100093 li ra,1
+ 80000378: 00709f1b slliw t5,ra,0x7
+ 8000037c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000380: 00200293 li t0,2
+ 80000384: fe5218e3 bne tp,t0,80000374 <test_21+0x4>
+ 80000388: 08000e93 li t4,128
+ 8000038c: 01500193 li gp,21
+ 80000390: 09df1063 bne t5,t4,80000410 <fail>
+
+0000000080000394 <test_22>:
+ 80000394: 00000213 li tp,0
+ 80000398: 00100093 li ra,1
+ 8000039c: 00000013 nop
+ 800003a0: 00e09f1b slliw t5,ra,0xe
+ 800003a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003a8: 00200293 li t0,2
+ 800003ac: fe5216e3 bne tp,t0,80000398 <test_22+0x4>
+ 800003b0: 00004eb7 lui t4,0x4
+ 800003b4: 01600193 li gp,22
+ 800003b8: 05df1c63 bne t5,t4,80000410 <fail>
+
+00000000800003bc <test_23>:
+ 800003bc: 00000213 li tp,0
+ 800003c0: 00100093 li ra,1
+ 800003c4: 00000013 nop
+ 800003c8: 00000013 nop
+ 800003cc: 01f09f1b slliw t5,ra,0x1f
+ 800003d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003d4: 00200293 li t0,2
+ 800003d8: fe5214e3 bne tp,t0,800003c0 <test_23+0x4>
+ 800003dc: 80000eb7 lui t4,0x80000
+ 800003e0: 01700193 li gp,23
+ 800003e4: 03df1663 bne t5,t4,80000410 <fail>
+
+00000000800003e8 <test_24>:
+ 800003e8: 01f0109b slliw ra,zero,0x1f
+ 800003ec: 00000e93 li t4,0
+ 800003f0: 01800193 li gp,24
+ 800003f4: 01d09e63 bne ra,t4,80000410 <fail>
+
+00000000800003f8 <test_25>:
+ 800003f8: 01f00093 li ra,31
+ 800003fc: 01c0901b slliw zero,ra,0x1c
+ 80000400: 00000e93 li t4,0
+ 80000404: 01900193 li gp,25
+ 80000408: 01d01463 bne zero,t4,80000410 <fail>
+ 8000040c: 00301c63 bne zero,gp,80000424 <pass>
+
+0000000080000410 <fail>:
+ 80000410: 0ff0000f fence
+ 80000414: 00018063 beqz gp,80000414 <fail+0x4>
+ 80000418: 00119193 slli gp,gp,0x1
+ 8000041c: 0011e193 ori gp,gp,1
+ 80000420: 00000073 ecall
+
+0000000080000424 <pass>:
+ 80000424: 0ff0000f fence
+ 80000428: 00100193 li gp,1
+ 8000042c: 00000073 ecall
+ 80000430: c0001073 unimp
+ 80000434: 0000 unimp
+ 80000436: 0000 unimp
+ 80000438: 0000 unimp
+ 8000043a: 0000 unimp
+ 8000043c: 0000 unimp
+ 8000043e: 0000 unimp
+ 80000440: 0000 unimp
+ 80000442: 0000 unimp
diff --git a/test/riscv-tests/rv64ui-p-slliw.elf b/test/riscv-tests/rv64ui-p-slliw.elf
index 556a77e..cb8909f 100644
--- a/test/riscv-tests/rv64ui-p-slliw.elf
+++ b/test/riscv-tests/rv64ui-p-slliw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sllw.dump b/test/riscv-tests/rv64ui-p-sllw.dump
index 45f030a..251c853 100644
--- a/test/riscv-tests/rv64ui-p-sllw.dump
+++ b/test/riscv-tests/rv64ui-p-sllw.dump
@@ -83,7 +83,7 @@ Disassembly of section .text.init:
80000104: 00209f3b sllw t5,ra,sp
80000108: 00100e93 li t4,1
8000010c: 00200193 li gp,2
- 80000110: 55df1c63 bne t5,t4,80000668 <fail>
+ 80000110: 5fdf1c63 bne t5,t4,80000708 <fail>
0000000080000114 <test_3>:
80000114: 00100093 li ra,1
@@ -91,7 +91,7 @@ Disassembly of section .text.init:
8000011c: 00209f3b sllw t5,ra,sp
80000120: 00200e93 li t4,2
80000124: 00300193 li gp,3
- 80000128: 55df1063 bne t5,t4,80000668 <fail>
+ 80000128: 5fdf1063 bne t5,t4,80000708 <fail>
000000008000012c <test_4>:
8000012c: 00100093 li ra,1
@@ -99,7 +99,7 @@ Disassembly of section .text.init:
80000134: 00209f3b sllw t5,ra,sp
80000138: 08000e93 li t4,128
8000013c: 00400193 li gp,4
- 80000140: 53df1463 bne t5,t4,80000668 <fail>
+ 80000140: 5ddf1463 bne t5,t4,80000708 <fail>
0000000080000144 <test_5>:
80000144: 00100093 li ra,1
@@ -107,7 +107,7 @@ Disassembly of section .text.init:
8000014c: 00209f3b sllw t5,ra,sp
80000150: 00004eb7 lui t4,0x4
80000154: 00500193 li gp,5
- 80000158: 51df1863 bne t5,t4,80000668 <fail>
+ 80000158: 5bdf1863 bne t5,t4,80000708 <fail>
000000008000015c <test_6>:
8000015c: 00100093 li ra,1
@@ -115,7 +115,7 @@ Disassembly of section .text.init:
80000164: 00209f3b sllw t5,ra,sp
80000168: 80000eb7 lui t4,0x80000
8000016c: 00600193 li gp,6
- 80000170: 4fdf1c63 bne t5,t4,80000668 <fail>
+ 80000170: 59df1c63 bne t5,t4,80000708 <fail>
0000000080000174 <test_7>:
80000174: fff00093 li ra,-1
@@ -123,7 +123,7 @@ Disassembly of section .text.init:
8000017c: 00209f3b sllw t5,ra,sp
80000180: fff00e93 li t4,-1
80000184: 00700193 li gp,7
- 80000188: 4fdf1063 bne t5,t4,80000668 <fail>
+ 80000188: 59df1063 bne t5,t4,80000708 <fail>
000000008000018c <test_8>:
8000018c: fff00093 li ra,-1
@@ -131,7 +131,7 @@ Disassembly of section .text.init:
80000194: 00209f3b sllw t5,ra,sp
80000198: ffe00e93 li t4,-2
8000019c: 00800193 li gp,8
- 800001a0: 4ddf1463 bne t5,t4,80000668 <fail>
+ 800001a0: 57df1463 bne t5,t4,80000708 <fail>
00000000800001a4 <test_9>:
800001a4: fff00093 li ra,-1
@@ -139,7 +139,7 @@ Disassembly of section .text.init:
800001ac: 00209f3b sllw t5,ra,sp
800001b0: f8000e93 li t4,-128
800001b4: 00900193 li gp,9
- 800001b8: 4bdf1863 bne t5,t4,80000668 <fail>
+ 800001b8: 55df1863 bne t5,t4,80000708 <fail>
00000000800001bc <test_10>:
800001bc: fff00093 li ra,-1
@@ -147,7 +147,7 @@ Disassembly of section .text.init:
800001c4: 00209f3b sllw t5,ra,sp
800001c8: ffffceb7 lui t4,0xffffc
800001cc: 00a00193 li gp,10
- 800001d0: 49df1c63 bne t5,t4,80000668 <fail>
+ 800001d0: 53df1c63 bne t5,t4,80000708 <fail>
00000000800001d4 <test_11>:
800001d4: fff00093 li ra,-1
@@ -155,7 +155,7 @@ Disassembly of section .text.init:
800001dc: 00209f3b sllw t5,ra,sp
800001e0: 80000eb7 lui t4,0x80000
800001e4: 00b00193 li gp,11
- 800001e8: 49df1063 bne t5,t4,80000668 <fail>
+ 800001e8: 53df1063 bne t5,t4,80000708 <fail>
00000000800001ec <test_12>:
800001ec: 212120b7 lui ra,0x21212
@@ -165,7 +165,7 @@ Disassembly of section .text.init:
800001fc: 21212eb7 lui t4,0x21212
80000200: 121e8e9b addiw t4,t4,289
80000204: 00c00193 li gp,12
- 80000208: 47df1063 bne t5,t4,80000668 <fail>
+ 80000208: 51df1063 bne t5,t4,80000708 <fail>
000000008000020c <test_13>:
8000020c: 212120b7 lui ra,0x21212
@@ -175,7 +175,7 @@ Disassembly of section .text.init:
8000021c: 42424eb7 lui t4,0x42424
80000220: 242e8e9b addiw t4,t4,578
80000224: 00d00193 li gp,13
- 80000228: 45df1063 bne t5,t4,80000668 <fail>
+ 80000228: 4fdf1063 bne t5,t4,80000708 <fail>
000000008000022c <test_14>:
8000022c: 212120b7 lui ra,0x21212
@@ -185,7 +185,7 @@ Disassembly of section .text.init:
8000023c: 90909eb7 lui t4,0x90909
80000240: 080e8e9b addiw t4,t4,128
80000244: 00e00193 li gp,14
- 80000248: 43df1063 bne t5,t4,80000668 <fail>
+ 80000248: 4ddf1063 bne t5,t4,80000708 <fail>
000000008000024c <test_15>:
8000024c: 212120b7 lui ra,0x21212
@@ -194,7 +194,7 @@ Disassembly of section .text.init:
80000258: 00209f3b sllw t5,ra,sp
8000025c: 48484eb7 lui t4,0x48484
80000260: 00f00193 li gp,15
- 80000264: 41df1263 bne t5,t4,80000668 <fail>
+ 80000264: 4bdf1263 bne t5,t4,80000708 <fail>
0000000080000268 <test_16>:
80000268: 212120b7 lui ra,0x21212
@@ -203,7 +203,7 @@ Disassembly of section .text.init:
80000274: 00209f3b sllw t5,ra,sp
80000278: 80000eb7 lui t4,0x80000
8000027c: 01000193 li gp,16
- 80000280: 3fdf1463 bne t5,t4,80000668 <fail>
+ 80000280: 49df1463 bne t5,t4,80000708 <fail>
0000000080000284 <test_17>:
80000284: 212120b7 lui ra,0x21212
@@ -213,7 +213,7 @@ Disassembly of section .text.init:
80000294: 21212eb7 lui t4,0x21212
80000298: 121e8e9b addiw t4,t4,289
8000029c: 01100193 li gp,17
- 800002a0: 3ddf1463 bne t5,t4,80000668 <fail>
+ 800002a0: 47df1463 bne t5,t4,80000708 <fail>
00000000800002a4 <test_18>:
800002a4: 212120b7 lui ra,0x21212
@@ -223,7 +223,7 @@ Disassembly of section .text.init:
800002b4: 42424eb7 lui t4,0x42424
800002b8: 242e8e9b addiw t4,t4,578
800002bc: 01200193 li gp,18
- 800002c0: 3bdf1463 bne t5,t4,80000668 <fail>
+ 800002c0: 45df1463 bne t5,t4,80000708 <fail>
00000000800002c4 <test_19>:
800002c4: 212120b7 lui ra,0x21212
@@ -233,7 +233,7 @@ Disassembly of section .text.init:
800002d4: 90909eb7 lui t4,0x90909
800002d8: 080e8e9b addiw t4,t4,128
800002dc: 01300193 li gp,19
- 800002e0: 39df1463 bne t5,t4,80000668 <fail>
+ 800002e0: 43df1463 bne t5,t4,80000708 <fail>
00000000800002e4 <test_20>:
800002e4: 212120b7 lui ra,0x21212
@@ -242,7 +242,7 @@ Disassembly of section .text.init:
800002f0: 00209f3b sllw t5,ra,sp
800002f4: 48484eb7 lui t4,0x48484
800002f8: 01400193 li gp,20
- 800002fc: 37df1663 bne t5,t4,80000668 <fail>
+ 800002fc: 41df1663 bne t5,t4,80000708 <fail>
0000000080000300 <test_21>:
80000300: 212120b7 lui ra,0x21212
@@ -251,300 +251,332 @@ Disassembly of section .text.init:
8000030c: 00209f3b sllw t5,ra,sp
80000310: 80000eb7 lui t4,0x80000
80000314: 01500193 li gp,21
- 80000318: 35df1863 bne t5,t4,80000668 <fail>
-
-000000008000031c <test_22>:
- 8000031c: 00100093 li ra,1
- 80000320: 00700113 li sp,7
- 80000324: 002090bb sllw ra,ra,sp
- 80000328: 08000e93 li t4,128
- 8000032c: 01600193 li gp,22
- 80000330: 33d09c63 bne ra,t4,80000668 <fail>
-
-0000000080000334 <test_23>:
- 80000334: 00100093 li ra,1
- 80000338: 00e00113 li sp,14
- 8000033c: 0020913b sllw sp,ra,sp
- 80000340: 00004eb7 lui t4,0x4
- 80000344: 01700193 li gp,23
- 80000348: 33d11063 bne sp,t4,80000668 <fail>
-
-000000008000034c <test_24>:
- 8000034c: 00300093 li ra,3
- 80000350: 001090bb sllw ra,ra,ra
- 80000354: 01800e93 li t4,24
- 80000358: 01800193 li gp,24
- 8000035c: 31d09663 bne ra,t4,80000668 <fail>
-
-0000000080000360 <test_25>:
- 80000360: 00000213 li tp,0
- 80000364: 00100093 li ra,1
- 80000368: 00700113 li sp,7
- 8000036c: 00209f3b sllw t5,ra,sp
- 80000370: 000f0313 mv t1,t5
- 80000374: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000378: 00200293 li t0,2
- 8000037c: fe5214e3 bne tp,t0,80000364 <test_25+0x4>
- 80000380: 08000e93 li t4,128
- 80000384: 01900193 li gp,25
- 80000388: 2fd31063 bne t1,t4,80000668 <fail>
-
-000000008000038c <test_26>:
- 8000038c: 00000213 li tp,0
- 80000390: 00100093 li ra,1
- 80000394: 00e00113 li sp,14
- 80000398: 00209f3b sllw t5,ra,sp
- 8000039c: 00000013 nop
- 800003a0: 000f0313 mv t1,t5
- 800003a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003a8: 00200293 li t0,2
- 800003ac: fe5212e3 bne tp,t0,80000390 <test_26+0x4>
- 800003b0: 00004eb7 lui t4,0x4
- 800003b4: 01a00193 li gp,26
- 800003b8: 2bd31863 bne t1,t4,80000668 <fail>
-
-00000000800003bc <test_27>:
- 800003bc: 00000213 li tp,0
- 800003c0: 00100093 li ra,1
- 800003c4: 01f00113 li sp,31
- 800003c8: 00209f3b sllw t5,ra,sp
- 800003cc: 00000013 nop
- 800003d0: 00000013 nop
- 800003d4: 000f0313 mv t1,t5
- 800003d8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003dc: 00200293 li t0,2
- 800003e0: fe5210e3 bne tp,t0,800003c0 <test_27+0x4>
- 800003e4: 80000eb7 lui t4,0x80000
- 800003e8: 01b00193 li gp,27
- 800003ec: 27d31e63 bne t1,t4,80000668 <fail>
-
-00000000800003f0 <test_28>:
- 800003f0: 00000213 li tp,0
- 800003f4: 00100093 li ra,1
- 800003f8: 00700113 li sp,7
- 800003fc: 00209f3b sllw t5,ra,sp
- 80000400: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000404: 00200293 li t0,2
- 80000408: fe5216e3 bne tp,t0,800003f4 <test_28+0x4>
- 8000040c: 08000e93 li t4,128
- 80000410: 01c00193 li gp,28
- 80000414: 25df1a63 bne t5,t4,80000668 <fail>
-
-0000000080000418 <test_29>:
- 80000418: 00000213 li tp,0
- 8000041c: 00100093 li ra,1
- 80000420: 00e00113 li sp,14
- 80000424: 00000013 nop
- 80000428: 00209f3b sllw t5,ra,sp
- 8000042c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000430: 00200293 li t0,2
- 80000434: fe5214e3 bne tp,t0,8000041c <test_29+0x4>
- 80000438: 00004eb7 lui t4,0x4
- 8000043c: 01d00193 li gp,29
- 80000440: 23df1463 bne t5,t4,80000668 <fail>
-
-0000000080000444 <test_30>:
- 80000444: 00000213 li tp,0
- 80000448: 00100093 li ra,1
- 8000044c: 01f00113 li sp,31
- 80000450: 00000013 nop
- 80000454: 00000013 nop
- 80000458: 00209f3b sllw t5,ra,sp
- 8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000460: 00200293 li t0,2
- 80000464: fe5212e3 bne tp,t0,80000448 <test_30+0x4>
- 80000468: 80000eb7 lui t4,0x80000
- 8000046c: 01e00193 li gp,30
- 80000470: 1fdf1c63 bne t5,t4,80000668 <fail>
-
-0000000080000474 <test_31>:
- 80000474: 00000213 li tp,0
- 80000478: 00100093 li ra,1
- 8000047c: 00000013 nop
- 80000480: 00700113 li sp,7
- 80000484: 00209f3b sllw t5,ra,sp
- 80000488: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000048c: 00200293 li t0,2
- 80000490: fe5214e3 bne tp,t0,80000478 <test_31+0x4>
- 80000494: 08000e93 li t4,128
- 80000498: 01f00193 li gp,31
- 8000049c: 1ddf1663 bne t5,t4,80000668 <fail>
-
-00000000800004a0 <test_32>:
- 800004a0: 00000213 li tp,0
- 800004a4: 00100093 li ra,1
- 800004a8: 00000013 nop
- 800004ac: 00e00113 li sp,14
- 800004b0: 00000013 nop
- 800004b4: 00209f3b sllw t5,ra,sp
- 800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004bc: 00200293 li t0,2
- 800004c0: fe5212e3 bne tp,t0,800004a4 <test_32+0x4>
- 800004c4: 00004eb7 lui t4,0x4
- 800004c8: 02000193 li gp,32
- 800004cc: 19df1e63 bne t5,t4,80000668 <fail>
-
-00000000800004d0 <test_33>:
- 800004d0: 00000213 li tp,0
- 800004d4: 00100093 li ra,1
- 800004d8: 00000013 nop
- 800004dc: 00000013 nop
- 800004e0: 01f00113 li sp,31
- 800004e4: 00209f3b sllw t5,ra,sp
- 800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004ec: 00200293 li t0,2
- 800004f0: fe5212e3 bne tp,t0,800004d4 <test_33+0x4>
- 800004f4: 80000eb7 lui t4,0x80000
- 800004f8: 02100193 li gp,33
- 800004fc: 17df1663 bne t5,t4,80000668 <fail>
-
-0000000080000500 <test_34>:
- 80000500: 00000213 li tp,0
- 80000504: 00700113 li sp,7
- 80000508: 00100093 li ra,1
- 8000050c: 00209f3b sllw t5,ra,sp
- 80000510: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000514: 00200293 li t0,2
- 80000518: fe5216e3 bne tp,t0,80000504 <test_34+0x4>
- 8000051c: 08000e93 li t4,128
- 80000520: 02200193 li gp,34
- 80000524: 15df1263 bne t5,t4,80000668 <fail>
-
-0000000080000528 <test_35>:
- 80000528: 00000213 li tp,0
- 8000052c: 00e00113 li sp,14
- 80000530: 00100093 li ra,1
- 80000534: 00000013 nop
- 80000538: 00209f3b sllw t5,ra,sp
- 8000053c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000540: 00200293 li t0,2
- 80000544: fe5214e3 bne tp,t0,8000052c <test_35+0x4>
- 80000548: 00004eb7 lui t4,0x4
- 8000054c: 02300193 li gp,35
- 80000550: 11df1c63 bne t5,t4,80000668 <fail>
-
-0000000080000554 <test_36>:
- 80000554: 00000213 li tp,0
- 80000558: 01f00113 li sp,31
- 8000055c: 00100093 li ra,1
- 80000560: 00000013 nop
- 80000564: 00000013 nop
- 80000568: 00209f3b sllw t5,ra,sp
- 8000056c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000570: 00200293 li t0,2
- 80000574: fe5212e3 bne tp,t0,80000558 <test_36+0x4>
- 80000578: 80000eb7 lui t4,0x80000
- 8000057c: 02400193 li gp,36
- 80000580: 0fdf1463 bne t5,t4,80000668 <fail>
-
-0000000080000584 <test_37>:
- 80000584: 00000213 li tp,0
- 80000588: 00700113 li sp,7
- 8000058c: 00000013 nop
- 80000590: 00100093 li ra,1
- 80000594: 00209f3b sllw t5,ra,sp
- 80000598: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000059c: 00200293 li t0,2
- 800005a0: fe5214e3 bne tp,t0,80000588 <test_37+0x4>
- 800005a4: 08000e93 li t4,128
- 800005a8: 02500193 li gp,37
- 800005ac: 0bdf1e63 bne t5,t4,80000668 <fail>
-
-00000000800005b0 <test_38>:
- 800005b0: 00000213 li tp,0
- 800005b4: 00e00113 li sp,14
- 800005b8: 00000013 nop
- 800005bc: 00100093 li ra,1
- 800005c0: 00000013 nop
- 800005c4: 00209f3b sllw t5,ra,sp
- 800005c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005cc: 00200293 li t0,2
- 800005d0: fe5212e3 bne tp,t0,800005b4 <test_38+0x4>
- 800005d4: 00004eb7 lui t4,0x4
- 800005d8: 02600193 li gp,38
- 800005dc: 09df1663 bne t5,t4,80000668 <fail>
-
-00000000800005e0 <test_39>:
- 800005e0: 00000213 li tp,0
- 800005e4: 01f00113 li sp,31
- 800005e8: 00000013 nop
- 800005ec: 00000013 nop
- 800005f0: 00100093 li ra,1
- 800005f4: 00209f3b sllw t5,ra,sp
- 800005f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005fc: 00200293 li t0,2
- 80000600: fe5212e3 bne tp,t0,800005e4 <test_39+0x4>
- 80000604: 80000eb7 lui t4,0x80000
- 80000608: 02700193 li gp,39
- 8000060c: 05df1e63 bne t5,t4,80000668 <fail>
-
-0000000080000610 <test_40>:
- 80000610: 00f00093 li ra,15
- 80000614: 0010113b sllw sp,zero,ra
- 80000618: 00000e93 li t4,0
- 8000061c: 02800193 li gp,40
- 80000620: 05d11463 bne sp,t4,80000668 <fail>
-
-0000000080000624 <test_41>:
- 80000624: 02000093 li ra,32
- 80000628: 0000913b sllw sp,ra,zero
- 8000062c: 02000e93 li t4,32
- 80000630: 02900193 li gp,41
- 80000634: 03d11a63 bne sp,t4,80000668 <fail>
-
-0000000080000638 <test_42>:
- 80000638: 000010bb sllw ra,zero,zero
- 8000063c: 00000e93 li t4,0
- 80000640: 02a00193 li gp,42
- 80000644: 03d09263 bne ra,t4,80000668 <fail>
-
-0000000080000648 <test_43>:
- 80000648: 40000093 li ra,1024
- 8000064c: 00001137 lui sp,0x1
- 80000650: 8001011b addiw sp,sp,-2048
- 80000654: 0020903b sllw zero,ra,sp
- 80000658: 00000e93 li t4,0
- 8000065c: 02b00193 li gp,43
- 80000660: 01d01463 bne zero,t4,80000668 <fail>
- 80000664: 00301c63 bne zero,gp,8000067c <pass>
-
-0000000080000668 <fail>:
- 80000668: 0ff0000f fence
- 8000066c: 00018063 beqz gp,8000066c <fail+0x4>
- 80000670: 00119193 slli gp,gp,0x1
- 80000674: 0011e193 ori gp,gp,1
- 80000678: 00000073 ecall
-
-000000008000067c <pass>:
- 8000067c: 0ff0000f fence
- 80000680: 00100193 li gp,1
- 80000684: 00000073 ecall
- 80000688: c0001073 unimp
- 8000068c: 0000 unimp
- 8000068e: 0000 unimp
- 80000690: 0000 unimp
- 80000692: 0000 unimp
- 80000694: 0000 unimp
- 80000696: 0000 unimp
- 80000698: 0000 unimp
- 8000069a: 0000 unimp
- 8000069c: 0000 unimp
- 8000069e: 0000 unimp
- 800006a0: 0000 unimp
- 800006a2: 0000 unimp
- 800006a4: 0000 unimp
- 800006a6: 0000 unimp
- 800006a8: 0000 unimp
- 800006aa: 0000 unimp
- 800006ac: 0000 unimp
- 800006ae: 0000 unimp
- 800006b0: 0000 unimp
- 800006b2: 0000 unimp
- 800006b4: 0000 unimp
- 800006b6: 0000 unimp
- 800006b8: 0000 unimp
- 800006ba: 0000 unimp
- 800006bc: 0000 unimp
- 800006be: 0000 unimp
- 800006c0: 0000 unimp
- 800006c2: 0000 unimp
+ 80000318: 3fdf1863 bne t5,t4,80000708 <fail>
+
+000000008000031c <test_44>:
+ 8000031c: fff120b7 lui ra,0xfff12
+ 80000320: 3450809b addiw ra,ra,837
+ 80000324: 00c09093 slli ra,ra,0xc
+ 80000328: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 8000032c: 00000113 li sp,0
+ 80000330: 00209f3b sllw t5,ra,sp
+ 80000334: 12345eb7 lui t4,0x12345
+ 80000338: 678e8e9b addiw t4,t4,1656
+ 8000033c: 02c00193 li gp,44
+ 80000340: 3ddf1463 bne t5,t4,80000708 <fail>
+
+0000000080000344 <test_45>:
+ 80000344: fff120b7 lui ra,0xfff12
+ 80000348: 3450809b addiw ra,ra,837
+ 8000034c: 00c09093 slli ra,ra,0xc
+ 80000350: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000354: 00400113 li sp,4
+ 80000358: 00209f3b sllw t5,ra,sp
+ 8000035c: 23456eb7 lui t4,0x23456
+ 80000360: 780e8e9b addiw t4,t4,1920
+ 80000364: 02d00193 li gp,45
+ 80000368: 3bdf1063 bne t5,t4,80000708 <fail>
+
+000000008000036c <test_46>:
+ 8000036c: 000920b7 lui ra,0x92
+ 80000370: 3450809b addiw ra,ra,837
+ 80000374: 00c09093 slli ra,ra,0xc
+ 80000378: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 8000037c: 00000113 li sp,0
+ 80000380: 00209f3b sllw t5,ra,sp
+ 80000384: 92345eb7 lui t4,0x92345
+ 80000388: 678e8e9b addiw t4,t4,1656
+ 8000038c: 02e00193 li gp,46
+ 80000390: 37df1c63 bne t5,t4,80000708 <fail>
+
+0000000080000394 <test_47>:
+ 80000394: 000990b7 lui ra,0x99
+ 80000398: 3450809b addiw ra,ra,837
+ 8000039c: 00c09093 slli ra,ra,0xc
+ 800003a0: 67808093 addi ra,ra,1656 # 99678 <_start-0x7ff66988>
+ 800003a4: 00400113 li sp,4
+ 800003a8: 00209f3b sllw t5,ra,sp
+ 800003ac: 93456eb7 lui t4,0x93456
+ 800003b0: 780e8e9b addiw t4,t4,1920
+ 800003b4: 02f00193 li gp,47
+ 800003b8: 35df1863 bne t5,t4,80000708 <fail>
+
+00000000800003bc <test_22>:
+ 800003bc: 00100093 li ra,1
+ 800003c0: 00700113 li sp,7
+ 800003c4: 002090bb sllw ra,ra,sp
+ 800003c8: 08000e93 li t4,128
+ 800003cc: 01600193 li gp,22
+ 800003d0: 33d09c63 bne ra,t4,80000708 <fail>
+
+00000000800003d4 <test_23>:
+ 800003d4: 00100093 li ra,1
+ 800003d8: 00e00113 li sp,14
+ 800003dc: 0020913b sllw sp,ra,sp
+ 800003e0: 00004eb7 lui t4,0x4
+ 800003e4: 01700193 li gp,23
+ 800003e8: 33d11063 bne sp,t4,80000708 <fail>
+
+00000000800003ec <test_24>:
+ 800003ec: 00300093 li ra,3
+ 800003f0: 001090bb sllw ra,ra,ra
+ 800003f4: 01800e93 li t4,24
+ 800003f8: 01800193 li gp,24
+ 800003fc: 31d09663 bne ra,t4,80000708 <fail>
+
+0000000080000400 <test_25>:
+ 80000400: 00000213 li tp,0
+ 80000404: 00100093 li ra,1
+ 80000408: 00700113 li sp,7
+ 8000040c: 00209f3b sllw t5,ra,sp
+ 80000410: 000f0313 mv t1,t5
+ 80000414: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000418: 00200293 li t0,2
+ 8000041c: fe5214e3 bne tp,t0,80000404 <test_25+0x4>
+ 80000420: 08000e93 li t4,128
+ 80000424: 01900193 li gp,25
+ 80000428: 2fd31063 bne t1,t4,80000708 <fail>
+
+000000008000042c <test_26>:
+ 8000042c: 00000213 li tp,0
+ 80000430: 00100093 li ra,1
+ 80000434: 00e00113 li sp,14
+ 80000438: 00209f3b sllw t5,ra,sp
+ 8000043c: 00000013 nop
+ 80000440: 000f0313 mv t1,t5
+ 80000444: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000448: 00200293 li t0,2
+ 8000044c: fe5212e3 bne tp,t0,80000430 <test_26+0x4>
+ 80000450: 00004eb7 lui t4,0x4
+ 80000454: 01a00193 li gp,26
+ 80000458: 2bd31863 bne t1,t4,80000708 <fail>
+
+000000008000045c <test_27>:
+ 8000045c: 00000213 li tp,0
+ 80000460: 00100093 li ra,1
+ 80000464: 01f00113 li sp,31
+ 80000468: 00209f3b sllw t5,ra,sp
+ 8000046c: 00000013 nop
+ 80000470: 00000013 nop
+ 80000474: 000f0313 mv t1,t5
+ 80000478: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000047c: 00200293 li t0,2
+ 80000480: fe5210e3 bne tp,t0,80000460 <test_27+0x4>
+ 80000484: 80000eb7 lui t4,0x80000
+ 80000488: 01b00193 li gp,27
+ 8000048c: 27d31e63 bne t1,t4,80000708 <fail>
+
+0000000080000490 <test_28>:
+ 80000490: 00000213 li tp,0
+ 80000494: 00100093 li ra,1
+ 80000498: 00700113 li sp,7
+ 8000049c: 00209f3b sllw t5,ra,sp
+ 800004a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004a4: 00200293 li t0,2
+ 800004a8: fe5216e3 bne tp,t0,80000494 <test_28+0x4>
+ 800004ac: 08000e93 li t4,128
+ 800004b0: 01c00193 li gp,28
+ 800004b4: 25df1a63 bne t5,t4,80000708 <fail>
+
+00000000800004b8 <test_29>:
+ 800004b8: 00000213 li tp,0
+ 800004bc: 00100093 li ra,1
+ 800004c0: 00e00113 li sp,14
+ 800004c4: 00000013 nop
+ 800004c8: 00209f3b sllw t5,ra,sp
+ 800004cc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004d0: 00200293 li t0,2
+ 800004d4: fe5214e3 bne tp,t0,800004bc <test_29+0x4>
+ 800004d8: 00004eb7 lui t4,0x4
+ 800004dc: 01d00193 li gp,29
+ 800004e0: 23df1463 bne t5,t4,80000708 <fail>
+
+00000000800004e4 <test_30>:
+ 800004e4: 00000213 li tp,0
+ 800004e8: 00100093 li ra,1
+ 800004ec: 01f00113 li sp,31
+ 800004f0: 00000013 nop
+ 800004f4: 00000013 nop
+ 800004f8: 00209f3b sllw t5,ra,sp
+ 800004fc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000500: 00200293 li t0,2
+ 80000504: fe5212e3 bne tp,t0,800004e8 <test_30+0x4>
+ 80000508: 80000eb7 lui t4,0x80000
+ 8000050c: 01e00193 li gp,30
+ 80000510: 1fdf1c63 bne t5,t4,80000708 <fail>
+
+0000000080000514 <test_31>:
+ 80000514: 00000213 li tp,0
+ 80000518: 00100093 li ra,1
+ 8000051c: 00000013 nop
+ 80000520: 00700113 li sp,7
+ 80000524: 00209f3b sllw t5,ra,sp
+ 80000528: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000052c: 00200293 li t0,2
+ 80000530: fe5214e3 bne tp,t0,80000518 <test_31+0x4>
+ 80000534: 08000e93 li t4,128
+ 80000538: 01f00193 li gp,31
+ 8000053c: 1ddf1663 bne t5,t4,80000708 <fail>
+
+0000000080000540 <test_32>:
+ 80000540: 00000213 li tp,0
+ 80000544: 00100093 li ra,1
+ 80000548: 00000013 nop
+ 8000054c: 00e00113 li sp,14
+ 80000550: 00000013 nop
+ 80000554: 00209f3b sllw t5,ra,sp
+ 80000558: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000055c: 00200293 li t0,2
+ 80000560: fe5212e3 bne tp,t0,80000544 <test_32+0x4>
+ 80000564: 00004eb7 lui t4,0x4
+ 80000568: 02000193 li gp,32
+ 8000056c: 19df1e63 bne t5,t4,80000708 <fail>
+
+0000000080000570 <test_33>:
+ 80000570: 00000213 li tp,0
+ 80000574: 00100093 li ra,1
+ 80000578: 00000013 nop
+ 8000057c: 00000013 nop
+ 80000580: 01f00113 li sp,31
+ 80000584: 00209f3b sllw t5,ra,sp
+ 80000588: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000058c: 00200293 li t0,2
+ 80000590: fe5212e3 bne tp,t0,80000574 <test_33+0x4>
+ 80000594: 80000eb7 lui t4,0x80000
+ 80000598: 02100193 li gp,33
+ 8000059c: 17df1663 bne t5,t4,80000708 <fail>
+
+00000000800005a0 <test_34>:
+ 800005a0: 00000213 li tp,0
+ 800005a4: 00700113 li sp,7
+ 800005a8: 00100093 li ra,1
+ 800005ac: 00209f3b sllw t5,ra,sp
+ 800005b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005b4: 00200293 li t0,2
+ 800005b8: fe5216e3 bne tp,t0,800005a4 <test_34+0x4>
+ 800005bc: 08000e93 li t4,128
+ 800005c0: 02200193 li gp,34
+ 800005c4: 15df1263 bne t5,t4,80000708 <fail>
+
+00000000800005c8 <test_35>:
+ 800005c8: 00000213 li tp,0
+ 800005cc: 00e00113 li sp,14
+ 800005d0: 00100093 li ra,1
+ 800005d4: 00000013 nop
+ 800005d8: 00209f3b sllw t5,ra,sp
+ 800005dc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005e0: 00200293 li t0,2
+ 800005e4: fe5214e3 bne tp,t0,800005cc <test_35+0x4>
+ 800005e8: 00004eb7 lui t4,0x4
+ 800005ec: 02300193 li gp,35
+ 800005f0: 11df1c63 bne t5,t4,80000708 <fail>
+
+00000000800005f4 <test_36>:
+ 800005f4: 00000213 li tp,0
+ 800005f8: 01f00113 li sp,31
+ 800005fc: 00100093 li ra,1
+ 80000600: 00000013 nop
+ 80000604: 00000013 nop
+ 80000608: 00209f3b sllw t5,ra,sp
+ 8000060c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000610: 00200293 li t0,2
+ 80000614: fe5212e3 bne tp,t0,800005f8 <test_36+0x4>
+ 80000618: 80000eb7 lui t4,0x80000
+ 8000061c: 02400193 li gp,36
+ 80000620: 0fdf1463 bne t5,t4,80000708 <fail>
+
+0000000080000624 <test_37>:
+ 80000624: 00000213 li tp,0
+ 80000628: 00700113 li sp,7
+ 8000062c: 00000013 nop
+ 80000630: 00100093 li ra,1
+ 80000634: 00209f3b sllw t5,ra,sp
+ 80000638: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000063c: 00200293 li t0,2
+ 80000640: fe5214e3 bne tp,t0,80000628 <test_37+0x4>
+ 80000644: 08000e93 li t4,128
+ 80000648: 02500193 li gp,37
+ 8000064c: 0bdf1e63 bne t5,t4,80000708 <fail>
+
+0000000080000650 <test_38>:
+ 80000650: 00000213 li tp,0
+ 80000654: 00e00113 li sp,14
+ 80000658: 00000013 nop
+ 8000065c: 00100093 li ra,1
+ 80000660: 00000013 nop
+ 80000664: 00209f3b sllw t5,ra,sp
+ 80000668: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000066c: 00200293 li t0,2
+ 80000670: fe5212e3 bne tp,t0,80000654 <test_38+0x4>
+ 80000674: 00004eb7 lui t4,0x4
+ 80000678: 02600193 li gp,38
+ 8000067c: 09df1663 bne t5,t4,80000708 <fail>
+
+0000000080000680 <test_39>:
+ 80000680: 00000213 li tp,0
+ 80000684: 01f00113 li sp,31
+ 80000688: 00000013 nop
+ 8000068c: 00000013 nop
+ 80000690: 00100093 li ra,1
+ 80000694: 00209f3b sllw t5,ra,sp
+ 80000698: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000069c: 00200293 li t0,2
+ 800006a0: fe5212e3 bne tp,t0,80000684 <test_39+0x4>
+ 800006a4: 80000eb7 lui t4,0x80000
+ 800006a8: 02700193 li gp,39
+ 800006ac: 05df1e63 bne t5,t4,80000708 <fail>
+
+00000000800006b0 <test_40>:
+ 800006b0: 00f00093 li ra,15
+ 800006b4: 0010113b sllw sp,zero,ra
+ 800006b8: 00000e93 li t4,0
+ 800006bc: 02800193 li gp,40
+ 800006c0: 05d11463 bne sp,t4,80000708 <fail>
+
+00000000800006c4 <test_41>:
+ 800006c4: 02000093 li ra,32
+ 800006c8: 0000913b sllw sp,ra,zero
+ 800006cc: 02000e93 li t4,32
+ 800006d0: 02900193 li gp,41
+ 800006d4: 03d11a63 bne sp,t4,80000708 <fail>
+
+00000000800006d8 <test_42>:
+ 800006d8: 000010bb sllw ra,zero,zero
+ 800006dc: 00000e93 li t4,0
+ 800006e0: 02a00193 li gp,42
+ 800006e4: 03d09263 bne ra,t4,80000708 <fail>
+
+00000000800006e8 <test_43>:
+ 800006e8: 40000093 li ra,1024
+ 800006ec: 00001137 lui sp,0x1
+ 800006f0: 8001011b addiw sp,sp,-2048
+ 800006f4: 0020903b sllw zero,ra,sp
+ 800006f8: 00000e93 li t4,0
+ 800006fc: 02b00193 li gp,43
+ 80000700: 01d01463 bne zero,t4,80000708 <fail>
+ 80000704: 00301c63 bne zero,gp,8000071c <pass>
+
+0000000080000708 <fail>:
+ 80000708: 0ff0000f fence
+ 8000070c: 00018063 beqz gp,8000070c <fail+0x4>
+ 80000710: 00119193 slli gp,gp,0x1
+ 80000714: 0011e193 ori gp,gp,1
+ 80000718: 00000073 ecall
+
+000000008000071c <pass>:
+ 8000071c: 0ff0000f fence
+ 80000720: 00100193 li gp,1
+ 80000724: 00000073 ecall
+ 80000728: c0001073 unimp
+ 8000072c: 0000 unimp
+ 8000072e: 0000 unimp
+ 80000730: 0000 unimp
+ 80000732: 0000 unimp
+ 80000734: 0000 unimp
+ 80000736: 0000 unimp
+ 80000738: 0000 unimp
+ 8000073a: 0000 unimp
+ 8000073c: 0000 unimp
+ 8000073e: 0000 unimp
+ 80000740: 0000 unimp
+ 80000742: 0000 unimp
diff --git a/test/riscv-tests/rv64ui-p-sllw.elf b/test/riscv-tests/rv64ui-p-sllw.elf
index 4a1c421..e839d1b 100644
--- a/test/riscv-tests/rv64ui-p-sllw.elf
+++ b/test/riscv-tests/rv64ui-p-sllw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-slt.elf b/test/riscv-tests/rv64ui-p-slt.elf
index eef5e47..1feb7df 100644
--- a/test/riscv-tests/rv64ui-p-slt.elf
+++ b/test/riscv-tests/rv64ui-p-slt.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-slti.elf b/test/riscv-tests/rv64ui-p-slti.elf
index 190f81f..681c963 100644
--- a/test/riscv-tests/rv64ui-p-slti.elf
+++ b/test/riscv-tests/rv64ui-p-slti.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sltiu.elf b/test/riscv-tests/rv64ui-p-sltiu.elf
index 8347ab8..a52072b 100644
--- a/test/riscv-tests/rv64ui-p-sltiu.elf
+++ b/test/riscv-tests/rv64ui-p-sltiu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sltu.elf b/test/riscv-tests/rv64ui-p-sltu.elf
index a1ef113..16264cc 100644
--- a/test/riscv-tests/rv64ui-p-sltu.elf
+++ b/test/riscv-tests/rv64ui-p-sltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sra.elf b/test/riscv-tests/rv64ui-p-sra.elf
index f03501d..fc9a1d9 100644
--- a/test/riscv-tests/rv64ui-p-sra.elf
+++ b/test/riscv-tests/rv64ui-p-sra.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-srai.elf b/test/riscv-tests/rv64ui-p-srai.elf
index c670ee9..8efee58 100644
--- a/test/riscv-tests/rv64ui-p-srai.elf
+++ b/test/riscv-tests/rv64ui-p-srai.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sraiw.dump b/test/riscv-tests/rv64ui-p-sraiw.dump
index c45179f..e36435f 100644
--- a/test/riscv-tests/rv64ui-p-sraiw.dump
+++ b/test/riscv-tests/rv64ui-p-sraiw.dump
@@ -82,28 +82,28 @@ Disassembly of section .text.init:
80000100: 4000df1b sraiw t5,ra,0x0
80000104: 80000eb7 lui t4,0x80000
80000108: 00200193 li gp,2
- 8000010c: 2ddf1c63 bne t5,t4,800003e4 <fail>
+ 8000010c: 37df1463 bne t5,t4,80000474 <fail>
0000000080000110 <test_3>:
80000110: 800000b7 lui ra,0x80000
80000114: 4010df1b sraiw t5,ra,0x1
80000118: c0000eb7 lui t4,0xc0000
8000011c: 00300193 li gp,3
- 80000120: 2ddf1263 bne t5,t4,800003e4 <fail>
+ 80000120: 35df1a63 bne t5,t4,80000474 <fail>
0000000080000124 <test_4>:
80000124: 800000b7 lui ra,0x80000
80000128: 4070df1b sraiw t5,ra,0x7
8000012c: ff000eb7 lui t4,0xff000
80000130: 00400193 li gp,4
- 80000134: 2bdf1863 bne t5,t4,800003e4 <fail>
+ 80000134: 35df1063 bne t5,t4,80000474 <fail>
0000000080000138 <test_5>:
80000138: 800000b7 lui ra,0x80000
8000013c: 40e0df1b sraiw t5,ra,0xe
80000140: fffe0eb7 lui t4,0xfffe0
80000144: 00500193 li gp,5
- 80000148: 29df1e63 bne t5,t4,800003e4 <fail>
+ 80000148: 33df1663 bne t5,t4,80000474 <fail>
000000008000014c <test_6>:
8000014c: 800000b7 lui ra,0x80000
@@ -111,7 +111,7 @@ Disassembly of section .text.init:
80000154: 41f0df1b sraiw t5,ra,0x1f
80000158: fff00e93 li t4,-1
8000015c: 00600193 li gp,6
- 80000160: 29df1263 bne t5,t4,800003e4 <fail>
+ 80000160: 31df1a63 bne t5,t4,80000474 <fail>
0000000080000164 <test_7>:
80000164: 800000b7 lui ra,0x80000
@@ -120,7 +120,7 @@ Disassembly of section .text.init:
80000170: 80000eb7 lui t4,0x80000
80000174: fffe8e9b addiw t4,t4,-1
80000178: 00700193 li gp,7
- 8000017c: 27df1463 bne t5,t4,800003e4 <fail>
+ 8000017c: 2fdf1c63 bne t5,t4,80000474 <fail>
0000000080000180 <test_8>:
80000180: 800000b7 lui ra,0x80000
@@ -129,7 +129,7 @@ Disassembly of section .text.init:
8000018c: 40000eb7 lui t4,0x40000
80000190: fffe8e9b addiw t4,t4,-1
80000194: 00800193 li gp,8
- 80000198: 25df1663 bne t5,t4,800003e4 <fail>
+ 80000198: 2ddf1e63 bne t5,t4,80000474 <fail>
000000008000019c <test_9>:
8000019c: 800000b7 lui ra,0x80000
@@ -138,7 +138,7 @@ Disassembly of section .text.init:
800001a8: 01000eb7 lui t4,0x1000
800001ac: fffe8e9b addiw t4,t4,-1
800001b0: 00900193 li gp,9
- 800001b4: 23df1863 bne t5,t4,800003e4 <fail>
+ 800001b4: 2ddf1063 bne t5,t4,80000474 <fail>
00000000800001b8 <test_10>:
800001b8: 800000b7 lui ra,0x80000
@@ -147,7 +147,7 @@ Disassembly of section .text.init:
800001c4: 00020eb7 lui t4,0x20
800001c8: fffe8e9b addiw t4,t4,-1
800001cc: 00a00193 li gp,10
- 800001d0: 21df1a63 bne t5,t4,800003e4 <fail>
+ 800001d0: 2bdf1263 bne t5,t4,80000474 <fail>
00000000800001d4 <test_11>:
800001d4: 800000b7 lui ra,0x80000
@@ -155,7 +155,7 @@ Disassembly of section .text.init:
800001dc: 41f0df1b sraiw t5,ra,0x1f
800001e0: 00000e93 li t4,0
800001e4: 00b00193 li gp,11
- 800001e8: 1fdf1e63 bne t5,t4,800003e4 <fail>
+ 800001e8: 29df1663 bne t5,t4,80000474 <fail>
00000000800001ec <test_12>:
800001ec: 818180b7 lui ra,0x81818
@@ -164,7 +164,7 @@ Disassembly of section .text.init:
800001f8: 81818eb7 lui t4,0x81818
800001fc: 181e8e9b addiw t4,t4,385
80000200: 00c00193 li gp,12
- 80000204: 1fdf1063 bne t5,t4,800003e4 <fail>
+ 80000204: 27df1863 bne t5,t4,80000474 <fail>
0000000080000208 <test_13>:
80000208: 818180b7 lui ra,0x81818
@@ -173,7 +173,7 @@ Disassembly of section .text.init:
80000214: c0c0ceb7 lui t4,0xc0c0c
80000218: 0c0e8e9b addiw t4,t4,192
8000021c: 00d00193 li gp,13
- 80000220: 1ddf1263 bne t5,t4,800003e4 <fail>
+ 80000220: 25df1a63 bne t5,t4,80000474 <fail>
0000000080000224 <test_14>:
80000224: 818180b7 lui ra,0x81818
@@ -182,7 +182,7 @@ Disassembly of section .text.init:
80000230: ff030eb7 lui t4,0xff030
80000234: 303e8e9b addiw t4,t4,771
80000238: 00e00193 li gp,14
- 8000023c: 1bdf1463 bne t5,t4,800003e4 <fail>
+ 8000023c: 23df1c63 bne t5,t4,80000474 <fail>
0000000080000240 <test_15>:
80000240: 818180b7 lui ra,0x81818
@@ -191,7 +191,7 @@ Disassembly of section .text.init:
8000024c: fffe0eb7 lui t4,0xfffe0
80000250: 606e8e9b addiw t4,t4,1542
80000254: 00f00193 li gp,15
- 80000258: 19df1663 bne t5,t4,800003e4 <fail>
+ 80000258: 21df1e63 bne t5,t4,80000474 <fail>
000000008000025c <test_16>:
8000025c: 818180b7 lui ra,0x81818
@@ -199,161 +199,197 @@ Disassembly of section .text.init:
80000264: 41f0df1b sraiw t5,ra,0x1f
80000268: fff00e93 li t4,-1
8000026c: 01000193 li gp,16
- 80000270: 17df1a63 bne t5,t4,800003e4 <fail>
-
-0000000080000274 <test_17>:
- 80000274: 800000b7 lui ra,0x80000
- 80000278: 4070d09b sraiw ra,ra,0x7
- 8000027c: ff000eb7 lui t4,0xff000
- 80000280: 01100193 li gp,17
- 80000284: 17d09063 bne ra,t4,800003e4 <fail>
-
-0000000080000288 <test_18>:
- 80000288: 00000213 li tp,0
- 8000028c: 800000b7 lui ra,0x80000
- 80000290: 4070df1b sraiw t5,ra,0x7
- 80000294: 000f0313 mv t1,t5
- 80000298: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000029c: 00200293 li t0,2
- 800002a0: fe5216e3 bne tp,t0,8000028c <test_18+0x4>
- 800002a4: ff000eb7 lui t4,0xff000
- 800002a8: 01200193 li gp,18
- 800002ac: 13d31c63 bne t1,t4,800003e4 <fail>
-
-00000000800002b0 <test_19>:
- 800002b0: 00000213 li tp,0
- 800002b4: 800000b7 lui ra,0x80000
- 800002b8: 40e0df1b sraiw t5,ra,0xe
- 800002bc: 00000013 nop
- 800002c0: 000f0313 mv t1,t5
- 800002c4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002c8: 00200293 li t0,2
- 800002cc: fe5214e3 bne tp,t0,800002b4 <test_19+0x4>
- 800002d0: fffe0eb7 lui t4,0xfffe0
- 800002d4: 01300193 li gp,19
- 800002d8: 11d31663 bne t1,t4,800003e4 <fail>
-
-00000000800002dc <test_20>:
- 800002dc: 00000213 li tp,0
- 800002e0: 800000b7 lui ra,0x80000
- 800002e4: 0010809b addiw ra,ra,1
- 800002e8: 41f0df1b sraiw t5,ra,0x1f
- 800002ec: 00000013 nop
- 800002f0: 00000013 nop
- 800002f4: 000f0313 mv t1,t5
- 800002f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002fc: 00200293 li t0,2
- 80000300: fe5210e3 bne tp,t0,800002e0 <test_20+0x4>
- 80000304: fff00e93 li t4,-1
- 80000308: 01400193 li gp,20
- 8000030c: 0dd31c63 bne t1,t4,800003e4 <fail>
-
-0000000080000310 <test_21>:
- 80000310: 00000213 li tp,0
- 80000314: 800000b7 lui ra,0x80000
- 80000318: 4070df1b sraiw t5,ra,0x7
- 8000031c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000320: 00200293 li t0,2
- 80000324: fe5218e3 bne tp,t0,80000314 <test_21+0x4>
- 80000328: ff000eb7 lui t4,0xff000
- 8000032c: 01500193 li gp,21
- 80000330: 0bdf1a63 bne t5,t4,800003e4 <fail>
-
-0000000080000334 <test_22>:
- 80000334: 00000213 li tp,0
- 80000338: 800000b7 lui ra,0x80000
- 8000033c: 00000013 nop
- 80000340: 40e0df1b sraiw t5,ra,0xe
- 80000344: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000348: 00200293 li t0,2
- 8000034c: fe5216e3 bne tp,t0,80000338 <test_22+0x4>
- 80000350: fffe0eb7 lui t4,0xfffe0
- 80000354: 01600193 li gp,22
- 80000358: 09df1663 bne t5,t4,800003e4 <fail>
-
-000000008000035c <test_23>:
- 8000035c: 00000213 li tp,0
- 80000360: 800000b7 lui ra,0x80000
- 80000364: 0010809b addiw ra,ra,1
- 80000368: 00000013 nop
- 8000036c: 00000013 nop
- 80000370: 41f0df1b sraiw t5,ra,0x1f
- 80000374: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000378: 00200293 li t0,2
- 8000037c: fe5212e3 bne tp,t0,80000360 <test_23+0x4>
- 80000380: fff00e93 li t4,-1
- 80000384: 01700193 li gp,23
- 80000388: 05df1e63 bne t5,t4,800003e4 <fail>
-
-000000008000038c <test_24>:
- 8000038c: 41f0509b sraiw ra,zero,0x1f
- 80000390: 00000e93 li t4,0
- 80000394: 01800193 li gp,24
- 80000398: 05d09663 bne ra,t4,800003e4 <fail>
-
-000000008000039c <test_25>:
- 8000039c: 01f00093 li ra,31
- 800003a0: 41c0d01b sraiw zero,ra,0x1c
- 800003a4: 00000e93 li t4,0
- 800003a8: 01900193 li gp,25
- 800003ac: 03d01c63 bne zero,t4,800003e4 <fail>
-
-00000000800003b0 <test_26>:
- 800003b0: 0070009b addiw ra,zero,7
- 800003b4: 03509093 slli ra,ra,0x35
- 800003b8: 41c0df1b sraiw t5,ra,0x1c
- 800003bc: 00000e93 li t4,0
- 800003c0: 01a00193 li gp,26
- 800003c4: 03df1063 bne t5,t4,800003e4 <fail>
-
-00000000800003c8 <test_27>:
- 800003c8: 00f0009b addiw ra,zero,15
- 800003cc: 01c09093 slli ra,ra,0x1c
- 800003d0: 4040df1b sraiw t5,ra,0x4
- 800003d4: ff000eb7 lui t4,0xff000
- 800003d8: 01b00193 li gp,27
- 800003dc: 01df1463 bne t5,t4,800003e4 <fail>
- 800003e0: 00301c63 bne zero,gp,800003f8 <pass>
-
-00000000800003e4 <fail>:
- 800003e4: 0ff0000f fence
- 800003e8: 00018063 beqz gp,800003e8 <fail+0x4>
- 800003ec: 00119193 slli gp,gp,0x1
- 800003f0: 0011e193 ori gp,gp,1
- 800003f4: 00000073 ecall
-
-00000000800003f8 <pass>:
- 800003f8: 0ff0000f fence
- 800003fc: 00100193 li gp,1
- 80000400: 00000073 ecall
- 80000404: c0001073 unimp
- 80000408: 0000 unimp
- 8000040a: 0000 unimp
- 8000040c: 0000 unimp
- 8000040e: 0000 unimp
- 80000410: 0000 unimp
- 80000412: 0000 unimp
- 80000414: 0000 unimp
- 80000416: 0000 unimp
- 80000418: 0000 unimp
- 8000041a: 0000 unimp
- 8000041c: 0000 unimp
- 8000041e: 0000 unimp
- 80000420: 0000 unimp
- 80000422: 0000 unimp
- 80000424: 0000 unimp
- 80000426: 0000 unimp
- 80000428: 0000 unimp
- 8000042a: 0000 unimp
- 8000042c: 0000 unimp
- 8000042e: 0000 unimp
- 80000430: 0000 unimp
- 80000432: 0000 unimp
- 80000434: 0000 unimp
- 80000436: 0000 unimp
- 80000438: 0000 unimp
- 8000043a: 0000 unimp
- 8000043c: 0000 unimp
- 8000043e: 0000 unimp
- 80000440: 0000 unimp
- 80000442: 0000 unimp
+ 80000270: 21df1263 bne t5,t4,80000474 <fail>
+
+0000000080000274 <test_44>:
+ 80000274: fff120b7 lui ra,0xfff12
+ 80000278: 3450809b addiw ra,ra,837
+ 8000027c: 00c09093 slli ra,ra,0xc
+ 80000280: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000284: 4000df1b sraiw t5,ra,0x0
+ 80000288: 12345eb7 lui t4,0x12345
+ 8000028c: 678e8e9b addiw t4,t4,1656
+ 80000290: 02c00193 li gp,44
+ 80000294: 1fdf1063 bne t5,t4,80000474 <fail>
+
+0000000080000298 <test_45>:
+ 80000298: fff120b7 lui ra,0xfff12
+ 8000029c: 3450809b addiw ra,ra,837
+ 800002a0: 00c09093 slli ra,ra,0xc
+ 800002a4: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 800002a8: 4040df1b sraiw t5,ra,0x4
+ 800002ac: 01234eb7 lui t4,0x1234
+ 800002b0: 567e8e9b addiw t4,t4,1383
+ 800002b4: 02d00193 li gp,45
+ 800002b8: 1bdf1e63 bne t5,t4,80000474 <fail>
+
+00000000800002bc <test_46>:
+ 800002bc: 000920b7 lui ra,0x92
+ 800002c0: 3450809b addiw ra,ra,837
+ 800002c4: 00c09093 slli ra,ra,0xc
+ 800002c8: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800002cc: 4000df1b sraiw t5,ra,0x0
+ 800002d0: 92345eb7 lui t4,0x92345
+ 800002d4: 678e8e9b addiw t4,t4,1656
+ 800002d8: 02e00193 li gp,46
+ 800002dc: 19df1c63 bne t5,t4,80000474 <fail>
+
+00000000800002e0 <test_47>:
+ 800002e0: 000920b7 lui ra,0x92
+ 800002e4: 3450809b addiw ra,ra,837
+ 800002e8: 00c09093 slli ra,ra,0xc
+ 800002ec: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800002f0: 4040df1b sraiw t5,ra,0x4
+ 800002f4: f9234eb7 lui t4,0xf9234
+ 800002f8: 567e8e9b addiw t4,t4,1383
+ 800002fc: 02f00193 li gp,47
+ 80000300: 17df1a63 bne t5,t4,80000474 <fail>
+
+0000000080000304 <test_17>:
+ 80000304: 800000b7 lui ra,0x80000
+ 80000308: 4070d09b sraiw ra,ra,0x7
+ 8000030c: ff000eb7 lui t4,0xff000
+ 80000310: 01100193 li gp,17
+ 80000314: 17d09063 bne ra,t4,80000474 <fail>
+
+0000000080000318 <test_18>:
+ 80000318: 00000213 li tp,0
+ 8000031c: 800000b7 lui ra,0x80000
+ 80000320: 4070df1b sraiw t5,ra,0x7
+ 80000324: 000f0313 mv t1,t5
+ 80000328: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000032c: 00200293 li t0,2
+ 80000330: fe5216e3 bne tp,t0,8000031c <test_18+0x4>
+ 80000334: ff000eb7 lui t4,0xff000
+ 80000338: 01200193 li gp,18
+ 8000033c: 13d31c63 bne t1,t4,80000474 <fail>
+
+0000000080000340 <test_19>:
+ 80000340: 00000213 li tp,0
+ 80000344: 800000b7 lui ra,0x80000
+ 80000348: 40e0df1b sraiw t5,ra,0xe
+ 8000034c: 00000013 nop
+ 80000350: 000f0313 mv t1,t5
+ 80000354: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000358: 00200293 li t0,2
+ 8000035c: fe5214e3 bne tp,t0,80000344 <test_19+0x4>
+ 80000360: fffe0eb7 lui t4,0xfffe0
+ 80000364: 01300193 li gp,19
+ 80000368: 11d31663 bne t1,t4,80000474 <fail>
+
+000000008000036c <test_20>:
+ 8000036c: 00000213 li tp,0
+ 80000370: 800000b7 lui ra,0x80000
+ 80000374: 0010809b addiw ra,ra,1
+ 80000378: 41f0df1b sraiw t5,ra,0x1f
+ 8000037c: 00000013 nop
+ 80000380: 00000013 nop
+ 80000384: 000f0313 mv t1,t5
+ 80000388: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000038c: 00200293 li t0,2
+ 80000390: fe5210e3 bne tp,t0,80000370 <test_20+0x4>
+ 80000394: fff00e93 li t4,-1
+ 80000398: 01400193 li gp,20
+ 8000039c: 0dd31c63 bne t1,t4,80000474 <fail>
+
+00000000800003a0 <test_21>:
+ 800003a0: 00000213 li tp,0
+ 800003a4: 800000b7 lui ra,0x80000
+ 800003a8: 4070df1b sraiw t5,ra,0x7
+ 800003ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003b0: 00200293 li t0,2
+ 800003b4: fe5218e3 bne tp,t0,800003a4 <test_21+0x4>
+ 800003b8: ff000eb7 lui t4,0xff000
+ 800003bc: 01500193 li gp,21
+ 800003c0: 0bdf1a63 bne t5,t4,80000474 <fail>
+
+00000000800003c4 <test_22>:
+ 800003c4: 00000213 li tp,0
+ 800003c8: 800000b7 lui ra,0x80000
+ 800003cc: 00000013 nop
+ 800003d0: 40e0df1b sraiw t5,ra,0xe
+ 800003d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003d8: 00200293 li t0,2
+ 800003dc: fe5216e3 bne tp,t0,800003c8 <test_22+0x4>
+ 800003e0: fffe0eb7 lui t4,0xfffe0
+ 800003e4: 01600193 li gp,22
+ 800003e8: 09df1663 bne t5,t4,80000474 <fail>
+
+00000000800003ec <test_23>:
+ 800003ec: 00000213 li tp,0
+ 800003f0: 800000b7 lui ra,0x80000
+ 800003f4: 0010809b addiw ra,ra,1
+ 800003f8: 00000013 nop
+ 800003fc: 00000013 nop
+ 80000400: 41f0df1b sraiw t5,ra,0x1f
+ 80000404: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000408: 00200293 li t0,2
+ 8000040c: fe5212e3 bne tp,t0,800003f0 <test_23+0x4>
+ 80000410: fff00e93 li t4,-1
+ 80000414: 01700193 li gp,23
+ 80000418: 05df1e63 bne t5,t4,80000474 <fail>
+
+000000008000041c <test_24>:
+ 8000041c: 41f0509b sraiw ra,zero,0x1f
+ 80000420: 00000e93 li t4,0
+ 80000424: 01800193 li gp,24
+ 80000428: 05d09663 bne ra,t4,80000474 <fail>
+
+000000008000042c <test_25>:
+ 8000042c: 01f00093 li ra,31
+ 80000430: 41c0d01b sraiw zero,ra,0x1c
+ 80000434: 00000e93 li t4,0
+ 80000438: 01900193 li gp,25
+ 8000043c: 03d01c63 bne zero,t4,80000474 <fail>
+
+0000000080000440 <test_26>:
+ 80000440: 0070009b addiw ra,zero,7
+ 80000444: 03509093 slli ra,ra,0x35
+ 80000448: 41c0df1b sraiw t5,ra,0x1c
+ 8000044c: 00000e93 li t4,0
+ 80000450: 01a00193 li gp,26
+ 80000454: 03df1063 bne t5,t4,80000474 <fail>
+
+0000000080000458 <test_27>:
+ 80000458: 00f0009b addiw ra,zero,15
+ 8000045c: 01c09093 slli ra,ra,0x1c
+ 80000460: 4040df1b sraiw t5,ra,0x4
+ 80000464: ff000eb7 lui t4,0xff000
+ 80000468: 01b00193 li gp,27
+ 8000046c: 01df1463 bne t5,t4,80000474 <fail>
+ 80000470: 00301c63 bne zero,gp,80000488 <pass>
+
+0000000080000474 <fail>:
+ 80000474: 0ff0000f fence
+ 80000478: 00018063 beqz gp,80000478 <fail+0x4>
+ 8000047c: 00119193 slli gp,gp,0x1
+ 80000480: 0011e193 ori gp,gp,1
+ 80000484: 00000073 ecall
+
+0000000080000488 <pass>:
+ 80000488: 0ff0000f fence
+ 8000048c: 00100193 li gp,1
+ 80000490: 00000073 ecall
+ 80000494: c0001073 unimp
+ 80000498: 0000 unimp
+ 8000049a: 0000 unimp
+ 8000049c: 0000 unimp
+ 8000049e: 0000 unimp
+ 800004a0: 0000 unimp
+ 800004a2: 0000 unimp
+ 800004a4: 0000 unimp
+ 800004a6: 0000 unimp
+ 800004a8: 0000 unimp
+ 800004aa: 0000 unimp
+ 800004ac: 0000 unimp
+ 800004ae: 0000 unimp
+ 800004b0: 0000 unimp
+ 800004b2: 0000 unimp
+ 800004b4: 0000 unimp
+ 800004b6: 0000 unimp
+ 800004b8: 0000 unimp
+ 800004ba: 0000 unimp
+ 800004bc: 0000 unimp
+ 800004be: 0000 unimp
+ 800004c0: 0000 unimp
+ 800004c2: 0000 unimp
diff --git a/test/riscv-tests/rv64ui-p-sraiw.elf b/test/riscv-tests/rv64ui-p-sraiw.elf
index e4cab28..d3a9099 100644
--- a/test/riscv-tests/rv64ui-p-sraiw.elf
+++ b/test/riscv-tests/rv64ui-p-sraiw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sraw.dump b/test/riscv-tests/rv64ui-p-sraw.dump
index dc65ff4..d98eeca 100644
--- a/test/riscv-tests/rv64ui-p-sraw.dump
+++ b/test/riscv-tests/rv64ui-p-sraw.dump
@@ -83,7 +83,7 @@ Disassembly of section .text.init:
80000104: 4020df3b sraw t5,ra,sp
80000108: 80000eb7 lui t4,0x80000
8000010c: 00200193 li gp,2
- 80000110: 59df1463 bne t5,t4,80000698 <fail>
+ 80000110: 63df1463 bne t5,t4,80000738 <fail>
0000000080000114 <test_3>:
80000114: 800000b7 lui ra,0x80000
@@ -91,7 +91,7 @@ Disassembly of section .text.init:
8000011c: 4020df3b sraw t5,ra,sp
80000120: c0000eb7 lui t4,0xc0000
80000124: 00300193 li gp,3
- 80000128: 57df1863 bne t5,t4,80000698 <fail>
+ 80000128: 61df1863 bne t5,t4,80000738 <fail>
000000008000012c <test_4>:
8000012c: 800000b7 lui ra,0x80000
@@ -99,7 +99,7 @@ Disassembly of section .text.init:
80000134: 4020df3b sraw t5,ra,sp
80000138: ff000eb7 lui t4,0xff000
8000013c: 00400193 li gp,4
- 80000140: 55df1c63 bne t5,t4,80000698 <fail>
+ 80000140: 5fdf1c63 bne t5,t4,80000738 <fail>
0000000080000144 <test_5>:
80000144: 800000b7 lui ra,0x80000
@@ -107,7 +107,7 @@ Disassembly of section .text.init:
8000014c: 4020df3b sraw t5,ra,sp
80000150: fffe0eb7 lui t4,0xfffe0
80000154: 00500193 li gp,5
- 80000158: 55df1063 bne t5,t4,80000698 <fail>
+ 80000158: 5fdf1063 bne t5,t4,80000738 <fail>
000000008000015c <test_6>:
8000015c: 800000b7 lui ra,0x80000
@@ -116,7 +116,7 @@ Disassembly of section .text.init:
80000168: 4020df3b sraw t5,ra,sp
8000016c: fff00e93 li t4,-1
80000170: 00600193 li gp,6
- 80000174: 53df1263 bne t5,t4,80000698 <fail>
+ 80000174: 5ddf1263 bne t5,t4,80000738 <fail>
0000000080000178 <test_7>:
80000178: 800000b7 lui ra,0x80000
@@ -126,7 +126,7 @@ Disassembly of section .text.init:
80000188: 80000eb7 lui t4,0x80000
8000018c: fffe8e9b addiw t4,t4,-1
80000190: 00700193 li gp,7
- 80000194: 51df1263 bne t5,t4,80000698 <fail>
+ 80000194: 5bdf1263 bne t5,t4,80000738 <fail>
0000000080000198 <test_8>:
80000198: 800000b7 lui ra,0x80000
@@ -136,7 +136,7 @@ Disassembly of section .text.init:
800001a8: 40000eb7 lui t4,0x40000
800001ac: fffe8e9b addiw t4,t4,-1
800001b0: 00800193 li gp,8
- 800001b4: 4fdf1263 bne t5,t4,80000698 <fail>
+ 800001b4: 59df1263 bne t5,t4,80000738 <fail>
00000000800001b8 <test_9>:
800001b8: 800000b7 lui ra,0x80000
@@ -146,7 +146,7 @@ Disassembly of section .text.init:
800001c8: 01000eb7 lui t4,0x1000
800001cc: fffe8e9b addiw t4,t4,-1
800001d0: 00900193 li gp,9
- 800001d4: 4ddf1263 bne t5,t4,80000698 <fail>
+ 800001d4: 57df1263 bne t5,t4,80000738 <fail>
00000000800001d8 <test_10>:
800001d8: 800000b7 lui ra,0x80000
@@ -156,7 +156,7 @@ Disassembly of section .text.init:
800001e8: 00020eb7 lui t4,0x20
800001ec: fffe8e9b addiw t4,t4,-1
800001f0: 00a00193 li gp,10
- 800001f4: 4bdf1263 bne t5,t4,80000698 <fail>
+ 800001f4: 55df1263 bne t5,t4,80000738 <fail>
00000000800001f8 <test_11>:
800001f8: 800000b7 lui ra,0x80000
@@ -165,7 +165,7 @@ Disassembly of section .text.init:
80000204: 4020df3b sraw t5,ra,sp
80000208: 00000e93 li t4,0
8000020c: 00b00193 li gp,11
- 80000210: 49df1463 bne t5,t4,80000698 <fail>
+ 80000210: 53df1463 bne t5,t4,80000738 <fail>
0000000080000214 <test_12>:
80000214: 818180b7 lui ra,0x81818
@@ -175,7 +175,7 @@ Disassembly of section .text.init:
80000224: 81818eb7 lui t4,0x81818
80000228: 181e8e9b addiw t4,t4,385
8000022c: 00c00193 li gp,12
- 80000230: 47df1463 bne t5,t4,80000698 <fail>
+ 80000230: 51df1463 bne t5,t4,80000738 <fail>
0000000080000234 <test_13>:
80000234: 818180b7 lui ra,0x81818
@@ -185,7 +185,7 @@ Disassembly of section .text.init:
80000244: c0c0ceb7 lui t4,0xc0c0c
80000248: 0c0e8e9b addiw t4,t4,192
8000024c: 00d00193 li gp,13
- 80000250: 45df1463 bne t5,t4,80000698 <fail>
+ 80000250: 4fdf1463 bne t5,t4,80000738 <fail>
0000000080000254 <test_14>:
80000254: 818180b7 lui ra,0x81818
@@ -195,7 +195,7 @@ Disassembly of section .text.init:
80000264: ff030eb7 lui t4,0xff030
80000268: 303e8e9b addiw t4,t4,771
8000026c: 00e00193 li gp,14
- 80000270: 43df1463 bne t5,t4,80000698 <fail>
+ 80000270: 4ddf1463 bne t5,t4,80000738 <fail>
0000000080000274 <test_15>:
80000274: 818180b7 lui ra,0x81818
@@ -205,7 +205,7 @@ Disassembly of section .text.init:
80000284: fffe0eb7 lui t4,0xfffe0
80000288: 606e8e9b addiw t4,t4,1542
8000028c: 00f00193 li gp,15
- 80000290: 41df1463 bne t5,t4,80000698 <fail>
+ 80000290: 4bdf1463 bne t5,t4,80000738 <fail>
0000000080000294 <test_16>:
80000294: 818180b7 lui ra,0x81818
@@ -214,7 +214,7 @@ Disassembly of section .text.init:
800002a0: 4020df3b sraw t5,ra,sp
800002a4: fff00e93 li t4,-1
800002a8: 01000193 li gp,16
- 800002ac: 3fdf1663 bne t5,t4,80000698 <fail>
+ 800002ac: 49df1663 bne t5,t4,80000738 <fail>
00000000800002b0 <test_17>:
800002b0: 818180b7 lui ra,0x81818
@@ -224,7 +224,7 @@ Disassembly of section .text.init:
800002c0: 81818eb7 lui t4,0x81818
800002c4: 181e8e9b addiw t4,t4,385
800002c8: 01100193 li gp,17
- 800002cc: 3ddf1663 bne t5,t4,80000698 <fail>
+ 800002cc: 47df1663 bne t5,t4,80000738 <fail>
00000000800002d0 <test_18>:
800002d0: 818180b7 lui ra,0x81818
@@ -234,7 +234,7 @@ Disassembly of section .text.init:
800002e0: c0c0ceb7 lui t4,0xc0c0c
800002e4: 0c0e8e9b addiw t4,t4,192
800002e8: 01200193 li gp,18
- 800002ec: 3bdf1663 bne t5,t4,80000698 <fail>
+ 800002ec: 45df1663 bne t5,t4,80000738 <fail>
00000000800002f0 <test_19>:
800002f0: 818180b7 lui ra,0x81818
@@ -244,7 +244,7 @@ Disassembly of section .text.init:
80000300: ff030eb7 lui t4,0xff030
80000304: 303e8e9b addiw t4,t4,771
80000308: 01300193 li gp,19
- 8000030c: 39df1663 bne t5,t4,80000698 <fail>
+ 8000030c: 43df1663 bne t5,t4,80000738 <fail>
0000000080000310 <test_20>:
80000310: 818180b7 lui ra,0x81818
@@ -254,7 +254,7 @@ Disassembly of section .text.init:
80000320: fffe0eb7 lui t4,0xfffe0
80000324: 606e8e9b addiw t4,t4,1542
80000328: 01400193 li gp,20
- 8000032c: 37df1663 bne t5,t4,80000698 <fail>
+ 8000032c: 41df1663 bne t5,t4,80000738 <fail>
0000000080000330 <test_21>:
80000330: 818180b7 lui ra,0x81818
@@ -263,276 +263,340 @@ Disassembly of section .text.init:
8000033c: 4020df3b sraw t5,ra,sp
80000340: fff00e93 li t4,-1
80000344: 01500193 li gp,21
- 80000348: 35df1863 bne t5,t4,80000698 <fail>
-
-000000008000034c <test_22>:
- 8000034c: 800000b7 lui ra,0x80000
- 80000350: 00700113 li sp,7
- 80000354: 4020d0bb sraw ra,ra,sp
- 80000358: ff000eb7 lui t4,0xff000
- 8000035c: 01600193 li gp,22
- 80000360: 33d09c63 bne ra,t4,80000698 <fail>
-
-0000000080000364 <test_23>:
- 80000364: 800000b7 lui ra,0x80000
- 80000368: 00e00113 li sp,14
- 8000036c: 4020d13b sraw sp,ra,sp
- 80000370: fffe0eb7 lui t4,0xfffe0
- 80000374: 01700193 li gp,23
- 80000378: 33d11063 bne sp,t4,80000698 <fail>
-
-000000008000037c <test_24>:
- 8000037c: 00700093 li ra,7
- 80000380: 4010d0bb sraw ra,ra,ra
- 80000384: 00000e93 li t4,0
- 80000388: 01800193 li gp,24
- 8000038c: 31d09663 bne ra,t4,80000698 <fail>
-
-0000000080000390 <test_25>:
- 80000390: 00000213 li tp,0
- 80000394: 800000b7 lui ra,0x80000
- 80000398: 00700113 li sp,7
- 8000039c: 4020df3b sraw t5,ra,sp
- 800003a0: 000f0313 mv t1,t5
- 800003a4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003a8: 00200293 li t0,2
- 800003ac: fe5214e3 bne tp,t0,80000394 <test_25+0x4>
- 800003b0: ff000eb7 lui t4,0xff000
- 800003b4: 01900193 li gp,25
- 800003b8: 2fd31063 bne t1,t4,80000698 <fail>
-
-00000000800003bc <test_26>:
- 800003bc: 00000213 li tp,0
- 800003c0: 800000b7 lui ra,0x80000
- 800003c4: 00e00113 li sp,14
- 800003c8: 4020df3b sraw t5,ra,sp
- 800003cc: 00000013 nop
- 800003d0: 000f0313 mv t1,t5
- 800003d4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003d8: 00200293 li t0,2
- 800003dc: fe5212e3 bne tp,t0,800003c0 <test_26+0x4>
- 800003e0: fffe0eb7 lui t4,0xfffe0
- 800003e4: 01a00193 li gp,26
- 800003e8: 2bd31863 bne t1,t4,80000698 <fail>
-
-00000000800003ec <test_27>:
- 800003ec: 00000213 li tp,0
- 800003f0: 800000b7 lui ra,0x80000
- 800003f4: 01f00113 li sp,31
- 800003f8: 4020df3b sraw t5,ra,sp
- 800003fc: 00000013 nop
- 80000400: 00000013 nop
- 80000404: 000f0313 mv t1,t5
- 80000408: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000040c: 00200293 li t0,2
- 80000410: fe5210e3 bne tp,t0,800003f0 <test_27+0x4>
- 80000414: fff00e93 li t4,-1
- 80000418: 01b00193 li gp,27
- 8000041c: 27d31e63 bne t1,t4,80000698 <fail>
-
-0000000080000420 <test_28>:
- 80000420: 00000213 li tp,0
- 80000424: 800000b7 lui ra,0x80000
- 80000428: 00700113 li sp,7
- 8000042c: 4020df3b sraw t5,ra,sp
- 80000430: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000434: 00200293 li t0,2
- 80000438: fe5216e3 bne tp,t0,80000424 <test_28+0x4>
- 8000043c: ff000eb7 lui t4,0xff000
- 80000440: 01c00193 li gp,28
- 80000444: 25df1a63 bne t5,t4,80000698 <fail>
-
-0000000080000448 <test_29>:
- 80000448: 00000213 li tp,0
- 8000044c: 800000b7 lui ra,0x80000
- 80000450: 00e00113 li sp,14
- 80000454: 00000013 nop
- 80000458: 4020df3b sraw t5,ra,sp
- 8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000460: 00200293 li t0,2
- 80000464: fe5214e3 bne tp,t0,8000044c <test_29+0x4>
- 80000468: fffe0eb7 lui t4,0xfffe0
- 8000046c: 01d00193 li gp,29
- 80000470: 23df1463 bne t5,t4,80000698 <fail>
-
-0000000080000474 <test_30>:
- 80000474: 00000213 li tp,0
- 80000478: 800000b7 lui ra,0x80000
- 8000047c: 01f00113 li sp,31
- 80000480: 00000013 nop
- 80000484: 00000013 nop
- 80000488: 4020df3b sraw t5,ra,sp
- 8000048c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000490: 00200293 li t0,2
- 80000494: fe5212e3 bne tp,t0,80000478 <test_30+0x4>
- 80000498: fff00e93 li t4,-1
- 8000049c: 01e00193 li gp,30
- 800004a0: 1fdf1c63 bne t5,t4,80000698 <fail>
-
-00000000800004a4 <test_31>:
- 800004a4: 00000213 li tp,0
- 800004a8: 800000b7 lui ra,0x80000
- 800004ac: 00000013 nop
- 800004b0: 00700113 li sp,7
- 800004b4: 4020df3b sraw t5,ra,sp
- 800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004bc: 00200293 li t0,2
- 800004c0: fe5214e3 bne tp,t0,800004a8 <test_31+0x4>
- 800004c4: ff000eb7 lui t4,0xff000
- 800004c8: 01f00193 li gp,31
- 800004cc: 1ddf1663 bne t5,t4,80000698 <fail>
-
-00000000800004d0 <test_32>:
- 800004d0: 00000213 li tp,0
- 800004d4: 800000b7 lui ra,0x80000
- 800004d8: 00000013 nop
- 800004dc: 00e00113 li sp,14
- 800004e0: 00000013 nop
- 800004e4: 4020df3b sraw t5,ra,sp
- 800004e8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004ec: 00200293 li t0,2
- 800004f0: fe5212e3 bne tp,t0,800004d4 <test_32+0x4>
- 800004f4: fffe0eb7 lui t4,0xfffe0
- 800004f8: 02000193 li gp,32
- 800004fc: 19df1e63 bne t5,t4,80000698 <fail>
-
-0000000080000500 <test_33>:
- 80000500: 00000213 li tp,0
- 80000504: 800000b7 lui ra,0x80000
- 80000508: 00000013 nop
- 8000050c: 00000013 nop
- 80000510: 01f00113 li sp,31
- 80000514: 4020df3b sraw t5,ra,sp
- 80000518: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000051c: 00200293 li t0,2
- 80000520: fe5212e3 bne tp,t0,80000504 <test_33+0x4>
- 80000524: fff00e93 li t4,-1
- 80000528: 02100193 li gp,33
- 8000052c: 17df1663 bne t5,t4,80000698 <fail>
-
-0000000080000530 <test_34>:
- 80000530: 00000213 li tp,0
- 80000534: 00700113 li sp,7
- 80000538: 800000b7 lui ra,0x80000
- 8000053c: 4020df3b sraw t5,ra,sp
- 80000540: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000544: 00200293 li t0,2
- 80000548: fe5216e3 bne tp,t0,80000534 <test_34+0x4>
- 8000054c: ff000eb7 lui t4,0xff000
- 80000550: 02200193 li gp,34
- 80000554: 15df1263 bne t5,t4,80000698 <fail>
-
-0000000080000558 <test_35>:
- 80000558: 00000213 li tp,0
- 8000055c: 00e00113 li sp,14
- 80000560: 800000b7 lui ra,0x80000
- 80000564: 00000013 nop
- 80000568: 4020df3b sraw t5,ra,sp
- 8000056c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000570: 00200293 li t0,2
- 80000574: fe5214e3 bne tp,t0,8000055c <test_35+0x4>
- 80000578: fffe0eb7 lui t4,0xfffe0
- 8000057c: 02300193 li gp,35
- 80000580: 11df1c63 bne t5,t4,80000698 <fail>
-
-0000000080000584 <test_36>:
- 80000584: 00000213 li tp,0
- 80000588: 01f00113 li sp,31
- 8000058c: 800000b7 lui ra,0x80000
- 80000590: 00000013 nop
- 80000594: 00000013 nop
- 80000598: 4020df3b sraw t5,ra,sp
- 8000059c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005a0: 00200293 li t0,2
- 800005a4: fe5212e3 bne tp,t0,80000588 <test_36+0x4>
- 800005a8: fff00e93 li t4,-1
- 800005ac: 02400193 li gp,36
- 800005b0: 0fdf1463 bne t5,t4,80000698 <fail>
-
-00000000800005b4 <test_37>:
- 800005b4: 00000213 li tp,0
- 800005b8: 00700113 li sp,7
- 800005bc: 00000013 nop
- 800005c0: 800000b7 lui ra,0x80000
- 800005c4: 4020df3b sraw t5,ra,sp
- 800005c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005cc: 00200293 li t0,2
- 800005d0: fe5214e3 bne tp,t0,800005b8 <test_37+0x4>
- 800005d4: ff000eb7 lui t4,0xff000
- 800005d8: 02500193 li gp,37
- 800005dc: 0bdf1e63 bne t5,t4,80000698 <fail>
-
-00000000800005e0 <test_38>:
- 800005e0: 00000213 li tp,0
- 800005e4: 00e00113 li sp,14
- 800005e8: 00000013 nop
- 800005ec: 800000b7 lui ra,0x80000
- 800005f0: 00000013 nop
- 800005f4: 4020df3b sraw t5,ra,sp
- 800005f8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005fc: 00200293 li t0,2
- 80000600: fe5212e3 bne tp,t0,800005e4 <test_38+0x4>
- 80000604: fffe0eb7 lui t4,0xfffe0
- 80000608: 02600193 li gp,38
- 8000060c: 09df1663 bne t5,t4,80000698 <fail>
-
-0000000080000610 <test_39>:
- 80000610: 00000213 li tp,0
- 80000614: 01f00113 li sp,31
- 80000618: 00000013 nop
- 8000061c: 00000013 nop
- 80000620: 800000b7 lui ra,0x80000
- 80000624: 4020df3b sraw t5,ra,sp
- 80000628: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000062c: 00200293 li t0,2
- 80000630: fe5212e3 bne tp,t0,80000614 <test_39+0x4>
- 80000634: fff00e93 li t4,-1
- 80000638: 02700193 li gp,39
- 8000063c: 05df1e63 bne t5,t4,80000698 <fail>
-
-0000000080000640 <test_40>:
- 80000640: 00f00093 li ra,15
- 80000644: 4010513b sraw sp,zero,ra
- 80000648: 00000e93 li t4,0
- 8000064c: 02800193 li gp,40
- 80000650: 05d11463 bne sp,t4,80000698 <fail>
-
-0000000080000654 <test_41>:
- 80000654: 02000093 li ra,32
- 80000658: 4000d13b sraw sp,ra,zero
- 8000065c: 02000e93 li t4,32
- 80000660: 02900193 li gp,41
- 80000664: 03d11a63 bne sp,t4,80000698 <fail>
-
-0000000080000668 <test_42>:
- 80000668: 400050bb sraw ra,zero,zero
- 8000066c: 00000e93 li t4,0
- 80000670: 02a00193 li gp,42
- 80000674: 03d09263 bne ra,t4,80000698 <fail>
-
-0000000080000678 <test_43>:
- 80000678: 40000093 li ra,1024
- 8000067c: 00001137 lui sp,0x1
- 80000680: 8001011b addiw sp,sp,-2048
- 80000684: 4020d03b sraw zero,ra,sp
- 80000688: 00000e93 li t4,0
- 8000068c: 02b00193 li gp,43
- 80000690: 01d01463 bne zero,t4,80000698 <fail>
- 80000694: 00301c63 bne zero,gp,800006ac <pass>
-
-0000000080000698 <fail>:
- 80000698: 0ff0000f fence
- 8000069c: 00018063 beqz gp,8000069c <fail+0x4>
- 800006a0: 00119193 slli gp,gp,0x1
- 800006a4: 0011e193 ori gp,gp,1
- 800006a8: 00000073 ecall
-
-00000000800006ac <pass>:
- 800006ac: 0ff0000f fence
- 800006b0: 00100193 li gp,1
- 800006b4: 00000073 ecall
- 800006b8: c0001073 unimp
- 800006bc: 0000 unimp
- 800006be: 0000 unimp
- 800006c0: 0000 unimp
- 800006c2: 0000 unimp
+ 80000348: 3fdf1863 bne t5,t4,80000738 <fail>
+
+000000008000034c <test_44>:
+ 8000034c: fff120b7 lui ra,0xfff12
+ 80000350: 3450809b addiw ra,ra,837
+ 80000354: 00c09093 slli ra,ra,0xc
+ 80000358: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 8000035c: 00000113 li sp,0
+ 80000360: 4020df3b sraw t5,ra,sp
+ 80000364: 12345eb7 lui t4,0x12345
+ 80000368: 678e8e9b addiw t4,t4,1656
+ 8000036c: 02c00193 li gp,44
+ 80000370: 3ddf1463 bne t5,t4,80000738 <fail>
+
+0000000080000374 <test_45>:
+ 80000374: fff120b7 lui ra,0xfff12
+ 80000378: 3450809b addiw ra,ra,837
+ 8000037c: 00c09093 slli ra,ra,0xc
+ 80000380: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000384: 00400113 li sp,4
+ 80000388: 4020df3b sraw t5,ra,sp
+ 8000038c: 01234eb7 lui t4,0x1234
+ 80000390: 567e8e9b addiw t4,t4,1383
+ 80000394: 02d00193 li gp,45
+ 80000398: 3bdf1063 bne t5,t4,80000738 <fail>
+
+000000008000039c <test_46>:
+ 8000039c: 000920b7 lui ra,0x92
+ 800003a0: 3450809b addiw ra,ra,837
+ 800003a4: 00c09093 slli ra,ra,0xc
+ 800003a8: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800003ac: 00000113 li sp,0
+ 800003b0: 4020df3b sraw t5,ra,sp
+ 800003b4: 92345eb7 lui t4,0x92345
+ 800003b8: 678e8e9b addiw t4,t4,1656
+ 800003bc: 02e00193 li gp,46
+ 800003c0: 37df1c63 bne t5,t4,80000738 <fail>
+
+00000000800003c4 <test_47>:
+ 800003c4: 000920b7 lui ra,0x92
+ 800003c8: 3450809b addiw ra,ra,837
+ 800003cc: 00c09093 slli ra,ra,0xc
+ 800003d0: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800003d4: 00400113 li sp,4
+ 800003d8: 4020df3b sraw t5,ra,sp
+ 800003dc: f9234eb7 lui t4,0xf9234
+ 800003e0: 567e8e9b addiw t4,t4,1383
+ 800003e4: 02f00193 li gp,47
+ 800003e8: 35df1863 bne t5,t4,80000738 <fail>
+
+00000000800003ec <test_22>:
+ 800003ec: 800000b7 lui ra,0x80000
+ 800003f0: 00700113 li sp,7
+ 800003f4: 4020d0bb sraw ra,ra,sp
+ 800003f8: ff000eb7 lui t4,0xff000
+ 800003fc: 01600193 li gp,22
+ 80000400: 33d09c63 bne ra,t4,80000738 <fail>
+
+0000000080000404 <test_23>:
+ 80000404: 800000b7 lui ra,0x80000
+ 80000408: 00e00113 li sp,14
+ 8000040c: 4020d13b sraw sp,ra,sp
+ 80000410: fffe0eb7 lui t4,0xfffe0
+ 80000414: 01700193 li gp,23
+ 80000418: 33d11063 bne sp,t4,80000738 <fail>
+
+000000008000041c <test_24>:
+ 8000041c: 00700093 li ra,7
+ 80000420: 4010d0bb sraw ra,ra,ra
+ 80000424: 00000e93 li t4,0
+ 80000428: 01800193 li gp,24
+ 8000042c: 31d09663 bne ra,t4,80000738 <fail>
+
+0000000080000430 <test_25>:
+ 80000430: 00000213 li tp,0
+ 80000434: 800000b7 lui ra,0x80000
+ 80000438: 00700113 li sp,7
+ 8000043c: 4020df3b sraw t5,ra,sp
+ 80000440: 000f0313 mv t1,t5
+ 80000444: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000448: 00200293 li t0,2
+ 8000044c: fe5214e3 bne tp,t0,80000434 <test_25+0x4>
+ 80000450: ff000eb7 lui t4,0xff000
+ 80000454: 01900193 li gp,25
+ 80000458: 2fd31063 bne t1,t4,80000738 <fail>
+
+000000008000045c <test_26>:
+ 8000045c: 00000213 li tp,0
+ 80000460: 800000b7 lui ra,0x80000
+ 80000464: 00e00113 li sp,14
+ 80000468: 4020df3b sraw t5,ra,sp
+ 8000046c: 00000013 nop
+ 80000470: 000f0313 mv t1,t5
+ 80000474: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000478: 00200293 li t0,2
+ 8000047c: fe5212e3 bne tp,t0,80000460 <test_26+0x4>
+ 80000480: fffe0eb7 lui t4,0xfffe0
+ 80000484: 01a00193 li gp,26
+ 80000488: 2bd31863 bne t1,t4,80000738 <fail>
+
+000000008000048c <test_27>:
+ 8000048c: 00000213 li tp,0
+ 80000490: 800000b7 lui ra,0x80000
+ 80000494: 01f00113 li sp,31
+ 80000498: 4020df3b sraw t5,ra,sp
+ 8000049c: 00000013 nop
+ 800004a0: 00000013 nop
+ 800004a4: 000f0313 mv t1,t5
+ 800004a8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004ac: 00200293 li t0,2
+ 800004b0: fe5210e3 bne tp,t0,80000490 <test_27+0x4>
+ 800004b4: fff00e93 li t4,-1
+ 800004b8: 01b00193 li gp,27
+ 800004bc: 27d31e63 bne t1,t4,80000738 <fail>
+
+00000000800004c0 <test_28>:
+ 800004c0: 00000213 li tp,0
+ 800004c4: 800000b7 lui ra,0x80000
+ 800004c8: 00700113 li sp,7
+ 800004cc: 4020df3b sraw t5,ra,sp
+ 800004d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004d4: 00200293 li t0,2
+ 800004d8: fe5216e3 bne tp,t0,800004c4 <test_28+0x4>
+ 800004dc: ff000eb7 lui t4,0xff000
+ 800004e0: 01c00193 li gp,28
+ 800004e4: 25df1a63 bne t5,t4,80000738 <fail>
+
+00000000800004e8 <test_29>:
+ 800004e8: 00000213 li tp,0
+ 800004ec: 800000b7 lui ra,0x80000
+ 800004f0: 00e00113 li sp,14
+ 800004f4: 00000013 nop
+ 800004f8: 4020df3b sraw t5,ra,sp
+ 800004fc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000500: 00200293 li t0,2
+ 80000504: fe5214e3 bne tp,t0,800004ec <test_29+0x4>
+ 80000508: fffe0eb7 lui t4,0xfffe0
+ 8000050c: 01d00193 li gp,29
+ 80000510: 23df1463 bne t5,t4,80000738 <fail>
+
+0000000080000514 <test_30>:
+ 80000514: 00000213 li tp,0
+ 80000518: 800000b7 lui ra,0x80000
+ 8000051c: 01f00113 li sp,31
+ 80000520: 00000013 nop
+ 80000524: 00000013 nop
+ 80000528: 4020df3b sraw t5,ra,sp
+ 8000052c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000530: 00200293 li t0,2
+ 80000534: fe5212e3 bne tp,t0,80000518 <test_30+0x4>
+ 80000538: fff00e93 li t4,-1
+ 8000053c: 01e00193 li gp,30
+ 80000540: 1fdf1c63 bne t5,t4,80000738 <fail>
+
+0000000080000544 <test_31>:
+ 80000544: 00000213 li tp,0
+ 80000548: 800000b7 lui ra,0x80000
+ 8000054c: 00000013 nop
+ 80000550: 00700113 li sp,7
+ 80000554: 4020df3b sraw t5,ra,sp
+ 80000558: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000055c: 00200293 li t0,2
+ 80000560: fe5214e3 bne tp,t0,80000548 <test_31+0x4>
+ 80000564: ff000eb7 lui t4,0xff000
+ 80000568: 01f00193 li gp,31
+ 8000056c: 1ddf1663 bne t5,t4,80000738 <fail>
+
+0000000080000570 <test_32>:
+ 80000570: 00000213 li tp,0
+ 80000574: 800000b7 lui ra,0x80000
+ 80000578: 00000013 nop
+ 8000057c: 00e00113 li sp,14
+ 80000580: 00000013 nop
+ 80000584: 4020df3b sraw t5,ra,sp
+ 80000588: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000058c: 00200293 li t0,2
+ 80000590: fe5212e3 bne tp,t0,80000574 <test_32+0x4>
+ 80000594: fffe0eb7 lui t4,0xfffe0
+ 80000598: 02000193 li gp,32
+ 8000059c: 19df1e63 bne t5,t4,80000738 <fail>
+
+00000000800005a0 <test_33>:
+ 800005a0: 00000213 li tp,0
+ 800005a4: 800000b7 lui ra,0x80000
+ 800005a8: 00000013 nop
+ 800005ac: 00000013 nop
+ 800005b0: 01f00113 li sp,31
+ 800005b4: 4020df3b sraw t5,ra,sp
+ 800005b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005bc: 00200293 li t0,2
+ 800005c0: fe5212e3 bne tp,t0,800005a4 <test_33+0x4>
+ 800005c4: fff00e93 li t4,-1
+ 800005c8: 02100193 li gp,33
+ 800005cc: 17df1663 bne t5,t4,80000738 <fail>
+
+00000000800005d0 <test_34>:
+ 800005d0: 00000213 li tp,0
+ 800005d4: 00700113 li sp,7
+ 800005d8: 800000b7 lui ra,0x80000
+ 800005dc: 4020df3b sraw t5,ra,sp
+ 800005e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005e4: 00200293 li t0,2
+ 800005e8: fe5216e3 bne tp,t0,800005d4 <test_34+0x4>
+ 800005ec: ff000eb7 lui t4,0xff000
+ 800005f0: 02200193 li gp,34
+ 800005f4: 15df1263 bne t5,t4,80000738 <fail>
+
+00000000800005f8 <test_35>:
+ 800005f8: 00000213 li tp,0
+ 800005fc: 00e00113 li sp,14
+ 80000600: 800000b7 lui ra,0x80000
+ 80000604: 00000013 nop
+ 80000608: 4020df3b sraw t5,ra,sp
+ 8000060c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000610: 00200293 li t0,2
+ 80000614: fe5214e3 bne tp,t0,800005fc <test_35+0x4>
+ 80000618: fffe0eb7 lui t4,0xfffe0
+ 8000061c: 02300193 li gp,35
+ 80000620: 11df1c63 bne t5,t4,80000738 <fail>
+
+0000000080000624 <test_36>:
+ 80000624: 00000213 li tp,0
+ 80000628: 01f00113 li sp,31
+ 8000062c: 800000b7 lui ra,0x80000
+ 80000630: 00000013 nop
+ 80000634: 00000013 nop
+ 80000638: 4020df3b sraw t5,ra,sp
+ 8000063c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000640: 00200293 li t0,2
+ 80000644: fe5212e3 bne tp,t0,80000628 <test_36+0x4>
+ 80000648: fff00e93 li t4,-1
+ 8000064c: 02400193 li gp,36
+ 80000650: 0fdf1463 bne t5,t4,80000738 <fail>
+
+0000000080000654 <test_37>:
+ 80000654: 00000213 li tp,0
+ 80000658: 00700113 li sp,7
+ 8000065c: 00000013 nop
+ 80000660: 800000b7 lui ra,0x80000
+ 80000664: 4020df3b sraw t5,ra,sp
+ 80000668: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000066c: 00200293 li t0,2
+ 80000670: fe5214e3 bne tp,t0,80000658 <test_37+0x4>
+ 80000674: ff000eb7 lui t4,0xff000
+ 80000678: 02500193 li gp,37
+ 8000067c: 0bdf1e63 bne t5,t4,80000738 <fail>
+
+0000000080000680 <test_38>:
+ 80000680: 00000213 li tp,0
+ 80000684: 00e00113 li sp,14
+ 80000688: 00000013 nop
+ 8000068c: 800000b7 lui ra,0x80000
+ 80000690: 00000013 nop
+ 80000694: 4020df3b sraw t5,ra,sp
+ 80000698: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000069c: 00200293 li t0,2
+ 800006a0: fe5212e3 bne tp,t0,80000684 <test_38+0x4>
+ 800006a4: fffe0eb7 lui t4,0xfffe0
+ 800006a8: 02600193 li gp,38
+ 800006ac: 09df1663 bne t5,t4,80000738 <fail>
+
+00000000800006b0 <test_39>:
+ 800006b0: 00000213 li tp,0
+ 800006b4: 01f00113 li sp,31
+ 800006b8: 00000013 nop
+ 800006bc: 00000013 nop
+ 800006c0: 800000b7 lui ra,0x80000
+ 800006c4: 4020df3b sraw t5,ra,sp
+ 800006c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800006cc: 00200293 li t0,2
+ 800006d0: fe5212e3 bne tp,t0,800006b4 <test_39+0x4>
+ 800006d4: fff00e93 li t4,-1
+ 800006d8: 02700193 li gp,39
+ 800006dc: 05df1e63 bne t5,t4,80000738 <fail>
+
+00000000800006e0 <test_40>:
+ 800006e0: 00f00093 li ra,15
+ 800006e4: 4010513b sraw sp,zero,ra
+ 800006e8: 00000e93 li t4,0
+ 800006ec: 02800193 li gp,40
+ 800006f0: 05d11463 bne sp,t4,80000738 <fail>
+
+00000000800006f4 <test_41>:
+ 800006f4: 02000093 li ra,32
+ 800006f8: 4000d13b sraw sp,ra,zero
+ 800006fc: 02000e93 li t4,32
+ 80000700: 02900193 li gp,41
+ 80000704: 03d11a63 bne sp,t4,80000738 <fail>
+
+0000000080000708 <test_42>:
+ 80000708: 400050bb sraw ra,zero,zero
+ 8000070c: 00000e93 li t4,0
+ 80000710: 02a00193 li gp,42
+ 80000714: 03d09263 bne ra,t4,80000738 <fail>
+
+0000000080000718 <test_43>:
+ 80000718: 40000093 li ra,1024
+ 8000071c: 00001137 lui sp,0x1
+ 80000720: 8001011b addiw sp,sp,-2048
+ 80000724: 4020d03b sraw zero,ra,sp
+ 80000728: 00000e93 li t4,0
+ 8000072c: 02b00193 li gp,43
+ 80000730: 01d01463 bne zero,t4,80000738 <fail>
+ 80000734: 00301c63 bne zero,gp,8000074c <pass>
+
+0000000080000738 <fail>:
+ 80000738: 0ff0000f fence
+ 8000073c: 00018063 beqz gp,8000073c <fail+0x4>
+ 80000740: 00119193 slli gp,gp,0x1
+ 80000744: 0011e193 ori gp,gp,1
+ 80000748: 00000073 ecall
+
+000000008000074c <pass>:
+ 8000074c: 0ff0000f fence
+ 80000750: 00100193 li gp,1
+ 80000754: 00000073 ecall
+ 80000758: c0001073 unimp
+ 8000075c: 0000 unimp
+ 8000075e: 0000 unimp
+ 80000760: 0000 unimp
+ 80000762: 0000 unimp
+ 80000764: 0000 unimp
+ 80000766: 0000 unimp
+ 80000768: 0000 unimp
+ 8000076a: 0000 unimp
+ 8000076c: 0000 unimp
+ 8000076e: 0000 unimp
+ 80000770: 0000 unimp
+ 80000772: 0000 unimp
+ 80000774: 0000 unimp
+ 80000776: 0000 unimp
+ 80000778: 0000 unimp
+ 8000077a: 0000 unimp
+ 8000077c: 0000 unimp
+ 8000077e: 0000 unimp
+ 80000780: 0000 unimp
+ 80000782: 0000 unimp
diff --git a/test/riscv-tests/rv64ui-p-sraw.elf b/test/riscv-tests/rv64ui-p-sraw.elf
index 40d2f2c..2b83afc 100644
--- a/test/riscv-tests/rv64ui-p-sraw.elf
+++ b/test/riscv-tests/rv64ui-p-sraw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-srl.elf b/test/riscv-tests/rv64ui-p-srl.elf
index 5abd6a0..1ca2629 100644
--- a/test/riscv-tests/rv64ui-p-srl.elf
+++ b/test/riscv-tests/rv64ui-p-srl.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-srli.elf b/test/riscv-tests/rv64ui-p-srli.elf
index 6e2f794..a8dd4e3 100644
--- a/test/riscv-tests/rv64ui-p-srli.elf
+++ b/test/riscv-tests/rv64ui-p-srli.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-srliw.dump b/test/riscv-tests/rv64ui-p-srliw.dump
index 99581fb..6b15b3e 100644
--- a/test/riscv-tests/rv64ui-p-srliw.dump
+++ b/test/riscv-tests/rv64ui-p-srliw.dump
@@ -82,28 +82,28 @@ Disassembly of section .text.init:
80000100: 0000df1b srliw t5,ra,0x0
80000104: 80000eb7 lui t4,0x80000
80000108: 00200193 li gp,2
- 8000010c: 29df1863 bne t5,t4,8000039c <fail>
+ 8000010c: 33df1063 bne t5,t4,8000042c <fail>
0000000080000110 <test_3>:
80000110: 800000b7 lui ra,0x80000
80000114: 0010df1b srliw t5,ra,0x1
80000118: 40000eb7 lui t4,0x40000
8000011c: 00300193 li gp,3
- 80000120: 27df1e63 bne t5,t4,8000039c <fail>
+ 80000120: 31df1663 bne t5,t4,8000042c <fail>
0000000080000124 <test_4>:
80000124: 800000b7 lui ra,0x80000
80000128: 0070df1b srliw t5,ra,0x7
8000012c: 01000eb7 lui t4,0x1000
80000130: 00400193 li gp,4
- 80000134: 27df1463 bne t5,t4,8000039c <fail>
+ 80000134: 2fdf1c63 bne t5,t4,8000042c <fail>
0000000080000138 <test_5>:
80000138: 800000b7 lui ra,0x80000
8000013c: 00e0df1b srliw t5,ra,0xe
80000140: 00020eb7 lui t4,0x20
80000144: 00500193 li gp,5
- 80000148: 25df1a63 bne t5,t4,8000039c <fail>
+ 80000148: 2fdf1263 bne t5,t4,8000042c <fail>
000000008000014c <test_6>:
8000014c: 800000b7 lui ra,0x80000
@@ -111,14 +111,14 @@ Disassembly of section .text.init:
80000154: 01f0df1b srliw t5,ra,0x1f
80000158: 00100e93 li t4,1
8000015c: 00600193 li gp,6
- 80000160: 23df1e63 bne t5,t4,8000039c <fail>
+ 80000160: 2ddf1663 bne t5,t4,8000042c <fail>
0000000080000164 <test_7>:
80000164: fff00093 li ra,-1
80000168: 0000df1b srliw t5,ra,0x0
8000016c: fff00e93 li t4,-1
80000170: 00700193 li gp,7
- 80000174: 23df1463 bne t5,t4,8000039c <fail>
+ 80000174: 2bdf1c63 bne t5,t4,8000042c <fail>
0000000080000178 <test_8>:
80000178: fff00093 li ra,-1
@@ -126,7 +126,7 @@ Disassembly of section .text.init:
80000180: 80000eb7 lui t4,0x80000
80000184: fffe8e9b addiw t4,t4,-1
80000188: 00800193 li gp,8
- 8000018c: 21df1863 bne t5,t4,8000039c <fail>
+ 8000018c: 2bdf1063 bne t5,t4,8000042c <fail>
0000000080000190 <test_9>:
80000190: fff00093 li ra,-1
@@ -134,7 +134,7 @@ Disassembly of section .text.init:
80000198: 02000eb7 lui t4,0x2000
8000019c: fffe8e9b addiw t4,t4,-1
800001a0: 00900193 li gp,9
- 800001a4: 1fdf1c63 bne t5,t4,8000039c <fail>
+ 800001a4: 29df1463 bne t5,t4,8000042c <fail>
00000000800001a8 <test_10>:
800001a8: fff00093 li ra,-1
@@ -142,14 +142,14 @@ Disassembly of section .text.init:
800001b0: 00040eb7 lui t4,0x40
800001b4: fffe8e9b addiw t4,t4,-1
800001b8: 00a00193 li gp,10
- 800001bc: 1fdf1063 bne t5,t4,8000039c <fail>
+ 800001bc: 27df1863 bne t5,t4,8000042c <fail>
00000000800001c0 <test_11>:
800001c0: fff00093 li ra,-1
800001c4: 01f0df1b srliw t5,ra,0x1f
800001c8: 00100e93 li t4,1
800001cc: 00b00193 li gp,11
- 800001d0: 1ddf1663 bne t5,t4,8000039c <fail>
+ 800001d0: 25df1e63 bne t5,t4,8000042c <fail>
00000000800001d4 <test_12>:
800001d4: 212120b7 lui ra,0x21212
@@ -158,7 +158,7 @@ Disassembly of section .text.init:
800001e0: 21212eb7 lui t4,0x21212
800001e4: 121e8e9b addiw t4,t4,289
800001e8: 00c00193 li gp,12
- 800001ec: 1bdf1863 bne t5,t4,8000039c <fail>
+ 800001ec: 25df1063 bne t5,t4,8000042c <fail>
00000000800001f0 <test_13>:
800001f0: 212120b7 lui ra,0x21212
@@ -167,7 +167,7 @@ Disassembly of section .text.init:
800001fc: 10909eb7 lui t4,0x10909
80000200: 090e8e9b addiw t4,t4,144
80000204: 00d00193 li gp,13
- 80000208: 19df1a63 bne t5,t4,8000039c <fail>
+ 80000208: 23df1263 bne t5,t4,8000042c <fail>
000000008000020c <test_14>:
8000020c: 212120b7 lui ra,0x21212
@@ -176,7 +176,7 @@ Disassembly of section .text.init:
80000218: 00424eb7 lui t4,0x424
8000021c: 242e8e9b addiw t4,t4,578
80000220: 00e00193 li gp,14
- 80000224: 17df1c63 bne t5,t4,8000039c <fail>
+ 80000224: 21df1463 bne t5,t4,8000042c <fail>
0000000080000228 <test_15>:
80000228: 212120b7 lui ra,0x21212
@@ -185,7 +185,7 @@ Disassembly of section .text.init:
80000234: 00008eb7 lui t4,0x8
80000238: 484e8e9b addiw t4,t4,1156
8000023c: 00f00193 li gp,15
- 80000240: 15df1e63 bne t5,t4,8000039c <fail>
+ 80000240: 1fdf1663 bne t5,t4,8000042c <fail>
0000000080000244 <test_16>:
80000244: 212120b7 lui ra,0x21212
@@ -193,117 +193,185 @@ Disassembly of section .text.init:
8000024c: 01f0df1b srliw t5,ra,0x1f
80000250: 00000e93 li t4,0
80000254: 01000193 li gp,16
- 80000258: 15df1263 bne t5,t4,8000039c <fail>
-
-000000008000025c <test_17>:
- 8000025c: 800000b7 lui ra,0x80000
- 80000260: 0070d09b srliw ra,ra,0x7
- 80000264: 01000eb7 lui t4,0x1000
- 80000268: 01100193 li gp,17
- 8000026c: 13d09863 bne ra,t4,8000039c <fail>
-
-0000000080000270 <test_18>:
- 80000270: 00000213 li tp,0
- 80000274: 800000b7 lui ra,0x80000
- 80000278: 0070df1b srliw t5,ra,0x7
- 8000027c: 000f0313 mv t1,t5
- 80000280: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000284: 00200293 li t0,2
- 80000288: fe5216e3 bne tp,t0,80000274 <test_18+0x4>
- 8000028c: 01000eb7 lui t4,0x1000
- 80000290: 01200193 li gp,18
- 80000294: 11d31463 bne t1,t4,8000039c <fail>
-
-0000000080000298 <test_19>:
- 80000298: 00000213 li tp,0
- 8000029c: 800000b7 lui ra,0x80000
- 800002a0: 00e0df1b srliw t5,ra,0xe
- 800002a4: 00000013 nop
- 800002a8: 000f0313 mv t1,t5
- 800002ac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002b0: 00200293 li t0,2
- 800002b4: fe5214e3 bne tp,t0,8000029c <test_19+0x4>
- 800002b8: 00020eb7 lui t4,0x20
- 800002bc: 01300193 li gp,19
- 800002c0: 0dd31e63 bne t1,t4,8000039c <fail>
-
-00000000800002c4 <test_20>:
- 800002c4: 00000213 li tp,0
- 800002c8: 800000b7 lui ra,0x80000
- 800002cc: 0010809b addiw ra,ra,1
- 800002d0: 01f0df1b srliw t5,ra,0x1f
- 800002d4: 00000013 nop
- 800002d8: 00000013 nop
- 800002dc: 000f0313 mv t1,t5
- 800002e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800002e4: 00200293 li t0,2
- 800002e8: fe5210e3 bne tp,t0,800002c8 <test_20+0x4>
- 800002ec: 00100e93 li t4,1
- 800002f0: 01400193 li gp,20
- 800002f4: 0bd31463 bne t1,t4,8000039c <fail>
-
-00000000800002f8 <test_21>:
- 800002f8: 00000213 li tp,0
- 800002fc: 800000b7 lui ra,0x80000
- 80000300: 0070df1b srliw t5,ra,0x7
- 80000304: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000308: 00200293 li t0,2
- 8000030c: fe5218e3 bne tp,t0,800002fc <test_21+0x4>
- 80000310: 01000eb7 lui t4,0x1000
- 80000314: 01500193 li gp,21
- 80000318: 09df1263 bne t5,t4,8000039c <fail>
-
-000000008000031c <test_22>:
- 8000031c: 00000213 li tp,0
- 80000320: 800000b7 lui ra,0x80000
- 80000324: 00000013 nop
- 80000328: 00e0df1b srliw t5,ra,0xe
- 8000032c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000330: 00200293 li t0,2
- 80000334: fe5216e3 bne tp,t0,80000320 <test_22+0x4>
- 80000338: 00020eb7 lui t4,0x20
- 8000033c: 01600193 li gp,22
- 80000340: 05df1e63 bne t5,t4,8000039c <fail>
-
-0000000080000344 <test_23>:
- 80000344: 00000213 li tp,0
- 80000348: 800000b7 lui ra,0x80000
- 8000034c: 0010809b addiw ra,ra,1
- 80000350: 00000013 nop
- 80000354: 00000013 nop
- 80000358: 01f0df1b srliw t5,ra,0x1f
- 8000035c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000360: 00200293 li t0,2
- 80000364: fe5212e3 bne tp,t0,80000348 <test_23+0x4>
- 80000368: 00100e93 li t4,1
- 8000036c: 01700193 li gp,23
- 80000370: 03df1663 bne t5,t4,8000039c <fail>
-
-0000000080000374 <test_24>:
- 80000374: 01f0509b srliw ra,zero,0x1f
- 80000378: 00000e93 li t4,0
- 8000037c: 01800193 li gp,24
- 80000380: 01d09e63 bne ra,t4,8000039c <fail>
-
-0000000080000384 <test_25>:
- 80000384: 01f00093 li ra,31
- 80000388: 01c0d01b srliw zero,ra,0x1c
- 8000038c: 00000e93 li t4,0
- 80000390: 01900193 li gp,25
- 80000394: 01d01463 bne zero,t4,8000039c <fail>
- 80000398: 00301c63 bne zero,gp,800003b0 <pass>
-
-000000008000039c <fail>:
- 8000039c: 0ff0000f fence
- 800003a0: 00018063 beqz gp,800003a0 <fail+0x4>
- 800003a4: 00119193 slli gp,gp,0x1
- 800003a8: 0011e193 ori gp,gp,1
- 800003ac: 00000073 ecall
-
-00000000800003b0 <pass>:
- 800003b0: 0ff0000f fence
- 800003b4: 00100193 li gp,1
- 800003b8: 00000073 ecall
- 800003bc: c0001073 unimp
- 800003c0: 0000 unimp
- 800003c2: 0000 unimp
+ 80000258: 1ddf1a63 bne t5,t4,8000042c <fail>
+
+000000008000025c <test_44>:
+ 8000025c: fff120b7 lui ra,0xfff12
+ 80000260: 3450809b addiw ra,ra,837
+ 80000264: 00c09093 slli ra,ra,0xc
+ 80000268: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 8000026c: 0000df1b srliw t5,ra,0x0
+ 80000270: 12345eb7 lui t4,0x12345
+ 80000274: 678e8e9b addiw t4,t4,1656
+ 80000278: 02c00193 li gp,44
+ 8000027c: 1bdf1863 bne t5,t4,8000042c <fail>
+
+0000000080000280 <test_45>:
+ 80000280: fff120b7 lui ra,0xfff12
+ 80000284: 3450809b addiw ra,ra,837
+ 80000288: 00c09093 slli ra,ra,0xc
+ 8000028c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000290: 0040df1b srliw t5,ra,0x4
+ 80000294: 01234eb7 lui t4,0x1234
+ 80000298: 567e8e9b addiw t4,t4,1383
+ 8000029c: 02d00193 li gp,45
+ 800002a0: 19df1663 bne t5,t4,8000042c <fail>
+
+00000000800002a4 <test_46>:
+ 800002a4: 000920b7 lui ra,0x92
+ 800002a8: 3450809b addiw ra,ra,837
+ 800002ac: 00c09093 slli ra,ra,0xc
+ 800002b0: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800002b4: 0000df1b srliw t5,ra,0x0
+ 800002b8: 92345eb7 lui t4,0x92345
+ 800002bc: 678e8e9b addiw t4,t4,1656
+ 800002c0: 02e00193 li gp,46
+ 800002c4: 17df1463 bne t5,t4,8000042c <fail>
+
+00000000800002c8 <test_47>:
+ 800002c8: 000920b7 lui ra,0x92
+ 800002cc: 3450809b addiw ra,ra,837
+ 800002d0: 00c09093 slli ra,ra,0xc
+ 800002d4: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800002d8: 0040df1b srliw t5,ra,0x4
+ 800002dc: 09234eb7 lui t4,0x9234
+ 800002e0: 567e8e9b addiw t4,t4,1383
+ 800002e4: 02f00193 li gp,47
+ 800002e8: 15df1263 bne t5,t4,8000042c <fail>
+
+00000000800002ec <test_17>:
+ 800002ec: 800000b7 lui ra,0x80000
+ 800002f0: 0070d09b srliw ra,ra,0x7
+ 800002f4: 01000eb7 lui t4,0x1000
+ 800002f8: 01100193 li gp,17
+ 800002fc: 13d09863 bne ra,t4,8000042c <fail>
+
+0000000080000300 <test_18>:
+ 80000300: 00000213 li tp,0
+ 80000304: 800000b7 lui ra,0x80000
+ 80000308: 0070df1b srliw t5,ra,0x7
+ 8000030c: 000f0313 mv t1,t5
+ 80000310: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000314: 00200293 li t0,2
+ 80000318: fe5216e3 bne tp,t0,80000304 <test_18+0x4>
+ 8000031c: 01000eb7 lui t4,0x1000
+ 80000320: 01200193 li gp,18
+ 80000324: 11d31463 bne t1,t4,8000042c <fail>
+
+0000000080000328 <test_19>:
+ 80000328: 00000213 li tp,0
+ 8000032c: 800000b7 lui ra,0x80000
+ 80000330: 00e0df1b srliw t5,ra,0xe
+ 80000334: 00000013 nop
+ 80000338: 000f0313 mv t1,t5
+ 8000033c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000340: 00200293 li t0,2
+ 80000344: fe5214e3 bne tp,t0,8000032c <test_19+0x4>
+ 80000348: 00020eb7 lui t4,0x20
+ 8000034c: 01300193 li gp,19
+ 80000350: 0dd31e63 bne t1,t4,8000042c <fail>
+
+0000000080000354 <test_20>:
+ 80000354: 00000213 li tp,0
+ 80000358: 800000b7 lui ra,0x80000
+ 8000035c: 0010809b addiw ra,ra,1
+ 80000360: 01f0df1b srliw t5,ra,0x1f
+ 80000364: 00000013 nop
+ 80000368: 00000013 nop
+ 8000036c: 000f0313 mv t1,t5
+ 80000370: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000374: 00200293 li t0,2
+ 80000378: fe5210e3 bne tp,t0,80000358 <test_20+0x4>
+ 8000037c: 00100e93 li t4,1
+ 80000380: 01400193 li gp,20
+ 80000384: 0bd31463 bne t1,t4,8000042c <fail>
+
+0000000080000388 <test_21>:
+ 80000388: 00000213 li tp,0
+ 8000038c: 800000b7 lui ra,0x80000
+ 80000390: 0070df1b srliw t5,ra,0x7
+ 80000394: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000398: 00200293 li t0,2
+ 8000039c: fe5218e3 bne tp,t0,8000038c <test_21+0x4>
+ 800003a0: 01000eb7 lui t4,0x1000
+ 800003a4: 01500193 li gp,21
+ 800003a8: 09df1263 bne t5,t4,8000042c <fail>
+
+00000000800003ac <test_22>:
+ 800003ac: 00000213 li tp,0
+ 800003b0: 800000b7 lui ra,0x80000
+ 800003b4: 00000013 nop
+ 800003b8: 00e0df1b srliw t5,ra,0xe
+ 800003bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003c0: 00200293 li t0,2
+ 800003c4: fe5216e3 bne tp,t0,800003b0 <test_22+0x4>
+ 800003c8: 00020eb7 lui t4,0x20
+ 800003cc: 01600193 li gp,22
+ 800003d0: 05df1e63 bne t5,t4,8000042c <fail>
+
+00000000800003d4 <test_23>:
+ 800003d4: 00000213 li tp,0
+ 800003d8: 800000b7 lui ra,0x80000
+ 800003dc: 0010809b addiw ra,ra,1
+ 800003e0: 00000013 nop
+ 800003e4: 00000013 nop
+ 800003e8: 01f0df1b srliw t5,ra,0x1f
+ 800003ec: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800003f0: 00200293 li t0,2
+ 800003f4: fe5212e3 bne tp,t0,800003d8 <test_23+0x4>
+ 800003f8: 00100e93 li t4,1
+ 800003fc: 01700193 li gp,23
+ 80000400: 03df1663 bne t5,t4,8000042c <fail>
+
+0000000080000404 <test_24>:
+ 80000404: 01f0509b srliw ra,zero,0x1f
+ 80000408: 00000e93 li t4,0
+ 8000040c: 01800193 li gp,24
+ 80000410: 01d09e63 bne ra,t4,8000042c <fail>
+
+0000000080000414 <test_25>:
+ 80000414: 01f00093 li ra,31
+ 80000418: 01c0d01b srliw zero,ra,0x1c
+ 8000041c: 00000e93 li t4,0
+ 80000420: 01900193 li gp,25
+ 80000424: 01d01463 bne zero,t4,8000042c <fail>
+ 80000428: 00301c63 bne zero,gp,80000440 <pass>
+
+000000008000042c <fail>:
+ 8000042c: 0ff0000f fence
+ 80000430: 00018063 beqz gp,80000430 <fail+0x4>
+ 80000434: 00119193 slli gp,gp,0x1
+ 80000438: 0011e193 ori gp,gp,1
+ 8000043c: 00000073 ecall
+
+0000000080000440 <pass>:
+ 80000440: 0ff0000f fence
+ 80000444: 00100193 li gp,1
+ 80000448: 00000073 ecall
+ 8000044c: c0001073 unimp
+ 80000450: 0000 unimp
+ 80000452: 0000 unimp
+ 80000454: 0000 unimp
+ 80000456: 0000 unimp
+ 80000458: 0000 unimp
+ 8000045a: 0000 unimp
+ 8000045c: 0000 unimp
+ 8000045e: 0000 unimp
+ 80000460: 0000 unimp
+ 80000462: 0000 unimp
+ 80000464: 0000 unimp
+ 80000466: 0000 unimp
+ 80000468: 0000 unimp
+ 8000046a: 0000 unimp
+ 8000046c: 0000 unimp
+ 8000046e: 0000 unimp
+ 80000470: 0000 unimp
+ 80000472: 0000 unimp
+ 80000474: 0000 unimp
+ 80000476: 0000 unimp
+ 80000478: 0000 unimp
+ 8000047a: 0000 unimp
+ 8000047c: 0000 unimp
+ 8000047e: 0000 unimp
+ 80000480: 0000 unimp
+ 80000482: 0000 unimp
diff --git a/test/riscv-tests/rv64ui-p-srliw.elf b/test/riscv-tests/rv64ui-p-srliw.elf
index 70758a3..452617c 100644
--- a/test/riscv-tests/rv64ui-p-srliw.elf
+++ b/test/riscv-tests/rv64ui-p-srliw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-srlw.dump b/test/riscv-tests/rv64ui-p-srlw.dump
index cf3f837..ca834b7 100644
--- a/test/riscv-tests/rv64ui-p-srlw.dump
+++ b/test/riscv-tests/rv64ui-p-srlw.dump
@@ -83,7 +83,7 @@ Disassembly of section .text.init:
80000104: 0020df3b srlw t5,ra,sp
80000108: 80000eb7 lui t4,0x80000
8000010c: 00200193 li gp,2
- 80000110: 57df1863 bne t5,t4,80000680 <fail>
+ 80000110: 61df1863 bne t5,t4,80000720 <fail>
0000000080000114 <test_3>:
80000114: 800000b7 lui ra,0x80000
@@ -91,7 +91,7 @@ Disassembly of section .text.init:
8000011c: 0020df3b srlw t5,ra,sp
80000120: 40000eb7 lui t4,0x40000
80000124: 00300193 li gp,3
- 80000128: 55df1c63 bne t5,t4,80000680 <fail>
+ 80000128: 5fdf1c63 bne t5,t4,80000720 <fail>
000000008000012c <test_4>:
8000012c: 800000b7 lui ra,0x80000
@@ -99,7 +99,7 @@ Disassembly of section .text.init:
80000134: 0020df3b srlw t5,ra,sp
80000138: 01000eb7 lui t4,0x1000
8000013c: 00400193 li gp,4
- 80000140: 55df1063 bne t5,t4,80000680 <fail>
+ 80000140: 5fdf1063 bne t5,t4,80000720 <fail>
0000000080000144 <test_5>:
80000144: 800000b7 lui ra,0x80000
@@ -107,7 +107,7 @@ Disassembly of section .text.init:
8000014c: 0020df3b srlw t5,ra,sp
80000150: 00020eb7 lui t4,0x20
80000154: 00500193 li gp,5
- 80000158: 53df1463 bne t5,t4,80000680 <fail>
+ 80000158: 5ddf1463 bne t5,t4,80000720 <fail>
000000008000015c <test_6>:
8000015c: 800000b7 lui ra,0x80000
@@ -116,7 +116,7 @@ Disassembly of section .text.init:
80000168: 0020df3b srlw t5,ra,sp
8000016c: 00100e93 li t4,1
80000170: 00600193 li gp,6
- 80000174: 51df1663 bne t5,t4,80000680 <fail>
+ 80000174: 5bdf1663 bne t5,t4,80000720 <fail>
0000000080000178 <test_7>:
80000178: fff00093 li ra,-1
@@ -124,7 +124,7 @@ Disassembly of section .text.init:
80000180: 0020df3b srlw t5,ra,sp
80000184: fff00e93 li t4,-1
80000188: 00700193 li gp,7
- 8000018c: 4fdf1a63 bne t5,t4,80000680 <fail>
+ 8000018c: 59df1a63 bne t5,t4,80000720 <fail>
0000000080000190 <test_8>:
80000190: fff00093 li ra,-1
@@ -133,7 +133,7 @@ Disassembly of section .text.init:
8000019c: 80000eb7 lui t4,0x80000
800001a0: fffe8e9b addiw t4,t4,-1
800001a4: 00800193 li gp,8
- 800001a8: 4ddf1c63 bne t5,t4,80000680 <fail>
+ 800001a8: 57df1c63 bne t5,t4,80000720 <fail>
00000000800001ac <test_9>:
800001ac: fff00093 li ra,-1
@@ -142,7 +142,7 @@ Disassembly of section .text.init:
800001b8: 02000eb7 lui t4,0x2000
800001bc: fffe8e9b addiw t4,t4,-1
800001c0: 00900193 li gp,9
- 800001c4: 4bdf1e63 bne t5,t4,80000680 <fail>
+ 800001c4: 55df1e63 bne t5,t4,80000720 <fail>
00000000800001c8 <test_10>:
800001c8: fff00093 li ra,-1
@@ -151,7 +151,7 @@ Disassembly of section .text.init:
800001d4: 00040eb7 lui t4,0x40
800001d8: fffe8e9b addiw t4,t4,-1
800001dc: 00a00193 li gp,10
- 800001e0: 4bdf1063 bne t5,t4,80000680 <fail>
+ 800001e0: 55df1063 bne t5,t4,80000720 <fail>
00000000800001e4 <test_11>:
800001e4: fff00093 li ra,-1
@@ -159,7 +159,7 @@ Disassembly of section .text.init:
800001ec: 0020df3b srlw t5,ra,sp
800001f0: 00100e93 li t4,1
800001f4: 00b00193 li gp,11
- 800001f8: 49df1463 bne t5,t4,80000680 <fail>
+ 800001f8: 53df1463 bne t5,t4,80000720 <fail>
00000000800001fc <test_12>:
800001fc: 212120b7 lui ra,0x21212
@@ -169,7 +169,7 @@ Disassembly of section .text.init:
8000020c: 21212eb7 lui t4,0x21212
80000210: 121e8e9b addiw t4,t4,289
80000214: 00c00193 li gp,12
- 80000218: 47df1463 bne t5,t4,80000680 <fail>
+ 80000218: 51df1463 bne t5,t4,80000720 <fail>
000000008000021c <test_13>:
8000021c: 212120b7 lui ra,0x21212
@@ -179,7 +179,7 @@ Disassembly of section .text.init:
8000022c: 10909eb7 lui t4,0x10909
80000230: 090e8e9b addiw t4,t4,144
80000234: 00d00193 li gp,13
- 80000238: 45df1463 bne t5,t4,80000680 <fail>
+ 80000238: 4fdf1463 bne t5,t4,80000720 <fail>
000000008000023c <test_14>:
8000023c: 212120b7 lui ra,0x21212
@@ -189,7 +189,7 @@ Disassembly of section .text.init:
8000024c: 00424eb7 lui t4,0x424
80000250: 242e8e9b addiw t4,t4,578
80000254: 00e00193 li gp,14
- 80000258: 43df1463 bne t5,t4,80000680 <fail>
+ 80000258: 4ddf1463 bne t5,t4,80000720 <fail>
000000008000025c <test_15>:
8000025c: 212120b7 lui ra,0x21212
@@ -199,7 +199,7 @@ Disassembly of section .text.init:
8000026c: 00008eb7 lui t4,0x8
80000270: 484e8e9b addiw t4,t4,1156
80000274: 00f00193 li gp,15
- 80000278: 41df1463 bne t5,t4,80000680 <fail>
+ 80000278: 4bdf1463 bne t5,t4,80000720 <fail>
000000008000027c <test_16>:
8000027c: 212120b7 lui ra,0x21212
@@ -208,7 +208,7 @@ Disassembly of section .text.init:
80000288: 0020df3b srlw t5,ra,sp
8000028c: 00000e93 li t4,0
80000290: 01000193 li gp,16
- 80000294: 3fdf1663 bne t5,t4,80000680 <fail>
+ 80000294: 49df1663 bne t5,t4,80000720 <fail>
0000000080000298 <test_17>:
80000298: 212120b7 lui ra,0x21212
@@ -218,7 +218,7 @@ Disassembly of section .text.init:
800002a8: 21212eb7 lui t4,0x21212
800002ac: 121e8e9b addiw t4,t4,289
800002b0: 01100193 li gp,17
- 800002b4: 3ddf1663 bne t5,t4,80000680 <fail>
+ 800002b4: 47df1663 bne t5,t4,80000720 <fail>
00000000800002b8 <test_18>:
800002b8: 212120b7 lui ra,0x21212
@@ -228,7 +228,7 @@ Disassembly of section .text.init:
800002c8: 10909eb7 lui t4,0x10909
800002cc: 090e8e9b addiw t4,t4,144
800002d0: 01200193 li gp,18
- 800002d4: 3bdf1663 bne t5,t4,80000680 <fail>
+ 800002d4: 45df1663 bne t5,t4,80000720 <fail>
00000000800002d8 <test_19>:
800002d8: 212120b7 lui ra,0x21212
@@ -238,7 +238,7 @@ Disassembly of section .text.init:
800002e8: 00424eb7 lui t4,0x424
800002ec: 242e8e9b addiw t4,t4,578
800002f0: 01300193 li gp,19
- 800002f4: 39df1663 bne t5,t4,80000680 <fail>
+ 800002f4: 43df1663 bne t5,t4,80000720 <fail>
00000000800002f8 <test_20>:
800002f8: 212120b7 lui ra,0x21212
@@ -248,7 +248,7 @@ Disassembly of section .text.init:
80000308: 00008eb7 lui t4,0x8
8000030c: 484e8e9b addiw t4,t4,1156
80000310: 01400193 li gp,20
- 80000314: 37df1663 bne t5,t4,80000680 <fail>
+ 80000314: 41df1663 bne t5,t4,80000720 <fail>
0000000080000318 <test_21>:
80000318: 212120b7 lui ra,0x21212
@@ -257,288 +257,320 @@ Disassembly of section .text.init:
80000324: 0020df3b srlw t5,ra,sp
80000328: 00000e93 li t4,0
8000032c: 01500193 li gp,21
- 80000330: 35df1863 bne t5,t4,80000680 <fail>
-
-0000000080000334 <test_22>:
- 80000334: 800000b7 lui ra,0x80000
- 80000338: 00700113 li sp,7
- 8000033c: 0020d0bb srlw ra,ra,sp
- 80000340: 01000eb7 lui t4,0x1000
- 80000344: 01600193 li gp,22
- 80000348: 33d09c63 bne ra,t4,80000680 <fail>
-
-000000008000034c <test_23>:
- 8000034c: 800000b7 lui ra,0x80000
- 80000350: 00e00113 li sp,14
- 80000354: 0020d13b srlw sp,ra,sp
- 80000358: 00020eb7 lui t4,0x20
- 8000035c: 01700193 li gp,23
- 80000360: 33d11063 bne sp,t4,80000680 <fail>
-
-0000000080000364 <test_24>:
- 80000364: 00700093 li ra,7
- 80000368: 0010d0bb srlw ra,ra,ra
- 8000036c: 00000e93 li t4,0
- 80000370: 01800193 li gp,24
- 80000374: 31d09663 bne ra,t4,80000680 <fail>
-
-0000000080000378 <test_25>:
- 80000378: 00000213 li tp,0
- 8000037c: 800000b7 lui ra,0x80000
- 80000380: 00700113 li sp,7
- 80000384: 0020df3b srlw t5,ra,sp
- 80000388: 000f0313 mv t1,t5
- 8000038c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000390: 00200293 li t0,2
- 80000394: fe5214e3 bne tp,t0,8000037c <test_25+0x4>
- 80000398: 01000eb7 lui t4,0x1000
- 8000039c: 01900193 li gp,25
- 800003a0: 2fd31063 bne t1,t4,80000680 <fail>
-
-00000000800003a4 <test_26>:
- 800003a4: 00000213 li tp,0
- 800003a8: 800000b7 lui ra,0x80000
- 800003ac: 00e00113 li sp,14
- 800003b0: 0020df3b srlw t5,ra,sp
- 800003b4: 00000013 nop
- 800003b8: 000f0313 mv t1,t5
- 800003bc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003c0: 00200293 li t0,2
- 800003c4: fe5212e3 bne tp,t0,800003a8 <test_26+0x4>
- 800003c8: 00020eb7 lui t4,0x20
- 800003cc: 01a00193 li gp,26
- 800003d0: 2bd31863 bne t1,t4,80000680 <fail>
-
-00000000800003d4 <test_27>:
- 800003d4: 00000213 li tp,0
- 800003d8: 800000b7 lui ra,0x80000
- 800003dc: 01f00113 li sp,31
- 800003e0: 0020df3b srlw t5,ra,sp
- 800003e4: 00000013 nop
- 800003e8: 00000013 nop
- 800003ec: 000f0313 mv t1,t5
- 800003f0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800003f4: 00200293 li t0,2
- 800003f8: fe5210e3 bne tp,t0,800003d8 <test_27+0x4>
- 800003fc: 00100e93 li t4,1
- 80000400: 01b00193 li gp,27
- 80000404: 27d31e63 bne t1,t4,80000680 <fail>
-
-0000000080000408 <test_28>:
- 80000408: 00000213 li tp,0
- 8000040c: 800000b7 lui ra,0x80000
- 80000410: 00700113 li sp,7
- 80000414: 0020df3b srlw t5,ra,sp
- 80000418: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000041c: 00200293 li t0,2
- 80000420: fe5216e3 bne tp,t0,8000040c <test_28+0x4>
- 80000424: 01000eb7 lui t4,0x1000
- 80000428: 01c00193 li gp,28
- 8000042c: 25df1a63 bne t5,t4,80000680 <fail>
-
-0000000080000430 <test_29>:
- 80000430: 00000213 li tp,0
- 80000434: 800000b7 lui ra,0x80000
- 80000438: 00e00113 li sp,14
- 8000043c: 00000013 nop
- 80000440: 0020df3b srlw t5,ra,sp
- 80000444: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000448: 00200293 li t0,2
- 8000044c: fe5214e3 bne tp,t0,80000434 <test_29+0x4>
- 80000450: 00020eb7 lui t4,0x20
- 80000454: 01d00193 li gp,29
- 80000458: 23df1463 bne t5,t4,80000680 <fail>
-
-000000008000045c <test_30>:
- 8000045c: 00000213 li tp,0
- 80000460: 800000b7 lui ra,0x80000
- 80000464: 01f00113 li sp,31
- 80000468: 00000013 nop
- 8000046c: 00000013 nop
- 80000470: 0020df3b srlw t5,ra,sp
- 80000474: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000478: 00200293 li t0,2
- 8000047c: fe5212e3 bne tp,t0,80000460 <test_30+0x4>
- 80000480: 00100e93 li t4,1
- 80000484: 01e00193 li gp,30
- 80000488: 1fdf1c63 bne t5,t4,80000680 <fail>
-
-000000008000048c <test_31>:
- 8000048c: 00000213 li tp,0
- 80000490: 800000b7 lui ra,0x80000
- 80000494: 00000013 nop
- 80000498: 00700113 li sp,7
- 8000049c: 0020df3b srlw t5,ra,sp
- 800004a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004a4: 00200293 li t0,2
- 800004a8: fe5214e3 bne tp,t0,80000490 <test_31+0x4>
- 800004ac: 01000eb7 lui t4,0x1000
- 800004b0: 01f00193 li gp,31
- 800004b4: 1ddf1663 bne t5,t4,80000680 <fail>
-
-00000000800004b8 <test_32>:
- 800004b8: 00000213 li tp,0
- 800004bc: 800000b7 lui ra,0x80000
- 800004c0: 00000013 nop
- 800004c4: 00e00113 li sp,14
- 800004c8: 00000013 nop
- 800004cc: 0020df3b srlw t5,ra,sp
- 800004d0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800004d4: 00200293 li t0,2
- 800004d8: fe5212e3 bne tp,t0,800004bc <test_32+0x4>
- 800004dc: 00020eb7 lui t4,0x20
- 800004e0: 02000193 li gp,32
- 800004e4: 19df1e63 bne t5,t4,80000680 <fail>
-
-00000000800004e8 <test_33>:
- 800004e8: 00000213 li tp,0
- 800004ec: 800000b7 lui ra,0x80000
- 800004f0: 00000013 nop
- 800004f4: 00000013 nop
- 800004f8: 01f00113 li sp,31
- 800004fc: 0020df3b srlw t5,ra,sp
- 80000500: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000504: 00200293 li t0,2
- 80000508: fe5212e3 bne tp,t0,800004ec <test_33+0x4>
- 8000050c: 00100e93 li t4,1
- 80000510: 02100193 li gp,33
- 80000514: 17df1663 bne t5,t4,80000680 <fail>
-
-0000000080000518 <test_34>:
- 80000518: 00000213 li tp,0
- 8000051c: 00700113 li sp,7
- 80000520: 800000b7 lui ra,0x80000
- 80000524: 0020df3b srlw t5,ra,sp
- 80000528: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 8000052c: 00200293 li t0,2
- 80000530: fe5216e3 bne tp,t0,8000051c <test_34+0x4>
- 80000534: 01000eb7 lui t4,0x1000
- 80000538: 02200193 li gp,34
- 8000053c: 15df1263 bne t5,t4,80000680 <fail>
-
-0000000080000540 <test_35>:
- 80000540: 00000213 li tp,0
- 80000544: 00e00113 li sp,14
- 80000548: 800000b7 lui ra,0x80000
- 8000054c: 00000013 nop
- 80000550: 0020df3b srlw t5,ra,sp
- 80000554: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000558: 00200293 li t0,2
- 8000055c: fe5214e3 bne tp,t0,80000544 <test_35+0x4>
- 80000560: 00020eb7 lui t4,0x20
- 80000564: 02300193 li gp,35
- 80000568: 11df1c63 bne t5,t4,80000680 <fail>
-
-000000008000056c <test_36>:
- 8000056c: 00000213 li tp,0
- 80000570: 01f00113 li sp,31
- 80000574: 800000b7 lui ra,0x80000
- 80000578: 00000013 nop
- 8000057c: 00000013 nop
- 80000580: 0020df3b srlw t5,ra,sp
- 80000584: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000588: 00200293 li t0,2
- 8000058c: fe5212e3 bne tp,t0,80000570 <test_36+0x4>
- 80000590: 00100e93 li t4,1
- 80000594: 02400193 li gp,36
- 80000598: 0fdf1463 bne t5,t4,80000680 <fail>
-
-000000008000059c <test_37>:
- 8000059c: 00000213 li tp,0
- 800005a0: 00700113 li sp,7
- 800005a4: 00000013 nop
- 800005a8: 800000b7 lui ra,0x80000
- 800005ac: 0020df3b srlw t5,ra,sp
- 800005b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005b4: 00200293 li t0,2
- 800005b8: fe5214e3 bne tp,t0,800005a0 <test_37+0x4>
- 800005bc: 01000eb7 lui t4,0x1000
- 800005c0: 02500193 li gp,37
- 800005c4: 0bdf1e63 bne t5,t4,80000680 <fail>
-
-00000000800005c8 <test_38>:
- 800005c8: 00000213 li tp,0
- 800005cc: 00e00113 li sp,14
- 800005d0: 00000013 nop
- 800005d4: 800000b7 lui ra,0x80000
- 800005d8: 00000013 nop
- 800005dc: 0020df3b srlw t5,ra,sp
- 800005e0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 800005e4: 00200293 li t0,2
- 800005e8: fe5212e3 bne tp,t0,800005cc <test_38+0x4>
- 800005ec: 00020eb7 lui t4,0x20
- 800005f0: 02600193 li gp,38
- 800005f4: 09df1663 bne t5,t4,80000680 <fail>
-
-00000000800005f8 <test_39>:
- 800005f8: 00000213 li tp,0
- 800005fc: 01f00113 li sp,31
- 80000600: 00000013 nop
- 80000604: 00000013 nop
- 80000608: 800000b7 lui ra,0x80000
- 8000060c: 0020df3b srlw t5,ra,sp
- 80000610: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80000614: 00200293 li t0,2
- 80000618: fe5212e3 bne tp,t0,800005fc <test_39+0x4>
- 8000061c: 00100e93 li t4,1
- 80000620: 02700193 li gp,39
- 80000624: 05df1e63 bne t5,t4,80000680 <fail>
-
-0000000080000628 <test_40>:
- 80000628: 00f00093 li ra,15
- 8000062c: 0010513b srlw sp,zero,ra
- 80000630: 00000e93 li t4,0
- 80000634: 02800193 li gp,40
- 80000638: 05d11463 bne sp,t4,80000680 <fail>
-
-000000008000063c <test_41>:
- 8000063c: 02000093 li ra,32
- 80000640: 0000d13b srlw sp,ra,zero
- 80000644: 02000e93 li t4,32
- 80000648: 02900193 li gp,41
- 8000064c: 03d11a63 bne sp,t4,80000680 <fail>
-
-0000000080000650 <test_42>:
- 80000650: 000050bb srlw ra,zero,zero
- 80000654: 00000e93 li t4,0
- 80000658: 02a00193 li gp,42
- 8000065c: 03d09263 bne ra,t4,80000680 <fail>
-
-0000000080000660 <test_43>:
- 80000660: 40000093 li ra,1024
- 80000664: 00001137 lui sp,0x1
- 80000668: 8001011b addiw sp,sp,-2048
- 8000066c: 0020d03b srlw zero,ra,sp
- 80000670: 00000e93 li t4,0
- 80000674: 02b00193 li gp,43
- 80000678: 01d01463 bne zero,t4,80000680 <fail>
- 8000067c: 00301c63 bne zero,gp,80000694 <pass>
-
-0000000080000680 <fail>:
- 80000680: 0ff0000f fence
- 80000684: 00018063 beqz gp,80000684 <fail+0x4>
- 80000688: 00119193 slli gp,gp,0x1
- 8000068c: 0011e193 ori gp,gp,1
- 80000690: 00000073 ecall
-
-0000000080000694 <pass>:
- 80000694: 0ff0000f fence
- 80000698: 00100193 li gp,1
- 8000069c: 00000073 ecall
- 800006a0: c0001073 unimp
- 800006a4: 0000 unimp
- 800006a6: 0000 unimp
- 800006a8: 0000 unimp
- 800006aa: 0000 unimp
- 800006ac: 0000 unimp
- 800006ae: 0000 unimp
- 800006b0: 0000 unimp
- 800006b2: 0000 unimp
- 800006b4: 0000 unimp
- 800006b6: 0000 unimp
- 800006b8: 0000 unimp
- 800006ba: 0000 unimp
- 800006bc: 0000 unimp
- 800006be: 0000 unimp
- 800006c0: 0000 unimp
- 800006c2: 0000 unimp
+ 80000330: 3fdf1863 bne t5,t4,80000720 <fail>
+
+0000000080000334 <test_44>:
+ 80000334: fff120b7 lui ra,0xfff12
+ 80000338: 3450809b addiw ra,ra,837
+ 8000033c: 00c09093 slli ra,ra,0xc
+ 80000340: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 80000344: 00000113 li sp,0
+ 80000348: 0020df3b srlw t5,ra,sp
+ 8000034c: 12345eb7 lui t4,0x12345
+ 80000350: 678e8e9b addiw t4,t4,1656
+ 80000354: 02c00193 li gp,44
+ 80000358: 3ddf1463 bne t5,t4,80000720 <fail>
+
+000000008000035c <test_45>:
+ 8000035c: fff120b7 lui ra,0xfff12
+ 80000360: 3450809b addiw ra,ra,837
+ 80000364: 00c09093 slli ra,ra,0xc
+ 80000368: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff10678>
+ 8000036c: 00400113 li sp,4
+ 80000370: 0020df3b srlw t5,ra,sp
+ 80000374: 01234eb7 lui t4,0x1234
+ 80000378: 567e8e9b addiw t4,t4,1383
+ 8000037c: 02d00193 li gp,45
+ 80000380: 3bdf1063 bne t5,t4,80000720 <fail>
+
+0000000080000384 <test_46>:
+ 80000384: 000920b7 lui ra,0x92
+ 80000388: 3450809b addiw ra,ra,837
+ 8000038c: 00c09093 slli ra,ra,0xc
+ 80000390: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80000394: 00000113 li sp,0
+ 80000398: 0020df3b srlw t5,ra,sp
+ 8000039c: 92345eb7 lui t4,0x92345
+ 800003a0: 678e8e9b addiw t4,t4,1656
+ 800003a4: 02e00193 li gp,46
+ 800003a8: 37df1c63 bne t5,t4,80000720 <fail>
+
+00000000800003ac <test_47>:
+ 800003ac: 000920b7 lui ra,0x92
+ 800003b0: 3450809b addiw ra,ra,837
+ 800003b4: 00c09093 slli ra,ra,0xc
+ 800003b8: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 800003bc: 00400113 li sp,4
+ 800003c0: 0020df3b srlw t5,ra,sp
+ 800003c4: 09234eb7 lui t4,0x9234
+ 800003c8: 567e8e9b addiw t4,t4,1383
+ 800003cc: 02f00193 li gp,47
+ 800003d0: 35df1863 bne t5,t4,80000720 <fail>
+
+00000000800003d4 <test_22>:
+ 800003d4: 800000b7 lui ra,0x80000
+ 800003d8: 00700113 li sp,7
+ 800003dc: 0020d0bb srlw ra,ra,sp
+ 800003e0: 01000eb7 lui t4,0x1000
+ 800003e4: 01600193 li gp,22
+ 800003e8: 33d09c63 bne ra,t4,80000720 <fail>
+
+00000000800003ec <test_23>:
+ 800003ec: 800000b7 lui ra,0x80000
+ 800003f0: 00e00113 li sp,14
+ 800003f4: 0020d13b srlw sp,ra,sp
+ 800003f8: 00020eb7 lui t4,0x20
+ 800003fc: 01700193 li gp,23
+ 80000400: 33d11063 bne sp,t4,80000720 <fail>
+
+0000000080000404 <test_24>:
+ 80000404: 00700093 li ra,7
+ 80000408: 0010d0bb srlw ra,ra,ra
+ 8000040c: 00000e93 li t4,0
+ 80000410: 01800193 li gp,24
+ 80000414: 31d09663 bne ra,t4,80000720 <fail>
+
+0000000080000418 <test_25>:
+ 80000418: 00000213 li tp,0
+ 8000041c: 800000b7 lui ra,0x80000
+ 80000420: 00700113 li sp,7
+ 80000424: 0020df3b srlw t5,ra,sp
+ 80000428: 000f0313 mv t1,t5
+ 8000042c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000430: 00200293 li t0,2
+ 80000434: fe5214e3 bne tp,t0,8000041c <test_25+0x4>
+ 80000438: 01000eb7 lui t4,0x1000
+ 8000043c: 01900193 li gp,25
+ 80000440: 2fd31063 bne t1,t4,80000720 <fail>
+
+0000000080000444 <test_26>:
+ 80000444: 00000213 li tp,0
+ 80000448: 800000b7 lui ra,0x80000
+ 8000044c: 00e00113 li sp,14
+ 80000450: 0020df3b srlw t5,ra,sp
+ 80000454: 00000013 nop
+ 80000458: 000f0313 mv t1,t5
+ 8000045c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000460: 00200293 li t0,2
+ 80000464: fe5212e3 bne tp,t0,80000448 <test_26+0x4>
+ 80000468: 00020eb7 lui t4,0x20
+ 8000046c: 01a00193 li gp,26
+ 80000470: 2bd31863 bne t1,t4,80000720 <fail>
+
+0000000080000474 <test_27>:
+ 80000474: 00000213 li tp,0
+ 80000478: 800000b7 lui ra,0x80000
+ 8000047c: 01f00113 li sp,31
+ 80000480: 0020df3b srlw t5,ra,sp
+ 80000484: 00000013 nop
+ 80000488: 00000013 nop
+ 8000048c: 000f0313 mv t1,t5
+ 80000490: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000494: 00200293 li t0,2
+ 80000498: fe5210e3 bne tp,t0,80000478 <test_27+0x4>
+ 8000049c: 00100e93 li t4,1
+ 800004a0: 01b00193 li gp,27
+ 800004a4: 27d31e63 bne t1,t4,80000720 <fail>
+
+00000000800004a8 <test_28>:
+ 800004a8: 00000213 li tp,0
+ 800004ac: 800000b7 lui ra,0x80000
+ 800004b0: 00700113 li sp,7
+ 800004b4: 0020df3b srlw t5,ra,sp
+ 800004b8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004bc: 00200293 li t0,2
+ 800004c0: fe5216e3 bne tp,t0,800004ac <test_28+0x4>
+ 800004c4: 01000eb7 lui t4,0x1000
+ 800004c8: 01c00193 li gp,28
+ 800004cc: 25df1a63 bne t5,t4,80000720 <fail>
+
+00000000800004d0 <test_29>:
+ 800004d0: 00000213 li tp,0
+ 800004d4: 800000b7 lui ra,0x80000
+ 800004d8: 00e00113 li sp,14
+ 800004dc: 00000013 nop
+ 800004e0: 0020df3b srlw t5,ra,sp
+ 800004e4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800004e8: 00200293 li t0,2
+ 800004ec: fe5214e3 bne tp,t0,800004d4 <test_29+0x4>
+ 800004f0: 00020eb7 lui t4,0x20
+ 800004f4: 01d00193 li gp,29
+ 800004f8: 23df1463 bne t5,t4,80000720 <fail>
+
+00000000800004fc <test_30>:
+ 800004fc: 00000213 li tp,0
+ 80000500: 800000b7 lui ra,0x80000
+ 80000504: 01f00113 li sp,31
+ 80000508: 00000013 nop
+ 8000050c: 00000013 nop
+ 80000510: 0020df3b srlw t5,ra,sp
+ 80000514: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000518: 00200293 li t0,2
+ 8000051c: fe5212e3 bne tp,t0,80000500 <test_30+0x4>
+ 80000520: 00100e93 li t4,1
+ 80000524: 01e00193 li gp,30
+ 80000528: 1fdf1c63 bne t5,t4,80000720 <fail>
+
+000000008000052c <test_31>:
+ 8000052c: 00000213 li tp,0
+ 80000530: 800000b7 lui ra,0x80000
+ 80000534: 00000013 nop
+ 80000538: 00700113 li sp,7
+ 8000053c: 0020df3b srlw t5,ra,sp
+ 80000540: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000544: 00200293 li t0,2
+ 80000548: fe5214e3 bne tp,t0,80000530 <test_31+0x4>
+ 8000054c: 01000eb7 lui t4,0x1000
+ 80000550: 01f00193 li gp,31
+ 80000554: 1ddf1663 bne t5,t4,80000720 <fail>
+
+0000000080000558 <test_32>:
+ 80000558: 00000213 li tp,0
+ 8000055c: 800000b7 lui ra,0x80000
+ 80000560: 00000013 nop
+ 80000564: 00e00113 li sp,14
+ 80000568: 00000013 nop
+ 8000056c: 0020df3b srlw t5,ra,sp
+ 80000570: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000574: 00200293 li t0,2
+ 80000578: fe5212e3 bne tp,t0,8000055c <test_32+0x4>
+ 8000057c: 00020eb7 lui t4,0x20
+ 80000580: 02000193 li gp,32
+ 80000584: 19df1e63 bne t5,t4,80000720 <fail>
+
+0000000080000588 <test_33>:
+ 80000588: 00000213 li tp,0
+ 8000058c: 800000b7 lui ra,0x80000
+ 80000590: 00000013 nop
+ 80000594: 00000013 nop
+ 80000598: 01f00113 li sp,31
+ 8000059c: 0020df3b srlw t5,ra,sp
+ 800005a0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005a4: 00200293 li t0,2
+ 800005a8: fe5212e3 bne tp,t0,8000058c <test_33+0x4>
+ 800005ac: 00100e93 li t4,1
+ 800005b0: 02100193 li gp,33
+ 800005b4: 17df1663 bne t5,t4,80000720 <fail>
+
+00000000800005b8 <test_34>:
+ 800005b8: 00000213 li tp,0
+ 800005bc: 00700113 li sp,7
+ 800005c0: 800000b7 lui ra,0x80000
+ 800005c4: 0020df3b srlw t5,ra,sp
+ 800005c8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005cc: 00200293 li t0,2
+ 800005d0: fe5216e3 bne tp,t0,800005bc <test_34+0x4>
+ 800005d4: 01000eb7 lui t4,0x1000
+ 800005d8: 02200193 li gp,34
+ 800005dc: 15df1263 bne t5,t4,80000720 <fail>
+
+00000000800005e0 <test_35>:
+ 800005e0: 00000213 li tp,0
+ 800005e4: 00e00113 li sp,14
+ 800005e8: 800000b7 lui ra,0x80000
+ 800005ec: 00000013 nop
+ 800005f0: 0020df3b srlw t5,ra,sp
+ 800005f4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800005f8: 00200293 li t0,2
+ 800005fc: fe5214e3 bne tp,t0,800005e4 <test_35+0x4>
+ 80000600: 00020eb7 lui t4,0x20
+ 80000604: 02300193 li gp,35
+ 80000608: 11df1c63 bne t5,t4,80000720 <fail>
+
+000000008000060c <test_36>:
+ 8000060c: 00000213 li tp,0
+ 80000610: 01f00113 li sp,31
+ 80000614: 800000b7 lui ra,0x80000
+ 80000618: 00000013 nop
+ 8000061c: 00000013 nop
+ 80000620: 0020df3b srlw t5,ra,sp
+ 80000624: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000628: 00200293 li t0,2
+ 8000062c: fe5212e3 bne tp,t0,80000610 <test_36+0x4>
+ 80000630: 00100e93 li t4,1
+ 80000634: 02400193 li gp,36
+ 80000638: 0fdf1463 bne t5,t4,80000720 <fail>
+
+000000008000063c <test_37>:
+ 8000063c: 00000213 li tp,0
+ 80000640: 00700113 li sp,7
+ 80000644: 00000013 nop
+ 80000648: 800000b7 lui ra,0x80000
+ 8000064c: 0020df3b srlw t5,ra,sp
+ 80000650: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000654: 00200293 li t0,2
+ 80000658: fe5214e3 bne tp,t0,80000640 <test_37+0x4>
+ 8000065c: 01000eb7 lui t4,0x1000
+ 80000660: 02500193 li gp,37
+ 80000664: 0bdf1e63 bne t5,t4,80000720 <fail>
+
+0000000080000668 <test_38>:
+ 80000668: 00000213 li tp,0
+ 8000066c: 00e00113 li sp,14
+ 80000670: 00000013 nop
+ 80000674: 800000b7 lui ra,0x80000
+ 80000678: 00000013 nop
+ 8000067c: 0020df3b srlw t5,ra,sp
+ 80000680: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80000684: 00200293 li t0,2
+ 80000688: fe5212e3 bne tp,t0,8000066c <test_38+0x4>
+ 8000068c: 00020eb7 lui t4,0x20
+ 80000690: 02600193 li gp,38
+ 80000694: 09df1663 bne t5,t4,80000720 <fail>
+
+0000000080000698 <test_39>:
+ 80000698: 00000213 li tp,0
+ 8000069c: 01f00113 li sp,31
+ 800006a0: 00000013 nop
+ 800006a4: 00000013 nop
+ 800006a8: 800000b7 lui ra,0x80000
+ 800006ac: 0020df3b srlw t5,ra,sp
+ 800006b0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 800006b4: 00200293 li t0,2
+ 800006b8: fe5212e3 bne tp,t0,8000069c <test_39+0x4>
+ 800006bc: 00100e93 li t4,1
+ 800006c0: 02700193 li gp,39
+ 800006c4: 05df1e63 bne t5,t4,80000720 <fail>
+
+00000000800006c8 <test_40>:
+ 800006c8: 00f00093 li ra,15
+ 800006cc: 0010513b srlw sp,zero,ra
+ 800006d0: 00000e93 li t4,0
+ 800006d4: 02800193 li gp,40
+ 800006d8: 05d11463 bne sp,t4,80000720 <fail>
+
+00000000800006dc <test_41>:
+ 800006dc: 02000093 li ra,32
+ 800006e0: 0000d13b srlw sp,ra,zero
+ 800006e4: 02000e93 li t4,32
+ 800006e8: 02900193 li gp,41
+ 800006ec: 03d11a63 bne sp,t4,80000720 <fail>
+
+00000000800006f0 <test_42>:
+ 800006f0: 000050bb srlw ra,zero,zero
+ 800006f4: 00000e93 li t4,0
+ 800006f8: 02a00193 li gp,42
+ 800006fc: 03d09263 bne ra,t4,80000720 <fail>
+
+0000000080000700 <test_43>:
+ 80000700: 40000093 li ra,1024
+ 80000704: 00001137 lui sp,0x1
+ 80000708: 8001011b addiw sp,sp,-2048
+ 8000070c: 0020d03b srlw zero,ra,sp
+ 80000710: 00000e93 li t4,0
+ 80000714: 02b00193 li gp,43
+ 80000718: 01d01463 bne zero,t4,80000720 <fail>
+ 8000071c: 00301c63 bne zero,gp,80000734 <pass>
+
+0000000080000720 <fail>:
+ 80000720: 0ff0000f fence
+ 80000724: 00018063 beqz gp,80000724 <fail+0x4>
+ 80000728: 00119193 slli gp,gp,0x1
+ 8000072c: 0011e193 ori gp,gp,1
+ 80000730: 00000073 ecall
+
+0000000080000734 <pass>:
+ 80000734: 0ff0000f fence
+ 80000738: 00100193 li gp,1
+ 8000073c: 00000073 ecall
+ 80000740: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-p-srlw.elf b/test/riscv-tests/rv64ui-p-srlw.elf
index d175802..f1e8743 100644
--- a/test/riscv-tests/rv64ui-p-srlw.elf
+++ b/test/riscv-tests/rv64ui-p-srlw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sub.elf b/test/riscv-tests/rv64ui-p-sub.elf
index ea64efd..d867685 100644
--- a/test/riscv-tests/rv64ui-p-sub.elf
+++ b/test/riscv-tests/rv64ui-p-sub.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-subw.elf b/test/riscv-tests/rv64ui-p-subw.elf
index fd876f5..d6c7c3b 100644
--- a/test/riscv-tests/rv64ui-p-subw.elf
+++ b/test/riscv-tests/rv64ui-p-subw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-sw.elf b/test/riscv-tests/rv64ui-p-sw.elf
index fa60dda..6ca080c 100644
--- a/test/riscv-tests/rv64ui-p-sw.elf
+++ b/test/riscv-tests/rv64ui-p-sw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-xor.elf b/test/riscv-tests/rv64ui-p-xor.elf
index 0466245..7403f05 100644
--- a/test/riscv-tests/rv64ui-p-xor.elf
+++ b/test/riscv-tests/rv64ui-p-xor.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-p-xori.elf b/test/riscv-tests/rv64ui-p-xori.elf
index fe522af..f759bba 100644
--- a/test/riscv-tests/rv64ui-p-xori.elf
+++ b/test/riscv-tests/rv64ui-p-xori.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-add.dump b/test/riscv-tests/rv64ui-v-add.dump
index 8598d2b..4db401c 100644
--- a/test/riscv-tests/rv64ui-v-add.dump
+++ b/test/riscv-tests/rv64ui-v-add.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-add.elf b/test/riscv-tests/rv64ui-v-add.elf
index d60d87a..a16ab12 100644
--- a/test/riscv-tests/rv64ui-v-add.elf
+++ b/test/riscv-tests/rv64ui-v-add.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-addi.dump b/test/riscv-tests/rv64ui-v-addi.dump
index 6c453f7..0035c6b 100644
--- a/test/riscv-tests/rv64ui-v-addi.dump
+++ b/test/riscv-tests/rv64ui-v-addi.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-addi.elf b/test/riscv-tests/rv64ui-v-addi.elf
index 28d8b88..e195ff8 100644
--- a/test/riscv-tests/rv64ui-v-addi.elf
+++ b/test/riscv-tests/rv64ui-v-addi.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-addiw.dump b/test/riscv-tests/rv64ui-v-addiw.dump
index d152915..ee21566 100644
--- a/test/riscv-tests/rv64ui-v-addiw.dump
+++ b/test/riscv-tests/rv64ui-v-addiw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-addiw.elf b/test/riscv-tests/rv64ui-v-addiw.elf
index ddbea56..5261bac 100644
--- a/test/riscv-tests/rv64ui-v-addiw.elf
+++ b/test/riscv-tests/rv64ui-v-addiw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-addw.dump b/test/riscv-tests/rv64ui-v-addw.dump
index 838371b..1d800fb 100644
--- a/test/riscv-tests/rv64ui-v-addw.dump
+++ b/test/riscv-tests/rv64ui-v-addw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-addw.elf b/test/riscv-tests/rv64ui-v-addw.elf
index e78ff7a..48f4fb4 100644
--- a/test/riscv-tests/rv64ui-v-addw.elf
+++ b/test/riscv-tests/rv64ui-v-addw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-and.dump b/test/riscv-tests/rv64ui-v-and.dump
index 063fbeb..c50d58e 100644
--- a/test/riscv-tests/rv64ui-v-and.dump
+++ b/test/riscv-tests/rv64ui-v-and.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-and.elf b/test/riscv-tests/rv64ui-v-and.elf
index 2cf4f37..96c12c7 100644
--- a/test/riscv-tests/rv64ui-v-and.elf
+++ b/test/riscv-tests/rv64ui-v-and.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-andi.dump b/test/riscv-tests/rv64ui-v-andi.dump
index 6503a37..3678d11 100644
--- a/test/riscv-tests/rv64ui-v-andi.dump
+++ b/test/riscv-tests/rv64ui-v-andi.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-andi.elf b/test/riscv-tests/rv64ui-v-andi.elf
index 02ec3d5..93af117 100644
--- a/test/riscv-tests/rv64ui-v-andi.elf
+++ b/test/riscv-tests/rv64ui-v-andi.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-auipc.dump b/test/riscv-tests/rv64ui-v-auipc.dump
index bfa19dd..f453c7a 100644
--- a/test/riscv-tests/rv64ui-v-auipc.dump
+++ b/test/riscv-tests/rv64ui-v-auipc.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-auipc.elf b/test/riscv-tests/rv64ui-v-auipc.elf
index e0fc7d1..106979d 100644
--- a/test/riscv-tests/rv64ui-v-auipc.elf
+++ b/test/riscv-tests/rv64ui-v-auipc.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-beq.dump b/test/riscv-tests/rv64ui-v-beq.dump
index 18ece1e..becc785 100644
--- a/test/riscv-tests/rv64ui-v-beq.dump
+++ b/test/riscv-tests/rv64ui-v-beq.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-beq.elf b/test/riscv-tests/rv64ui-v-beq.elf
index a64100b..66bf4e2 100644
--- a/test/riscv-tests/rv64ui-v-beq.elf
+++ b/test/riscv-tests/rv64ui-v-beq.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-bge.dump b/test/riscv-tests/rv64ui-v-bge.dump
index 5821526..522c557 100644
--- a/test/riscv-tests/rv64ui-v-bge.dump
+++ b/test/riscv-tests/rv64ui-v-bge.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-bge.elf b/test/riscv-tests/rv64ui-v-bge.elf
index 74af9d1..b0b1bc8 100644
--- a/test/riscv-tests/rv64ui-v-bge.elf
+++ b/test/riscv-tests/rv64ui-v-bge.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-bgeu.dump b/test/riscv-tests/rv64ui-v-bgeu.dump
index 9544e42..b5da05e 100644
--- a/test/riscv-tests/rv64ui-v-bgeu.dump
+++ b/test/riscv-tests/rv64ui-v-bgeu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-bgeu.elf b/test/riscv-tests/rv64ui-v-bgeu.elf
index 469b817..bd5fb98 100644
--- a/test/riscv-tests/rv64ui-v-bgeu.elf
+++ b/test/riscv-tests/rv64ui-v-bgeu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-blt.dump b/test/riscv-tests/rv64ui-v-blt.dump
index c0eaae6..3ee4312 100644
--- a/test/riscv-tests/rv64ui-v-blt.dump
+++ b/test/riscv-tests/rv64ui-v-blt.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-blt.elf b/test/riscv-tests/rv64ui-v-blt.elf
index 1232ebb..97914c5 100644
--- a/test/riscv-tests/rv64ui-v-blt.elf
+++ b/test/riscv-tests/rv64ui-v-blt.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-bltu.dump b/test/riscv-tests/rv64ui-v-bltu.dump
index bf46506..639334f 100644
--- a/test/riscv-tests/rv64ui-v-bltu.dump
+++ b/test/riscv-tests/rv64ui-v-bltu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-bltu.elf b/test/riscv-tests/rv64ui-v-bltu.elf
index 4109acc..dcdade3 100644
--- a/test/riscv-tests/rv64ui-v-bltu.elf
+++ b/test/riscv-tests/rv64ui-v-bltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-bne.dump b/test/riscv-tests/rv64ui-v-bne.dump
index 63ec288..8bdd6c9 100644
--- a/test/riscv-tests/rv64ui-v-bne.dump
+++ b/test/riscv-tests/rv64ui-v-bne.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-bne.elf b/test/riscv-tests/rv64ui-v-bne.elf
index fa480bf..a32b4b2 100644
--- a/test/riscv-tests/rv64ui-v-bne.elf
+++ b/test/riscv-tests/rv64ui-v-bne.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-fence_i.dump b/test/riscv-tests/rv64ui-v-fence_i.dump
index b559930..214de7d 100644
--- a/test/riscv-tests/rv64ui-v-fence_i.dump
+++ b/test/riscv-tests/rv64ui-v-fence_i.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-fence_i.elf b/test/riscv-tests/rv64ui-v-fence_i.elf
index 5ab0990..ba4833e 100644
--- a/test/riscv-tests/rv64ui-v-fence_i.elf
+++ b/test/riscv-tests/rv64ui-v-fence_i.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-jal.dump b/test/riscv-tests/rv64ui-v-jal.dump
index a22031d..4633531 100644
--- a/test/riscv-tests/rv64ui-v-jal.dump
+++ b/test/riscv-tests/rv64ui-v-jal.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-jal.elf b/test/riscv-tests/rv64ui-v-jal.elf
index 82efaa8..92dbdd4 100644
--- a/test/riscv-tests/rv64ui-v-jal.elf
+++ b/test/riscv-tests/rv64ui-v-jal.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-jalr.dump b/test/riscv-tests/rv64ui-v-jalr.dump
index 4b43b16..0a8cd7e 100644
--- a/test/riscv-tests/rv64ui-v-jalr.dump
+++ b/test/riscv-tests/rv64ui-v-jalr.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-jalr.elf b/test/riscv-tests/rv64ui-v-jalr.elf
index 8044274..3cf0ec9 100644
--- a/test/riscv-tests/rv64ui-v-jalr.elf
+++ b/test/riscv-tests/rv64ui-v-jalr.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lb.dump b/test/riscv-tests/rv64ui-v-lb.dump
index fc9d680..b27863e 100644
--- a/test/riscv-tests/rv64ui-v-lb.dump
+++ b/test/riscv-tests/rv64ui-v-lb.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lb.elf b/test/riscv-tests/rv64ui-v-lb.elf
index 438c090..d9b430f 100644
--- a/test/riscv-tests/rv64ui-v-lb.elf
+++ b/test/riscv-tests/rv64ui-v-lb.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lbu.dump b/test/riscv-tests/rv64ui-v-lbu.dump
index 8de55fb..806bed3 100644
--- a/test/riscv-tests/rv64ui-v-lbu.dump
+++ b/test/riscv-tests/rv64ui-v-lbu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lbu.elf b/test/riscv-tests/rv64ui-v-lbu.elf
index 2f2023b..c61c962 100644
--- a/test/riscv-tests/rv64ui-v-lbu.elf
+++ b/test/riscv-tests/rv64ui-v-lbu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-ld.dump b/test/riscv-tests/rv64ui-v-ld.dump
index 3d8b640..01f314b 100644
--- a/test/riscv-tests/rv64ui-v-ld.dump
+++ b/test/riscv-tests/rv64ui-v-ld.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-ld.elf b/test/riscv-tests/rv64ui-v-ld.elf
index 2940698..61b4d72 100644
--- a/test/riscv-tests/rv64ui-v-ld.elf
+++ b/test/riscv-tests/rv64ui-v-ld.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lh.dump b/test/riscv-tests/rv64ui-v-lh.dump
index 50f2fdd..8c0065a 100644
--- a/test/riscv-tests/rv64ui-v-lh.dump
+++ b/test/riscv-tests/rv64ui-v-lh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lh.elf b/test/riscv-tests/rv64ui-v-lh.elf
index 7d91368..d255b7d 100644
--- a/test/riscv-tests/rv64ui-v-lh.elf
+++ b/test/riscv-tests/rv64ui-v-lh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lhu.dump b/test/riscv-tests/rv64ui-v-lhu.dump
index 45585fe..0f5cd22 100644
--- a/test/riscv-tests/rv64ui-v-lhu.dump
+++ b/test/riscv-tests/rv64ui-v-lhu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lhu.elf b/test/riscv-tests/rv64ui-v-lhu.elf
index af1ebee..4643292 100644
--- a/test/riscv-tests/rv64ui-v-lhu.elf
+++ b/test/riscv-tests/rv64ui-v-lhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lui.dump b/test/riscv-tests/rv64ui-v-lui.dump
index 6de22b6..d0888cc 100644
--- a/test/riscv-tests/rv64ui-v-lui.dump
+++ b/test/riscv-tests/rv64ui-v-lui.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lui.elf b/test/riscv-tests/rv64ui-v-lui.elf
index 3cab950..d87c60a 100644
--- a/test/riscv-tests/rv64ui-v-lui.elf
+++ b/test/riscv-tests/rv64ui-v-lui.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lw.dump b/test/riscv-tests/rv64ui-v-lw.dump
index 4208d27..e279636 100644
--- a/test/riscv-tests/rv64ui-v-lw.dump
+++ b/test/riscv-tests/rv64ui-v-lw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lw.elf b/test/riscv-tests/rv64ui-v-lw.elf
index d73403b..66554f3 100644
--- a/test/riscv-tests/rv64ui-v-lw.elf
+++ b/test/riscv-tests/rv64ui-v-lw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-lwu.dump b/test/riscv-tests/rv64ui-v-lwu.dump
index aa0fb7d..98e3b40 100644
--- a/test/riscv-tests/rv64ui-v-lwu.dump
+++ b/test/riscv-tests/rv64ui-v-lwu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-lwu.elf b/test/riscv-tests/rv64ui-v-lwu.elf
index 2cd5e0b..9cbf932 100644
--- a/test/riscv-tests/rv64ui-v-lwu.elf
+++ b/test/riscv-tests/rv64ui-v-lwu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-or.dump b/test/riscv-tests/rv64ui-v-or.dump
index c5e0940..d4524a1 100644
--- a/test/riscv-tests/rv64ui-v-or.dump
+++ b/test/riscv-tests/rv64ui-v-or.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-or.elf b/test/riscv-tests/rv64ui-v-or.elf
index cf60140..7a5b9a5 100644
--- a/test/riscv-tests/rv64ui-v-or.elf
+++ b/test/riscv-tests/rv64ui-v-or.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-ori.dump b/test/riscv-tests/rv64ui-v-ori.dump
index 9b536c5..3208700 100644
--- a/test/riscv-tests/rv64ui-v-ori.dump
+++ b/test/riscv-tests/rv64ui-v-ori.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-ori.elf b/test/riscv-tests/rv64ui-v-ori.elf
index db589c1..322598b 100644
--- a/test/riscv-tests/rv64ui-v-ori.elf
+++ b/test/riscv-tests/rv64ui-v-ori.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sb.dump b/test/riscv-tests/rv64ui-v-sb.dump
index 52b1645..428b6fd 100644
--- a/test/riscv-tests/rv64ui-v-sb.dump
+++ b/test/riscv-tests/rv64ui-v-sb.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sb.elf b/test/riscv-tests/rv64ui-v-sb.elf
index 72d0ab5..e7ff712 100644
--- a/test/riscv-tests/rv64ui-v-sb.elf
+++ b/test/riscv-tests/rv64ui-v-sb.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sd.dump b/test/riscv-tests/rv64ui-v-sd.dump
index 3fc6298..3cfa466 100644
--- a/test/riscv-tests/rv64ui-v-sd.dump
+++ b/test/riscv-tests/rv64ui-v-sd.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sd.elf b/test/riscv-tests/rv64ui-v-sd.elf
index b1660db..cd5b8b6 100644
--- a/test/riscv-tests/rv64ui-v-sd.elf
+++ b/test/riscv-tests/rv64ui-v-sd.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sh.dump b/test/riscv-tests/rv64ui-v-sh.dump
index 62862d9..1e55b3b 100644
--- a/test/riscv-tests/rv64ui-v-sh.dump
+++ b/test/riscv-tests/rv64ui-v-sh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sh.elf b/test/riscv-tests/rv64ui-v-sh.elf
index a3a67da..ecf89bf 100644
--- a/test/riscv-tests/rv64ui-v-sh.elf
+++ b/test/riscv-tests/rv64ui-v-sh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-simple.dump b/test/riscv-tests/rv64ui-v-simple.dump
index 0c3cc1c..9fa39f4 100644
--- a/test/riscv-tests/rv64ui-v-simple.dump
+++ b/test/riscv-tests/rv64ui-v-simple.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-simple.elf b/test/riscv-tests/rv64ui-v-simple.elf
index 04140b0..fe31997 100644
--- a/test/riscv-tests/rv64ui-v-simple.elf
+++ b/test/riscv-tests/rv64ui-v-simple.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sll.dump b/test/riscv-tests/rv64ui-v-sll.dump
index 6b4b00b..7777117 100644
--- a/test/riscv-tests/rv64ui-v-sll.dump
+++ b/test/riscv-tests/rv64ui-v-sll.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sll.elf b/test/riscv-tests/rv64ui-v-sll.elf
index a32b39e..03e6abf 100644
--- a/test/riscv-tests/rv64ui-v-sll.elf
+++ b/test/riscv-tests/rv64ui-v-sll.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-slli.dump b/test/riscv-tests/rv64ui-v-slli.dump
index 2808442..58e5464 100644
--- a/test/riscv-tests/rv64ui-v-slli.dump
+++ b/test/riscv-tests/rv64ui-v-slli.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-slli.elf b/test/riscv-tests/rv64ui-v-slli.elf
index 2b9e327..da828e2 100644
--- a/test/riscv-tests/rv64ui-v-slli.elf
+++ b/test/riscv-tests/rv64ui-v-slli.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-slliw.dump b/test/riscv-tests/rv64ui-v-slliw.dump
index 25efc0d..d70a27c 100644
--- a/test/riscv-tests/rv64ui-v-slliw.dump
+++ b/test/riscv-tests/rv64ui-v-slliw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: 91068693 addi a3,a3,-1776 # 80002d68 <pass+0xc>
+ 8000245c: 9a068693 addi a3,a3,-1632 # 80002df8 <pass+0xc>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: 94460613 addi a2,a2,-1724 # 80002df8 <pass+0x9c>
+ 800024b8: 9d460613 addi a2,a2,-1580 # 80002e88 <pass+0x9c>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: 90c60613 addi a2,a2,-1780 # 80002e10 <pass+0xb4>
+ 80002508: 99c60613 addi a2,a2,-1636 # 80002ea0 <pass+0xb4>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: 85c68693 addi a3,a3,-1956 # 80002db0 <pass+0x54>
+ 80002558: 8ec68693 addi a3,a3,-1812 # 80002e40 <pass+0x54>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: 87868693 addi a3,a3,-1928 # 80002ee8 <pass+0x18c>
+ 80002674: 90868693 addi a3,a3,-1784 # 80002f78 <pass+0x18c>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -567,8 +567,8 @@ Disassembly of section .text:
800026bc: 00100513 li a0,1
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
- 800026c8: 00000617 auipc a2,0x0
- 800026cc: 7f860613 addi a2,a2,2040 # 80002ec0 <pass+0x164>
+ 800026c8: 00001617 auipc a2,0x1
+ 800026cc: 88860613 addi a2,a2,-1912 # 80002f50 <pass+0x164>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00000697 auipc a3,0x0
- 800027f0: 72c68693 addi a3,a3,1836 # 80002f18 <pass+0x1bc>
+ 800027f0: 7bc68693 addi a3,a3,1980 # 80002fa8 <pass+0x1bc>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00000697 auipc a3,0x0
- 80002840: 64c68693 addi a3,a3,1612 # 80002e88 <pass+0x12c>
+ 80002840: 6dc68693 addi a3,a3,1756 # 80002f18 <pass+0x12c>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00000697 auipc a3,0x0
- 80002878: 5dc68693 addi a3,a3,1500 # 80002e50 <pass+0xf4>
+ 80002878: 66c68693 addi a3,a3,1644 # 80002ee0 <pass+0xf4>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -831,70 +831,70 @@ Disassembly of section .text:
80002acc: 00009f1b slliw t5,ra,0x0
80002ad0: 00100e93 li t4,1
80002ad4: 00200193 li gp,2
- 80002ad8: 27df1a63 bne t5,t4,80002d4c <fail>
+ 80002ad8: 31df1263 bne t5,t4,80002ddc <fail>
0000000080002adc <test_3>:
80002adc: 00100093 li ra,1
80002ae0: 00109f1b slliw t5,ra,0x1
80002ae4: 00200e93 li t4,2
80002ae8: 00300193 li gp,3
- 80002aec: 27df1063 bne t5,t4,80002d4c <fail>
+ 80002aec: 2fdf1863 bne t5,t4,80002ddc <fail>
0000000080002af0 <test_4>:
80002af0: 00100093 li ra,1
80002af4: 00709f1b slliw t5,ra,0x7
80002af8: 08000e93 li t4,128
80002afc: 00400193 li gp,4
- 80002b00: 25df1663 bne t5,t4,80002d4c <fail>
+ 80002b00: 2ddf1e63 bne t5,t4,80002ddc <fail>
0000000080002b04 <test_5>:
80002b04: 00100093 li ra,1
80002b08: 00e09f1b slliw t5,ra,0xe
80002b0c: 00004eb7 lui t4,0x4
80002b10: 00500193 li gp,5
- 80002b14: 23df1c63 bne t5,t4,80002d4c <fail>
+ 80002b14: 2ddf1463 bne t5,t4,80002ddc <fail>
0000000080002b18 <test_6>:
80002b18: 00100093 li ra,1
80002b1c: 01f09f1b slliw t5,ra,0x1f
80002b20: 80000eb7 lui t4,0x80000
80002b24: 00600193 li gp,6
- 80002b28: 23df1263 bne t5,t4,80002d4c <fail>
+ 80002b28: 2bdf1a63 bne t5,t4,80002ddc <fail>
0000000080002b2c <test_7>:
80002b2c: fff00093 li ra,-1
80002b30: 00009f1b slliw t5,ra,0x0
80002b34: fff00e93 li t4,-1
80002b38: 00700193 li gp,7
- 80002b3c: 21df1863 bne t5,t4,80002d4c <fail>
+ 80002b3c: 2bdf1063 bne t5,t4,80002ddc <fail>
0000000080002b40 <test_8>:
80002b40: fff00093 li ra,-1
80002b44: 00109f1b slliw t5,ra,0x1
80002b48: ffe00e93 li t4,-2
80002b4c: 00800193 li gp,8
- 80002b50: 1fdf1e63 bne t5,t4,80002d4c <fail>
+ 80002b50: 29df1663 bne t5,t4,80002ddc <fail>
0000000080002b54 <test_9>:
80002b54: fff00093 li ra,-1
80002b58: 00709f1b slliw t5,ra,0x7
80002b5c: f8000e93 li t4,-128
80002b60: 00900193 li gp,9
- 80002b64: 1fdf1463 bne t5,t4,80002d4c <fail>
+ 80002b64: 27df1c63 bne t5,t4,80002ddc <fail>
0000000080002b68 <test_10>:
80002b68: fff00093 li ra,-1
80002b6c: 00e09f1b slliw t5,ra,0xe
80002b70: ffffceb7 lui t4,0xffffc
80002b74: 00a00193 li gp,10
- 80002b78: 1ddf1a63 bne t5,t4,80002d4c <fail>
+ 80002b78: 27df1263 bne t5,t4,80002ddc <fail>
0000000080002b7c <test_11>:
80002b7c: fff00093 li ra,-1
80002b80: 01f09f1b slliw t5,ra,0x1f
80002b84: 80000eb7 lui t4,0x80000
80002b88: 00b00193 li gp,11
- 80002b8c: 1ddf1063 bne t5,t4,80002d4c <fail>
+ 80002b8c: 25df1863 bne t5,t4,80002ddc <fail>
0000000080002b90 <test_12>:
80002b90: 212120b7 lui ra,0x21212
@@ -903,7 +903,7 @@ Disassembly of section .text:
80002b9c: 21212eb7 lui t4,0x21212
80002ba0: 121e8e9b addiw t4,t4,289
80002ba4: 00c00193 li gp,12
- 80002ba8: 1bdf1263 bne t5,t4,80002d4c <fail>
+ 80002ba8: 23df1a63 bne t5,t4,80002ddc <fail>
0000000080002bac <test_13>:
80002bac: 212120b7 lui ra,0x21212
@@ -912,7 +912,7 @@ Disassembly of section .text:
80002bb8: 42424eb7 lui t4,0x42424
80002bbc: 242e8e9b addiw t4,t4,578
80002bc0: 00d00193 li gp,13
- 80002bc4: 19df1463 bne t5,t4,80002d4c <fail>
+ 80002bc4: 21df1c63 bne t5,t4,80002ddc <fail>
0000000080002bc8 <test_14>:
80002bc8: 212120b7 lui ra,0x21212
@@ -921,7 +921,7 @@ Disassembly of section .text:
80002bd4: 90909eb7 lui t4,0x90909
80002bd8: 080e8e9b addiw t4,t4,128
80002bdc: 00e00193 li gp,14
- 80002be0: 17df1663 bne t5,t4,80002d4c <fail>
+ 80002be0: 1fdf1e63 bne t5,t4,80002ddc <fail>
0000000080002be4 <test_15>:
80002be4: 212120b7 lui ra,0x21212
@@ -929,7 +929,7 @@ Disassembly of section .text:
80002bec: 00e09f1b slliw t5,ra,0xe
80002bf0: 48484eb7 lui t4,0x48484
80002bf4: 00f00193 li gp,15
- 80002bf8: 15df1a63 bne t5,t4,80002d4c <fail>
+ 80002bf8: 1fdf1263 bne t5,t4,80002ddc <fail>
0000000080002bfc <test_16>:
80002bfc: 212120b7 lui ra,0x21212
@@ -937,111 +937,155 @@ Disassembly of section .text:
80002c04: 01f09f1b slliw t5,ra,0x1f
80002c08: 80000eb7 lui t4,0x80000
80002c0c: 01000193 li gp,16
- 80002c10: 13df1e63 bne t5,t4,80002d4c <fail>
+ 80002c10: 1ddf1663 bne t5,t4,80002ddc <fail>
-0000000080002c14 <test_17>:
- 80002c14: 00100093 li ra,1
- 80002c18: 0070909b slliw ra,ra,0x7
- 80002c1c: 08000e93 li t4,128
- 80002c20: 01100193 li gp,17
- 80002c24: 13d09463 bne ra,t4,80002d4c <fail>
+0000000080002c14 <test_44>:
+ 80002c14: fff120b7 lui ra,0xfff12
+ 80002c18: 3450809b addiw ra,ra,837
+ 80002c1c: 00c09093 slli ra,ra,0xc
+ 80002c20: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff0ae88>
+ 80002c24: 00009f1b slliw t5,ra,0x0
+ 80002c28: 12345eb7 lui t4,0x12345
+ 80002c2c: 678e8e9b addiw t4,t4,1656
+ 80002c30: 02c00193 li gp,44
+ 80002c34: 1bdf1463 bne t5,t4,80002ddc <fail>
-0000000080002c28 <test_18>:
- 80002c28: 00000213 li tp,0
- 80002c2c: 00100093 li ra,1
- 80002c30: 00709f1b slliw t5,ra,0x7
- 80002c34: 000f0313 mv t1,t5
- 80002c38: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c3c: 00200293 li t0,2
- 80002c40: fe5216e3 bne tp,t0,80002c2c <test_18+0x4>
- 80002c44: 08000e93 li t4,128
- 80002c48: 01200193 li gp,18
- 80002c4c: 11d31063 bne t1,t4,80002d4c <fail>
+0000000080002c38 <test_45>:
+ 80002c38: fff120b7 lui ra,0xfff12
+ 80002c3c: 3450809b addiw ra,ra,837
+ 80002c40: 00c09093 slli ra,ra,0xc
+ 80002c44: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff0ae88>
+ 80002c48: 00409f1b slliw t5,ra,0x4
+ 80002c4c: 23456eb7 lui t4,0x23456
+ 80002c50: 780e8e9b addiw t4,t4,1920
+ 80002c54: 02d00193 li gp,45
+ 80002c58: 19df1263 bne t5,t4,80002ddc <fail>
-0000000080002c50 <test_19>:
- 80002c50: 00000213 li tp,0
- 80002c54: 00100093 li ra,1
- 80002c58: 00e09f1b slliw t5,ra,0xe
- 80002c5c: 00000013 nop
- 80002c60: 000f0313 mv t1,t5
- 80002c64: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c68: 00200293 li t0,2
- 80002c6c: fe5214e3 bne tp,t0,80002c54 <test_19+0x4>
- 80002c70: 00004eb7 lui t4,0x4
- 80002c74: 01300193 li gp,19
- 80002c78: 0dd31a63 bne t1,t4,80002d4c <fail>
+0000000080002c5c <test_46>:
+ 80002c5c: 000920b7 lui ra,0x92
+ 80002c60: 3450809b addiw ra,ra,837
+ 80002c64: 00c09093 slli ra,ra,0xc
+ 80002c68: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002c6c: 00009f1b slliw t5,ra,0x0
+ 80002c70: 92345eb7 lui t4,0x92345
+ 80002c74: 678e8e9b addiw t4,t4,1656
+ 80002c78: 02e00193 li gp,46
+ 80002c7c: 17df1063 bne t5,t4,80002ddc <fail>
-0000000080002c7c <test_20>:
- 80002c7c: 00000213 li tp,0
- 80002c80: 00100093 li ra,1
- 80002c84: 01f09f1b slliw t5,ra,0x1f
- 80002c88: 00000013 nop
- 80002c8c: 00000013 nop
- 80002c90: 000f0313 mv t1,t5
- 80002c94: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c98: 00200293 li t0,2
- 80002c9c: fe5212e3 bne tp,t0,80002c80 <test_20+0x4>
- 80002ca0: 80000eb7 lui t4,0x80000
- 80002ca4: 01400193 li gp,20
- 80002ca8: 0bd31263 bne t1,t4,80002d4c <fail>
+0000000080002c80 <test_47>:
+ 80002c80: 000990b7 lui ra,0x99
+ 80002c84: 3450809b addiw ra,ra,837
+ 80002c88: 00c09093 slli ra,ra,0xc
+ 80002c8c: 67808093 addi ra,ra,1656 # 99678 <_start-0x7ff66988>
+ 80002c90: 00409f1b slliw t5,ra,0x4
+ 80002c94: 93456eb7 lui t4,0x93456
+ 80002c98: 780e8e9b addiw t4,t4,1920
+ 80002c9c: 02f00193 li gp,47
+ 80002ca0: 13df1e63 bne t5,t4,80002ddc <fail>
-0000000080002cac <test_21>:
- 80002cac: 00000213 li tp,0
- 80002cb0: 00100093 li ra,1
- 80002cb4: 00709f1b slliw t5,ra,0x7
- 80002cb8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cbc: 00200293 li t0,2
- 80002cc0: fe5218e3 bne tp,t0,80002cb0 <test_21+0x4>
- 80002cc4: 08000e93 li t4,128
- 80002cc8: 01500193 li gp,21
- 80002ccc: 09df1063 bne t5,t4,80002d4c <fail>
+0000000080002ca4 <test_17>:
+ 80002ca4: 00100093 li ra,1
+ 80002ca8: 0070909b slliw ra,ra,0x7
+ 80002cac: 08000e93 li t4,128
+ 80002cb0: 01100193 li gp,17
+ 80002cb4: 13d09463 bne ra,t4,80002ddc <fail>
-0000000080002cd0 <test_22>:
- 80002cd0: 00000213 li tp,0
- 80002cd4: 00100093 li ra,1
- 80002cd8: 00000013 nop
- 80002cdc: 00e09f1b slliw t5,ra,0xe
- 80002ce0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ce4: 00200293 li t0,2
- 80002ce8: fe5216e3 bne tp,t0,80002cd4 <test_22+0x4>
- 80002cec: 00004eb7 lui t4,0x4
- 80002cf0: 01600193 li gp,22
- 80002cf4: 05df1c63 bne t5,t4,80002d4c <fail>
+0000000080002cb8 <test_18>:
+ 80002cb8: 00000213 li tp,0
+ 80002cbc: 00100093 li ra,1
+ 80002cc0: 00709f1b slliw t5,ra,0x7
+ 80002cc4: 000f0313 mv t1,t5
+ 80002cc8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ccc: 00200293 li t0,2
+ 80002cd0: fe5216e3 bne tp,t0,80002cbc <test_18+0x4>
+ 80002cd4: 08000e93 li t4,128
+ 80002cd8: 01200193 li gp,18
+ 80002cdc: 11d31063 bne t1,t4,80002ddc <fail>
-0000000080002cf8 <test_23>:
- 80002cf8: 00000213 li tp,0
- 80002cfc: 00100093 li ra,1
- 80002d00: 00000013 nop
- 80002d04: 00000013 nop
- 80002d08: 01f09f1b slliw t5,ra,0x1f
- 80002d0c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d10: 00200293 li t0,2
- 80002d14: fe5214e3 bne tp,t0,80002cfc <test_23+0x4>
- 80002d18: 80000eb7 lui t4,0x80000
- 80002d1c: 01700193 li gp,23
- 80002d20: 03df1663 bne t5,t4,80002d4c <fail>
+0000000080002ce0 <test_19>:
+ 80002ce0: 00000213 li tp,0
+ 80002ce4: 00100093 li ra,1
+ 80002ce8: 00e09f1b slliw t5,ra,0xe
+ 80002cec: 00000013 nop
+ 80002cf0: 000f0313 mv t1,t5
+ 80002cf4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002cf8: 00200293 li t0,2
+ 80002cfc: fe5214e3 bne tp,t0,80002ce4 <test_19+0x4>
+ 80002d00: 00004eb7 lui t4,0x4
+ 80002d04: 01300193 li gp,19
+ 80002d08: 0dd31a63 bne t1,t4,80002ddc <fail>
-0000000080002d24 <test_24>:
- 80002d24: 01f0109b slliw ra,zero,0x1f
- 80002d28: 00000e93 li t4,0
- 80002d2c: 01800193 li gp,24
- 80002d30: 01d09e63 bne ra,t4,80002d4c <fail>
+0000000080002d0c <test_20>:
+ 80002d0c: 00000213 li tp,0
+ 80002d10: 00100093 li ra,1
+ 80002d14: 01f09f1b slliw t5,ra,0x1f
+ 80002d18: 00000013 nop
+ 80002d1c: 00000013 nop
+ 80002d20: 000f0313 mv t1,t5
+ 80002d24: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d28: 00200293 li t0,2
+ 80002d2c: fe5212e3 bne tp,t0,80002d10 <test_20+0x4>
+ 80002d30: 80000eb7 lui t4,0x80000
+ 80002d34: 01400193 li gp,20
+ 80002d38: 0bd31263 bne t1,t4,80002ddc <fail>
-0000000080002d34 <test_25>:
- 80002d34: 01f00093 li ra,31
- 80002d38: 01c0901b slliw zero,ra,0x1c
- 80002d3c: 00000e93 li t4,0
- 80002d40: 01900193 li gp,25
- 80002d44: 01d01463 bne zero,t4,80002d4c <fail>
- 80002d48: 00301a63 bne zero,gp,80002d5c <pass>
+0000000080002d3c <test_21>:
+ 80002d3c: 00000213 li tp,0
+ 80002d40: 00100093 li ra,1
+ 80002d44: 00709f1b slliw t5,ra,0x7
+ 80002d48: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d4c: 00200293 li t0,2
+ 80002d50: fe5218e3 bne tp,t0,80002d40 <test_21+0x4>
+ 80002d54: 08000e93 li t4,128
+ 80002d58: 01500193 li gp,21
+ 80002d5c: 09df1063 bne t5,t4,80002ddc <fail>
-0000000080002d4c <fail>:
- 80002d4c: 00119513 slli a0,gp,0x1
- 80002d50: 00050063 beqz a0,80002d50 <fail+0x4>
- 80002d54: 00156513 ori a0,a0,1
- 80002d58: 00000073 ecall
+0000000080002d60 <test_22>:
+ 80002d60: 00000213 li tp,0
+ 80002d64: 00100093 li ra,1
+ 80002d68: 00000013 nop
+ 80002d6c: 00e09f1b slliw t5,ra,0xe
+ 80002d70: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d74: 00200293 li t0,2
+ 80002d78: fe5216e3 bne tp,t0,80002d64 <test_22+0x4>
+ 80002d7c: 00004eb7 lui t4,0x4
+ 80002d80: 01600193 li gp,22
+ 80002d84: 05df1c63 bne t5,t4,80002ddc <fail>
-0000000080002d5c <pass>:
- 80002d5c: 00100513 li a0,1
- 80002d60: 00000073 ecall
- 80002d64: c0001073 unimp
+0000000080002d88 <test_23>:
+ 80002d88: 00000213 li tp,0
+ 80002d8c: 00100093 li ra,1
+ 80002d90: 00000013 nop
+ 80002d94: 00000013 nop
+ 80002d98: 01f09f1b slliw t5,ra,0x1f
+ 80002d9c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002da0: 00200293 li t0,2
+ 80002da4: fe5214e3 bne tp,t0,80002d8c <test_23+0x4>
+ 80002da8: 80000eb7 lui t4,0x80000
+ 80002dac: 01700193 li gp,23
+ 80002db0: 03df1663 bne t5,t4,80002ddc <fail>
+
+0000000080002db4 <test_24>:
+ 80002db4: 01f0109b slliw ra,zero,0x1f
+ 80002db8: 00000e93 li t4,0
+ 80002dbc: 01800193 li gp,24
+ 80002dc0: 01d09e63 bne ra,t4,80002ddc <fail>
+
+0000000080002dc4 <test_25>:
+ 80002dc4: 01f00093 li ra,31
+ 80002dc8: 01c0901b slliw zero,ra,0x1c
+ 80002dcc: 00000e93 li t4,0
+ 80002dd0: 01900193 li gp,25
+ 80002dd4: 01d01463 bne zero,t4,80002ddc <fail>
+ 80002dd8: 00301a63 bne zero,gp,80002dec <pass>
+
+0000000080002ddc <fail>:
+ 80002ddc: 00119513 slli a0,gp,0x1
+ 80002de0: 00050063 beqz a0,80002de0 <fail+0x4>
+ 80002de4: 00156513 ori a0,a0,1
+ 80002de8: 00000073 ecall
+
+0000000080002dec <pass>:
+ 80002dec: 00100513 li a0,1
+ 80002df0: 00000073 ecall
+ 80002df4: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-slliw.elf b/test/riscv-tests/rv64ui-v-slliw.elf
index dd80f11..80d72b4 100644
--- a/test/riscv-tests/rv64ui-v-slliw.elf
+++ b/test/riscv-tests/rv64ui-v-slliw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sllw.dump b/test/riscv-tests/rv64ui-v-sllw.dump
index e44d86e..6dc6b75 100644
--- a/test/riscv-tests/rv64ui-v-sllw.dump
+++ b/test/riscv-tests/rv64ui-v-sllw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: bf868693 addi a3,a3,-1032 # 80003050 <pass+0xc>
+ 8000245c: c9868693 addi a3,a3,-872 # 800030f0 <pass+0xc>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: c2c60613 addi a2,a2,-980 # 800030e0 <pass+0x9c>
+ 800024b8: ccc60613 addi a2,a2,-820 # 80003180 <pass+0x9c>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: bf460613 addi a2,a2,-1036 # 800030f8 <pass+0xb4>
+ 80002508: c9460613 addi a2,a2,-876 # 80003198 <pass+0xb4>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: b4468693 addi a3,a3,-1212 # 80003098 <pass+0x54>
+ 80002558: be468693 addi a3,a3,-1052 # 80003138 <pass+0x54>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: b6068693 addi a3,a3,-1184 # 800031d0 <pass+0x18c>
+ 80002674: c0068693 addi a3,a3,-1024 # 80003270 <pass+0x18c>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00001617 auipc a2,0x1
- 800026cc: ae060613 addi a2,a2,-1312 # 800031a8 <pass+0x164>
+ 800026cc: b8060613 addi a2,a2,-1152 # 80003248 <pass+0x164>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00001697 auipc a3,0x1
- 800027f0: a1468693 addi a3,a3,-1516 # 80003200 <pass+0x1bc>
+ 800027f0: ab468693 addi a3,a3,-1356 # 800032a0 <pass+0x1bc>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00001697 auipc a3,0x1
- 80002840: 93468693 addi a3,a3,-1740 # 80003170 <pass+0x12c>
+ 80002840: 9d468693 addi a3,a3,-1580 # 80003210 <pass+0x12c>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00001697 auipc a3,0x1
- 80002878: 8c468693 addi a3,a3,-1852 # 80003138 <pass+0xf4>
+ 80002878: 96468693 addi a3,a3,-1692 # 800031d8 <pass+0xf4>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -832,7 +832,7 @@ Disassembly of section .text:
80002ad0: 00209f3b sllw t5,ra,sp
80002ad4: 00100e93 li t4,1
80002ad8: 00200193 li gp,2
- 80002adc: 55df1c63 bne t5,t4,80003034 <fail>
+ 80002adc: 5fdf1c63 bne t5,t4,800030d4 <fail>
0000000080002ae0 <test_3>:
80002ae0: 00100093 li ra,1
@@ -840,7 +840,7 @@ Disassembly of section .text:
80002ae8: 00209f3b sllw t5,ra,sp
80002aec: 00200e93 li t4,2
80002af0: 00300193 li gp,3
- 80002af4: 55df1063 bne t5,t4,80003034 <fail>
+ 80002af4: 5fdf1063 bne t5,t4,800030d4 <fail>
0000000080002af8 <test_4>:
80002af8: 00100093 li ra,1
@@ -848,7 +848,7 @@ Disassembly of section .text:
80002b00: 00209f3b sllw t5,ra,sp
80002b04: 08000e93 li t4,128
80002b08: 00400193 li gp,4
- 80002b0c: 53df1463 bne t5,t4,80003034 <fail>
+ 80002b0c: 5ddf1463 bne t5,t4,800030d4 <fail>
0000000080002b10 <test_5>:
80002b10: 00100093 li ra,1
@@ -856,7 +856,7 @@ Disassembly of section .text:
80002b18: 00209f3b sllw t5,ra,sp
80002b1c: 00004eb7 lui t4,0x4
80002b20: 00500193 li gp,5
- 80002b24: 51df1863 bne t5,t4,80003034 <fail>
+ 80002b24: 5bdf1863 bne t5,t4,800030d4 <fail>
0000000080002b28 <test_6>:
80002b28: 00100093 li ra,1
@@ -864,7 +864,7 @@ Disassembly of section .text:
80002b30: 00209f3b sllw t5,ra,sp
80002b34: 80000eb7 lui t4,0x80000
80002b38: 00600193 li gp,6
- 80002b3c: 4fdf1c63 bne t5,t4,80003034 <fail>
+ 80002b3c: 59df1c63 bne t5,t4,800030d4 <fail>
0000000080002b40 <test_7>:
80002b40: fff00093 li ra,-1
@@ -872,7 +872,7 @@ Disassembly of section .text:
80002b48: 00209f3b sllw t5,ra,sp
80002b4c: fff00e93 li t4,-1
80002b50: 00700193 li gp,7
- 80002b54: 4fdf1063 bne t5,t4,80003034 <fail>
+ 80002b54: 59df1063 bne t5,t4,800030d4 <fail>
0000000080002b58 <test_8>:
80002b58: fff00093 li ra,-1
@@ -880,7 +880,7 @@ Disassembly of section .text:
80002b60: 00209f3b sllw t5,ra,sp
80002b64: ffe00e93 li t4,-2
80002b68: 00800193 li gp,8
- 80002b6c: 4ddf1463 bne t5,t4,80003034 <fail>
+ 80002b6c: 57df1463 bne t5,t4,800030d4 <fail>
0000000080002b70 <test_9>:
80002b70: fff00093 li ra,-1
@@ -888,7 +888,7 @@ Disassembly of section .text:
80002b78: 00209f3b sllw t5,ra,sp
80002b7c: f8000e93 li t4,-128
80002b80: 00900193 li gp,9
- 80002b84: 4bdf1863 bne t5,t4,80003034 <fail>
+ 80002b84: 55df1863 bne t5,t4,800030d4 <fail>
0000000080002b88 <test_10>:
80002b88: fff00093 li ra,-1
@@ -896,7 +896,7 @@ Disassembly of section .text:
80002b90: 00209f3b sllw t5,ra,sp
80002b94: ffffceb7 lui t4,0xffffc
80002b98: 00a00193 li gp,10
- 80002b9c: 49df1c63 bne t5,t4,80003034 <fail>
+ 80002b9c: 53df1c63 bne t5,t4,800030d4 <fail>
0000000080002ba0 <test_11>:
80002ba0: fff00093 li ra,-1
@@ -904,7 +904,7 @@ Disassembly of section .text:
80002ba8: 00209f3b sllw t5,ra,sp
80002bac: 80000eb7 lui t4,0x80000
80002bb0: 00b00193 li gp,11
- 80002bb4: 49df1063 bne t5,t4,80003034 <fail>
+ 80002bb4: 53df1063 bne t5,t4,800030d4 <fail>
0000000080002bb8 <test_12>:
80002bb8: 212120b7 lui ra,0x21212
@@ -914,7 +914,7 @@ Disassembly of section .text:
80002bc8: 21212eb7 lui t4,0x21212
80002bcc: 121e8e9b addiw t4,t4,289
80002bd0: 00c00193 li gp,12
- 80002bd4: 47df1063 bne t5,t4,80003034 <fail>
+ 80002bd4: 51df1063 bne t5,t4,800030d4 <fail>
0000000080002bd8 <test_13>:
80002bd8: 212120b7 lui ra,0x21212
@@ -924,7 +924,7 @@ Disassembly of section .text:
80002be8: 42424eb7 lui t4,0x42424
80002bec: 242e8e9b addiw t4,t4,578
80002bf0: 00d00193 li gp,13
- 80002bf4: 45df1063 bne t5,t4,80003034 <fail>
+ 80002bf4: 4fdf1063 bne t5,t4,800030d4 <fail>
0000000080002bf8 <test_14>:
80002bf8: 212120b7 lui ra,0x21212
@@ -934,7 +934,7 @@ Disassembly of section .text:
80002c08: 90909eb7 lui t4,0x90909
80002c0c: 080e8e9b addiw t4,t4,128
80002c10: 00e00193 li gp,14
- 80002c14: 43df1063 bne t5,t4,80003034 <fail>
+ 80002c14: 4ddf1063 bne t5,t4,800030d4 <fail>
0000000080002c18 <test_15>:
80002c18: 212120b7 lui ra,0x21212
@@ -943,7 +943,7 @@ Disassembly of section .text:
80002c24: 00209f3b sllw t5,ra,sp
80002c28: 48484eb7 lui t4,0x48484
80002c2c: 00f00193 li gp,15
- 80002c30: 41df1263 bne t5,t4,80003034 <fail>
+ 80002c30: 4bdf1263 bne t5,t4,800030d4 <fail>
0000000080002c34 <test_16>:
80002c34: 212120b7 lui ra,0x21212
@@ -952,7 +952,7 @@ Disassembly of section .text:
80002c40: 00209f3b sllw t5,ra,sp
80002c44: 80000eb7 lui t4,0x80000
80002c48: 01000193 li gp,16
- 80002c4c: 3fdf1463 bne t5,t4,80003034 <fail>
+ 80002c4c: 49df1463 bne t5,t4,800030d4 <fail>
0000000080002c50 <test_17>:
80002c50: 212120b7 lui ra,0x21212
@@ -962,7 +962,7 @@ Disassembly of section .text:
80002c60: 21212eb7 lui t4,0x21212
80002c64: 121e8e9b addiw t4,t4,289
80002c68: 01100193 li gp,17
- 80002c6c: 3ddf1463 bne t5,t4,80003034 <fail>
+ 80002c6c: 47df1463 bne t5,t4,800030d4 <fail>
0000000080002c70 <test_18>:
80002c70: 212120b7 lui ra,0x21212
@@ -972,7 +972,7 @@ Disassembly of section .text:
80002c80: 42424eb7 lui t4,0x42424
80002c84: 242e8e9b addiw t4,t4,578
80002c88: 01200193 li gp,18
- 80002c8c: 3bdf1463 bne t5,t4,80003034 <fail>
+ 80002c8c: 45df1463 bne t5,t4,800030d4 <fail>
0000000080002c90 <test_19>:
80002c90: 212120b7 lui ra,0x21212
@@ -982,7 +982,7 @@ Disassembly of section .text:
80002ca0: 90909eb7 lui t4,0x90909
80002ca4: 080e8e9b addiw t4,t4,128
80002ca8: 01300193 li gp,19
- 80002cac: 39df1463 bne t5,t4,80003034 <fail>
+ 80002cac: 43df1463 bne t5,t4,800030d4 <fail>
0000000080002cb0 <test_20>:
80002cb0: 212120b7 lui ra,0x21212
@@ -991,7 +991,7 @@ Disassembly of section .text:
80002cbc: 00209f3b sllw t5,ra,sp
80002cc0: 48484eb7 lui t4,0x48484
80002cc4: 01400193 li gp,20
- 80002cc8: 37df1663 bne t5,t4,80003034 <fail>
+ 80002cc8: 41df1663 bne t5,t4,800030d4 <fail>
0000000080002ccc <test_21>:
80002ccc: 212120b7 lui ra,0x21212
@@ -1000,270 +1000,318 @@ Disassembly of section .text:
80002cd8: 00209f3b sllw t5,ra,sp
80002cdc: 80000eb7 lui t4,0x80000
80002ce0: 01500193 li gp,21
- 80002ce4: 35df1863 bne t5,t4,80003034 <fail>
-
-0000000080002ce8 <test_22>:
- 80002ce8: 00100093 li ra,1
- 80002cec: 00700113 li sp,7
- 80002cf0: 002090bb sllw ra,ra,sp
- 80002cf4: 08000e93 li t4,128
- 80002cf8: 01600193 li gp,22
- 80002cfc: 33d09c63 bne ra,t4,80003034 <fail>
-
-0000000080002d00 <test_23>:
- 80002d00: 00100093 li ra,1
- 80002d04: 00e00113 li sp,14
- 80002d08: 0020913b sllw sp,ra,sp
- 80002d0c: 00004eb7 lui t4,0x4
- 80002d10: 01700193 li gp,23
- 80002d14: 33d11063 bne sp,t4,80003034 <fail>
-
-0000000080002d18 <test_24>:
- 80002d18: 00300093 li ra,3
- 80002d1c: 001090bb sllw ra,ra,ra
- 80002d20: 01800e93 li t4,24
- 80002d24: 01800193 li gp,24
- 80002d28: 31d09663 bne ra,t4,80003034 <fail>
-
-0000000080002d2c <test_25>:
- 80002d2c: 00000213 li tp,0
- 80002d30: 00100093 li ra,1
- 80002d34: 00700113 li sp,7
- 80002d38: 00209f3b sllw t5,ra,sp
- 80002d3c: 000f0313 mv t1,t5
- 80002d40: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d44: 00200293 li t0,2
- 80002d48: fe5214e3 bne tp,t0,80002d30 <test_25+0x4>
- 80002d4c: 08000e93 li t4,128
- 80002d50: 01900193 li gp,25
- 80002d54: 2fd31063 bne t1,t4,80003034 <fail>
-
-0000000080002d58 <test_26>:
- 80002d58: 00000213 li tp,0
- 80002d5c: 00100093 li ra,1
- 80002d60: 00e00113 li sp,14
- 80002d64: 00209f3b sllw t5,ra,sp
- 80002d68: 00000013 nop
- 80002d6c: 000f0313 mv t1,t5
- 80002d70: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d74: 00200293 li t0,2
- 80002d78: fe5212e3 bne tp,t0,80002d5c <test_26+0x4>
- 80002d7c: 00004eb7 lui t4,0x4
- 80002d80: 01a00193 li gp,26
- 80002d84: 2bd31863 bne t1,t4,80003034 <fail>
-
-0000000080002d88 <test_27>:
- 80002d88: 00000213 li tp,0
- 80002d8c: 00100093 li ra,1
- 80002d90: 01f00113 li sp,31
- 80002d94: 00209f3b sllw t5,ra,sp
- 80002d98: 00000013 nop
- 80002d9c: 00000013 nop
- 80002da0: 000f0313 mv t1,t5
- 80002da4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002da8: 00200293 li t0,2
- 80002dac: fe5210e3 bne tp,t0,80002d8c <test_27+0x4>
- 80002db0: 80000eb7 lui t4,0x80000
- 80002db4: 01b00193 li gp,27
- 80002db8: 27d31e63 bne t1,t4,80003034 <fail>
-
-0000000080002dbc <test_28>:
- 80002dbc: 00000213 li tp,0
- 80002dc0: 00100093 li ra,1
- 80002dc4: 00700113 li sp,7
- 80002dc8: 00209f3b sllw t5,ra,sp
- 80002dcc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002dd0: 00200293 li t0,2
- 80002dd4: fe5216e3 bne tp,t0,80002dc0 <test_28+0x4>
- 80002dd8: 08000e93 li t4,128
- 80002ddc: 01c00193 li gp,28
- 80002de0: 25df1a63 bne t5,t4,80003034 <fail>
-
-0000000080002de4 <test_29>:
- 80002de4: 00000213 li tp,0
- 80002de8: 00100093 li ra,1
- 80002dec: 00e00113 li sp,14
- 80002df0: 00000013 nop
- 80002df4: 00209f3b sllw t5,ra,sp
- 80002df8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002dfc: 00200293 li t0,2
- 80002e00: fe5214e3 bne tp,t0,80002de8 <test_29+0x4>
- 80002e04: 00004eb7 lui t4,0x4
- 80002e08: 01d00193 li gp,29
- 80002e0c: 23df1463 bne t5,t4,80003034 <fail>
-
-0000000080002e10 <test_30>:
- 80002e10: 00000213 li tp,0
- 80002e14: 00100093 li ra,1
- 80002e18: 01f00113 li sp,31
- 80002e1c: 00000013 nop
- 80002e20: 00000013 nop
- 80002e24: 00209f3b sllw t5,ra,sp
- 80002e28: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e2c: 00200293 li t0,2
- 80002e30: fe5212e3 bne tp,t0,80002e14 <test_30+0x4>
- 80002e34: 80000eb7 lui t4,0x80000
- 80002e38: 01e00193 li gp,30
- 80002e3c: 1fdf1c63 bne t5,t4,80003034 <fail>
-
-0000000080002e40 <test_31>:
- 80002e40: 00000213 li tp,0
- 80002e44: 00100093 li ra,1
- 80002e48: 00000013 nop
- 80002e4c: 00700113 li sp,7
- 80002e50: 00209f3b sllw t5,ra,sp
- 80002e54: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e58: 00200293 li t0,2
- 80002e5c: fe5214e3 bne tp,t0,80002e44 <test_31+0x4>
- 80002e60: 08000e93 li t4,128
- 80002e64: 01f00193 li gp,31
- 80002e68: 1ddf1663 bne t5,t4,80003034 <fail>
-
-0000000080002e6c <test_32>:
- 80002e6c: 00000213 li tp,0
- 80002e70: 00100093 li ra,1
- 80002e74: 00000013 nop
- 80002e78: 00e00113 li sp,14
- 80002e7c: 00000013 nop
- 80002e80: 00209f3b sllw t5,ra,sp
- 80002e84: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e88: 00200293 li t0,2
- 80002e8c: fe5212e3 bne tp,t0,80002e70 <test_32+0x4>
- 80002e90: 00004eb7 lui t4,0x4
- 80002e94: 02000193 li gp,32
- 80002e98: 19df1e63 bne t5,t4,80003034 <fail>
-
-0000000080002e9c <test_33>:
- 80002e9c: 00000213 li tp,0
- 80002ea0: 00100093 li ra,1
- 80002ea4: 00000013 nop
- 80002ea8: 00000013 nop
- 80002eac: 01f00113 li sp,31
- 80002eb0: 00209f3b sllw t5,ra,sp
- 80002eb4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002eb8: 00200293 li t0,2
- 80002ebc: fe5212e3 bne tp,t0,80002ea0 <test_33+0x4>
- 80002ec0: 80000eb7 lui t4,0x80000
- 80002ec4: 02100193 li gp,33
- 80002ec8: 17df1663 bne t5,t4,80003034 <fail>
-
-0000000080002ecc <test_34>:
- 80002ecc: 00000213 li tp,0
- 80002ed0: 00700113 li sp,7
- 80002ed4: 00100093 li ra,1
- 80002ed8: 00209f3b sllw t5,ra,sp
- 80002edc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ee0: 00200293 li t0,2
- 80002ee4: fe5216e3 bne tp,t0,80002ed0 <test_34+0x4>
- 80002ee8: 08000e93 li t4,128
- 80002eec: 02200193 li gp,34
- 80002ef0: 15df1263 bne t5,t4,80003034 <fail>
-
-0000000080002ef4 <test_35>:
- 80002ef4: 00000213 li tp,0
- 80002ef8: 00e00113 li sp,14
- 80002efc: 00100093 li ra,1
- 80002f00: 00000013 nop
- 80002f04: 00209f3b sllw t5,ra,sp
- 80002f08: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f0c: 00200293 li t0,2
- 80002f10: fe5214e3 bne tp,t0,80002ef8 <test_35+0x4>
- 80002f14: 00004eb7 lui t4,0x4
- 80002f18: 02300193 li gp,35
- 80002f1c: 11df1c63 bne t5,t4,80003034 <fail>
-
-0000000080002f20 <test_36>:
- 80002f20: 00000213 li tp,0
- 80002f24: 01f00113 li sp,31
- 80002f28: 00100093 li ra,1
- 80002f2c: 00000013 nop
- 80002f30: 00000013 nop
- 80002f34: 00209f3b sllw t5,ra,sp
- 80002f38: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f3c: 00200293 li t0,2
- 80002f40: fe5212e3 bne tp,t0,80002f24 <test_36+0x4>
- 80002f44: 80000eb7 lui t4,0x80000
- 80002f48: 02400193 li gp,36
- 80002f4c: 0fdf1463 bne t5,t4,80003034 <fail>
-
-0000000080002f50 <test_37>:
- 80002f50: 00000213 li tp,0
- 80002f54: 00700113 li sp,7
- 80002f58: 00000013 nop
- 80002f5c: 00100093 li ra,1
- 80002f60: 00209f3b sllw t5,ra,sp
- 80002f64: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f68: 00200293 li t0,2
- 80002f6c: fe5214e3 bne tp,t0,80002f54 <test_37+0x4>
- 80002f70: 08000e93 li t4,128
- 80002f74: 02500193 li gp,37
- 80002f78: 0bdf1e63 bne t5,t4,80003034 <fail>
-
-0000000080002f7c <test_38>:
- 80002f7c: 00000213 li tp,0
- 80002f80: 00e00113 li sp,14
- 80002f84: 00000013 nop
- 80002f88: 00100093 li ra,1
- 80002f8c: 00000013 nop
- 80002f90: 00209f3b sllw t5,ra,sp
- 80002f94: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f98: 00200293 li t0,2
- 80002f9c: fe5212e3 bne tp,t0,80002f80 <test_38+0x4>
- 80002fa0: 00004eb7 lui t4,0x4
- 80002fa4: 02600193 li gp,38
- 80002fa8: 09df1663 bne t5,t4,80003034 <fail>
-
-0000000080002fac <test_39>:
- 80002fac: 00000213 li tp,0
- 80002fb0: 01f00113 li sp,31
- 80002fb4: 00000013 nop
- 80002fb8: 00000013 nop
- 80002fbc: 00100093 li ra,1
- 80002fc0: 00209f3b sllw t5,ra,sp
- 80002fc4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002fc8: 00200293 li t0,2
- 80002fcc: fe5212e3 bne tp,t0,80002fb0 <test_39+0x4>
- 80002fd0: 80000eb7 lui t4,0x80000
- 80002fd4: 02700193 li gp,39
- 80002fd8: 05df1e63 bne t5,t4,80003034 <fail>
-
-0000000080002fdc <test_40>:
- 80002fdc: 00f00093 li ra,15
- 80002fe0: 0010113b sllw sp,zero,ra
- 80002fe4: 00000e93 li t4,0
- 80002fe8: 02800193 li gp,40
- 80002fec: 05d11463 bne sp,t4,80003034 <fail>
-
-0000000080002ff0 <test_41>:
- 80002ff0: 02000093 li ra,32
- 80002ff4: 0000913b sllw sp,ra,zero
- 80002ff8: 02000e93 li t4,32
- 80002ffc: 02900193 li gp,41
- 80003000: 03d11a63 bne sp,t4,80003034 <fail>
-
-0000000080003004 <test_42>:
- 80003004: 000010bb sllw ra,zero,zero
- 80003008: 00000e93 li t4,0
- 8000300c: 02a00193 li gp,42
- 80003010: 03d09263 bne ra,t4,80003034 <fail>
-
-0000000080003014 <test_43>:
- 80003014: 40000093 li ra,1024
- 80003018: 00001137 lui sp,0x1
- 8000301c: 8001011b addiw sp,sp,-2048
- 80003020: 0020903b sllw zero,ra,sp
- 80003024: 00000e93 li t4,0
- 80003028: 02b00193 li gp,43
- 8000302c: 01d01463 bne zero,t4,80003034 <fail>
- 80003030: 00301a63 bne zero,gp,80003044 <pass>
-
-0000000080003034 <fail>:
- 80003034: 00119513 slli a0,gp,0x1
- 80003038: 00050063 beqz a0,80003038 <fail+0x4>
- 8000303c: 00156513 ori a0,a0,1
- 80003040: 00000073 ecall
-
-0000000080003044 <pass>:
- 80003044: 00100513 li a0,1
- 80003048: 00000073 ecall
- 8000304c: c0001073 unimp
+ 80002ce4: 3fdf1863 bne t5,t4,800030d4 <fail>
+
+0000000080002ce8 <test_44>:
+ 80002ce8: fff120b7 lui ra,0xfff12
+ 80002cec: 3450809b addiw ra,ra,837
+ 80002cf0: 00c09093 slli ra,ra,0xc
+ 80002cf4: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002cf8: 00000113 li sp,0
+ 80002cfc: 00209f3b sllw t5,ra,sp
+ 80002d00: 12345eb7 lui t4,0x12345
+ 80002d04: 678e8e9b addiw t4,t4,1656
+ 80002d08: 02c00193 li gp,44
+ 80002d0c: 3ddf1463 bne t5,t4,800030d4 <fail>
+
+0000000080002d10 <test_45>:
+ 80002d10: fff120b7 lui ra,0xfff12
+ 80002d14: 3450809b addiw ra,ra,837
+ 80002d18: 00c09093 slli ra,ra,0xc
+ 80002d1c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002d20: 00400113 li sp,4
+ 80002d24: 00209f3b sllw t5,ra,sp
+ 80002d28: 23456eb7 lui t4,0x23456
+ 80002d2c: 780e8e9b addiw t4,t4,1920
+ 80002d30: 02d00193 li gp,45
+ 80002d34: 3bdf1063 bne t5,t4,800030d4 <fail>
+
+0000000080002d38 <test_46>:
+ 80002d38: 000920b7 lui ra,0x92
+ 80002d3c: 3450809b addiw ra,ra,837
+ 80002d40: 00c09093 slli ra,ra,0xc
+ 80002d44: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002d48: 00000113 li sp,0
+ 80002d4c: 00209f3b sllw t5,ra,sp
+ 80002d50: 92345eb7 lui t4,0x92345
+ 80002d54: 678e8e9b addiw t4,t4,1656
+ 80002d58: 02e00193 li gp,46
+ 80002d5c: 37df1c63 bne t5,t4,800030d4 <fail>
+
+0000000080002d60 <test_47>:
+ 80002d60: 000990b7 lui ra,0x99
+ 80002d64: 3450809b addiw ra,ra,837
+ 80002d68: 00c09093 slli ra,ra,0xc
+ 80002d6c: 67808093 addi ra,ra,1656 # 99678 <_start-0x7ff66988>
+ 80002d70: 00400113 li sp,4
+ 80002d74: 00209f3b sllw t5,ra,sp
+ 80002d78: 93456eb7 lui t4,0x93456
+ 80002d7c: 780e8e9b addiw t4,t4,1920
+ 80002d80: 02f00193 li gp,47
+ 80002d84: 35df1863 bne t5,t4,800030d4 <fail>
+
+0000000080002d88 <test_22>:
+ 80002d88: 00100093 li ra,1
+ 80002d8c: 00700113 li sp,7
+ 80002d90: 002090bb sllw ra,ra,sp
+ 80002d94: 08000e93 li t4,128
+ 80002d98: 01600193 li gp,22
+ 80002d9c: 33d09c63 bne ra,t4,800030d4 <fail>
+
+0000000080002da0 <test_23>:
+ 80002da0: 00100093 li ra,1
+ 80002da4: 00e00113 li sp,14
+ 80002da8: 0020913b sllw sp,ra,sp
+ 80002dac: 00004eb7 lui t4,0x4
+ 80002db0: 01700193 li gp,23
+ 80002db4: 33d11063 bne sp,t4,800030d4 <fail>
+
+0000000080002db8 <test_24>:
+ 80002db8: 00300093 li ra,3
+ 80002dbc: 001090bb sllw ra,ra,ra
+ 80002dc0: 01800e93 li t4,24
+ 80002dc4: 01800193 li gp,24
+ 80002dc8: 31d09663 bne ra,t4,800030d4 <fail>
+
+0000000080002dcc <test_25>:
+ 80002dcc: 00000213 li tp,0
+ 80002dd0: 00100093 li ra,1
+ 80002dd4: 00700113 li sp,7
+ 80002dd8: 00209f3b sllw t5,ra,sp
+ 80002ddc: 000f0313 mv t1,t5
+ 80002de0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002de4: 00200293 li t0,2
+ 80002de8: fe5214e3 bne tp,t0,80002dd0 <test_25+0x4>
+ 80002dec: 08000e93 li t4,128
+ 80002df0: 01900193 li gp,25
+ 80002df4: 2fd31063 bne t1,t4,800030d4 <fail>
+
+0000000080002df8 <test_26>:
+ 80002df8: 00000213 li tp,0
+ 80002dfc: 00100093 li ra,1
+ 80002e00: 00e00113 li sp,14
+ 80002e04: 00209f3b sllw t5,ra,sp
+ 80002e08: 00000013 nop
+ 80002e0c: 000f0313 mv t1,t5
+ 80002e10: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e14: 00200293 li t0,2
+ 80002e18: fe5212e3 bne tp,t0,80002dfc <test_26+0x4>
+ 80002e1c: 00004eb7 lui t4,0x4
+ 80002e20: 01a00193 li gp,26
+ 80002e24: 2bd31863 bne t1,t4,800030d4 <fail>
+
+0000000080002e28 <test_27>:
+ 80002e28: 00000213 li tp,0
+ 80002e2c: 00100093 li ra,1
+ 80002e30: 01f00113 li sp,31
+ 80002e34: 00209f3b sllw t5,ra,sp
+ 80002e38: 00000013 nop
+ 80002e3c: 00000013 nop
+ 80002e40: 000f0313 mv t1,t5
+ 80002e44: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e48: 00200293 li t0,2
+ 80002e4c: fe5210e3 bne tp,t0,80002e2c <test_27+0x4>
+ 80002e50: 80000eb7 lui t4,0x80000
+ 80002e54: 01b00193 li gp,27
+ 80002e58: 27d31e63 bne t1,t4,800030d4 <fail>
+
+0000000080002e5c <test_28>:
+ 80002e5c: 00000213 li tp,0
+ 80002e60: 00100093 li ra,1
+ 80002e64: 00700113 li sp,7
+ 80002e68: 00209f3b sllw t5,ra,sp
+ 80002e6c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e70: 00200293 li t0,2
+ 80002e74: fe5216e3 bne tp,t0,80002e60 <test_28+0x4>
+ 80002e78: 08000e93 li t4,128
+ 80002e7c: 01c00193 li gp,28
+ 80002e80: 25df1a63 bne t5,t4,800030d4 <fail>
+
+0000000080002e84 <test_29>:
+ 80002e84: 00000213 li tp,0
+ 80002e88: 00100093 li ra,1
+ 80002e8c: 00e00113 li sp,14
+ 80002e90: 00000013 nop
+ 80002e94: 00209f3b sllw t5,ra,sp
+ 80002e98: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e9c: 00200293 li t0,2
+ 80002ea0: fe5214e3 bne tp,t0,80002e88 <test_29+0x4>
+ 80002ea4: 00004eb7 lui t4,0x4
+ 80002ea8: 01d00193 li gp,29
+ 80002eac: 23df1463 bne t5,t4,800030d4 <fail>
+
+0000000080002eb0 <test_30>:
+ 80002eb0: 00000213 li tp,0
+ 80002eb4: 00100093 li ra,1
+ 80002eb8: 01f00113 li sp,31
+ 80002ebc: 00000013 nop
+ 80002ec0: 00000013 nop
+ 80002ec4: 00209f3b sllw t5,ra,sp
+ 80002ec8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ecc: 00200293 li t0,2
+ 80002ed0: fe5212e3 bne tp,t0,80002eb4 <test_30+0x4>
+ 80002ed4: 80000eb7 lui t4,0x80000
+ 80002ed8: 01e00193 li gp,30
+ 80002edc: 1fdf1c63 bne t5,t4,800030d4 <fail>
+
+0000000080002ee0 <test_31>:
+ 80002ee0: 00000213 li tp,0
+ 80002ee4: 00100093 li ra,1
+ 80002ee8: 00000013 nop
+ 80002eec: 00700113 li sp,7
+ 80002ef0: 00209f3b sllw t5,ra,sp
+ 80002ef4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ef8: 00200293 li t0,2
+ 80002efc: fe5214e3 bne tp,t0,80002ee4 <test_31+0x4>
+ 80002f00: 08000e93 li t4,128
+ 80002f04: 01f00193 li gp,31
+ 80002f08: 1ddf1663 bne t5,t4,800030d4 <fail>
+
+0000000080002f0c <test_32>:
+ 80002f0c: 00000213 li tp,0
+ 80002f10: 00100093 li ra,1
+ 80002f14: 00000013 nop
+ 80002f18: 00e00113 li sp,14
+ 80002f1c: 00000013 nop
+ 80002f20: 00209f3b sllw t5,ra,sp
+ 80002f24: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f28: 00200293 li t0,2
+ 80002f2c: fe5212e3 bne tp,t0,80002f10 <test_32+0x4>
+ 80002f30: 00004eb7 lui t4,0x4
+ 80002f34: 02000193 li gp,32
+ 80002f38: 19df1e63 bne t5,t4,800030d4 <fail>
+
+0000000080002f3c <test_33>:
+ 80002f3c: 00000213 li tp,0
+ 80002f40: 00100093 li ra,1
+ 80002f44: 00000013 nop
+ 80002f48: 00000013 nop
+ 80002f4c: 01f00113 li sp,31
+ 80002f50: 00209f3b sllw t5,ra,sp
+ 80002f54: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f58: 00200293 li t0,2
+ 80002f5c: fe5212e3 bne tp,t0,80002f40 <test_33+0x4>
+ 80002f60: 80000eb7 lui t4,0x80000
+ 80002f64: 02100193 li gp,33
+ 80002f68: 17df1663 bne t5,t4,800030d4 <fail>
+
+0000000080002f6c <test_34>:
+ 80002f6c: 00000213 li tp,0
+ 80002f70: 00700113 li sp,7
+ 80002f74: 00100093 li ra,1
+ 80002f78: 00209f3b sllw t5,ra,sp
+ 80002f7c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f80: 00200293 li t0,2
+ 80002f84: fe5216e3 bne tp,t0,80002f70 <test_34+0x4>
+ 80002f88: 08000e93 li t4,128
+ 80002f8c: 02200193 li gp,34
+ 80002f90: 15df1263 bne t5,t4,800030d4 <fail>
+
+0000000080002f94 <test_35>:
+ 80002f94: 00000213 li tp,0
+ 80002f98: 00e00113 li sp,14
+ 80002f9c: 00100093 li ra,1
+ 80002fa0: 00000013 nop
+ 80002fa4: 00209f3b sllw t5,ra,sp
+ 80002fa8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002fac: 00200293 li t0,2
+ 80002fb0: fe5214e3 bne tp,t0,80002f98 <test_35+0x4>
+ 80002fb4: 00004eb7 lui t4,0x4
+ 80002fb8: 02300193 li gp,35
+ 80002fbc: 11df1c63 bne t5,t4,800030d4 <fail>
+
+0000000080002fc0 <test_36>:
+ 80002fc0: 00000213 li tp,0
+ 80002fc4: 01f00113 li sp,31
+ 80002fc8: 00100093 li ra,1
+ 80002fcc: 00000013 nop
+ 80002fd0: 00000013 nop
+ 80002fd4: 00209f3b sllw t5,ra,sp
+ 80002fd8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002fdc: 00200293 li t0,2
+ 80002fe0: fe5212e3 bne tp,t0,80002fc4 <test_36+0x4>
+ 80002fe4: 80000eb7 lui t4,0x80000
+ 80002fe8: 02400193 li gp,36
+ 80002fec: 0fdf1463 bne t5,t4,800030d4 <fail>
+
+0000000080002ff0 <test_37>:
+ 80002ff0: 00000213 li tp,0
+ 80002ff4: 00700113 li sp,7
+ 80002ff8: 00000013 nop
+ 80002ffc: 00100093 li ra,1
+ 80003000: 00209f3b sllw t5,ra,sp
+ 80003004: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003008: 00200293 li t0,2
+ 8000300c: fe5214e3 bne tp,t0,80002ff4 <test_37+0x4>
+ 80003010: 08000e93 li t4,128
+ 80003014: 02500193 li gp,37
+ 80003018: 0bdf1e63 bne t5,t4,800030d4 <fail>
+
+000000008000301c <test_38>:
+ 8000301c: 00000213 li tp,0
+ 80003020: 00e00113 li sp,14
+ 80003024: 00000013 nop
+ 80003028: 00100093 li ra,1
+ 8000302c: 00000013 nop
+ 80003030: 00209f3b sllw t5,ra,sp
+ 80003034: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003038: 00200293 li t0,2
+ 8000303c: fe5212e3 bne tp,t0,80003020 <test_38+0x4>
+ 80003040: 00004eb7 lui t4,0x4
+ 80003044: 02600193 li gp,38
+ 80003048: 09df1663 bne t5,t4,800030d4 <fail>
+
+000000008000304c <test_39>:
+ 8000304c: 00000213 li tp,0
+ 80003050: 01f00113 li sp,31
+ 80003054: 00000013 nop
+ 80003058: 00000013 nop
+ 8000305c: 00100093 li ra,1
+ 80003060: 00209f3b sllw t5,ra,sp
+ 80003064: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003068: 00200293 li t0,2
+ 8000306c: fe5212e3 bne tp,t0,80003050 <test_39+0x4>
+ 80003070: 80000eb7 lui t4,0x80000
+ 80003074: 02700193 li gp,39
+ 80003078: 05df1e63 bne t5,t4,800030d4 <fail>
+
+000000008000307c <test_40>:
+ 8000307c: 00f00093 li ra,15
+ 80003080: 0010113b sllw sp,zero,ra
+ 80003084: 00000e93 li t4,0
+ 80003088: 02800193 li gp,40
+ 8000308c: 05d11463 bne sp,t4,800030d4 <fail>
+
+0000000080003090 <test_41>:
+ 80003090: 02000093 li ra,32
+ 80003094: 0000913b sllw sp,ra,zero
+ 80003098: 02000e93 li t4,32
+ 8000309c: 02900193 li gp,41
+ 800030a0: 03d11a63 bne sp,t4,800030d4 <fail>
+
+00000000800030a4 <test_42>:
+ 800030a4: 000010bb sllw ra,zero,zero
+ 800030a8: 00000e93 li t4,0
+ 800030ac: 02a00193 li gp,42
+ 800030b0: 03d09263 bne ra,t4,800030d4 <fail>
+
+00000000800030b4 <test_43>:
+ 800030b4: 40000093 li ra,1024
+ 800030b8: 00001137 lui sp,0x1
+ 800030bc: 8001011b addiw sp,sp,-2048
+ 800030c0: 0020903b sllw zero,ra,sp
+ 800030c4: 00000e93 li t4,0
+ 800030c8: 02b00193 li gp,43
+ 800030cc: 01d01463 bne zero,t4,800030d4 <fail>
+ 800030d0: 00301a63 bne zero,gp,800030e4 <pass>
+
+00000000800030d4 <fail>:
+ 800030d4: 00119513 slli a0,gp,0x1
+ 800030d8: 00050063 beqz a0,800030d8 <fail+0x4>
+ 800030dc: 00156513 ori a0,a0,1
+ 800030e0: 00000073 ecall
+
+00000000800030e4 <pass>:
+ 800030e4: 00100513 li a0,1
+ 800030e8: 00000073 ecall
+ 800030ec: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-sllw.elf b/test/riscv-tests/rv64ui-v-sllw.elf
index 9ae693b..25afb67 100644
--- a/test/riscv-tests/rv64ui-v-sllw.elf
+++ b/test/riscv-tests/rv64ui-v-sllw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-slt.dump b/test/riscv-tests/rv64ui-v-slt.dump
index dd39ae7..ae97e26 100644
--- a/test/riscv-tests/rv64ui-v-slt.dump
+++ b/test/riscv-tests/rv64ui-v-slt.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-slt.elf b/test/riscv-tests/rv64ui-v-slt.elf
index 318b70d..864ab22 100644
--- a/test/riscv-tests/rv64ui-v-slt.elf
+++ b/test/riscv-tests/rv64ui-v-slt.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-slti.dump b/test/riscv-tests/rv64ui-v-slti.dump
index 56b3965..f56d2fb 100644
--- a/test/riscv-tests/rv64ui-v-slti.dump
+++ b/test/riscv-tests/rv64ui-v-slti.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-slti.elf b/test/riscv-tests/rv64ui-v-slti.elf
index 477b704..8168a21 100644
--- a/test/riscv-tests/rv64ui-v-slti.elf
+++ b/test/riscv-tests/rv64ui-v-slti.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sltiu.dump b/test/riscv-tests/rv64ui-v-sltiu.dump
index 98f8b1a..8702494 100644
--- a/test/riscv-tests/rv64ui-v-sltiu.dump
+++ b/test/riscv-tests/rv64ui-v-sltiu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sltiu.elf b/test/riscv-tests/rv64ui-v-sltiu.elf
index 197c500..2d8a3e8 100644
--- a/test/riscv-tests/rv64ui-v-sltiu.elf
+++ b/test/riscv-tests/rv64ui-v-sltiu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sltu.dump b/test/riscv-tests/rv64ui-v-sltu.dump
index 281d657..11b04bf 100644
--- a/test/riscv-tests/rv64ui-v-sltu.dump
+++ b/test/riscv-tests/rv64ui-v-sltu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sltu.elf b/test/riscv-tests/rv64ui-v-sltu.elf
index 0bd9f90..e624657 100644
--- a/test/riscv-tests/rv64ui-v-sltu.elf
+++ b/test/riscv-tests/rv64ui-v-sltu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sra.dump b/test/riscv-tests/rv64ui-v-sra.dump
index 37705cb..0d89a5c 100644
--- a/test/riscv-tests/rv64ui-v-sra.dump
+++ b/test/riscv-tests/rv64ui-v-sra.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sra.elf b/test/riscv-tests/rv64ui-v-sra.elf
index bfbb9dc..ff3e6a9 100644
--- a/test/riscv-tests/rv64ui-v-sra.elf
+++ b/test/riscv-tests/rv64ui-v-sra.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-srai.dump b/test/riscv-tests/rv64ui-v-srai.dump
index 8926e55..83b65cb 100644
--- a/test/riscv-tests/rv64ui-v-srai.dump
+++ b/test/riscv-tests/rv64ui-v-srai.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-srai.elf b/test/riscv-tests/rv64ui-v-srai.elf
index a84338f..615059c 100644
--- a/test/riscv-tests/rv64ui-v-srai.elf
+++ b/test/riscv-tests/rv64ui-v-srai.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sraiw.dump b/test/riscv-tests/rv64ui-v-sraiw.dump
index 276de6b..cd38521 100644
--- a/test/riscv-tests/rv64ui-v-sraiw.dump
+++ b/test/riscv-tests/rv64ui-v-sraiw.dump
@@ -17,8 +17,8 @@ Disassembly of section .text.init:
8000000c: 00000297 auipc t0,0x0
80000010: ffc28293 addi t0,t0,-4 # 80000008 <trap_vector>
80000014: 30529073 csrw mtvec,t0
- 80000018: 00008117 auipc sp,0x8
- 8000001c: 6b810113 addi sp,sp,1720 # 800086d0 <_end+0xee0>
+ 80000018: 00009117 auipc sp,0x9
+ 8000001c: 6b810113 addi sp,sp,1720 # 800096d0 <_end+0xee0>
80000020: f14022f3 csrr t0,mhartid
80000024: 00c29293 slli t0,t0,0xc
80000028: 00510133 add sp,sp,t0
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -328,23 +328,23 @@ Disassembly of section .text:
80002308: 14f77463 bleu a5,a4,80002450 <handle_fault+0x154>
8000230c: 00c55693 srli a3,a0,0xc
80002310: 60068813 addi a6,a3,1536
- 80002314: 00001617 auipc a2,0x1
- 80002318: cec60613 addi a2,a2,-788 # 80003000 <begin_signature>
+ 80002314: 00002617 auipc a2,0x2
+ 80002318: cec60613 addi a2,a2,-788 # 80004000 <begin_signature>
8000231c: 00381793 slli a5,a6,0x3
80002320: 00f607b3 add a5,a2,a5
80002324: 0007b703 ld a4,0(a5) # 3e000 <_start-0x7ffc2000>
80002328: 01157533 and a0,a0,a7
8000232c: 0e071063 bnez a4,8000240c <handle_fault+0x110>
- 80002330: 00005797 auipc a5,0x5
- 80002334: 4b878793 addi a5,a5,1208 # 800077e8 <freelist_head>
+ 80002330: 00006797 auipc a5,0x6
+ 80002334: 4b878793 addi a5,a5,1208 # 800087e8 <freelist_head>
80002338: 0007b583 ld a1,0(a5)
8000233c: 16058863 beqz a1,800024ac <handle_fault+0x1b0>
80002340: 0085b783 ld a5,8(a1)
- 80002344: 00005717 auipc a4,0x5
- 80002348: 49c70713 addi a4,a4,1180 # 800077e0 <freelist_tail>
+ 80002344: 00006717 auipc a4,0x6
+ 80002348: 49c70713 addi a4,a4,1180 # 800087e0 <freelist_tail>
8000234c: 00073703 ld a4,0(a4)
- 80002350: 00005897 auipc a7,0x5
- 80002354: 48f8bc23 sd a5,1176(a7) # 800077e8 <freelist_head>
+ 80002350: 00006897 auipc a7,0x6
+ 80002354: 48f8bc23 sd a5,1176(a7) # 800087e8 <freelist_head>
80002358: 0ee78663 beq a5,a4,80002444 <handle_fault+0x148>
8000235c: 0005b783 ld a5,0(a1)
80002360: 00381893 slli a7,a6,0x3
@@ -355,8 +355,8 @@ Disassembly of section .text:
80002374: 01f7e713 ori a4,a5,31
80002378: 0068b023 sd t1,0(a7)
8000237c: 12050073 sfence.vma a0
- 80002380: 00005797 auipc a5,0x5
- 80002384: 07078793 addi a5,a5,112 # 800073f0 <user_mapping>
+ 80002380: 00006797 auipc a5,0x6
+ 80002384: 07078793 addi a5,a5,112 # 800083f0 <user_mapping>
80002388: 00469693 slli a3,a3,0x4
8000238c: 00d786b3 add a3,a5,a3
80002390: 0006b783 ld a5,0(a3)
@@ -372,7 +372,7 @@ Disassembly of section .text:
800023b8: 000015b7 lui a1,0x1
800023bc: 00050693 mv a3,a0
800023c0: 00b785b3 add a1,a5,a1
- 800023c4: 0007bf03 ld t5,0(a5) # ffffffffffe00000 <_end+0xffffffff7fdf8810>
+ 800023c4: 0007bf03 ld t5,0(a5) # ffffffffffe00000 <_end+0xffffffff7fdf7810>
800023c8: 0087be83 ld t4,8(a5)
800023cc: 0107be03 ld t3,16(a5)
800023d0: 0187b303 ld t1,24(a5)
@@ -404,13 +404,13 @@ Disassembly of section .text:
80002438: 00e7b023 sd a4,0(a5)
8000243c: 12050073 sfence.vma a0
80002440: 00008067 ret
- 80002444: 00005797 auipc a5,0x5
- 80002448: 3807be23 sd zero,924(a5) # 800077e0 <freelist_tail>
+ 80002444: 00006797 auipc a5,0x6
+ 80002448: 3807be23 sd zero,924(a5) # 800087e0 <freelist_tail>
8000244c: f11ff06f j 8000235c <handle_fault+0x60>
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: 97868693 addi a3,a3,-1672 # 80002dd0 <pass+0x10>
+ 8000245c: a0868693 addi a3,a3,-1528 # 80002e60 <pass+0x10>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: 9ac60613 addi a2,a2,-1620 # 80002e60 <pass+0xa0>
+ 800024b8: a3c60613 addi a2,a2,-1476 # 80002ef0 <pass+0xa0>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: 97460613 addi a2,a2,-1676 # 80002e78 <pass+0xb8>
+ 80002508: a0460613 addi a2,a2,-1532 # 80002f08 <pass+0xb8>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: 8c468693 addi a3,a3,-1852 # 80002e18 <pass+0x58>
+ 80002558: 95468693 addi a3,a3,-1708 # 80002ea8 <pass+0x58>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: 8e068693 addi a3,a3,-1824 # 80002f50 <pass+0x190>
+ 80002674: 97068693 addi a3,a3,-1680 # 80002fe0 <pass+0x190>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00001617 auipc a2,0x1
- 800026cc: 86060613 addi a2,a2,-1952 # 80002f28 <pass+0x168>
+ 800026cc: 8f060613 addi a2,a2,-1808 # 80002fb8 <pass+0x168>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -588,19 +588,19 @@ Disassembly of section .text:
80002710: fa5ff06f j 800026b4 <handle_trap+0x118>
80002714: 05052983 lw s3,80(a0)
80002718: 00001437 lui s0,0x1
- 8000271c: 00005d17 auipc s10,0x5
- 80002720: cd4d0d13 addi s10,s10,-812 # 800073f0 <user_mapping>
- 80002724: 00001b97 auipc s7,0x1
- 80002728: 8dcb8b93 addi s7,s7,-1828 # 80003000 <begin_signature>
+ 8000271c: 00006d17 auipc s10,0x6
+ 80002720: cd4d0d13 addi s10,s10,-812 # 800083f0 <user_mapping>
+ 80002724: 00002b97 auipc s7,0x2
+ 80002728: 8dcb8b93 addi s7,s7,-1828 # 80004000 <begin_signature>
8000272c: 00040b37 lui s6,0x40
80002730: ffe00ab7 lui s5,0xffe00
- 80002734: 00005a17 auipc s4,0x5
- 80002738: 0aca0a13 addi s4,s4,172 # 800077e0 <freelist_tail>
+ 80002734: 00006a17 auipc s4,0x6
+ 80002738: 0aca0a13 addi s4,s4,172 # 800087e0 <freelist_tail>
8000273c: 0003f937 lui s2,0x3f
80002740: 01c0006f j 8000275c <handle_trap+0x1c0>
80002744: 00f73423 sd a5,8(a4)
- 80002748: 00005717 auipc a4,0x5
- 8000274c: 08f73c23 sd a5,152(a4) # 800077e0 <freelist_tail>
+ 80002748: 00006717 auipc a4,0x6
+ 8000274c: 08f73c23 sd a5,152(a4) # 800087e0 <freelist_tail>
80002750: 000017b7 lui a5,0x1
80002754: 00f40433 add s0,s0,a5
80002758: 15240663 beq s0,s2,800028a4 <handle_trap+0x308>
@@ -633,15 +633,15 @@ Disassembly of section .text:
800027c4: 000a3703 ld a4,0(s4)
800027c8: 0007b023 sd zero,0(a5)
800027cc: f6071ce3 bnez a4,80002744 <handle_trap+0x1a8>
- 800027d0: 00005717 auipc a4,0x5
- 800027d4: 00f73823 sd a5,16(a4) # 800077e0 <freelist_tail>
- 800027d8: 00005717 auipc a4,0x5
- 800027dc: 00f73823 sd a5,16(a4) # 800077e8 <freelist_head>
+ 800027d0: 00006717 auipc a4,0x6
+ 800027d4: 00f73823 sd a5,16(a4) # 800087e0 <freelist_tail>
+ 800027d8: 00006717 auipc a4,0x6
+ 800027dc: 00f73823 sd a5,16(a4) # 800087e8 <freelist_head>
800027e0: f71ff06f j 80002750 <handle_trap+0x1b4>
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
- 800027ec: 00000697 auipc a3,0x0
- 800027f0: 79468693 addi a3,a3,1940 # 80002f80 <pass+0x1c0>
+ 800027ec: 00001697 auipc a3,0x1
+ 800027f0: 82468693 addi a3,a3,-2012 # 80003010 <pass+0x1c0>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00000697 auipc a3,0x0
- 80002840: 6b468693 addi a3,a3,1716 # 80002ef0 <pass+0x130>
+ 80002840: 74468693 addi a3,a3,1860 # 80002f80 <pass+0x130>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00000697 auipc a3,0x0
- 80002878: 64468693 addi a3,a3,1604 # 80002eb8 <pass+0xf8>
+ 80002878: 6d468693 addi a3,a3,1748 # 80002f48 <pass+0xf8>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -702,36 +702,36 @@ Disassembly of section .text:
00000000800028d4 <vm_boot>:
800028d4: f14027f3 csrr a5,mhartid
800028d8: 18079a63 bnez a5,80002a6c <vm_boot+0x198>
- 800028dc: 00001697 auipc a3,0x1
- 800028e0: 72468693 addi a3,a3,1828 # 80004000 <begin_signature+0x1000>
- 800028e4: 00002717 auipc a4,0x2
- 800028e8: 71c70713 addi a4,a4,1820 # 80005000 <begin_signature+0x2000>
+ 800028dc: 00002697 auipc a3,0x2
+ 800028e0: 72468693 addi a3,a3,1828 # 80005000 <begin_signature+0x1000>
+ 800028e4: 00003717 auipc a4,0x3
+ 800028e8: 71c70713 addi a4,a4,1820 # 80006000 <begin_signature+0x2000>
800028ec: 00c6d693 srli a3,a3,0xc
800028f0: 00c75713 srli a4,a4,0xc
- 800028f4: 00003797 auipc a5,0x3
- 800028f8: 70c78793 addi a5,a5,1804 # 80006000 <begin_signature+0x3000>
+ 800028f4: 00004797 auipc a5,0x4
+ 800028f8: 70c78793 addi a5,a5,1804 # 80007000 <begin_signature+0x3000>
800028fc: 00a69693 slli a3,a3,0xa
80002900: 00a71713 slli a4,a4,0xa
80002904: 0016e693 ori a3,a3,1
80002908: 00176713 ori a4,a4,1
8000290c: 00c7d793 srli a5,a5,0xc
- 80002910: 00000897 auipc a7,0x0
- 80002914: 6ed8b823 sd a3,1776(a7) # 80003000 <begin_signature>
+ 80002910: 00001897 auipc a7,0x1
+ 80002914: 6ed8b823 sd a3,1776(a7) # 80004000 <begin_signature>
80002918: 00a79793 slli a5,a5,0xa
- 8000291c: 00001697 auipc a3,0x1
- 80002920: 6ce6be23 sd a4,1756(a3) # 80003ff8 <begin_signature+0xff8>
+ 8000291c: 00002697 auipc a3,0x2
+ 80002920: 6ce6be23 sd a4,1756(a3) # 80004ff8 <begin_signature+0xff8>
80002924: 20000737 lui a4,0x20000
80002928: 0cf70713 addi a4,a4,207 # 200000cf <_start-0x5fffff31>
8000292c: fff00593 li a1,-1
80002930: 0017e793 ori a5,a5,1
- 80002934: 00000617 auipc a2,0x0
- 80002938: 6cc60613 addi a2,a2,1740 # 80003000 <begin_signature>
+ 80002934: 00001617 auipc a2,0x1
+ 80002938: 6cc60613 addi a2,a2,1740 # 80004000 <begin_signature>
8000293c: ed010113 addi sp,sp,-304
80002940: 03f59813 slli a6,a1,0x3f
- 80002944: 00003697 auipc a3,0x3
- 80002948: 6ae6ba23 sd a4,1716(a3) # 80005ff8 <begin_signature+0x2ff8>
- 8000294c: 00001717 auipc a4,0x1
- 80002950: 6af73a23 sd a5,1716(a4) # 80004000 <begin_signature+0x1000>
+ 80002944: 00004697 auipc a3,0x4
+ 80002948: 6ae6ba23 sd a4,1716(a3) # 80006ff8 <begin_signature+0x2ff8>
+ 8000294c: 00002717 auipc a4,0x2
+ 80002950: 6af73a23 sd a5,1716(a4) # 80005000 <begin_signature+0x1000>
80002954: 00c65793 srli a5,a2,0xc
80002958: 12113423 sd ra,296(sp)
8000295c: 12813023 sd s0,288(sp)
@@ -758,16 +758,16 @@ Disassembly of section .text:
800029b0: 0001e7b7 lui a5,0x1e
800029b4: 30079073 csrw mstatus,a5
800029b8: 30405073 csrwi mie,0
- 800029bc: 00004697 auipc a3,0x4
- 800029c0: 64468693 addi a3,a3,1604 # 80007000 <freelist_nodes>
+ 800029bc: 00005697 auipc a3,0x5
+ 800029c0: 64468693 addi a3,a3,1604 # 80008000 <freelist_nodes>
800029c4: 010687b3 add a5,a3,a6
800029c8: 3e078713 addi a4,a5,992 # 1e3e0 <_start-0x7ffe1c20>
- 800029cc: 00005617 auipc a2,0x5
- 800029d0: e0f63e23 sd a5,-484(a2) # 800077e8 <freelist_head>
- 800029d4: 00005797 auipc a5,0x5
- 800029d8: e0e7b623 sd a4,-500(a5) # 800077e0 <freelist_tail>
- 800029dc: 00005317 auipc t1,0x5
- 800029e0: a1430313 addi t1,t1,-1516 # 800073f0 <user_mapping>
+ 800029cc: 00006617 auipc a2,0x6
+ 800029d0: e0f63e23 sd a5,-484(a2) # 800087e8 <freelist_head>
+ 800029d4: 00006797 auipc a5,0x6
+ 800029d8: e0e7b623 sd a4,-500(a5) # 800087e0 <freelist_tail>
+ 800029dc: 00006317 auipc t1,0x6
+ 800029e0: a1430313 addi t1,t1,-1516 # 800083f0 <user_mapping>
800029e4: 00800793 li a5,8
800029e8: 000808b7 lui a7,0x80
800029ec: 01080813 addi a6,a6,16
@@ -790,8 +790,8 @@ Disassembly of section .text:
80002a30: 12000613 li a2,288
80002a34: 00000593 li a1,0
80002a38: 00010513 mv a0,sp
- 80002a3c: 00005797 auipc a5,0x5
- 80002a40: 9a07b623 sd zero,-1620(a5) # 800073e8 <freelist_nodes+0x3e8>
+ 80002a3c: 00006797 auipc a5,0x6
+ 80002a40: 9a07b623 sd zero,-1620(a5) # 800083e8 <freelist_nodes+0x3e8>
80002a44: e18ff0ef jal ra,8000205c <memset>
80002a48: 800007b7 lui a5,0x80000
80002a4c: 00f40433 add s0,s0,a5
@@ -831,28 +831,28 @@ Disassembly of section .text:
80002acc: 4000df1b sraiw t5,ra,0x0
80002ad0: 80000eb7 lui t4,0x80000
80002ad4: 00200193 li gp,2
- 80002ad8: 2ddf1c63 bne t5,t4,80002db0 <fail>
+ 80002ad8: 37df1463 bne t5,t4,80002e40 <fail>
0000000080002adc <test_3>:
80002adc: 800000b7 lui ra,0x80000
80002ae0: 4010df1b sraiw t5,ra,0x1
80002ae4: c0000eb7 lui t4,0xc0000
80002ae8: 00300193 li gp,3
- 80002aec: 2ddf1263 bne t5,t4,80002db0 <fail>
+ 80002aec: 35df1a63 bne t5,t4,80002e40 <fail>
0000000080002af0 <test_4>:
80002af0: 800000b7 lui ra,0x80000
80002af4: 4070df1b sraiw t5,ra,0x7
80002af8: ff000eb7 lui t4,0xff000
80002afc: 00400193 li gp,4
- 80002b00: 2bdf1863 bne t5,t4,80002db0 <fail>
+ 80002b00: 35df1063 bne t5,t4,80002e40 <fail>
0000000080002b04 <test_5>:
80002b04: 800000b7 lui ra,0x80000
80002b08: 40e0df1b sraiw t5,ra,0xe
80002b0c: fffe0eb7 lui t4,0xfffe0
80002b10: 00500193 li gp,5
- 80002b14: 29df1e63 bne t5,t4,80002db0 <fail>
+ 80002b14: 33df1663 bne t5,t4,80002e40 <fail>
0000000080002b18 <test_6>:
80002b18: 800000b7 lui ra,0x80000
@@ -860,7 +860,7 @@ Disassembly of section .text:
80002b20: 41f0df1b sraiw t5,ra,0x1f
80002b24: fff00e93 li t4,-1
80002b28: 00600193 li gp,6
- 80002b2c: 29df1263 bne t5,t4,80002db0 <fail>
+ 80002b2c: 31df1a63 bne t5,t4,80002e40 <fail>
0000000080002b30 <test_7>:
80002b30: 800000b7 lui ra,0x80000
@@ -869,7 +869,7 @@ Disassembly of section .text:
80002b3c: 80000eb7 lui t4,0x80000
80002b40: fffe8e9b addiw t4,t4,-1
80002b44: 00700193 li gp,7
- 80002b48: 27df1463 bne t5,t4,80002db0 <fail>
+ 80002b48: 2fdf1c63 bne t5,t4,80002e40 <fail>
0000000080002b4c <test_8>:
80002b4c: 800000b7 lui ra,0x80000
@@ -878,7 +878,7 @@ Disassembly of section .text:
80002b58: 40000eb7 lui t4,0x40000
80002b5c: fffe8e9b addiw t4,t4,-1
80002b60: 00800193 li gp,8
- 80002b64: 25df1663 bne t5,t4,80002db0 <fail>
+ 80002b64: 2ddf1e63 bne t5,t4,80002e40 <fail>
0000000080002b68 <test_9>:
80002b68: 800000b7 lui ra,0x80000
@@ -887,7 +887,7 @@ Disassembly of section .text:
80002b74: 01000eb7 lui t4,0x1000
80002b78: fffe8e9b addiw t4,t4,-1
80002b7c: 00900193 li gp,9
- 80002b80: 23df1863 bne t5,t4,80002db0 <fail>
+ 80002b80: 2ddf1063 bne t5,t4,80002e40 <fail>
0000000080002b84 <test_10>:
80002b84: 800000b7 lui ra,0x80000
@@ -896,7 +896,7 @@ Disassembly of section .text:
80002b90: 00020eb7 lui t4,0x20
80002b94: fffe8e9b addiw t4,t4,-1
80002b98: 00a00193 li gp,10
- 80002b9c: 21df1a63 bne t5,t4,80002db0 <fail>
+ 80002b9c: 2bdf1263 bne t5,t4,80002e40 <fail>
0000000080002ba0 <test_11>:
80002ba0: 800000b7 lui ra,0x80000
@@ -904,7 +904,7 @@ Disassembly of section .text:
80002ba8: 41f0df1b sraiw t5,ra,0x1f
80002bac: 00000e93 li t4,0
80002bb0: 00b00193 li gp,11
- 80002bb4: 1fdf1e63 bne t5,t4,80002db0 <fail>
+ 80002bb4: 29df1663 bne t5,t4,80002e40 <fail>
0000000080002bb8 <test_12>:
80002bb8: 818180b7 lui ra,0x81818
@@ -913,7 +913,7 @@ Disassembly of section .text:
80002bc4: 81818eb7 lui t4,0x81818
80002bc8: 181e8e9b addiw t4,t4,385
80002bcc: 00c00193 li gp,12
- 80002bd0: 1fdf1063 bne t5,t4,80002db0 <fail>
+ 80002bd0: 27df1863 bne t5,t4,80002e40 <fail>
0000000080002bd4 <test_13>:
80002bd4: 818180b7 lui ra,0x81818
@@ -922,7 +922,7 @@ Disassembly of section .text:
80002be0: c0c0ceb7 lui t4,0xc0c0c
80002be4: 0c0e8e9b addiw t4,t4,192
80002be8: 00d00193 li gp,13
- 80002bec: 1ddf1263 bne t5,t4,80002db0 <fail>
+ 80002bec: 25df1a63 bne t5,t4,80002e40 <fail>
0000000080002bf0 <test_14>:
80002bf0: 818180b7 lui ra,0x81818
@@ -931,7 +931,7 @@ Disassembly of section .text:
80002bfc: ff030eb7 lui t4,0xff030
80002c00: 303e8e9b addiw t4,t4,771
80002c04: 00e00193 li gp,14
- 80002c08: 1bdf1463 bne t5,t4,80002db0 <fail>
+ 80002c08: 23df1c63 bne t5,t4,80002e40 <fail>
0000000080002c0c <test_15>:
80002c0c: 818180b7 lui ra,0x81818
@@ -940,7 +940,7 @@ Disassembly of section .text:
80002c18: fffe0eb7 lui t4,0xfffe0
80002c1c: 606e8e9b addiw t4,t4,1542
80002c20: 00f00193 li gp,15
- 80002c24: 19df1663 bne t5,t4,80002db0 <fail>
+ 80002c24: 21df1e63 bne t5,t4,80002e40 <fail>
0000000080002c28 <test_16>:
80002c28: 818180b7 lui ra,0x81818
@@ -948,129 +948,173 @@ Disassembly of section .text:
80002c30: 41f0df1b sraiw t5,ra,0x1f
80002c34: fff00e93 li t4,-1
80002c38: 01000193 li gp,16
- 80002c3c: 17df1a63 bne t5,t4,80002db0 <fail>
+ 80002c3c: 21df1263 bne t5,t4,80002e40 <fail>
-0000000080002c40 <test_17>:
- 80002c40: 800000b7 lui ra,0x80000
- 80002c44: 4070d09b sraiw ra,ra,0x7
- 80002c48: ff000eb7 lui t4,0xff000
- 80002c4c: 01100193 li gp,17
- 80002c50: 17d09063 bne ra,t4,80002db0 <fail>
+0000000080002c40 <test_44>:
+ 80002c40: fff120b7 lui ra,0xfff12
+ 80002c44: 3450809b addiw ra,ra,837
+ 80002c48: 00c09093 slli ra,ra,0xc
+ 80002c4c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002c50: 4000df1b sraiw t5,ra,0x0
+ 80002c54: 12345eb7 lui t4,0x12345
+ 80002c58: 678e8e9b addiw t4,t4,1656
+ 80002c5c: 02c00193 li gp,44
+ 80002c60: 1fdf1063 bne t5,t4,80002e40 <fail>
-0000000080002c54 <test_18>:
- 80002c54: 00000213 li tp,0
- 80002c58: 800000b7 lui ra,0x80000
- 80002c5c: 4070df1b sraiw t5,ra,0x7
- 80002c60: 000f0313 mv t1,t5
- 80002c64: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c68: 00200293 li t0,2
- 80002c6c: fe5216e3 bne tp,t0,80002c58 <test_18+0x4>
- 80002c70: ff000eb7 lui t4,0xff000
- 80002c74: 01200193 li gp,18
- 80002c78: 13d31c63 bne t1,t4,80002db0 <fail>
+0000000080002c64 <test_45>:
+ 80002c64: fff120b7 lui ra,0xfff12
+ 80002c68: 3450809b addiw ra,ra,837
+ 80002c6c: 00c09093 slli ra,ra,0xc
+ 80002c70: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002c74: 4040df1b sraiw t5,ra,0x4
+ 80002c78: 01234eb7 lui t4,0x1234
+ 80002c7c: 567e8e9b addiw t4,t4,1383
+ 80002c80: 02d00193 li gp,45
+ 80002c84: 1bdf1e63 bne t5,t4,80002e40 <fail>
-0000000080002c7c <test_19>:
- 80002c7c: 00000213 li tp,0
- 80002c80: 800000b7 lui ra,0x80000
- 80002c84: 40e0df1b sraiw t5,ra,0xe
- 80002c88: 00000013 nop
- 80002c8c: 000f0313 mv t1,t5
- 80002c90: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c94: 00200293 li t0,2
- 80002c98: fe5214e3 bne tp,t0,80002c80 <test_19+0x4>
- 80002c9c: fffe0eb7 lui t4,0xfffe0
- 80002ca0: 01300193 li gp,19
- 80002ca4: 11d31663 bne t1,t4,80002db0 <fail>
+0000000080002c88 <test_46>:
+ 80002c88: 000920b7 lui ra,0x92
+ 80002c8c: 3450809b addiw ra,ra,837
+ 80002c90: 00c09093 slli ra,ra,0xc
+ 80002c94: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002c98: 4000df1b sraiw t5,ra,0x0
+ 80002c9c: 92345eb7 lui t4,0x92345
+ 80002ca0: 678e8e9b addiw t4,t4,1656
+ 80002ca4: 02e00193 li gp,46
+ 80002ca8: 19df1c63 bne t5,t4,80002e40 <fail>
-0000000080002ca8 <test_20>:
- 80002ca8: 00000213 li tp,0
- 80002cac: 800000b7 lui ra,0x80000
- 80002cb0: 0010809b addiw ra,ra,1
- 80002cb4: 41f0df1b sraiw t5,ra,0x1f
- 80002cb8: 00000013 nop
- 80002cbc: 00000013 nop
- 80002cc0: 000f0313 mv t1,t5
- 80002cc4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cc8: 00200293 li t0,2
- 80002ccc: fe5210e3 bne tp,t0,80002cac <test_20+0x4>
- 80002cd0: fff00e93 li t4,-1
- 80002cd4: 01400193 li gp,20
- 80002cd8: 0dd31c63 bne t1,t4,80002db0 <fail>
+0000000080002cac <test_47>:
+ 80002cac: 000920b7 lui ra,0x92
+ 80002cb0: 3450809b addiw ra,ra,837
+ 80002cb4: 00c09093 slli ra,ra,0xc
+ 80002cb8: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002cbc: 4040df1b sraiw t5,ra,0x4
+ 80002cc0: f9234eb7 lui t4,0xf9234
+ 80002cc4: 567e8e9b addiw t4,t4,1383
+ 80002cc8: 02f00193 li gp,47
+ 80002ccc: 17df1a63 bne t5,t4,80002e40 <fail>
-0000000080002cdc <test_21>:
- 80002cdc: 00000213 li tp,0
- 80002ce0: 800000b7 lui ra,0x80000
- 80002ce4: 4070df1b sraiw t5,ra,0x7
- 80002ce8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cec: 00200293 li t0,2
- 80002cf0: fe5218e3 bne tp,t0,80002ce0 <test_21+0x4>
- 80002cf4: ff000eb7 lui t4,0xff000
- 80002cf8: 01500193 li gp,21
- 80002cfc: 0bdf1a63 bne t5,t4,80002db0 <fail>
+0000000080002cd0 <test_17>:
+ 80002cd0: 800000b7 lui ra,0x80000
+ 80002cd4: 4070d09b sraiw ra,ra,0x7
+ 80002cd8: ff000eb7 lui t4,0xff000
+ 80002cdc: 01100193 li gp,17
+ 80002ce0: 17d09063 bne ra,t4,80002e40 <fail>
-0000000080002d00 <test_22>:
- 80002d00: 00000213 li tp,0
- 80002d04: 800000b7 lui ra,0x80000
- 80002d08: 00000013 nop
- 80002d0c: 40e0df1b sraiw t5,ra,0xe
- 80002d10: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d14: 00200293 li t0,2
- 80002d18: fe5216e3 bne tp,t0,80002d04 <test_22+0x4>
- 80002d1c: fffe0eb7 lui t4,0xfffe0
- 80002d20: 01600193 li gp,22
- 80002d24: 09df1663 bne t5,t4,80002db0 <fail>
+0000000080002ce4 <test_18>:
+ 80002ce4: 00000213 li tp,0
+ 80002ce8: 800000b7 lui ra,0x80000
+ 80002cec: 4070df1b sraiw t5,ra,0x7
+ 80002cf0: 000f0313 mv t1,t5
+ 80002cf4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002cf8: 00200293 li t0,2
+ 80002cfc: fe5216e3 bne tp,t0,80002ce8 <test_18+0x4>
+ 80002d00: ff000eb7 lui t4,0xff000
+ 80002d04: 01200193 li gp,18
+ 80002d08: 13d31c63 bne t1,t4,80002e40 <fail>
-0000000080002d28 <test_23>:
- 80002d28: 00000213 li tp,0
- 80002d2c: 800000b7 lui ra,0x80000
- 80002d30: 0010809b addiw ra,ra,1
- 80002d34: 00000013 nop
- 80002d38: 00000013 nop
- 80002d3c: 41f0df1b sraiw t5,ra,0x1f
- 80002d40: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d44: 00200293 li t0,2
- 80002d48: fe5212e3 bne tp,t0,80002d2c <test_23+0x4>
- 80002d4c: fff00e93 li t4,-1
- 80002d50: 01700193 li gp,23
- 80002d54: 05df1e63 bne t5,t4,80002db0 <fail>
+0000000080002d0c <test_19>:
+ 80002d0c: 00000213 li tp,0
+ 80002d10: 800000b7 lui ra,0x80000
+ 80002d14: 40e0df1b sraiw t5,ra,0xe
+ 80002d18: 00000013 nop
+ 80002d1c: 000f0313 mv t1,t5
+ 80002d20: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d24: 00200293 li t0,2
+ 80002d28: fe5214e3 bne tp,t0,80002d10 <test_19+0x4>
+ 80002d2c: fffe0eb7 lui t4,0xfffe0
+ 80002d30: 01300193 li gp,19
+ 80002d34: 11d31663 bne t1,t4,80002e40 <fail>
-0000000080002d58 <test_24>:
- 80002d58: 41f0509b sraiw ra,zero,0x1f
- 80002d5c: 00000e93 li t4,0
- 80002d60: 01800193 li gp,24
- 80002d64: 05d09663 bne ra,t4,80002db0 <fail>
+0000000080002d38 <test_20>:
+ 80002d38: 00000213 li tp,0
+ 80002d3c: 800000b7 lui ra,0x80000
+ 80002d40: 0010809b addiw ra,ra,1
+ 80002d44: 41f0df1b sraiw t5,ra,0x1f
+ 80002d48: 00000013 nop
+ 80002d4c: 00000013 nop
+ 80002d50: 000f0313 mv t1,t5
+ 80002d54: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d58: 00200293 li t0,2
+ 80002d5c: fe5210e3 bne tp,t0,80002d3c <test_20+0x4>
+ 80002d60: fff00e93 li t4,-1
+ 80002d64: 01400193 li gp,20
+ 80002d68: 0dd31c63 bne t1,t4,80002e40 <fail>
-0000000080002d68 <test_25>:
- 80002d68: 01f00093 li ra,31
- 80002d6c: 41c0d01b sraiw zero,ra,0x1c
- 80002d70: 00000e93 li t4,0
- 80002d74: 01900193 li gp,25
- 80002d78: 03d01c63 bne zero,t4,80002db0 <fail>
+0000000080002d6c <test_21>:
+ 80002d6c: 00000213 li tp,0
+ 80002d70: 800000b7 lui ra,0x80000
+ 80002d74: 4070df1b sraiw t5,ra,0x7
+ 80002d78: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d7c: 00200293 li t0,2
+ 80002d80: fe5218e3 bne tp,t0,80002d70 <test_21+0x4>
+ 80002d84: ff000eb7 lui t4,0xff000
+ 80002d88: 01500193 li gp,21
+ 80002d8c: 0bdf1a63 bne t5,t4,80002e40 <fail>
-0000000080002d7c <test_26>:
- 80002d7c: 0070009b addiw ra,zero,7
- 80002d80: 03509093 slli ra,ra,0x35
- 80002d84: 41c0df1b sraiw t5,ra,0x1c
- 80002d88: 00000e93 li t4,0
- 80002d8c: 01a00193 li gp,26
- 80002d90: 03df1063 bne t5,t4,80002db0 <fail>
+0000000080002d90 <test_22>:
+ 80002d90: 00000213 li tp,0
+ 80002d94: 800000b7 lui ra,0x80000
+ 80002d98: 00000013 nop
+ 80002d9c: 40e0df1b sraiw t5,ra,0xe
+ 80002da0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002da4: 00200293 li t0,2
+ 80002da8: fe5216e3 bne tp,t0,80002d94 <test_22+0x4>
+ 80002dac: fffe0eb7 lui t4,0xfffe0
+ 80002db0: 01600193 li gp,22
+ 80002db4: 09df1663 bne t5,t4,80002e40 <fail>
-0000000080002d94 <test_27>:
- 80002d94: 00f0009b addiw ra,zero,15
- 80002d98: 01c09093 slli ra,ra,0x1c
- 80002d9c: 4040df1b sraiw t5,ra,0x4
- 80002da0: ff000eb7 lui t4,0xff000
- 80002da4: 01b00193 li gp,27
- 80002da8: 01df1463 bne t5,t4,80002db0 <fail>
- 80002dac: 00301a63 bne zero,gp,80002dc0 <pass>
+0000000080002db8 <test_23>:
+ 80002db8: 00000213 li tp,0
+ 80002dbc: 800000b7 lui ra,0x80000
+ 80002dc0: 0010809b addiw ra,ra,1
+ 80002dc4: 00000013 nop
+ 80002dc8: 00000013 nop
+ 80002dcc: 41f0df1b sraiw t5,ra,0x1f
+ 80002dd0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002dd4: 00200293 li t0,2
+ 80002dd8: fe5212e3 bne tp,t0,80002dbc <test_23+0x4>
+ 80002ddc: fff00e93 li t4,-1
+ 80002de0: 01700193 li gp,23
+ 80002de4: 05df1e63 bne t5,t4,80002e40 <fail>
-0000000080002db0 <fail>:
- 80002db0: 00119513 slli a0,gp,0x1
- 80002db4: 00050063 beqz a0,80002db4 <fail+0x4>
- 80002db8: 00156513 ori a0,a0,1
- 80002dbc: 00000073 ecall
+0000000080002de8 <test_24>:
+ 80002de8: 41f0509b sraiw ra,zero,0x1f
+ 80002dec: 00000e93 li t4,0
+ 80002df0: 01800193 li gp,24
+ 80002df4: 05d09663 bne ra,t4,80002e40 <fail>
-0000000080002dc0 <pass>:
- 80002dc0: 00100513 li a0,1
- 80002dc4: 00000073 ecall
- 80002dc8: c0001073 unimp
+0000000080002df8 <test_25>:
+ 80002df8: 01f00093 li ra,31
+ 80002dfc: 41c0d01b sraiw zero,ra,0x1c
+ 80002e00: 00000e93 li t4,0
+ 80002e04: 01900193 li gp,25
+ 80002e08: 03d01c63 bne zero,t4,80002e40 <fail>
+
+0000000080002e0c <test_26>:
+ 80002e0c: 0070009b addiw ra,zero,7
+ 80002e10: 03509093 slli ra,ra,0x35
+ 80002e14: 41c0df1b sraiw t5,ra,0x1c
+ 80002e18: 00000e93 li t4,0
+ 80002e1c: 01a00193 li gp,26
+ 80002e20: 03df1063 bne t5,t4,80002e40 <fail>
+
+0000000080002e24 <test_27>:
+ 80002e24: 00f0009b addiw ra,zero,15
+ 80002e28: 01c09093 slli ra,ra,0x1c
+ 80002e2c: 4040df1b sraiw t5,ra,0x4
+ 80002e30: ff000eb7 lui t4,0xff000
+ 80002e34: 01b00193 li gp,27
+ 80002e38: 01df1463 bne t5,t4,80002e40 <fail>
+ 80002e3c: 00301a63 bne zero,gp,80002e50 <pass>
+
+0000000080002e40 <fail>:
+ 80002e40: 00119513 slli a0,gp,0x1
+ 80002e44: 00050063 beqz a0,80002e44 <fail+0x4>
+ 80002e48: 00156513 ori a0,a0,1
+ 80002e4c: 00000073 ecall
+
+0000000080002e50 <pass>:
+ 80002e50: 00100513 li a0,1
+ 80002e54: 00000073 ecall
+ 80002e58: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-sraiw.elf b/test/riscv-tests/rv64ui-v-sraiw.elf
index 9a16cd8..8dca102 100644
--- a/test/riscv-tests/rv64ui-v-sraiw.elf
+++ b/test/riscv-tests/rv64ui-v-sraiw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sraw.dump b/test/riscv-tests/rv64ui-v-sraw.dump
index a2eaf36..69dfdd6 100644
--- a/test/riscv-tests/rv64ui-v-sraw.dump
+++ b/test/riscv-tests/rv64ui-v-sraw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: c2868693 addi a3,a3,-984 # 80003080 <pass+0xc>
+ 8000245c: cc868693 addi a3,a3,-824 # 80003120 <pass+0xc>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: c5c60613 addi a2,a2,-932 # 80003110 <pass+0x9c>
+ 800024b8: cfc60613 addi a2,a2,-772 # 800031b0 <pass+0x9c>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: c2460613 addi a2,a2,-988 # 80003128 <pass+0xb4>
+ 80002508: cc460613 addi a2,a2,-828 # 800031c8 <pass+0xb4>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: b7468693 addi a3,a3,-1164 # 800030c8 <pass+0x54>
+ 80002558: c1468693 addi a3,a3,-1004 # 80003168 <pass+0x54>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: b9068693 addi a3,a3,-1136 # 80003200 <pass+0x18c>
+ 80002674: c3068693 addi a3,a3,-976 # 800032a0 <pass+0x18c>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00001617 auipc a2,0x1
- 800026cc: b1060613 addi a2,a2,-1264 # 800031d8 <pass+0x164>
+ 800026cc: bb060613 addi a2,a2,-1104 # 80003278 <pass+0x164>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00001697 auipc a3,0x1
- 800027f0: a4468693 addi a3,a3,-1468 # 80003230 <pass+0x1bc>
+ 800027f0: ae468693 addi a3,a3,-1308 # 800032d0 <pass+0x1bc>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00001697 auipc a3,0x1
- 80002840: 96468693 addi a3,a3,-1692 # 800031a0 <pass+0x12c>
+ 80002840: a0468693 addi a3,a3,-1532 # 80003240 <pass+0x12c>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00001697 auipc a3,0x1
- 80002878: 8f468693 addi a3,a3,-1804 # 80003168 <pass+0xf4>
+ 80002878: 99468693 addi a3,a3,-1644 # 80003208 <pass+0xf4>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -832,7 +832,7 @@ Disassembly of section .text:
80002ad0: 4020df3b sraw t5,ra,sp
80002ad4: 80000eb7 lui t4,0x80000
80002ad8: 00200193 li gp,2
- 80002adc: 59df1463 bne t5,t4,80003064 <fail>
+ 80002adc: 63df1463 bne t5,t4,80003104 <fail>
0000000080002ae0 <test_3>:
80002ae0: 800000b7 lui ra,0x80000
@@ -840,7 +840,7 @@ Disassembly of section .text:
80002ae8: 4020df3b sraw t5,ra,sp
80002aec: c0000eb7 lui t4,0xc0000
80002af0: 00300193 li gp,3
- 80002af4: 57df1863 bne t5,t4,80003064 <fail>
+ 80002af4: 61df1863 bne t5,t4,80003104 <fail>
0000000080002af8 <test_4>:
80002af8: 800000b7 lui ra,0x80000
@@ -848,7 +848,7 @@ Disassembly of section .text:
80002b00: 4020df3b sraw t5,ra,sp
80002b04: ff000eb7 lui t4,0xff000
80002b08: 00400193 li gp,4
- 80002b0c: 55df1c63 bne t5,t4,80003064 <fail>
+ 80002b0c: 5fdf1c63 bne t5,t4,80003104 <fail>
0000000080002b10 <test_5>:
80002b10: 800000b7 lui ra,0x80000
@@ -856,7 +856,7 @@ Disassembly of section .text:
80002b18: 4020df3b sraw t5,ra,sp
80002b1c: fffe0eb7 lui t4,0xfffe0
80002b20: 00500193 li gp,5
- 80002b24: 55df1063 bne t5,t4,80003064 <fail>
+ 80002b24: 5fdf1063 bne t5,t4,80003104 <fail>
0000000080002b28 <test_6>:
80002b28: 800000b7 lui ra,0x80000
@@ -865,7 +865,7 @@ Disassembly of section .text:
80002b34: 4020df3b sraw t5,ra,sp
80002b38: fff00e93 li t4,-1
80002b3c: 00600193 li gp,6
- 80002b40: 53df1263 bne t5,t4,80003064 <fail>
+ 80002b40: 5ddf1263 bne t5,t4,80003104 <fail>
0000000080002b44 <test_7>:
80002b44: 800000b7 lui ra,0x80000
@@ -875,7 +875,7 @@ Disassembly of section .text:
80002b54: 80000eb7 lui t4,0x80000
80002b58: fffe8e9b addiw t4,t4,-1
80002b5c: 00700193 li gp,7
- 80002b60: 51df1263 bne t5,t4,80003064 <fail>
+ 80002b60: 5bdf1263 bne t5,t4,80003104 <fail>
0000000080002b64 <test_8>:
80002b64: 800000b7 lui ra,0x80000
@@ -885,7 +885,7 @@ Disassembly of section .text:
80002b74: 40000eb7 lui t4,0x40000
80002b78: fffe8e9b addiw t4,t4,-1
80002b7c: 00800193 li gp,8
- 80002b80: 4fdf1263 bne t5,t4,80003064 <fail>
+ 80002b80: 59df1263 bne t5,t4,80003104 <fail>
0000000080002b84 <test_9>:
80002b84: 800000b7 lui ra,0x80000
@@ -895,7 +895,7 @@ Disassembly of section .text:
80002b94: 01000eb7 lui t4,0x1000
80002b98: fffe8e9b addiw t4,t4,-1
80002b9c: 00900193 li gp,9
- 80002ba0: 4ddf1263 bne t5,t4,80003064 <fail>
+ 80002ba0: 57df1263 bne t5,t4,80003104 <fail>
0000000080002ba4 <test_10>:
80002ba4: 800000b7 lui ra,0x80000
@@ -905,7 +905,7 @@ Disassembly of section .text:
80002bb4: 00020eb7 lui t4,0x20
80002bb8: fffe8e9b addiw t4,t4,-1
80002bbc: 00a00193 li gp,10
- 80002bc0: 4bdf1263 bne t5,t4,80003064 <fail>
+ 80002bc0: 55df1263 bne t5,t4,80003104 <fail>
0000000080002bc4 <test_11>:
80002bc4: 800000b7 lui ra,0x80000
@@ -914,7 +914,7 @@ Disassembly of section .text:
80002bd0: 4020df3b sraw t5,ra,sp
80002bd4: 00000e93 li t4,0
80002bd8: 00b00193 li gp,11
- 80002bdc: 49df1463 bne t5,t4,80003064 <fail>
+ 80002bdc: 53df1463 bne t5,t4,80003104 <fail>
0000000080002be0 <test_12>:
80002be0: 818180b7 lui ra,0x81818
@@ -924,7 +924,7 @@ Disassembly of section .text:
80002bf0: 81818eb7 lui t4,0x81818
80002bf4: 181e8e9b addiw t4,t4,385
80002bf8: 00c00193 li gp,12
- 80002bfc: 47df1463 bne t5,t4,80003064 <fail>
+ 80002bfc: 51df1463 bne t5,t4,80003104 <fail>
0000000080002c00 <test_13>:
80002c00: 818180b7 lui ra,0x81818
@@ -934,7 +934,7 @@ Disassembly of section .text:
80002c10: c0c0ceb7 lui t4,0xc0c0c
80002c14: 0c0e8e9b addiw t4,t4,192
80002c18: 00d00193 li gp,13
- 80002c1c: 45df1463 bne t5,t4,80003064 <fail>
+ 80002c1c: 4fdf1463 bne t5,t4,80003104 <fail>
0000000080002c20 <test_14>:
80002c20: 818180b7 lui ra,0x81818
@@ -944,7 +944,7 @@ Disassembly of section .text:
80002c30: ff030eb7 lui t4,0xff030
80002c34: 303e8e9b addiw t4,t4,771
80002c38: 00e00193 li gp,14
- 80002c3c: 43df1463 bne t5,t4,80003064 <fail>
+ 80002c3c: 4ddf1463 bne t5,t4,80003104 <fail>
0000000080002c40 <test_15>:
80002c40: 818180b7 lui ra,0x81818
@@ -954,7 +954,7 @@ Disassembly of section .text:
80002c50: fffe0eb7 lui t4,0xfffe0
80002c54: 606e8e9b addiw t4,t4,1542
80002c58: 00f00193 li gp,15
- 80002c5c: 41df1463 bne t5,t4,80003064 <fail>
+ 80002c5c: 4bdf1463 bne t5,t4,80003104 <fail>
0000000080002c60 <test_16>:
80002c60: 818180b7 lui ra,0x81818
@@ -963,7 +963,7 @@ Disassembly of section .text:
80002c6c: 4020df3b sraw t5,ra,sp
80002c70: fff00e93 li t4,-1
80002c74: 01000193 li gp,16
- 80002c78: 3fdf1663 bne t5,t4,80003064 <fail>
+ 80002c78: 49df1663 bne t5,t4,80003104 <fail>
0000000080002c7c <test_17>:
80002c7c: 818180b7 lui ra,0x81818
@@ -973,7 +973,7 @@ Disassembly of section .text:
80002c8c: 81818eb7 lui t4,0x81818
80002c90: 181e8e9b addiw t4,t4,385
80002c94: 01100193 li gp,17
- 80002c98: 3ddf1663 bne t5,t4,80003064 <fail>
+ 80002c98: 47df1663 bne t5,t4,80003104 <fail>
0000000080002c9c <test_18>:
80002c9c: 818180b7 lui ra,0x81818
@@ -983,7 +983,7 @@ Disassembly of section .text:
80002cac: c0c0ceb7 lui t4,0xc0c0c
80002cb0: 0c0e8e9b addiw t4,t4,192
80002cb4: 01200193 li gp,18
- 80002cb8: 3bdf1663 bne t5,t4,80003064 <fail>
+ 80002cb8: 45df1663 bne t5,t4,80003104 <fail>
0000000080002cbc <test_19>:
80002cbc: 818180b7 lui ra,0x81818
@@ -993,7 +993,7 @@ Disassembly of section .text:
80002ccc: ff030eb7 lui t4,0xff030
80002cd0: 303e8e9b addiw t4,t4,771
80002cd4: 01300193 li gp,19
- 80002cd8: 39df1663 bne t5,t4,80003064 <fail>
+ 80002cd8: 43df1663 bne t5,t4,80003104 <fail>
0000000080002cdc <test_20>:
80002cdc: 818180b7 lui ra,0x81818
@@ -1003,7 +1003,7 @@ Disassembly of section .text:
80002cec: fffe0eb7 lui t4,0xfffe0
80002cf0: 606e8e9b addiw t4,t4,1542
80002cf4: 01400193 li gp,20
- 80002cf8: 37df1663 bne t5,t4,80003064 <fail>
+ 80002cf8: 41df1663 bne t5,t4,80003104 <fail>
0000000080002cfc <test_21>:
80002cfc: 818180b7 lui ra,0x81818
@@ -1012,270 +1012,318 @@ Disassembly of section .text:
80002d08: 4020df3b sraw t5,ra,sp
80002d0c: fff00e93 li t4,-1
80002d10: 01500193 li gp,21
- 80002d14: 35df1863 bne t5,t4,80003064 <fail>
-
-0000000080002d18 <test_22>:
- 80002d18: 800000b7 lui ra,0x80000
- 80002d1c: 00700113 li sp,7
- 80002d20: 4020d0bb sraw ra,ra,sp
- 80002d24: ff000eb7 lui t4,0xff000
- 80002d28: 01600193 li gp,22
- 80002d2c: 33d09c63 bne ra,t4,80003064 <fail>
-
-0000000080002d30 <test_23>:
- 80002d30: 800000b7 lui ra,0x80000
- 80002d34: 00e00113 li sp,14
- 80002d38: 4020d13b sraw sp,ra,sp
- 80002d3c: fffe0eb7 lui t4,0xfffe0
- 80002d40: 01700193 li gp,23
- 80002d44: 33d11063 bne sp,t4,80003064 <fail>
-
-0000000080002d48 <test_24>:
- 80002d48: 00700093 li ra,7
- 80002d4c: 4010d0bb sraw ra,ra,ra
- 80002d50: 00000e93 li t4,0
- 80002d54: 01800193 li gp,24
- 80002d58: 31d09663 bne ra,t4,80003064 <fail>
-
-0000000080002d5c <test_25>:
- 80002d5c: 00000213 li tp,0
- 80002d60: 800000b7 lui ra,0x80000
- 80002d64: 00700113 li sp,7
- 80002d68: 4020df3b sraw t5,ra,sp
- 80002d6c: 000f0313 mv t1,t5
- 80002d70: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d74: 00200293 li t0,2
- 80002d78: fe5214e3 bne tp,t0,80002d60 <test_25+0x4>
- 80002d7c: ff000eb7 lui t4,0xff000
- 80002d80: 01900193 li gp,25
- 80002d84: 2fd31063 bne t1,t4,80003064 <fail>
-
-0000000080002d88 <test_26>:
- 80002d88: 00000213 li tp,0
- 80002d8c: 800000b7 lui ra,0x80000
- 80002d90: 00e00113 li sp,14
- 80002d94: 4020df3b sraw t5,ra,sp
- 80002d98: 00000013 nop
- 80002d9c: 000f0313 mv t1,t5
- 80002da0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002da4: 00200293 li t0,2
- 80002da8: fe5212e3 bne tp,t0,80002d8c <test_26+0x4>
- 80002dac: fffe0eb7 lui t4,0xfffe0
- 80002db0: 01a00193 li gp,26
- 80002db4: 2bd31863 bne t1,t4,80003064 <fail>
-
-0000000080002db8 <test_27>:
- 80002db8: 00000213 li tp,0
- 80002dbc: 800000b7 lui ra,0x80000
- 80002dc0: 01f00113 li sp,31
- 80002dc4: 4020df3b sraw t5,ra,sp
- 80002dc8: 00000013 nop
- 80002dcc: 00000013 nop
- 80002dd0: 000f0313 mv t1,t5
- 80002dd4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002dd8: 00200293 li t0,2
- 80002ddc: fe5210e3 bne tp,t0,80002dbc <test_27+0x4>
- 80002de0: fff00e93 li t4,-1
- 80002de4: 01b00193 li gp,27
- 80002de8: 27d31e63 bne t1,t4,80003064 <fail>
-
-0000000080002dec <test_28>:
- 80002dec: 00000213 li tp,0
- 80002df0: 800000b7 lui ra,0x80000
- 80002df4: 00700113 li sp,7
- 80002df8: 4020df3b sraw t5,ra,sp
- 80002dfc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e00: 00200293 li t0,2
- 80002e04: fe5216e3 bne tp,t0,80002df0 <test_28+0x4>
- 80002e08: ff000eb7 lui t4,0xff000
- 80002e0c: 01c00193 li gp,28
- 80002e10: 25df1a63 bne t5,t4,80003064 <fail>
-
-0000000080002e14 <test_29>:
- 80002e14: 00000213 li tp,0
- 80002e18: 800000b7 lui ra,0x80000
- 80002e1c: 00e00113 li sp,14
- 80002e20: 00000013 nop
- 80002e24: 4020df3b sraw t5,ra,sp
- 80002e28: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e2c: 00200293 li t0,2
- 80002e30: fe5214e3 bne tp,t0,80002e18 <test_29+0x4>
- 80002e34: fffe0eb7 lui t4,0xfffe0
- 80002e38: 01d00193 li gp,29
- 80002e3c: 23df1463 bne t5,t4,80003064 <fail>
-
-0000000080002e40 <test_30>:
- 80002e40: 00000213 li tp,0
- 80002e44: 800000b7 lui ra,0x80000
- 80002e48: 01f00113 li sp,31
- 80002e4c: 00000013 nop
- 80002e50: 00000013 nop
- 80002e54: 4020df3b sraw t5,ra,sp
- 80002e58: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e5c: 00200293 li t0,2
- 80002e60: fe5212e3 bne tp,t0,80002e44 <test_30+0x4>
- 80002e64: fff00e93 li t4,-1
- 80002e68: 01e00193 li gp,30
- 80002e6c: 1fdf1c63 bne t5,t4,80003064 <fail>
-
-0000000080002e70 <test_31>:
- 80002e70: 00000213 li tp,0
- 80002e74: 800000b7 lui ra,0x80000
- 80002e78: 00000013 nop
- 80002e7c: 00700113 li sp,7
- 80002e80: 4020df3b sraw t5,ra,sp
- 80002e84: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e88: 00200293 li t0,2
- 80002e8c: fe5214e3 bne tp,t0,80002e74 <test_31+0x4>
- 80002e90: ff000eb7 lui t4,0xff000
- 80002e94: 01f00193 li gp,31
- 80002e98: 1ddf1663 bne t5,t4,80003064 <fail>
-
-0000000080002e9c <test_32>:
- 80002e9c: 00000213 li tp,0
- 80002ea0: 800000b7 lui ra,0x80000
- 80002ea4: 00000013 nop
- 80002ea8: 00e00113 li sp,14
- 80002eac: 00000013 nop
- 80002eb0: 4020df3b sraw t5,ra,sp
- 80002eb4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002eb8: 00200293 li t0,2
- 80002ebc: fe5212e3 bne tp,t0,80002ea0 <test_32+0x4>
- 80002ec0: fffe0eb7 lui t4,0xfffe0
- 80002ec4: 02000193 li gp,32
- 80002ec8: 19df1e63 bne t5,t4,80003064 <fail>
-
-0000000080002ecc <test_33>:
- 80002ecc: 00000213 li tp,0
- 80002ed0: 800000b7 lui ra,0x80000
- 80002ed4: 00000013 nop
- 80002ed8: 00000013 nop
- 80002edc: 01f00113 li sp,31
- 80002ee0: 4020df3b sraw t5,ra,sp
- 80002ee4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ee8: 00200293 li t0,2
- 80002eec: fe5212e3 bne tp,t0,80002ed0 <test_33+0x4>
- 80002ef0: fff00e93 li t4,-1
- 80002ef4: 02100193 li gp,33
- 80002ef8: 17df1663 bne t5,t4,80003064 <fail>
-
-0000000080002efc <test_34>:
- 80002efc: 00000213 li tp,0
- 80002f00: 00700113 li sp,7
- 80002f04: 800000b7 lui ra,0x80000
- 80002f08: 4020df3b sraw t5,ra,sp
- 80002f0c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f10: 00200293 li t0,2
- 80002f14: fe5216e3 bne tp,t0,80002f00 <test_34+0x4>
- 80002f18: ff000eb7 lui t4,0xff000
- 80002f1c: 02200193 li gp,34
- 80002f20: 15df1263 bne t5,t4,80003064 <fail>
-
-0000000080002f24 <test_35>:
- 80002f24: 00000213 li tp,0
- 80002f28: 00e00113 li sp,14
- 80002f2c: 800000b7 lui ra,0x80000
- 80002f30: 00000013 nop
- 80002f34: 4020df3b sraw t5,ra,sp
- 80002f38: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f3c: 00200293 li t0,2
- 80002f40: fe5214e3 bne tp,t0,80002f28 <test_35+0x4>
- 80002f44: fffe0eb7 lui t4,0xfffe0
- 80002f48: 02300193 li gp,35
- 80002f4c: 11df1c63 bne t5,t4,80003064 <fail>
-
-0000000080002f50 <test_36>:
- 80002f50: 00000213 li tp,0
- 80002f54: 01f00113 li sp,31
- 80002f58: 800000b7 lui ra,0x80000
- 80002f5c: 00000013 nop
- 80002f60: 00000013 nop
- 80002f64: 4020df3b sraw t5,ra,sp
- 80002f68: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f6c: 00200293 li t0,2
- 80002f70: fe5212e3 bne tp,t0,80002f54 <test_36+0x4>
- 80002f74: fff00e93 li t4,-1
- 80002f78: 02400193 li gp,36
- 80002f7c: 0fdf1463 bne t5,t4,80003064 <fail>
-
-0000000080002f80 <test_37>:
- 80002f80: 00000213 li tp,0
- 80002f84: 00700113 li sp,7
- 80002f88: 00000013 nop
- 80002f8c: 800000b7 lui ra,0x80000
- 80002f90: 4020df3b sraw t5,ra,sp
- 80002f94: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f98: 00200293 li t0,2
- 80002f9c: fe5214e3 bne tp,t0,80002f84 <test_37+0x4>
- 80002fa0: ff000eb7 lui t4,0xff000
- 80002fa4: 02500193 li gp,37
- 80002fa8: 0bdf1e63 bne t5,t4,80003064 <fail>
-
-0000000080002fac <test_38>:
- 80002fac: 00000213 li tp,0
- 80002fb0: 00e00113 li sp,14
- 80002fb4: 00000013 nop
- 80002fb8: 800000b7 lui ra,0x80000
- 80002fbc: 00000013 nop
- 80002fc0: 4020df3b sraw t5,ra,sp
- 80002fc4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002fc8: 00200293 li t0,2
- 80002fcc: fe5212e3 bne tp,t0,80002fb0 <test_38+0x4>
- 80002fd0: fffe0eb7 lui t4,0xfffe0
- 80002fd4: 02600193 li gp,38
- 80002fd8: 09df1663 bne t5,t4,80003064 <fail>
-
-0000000080002fdc <test_39>:
- 80002fdc: 00000213 li tp,0
- 80002fe0: 01f00113 li sp,31
- 80002fe4: 00000013 nop
- 80002fe8: 00000013 nop
- 80002fec: 800000b7 lui ra,0x80000
- 80002ff0: 4020df3b sraw t5,ra,sp
- 80002ff4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ff8: 00200293 li t0,2
- 80002ffc: fe5212e3 bne tp,t0,80002fe0 <test_39+0x4>
- 80003000: fff00e93 li t4,-1
- 80003004: 02700193 li gp,39
- 80003008: 05df1e63 bne t5,t4,80003064 <fail>
-
-000000008000300c <test_40>:
- 8000300c: 00f00093 li ra,15
- 80003010: 4010513b sraw sp,zero,ra
- 80003014: 00000e93 li t4,0
- 80003018: 02800193 li gp,40
- 8000301c: 05d11463 bne sp,t4,80003064 <fail>
-
-0000000080003020 <test_41>:
- 80003020: 02000093 li ra,32
- 80003024: 4000d13b sraw sp,ra,zero
- 80003028: 02000e93 li t4,32
- 8000302c: 02900193 li gp,41
- 80003030: 03d11a63 bne sp,t4,80003064 <fail>
-
-0000000080003034 <test_42>:
- 80003034: 400050bb sraw ra,zero,zero
- 80003038: 00000e93 li t4,0
- 8000303c: 02a00193 li gp,42
- 80003040: 03d09263 bne ra,t4,80003064 <fail>
-
-0000000080003044 <test_43>:
- 80003044: 40000093 li ra,1024
- 80003048: 00001137 lui sp,0x1
- 8000304c: 8001011b addiw sp,sp,-2048
- 80003050: 4020d03b sraw zero,ra,sp
- 80003054: 00000e93 li t4,0
- 80003058: 02b00193 li gp,43
- 8000305c: 01d01463 bne zero,t4,80003064 <fail>
- 80003060: 00301a63 bne zero,gp,80003074 <pass>
-
-0000000080003064 <fail>:
- 80003064: 00119513 slli a0,gp,0x1
- 80003068: 00050063 beqz a0,80003068 <fail+0x4>
- 8000306c: 00156513 ori a0,a0,1
- 80003070: 00000073 ecall
-
-0000000080003074 <pass>:
- 80003074: 00100513 li a0,1
- 80003078: 00000073 ecall
- 8000307c: c0001073 unimp
+ 80002d14: 3fdf1863 bne t5,t4,80003104 <fail>
+
+0000000080002d18 <test_44>:
+ 80002d18: fff120b7 lui ra,0xfff12
+ 80002d1c: 3450809b addiw ra,ra,837
+ 80002d20: 00c09093 slli ra,ra,0xc
+ 80002d24: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002d28: 00000113 li sp,0
+ 80002d2c: 4020df3b sraw t5,ra,sp
+ 80002d30: 12345eb7 lui t4,0x12345
+ 80002d34: 678e8e9b addiw t4,t4,1656
+ 80002d38: 02c00193 li gp,44
+ 80002d3c: 3ddf1463 bne t5,t4,80003104 <fail>
+
+0000000080002d40 <test_45>:
+ 80002d40: fff120b7 lui ra,0xfff12
+ 80002d44: 3450809b addiw ra,ra,837
+ 80002d48: 00c09093 slli ra,ra,0xc
+ 80002d4c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002d50: 00400113 li sp,4
+ 80002d54: 4020df3b sraw t5,ra,sp
+ 80002d58: 01234eb7 lui t4,0x1234
+ 80002d5c: 567e8e9b addiw t4,t4,1383
+ 80002d60: 02d00193 li gp,45
+ 80002d64: 3bdf1063 bne t5,t4,80003104 <fail>
+
+0000000080002d68 <test_46>:
+ 80002d68: 000920b7 lui ra,0x92
+ 80002d6c: 3450809b addiw ra,ra,837
+ 80002d70: 00c09093 slli ra,ra,0xc
+ 80002d74: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002d78: 00000113 li sp,0
+ 80002d7c: 4020df3b sraw t5,ra,sp
+ 80002d80: 92345eb7 lui t4,0x92345
+ 80002d84: 678e8e9b addiw t4,t4,1656
+ 80002d88: 02e00193 li gp,46
+ 80002d8c: 37df1c63 bne t5,t4,80003104 <fail>
+
+0000000080002d90 <test_47>:
+ 80002d90: 000920b7 lui ra,0x92
+ 80002d94: 3450809b addiw ra,ra,837
+ 80002d98: 00c09093 slli ra,ra,0xc
+ 80002d9c: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002da0: 00400113 li sp,4
+ 80002da4: 4020df3b sraw t5,ra,sp
+ 80002da8: f9234eb7 lui t4,0xf9234
+ 80002dac: 567e8e9b addiw t4,t4,1383
+ 80002db0: 02f00193 li gp,47
+ 80002db4: 35df1863 bne t5,t4,80003104 <fail>
+
+0000000080002db8 <test_22>:
+ 80002db8: 800000b7 lui ra,0x80000
+ 80002dbc: 00700113 li sp,7
+ 80002dc0: 4020d0bb sraw ra,ra,sp
+ 80002dc4: ff000eb7 lui t4,0xff000
+ 80002dc8: 01600193 li gp,22
+ 80002dcc: 33d09c63 bne ra,t4,80003104 <fail>
+
+0000000080002dd0 <test_23>:
+ 80002dd0: 800000b7 lui ra,0x80000
+ 80002dd4: 00e00113 li sp,14
+ 80002dd8: 4020d13b sraw sp,ra,sp
+ 80002ddc: fffe0eb7 lui t4,0xfffe0
+ 80002de0: 01700193 li gp,23
+ 80002de4: 33d11063 bne sp,t4,80003104 <fail>
+
+0000000080002de8 <test_24>:
+ 80002de8: 00700093 li ra,7
+ 80002dec: 4010d0bb sraw ra,ra,ra
+ 80002df0: 00000e93 li t4,0
+ 80002df4: 01800193 li gp,24
+ 80002df8: 31d09663 bne ra,t4,80003104 <fail>
+
+0000000080002dfc <test_25>:
+ 80002dfc: 00000213 li tp,0
+ 80002e00: 800000b7 lui ra,0x80000
+ 80002e04: 00700113 li sp,7
+ 80002e08: 4020df3b sraw t5,ra,sp
+ 80002e0c: 000f0313 mv t1,t5
+ 80002e10: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e14: 00200293 li t0,2
+ 80002e18: fe5214e3 bne tp,t0,80002e00 <test_25+0x4>
+ 80002e1c: ff000eb7 lui t4,0xff000
+ 80002e20: 01900193 li gp,25
+ 80002e24: 2fd31063 bne t1,t4,80003104 <fail>
+
+0000000080002e28 <test_26>:
+ 80002e28: 00000213 li tp,0
+ 80002e2c: 800000b7 lui ra,0x80000
+ 80002e30: 00e00113 li sp,14
+ 80002e34: 4020df3b sraw t5,ra,sp
+ 80002e38: 00000013 nop
+ 80002e3c: 000f0313 mv t1,t5
+ 80002e40: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e44: 00200293 li t0,2
+ 80002e48: fe5212e3 bne tp,t0,80002e2c <test_26+0x4>
+ 80002e4c: fffe0eb7 lui t4,0xfffe0
+ 80002e50: 01a00193 li gp,26
+ 80002e54: 2bd31863 bne t1,t4,80003104 <fail>
+
+0000000080002e58 <test_27>:
+ 80002e58: 00000213 li tp,0
+ 80002e5c: 800000b7 lui ra,0x80000
+ 80002e60: 01f00113 li sp,31
+ 80002e64: 4020df3b sraw t5,ra,sp
+ 80002e68: 00000013 nop
+ 80002e6c: 00000013 nop
+ 80002e70: 000f0313 mv t1,t5
+ 80002e74: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e78: 00200293 li t0,2
+ 80002e7c: fe5210e3 bne tp,t0,80002e5c <test_27+0x4>
+ 80002e80: fff00e93 li t4,-1
+ 80002e84: 01b00193 li gp,27
+ 80002e88: 27d31e63 bne t1,t4,80003104 <fail>
+
+0000000080002e8c <test_28>:
+ 80002e8c: 00000213 li tp,0
+ 80002e90: 800000b7 lui ra,0x80000
+ 80002e94: 00700113 li sp,7
+ 80002e98: 4020df3b sraw t5,ra,sp
+ 80002e9c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ea0: 00200293 li t0,2
+ 80002ea4: fe5216e3 bne tp,t0,80002e90 <test_28+0x4>
+ 80002ea8: ff000eb7 lui t4,0xff000
+ 80002eac: 01c00193 li gp,28
+ 80002eb0: 25df1a63 bne t5,t4,80003104 <fail>
+
+0000000080002eb4 <test_29>:
+ 80002eb4: 00000213 li tp,0
+ 80002eb8: 800000b7 lui ra,0x80000
+ 80002ebc: 00e00113 li sp,14
+ 80002ec0: 00000013 nop
+ 80002ec4: 4020df3b sraw t5,ra,sp
+ 80002ec8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ecc: 00200293 li t0,2
+ 80002ed0: fe5214e3 bne tp,t0,80002eb8 <test_29+0x4>
+ 80002ed4: fffe0eb7 lui t4,0xfffe0
+ 80002ed8: 01d00193 li gp,29
+ 80002edc: 23df1463 bne t5,t4,80003104 <fail>
+
+0000000080002ee0 <test_30>:
+ 80002ee0: 00000213 li tp,0
+ 80002ee4: 800000b7 lui ra,0x80000
+ 80002ee8: 01f00113 li sp,31
+ 80002eec: 00000013 nop
+ 80002ef0: 00000013 nop
+ 80002ef4: 4020df3b sraw t5,ra,sp
+ 80002ef8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002efc: 00200293 li t0,2
+ 80002f00: fe5212e3 bne tp,t0,80002ee4 <test_30+0x4>
+ 80002f04: fff00e93 li t4,-1
+ 80002f08: 01e00193 li gp,30
+ 80002f0c: 1fdf1c63 bne t5,t4,80003104 <fail>
+
+0000000080002f10 <test_31>:
+ 80002f10: 00000213 li tp,0
+ 80002f14: 800000b7 lui ra,0x80000
+ 80002f18: 00000013 nop
+ 80002f1c: 00700113 li sp,7
+ 80002f20: 4020df3b sraw t5,ra,sp
+ 80002f24: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f28: 00200293 li t0,2
+ 80002f2c: fe5214e3 bne tp,t0,80002f14 <test_31+0x4>
+ 80002f30: ff000eb7 lui t4,0xff000
+ 80002f34: 01f00193 li gp,31
+ 80002f38: 1ddf1663 bne t5,t4,80003104 <fail>
+
+0000000080002f3c <test_32>:
+ 80002f3c: 00000213 li tp,0
+ 80002f40: 800000b7 lui ra,0x80000
+ 80002f44: 00000013 nop
+ 80002f48: 00e00113 li sp,14
+ 80002f4c: 00000013 nop
+ 80002f50: 4020df3b sraw t5,ra,sp
+ 80002f54: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f58: 00200293 li t0,2
+ 80002f5c: fe5212e3 bne tp,t0,80002f40 <test_32+0x4>
+ 80002f60: fffe0eb7 lui t4,0xfffe0
+ 80002f64: 02000193 li gp,32
+ 80002f68: 19df1e63 bne t5,t4,80003104 <fail>
+
+0000000080002f6c <test_33>:
+ 80002f6c: 00000213 li tp,0
+ 80002f70: 800000b7 lui ra,0x80000
+ 80002f74: 00000013 nop
+ 80002f78: 00000013 nop
+ 80002f7c: 01f00113 li sp,31
+ 80002f80: 4020df3b sraw t5,ra,sp
+ 80002f84: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f88: 00200293 li t0,2
+ 80002f8c: fe5212e3 bne tp,t0,80002f70 <test_33+0x4>
+ 80002f90: fff00e93 li t4,-1
+ 80002f94: 02100193 li gp,33
+ 80002f98: 17df1663 bne t5,t4,80003104 <fail>
+
+0000000080002f9c <test_34>:
+ 80002f9c: 00000213 li tp,0
+ 80002fa0: 00700113 li sp,7
+ 80002fa4: 800000b7 lui ra,0x80000
+ 80002fa8: 4020df3b sraw t5,ra,sp
+ 80002fac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002fb0: 00200293 li t0,2
+ 80002fb4: fe5216e3 bne tp,t0,80002fa0 <test_34+0x4>
+ 80002fb8: ff000eb7 lui t4,0xff000
+ 80002fbc: 02200193 li gp,34
+ 80002fc0: 15df1263 bne t5,t4,80003104 <fail>
+
+0000000080002fc4 <test_35>:
+ 80002fc4: 00000213 li tp,0
+ 80002fc8: 00e00113 li sp,14
+ 80002fcc: 800000b7 lui ra,0x80000
+ 80002fd0: 00000013 nop
+ 80002fd4: 4020df3b sraw t5,ra,sp
+ 80002fd8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002fdc: 00200293 li t0,2
+ 80002fe0: fe5214e3 bne tp,t0,80002fc8 <test_35+0x4>
+ 80002fe4: fffe0eb7 lui t4,0xfffe0
+ 80002fe8: 02300193 li gp,35
+ 80002fec: 11df1c63 bne t5,t4,80003104 <fail>
+
+0000000080002ff0 <test_36>:
+ 80002ff0: 00000213 li tp,0
+ 80002ff4: 01f00113 li sp,31
+ 80002ff8: 800000b7 lui ra,0x80000
+ 80002ffc: 00000013 nop
+ 80003000: 00000013 nop
+ 80003004: 4020df3b sraw t5,ra,sp
+ 80003008: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 8000300c: 00200293 li t0,2
+ 80003010: fe5212e3 bne tp,t0,80002ff4 <test_36+0x4>
+ 80003014: fff00e93 li t4,-1
+ 80003018: 02400193 li gp,36
+ 8000301c: 0fdf1463 bne t5,t4,80003104 <fail>
+
+0000000080003020 <test_37>:
+ 80003020: 00000213 li tp,0
+ 80003024: 00700113 li sp,7
+ 80003028: 00000013 nop
+ 8000302c: 800000b7 lui ra,0x80000
+ 80003030: 4020df3b sraw t5,ra,sp
+ 80003034: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003038: 00200293 li t0,2
+ 8000303c: fe5214e3 bne tp,t0,80003024 <test_37+0x4>
+ 80003040: ff000eb7 lui t4,0xff000
+ 80003044: 02500193 li gp,37
+ 80003048: 0bdf1e63 bne t5,t4,80003104 <fail>
+
+000000008000304c <test_38>:
+ 8000304c: 00000213 li tp,0
+ 80003050: 00e00113 li sp,14
+ 80003054: 00000013 nop
+ 80003058: 800000b7 lui ra,0x80000
+ 8000305c: 00000013 nop
+ 80003060: 4020df3b sraw t5,ra,sp
+ 80003064: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003068: 00200293 li t0,2
+ 8000306c: fe5212e3 bne tp,t0,80003050 <test_38+0x4>
+ 80003070: fffe0eb7 lui t4,0xfffe0
+ 80003074: 02600193 li gp,38
+ 80003078: 09df1663 bne t5,t4,80003104 <fail>
+
+000000008000307c <test_39>:
+ 8000307c: 00000213 li tp,0
+ 80003080: 01f00113 li sp,31
+ 80003084: 00000013 nop
+ 80003088: 00000013 nop
+ 8000308c: 800000b7 lui ra,0x80000
+ 80003090: 4020df3b sraw t5,ra,sp
+ 80003094: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003098: 00200293 li t0,2
+ 8000309c: fe5212e3 bne tp,t0,80003080 <test_39+0x4>
+ 800030a0: fff00e93 li t4,-1
+ 800030a4: 02700193 li gp,39
+ 800030a8: 05df1e63 bne t5,t4,80003104 <fail>
+
+00000000800030ac <test_40>:
+ 800030ac: 00f00093 li ra,15
+ 800030b0: 4010513b sraw sp,zero,ra
+ 800030b4: 00000e93 li t4,0
+ 800030b8: 02800193 li gp,40
+ 800030bc: 05d11463 bne sp,t4,80003104 <fail>
+
+00000000800030c0 <test_41>:
+ 800030c0: 02000093 li ra,32
+ 800030c4: 4000d13b sraw sp,ra,zero
+ 800030c8: 02000e93 li t4,32
+ 800030cc: 02900193 li gp,41
+ 800030d0: 03d11a63 bne sp,t4,80003104 <fail>
+
+00000000800030d4 <test_42>:
+ 800030d4: 400050bb sraw ra,zero,zero
+ 800030d8: 00000e93 li t4,0
+ 800030dc: 02a00193 li gp,42
+ 800030e0: 03d09263 bne ra,t4,80003104 <fail>
+
+00000000800030e4 <test_43>:
+ 800030e4: 40000093 li ra,1024
+ 800030e8: 00001137 lui sp,0x1
+ 800030ec: 8001011b addiw sp,sp,-2048
+ 800030f0: 4020d03b sraw zero,ra,sp
+ 800030f4: 00000e93 li t4,0
+ 800030f8: 02b00193 li gp,43
+ 800030fc: 01d01463 bne zero,t4,80003104 <fail>
+ 80003100: 00301a63 bne zero,gp,80003114 <pass>
+
+0000000080003104 <fail>:
+ 80003104: 00119513 slli a0,gp,0x1
+ 80003108: 00050063 beqz a0,80003108 <fail+0x4>
+ 8000310c: 00156513 ori a0,a0,1
+ 80003110: 00000073 ecall
+
+0000000080003114 <pass>:
+ 80003114: 00100513 li a0,1
+ 80003118: 00000073 ecall
+ 8000311c: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-sraw.elf b/test/riscv-tests/rv64ui-v-sraw.elf
index 8894a1c..452711e 100644
--- a/test/riscv-tests/rv64ui-v-sraw.elf
+++ b/test/riscv-tests/rv64ui-v-sraw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-srl.dump b/test/riscv-tests/rv64ui-v-srl.dump
index 1fb24ac..daea136 100644
--- a/test/riscv-tests/rv64ui-v-srl.dump
+++ b/test/riscv-tests/rv64ui-v-srl.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-srl.elf b/test/riscv-tests/rv64ui-v-srl.elf
index eb8b732..239f407 100644
--- a/test/riscv-tests/rv64ui-v-srl.elf
+++ b/test/riscv-tests/rv64ui-v-srl.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-srli.dump b/test/riscv-tests/rv64ui-v-srli.dump
index d900518..e9183c2 100644
--- a/test/riscv-tests/rv64ui-v-srli.dump
+++ b/test/riscv-tests/rv64ui-v-srli.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-srli.elf b/test/riscv-tests/rv64ui-v-srli.elf
index 4a1f2d6..b064db0 100644
--- a/test/riscv-tests/rv64ui-v-srli.elf
+++ b/test/riscv-tests/rv64ui-v-srli.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-srliw.dump b/test/riscv-tests/rv64ui-v-srliw.dump
index 919e1de..9336874 100644
--- a/test/riscv-tests/rv64ui-v-srliw.dump
+++ b/test/riscv-tests/rv64ui-v-srliw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: 93068693 addi a3,a3,-1744 # 80002d88 <pass+0x10>
+ 8000245c: 9c068693 addi a3,a3,-1600 # 80002e18 <pass+0x10>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: 96460613 addi a2,a2,-1692 # 80002e18 <pass+0xa0>
+ 800024b8: 9f460613 addi a2,a2,-1548 # 80002ea8 <pass+0xa0>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: 92c60613 addi a2,a2,-1748 # 80002e30 <pass+0xb8>
+ 80002508: 9bc60613 addi a2,a2,-1604 # 80002ec0 <pass+0xb8>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: 87c68693 addi a3,a3,-1924 # 80002dd0 <pass+0x58>
+ 80002558: 90c68693 addi a3,a3,-1780 # 80002e60 <pass+0x58>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: 89868693 addi a3,a3,-1896 # 80002f08 <pass+0x190>
+ 80002674: 92868693 addi a3,a3,-1752 # 80002f98 <pass+0x190>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00001617 auipc a2,0x1
- 800026cc: 81860613 addi a2,a2,-2024 # 80002ee0 <pass+0x168>
+ 800026cc: 8a860613 addi a2,a2,-1880 # 80002f70 <pass+0x168>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00000697 auipc a3,0x0
- 800027f0: 74c68693 addi a3,a3,1868 # 80002f38 <pass+0x1c0>
+ 800027f0: 7dc68693 addi a3,a3,2012 # 80002fc8 <pass+0x1c0>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00000697 auipc a3,0x0
- 80002840: 66c68693 addi a3,a3,1644 # 80002ea8 <pass+0x130>
+ 80002840: 6fc68693 addi a3,a3,1788 # 80002f38 <pass+0x130>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00000697 auipc a3,0x0
- 80002878: 5fc68693 addi a3,a3,1532 # 80002e70 <pass+0xf8>
+ 80002878: 68c68693 addi a3,a3,1676 # 80002f00 <pass+0xf8>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -831,28 +831,28 @@ Disassembly of section .text:
80002acc: 0000df1b srliw t5,ra,0x0
80002ad0: 80000eb7 lui t4,0x80000
80002ad4: 00200193 li gp,2
- 80002ad8: 29df1863 bne t5,t4,80002d68 <fail>
+ 80002ad8: 33df1063 bne t5,t4,80002df8 <fail>
0000000080002adc <test_3>:
80002adc: 800000b7 lui ra,0x80000
80002ae0: 0010df1b srliw t5,ra,0x1
80002ae4: 40000eb7 lui t4,0x40000
80002ae8: 00300193 li gp,3
- 80002aec: 27df1e63 bne t5,t4,80002d68 <fail>
+ 80002aec: 31df1663 bne t5,t4,80002df8 <fail>
0000000080002af0 <test_4>:
80002af0: 800000b7 lui ra,0x80000
80002af4: 0070df1b srliw t5,ra,0x7
80002af8: 01000eb7 lui t4,0x1000
80002afc: 00400193 li gp,4
- 80002b00: 27df1463 bne t5,t4,80002d68 <fail>
+ 80002b00: 2fdf1c63 bne t5,t4,80002df8 <fail>
0000000080002b04 <test_5>:
80002b04: 800000b7 lui ra,0x80000
80002b08: 00e0df1b srliw t5,ra,0xe
80002b0c: 00020eb7 lui t4,0x20
80002b10: 00500193 li gp,5
- 80002b14: 25df1a63 bne t5,t4,80002d68 <fail>
+ 80002b14: 2fdf1263 bne t5,t4,80002df8 <fail>
0000000080002b18 <test_6>:
80002b18: 800000b7 lui ra,0x80000
@@ -860,14 +860,14 @@ Disassembly of section .text:
80002b20: 01f0df1b srliw t5,ra,0x1f
80002b24: 00100e93 li t4,1
80002b28: 00600193 li gp,6
- 80002b2c: 23df1e63 bne t5,t4,80002d68 <fail>
+ 80002b2c: 2ddf1663 bne t5,t4,80002df8 <fail>
0000000080002b30 <test_7>:
80002b30: fff00093 li ra,-1
80002b34: 0000df1b srliw t5,ra,0x0
80002b38: fff00e93 li t4,-1
80002b3c: 00700193 li gp,7
- 80002b40: 23df1463 bne t5,t4,80002d68 <fail>
+ 80002b40: 2bdf1c63 bne t5,t4,80002df8 <fail>
0000000080002b44 <test_8>:
80002b44: fff00093 li ra,-1
@@ -875,7 +875,7 @@ Disassembly of section .text:
80002b4c: 80000eb7 lui t4,0x80000
80002b50: fffe8e9b addiw t4,t4,-1
80002b54: 00800193 li gp,8
- 80002b58: 21df1863 bne t5,t4,80002d68 <fail>
+ 80002b58: 2bdf1063 bne t5,t4,80002df8 <fail>
0000000080002b5c <test_9>:
80002b5c: fff00093 li ra,-1
@@ -883,7 +883,7 @@ Disassembly of section .text:
80002b64: 02000eb7 lui t4,0x2000
80002b68: fffe8e9b addiw t4,t4,-1
80002b6c: 00900193 li gp,9
- 80002b70: 1fdf1c63 bne t5,t4,80002d68 <fail>
+ 80002b70: 29df1463 bne t5,t4,80002df8 <fail>
0000000080002b74 <test_10>:
80002b74: fff00093 li ra,-1
@@ -891,14 +891,14 @@ Disassembly of section .text:
80002b7c: 00040eb7 lui t4,0x40
80002b80: fffe8e9b addiw t4,t4,-1
80002b84: 00a00193 li gp,10
- 80002b88: 1fdf1063 bne t5,t4,80002d68 <fail>
+ 80002b88: 27df1863 bne t5,t4,80002df8 <fail>
0000000080002b8c <test_11>:
80002b8c: fff00093 li ra,-1
80002b90: 01f0df1b srliw t5,ra,0x1f
80002b94: 00100e93 li t4,1
80002b98: 00b00193 li gp,11
- 80002b9c: 1ddf1663 bne t5,t4,80002d68 <fail>
+ 80002b9c: 25df1e63 bne t5,t4,80002df8 <fail>
0000000080002ba0 <test_12>:
80002ba0: 212120b7 lui ra,0x21212
@@ -907,7 +907,7 @@ Disassembly of section .text:
80002bac: 21212eb7 lui t4,0x21212
80002bb0: 121e8e9b addiw t4,t4,289
80002bb4: 00c00193 li gp,12
- 80002bb8: 1bdf1863 bne t5,t4,80002d68 <fail>
+ 80002bb8: 25df1063 bne t5,t4,80002df8 <fail>
0000000080002bbc <test_13>:
80002bbc: 212120b7 lui ra,0x21212
@@ -916,7 +916,7 @@ Disassembly of section .text:
80002bc8: 10909eb7 lui t4,0x10909
80002bcc: 090e8e9b addiw t4,t4,144
80002bd0: 00d00193 li gp,13
- 80002bd4: 19df1a63 bne t5,t4,80002d68 <fail>
+ 80002bd4: 23df1263 bne t5,t4,80002df8 <fail>
0000000080002bd8 <test_14>:
80002bd8: 212120b7 lui ra,0x21212
@@ -925,7 +925,7 @@ Disassembly of section .text:
80002be4: 00424eb7 lui t4,0x424
80002be8: 242e8e9b addiw t4,t4,578
80002bec: 00e00193 li gp,14
- 80002bf0: 17df1c63 bne t5,t4,80002d68 <fail>
+ 80002bf0: 21df1463 bne t5,t4,80002df8 <fail>
0000000080002bf4 <test_15>:
80002bf4: 212120b7 lui ra,0x21212
@@ -934,7 +934,7 @@ Disassembly of section .text:
80002c00: 00008eb7 lui t4,0x8
80002c04: 484e8e9b addiw t4,t4,1156
80002c08: 00f00193 li gp,15
- 80002c0c: 15df1e63 bne t5,t4,80002d68 <fail>
+ 80002c0c: 1fdf1663 bne t5,t4,80002df8 <fail>
0000000080002c10 <test_16>:
80002c10: 212120b7 lui ra,0x21212
@@ -942,113 +942,157 @@ Disassembly of section .text:
80002c18: 01f0df1b srliw t5,ra,0x1f
80002c1c: 00000e93 li t4,0
80002c20: 01000193 li gp,16
- 80002c24: 15df1263 bne t5,t4,80002d68 <fail>
+ 80002c24: 1ddf1a63 bne t5,t4,80002df8 <fail>
-0000000080002c28 <test_17>:
- 80002c28: 800000b7 lui ra,0x80000
- 80002c2c: 0070d09b srliw ra,ra,0x7
- 80002c30: 01000eb7 lui t4,0x1000
- 80002c34: 01100193 li gp,17
- 80002c38: 13d09863 bne ra,t4,80002d68 <fail>
+0000000080002c28 <test_44>:
+ 80002c28: fff120b7 lui ra,0xfff12
+ 80002c2c: 3450809b addiw ra,ra,837
+ 80002c30: 00c09093 slli ra,ra,0xc
+ 80002c34: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff0ae88>
+ 80002c38: 0000df1b srliw t5,ra,0x0
+ 80002c3c: 12345eb7 lui t4,0x12345
+ 80002c40: 678e8e9b addiw t4,t4,1656
+ 80002c44: 02c00193 li gp,44
+ 80002c48: 1bdf1863 bne t5,t4,80002df8 <fail>
-0000000080002c3c <test_18>:
- 80002c3c: 00000213 li tp,0
- 80002c40: 800000b7 lui ra,0x80000
- 80002c44: 0070df1b srliw t5,ra,0x7
- 80002c48: 000f0313 mv t1,t5
- 80002c4c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c50: 00200293 li t0,2
- 80002c54: fe5216e3 bne tp,t0,80002c40 <test_18+0x4>
- 80002c58: 01000eb7 lui t4,0x1000
- 80002c5c: 01200193 li gp,18
- 80002c60: 11d31463 bne t1,t4,80002d68 <fail>
+0000000080002c4c <test_45>:
+ 80002c4c: fff120b7 lui ra,0xfff12
+ 80002c50: 3450809b addiw ra,ra,837
+ 80002c54: 00c09093 slli ra,ra,0xc
+ 80002c58: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff0ae88>
+ 80002c5c: 0040df1b srliw t5,ra,0x4
+ 80002c60: 01234eb7 lui t4,0x1234
+ 80002c64: 567e8e9b addiw t4,t4,1383
+ 80002c68: 02d00193 li gp,45
+ 80002c6c: 19df1663 bne t5,t4,80002df8 <fail>
-0000000080002c64 <test_19>:
- 80002c64: 00000213 li tp,0
- 80002c68: 800000b7 lui ra,0x80000
- 80002c6c: 00e0df1b srliw t5,ra,0xe
- 80002c70: 00000013 nop
- 80002c74: 000f0313 mv t1,t5
- 80002c78: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002c7c: 00200293 li t0,2
- 80002c80: fe5214e3 bne tp,t0,80002c68 <test_19+0x4>
- 80002c84: 00020eb7 lui t4,0x20
- 80002c88: 01300193 li gp,19
- 80002c8c: 0dd31e63 bne t1,t4,80002d68 <fail>
+0000000080002c70 <test_46>:
+ 80002c70: 000920b7 lui ra,0x92
+ 80002c74: 3450809b addiw ra,ra,837
+ 80002c78: 00c09093 slli ra,ra,0xc
+ 80002c7c: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002c80: 0000df1b srliw t5,ra,0x0
+ 80002c84: 92345eb7 lui t4,0x92345
+ 80002c88: 678e8e9b addiw t4,t4,1656
+ 80002c8c: 02e00193 li gp,46
+ 80002c90: 17df1463 bne t5,t4,80002df8 <fail>
-0000000080002c90 <test_20>:
- 80002c90: 00000213 li tp,0
- 80002c94: 800000b7 lui ra,0x80000
- 80002c98: 0010809b addiw ra,ra,1
- 80002c9c: 01f0df1b srliw t5,ra,0x1f
- 80002ca0: 00000013 nop
- 80002ca4: 00000013 nop
- 80002ca8: 000f0313 mv t1,t5
- 80002cac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cb0: 00200293 li t0,2
- 80002cb4: fe5210e3 bne tp,t0,80002c94 <test_20+0x4>
- 80002cb8: 00100e93 li t4,1
- 80002cbc: 01400193 li gp,20
- 80002cc0: 0bd31463 bne t1,t4,80002d68 <fail>
+0000000080002c94 <test_47>:
+ 80002c94: 000920b7 lui ra,0x92
+ 80002c98: 3450809b addiw ra,ra,837
+ 80002c9c: 00c09093 slli ra,ra,0xc
+ 80002ca0: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002ca4: 0040df1b srliw t5,ra,0x4
+ 80002ca8: 09234eb7 lui t4,0x9234
+ 80002cac: 567e8e9b addiw t4,t4,1383
+ 80002cb0: 02f00193 li gp,47
+ 80002cb4: 15df1263 bne t5,t4,80002df8 <fail>
-0000000080002cc4 <test_21>:
- 80002cc4: 00000213 li tp,0
- 80002cc8: 800000b7 lui ra,0x80000
- 80002ccc: 0070df1b srliw t5,ra,0x7
- 80002cd0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cd4: 00200293 li t0,2
- 80002cd8: fe5218e3 bne tp,t0,80002cc8 <test_21+0x4>
- 80002cdc: 01000eb7 lui t4,0x1000
- 80002ce0: 01500193 li gp,21
- 80002ce4: 09df1263 bne t5,t4,80002d68 <fail>
+0000000080002cb8 <test_17>:
+ 80002cb8: 800000b7 lui ra,0x80000
+ 80002cbc: 0070d09b srliw ra,ra,0x7
+ 80002cc0: 01000eb7 lui t4,0x1000
+ 80002cc4: 01100193 li gp,17
+ 80002cc8: 13d09863 bne ra,t4,80002df8 <fail>
-0000000080002ce8 <test_22>:
- 80002ce8: 00000213 li tp,0
- 80002cec: 800000b7 lui ra,0x80000
- 80002cf0: 00000013 nop
- 80002cf4: 00e0df1b srliw t5,ra,0xe
- 80002cf8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002cfc: 00200293 li t0,2
- 80002d00: fe5216e3 bne tp,t0,80002cec <test_22+0x4>
- 80002d04: 00020eb7 lui t4,0x20
- 80002d08: 01600193 li gp,22
- 80002d0c: 05df1e63 bne t5,t4,80002d68 <fail>
+0000000080002ccc <test_18>:
+ 80002ccc: 00000213 li tp,0
+ 80002cd0: 800000b7 lui ra,0x80000
+ 80002cd4: 0070df1b srliw t5,ra,0x7
+ 80002cd8: 000f0313 mv t1,t5
+ 80002cdc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ce0: 00200293 li t0,2
+ 80002ce4: fe5216e3 bne tp,t0,80002cd0 <test_18+0x4>
+ 80002ce8: 01000eb7 lui t4,0x1000
+ 80002cec: 01200193 li gp,18
+ 80002cf0: 11d31463 bne t1,t4,80002df8 <fail>
-0000000080002d10 <test_23>:
- 80002d10: 00000213 li tp,0
- 80002d14: 800000b7 lui ra,0x80000
- 80002d18: 0010809b addiw ra,ra,1
- 80002d1c: 00000013 nop
- 80002d20: 00000013 nop
- 80002d24: 01f0df1b srliw t5,ra,0x1f
- 80002d28: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d2c: 00200293 li t0,2
- 80002d30: fe5212e3 bne tp,t0,80002d14 <test_23+0x4>
- 80002d34: 00100e93 li t4,1
- 80002d38: 01700193 li gp,23
- 80002d3c: 03df1663 bne t5,t4,80002d68 <fail>
+0000000080002cf4 <test_19>:
+ 80002cf4: 00000213 li tp,0
+ 80002cf8: 800000b7 lui ra,0x80000
+ 80002cfc: 00e0df1b srliw t5,ra,0xe
+ 80002d00: 00000013 nop
+ 80002d04: 000f0313 mv t1,t5
+ 80002d08: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d0c: 00200293 li t0,2
+ 80002d10: fe5214e3 bne tp,t0,80002cf8 <test_19+0x4>
+ 80002d14: 00020eb7 lui t4,0x20
+ 80002d18: 01300193 li gp,19
+ 80002d1c: 0dd31e63 bne t1,t4,80002df8 <fail>
-0000000080002d40 <test_24>:
- 80002d40: 01f0509b srliw ra,zero,0x1f
- 80002d44: 00000e93 li t4,0
- 80002d48: 01800193 li gp,24
- 80002d4c: 01d09e63 bne ra,t4,80002d68 <fail>
+0000000080002d20 <test_20>:
+ 80002d20: 00000213 li tp,0
+ 80002d24: 800000b7 lui ra,0x80000
+ 80002d28: 0010809b addiw ra,ra,1
+ 80002d2c: 01f0df1b srliw t5,ra,0x1f
+ 80002d30: 00000013 nop
+ 80002d34: 00000013 nop
+ 80002d38: 000f0313 mv t1,t5
+ 80002d3c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d40: 00200293 li t0,2
+ 80002d44: fe5210e3 bne tp,t0,80002d24 <test_20+0x4>
+ 80002d48: 00100e93 li t4,1
+ 80002d4c: 01400193 li gp,20
+ 80002d50: 0bd31463 bne t1,t4,80002df8 <fail>
-0000000080002d50 <test_25>:
- 80002d50: 01f00093 li ra,31
- 80002d54: 01c0d01b srliw zero,ra,0x1c
- 80002d58: 00000e93 li t4,0
- 80002d5c: 01900193 li gp,25
- 80002d60: 01d01463 bne zero,t4,80002d68 <fail>
- 80002d64: 00301a63 bne zero,gp,80002d78 <pass>
+0000000080002d54 <test_21>:
+ 80002d54: 00000213 li tp,0
+ 80002d58: 800000b7 lui ra,0x80000
+ 80002d5c: 0070df1b srliw t5,ra,0x7
+ 80002d60: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d64: 00200293 li t0,2
+ 80002d68: fe5218e3 bne tp,t0,80002d58 <test_21+0x4>
+ 80002d6c: 01000eb7 lui t4,0x1000
+ 80002d70: 01500193 li gp,21
+ 80002d74: 09df1263 bne t5,t4,80002df8 <fail>
-0000000080002d68 <fail>:
- 80002d68: 00119513 slli a0,gp,0x1
- 80002d6c: 00050063 beqz a0,80002d6c <fail+0x4>
- 80002d70: 00156513 ori a0,a0,1
- 80002d74: 00000073 ecall
+0000000080002d78 <test_22>:
+ 80002d78: 00000213 li tp,0
+ 80002d7c: 800000b7 lui ra,0x80000
+ 80002d80: 00000013 nop
+ 80002d84: 00e0df1b srliw t5,ra,0xe
+ 80002d88: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002d8c: 00200293 li t0,2
+ 80002d90: fe5216e3 bne tp,t0,80002d7c <test_22+0x4>
+ 80002d94: 00020eb7 lui t4,0x20
+ 80002d98: 01600193 li gp,22
+ 80002d9c: 05df1e63 bne t5,t4,80002df8 <fail>
-0000000080002d78 <pass>:
- 80002d78: 00100513 li a0,1
- 80002d7c: 00000073 ecall
- 80002d80: c0001073 unimp
+0000000080002da0 <test_23>:
+ 80002da0: 00000213 li tp,0
+ 80002da4: 800000b7 lui ra,0x80000
+ 80002da8: 0010809b addiw ra,ra,1
+ 80002dac: 00000013 nop
+ 80002db0: 00000013 nop
+ 80002db4: 01f0df1b srliw t5,ra,0x1f
+ 80002db8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002dbc: 00200293 li t0,2
+ 80002dc0: fe5212e3 bne tp,t0,80002da4 <test_23+0x4>
+ 80002dc4: 00100e93 li t4,1
+ 80002dc8: 01700193 li gp,23
+ 80002dcc: 03df1663 bne t5,t4,80002df8 <fail>
+
+0000000080002dd0 <test_24>:
+ 80002dd0: 01f0509b srliw ra,zero,0x1f
+ 80002dd4: 00000e93 li t4,0
+ 80002dd8: 01800193 li gp,24
+ 80002ddc: 01d09e63 bne ra,t4,80002df8 <fail>
+
+0000000080002de0 <test_25>:
+ 80002de0: 01f00093 li ra,31
+ 80002de4: 01c0d01b srliw zero,ra,0x1c
+ 80002de8: 00000e93 li t4,0
+ 80002dec: 01900193 li gp,25
+ 80002df0: 01d01463 bne zero,t4,80002df8 <fail>
+ 80002df4: 00301a63 bne zero,gp,80002e08 <pass>
+
+0000000080002df8 <fail>:
+ 80002df8: 00119513 slli a0,gp,0x1
+ 80002dfc: 00050063 beqz a0,80002dfc <fail+0x4>
+ 80002e00: 00156513 ori a0,a0,1
+ 80002e04: 00000073 ecall
+
+0000000080002e08 <pass>:
+ 80002e08: 00100513 li a0,1
+ 80002e0c: 00000073 ecall
+ 80002e10: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-srliw.elf b/test/riscv-tests/rv64ui-v-srliw.elf
index eacd870..ff9350c 100644
--- a/test/riscv-tests/rv64ui-v-srliw.elf
+++ b/test/riscv-tests/rv64ui-v-srliw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-srlw.dump b/test/riscv-tests/rv64ui-v-srlw.dump
index 2cbf750..43de4f1 100644
--- a/test/riscv-tests/rv64ui-v-srlw.dump
+++ b/test/riscv-tests/rv64ui-v-srlw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
@@ -410,7 +410,7 @@ Disassembly of section .text:
80002450: 10100613 li a2,257
80002454: 04100713 li a4,65
80002458: 00001697 auipc a3,0x1
- 8000245c: c1068693 addi a3,a3,-1008 # 80003068 <pass+0xc>
+ 8000245c: cb068693 addi a3,a3,-848 # 80003108 <pass+0xc>
80002460: fffff797 auipc a5,0xfffff
80002464: ba078793 addi a5,a5,-1120 # 80001000 <tohost>
80002468: 03061613 slli a2,a2,0x30
@@ -433,7 +433,7 @@ Disassembly of section .text:
800024ac: 10100693 li a3,257
800024b0: 04100713 li a4,65
800024b4: 00001617 auipc a2,0x1
- 800024b8: c4460613 addi a2,a2,-956 # 800030f8 <pass+0x9c>
+ 800024b8: ce460613 addi a2,a2,-796 # 80003198 <pass+0x9c>
800024bc: fffff797 auipc a5,0xfffff
800024c0: b4478793 addi a5,a5,-1212 # 80001000 <tohost>
800024c4: 03069693 slli a3,a3,0x30
@@ -453,7 +453,7 @@ Disassembly of section .text:
800024fc: 10100693 li a3,257
80002500: 04100713 li a4,65
80002504: 00001617 auipc a2,0x1
- 80002508: c0c60613 addi a2,a2,-1012 # 80003110 <pass+0xb4>
+ 80002508: cac60613 addi a2,a2,-852 # 800031b0 <pass+0xb4>
8000250c: fffff797 auipc a5,0xfffff
80002510: af478793 addi a5,a5,-1292 # 80001000 <tohost>
80002514: 03069693 slli a3,a3,0x30
@@ -473,7 +473,7 @@ Disassembly of section .text:
8000254c: 10100613 li a2,257
80002550: 04100713 li a4,65
80002554: 00001697 auipc a3,0x1
- 80002558: b5c68693 addi a3,a3,-1188 # 800030b0 <pass+0x54>
+ 80002558: bfc68693 addi a3,a3,-1028 # 80003150 <pass+0x54>
8000255c: fffff797 auipc a5,0xfffff
80002560: aa478793 addi a5,a5,-1372 # 80001000 <tohost>
80002564: 03061613 slli a2,a2,0x30
@@ -546,7 +546,7 @@ Disassembly of section .text:
80002668: 10100513 li a0,257
8000266c: 04100793 li a5,65
80002670: 00001697 auipc a3,0x1
- 80002674: b7868693 addi a3,a3,-1160 # 800031e8 <pass+0x18c>
+ 80002674: c1868693 addi a3,a3,-1000 # 80003288 <pass+0x18c>
80002678: fffff717 auipc a4,0xfffff
8000267c: 98870713 addi a4,a4,-1656 # 80001000 <tohost>
80002680: 03051513 slli a0,a0,0x30
@@ -568,7 +568,7 @@ Disassembly of section .text:
800026c0: b71ff0ef jal ra,80002230 <terminate>
800026c4: 10100793 li a5,257
800026c8: 00001617 auipc a2,0x1
- 800026cc: af860613 addi a2,a2,-1288 # 800031c0 <pass+0x164>
+ 800026cc: b9860613 addi a2,a2,-1128 # 80003260 <pass+0x164>
800026d0: 04100693 li a3,65
800026d4: fffff717 auipc a4,0xfffff
800026d8: 92c70713 addi a4,a4,-1748 # 80001000 <tohost>
@@ -641,7 +641,7 @@ Disassembly of section .text:
800027e4: 10100793 li a5,257
800027e8: 04100613 li a2,65
800027ec: 00001697 auipc a3,0x1
- 800027f0: a2c68693 addi a3,a3,-1492 # 80003218 <pass+0x1bc>
+ 800027f0: acc68693 addi a3,a3,-1332 # 800032b8 <pass+0x1bc>
800027f4: fffff717 auipc a4,0xfffff
800027f8: 80c70713 addi a4,a4,-2036 # 80001000 <tohost>
800027fc: 03079793 slli a5,a5,0x30
@@ -661,7 +661,7 @@ Disassembly of section .text:
80002834: 10100793 li a5,257
80002838: 04100613 li a2,65
8000283c: 00001697 auipc a3,0x1
- 80002840: 94c68693 addi a3,a3,-1716 # 80003188 <pass+0x12c>
+ 80002840: 9ec68693 addi a3,a3,-1556 # 80003228 <pass+0x12c>
80002844: ffffe717 auipc a4,0xffffe
80002848: 7bc70713 addi a4,a4,1980 # 80001000 <tohost>
8000284c: 03079793 slli a5,a5,0x30
@@ -675,7 +675,7 @@ Disassembly of section .text:
8000286c: 10100793 li a5,257
80002870: 04100613 li a2,65
80002874: 00001697 auipc a3,0x1
- 80002878: 8dc68693 addi a3,a3,-1828 # 80003150 <pass+0xf4>
+ 80002878: 97c68693 addi a3,a3,-1668 # 800031f0 <pass+0xf4>
8000287c: ffffe717 auipc a4,0xffffe
80002880: 78470713 addi a4,a4,1924 # 80001000 <tohost>
80002884: 03079793 slli a5,a5,0x30
@@ -832,7 +832,7 @@ Disassembly of section .text:
80002ad0: 0020df3b srlw t5,ra,sp
80002ad4: 80000eb7 lui t4,0x80000
80002ad8: 00200193 li gp,2
- 80002adc: 57df1863 bne t5,t4,8000304c <fail>
+ 80002adc: 61df1863 bne t5,t4,800030ec <fail>
0000000080002ae0 <test_3>:
80002ae0: 800000b7 lui ra,0x80000
@@ -840,7 +840,7 @@ Disassembly of section .text:
80002ae8: 0020df3b srlw t5,ra,sp
80002aec: 40000eb7 lui t4,0x40000
80002af0: 00300193 li gp,3
- 80002af4: 55df1c63 bne t5,t4,8000304c <fail>
+ 80002af4: 5fdf1c63 bne t5,t4,800030ec <fail>
0000000080002af8 <test_4>:
80002af8: 800000b7 lui ra,0x80000
@@ -848,7 +848,7 @@ Disassembly of section .text:
80002b00: 0020df3b srlw t5,ra,sp
80002b04: 01000eb7 lui t4,0x1000
80002b08: 00400193 li gp,4
- 80002b0c: 55df1063 bne t5,t4,8000304c <fail>
+ 80002b0c: 5fdf1063 bne t5,t4,800030ec <fail>
0000000080002b10 <test_5>:
80002b10: 800000b7 lui ra,0x80000
@@ -856,7 +856,7 @@ Disassembly of section .text:
80002b18: 0020df3b srlw t5,ra,sp
80002b1c: 00020eb7 lui t4,0x20
80002b20: 00500193 li gp,5
- 80002b24: 53df1463 bne t5,t4,8000304c <fail>
+ 80002b24: 5ddf1463 bne t5,t4,800030ec <fail>
0000000080002b28 <test_6>:
80002b28: 800000b7 lui ra,0x80000
@@ -865,7 +865,7 @@ Disassembly of section .text:
80002b34: 0020df3b srlw t5,ra,sp
80002b38: 00100e93 li t4,1
80002b3c: 00600193 li gp,6
- 80002b40: 51df1663 bne t5,t4,8000304c <fail>
+ 80002b40: 5bdf1663 bne t5,t4,800030ec <fail>
0000000080002b44 <test_7>:
80002b44: fff00093 li ra,-1
@@ -873,7 +873,7 @@ Disassembly of section .text:
80002b4c: 0020df3b srlw t5,ra,sp
80002b50: fff00e93 li t4,-1
80002b54: 00700193 li gp,7
- 80002b58: 4fdf1a63 bne t5,t4,8000304c <fail>
+ 80002b58: 59df1a63 bne t5,t4,800030ec <fail>
0000000080002b5c <test_8>:
80002b5c: fff00093 li ra,-1
@@ -882,7 +882,7 @@ Disassembly of section .text:
80002b68: 80000eb7 lui t4,0x80000
80002b6c: fffe8e9b addiw t4,t4,-1
80002b70: 00800193 li gp,8
- 80002b74: 4ddf1c63 bne t5,t4,8000304c <fail>
+ 80002b74: 57df1c63 bne t5,t4,800030ec <fail>
0000000080002b78 <test_9>:
80002b78: fff00093 li ra,-1
@@ -891,7 +891,7 @@ Disassembly of section .text:
80002b84: 02000eb7 lui t4,0x2000
80002b88: fffe8e9b addiw t4,t4,-1
80002b8c: 00900193 li gp,9
- 80002b90: 4bdf1e63 bne t5,t4,8000304c <fail>
+ 80002b90: 55df1e63 bne t5,t4,800030ec <fail>
0000000080002b94 <test_10>:
80002b94: fff00093 li ra,-1
@@ -900,7 +900,7 @@ Disassembly of section .text:
80002ba0: 00040eb7 lui t4,0x40
80002ba4: fffe8e9b addiw t4,t4,-1
80002ba8: 00a00193 li gp,10
- 80002bac: 4bdf1063 bne t5,t4,8000304c <fail>
+ 80002bac: 55df1063 bne t5,t4,800030ec <fail>
0000000080002bb0 <test_11>:
80002bb0: fff00093 li ra,-1
@@ -908,7 +908,7 @@ Disassembly of section .text:
80002bb8: 0020df3b srlw t5,ra,sp
80002bbc: 00100e93 li t4,1
80002bc0: 00b00193 li gp,11
- 80002bc4: 49df1463 bne t5,t4,8000304c <fail>
+ 80002bc4: 53df1463 bne t5,t4,800030ec <fail>
0000000080002bc8 <test_12>:
80002bc8: 212120b7 lui ra,0x21212
@@ -918,7 +918,7 @@ Disassembly of section .text:
80002bd8: 21212eb7 lui t4,0x21212
80002bdc: 121e8e9b addiw t4,t4,289
80002be0: 00c00193 li gp,12
- 80002be4: 47df1463 bne t5,t4,8000304c <fail>
+ 80002be4: 51df1463 bne t5,t4,800030ec <fail>
0000000080002be8 <test_13>:
80002be8: 212120b7 lui ra,0x21212
@@ -928,7 +928,7 @@ Disassembly of section .text:
80002bf8: 10909eb7 lui t4,0x10909
80002bfc: 090e8e9b addiw t4,t4,144
80002c00: 00d00193 li gp,13
- 80002c04: 45df1463 bne t5,t4,8000304c <fail>
+ 80002c04: 4fdf1463 bne t5,t4,800030ec <fail>
0000000080002c08 <test_14>:
80002c08: 212120b7 lui ra,0x21212
@@ -938,7 +938,7 @@ Disassembly of section .text:
80002c18: 00424eb7 lui t4,0x424
80002c1c: 242e8e9b addiw t4,t4,578
80002c20: 00e00193 li gp,14
- 80002c24: 43df1463 bne t5,t4,8000304c <fail>
+ 80002c24: 4ddf1463 bne t5,t4,800030ec <fail>
0000000080002c28 <test_15>:
80002c28: 212120b7 lui ra,0x21212
@@ -948,7 +948,7 @@ Disassembly of section .text:
80002c38: 00008eb7 lui t4,0x8
80002c3c: 484e8e9b addiw t4,t4,1156
80002c40: 00f00193 li gp,15
- 80002c44: 41df1463 bne t5,t4,8000304c <fail>
+ 80002c44: 4bdf1463 bne t5,t4,800030ec <fail>
0000000080002c48 <test_16>:
80002c48: 212120b7 lui ra,0x21212
@@ -957,7 +957,7 @@ Disassembly of section .text:
80002c54: 0020df3b srlw t5,ra,sp
80002c58: 00000e93 li t4,0
80002c5c: 01000193 li gp,16
- 80002c60: 3fdf1663 bne t5,t4,8000304c <fail>
+ 80002c60: 49df1663 bne t5,t4,800030ec <fail>
0000000080002c64 <test_17>:
80002c64: 212120b7 lui ra,0x21212
@@ -967,7 +967,7 @@ Disassembly of section .text:
80002c74: 21212eb7 lui t4,0x21212
80002c78: 121e8e9b addiw t4,t4,289
80002c7c: 01100193 li gp,17
- 80002c80: 3ddf1663 bne t5,t4,8000304c <fail>
+ 80002c80: 47df1663 bne t5,t4,800030ec <fail>
0000000080002c84 <test_18>:
80002c84: 212120b7 lui ra,0x21212
@@ -977,7 +977,7 @@ Disassembly of section .text:
80002c94: 10909eb7 lui t4,0x10909
80002c98: 090e8e9b addiw t4,t4,144
80002c9c: 01200193 li gp,18
- 80002ca0: 3bdf1663 bne t5,t4,8000304c <fail>
+ 80002ca0: 45df1663 bne t5,t4,800030ec <fail>
0000000080002ca4 <test_19>:
80002ca4: 212120b7 lui ra,0x21212
@@ -987,7 +987,7 @@ Disassembly of section .text:
80002cb4: 00424eb7 lui t4,0x424
80002cb8: 242e8e9b addiw t4,t4,578
80002cbc: 01300193 li gp,19
- 80002cc0: 39df1663 bne t5,t4,8000304c <fail>
+ 80002cc0: 43df1663 bne t5,t4,800030ec <fail>
0000000080002cc4 <test_20>:
80002cc4: 212120b7 lui ra,0x21212
@@ -997,7 +997,7 @@ Disassembly of section .text:
80002cd4: 00008eb7 lui t4,0x8
80002cd8: 484e8e9b addiw t4,t4,1156
80002cdc: 01400193 li gp,20
- 80002ce0: 37df1663 bne t5,t4,8000304c <fail>
+ 80002ce0: 41df1663 bne t5,t4,800030ec <fail>
0000000080002ce4 <test_21>:
80002ce4: 212120b7 lui ra,0x21212
@@ -1006,270 +1006,318 @@ Disassembly of section .text:
80002cf0: 0020df3b srlw t5,ra,sp
80002cf4: 00000e93 li t4,0
80002cf8: 01500193 li gp,21
- 80002cfc: 35df1863 bne t5,t4,8000304c <fail>
-
-0000000080002d00 <test_22>:
- 80002d00: 800000b7 lui ra,0x80000
- 80002d04: 00700113 li sp,7
- 80002d08: 0020d0bb srlw ra,ra,sp
- 80002d0c: 01000eb7 lui t4,0x1000
- 80002d10: 01600193 li gp,22
- 80002d14: 33d09c63 bne ra,t4,8000304c <fail>
-
-0000000080002d18 <test_23>:
- 80002d18: 800000b7 lui ra,0x80000
- 80002d1c: 00e00113 li sp,14
- 80002d20: 0020d13b srlw sp,ra,sp
- 80002d24: 00020eb7 lui t4,0x20
- 80002d28: 01700193 li gp,23
- 80002d2c: 33d11063 bne sp,t4,8000304c <fail>
-
-0000000080002d30 <test_24>:
- 80002d30: 00700093 li ra,7
- 80002d34: 0010d0bb srlw ra,ra,ra
- 80002d38: 00000e93 li t4,0
- 80002d3c: 01800193 li gp,24
- 80002d40: 31d09663 bne ra,t4,8000304c <fail>
-
-0000000080002d44 <test_25>:
- 80002d44: 00000213 li tp,0
- 80002d48: 800000b7 lui ra,0x80000
- 80002d4c: 00700113 li sp,7
- 80002d50: 0020df3b srlw t5,ra,sp
- 80002d54: 000f0313 mv t1,t5
- 80002d58: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d5c: 00200293 li t0,2
- 80002d60: fe5214e3 bne tp,t0,80002d48 <test_25+0x4>
- 80002d64: 01000eb7 lui t4,0x1000
- 80002d68: 01900193 li gp,25
- 80002d6c: 2fd31063 bne t1,t4,8000304c <fail>
-
-0000000080002d70 <test_26>:
- 80002d70: 00000213 li tp,0
- 80002d74: 800000b7 lui ra,0x80000
- 80002d78: 00e00113 li sp,14
- 80002d7c: 0020df3b srlw t5,ra,sp
- 80002d80: 00000013 nop
- 80002d84: 000f0313 mv t1,t5
- 80002d88: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002d8c: 00200293 li t0,2
- 80002d90: fe5212e3 bne tp,t0,80002d74 <test_26+0x4>
- 80002d94: 00020eb7 lui t4,0x20
- 80002d98: 01a00193 li gp,26
- 80002d9c: 2bd31863 bne t1,t4,8000304c <fail>
-
-0000000080002da0 <test_27>:
- 80002da0: 00000213 li tp,0
- 80002da4: 800000b7 lui ra,0x80000
- 80002da8: 01f00113 li sp,31
- 80002dac: 0020df3b srlw t5,ra,sp
- 80002db0: 00000013 nop
- 80002db4: 00000013 nop
- 80002db8: 000f0313 mv t1,t5
- 80002dbc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002dc0: 00200293 li t0,2
- 80002dc4: fe5210e3 bne tp,t0,80002da4 <test_27+0x4>
- 80002dc8: 00100e93 li t4,1
- 80002dcc: 01b00193 li gp,27
- 80002dd0: 27d31e63 bne t1,t4,8000304c <fail>
-
-0000000080002dd4 <test_28>:
- 80002dd4: 00000213 li tp,0
- 80002dd8: 800000b7 lui ra,0x80000
- 80002ddc: 00700113 li sp,7
- 80002de0: 0020df3b srlw t5,ra,sp
- 80002de4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002de8: 00200293 li t0,2
- 80002dec: fe5216e3 bne tp,t0,80002dd8 <test_28+0x4>
- 80002df0: 01000eb7 lui t4,0x1000
- 80002df4: 01c00193 li gp,28
- 80002df8: 25df1a63 bne t5,t4,8000304c <fail>
-
-0000000080002dfc <test_29>:
- 80002dfc: 00000213 li tp,0
- 80002e00: 800000b7 lui ra,0x80000
- 80002e04: 00e00113 li sp,14
- 80002e08: 00000013 nop
- 80002e0c: 0020df3b srlw t5,ra,sp
- 80002e10: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e14: 00200293 li t0,2
- 80002e18: fe5214e3 bne tp,t0,80002e00 <test_29+0x4>
- 80002e1c: 00020eb7 lui t4,0x20
- 80002e20: 01d00193 li gp,29
- 80002e24: 23df1463 bne t5,t4,8000304c <fail>
-
-0000000080002e28 <test_30>:
- 80002e28: 00000213 li tp,0
- 80002e2c: 800000b7 lui ra,0x80000
- 80002e30: 01f00113 li sp,31
- 80002e34: 00000013 nop
- 80002e38: 00000013 nop
- 80002e3c: 0020df3b srlw t5,ra,sp
- 80002e40: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e44: 00200293 li t0,2
- 80002e48: fe5212e3 bne tp,t0,80002e2c <test_30+0x4>
- 80002e4c: 00100e93 li t4,1
- 80002e50: 01e00193 li gp,30
- 80002e54: 1fdf1c63 bne t5,t4,8000304c <fail>
-
-0000000080002e58 <test_31>:
- 80002e58: 00000213 li tp,0
- 80002e5c: 800000b7 lui ra,0x80000
- 80002e60: 00000013 nop
- 80002e64: 00700113 li sp,7
- 80002e68: 0020df3b srlw t5,ra,sp
- 80002e6c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002e70: 00200293 li t0,2
- 80002e74: fe5214e3 bne tp,t0,80002e5c <test_31+0x4>
- 80002e78: 01000eb7 lui t4,0x1000
- 80002e7c: 01f00193 li gp,31
- 80002e80: 1ddf1663 bne t5,t4,8000304c <fail>
-
-0000000080002e84 <test_32>:
- 80002e84: 00000213 li tp,0
- 80002e88: 800000b7 lui ra,0x80000
- 80002e8c: 00000013 nop
- 80002e90: 00e00113 li sp,14
- 80002e94: 00000013 nop
- 80002e98: 0020df3b srlw t5,ra,sp
- 80002e9c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ea0: 00200293 li t0,2
- 80002ea4: fe5212e3 bne tp,t0,80002e88 <test_32+0x4>
- 80002ea8: 00020eb7 lui t4,0x20
- 80002eac: 02000193 li gp,32
- 80002eb0: 19df1e63 bne t5,t4,8000304c <fail>
-
-0000000080002eb4 <test_33>:
- 80002eb4: 00000213 li tp,0
- 80002eb8: 800000b7 lui ra,0x80000
- 80002ebc: 00000013 nop
- 80002ec0: 00000013 nop
- 80002ec4: 01f00113 li sp,31
- 80002ec8: 0020df3b srlw t5,ra,sp
- 80002ecc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ed0: 00200293 li t0,2
- 80002ed4: fe5212e3 bne tp,t0,80002eb8 <test_33+0x4>
- 80002ed8: 00100e93 li t4,1
- 80002edc: 02100193 li gp,33
- 80002ee0: 17df1663 bne t5,t4,8000304c <fail>
-
-0000000080002ee4 <test_34>:
- 80002ee4: 00000213 li tp,0
- 80002ee8: 00700113 li sp,7
- 80002eec: 800000b7 lui ra,0x80000
- 80002ef0: 0020df3b srlw t5,ra,sp
- 80002ef4: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002ef8: 00200293 li t0,2
- 80002efc: fe5216e3 bne tp,t0,80002ee8 <test_34+0x4>
- 80002f00: 01000eb7 lui t4,0x1000
- 80002f04: 02200193 li gp,34
- 80002f08: 15df1263 bne t5,t4,8000304c <fail>
-
-0000000080002f0c <test_35>:
- 80002f0c: 00000213 li tp,0
- 80002f10: 00e00113 li sp,14
- 80002f14: 800000b7 lui ra,0x80000
- 80002f18: 00000013 nop
- 80002f1c: 0020df3b srlw t5,ra,sp
- 80002f20: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f24: 00200293 li t0,2
- 80002f28: fe5214e3 bne tp,t0,80002f10 <test_35+0x4>
- 80002f2c: 00020eb7 lui t4,0x20
- 80002f30: 02300193 li gp,35
- 80002f34: 11df1c63 bne t5,t4,8000304c <fail>
-
-0000000080002f38 <test_36>:
- 80002f38: 00000213 li tp,0
- 80002f3c: 01f00113 li sp,31
- 80002f40: 800000b7 lui ra,0x80000
- 80002f44: 00000013 nop
- 80002f48: 00000013 nop
- 80002f4c: 0020df3b srlw t5,ra,sp
- 80002f50: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f54: 00200293 li t0,2
- 80002f58: fe5212e3 bne tp,t0,80002f3c <test_36+0x4>
- 80002f5c: 00100e93 li t4,1
- 80002f60: 02400193 li gp,36
- 80002f64: 0fdf1463 bne t5,t4,8000304c <fail>
-
-0000000080002f68 <test_37>:
- 80002f68: 00000213 li tp,0
- 80002f6c: 00700113 li sp,7
- 80002f70: 00000013 nop
- 80002f74: 800000b7 lui ra,0x80000
- 80002f78: 0020df3b srlw t5,ra,sp
- 80002f7c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002f80: 00200293 li t0,2
- 80002f84: fe5214e3 bne tp,t0,80002f6c <test_37+0x4>
- 80002f88: 01000eb7 lui t4,0x1000
- 80002f8c: 02500193 li gp,37
- 80002f90: 0bdf1e63 bne t5,t4,8000304c <fail>
-
-0000000080002f94 <test_38>:
- 80002f94: 00000213 li tp,0
- 80002f98: 00e00113 li sp,14
- 80002f9c: 00000013 nop
- 80002fa0: 800000b7 lui ra,0x80000
- 80002fa4: 00000013 nop
- 80002fa8: 0020df3b srlw t5,ra,sp
- 80002fac: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002fb0: 00200293 li t0,2
- 80002fb4: fe5212e3 bne tp,t0,80002f98 <test_38+0x4>
- 80002fb8: 00020eb7 lui t4,0x20
- 80002fbc: 02600193 li gp,38
- 80002fc0: 09df1663 bne t5,t4,8000304c <fail>
-
-0000000080002fc4 <test_39>:
- 80002fc4: 00000213 li tp,0
- 80002fc8: 01f00113 li sp,31
- 80002fcc: 00000013 nop
- 80002fd0: 00000013 nop
- 80002fd4: 800000b7 lui ra,0x80000
- 80002fd8: 0020df3b srlw t5,ra,sp
- 80002fdc: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
- 80002fe0: 00200293 li t0,2
- 80002fe4: fe5212e3 bne tp,t0,80002fc8 <test_39+0x4>
- 80002fe8: 00100e93 li t4,1
- 80002fec: 02700193 li gp,39
- 80002ff0: 05df1e63 bne t5,t4,8000304c <fail>
-
-0000000080002ff4 <test_40>:
- 80002ff4: 00f00093 li ra,15
- 80002ff8: 0010513b srlw sp,zero,ra
- 80002ffc: 00000e93 li t4,0
- 80003000: 02800193 li gp,40
- 80003004: 05d11463 bne sp,t4,8000304c <fail>
-
-0000000080003008 <test_41>:
- 80003008: 02000093 li ra,32
- 8000300c: 0000d13b srlw sp,ra,zero
- 80003010: 02000e93 li t4,32
- 80003014: 02900193 li gp,41
- 80003018: 03d11a63 bne sp,t4,8000304c <fail>
-
-000000008000301c <test_42>:
- 8000301c: 000050bb srlw ra,zero,zero
- 80003020: 00000e93 li t4,0
- 80003024: 02a00193 li gp,42
- 80003028: 03d09263 bne ra,t4,8000304c <fail>
-
-000000008000302c <test_43>:
- 8000302c: 40000093 li ra,1024
- 80003030: 00001137 lui sp,0x1
- 80003034: 8001011b addiw sp,sp,-2048
- 80003038: 0020d03b srlw zero,ra,sp
- 8000303c: 00000e93 li t4,0
- 80003040: 02b00193 li gp,43
- 80003044: 01d01463 bne zero,t4,8000304c <fail>
- 80003048: 00301a63 bne zero,gp,8000305c <pass>
-
-000000008000304c <fail>:
- 8000304c: 00119513 slli a0,gp,0x1
- 80003050: 00050063 beqz a0,80003050 <fail+0x4>
- 80003054: 00156513 ori a0,a0,1
- 80003058: 00000073 ecall
-
-000000008000305c <pass>:
- 8000305c: 00100513 li a0,1
- 80003060: 00000073 ecall
- 80003064: c0001073 unimp
+ 80002cfc: 3fdf1863 bne t5,t4,800030ec <fail>
+
+0000000080002d00 <test_44>:
+ 80002d00: fff120b7 lui ra,0xfff12
+ 80002d04: 3450809b addiw ra,ra,837
+ 80002d08: 00c09093 slli ra,ra,0xc
+ 80002d0c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002d10: 00000113 li sp,0
+ 80002d14: 0020df3b srlw t5,ra,sp
+ 80002d18: 12345eb7 lui t4,0x12345
+ 80002d1c: 678e8e9b addiw t4,t4,1656
+ 80002d20: 02c00193 li gp,44
+ 80002d24: 3ddf1463 bne t5,t4,800030ec <fail>
+
+0000000080002d28 <test_45>:
+ 80002d28: fff120b7 lui ra,0xfff12
+ 80002d2c: 3450809b addiw ra,ra,837
+ 80002d30: 00c09093 slli ra,ra,0xc
+ 80002d34: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09e88>
+ 80002d38: 00400113 li sp,4
+ 80002d3c: 0020df3b srlw t5,ra,sp
+ 80002d40: 01234eb7 lui t4,0x1234
+ 80002d44: 567e8e9b addiw t4,t4,1383
+ 80002d48: 02d00193 li gp,45
+ 80002d4c: 3bdf1063 bne t5,t4,800030ec <fail>
+
+0000000080002d50 <test_46>:
+ 80002d50: 000920b7 lui ra,0x92
+ 80002d54: 3450809b addiw ra,ra,837
+ 80002d58: 00c09093 slli ra,ra,0xc
+ 80002d5c: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002d60: 00000113 li sp,0
+ 80002d64: 0020df3b srlw t5,ra,sp
+ 80002d68: 92345eb7 lui t4,0x92345
+ 80002d6c: 678e8e9b addiw t4,t4,1656
+ 80002d70: 02e00193 li gp,46
+ 80002d74: 37df1c63 bne t5,t4,800030ec <fail>
+
+0000000080002d78 <test_47>:
+ 80002d78: 000920b7 lui ra,0x92
+ 80002d7c: 3450809b addiw ra,ra,837
+ 80002d80: 00c09093 slli ra,ra,0xc
+ 80002d84: 67808093 addi ra,ra,1656 # 92678 <_start-0x7ff6d988>
+ 80002d88: 00400113 li sp,4
+ 80002d8c: 0020df3b srlw t5,ra,sp
+ 80002d90: 09234eb7 lui t4,0x9234
+ 80002d94: 567e8e9b addiw t4,t4,1383
+ 80002d98: 02f00193 li gp,47
+ 80002d9c: 35df1863 bne t5,t4,800030ec <fail>
+
+0000000080002da0 <test_22>:
+ 80002da0: 800000b7 lui ra,0x80000
+ 80002da4: 00700113 li sp,7
+ 80002da8: 0020d0bb srlw ra,ra,sp
+ 80002dac: 01000eb7 lui t4,0x1000
+ 80002db0: 01600193 li gp,22
+ 80002db4: 33d09c63 bne ra,t4,800030ec <fail>
+
+0000000080002db8 <test_23>:
+ 80002db8: 800000b7 lui ra,0x80000
+ 80002dbc: 00e00113 li sp,14
+ 80002dc0: 0020d13b srlw sp,ra,sp
+ 80002dc4: 00020eb7 lui t4,0x20
+ 80002dc8: 01700193 li gp,23
+ 80002dcc: 33d11063 bne sp,t4,800030ec <fail>
+
+0000000080002dd0 <test_24>:
+ 80002dd0: 00700093 li ra,7
+ 80002dd4: 0010d0bb srlw ra,ra,ra
+ 80002dd8: 00000e93 li t4,0
+ 80002ddc: 01800193 li gp,24
+ 80002de0: 31d09663 bne ra,t4,800030ec <fail>
+
+0000000080002de4 <test_25>:
+ 80002de4: 00000213 li tp,0
+ 80002de8: 800000b7 lui ra,0x80000
+ 80002dec: 00700113 li sp,7
+ 80002df0: 0020df3b srlw t5,ra,sp
+ 80002df4: 000f0313 mv t1,t5
+ 80002df8: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002dfc: 00200293 li t0,2
+ 80002e00: fe5214e3 bne tp,t0,80002de8 <test_25+0x4>
+ 80002e04: 01000eb7 lui t4,0x1000
+ 80002e08: 01900193 li gp,25
+ 80002e0c: 2fd31063 bne t1,t4,800030ec <fail>
+
+0000000080002e10 <test_26>:
+ 80002e10: 00000213 li tp,0
+ 80002e14: 800000b7 lui ra,0x80000
+ 80002e18: 00e00113 li sp,14
+ 80002e1c: 0020df3b srlw t5,ra,sp
+ 80002e20: 00000013 nop
+ 80002e24: 000f0313 mv t1,t5
+ 80002e28: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e2c: 00200293 li t0,2
+ 80002e30: fe5212e3 bne tp,t0,80002e14 <test_26+0x4>
+ 80002e34: 00020eb7 lui t4,0x20
+ 80002e38: 01a00193 li gp,26
+ 80002e3c: 2bd31863 bne t1,t4,800030ec <fail>
+
+0000000080002e40 <test_27>:
+ 80002e40: 00000213 li tp,0
+ 80002e44: 800000b7 lui ra,0x80000
+ 80002e48: 01f00113 li sp,31
+ 80002e4c: 0020df3b srlw t5,ra,sp
+ 80002e50: 00000013 nop
+ 80002e54: 00000013 nop
+ 80002e58: 000f0313 mv t1,t5
+ 80002e5c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e60: 00200293 li t0,2
+ 80002e64: fe5210e3 bne tp,t0,80002e44 <test_27+0x4>
+ 80002e68: 00100e93 li t4,1
+ 80002e6c: 01b00193 li gp,27
+ 80002e70: 27d31e63 bne t1,t4,800030ec <fail>
+
+0000000080002e74 <test_28>:
+ 80002e74: 00000213 li tp,0
+ 80002e78: 800000b7 lui ra,0x80000
+ 80002e7c: 00700113 li sp,7
+ 80002e80: 0020df3b srlw t5,ra,sp
+ 80002e84: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002e88: 00200293 li t0,2
+ 80002e8c: fe5216e3 bne tp,t0,80002e78 <test_28+0x4>
+ 80002e90: 01000eb7 lui t4,0x1000
+ 80002e94: 01c00193 li gp,28
+ 80002e98: 25df1a63 bne t5,t4,800030ec <fail>
+
+0000000080002e9c <test_29>:
+ 80002e9c: 00000213 li tp,0
+ 80002ea0: 800000b7 lui ra,0x80000
+ 80002ea4: 00e00113 li sp,14
+ 80002ea8: 00000013 nop
+ 80002eac: 0020df3b srlw t5,ra,sp
+ 80002eb0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002eb4: 00200293 li t0,2
+ 80002eb8: fe5214e3 bne tp,t0,80002ea0 <test_29+0x4>
+ 80002ebc: 00020eb7 lui t4,0x20
+ 80002ec0: 01d00193 li gp,29
+ 80002ec4: 23df1463 bne t5,t4,800030ec <fail>
+
+0000000080002ec8 <test_30>:
+ 80002ec8: 00000213 li tp,0
+ 80002ecc: 800000b7 lui ra,0x80000
+ 80002ed0: 01f00113 li sp,31
+ 80002ed4: 00000013 nop
+ 80002ed8: 00000013 nop
+ 80002edc: 0020df3b srlw t5,ra,sp
+ 80002ee0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ee4: 00200293 li t0,2
+ 80002ee8: fe5212e3 bne tp,t0,80002ecc <test_30+0x4>
+ 80002eec: 00100e93 li t4,1
+ 80002ef0: 01e00193 li gp,30
+ 80002ef4: 1fdf1c63 bne t5,t4,800030ec <fail>
+
+0000000080002ef8 <test_31>:
+ 80002ef8: 00000213 li tp,0
+ 80002efc: 800000b7 lui ra,0x80000
+ 80002f00: 00000013 nop
+ 80002f04: 00700113 li sp,7
+ 80002f08: 0020df3b srlw t5,ra,sp
+ 80002f0c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f10: 00200293 li t0,2
+ 80002f14: fe5214e3 bne tp,t0,80002efc <test_31+0x4>
+ 80002f18: 01000eb7 lui t4,0x1000
+ 80002f1c: 01f00193 li gp,31
+ 80002f20: 1ddf1663 bne t5,t4,800030ec <fail>
+
+0000000080002f24 <test_32>:
+ 80002f24: 00000213 li tp,0
+ 80002f28: 800000b7 lui ra,0x80000
+ 80002f2c: 00000013 nop
+ 80002f30: 00e00113 li sp,14
+ 80002f34: 00000013 nop
+ 80002f38: 0020df3b srlw t5,ra,sp
+ 80002f3c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f40: 00200293 li t0,2
+ 80002f44: fe5212e3 bne tp,t0,80002f28 <test_32+0x4>
+ 80002f48: 00020eb7 lui t4,0x20
+ 80002f4c: 02000193 li gp,32
+ 80002f50: 19df1e63 bne t5,t4,800030ec <fail>
+
+0000000080002f54 <test_33>:
+ 80002f54: 00000213 li tp,0
+ 80002f58: 800000b7 lui ra,0x80000
+ 80002f5c: 00000013 nop
+ 80002f60: 00000013 nop
+ 80002f64: 01f00113 li sp,31
+ 80002f68: 0020df3b srlw t5,ra,sp
+ 80002f6c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f70: 00200293 li t0,2
+ 80002f74: fe5212e3 bne tp,t0,80002f58 <test_33+0x4>
+ 80002f78: 00100e93 li t4,1
+ 80002f7c: 02100193 li gp,33
+ 80002f80: 17df1663 bne t5,t4,800030ec <fail>
+
+0000000080002f84 <test_34>:
+ 80002f84: 00000213 li tp,0
+ 80002f88: 00700113 li sp,7
+ 80002f8c: 800000b7 lui ra,0x80000
+ 80002f90: 0020df3b srlw t5,ra,sp
+ 80002f94: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002f98: 00200293 li t0,2
+ 80002f9c: fe5216e3 bne tp,t0,80002f88 <test_34+0x4>
+ 80002fa0: 01000eb7 lui t4,0x1000
+ 80002fa4: 02200193 li gp,34
+ 80002fa8: 15df1263 bne t5,t4,800030ec <fail>
+
+0000000080002fac <test_35>:
+ 80002fac: 00000213 li tp,0
+ 80002fb0: 00e00113 li sp,14
+ 80002fb4: 800000b7 lui ra,0x80000
+ 80002fb8: 00000013 nop
+ 80002fbc: 0020df3b srlw t5,ra,sp
+ 80002fc0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002fc4: 00200293 li t0,2
+ 80002fc8: fe5214e3 bne tp,t0,80002fb0 <test_35+0x4>
+ 80002fcc: 00020eb7 lui t4,0x20
+ 80002fd0: 02300193 li gp,35
+ 80002fd4: 11df1c63 bne t5,t4,800030ec <fail>
+
+0000000080002fd8 <test_36>:
+ 80002fd8: 00000213 li tp,0
+ 80002fdc: 01f00113 li sp,31
+ 80002fe0: 800000b7 lui ra,0x80000
+ 80002fe4: 00000013 nop
+ 80002fe8: 00000013 nop
+ 80002fec: 0020df3b srlw t5,ra,sp
+ 80002ff0: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80002ff4: 00200293 li t0,2
+ 80002ff8: fe5212e3 bne tp,t0,80002fdc <test_36+0x4>
+ 80002ffc: 00100e93 li t4,1
+ 80003000: 02400193 li gp,36
+ 80003004: 0fdf1463 bne t5,t4,800030ec <fail>
+
+0000000080003008 <test_37>:
+ 80003008: 00000213 li tp,0
+ 8000300c: 00700113 li sp,7
+ 80003010: 00000013 nop
+ 80003014: 800000b7 lui ra,0x80000
+ 80003018: 0020df3b srlw t5,ra,sp
+ 8000301c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003020: 00200293 li t0,2
+ 80003024: fe5214e3 bne tp,t0,8000300c <test_37+0x4>
+ 80003028: 01000eb7 lui t4,0x1000
+ 8000302c: 02500193 li gp,37
+ 80003030: 0bdf1e63 bne t5,t4,800030ec <fail>
+
+0000000080003034 <test_38>:
+ 80003034: 00000213 li tp,0
+ 80003038: 00e00113 li sp,14
+ 8000303c: 00000013 nop
+ 80003040: 800000b7 lui ra,0x80000
+ 80003044: 00000013 nop
+ 80003048: 0020df3b srlw t5,ra,sp
+ 8000304c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003050: 00200293 li t0,2
+ 80003054: fe5212e3 bne tp,t0,80003038 <test_38+0x4>
+ 80003058: 00020eb7 lui t4,0x20
+ 8000305c: 02600193 li gp,38
+ 80003060: 09df1663 bne t5,t4,800030ec <fail>
+
+0000000080003064 <test_39>:
+ 80003064: 00000213 li tp,0
+ 80003068: 01f00113 li sp,31
+ 8000306c: 00000013 nop
+ 80003070: 00000013 nop
+ 80003074: 800000b7 lui ra,0x80000
+ 80003078: 0020df3b srlw t5,ra,sp
+ 8000307c: 00120213 addi tp,tp,1 # 1 <_start-0x7fffffff>
+ 80003080: 00200293 li t0,2
+ 80003084: fe5212e3 bne tp,t0,80003068 <test_39+0x4>
+ 80003088: 00100e93 li t4,1
+ 8000308c: 02700193 li gp,39
+ 80003090: 05df1e63 bne t5,t4,800030ec <fail>
+
+0000000080003094 <test_40>:
+ 80003094: 00f00093 li ra,15
+ 80003098: 0010513b srlw sp,zero,ra
+ 8000309c: 00000e93 li t4,0
+ 800030a0: 02800193 li gp,40
+ 800030a4: 05d11463 bne sp,t4,800030ec <fail>
+
+00000000800030a8 <test_41>:
+ 800030a8: 02000093 li ra,32
+ 800030ac: 0000d13b srlw sp,ra,zero
+ 800030b0: 02000e93 li t4,32
+ 800030b4: 02900193 li gp,41
+ 800030b8: 03d11a63 bne sp,t4,800030ec <fail>
+
+00000000800030bc <test_42>:
+ 800030bc: 000050bb srlw ra,zero,zero
+ 800030c0: 00000e93 li t4,0
+ 800030c4: 02a00193 li gp,42
+ 800030c8: 03d09263 bne ra,t4,800030ec <fail>
+
+00000000800030cc <test_43>:
+ 800030cc: 40000093 li ra,1024
+ 800030d0: 00001137 lui sp,0x1
+ 800030d4: 8001011b addiw sp,sp,-2048
+ 800030d8: 0020d03b srlw zero,ra,sp
+ 800030dc: 00000e93 li t4,0
+ 800030e0: 02b00193 li gp,43
+ 800030e4: 01d01463 bne zero,t4,800030ec <fail>
+ 800030e8: 00301a63 bne zero,gp,800030fc <pass>
+
+00000000800030ec <fail>:
+ 800030ec: 00119513 slli a0,gp,0x1
+ 800030f0: 00050063 beqz a0,800030f0 <fail+0x4>
+ 800030f4: 00156513 ori a0,a0,1
+ 800030f8: 00000073 ecall
+
+00000000800030fc <pass>:
+ 800030fc: 00100513 li a0,1
+ 80003100: 00000073 ecall
+ 80003104: c0001073 unimp
diff --git a/test/riscv-tests/rv64ui-v-srlw.elf b/test/riscv-tests/rv64ui-v-srlw.elf
index 87f8e6d..55a29ef 100644
--- a/test/riscv-tests/rv64ui-v-srlw.elf
+++ b/test/riscv-tests/rv64ui-v-srlw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sub.dump b/test/riscv-tests/rv64ui-v-sub.dump
index 1e59e97..3168344 100644
--- a/test/riscv-tests/rv64ui-v-sub.dump
+++ b/test/riscv-tests/rv64ui-v-sub.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sub.elf b/test/riscv-tests/rv64ui-v-sub.elf
index 25ce44f..75f226f 100644
--- a/test/riscv-tests/rv64ui-v-sub.elf
+++ b/test/riscv-tests/rv64ui-v-sub.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-subw.dump b/test/riscv-tests/rv64ui-v-subw.dump
index 8832cb7..8d9c9b7 100644
--- a/test/riscv-tests/rv64ui-v-subw.dump
+++ b/test/riscv-tests/rv64ui-v-subw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-subw.elf b/test/riscv-tests/rv64ui-v-subw.elf
index 0c451db..f90ec56 100644
--- a/test/riscv-tests/rv64ui-v-subw.elf
+++ b/test/riscv-tests/rv64ui-v-subw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-sw.dump b/test/riscv-tests/rv64ui-v-sw.dump
index 60671e2..22786ec 100644
--- a/test/riscv-tests/rv64ui-v-sw.dump
+++ b/test/riscv-tests/rv64ui-v-sw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-sw.elf b/test/riscv-tests/rv64ui-v-sw.elf
index d52322b..23e3701 100644
--- a/test/riscv-tests/rv64ui-v-sw.elf
+++ b/test/riscv-tests/rv64ui-v-sw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-xor.dump b/test/riscv-tests/rv64ui-v-xor.dump
index 8b95600..600a772 100644
--- a/test/riscv-tests/rv64ui-v-xor.dump
+++ b/test/riscv-tests/rv64ui-v-xor.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-xor.elf b/test/riscv-tests/rv64ui-v-xor.elf
index 151c211..3e41603 100644
--- a/test/riscv-tests/rv64ui-v-xor.elf
+++ b/test/riscv-tests/rv64ui-v-xor.elf
Binary files differ
diff --git a/test/riscv-tests/rv64ui-v-xori.dump b/test/riscv-tests/rv64ui-v-xori.dump
index cc0d051..958cef8 100644
--- a/test/riscv-tests/rv64ui-v-xori.dump
+++ b/test/riscv-tests/rv64ui-v-xori.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64ui-v-xori.elf b/test/riscv-tests/rv64ui-v-xori.elf
index d716ff2..6f701a4 100644
--- a/test/riscv-tests/rv64ui-v-xori.elf
+++ b/test/riscv-tests/rv64ui-v-xori.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-div.elf b/test/riscv-tests/rv64um-p-div.elf
index d202d09..c33b954 100644
--- a/test/riscv-tests/rv64um-p-div.elf
+++ b/test/riscv-tests/rv64um-p-div.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-divu.elf b/test/riscv-tests/rv64um-p-divu.elf
index bef0d69..6c7c992 100644
--- a/test/riscv-tests/rv64um-p-divu.elf
+++ b/test/riscv-tests/rv64um-p-divu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-divuw.elf b/test/riscv-tests/rv64um-p-divuw.elf
index 1d1e44f..d28d92d 100644
--- a/test/riscv-tests/rv64um-p-divuw.elf
+++ b/test/riscv-tests/rv64um-p-divuw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-divw.elf b/test/riscv-tests/rv64um-p-divw.elf
index e39eb53..62998eb 100644
--- a/test/riscv-tests/rv64um-p-divw.elf
+++ b/test/riscv-tests/rv64um-p-divw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-mul.elf b/test/riscv-tests/rv64um-p-mul.elf
index 4983abe..7db8e67 100644
--- a/test/riscv-tests/rv64um-p-mul.elf
+++ b/test/riscv-tests/rv64um-p-mul.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-mulh.elf b/test/riscv-tests/rv64um-p-mulh.elf
index 4118cec..9a7009a 100644
--- a/test/riscv-tests/rv64um-p-mulh.elf
+++ b/test/riscv-tests/rv64um-p-mulh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-mulhsu.elf b/test/riscv-tests/rv64um-p-mulhsu.elf
index 37979fe..eb825f7 100644
--- a/test/riscv-tests/rv64um-p-mulhsu.elf
+++ b/test/riscv-tests/rv64um-p-mulhsu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-mulhu.elf b/test/riscv-tests/rv64um-p-mulhu.elf
index e3ae453..b398098 100644
--- a/test/riscv-tests/rv64um-p-mulhu.elf
+++ b/test/riscv-tests/rv64um-p-mulhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-mulw.elf b/test/riscv-tests/rv64um-p-mulw.elf
index 9c3214c..8651960 100644
--- a/test/riscv-tests/rv64um-p-mulw.elf
+++ b/test/riscv-tests/rv64um-p-mulw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-rem.elf b/test/riscv-tests/rv64um-p-rem.elf
index cfe6e6c..45a427e 100644
--- a/test/riscv-tests/rv64um-p-rem.elf
+++ b/test/riscv-tests/rv64um-p-rem.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-remu.elf b/test/riscv-tests/rv64um-p-remu.elf
index 93dcf5e..ce63b5f 100644
--- a/test/riscv-tests/rv64um-p-remu.elf
+++ b/test/riscv-tests/rv64um-p-remu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-remuw.elf b/test/riscv-tests/rv64um-p-remuw.elf
index ba166ff..3e1fea5 100644
--- a/test/riscv-tests/rv64um-p-remuw.elf
+++ b/test/riscv-tests/rv64um-p-remuw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-p-remw.elf b/test/riscv-tests/rv64um-p-remw.elf
index 55798a6..e079595 100644
--- a/test/riscv-tests/rv64um-p-remw.elf
+++ b/test/riscv-tests/rv64um-p-remw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-div.dump b/test/riscv-tests/rv64um-v-div.dump
index bfc4ab1..fe82546 100644
--- a/test/riscv-tests/rv64um-v-div.dump
+++ b/test/riscv-tests/rv64um-v-div.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-div.elf b/test/riscv-tests/rv64um-v-div.elf
index 3374b8a..3d3faff 100644
--- a/test/riscv-tests/rv64um-v-div.elf
+++ b/test/riscv-tests/rv64um-v-div.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-divu.dump b/test/riscv-tests/rv64um-v-divu.dump
index 68b9aab..db704e1 100644
--- a/test/riscv-tests/rv64um-v-divu.dump
+++ b/test/riscv-tests/rv64um-v-divu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-divu.elf b/test/riscv-tests/rv64um-v-divu.elf
index 4eec538..c1fcea0 100644
--- a/test/riscv-tests/rv64um-v-divu.elf
+++ b/test/riscv-tests/rv64um-v-divu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-divuw.dump b/test/riscv-tests/rv64um-v-divuw.dump
index 644f517..0c877d5 100644
--- a/test/riscv-tests/rv64um-v-divuw.dump
+++ b/test/riscv-tests/rv64um-v-divuw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-divuw.elf b/test/riscv-tests/rv64um-v-divuw.elf
index f5ae7cb..68f0b6c 100644
--- a/test/riscv-tests/rv64um-v-divuw.elf
+++ b/test/riscv-tests/rv64um-v-divuw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-divw.dump b/test/riscv-tests/rv64um-v-divw.dump
index e2ba647..75433d5 100644
--- a/test/riscv-tests/rv64um-v-divw.dump
+++ b/test/riscv-tests/rv64um-v-divw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-divw.elf b/test/riscv-tests/rv64um-v-divw.elf
index 1ecdfcf..75273cc 100644
--- a/test/riscv-tests/rv64um-v-divw.elf
+++ b/test/riscv-tests/rv64um-v-divw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-mul.dump b/test/riscv-tests/rv64um-v-mul.dump
index 784ee41..509944c 100644
--- a/test/riscv-tests/rv64um-v-mul.dump
+++ b/test/riscv-tests/rv64um-v-mul.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-mul.elf b/test/riscv-tests/rv64um-v-mul.elf
index 64107a2..20551df 100644
--- a/test/riscv-tests/rv64um-v-mul.elf
+++ b/test/riscv-tests/rv64um-v-mul.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-mulh.dump b/test/riscv-tests/rv64um-v-mulh.dump
index 17befcd..e501d3e 100644
--- a/test/riscv-tests/rv64um-v-mulh.dump
+++ b/test/riscv-tests/rv64um-v-mulh.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-mulh.elf b/test/riscv-tests/rv64um-v-mulh.elf
index a644ac4..54e44f1 100644
--- a/test/riscv-tests/rv64um-v-mulh.elf
+++ b/test/riscv-tests/rv64um-v-mulh.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-mulhsu.dump b/test/riscv-tests/rv64um-v-mulhsu.dump
index 061224b..cc19029 100644
--- a/test/riscv-tests/rv64um-v-mulhsu.dump
+++ b/test/riscv-tests/rv64um-v-mulhsu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-mulhsu.elf b/test/riscv-tests/rv64um-v-mulhsu.elf
index a8afeaa..5e98393 100644
--- a/test/riscv-tests/rv64um-v-mulhsu.elf
+++ b/test/riscv-tests/rv64um-v-mulhsu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-mulhu.dump b/test/riscv-tests/rv64um-v-mulhu.dump
index 85b66d0..c0ab487 100644
--- a/test/riscv-tests/rv64um-v-mulhu.dump
+++ b/test/riscv-tests/rv64um-v-mulhu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-mulhu.elf b/test/riscv-tests/rv64um-v-mulhu.elf
index 62759c8..2b545ac 100644
--- a/test/riscv-tests/rv64um-v-mulhu.elf
+++ b/test/riscv-tests/rv64um-v-mulhu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-mulw.dump b/test/riscv-tests/rv64um-v-mulw.dump
index 0d3598f..252c9e2 100644
--- a/test/riscv-tests/rv64um-v-mulw.dump
+++ b/test/riscv-tests/rv64um-v-mulw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-mulw.elf b/test/riscv-tests/rv64um-v-mulw.elf
index bfc28b2..a7ba7ba 100644
--- a/test/riscv-tests/rv64um-v-mulw.elf
+++ b/test/riscv-tests/rv64um-v-mulw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-rem.dump b/test/riscv-tests/rv64um-v-rem.dump
index 8c29a0c..d47e330 100644
--- a/test/riscv-tests/rv64um-v-rem.dump
+++ b/test/riscv-tests/rv64um-v-rem.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-rem.elf b/test/riscv-tests/rv64um-v-rem.elf
index 9caa3b3..151fa2a 100644
--- a/test/riscv-tests/rv64um-v-rem.elf
+++ b/test/riscv-tests/rv64um-v-rem.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-remu.dump b/test/riscv-tests/rv64um-v-remu.dump
index 01d50ac..863a0b7 100644
--- a/test/riscv-tests/rv64um-v-remu.dump
+++ b/test/riscv-tests/rv64um-v-remu.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-remu.elf b/test/riscv-tests/rv64um-v-remu.elf
index 26ead9d..0317a40 100644
--- a/test/riscv-tests/rv64um-v-remu.elf
+++ b/test/riscv-tests/rv64um-v-remu.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-remuw.dump b/test/riscv-tests/rv64um-v-remuw.dump
index f0688a4..0825b85 100644
--- a/test/riscv-tests/rv64um-v-remuw.dump
+++ b/test/riscv-tests/rv64um-v-remuw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-remuw.elf b/test/riscv-tests/rv64um-v-remuw.elf
index 795ae59..c114230 100644
--- a/test/riscv-tests/rv64um-v-remuw.elf
+++ b/test/riscv-tests/rv64um-v-remuw.elf
Binary files differ
diff --git a/test/riscv-tests/rv64um-v-remw.dump b/test/riscv-tests/rv64um-v-remw.dump
index 659d882..3b82fc4 100644
--- a/test/riscv-tests/rv64um-v-remw.dump
+++ b/test/riscv-tests/rv64um-v-remw.dump
@@ -101,7 +101,7 @@ Disassembly of section .text.init:
8000014c: 10513023 sd t0,256(sp)
80000150: 141022f3 csrr t0,sepc
80000154: 10513423 sd t0,264(sp)
- 80000158: 143022f3 csrr t0,sbadaddr
+ 80000158: 143022f3 csrr t0,stval
8000015c: 10513823 sd t0,272(sp)
80000160: 142022f3 csrr t0,scause
80000164: 10513c23 sd t0,280(sp)
diff --git a/test/riscv-tests/rv64um-v-remw.elf b/test/riscv-tests/rv64um-v-remw.elf
index 7471536..e29a384 100644
--- a/test/riscv-tests/rv64um-v-remw.elf
+++ b/test/riscv-tests/rv64um-v-remw.elf
Binary files differ