aboutsummaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
authorAhmed BOUDJELIDA <aboudjelida@nanoxplore.com>2023-08-16 17:05:05 +0200
committerAntonio Borneo <borneo.antonio@gmail.com>2023-08-26 11:45:43 +0000
commitaee495e7859d0f19b52ba12cafaad2628d84f8e1 (patch)
tree0b98216ee50f81938e23238e5098e064026553b0 /src
parentaa0056d27355d7719a120cdc4292215acae40819 (diff)
downloadriscv-openocd-aee495e7859d0f19b52ba12cafaad2628d84f8e1.zip
riscv-openocd-aee495e7859d0f19b52ba12cafaad2628d84f8e1.tar.gz
riscv-openocd-aee495e7859d0f19b52ba12cafaad2628d84f8e1.tar.bz2
contrib/firmware: add new i2c bit-banging feature to angie's firmware
add new i2c bit-banging feature, we can now connect in JTAG with the SoC target and in i2c with the main board components at the same time. Change-Id: I8e4516fe1ad5238e0373444f1c3c9bc0814d0f52 Signed-off-by: Ahmed BOUDJELIDA <aboudjelida@nanoxplore.com> Reviewed-on: https://review.openocd.org/c/openocd/+/7796 Tested-by: jenkins Reviewed-by: Antonio Borneo <borneo.antonio@gmail.com>
Diffstat (limited to 'src')
-rw-r--r--src/jtag/drivers/angie/angie_bitstream.bitbin340702 -> 340704 bytes
-rw-r--r--src/jtag/drivers/angie/angie_firmware.binbin9298 -> 10158 bytes
2 files changed, 0 insertions, 0 deletions
diff --git a/src/jtag/drivers/angie/angie_bitstream.bit b/src/jtag/drivers/angie/angie_bitstream.bit
index 9e83e6b..aebd370 100644
--- a/src/jtag/drivers/angie/angie_bitstream.bit
+++ b/src/jtag/drivers/angie/angie_bitstream.bit
Binary files differ
diff --git a/src/jtag/drivers/angie/angie_firmware.bin b/src/jtag/drivers/angie/angie_firmware.bin
index 38f81c4..da69631 100644
--- a/src/jtag/drivers/angie/angie_firmware.bin
+++ b/src/jtag/drivers/angie/angie_firmware.bin
Binary files differ