aboutsummaryrefslogtreecommitdiff
path: root/src/jtag
diff options
context:
space:
mode:
authorAhmed BOUDJELIDA <aboudjelida@nanoxplore.com>2023-11-29 15:21:27 +0100
committerAntonio Borneo <borneo.antonio@gmail.com>2024-01-13 14:47:31 +0000
commitc7073853ebcbb8a94af0ef405cb05f94b7fd02e5 (patch)
tree8f9734cf410df9d8adc10851491e2f497218d01a /src/jtag
parent995a7af21d6f97f628382e26dc21dc38e4fa846e (diff)
downloadriscv-openocd-c7073853ebcbb8a94af0ef405cb05f94b7fd02e5.zip
riscv-openocd-c7073853ebcbb8a94af0ef405cb05f94b7fd02e5.tar.gz
riscv-openocd-c7073853ebcbb8a94af0ef405cb05f94b7fd02e5.tar.bz2
contrib/firmware: Add direction control for 'SCL' i2c signal
We want to keep the tri-state buffers located between the FPGA and the board, in 'Z' state until we launch an i2c connection. We launch an i2c start condition, make the SCL direction 'OUT' to start the i2c protocol and at the end of the i2c connection at the stop condition, we re-make the tri-state buffers at 'Z' state. Change-Id: Ic597a70d0427832547f6b539864c24ce20a18c64 Signed-off-by: Ahmed BOUDJELIDA <aboudjelida@nanoxplore.com> Reviewed-on: https://review.openocd.org/c/openocd/+/7989 Tested-by: jenkins Reviewed-by: Antonio Borneo <borneo.antonio@gmail.com>
Diffstat (limited to 'src/jtag')
-rw-r--r--src/jtag/drivers/angie/angie_bitstream.bitbin340704 -> 340704 bytes
-rw-r--r--src/jtag/drivers/angie/angie_firmware.binbin10158 -> 10256 bytes
2 files changed, 0 insertions, 0 deletions
diff --git a/src/jtag/drivers/angie/angie_bitstream.bit b/src/jtag/drivers/angie/angie_bitstream.bit
index aebd370..7b3a88f 100644
--- a/src/jtag/drivers/angie/angie_bitstream.bit
+++ b/src/jtag/drivers/angie/angie_bitstream.bit
Binary files differ
diff --git a/src/jtag/drivers/angie/angie_firmware.bin b/src/jtag/drivers/angie/angie_firmware.bin
index da69631..23c4a82 100644
--- a/src/jtag/drivers/angie/angie_firmware.bin
+++ b/src/jtag/drivers/angie/angie_firmware.bin
Binary files differ