aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAntonio Borneo <borneo.antonio@gmail.com>2019-05-12 12:53:56 +0200
committerAntonio Borneo <borneo.antonio@gmail.com>2020-05-02 15:40:12 +0100
commit9e23c9ae3551dc14e15bdfe129fd9e03c6970f33 (patch)
tree1c292b6c1049d7c2da0885afcb71ae07b757be44
parentc60252ac2b636c4d99b766a574b9df0966151696 (diff)
downloadriscv-openocd-9e23c9ae3551dc14e15bdfe129fd9e03c6970f33.zip
riscv-openocd-9e23c9ae3551dc14e15bdfe129fd9e03c6970f33.tar.gz
riscv-openocd-9e23c9ae3551dc14e15bdfe129fd9e03c6970f33.tar.bz2
coding style: tcl: remove empty lines at end of text files
Empty lines at end of text files are useless. Remove them. Change-Id: I503cb0a96c7ccb132f4486c206a48831121d7abd Signed-off-by: Antonio Borneo <borneo.antonio@gmail.com> Reviewed-on: http://openocd.zylin.com/5171 Tested-by: jenkins
-rw-r--r--tcl/bitsbytes.tcl2
-rw-r--r--tcl/board/adsp-sc584-ezbrd.cfg1
-rw-r--r--tcl/board/altera_sockit.cfg1
-rw-r--r--tcl/board/am3517evm.cfg1
-rw-r--r--tcl/board/arm_evaluator7t.cfg1
-rw-r--r--tcl/board/at91cap7a-stk-sdram.cfg1
-rw-r--r--tcl/board/at91sam9g20-ek.cfg1
-rw-r--r--tcl/board/atmel_at91sam7s-ek.cfg2
-rw-r--r--tcl/board/atmel_sam3u_ek.cfg1
-rw-r--r--tcl/board/bcm28155_ap.cfg1
-rw-r--r--tcl/board/colibri.cfg4
-rw-r--r--tcl/board/dm365evm.cfg2
-rw-r--r--tcl/board/eir.cfg1
-rw-r--r--tcl/board/embedded-artists_lpc2478-32.cfg1
-rw-r--r--tcl/board/glyn_tonga2.cfg1
-rw-r--r--tcl/board/hitex_lpc1768stick.cfg1
-rw-r--r--tcl/board/hitex_lpc2929.cfg1
-rw-r--r--tcl/board/hitex_stm32-performancestick.cfg1
-rw-r--r--tcl/board/iar_lpc1768.cfg1
-rw-r--r--tcl/board/icnova_sam9g45_sodimm.cfg2
-rw-r--r--tcl/board/keil_mcb1700.cfg1
-rw-r--r--tcl/board/keil_mcb2140.cfg1
-rw-r--r--tcl/board/linksys_nslu2.cfg1
-rw-r--r--tcl/board/microchip_same54_xplained_pro.cfg1
-rw-r--r--tcl/board/novena-internal-fpga.cfg1
-rw-r--r--tcl/board/olimex_LPC2378STK.cfg1
-rw-r--r--tcl/board/olimex_lpc_h2148.cfg1
-rw-r--r--tcl/board/olimex_sam7_ex256.cfg1
-rw-r--r--tcl/board/openrd.cfg1
-rw-r--r--tcl/board/sheevaplug.cfg1
-rw-r--r--tcl/board/ti_beagleboard_xm.cfg1
-rw-r--r--tcl/board/ti_beaglebone.cfg2
-rw-r--r--tcl/board/ti_blaze.cfg1
-rw-r--r--tcl/board/ti_pandaboard.cfg1
-rw-r--r--tcl/board/ti_pandaboard_es.cfg1
-rw-r--r--tcl/board/topasa900.cfg1
-rw-r--r--tcl/board/unknown_at91sam9260.cfg2
-rw-r--r--tcl/board/uptech_2410.cfg2
-rw-r--r--tcl/board/voltcraft_dso-3062c.cfg1
-rw-r--r--tcl/chip/atmel/at91/aic.tcl1
-rw-r--r--tcl/chip/atmel/at91/at91sam9263_matrix.cfg2
-rw-r--r--tcl/chip/atmel/at91/pmc.tcl1
-rw-r--r--tcl/chip/atmel/at91/rtt.tcl1
-rw-r--r--tcl/chip/atmel/at91/usarts.tcl3
-rw-r--r--tcl/cpu/arm/arm7tdmi.tcl1
-rw-r--r--tcl/cpu/arm/arm920.tcl1
-rw-r--r--tcl/cpu/arm/arm946.tcl1
-rw-r--r--tcl/cpu/arm/arm966.tcl1
-rw-r--r--tcl/cpu/arm/cortex_m3.tcl1
-rw-r--r--tcl/interface/arm-jtag-ew.cfg1
-rw-r--r--tcl/interface/at91rm9200.cfg1
-rw-r--r--tcl/interface/buspirate.cfg1
-rw-r--r--tcl/interface/calao-usb-a9260.cfg1
-rw-r--r--tcl/interface/chameleon.cfg1
-rw-r--r--tcl/interface/dummy.cfg1
-rw-r--r--tcl/interface/ftdi/hitex_lpc1768stick.cfg1
-rw-r--r--tcl/interface/parport_dlc5.cfg1
-rw-r--r--tcl/interface/rlink.cfg1
-rw-r--r--tcl/interface/stlink.cfg1
-rw-r--r--tcl/interface/vsllink.cfg1
-rw-r--r--tcl/target/adsp-sc58x.cfg1
-rw-r--r--tcl/target/amdm37x.cfg1
-rw-r--r--tcl/target/ar71xx.cfg1
-rw-r--r--tcl/target/armada370.cfg1
-rw-r--r--tcl/target/at91sam3ax_8x.cfg2
-rw-r--r--tcl/target/at91sam3ax_xx.cfg1
-rw-r--r--tcl/target/at91sam3u1c.cfg2
-rw-r--r--tcl/target/at91sam3u1e.cfg2
-rw-r--r--tcl/target/at91sam3u2c.cfg2
-rw-r--r--tcl/target/at91sam3u2e.cfg2
-rw-r--r--tcl/target/at91sam3u4c.cfg2
-rw-r--r--tcl/target/at91sam3u4e.cfg2
-rw-r--r--tcl/target/at91sam3uxx.cfg1
-rw-r--r--tcl/target/at91sam7se512.cfg1
-rw-r--r--tcl/target/atsamv.cfg1
-rw-r--r--tcl/target/avr32.cfg1
-rw-r--r--tcl/target/cs351x.cfg1
-rw-r--r--tcl/target/dragonite.cfg1
-rw-r--r--tcl/target/dsp568013.cfg1
-rw-r--r--tcl/target/dsp568037.cfg1
-rw-r--r--tcl/target/feroceon.cfg1
-rw-r--r--tcl/target/hilscher_netx10.cfg1
-rw-r--r--tcl/target/icepick.cfg1
-rw-r--r--tcl/target/ixp42x.cfg1
-rw-r--r--tcl/target/kx.cfg1
-rw-r--r--tcl/target/readme.txt1
-rw-r--r--tcl/target/samsung_s3c2440.cfg1
-rw-r--r--tcl/target/samsung_s3c4510.cfg1
-rw-r--r--tcl/target/sharp_lh79532.cfg2
-rw-r--r--tcl/target/snps_em_sk_fpga.cfg1
-rw-r--r--tcl/target/stm32f7x.cfg1
-rw-r--r--tcl/target/str730.cfg1
-rw-r--r--tcl/target/u8500.cfg2
-rw-r--r--tcl/test/syntax1.cfg1
94 files changed, 0 insertions, 116 deletions
diff --git a/tcl/bitsbytes.tcl b/tcl/bitsbytes.tcl
index 2c4fd29..52ca83d 100644
--- a/tcl/bitsbytes.tcl
+++ b/tcl/bitsbytes.tcl
@@ -57,5 +57,3 @@ proc show_normalize_bitfield { VALUE MSB LSB } {
echo [format "((0x%08x & 0x%08x) -> 0x%08x) >> %2d => (0x%x) %5d " $VALUE $m $mr $LSB $sr $sr]
return $sr
}
-
-
diff --git a/tcl/board/adsp-sc584-ezbrd.cfg b/tcl/board/adsp-sc584-ezbrd.cfg
index 439fe92..82df381 100644
--- a/tcl/board/adsp-sc584-ezbrd.cfg
+++ b/tcl/board/adsp-sc584-ezbrd.cfg
@@ -28,4 +28,3 @@ transport select swd
adapter speed 400
source [find target/adsp-sc58x.cfg]
-
diff --git a/tcl/board/altera_sockit.cfg b/tcl/board/altera_sockit.cfg
index 3fd01be..eb4c863 100644
--- a/tcl/board/altera_sockit.cfg
+++ b/tcl/board/altera_sockit.cfg
@@ -16,4 +16,3 @@ source [find target/altera_fpgasoc.cfg]
#usb_blaster_device_desc "USB-Blaster II"
adapter speed 100
-
diff --git a/tcl/board/am3517evm.cfg b/tcl/board/am3517evm.cfg
index 2bff512..8d6eba1 100644
--- a/tcl/board/am3517evm.cfg
+++ b/tcl/board/am3517evm.cfg
@@ -18,4 +18,3 @@ source [find target/amdm37x.cfg]
reset_config trst_only
# "amdm37x_dbginit am35x.cpu" needs to be run after init.
-
diff --git a/tcl/board/arm_evaluator7t.cfg b/tcl/board/arm_evaluator7t.cfg
index 52de57a..96d859c 100644
--- a/tcl/board/arm_evaluator7t.cfg
+++ b/tcl/board/arm_evaluator7t.cfg
@@ -7,4 +7,3 @@ source [find target/samsung_s3c4510.cfg]
# Add (A) sdram configuration
# Add (B) flash cfi programing configuration
#
-
diff --git a/tcl/board/at91cap7a-stk-sdram.cfg b/tcl/board/at91cap7a-stk-sdram.cfg
index a0e393f..df91a6b 100644
--- a/tcl/board/at91cap7a-stk-sdram.cfg
+++ b/tcl/board/at91cap7a-stk-sdram.cfg
@@ -162,4 +162,3 @@ arm7_9 fast_memory_access enable
#set _FLASHNAME $_CHIPNAME.flash
#flash bank $_FLASHNAME at91sam7 0 0 0 0 $_TARGETNAME 0 0 0 0 0 0 0 18432
-
diff --git a/tcl/board/at91sam9g20-ek.cfg b/tcl/board/at91sam9g20-ek.cfg
index 773c889..e801876 100644
--- a/tcl/board/at91sam9g20-ek.cfg
+++ b/tcl/board/at91sam9g20-ek.cfg
@@ -216,4 +216,3 @@ proc at91sam9g20_reset_init { } {
mww 0xffffea04 0x0000039c
}
-
diff --git a/tcl/board/atmel_at91sam7s-ek.cfg b/tcl/board/atmel_at91sam7s-ek.cfg
index d7e8486..48edfc9 100644
--- a/tcl/board/atmel_at91sam7s-ek.cfg
+++ b/tcl/board/atmel_at91sam7s-ek.cfg
@@ -4,5 +4,3 @@
set CHIPNAME at91sam7s256
source [find target/at91sam7sx.cfg]
-
-
diff --git a/tcl/board/atmel_sam3u_ek.cfg b/tcl/board/atmel_sam3u_ek.cfg
index 13d930b..1584879 100644
--- a/tcl/board/atmel_sam3u_ek.cfg
+++ b/tcl/board/atmel_sam3u_ek.cfg
@@ -1,4 +1,3 @@
source [find target/at91sam3u4e.cfg]
reset_config srst_only
-
diff --git a/tcl/board/bcm28155_ap.cfg b/tcl/board/bcm28155_ap.cfg
index 770ff9c..5d3d22a 100644
--- a/tcl/board/bcm28155_ap.cfg
+++ b/tcl/board/bcm28155_ap.cfg
@@ -6,4 +6,3 @@ set CHIPNAME bcm28155
source [find target/bcm281xx.cfg]
reset_config trst_and_srst
-
diff --git a/tcl/board/colibri.cfg b/tcl/board/colibri.cfg
index fe9a3d5..0f30afd 100644
--- a/tcl/board/colibri.cfg
+++ b/tcl/board/colibri.cfg
@@ -7,7 +7,3 @@ adapter srst pulse_width 40
# the bank is 32-bits wide, two 16-bit chips in parallel
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME cfi 0x00000000 0x02000000 2 4 $_TARGETNAME
-
-
-
-
diff --git a/tcl/board/dm365evm.cfg b/tcl/board/dm365evm.cfg
index ed34c4b..3b29dd8 100644
--- a/tcl/board/dm365evm.cfg
+++ b/tcl/board/dm365evm.cfg
@@ -143,5 +143,3 @@ proc dm365evm_init {} {
flashprobe
}
-
-
diff --git a/tcl/board/eir.cfg b/tcl/board/eir.cfg
index a014e11..422db0d 100644
--- a/tcl/board/eir.cfg
+++ b/tcl/board/eir.cfg
@@ -91,4 +91,3 @@ $_TARGETNAME configure -event reset-init {
#
mww 0xfffffd08 0xa5000001
}
-
diff --git a/tcl/board/embedded-artists_lpc2478-32.cfg b/tcl/board/embedded-artists_lpc2478-32.cfg
index 6c3aec6..8ef9179 100644
--- a/tcl/board/embedded-artists_lpc2478-32.cfg
+++ b/tcl/board/embedded-artists_lpc2478-32.cfg
@@ -151,4 +151,3 @@ proc enable_pll {} {
mww 0xE01FC08C 0x000000AA ;# PLLFEED
mww 0xE01FC08C 0x00000055 ;# PLLFEED
}
-
diff --git a/tcl/board/glyn_tonga2.cfg b/tcl/board/glyn_tonga2.cfg
index 31aa9ff..f48702c 100644
--- a/tcl/board/glyn_tonga2.cfg
+++ b/tcl/board/glyn_tonga2.cfg
@@ -197,4 +197,3 @@ proc tonga2_init { } {
#######################
# TODO: Implement NAND support.
-
diff --git a/tcl/board/hitex_lpc1768stick.cfg b/tcl/board/hitex_lpc1768stick.cfg
index 8c11936..ac176ca 100644
--- a/tcl/board/hitex_lpc1768stick.cfg
+++ b/tcl/board/hitex_lpc1768stick.cfg
@@ -12,4 +12,3 @@ source [find target/lpc17xx.cfg]
# startup @ 500kHz
adapter speed 500
-
diff --git a/tcl/board/hitex_lpc2929.cfg b/tcl/board/hitex_lpc2929.cfg
index f517798..2fe1f3c 100644
--- a/tcl/board/hitex_lpc2929.cfg
+++ b/tcl/board/hitex_lpc2929.cfg
@@ -103,4 +103,3 @@ $_TARGETNAME configure -event reset-init {
mww 0x600000CC 0x0000000C ;# Bank7 WST2=8
mww 0x600000C4 0x00000002 ;# Bank7 IDCY=2
}
-
diff --git a/tcl/board/hitex_stm32-performancestick.cfg b/tcl/board/hitex_stm32-performancestick.cfg
index 738178a..74dc583 100644
--- a/tcl/board/hitex_stm32-performancestick.cfg
+++ b/tcl/board/hitex_stm32-performancestick.cfg
@@ -13,4 +13,3 @@ jtag newtap str750 cpu -irlen 4 -ircapture 0x1 -irmask 0x0f -expected-id 0x4f1f0
# for some reason this board like to startup @ 500kHz
adapter speed 500
-
diff --git a/tcl/board/iar_lpc1768.cfg b/tcl/board/iar_lpc1768.cfg
index d8c8c2d..38ffc35 100644
--- a/tcl/board/iar_lpc1768.cfg
+++ b/tcl/board/iar_lpc1768.cfg
@@ -14,4 +14,3 @@ $_TARGETNAME configure -event reset-init {
flash probe 0
}
-
diff --git a/tcl/board/icnova_sam9g45_sodimm.cfg b/tcl/board/icnova_sam9g45_sodimm.cfg
index bf70cca..30dc347 100644
--- a/tcl/board/icnova_sam9g45_sodimm.cfg
+++ b/tcl/board/icnova_sam9g45_sodimm.cfg
@@ -274,5 +274,3 @@ proc at91sam9g45_init { } {
arm7_9 fast_memory_access enable
}
-
-
diff --git a/tcl/board/keil_mcb1700.cfg b/tcl/board/keil_mcb1700.cfg
index d63d3ed..05f12df 100644
--- a/tcl/board/keil_mcb1700.cfg
+++ b/tcl/board/keil_mcb1700.cfg
@@ -5,4 +5,3 @@
#
source [find target/lpc17xx.cfg]
-
diff --git a/tcl/board/keil_mcb2140.cfg b/tcl/board/keil_mcb2140.cfg
index db81efa..bb41a2a 100644
--- a/tcl/board/keil_mcb2140.cfg
+++ b/tcl/board/keil_mcb2140.cfg
@@ -5,4 +5,3 @@
#
source [find target/lpc2148.cfg]
-
diff --git a/tcl/board/linksys_nslu2.cfg b/tcl/board/linksys_nslu2.cfg
index e605fc1..0b0f58b 100644
--- a/tcl/board/linksys_nslu2.cfg
+++ b/tcl/board/linksys_nslu2.cfg
@@ -5,4 +5,3 @@ source [find target/ixp42x.cfg]
# The _TARGETNAME is set by the above.
$_TARGETNAME configure -work-area-phys 0x00020000 -work-area-size 0x10000 -work-area-backup 0
-
diff --git a/tcl/board/microchip_same54_xplained_pro.cfg b/tcl/board/microchip_same54_xplained_pro.cfg
index db8a856..7482de4 100644
--- a/tcl/board/microchip_same54_xplained_pro.cfg
+++ b/tcl/board/microchip_same54_xplained_pro.cfg
@@ -10,4 +10,3 @@ set CHIPNAME same54
source [find target/atsame5x.cfg]
reset_config srst_only
-
diff --git a/tcl/board/novena-internal-fpga.cfg b/tcl/board/novena-internal-fpga.cfg
index 24a76dc..0e9ff5b 100644
--- a/tcl/board/novena-internal-fpga.cfg
+++ b/tcl/board/novena-internal-fpga.cfg
@@ -22,4 +22,3 @@ transport select jtag
sysfsgpio_jtag_nums 136 139 137 138
source [find cpld/xilinx-xc6s.cfg]
-
diff --git a/tcl/board/olimex_LPC2378STK.cfg b/tcl/board/olimex_LPC2378STK.cfg
index a4b422d..7e9e58e 100644
--- a/tcl/board/olimex_LPC2378STK.cfg
+++ b/tcl/board/olimex_LPC2378STK.cfg
@@ -8,4 +8,3 @@
#
source [find target/lpc2378.cfg]
-
diff --git a/tcl/board/olimex_lpc_h2148.cfg b/tcl/board/olimex_lpc_h2148.cfg
index 7833fde..d8fb5be 100644
--- a/tcl/board/olimex_lpc_h2148.cfg
+++ b/tcl/board/olimex_lpc_h2148.cfg
@@ -5,4 +5,3 @@
#
source [find target/lpc2148.cfg]
-
diff --git a/tcl/board/olimex_sam7_ex256.cfg b/tcl/board/olimex_sam7_ex256.cfg
index 5f83629..426ead6 100644
--- a/tcl/board/olimex_sam7_ex256.cfg
+++ b/tcl/board/olimex_sam7_ex256.cfg
@@ -1,4 +1,3 @@
# Olimex SAM7-EX256 has a single Atmel at91sam7ex256 on it.
source [find target/sam7x256.cfg]
-
diff --git a/tcl/board/openrd.cfg b/tcl/board/openrd.cfg
index 696af4e..fda01d1 100644
--- a/tcl/board/openrd.cfg
+++ b/tcl/board/openrd.cfg
@@ -122,4 +122,3 @@ proc openrd_load_uboot { } {
resume 0x00600000
}
-
diff --git a/tcl/board/sheevaplug.cfg b/tcl/board/sheevaplug.cfg
index 7ccb884..4551637 100644
--- a/tcl/board/sheevaplug.cfg
+++ b/tcl/board/sheevaplug.cfg
@@ -133,4 +133,3 @@ proc sheevaplug_load_uboot { } {
resume 0x00600000
}
-
diff --git a/tcl/board/ti_beagleboard_xm.cfg b/tcl/board/ti_beagleboard_xm.cfg
index e4e93e3..683f583 100644
--- a/tcl/board/ti_beagleboard_xm.cfg
+++ b/tcl/board/ti_beagleboard_xm.cfg
@@ -9,4 +9,3 @@ source [find target/amdm37x.cfg]
reset_config trst_only
# "amdm37x_dbginit dm37x.cpu" needs to be run after init.
-
diff --git a/tcl/board/ti_beaglebone.cfg b/tcl/board/ti_beaglebone.cfg
index 6a6272d..7ba8c50 100644
--- a/tcl/board/ti_beaglebone.cfg
+++ b/tcl/board/ti_beaglebone.cfg
@@ -9,5 +9,3 @@ adapter speed 16000
reset_config trst_and_srst
source [find board/ti_beaglebone-base.cfg]
-
-
diff --git a/tcl/board/ti_blaze.cfg b/tcl/board/ti_blaze.cfg
index c9bbe25..4881389 100644
--- a/tcl/board/ti_blaze.cfg
+++ b/tcl/board/ti_blaze.cfg
@@ -3,4 +3,3 @@ jtag_rclk 6000
source [find target/omap4430.cfg]
reset_config trst_and_srst
-
diff --git a/tcl/board/ti_pandaboard.cfg b/tcl/board/ti_pandaboard.cfg
index bd2cd37..bc92596 100644
--- a/tcl/board/ti_pandaboard.cfg
+++ b/tcl/board/ti_pandaboard.cfg
@@ -3,4 +3,3 @@ jtag_rclk 6000
source [find target/omap4430.cfg]
reset_config trst_only
-
diff --git a/tcl/board/ti_pandaboard_es.cfg b/tcl/board/ti_pandaboard_es.cfg
index 2abd7e9..756fa33 100644
--- a/tcl/board/ti_pandaboard_es.cfg
+++ b/tcl/board/ti_pandaboard_es.cfg
@@ -3,4 +3,3 @@ jtag_rclk 6000
source [find target/omap4460.cfg]
reset_config trst_only
-
diff --git a/tcl/board/topasa900.cfg b/tcl/board/topasa900.cfg
index 91ee584..5bd0e5e 100644
--- a/tcl/board/topasa900.cfg
+++ b/tcl/board/topasa900.cfg
@@ -123,4 +123,3 @@ arm7_9 dcc_downloads enable ;# Enable faster DCC downloads
#flash bank <name> cfi <base> <size> <chip width> <bus width> <target>
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME cfi 0x20000000 0x1000000 2 2 $_TARGETNAME
-
diff --git a/tcl/board/unknown_at91sam9260.cfg b/tcl/board/unknown_at91sam9260.cfg
index de49a69..5570ef0 100644
--- a/tcl/board/unknown_at91sam9260.cfg
+++ b/tcl/board/unknown_at91sam9260.cfg
@@ -93,5 +93,3 @@ $_TARGETNAME configure -event reset-init {
#flash bank <name> cfi <base> <size> <chip width> <bus width> <target>
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME cfi 0x10000000 0x01000000 2 2 $_TARGETNAME
-
-
diff --git a/tcl/board/uptech_2410.cfg b/tcl/board/uptech_2410.cfg
index 950f2a7..680cfd7 100644
--- a/tcl/board/uptech_2410.cfg
+++ b/tcl/board/uptech_2410.cfg
@@ -61,5 +61,3 @@ proc uptech2410_init { } {
set _NANDNAME $_CHIPNAME.nand
nand device $_NANDNAME s3c2410 $_TARGETNAME
-
-
diff --git a/tcl/board/voltcraft_dso-3062c.cfg b/tcl/board/voltcraft_dso-3062c.cfg
index 01879b1..f300cf2 100644
--- a/tcl/board/voltcraft_dso-3062c.cfg
+++ b/tcl/board/voltcraft_dso-3062c.cfg
@@ -28,4 +28,3 @@ scan_chain
targets
nand probe 0
nand list
-
diff --git a/tcl/chip/atmel/at91/aic.tcl b/tcl/chip/atmel/at91/aic.tcl
index 6dae36a..ba0f2a9 100644
--- a/tcl/chip/atmel/at91/aic.tcl
+++ b/tcl/chip/atmel/at91/aic.tcl
@@ -98,4 +98,3 @@ proc show_AIC { } {
}
}
}
-
diff --git a/tcl/chip/atmel/at91/at91sam9263_matrix.cfg b/tcl/chip/atmel/at91/at91sam9263_matrix.cfg
index ad3d9a2..f287cd9 100644
--- a/tcl/chip/atmel/at91/at91sam9263_matrix.cfg
+++ b/tcl/chip/atmel/at91/at91sam9263_matrix.cfg
@@ -108,5 +108,3 @@ set AT91_MATRIX_EBI1_DBPUC [expr (1 << 8)] ;# Data Bus Pull-up Configuration
set AT91_MATRIX_EBI1_VDDIOMSEL [expr (1 << 16)] ;# Memory voltage selection
set AT91_MATRIX_EBI1_VDDIOMSEL_1_8V [expr (0 << 16)]
set AT91_MATRIX_EBI1_VDDIOMSEL_3_3V [expr (1 << 16)]
-
-
diff --git a/tcl/chip/atmel/at91/pmc.tcl b/tcl/chip/atmel/at91/pmc.tcl
index 584acb8..7cb1d09 100644
--- a/tcl/chip/atmel/at91/pmc.tcl
+++ b/tcl/chip/atmel/at91/pmc.tcl
@@ -14,4 +14,3 @@ if [info exists AT91C_SLOWOSC_FREQ] {
set AT91C_SLOWOSC_FREQ 32768
}
global AT91C_SLOWOSC_FREQ
-
diff --git a/tcl/chip/atmel/at91/rtt.tcl b/tcl/chip/atmel/at91/rtt.tcl
index 8be6a56..2dd74fa 100644
--- a/tcl/chip/atmel/at91/rtt.tcl
+++ b/tcl/chip/atmel/at91/rtt.tcl
@@ -53,4 +53,3 @@ proc show_RTTC { } {
show_mmr32_reg RTTC_RTVR
show_mmr32_reg RTTC_RTSR
}
-
diff --git a/tcl/chip/atmel/at91/usarts.tcl b/tcl/chip/atmel/at91/usarts.tcl
index 6842029..ecc4f60 100644
--- a/tcl/chip/atmel/at91/usarts.tcl
+++ b/tcl/chip/atmel/at91/usarts.tcl
@@ -130,6 +130,3 @@ proc show_DBGU { } $str
unset str
proc show_DBGU_MR_helper { NAME ADDR VAL } { show_mmr_USx_MR_helper $NAME $ADDR $VAL }
-
-
-
diff --git a/tcl/cpu/arm/arm7tdmi.tcl b/tcl/cpu/arm/arm7tdmi.tcl
index 37db266..a1d4a1f 100644
--- a/tcl/cpu/arm/arm7tdmi.tcl
+++ b/tcl/cpu/arm/arm7tdmi.tcl
@@ -3,4 +3,3 @@ set CPU_NAME arm7tdmi
set CPU_ARCH armv4t
set CPU_MAX_ADDRESS 0xFFFFFFFF
set CPU_NBITS 32
-
diff --git a/tcl/cpu/arm/arm920.tcl b/tcl/cpu/arm/arm920.tcl
index f19b20b..c01f602 100644
--- a/tcl/cpu/arm/arm920.tcl
+++ b/tcl/cpu/arm/arm920.tcl
@@ -3,4 +3,3 @@ set CPU_NAME arm920
set CPU_ARCH armv4t
set CPU_MAX_ADDRESS 0xFFFFFFFF
set CPU_NBITS 32
-
diff --git a/tcl/cpu/arm/arm946.tcl b/tcl/cpu/arm/arm946.tcl
index 5204101..a6110a5 100644
--- a/tcl/cpu/arm/arm946.tcl
+++ b/tcl/cpu/arm/arm946.tcl
@@ -3,4 +3,3 @@ set CPU_NAME arm946
set CPU_ARCH armv5te
set CPU_MAX_ADDRESS 0xFFFFFFFF
set CPU_NBITS 32
-
diff --git a/tcl/cpu/arm/arm966.tcl b/tcl/cpu/arm/arm966.tcl
index 83ce0f6..1fffbc0 100644
--- a/tcl/cpu/arm/arm966.tcl
+++ b/tcl/cpu/arm/arm966.tcl
@@ -3,4 +3,3 @@ set CPU_NAME arm966
set CPU_ARCH armv5te
set CPU_MAX_ADDRESS 0xFFFFFFFF
set CPU_NBITS 32
-
diff --git a/tcl/cpu/arm/cortex_m3.tcl b/tcl/cpu/arm/cortex_m3.tcl
index 166af84..c995026 100644
--- a/tcl/cpu/arm/cortex_m3.tcl
+++ b/tcl/cpu/arm/cortex_m3.tcl
@@ -3,4 +3,3 @@ set CPU_NAME cortex_m3
set CPU_ARCH armv7
set CPU_MAX_ADDRESS 0xFFFFFFFF
set CPU_NBITS 32
-
diff --git a/tcl/interface/arm-jtag-ew.cfg b/tcl/interface/arm-jtag-ew.cfg
index 250592f..797bb71 100644
--- a/tcl/interface/arm-jtag-ew.cfg
+++ b/tcl/interface/arm-jtag-ew.cfg
@@ -5,4 +5,3 @@
#
adapter driver arm-jtag-ew
-
diff --git a/tcl/interface/at91rm9200.cfg b/tcl/interface/at91rm9200.cfg
index 0561dac..b66e060 100644
--- a/tcl/interface/at91rm9200.cfg
+++ b/tcl/interface/at91rm9200.cfg
@@ -6,4 +6,3 @@
adapter driver at91rm9200
at91rm9200_device rea_ecr
-
diff --git a/tcl/interface/buspirate.cfg b/tcl/interface/buspirate.cfg
index b02d29d..265e37e 100644
--- a/tcl/interface/buspirate.cfg
+++ b/tcl/interface/buspirate.cfg
@@ -23,4 +23,3 @@ buspirate_speed normal ;# or fast
# this depends on the cable, you are safe with this option
reset_config srst_only
-
diff --git a/tcl/interface/calao-usb-a9260.cfg b/tcl/interface/calao-usb-a9260.cfg
index d1dc736..01b426b 100644
--- a/tcl/interface/calao-usb-a9260.cfg
+++ b/tcl/interface/calao-usb-a9260.cfg
@@ -8,4 +8,3 @@
adapter srst delay 200
jtag_ntrst_delay 200
-
diff --git a/tcl/interface/chameleon.cfg b/tcl/interface/chameleon.cfg
index f523ee7..1cb1d61 100644
--- a/tcl/interface/chameleon.cfg
+++ b/tcl/interface/chameleon.cfg
@@ -6,4 +6,3 @@
adapter driver parport
parport_cable chameleon
-
diff --git a/tcl/interface/dummy.cfg b/tcl/interface/dummy.cfg
index 6c2fe5f..154c872 100644
--- a/tcl/interface/dummy.cfg
+++ b/tcl/interface/dummy.cfg
@@ -3,4 +3,3 @@
#
adapter driver dummy
-
diff --git a/tcl/interface/ftdi/hitex_lpc1768stick.cfg b/tcl/interface/ftdi/hitex_lpc1768stick.cfg
index 3f49522..9fe80f1 100644
--- a/tcl/interface/ftdi/hitex_lpc1768stick.cfg
+++ b/tcl/interface/ftdi/hitex_lpc1768stick.cfg
@@ -12,4 +12,3 @@ ftdi_vid_pid 0x0640 0x0026
ftdi_layout_init 0x0388 0x038b
ftdi_layout_signal nTRST -data 0x0100
ftdi_layout_signal nSRST -data 0x0080 -noe 0x200
-
diff --git a/tcl/interface/parport_dlc5.cfg b/tcl/interface/parport_dlc5.cfg
index b1aa0a9..e9beaaf 100644
--- a/tcl/interface/parport_dlc5.cfg
+++ b/tcl/interface/parport_dlc5.cfg
@@ -13,4 +13,3 @@ if { [info exists PARPORTADDR] } {
adapter driver parport
parport_port $_PARPORTADDR
parport_cable dlc5
-
diff --git a/tcl/interface/rlink.cfg b/tcl/interface/rlink.cfg
index 3fe90ab..29d3ce5 100644
--- a/tcl/interface/rlink.cfg
+++ b/tcl/interface/rlink.cfg
@@ -5,4 +5,3 @@
#
adapter driver rlink
-
diff --git a/tcl/interface/stlink.cfg b/tcl/interface/stlink.cfg
index e9a7b17..54cd63e 100644
--- a/tcl/interface/stlink.cfg
+++ b/tcl/interface/stlink.cfg
@@ -14,4 +14,3 @@ hla_vid_pid 0x0483 0x3744 0x0483 0x3748 0x0483 0x374b 0x0483 0x374d 0x0483 0x374
# number reset issues.
# eg.
#hla_serial "\xaa\xbc\x6e\x06\x50\x75\xff\x55\x17\x42\x19\x3f"
-
diff --git a/tcl/interface/vsllink.cfg b/tcl/interface/vsllink.cfg
index a587176..d40dbb4 100644
--- a/tcl/interface/vsllink.cfg
+++ b/tcl/interface/vsllink.cfg
@@ -5,4 +5,3 @@
#
adapter driver vsllink
-
diff --git a/tcl/target/adsp-sc58x.cfg b/tcl/target/adsp-sc58x.cfg
index 8c9ef12..6073bb2 100644
--- a/tcl/target/adsp-sc58x.cfg
+++ b/tcl/target/adsp-sc58x.cfg
@@ -50,4 +50,3 @@ proc sc58x_enabledebug {} {
# it is not possible to halt the target unless these bits have been set
ap0.mem mww 0x31131000 0xFFFF
}
-
diff --git a/tcl/target/amdm37x.cfg b/tcl/target/amdm37x.cfg
index 7098adf..3db24b4 100644
--- a/tcl/target/amdm37x.cfg
+++ b/tcl/target/amdm37x.cfg
@@ -209,4 +209,3 @@ proc amdm37x_dbginit {target} {
# at this address and this bit.
$target mww phys 0x5401d030 0x00002000
}
-
diff --git a/tcl/target/ar71xx.cfg b/tcl/target/ar71xx.cfg
index 0c64a96..57833f4 100644
--- a/tcl/target/ar71xx.cfg
+++ b/tcl/target/ar71xx.cfg
@@ -54,4 +54,3 @@ $_TARGETNAME configure -work-area-phys 0xa0600000 -work-area-size 0x20000
# serial SPI capable flash
# flash bank <driver> <base> <size> <chip_width> <bus_width>
-
diff --git a/tcl/target/armada370.cfg b/tcl/target/armada370.cfg
index 5b84637..3b4be9f 100644
--- a/tcl/target/armada370.cfg
+++ b/tcl/target/armada370.cfg
@@ -31,4 +31,3 @@ $_TARGETNAME configure -event reset-assert-post "armada370_dbginit $_TARGETNAME"
# We need to init now, so we can run the apsel command.
init
dap apsel 1
-
diff --git a/tcl/target/at91sam3ax_8x.cfg b/tcl/target/at91sam3ax_8x.cfg
index e249383..2bb66fb 100644
--- a/tcl/target/at91sam3ax_8x.cfg
+++ b/tcl/target/at91sam3ax_8x.cfg
@@ -7,5 +7,3 @@ flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
# This is a 512K chip - it has the 2nd bank
set _FLASHNAME $_CHIPNAME.flash1
flash bank $_FLASHNAME at91sam3 0x0000C0000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3ax_xx.cfg b/tcl/target/at91sam3ax_xx.cfg
index e561771..5e01d66 100644
--- a/tcl/target/at91sam3ax_xx.cfg
+++ b/tcl/target/at91sam3ax_xx.cfg
@@ -8,4 +8,3 @@
# at91sam3X8E
# at91sam3X8H
source [find target/at91sam3XXX.cfg]
-
diff --git a/tcl/target/at91sam3u1c.cfg b/tcl/target/at91sam3u1c.cfg
index 47c227b..dc5c82c 100644
--- a/tcl/target/at91sam3u1c.cfg
+++ b/tcl/target/at91sam3u1c.cfg
@@ -4,5 +4,3 @@ source [find target/at91sam3uxx.cfg]
# size is automatically "calculated" by probing
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3u1e.cfg b/tcl/target/at91sam3u1e.cfg
index 47c227b..dc5c82c 100644
--- a/tcl/target/at91sam3u1e.cfg
+++ b/tcl/target/at91sam3u1e.cfg
@@ -4,5 +4,3 @@ source [find target/at91sam3uxx.cfg]
# size is automatically "calculated" by probing
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3u2c.cfg b/tcl/target/at91sam3u2c.cfg
index 47c227b..dc5c82c 100644
--- a/tcl/target/at91sam3u2c.cfg
+++ b/tcl/target/at91sam3u2c.cfg
@@ -4,5 +4,3 @@ source [find target/at91sam3uxx.cfg]
# size is automatically "calculated" by probing
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3u2e.cfg b/tcl/target/at91sam3u2e.cfg
index 47c227b..dc5c82c 100644
--- a/tcl/target/at91sam3u2e.cfg
+++ b/tcl/target/at91sam3u2e.cfg
@@ -4,5 +4,3 @@ source [find target/at91sam3uxx.cfg]
# size is automatically "calculated" by probing
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3u4c.cfg b/tcl/target/at91sam3u4c.cfg
index 5cacbcb..14af008 100644
--- a/tcl/target/at91sam3u4c.cfg
+++ b/tcl/target/at91sam3u4c.cfg
@@ -7,5 +7,3 @@ flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
# This is a 256K chip, it has the 2nd bank
set _FLASHNAME $_CHIPNAME.flash1
flash bank $_FLASHNAME at91sam3 0x000100000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3u4e.cfg b/tcl/target/at91sam3u4e.cfg
index a48f992..fbe2dd9 100644
--- a/tcl/target/at91sam3u4e.cfg
+++ b/tcl/target/at91sam3u4e.cfg
@@ -7,5 +7,3 @@ flash bank $_FLASHNAME at91sam3 0x000080000 0 1 1 $_TARGETNAME
# This is a 256K chip - it has the 2nd bank
set _FLASHNAME $_CHIPNAME.flash1
flash bank $_FLASHNAME at91sam3 0x000100000 0 1 1 $_TARGETNAME
-
-
diff --git a/tcl/target/at91sam3uxx.cfg b/tcl/target/at91sam3uxx.cfg
index b42ae19..5b1748b 100644
--- a/tcl/target/at91sam3uxx.cfg
+++ b/tcl/target/at91sam3uxx.cfg
@@ -8,4 +8,3 @@
# at91sam3u1c
source [find target/at91sam3XXX.cfg]
-
diff --git a/tcl/target/at91sam7se512.cfg b/tcl/target/at91sam7se512.cfg
index ab09701..61b4781 100644
--- a/tcl/target/at91sam7se512.cfg
+++ b/tcl/target/at91sam7se512.cfg
@@ -36,4 +36,3 @@ $_TARGETNAME configure -work-area-phys 0x00200000 -work-area-size 0x4000 -work-a
#flash bank <driver> <base_addr> <size> <chip_width> <bus_width> <target_number> [<target_name> <banks> <sectors_per_bank> <pages_per_sector> <page_size> <num_nvmbits> <ext_freq_khz>]
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME at91sam7 0 0 0 0 $_TARGETNAME 0 0 0 0 0 0 0 18432
-
diff --git a/tcl/target/atsamv.cfg b/tcl/target/atsamv.cfg
index 4c136ea..fdd8354 100644
--- a/tcl/target/atsamv.cfg
+++ b/tcl/target/atsamv.cfg
@@ -57,4 +57,3 @@ if {![using_hla]} {
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME atsamv 0x00400000 0 0 0 $_TARGETNAME
-
diff --git a/tcl/target/avr32.cfg b/tcl/target/avr32.cfg
index 7808127..8295f5e 100644
--- a/tcl/target/avr32.cfg
+++ b/tcl/target/avr32.cfg
@@ -14,4 +14,3 @@ jtag newtap $_CHIPNAME cpu -irlen 5 -ircapture 0x1 -irmask 0x1 -expected-id $_CP
set _TARGETNAME [format "%s.cpu" $_CHIPNAME]
target create $_TARGETNAME avr32_ap7k -endian $_ENDIAN -chain-position $_TARGETNAME
-
diff --git a/tcl/target/cs351x.cfg b/tcl/target/cs351x.cfg
index cb05da2..8fabda6 100644
--- a/tcl/target/cs351x.cfg
+++ b/tcl/target/cs351x.cfg
@@ -28,4 +28,3 @@ target create $_TARGETNAME fa526 -endian $_ENDIAN -chain-position $_TARGETNAME
# This chip has a DCC ... use it
arm7_9 dcc_downloads enable
-
diff --git a/tcl/target/dragonite.cfg b/tcl/target/dragonite.cfg
index 1277cca..b9d73a2 100644
--- a/tcl/target/dragonite.cfg
+++ b/tcl/target/dragonite.cfg
@@ -28,4 +28,3 @@ target create $_TARGETNAME dragonite -endian $_ENDIAN -chain-position $_TARGETNA
reset_config trst_and_srst
adapter srst delay 200
jtag_ntrst_delay 200
-
diff --git a/tcl/target/dsp568013.cfg b/tcl/target/dsp568013.cfg
index 98110c2..c0c1df2 100644
--- a/tcl/target/dsp568013.cfg
+++ b/tcl/target/dsp568013.cfg
@@ -73,4 +73,3 @@ $_TARGETNAME configure -work-area-virt 0
#setup flash
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME dsp5680xx_flash 0 0 2 1 $_TARGETNAME
-
diff --git a/tcl/target/dsp568037.cfg b/tcl/target/dsp568037.cfg
index 010d06f..fc57bd4 100644
--- a/tcl/target/dsp568037.cfg
+++ b/tcl/target/dsp568037.cfg
@@ -69,4 +69,3 @@ $_TARGETNAME configure -work-area-virt 0
#setup flash
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME dsp5680xx_flash 0 0 2 1 $_TARGETNAME
-
diff --git a/tcl/target/feroceon.cfg b/tcl/target/feroceon.cfg
index b934426..d4f710e 100644
--- a/tcl/target/feroceon.cfg
+++ b/tcl/target/feroceon.cfg
@@ -28,4 +28,3 @@ target create $_TARGETNAME feroceon -endian $_ENDIAN -chain-position $_TARGETNAM
reset_config trst_and_srst
adapter srst delay 200
jtag_ntrst_delay 200
-
diff --git a/tcl/target/hilscher_netx10.cfg b/tcl/target/hilscher_netx10.cfg
index 3f96607..668de8f 100644
--- a/tcl/target/hilscher_netx10.cfg
+++ b/tcl/target/hilscher_netx10.cfg
@@ -28,4 +28,3 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CP
# that TAP is associated with a target
set _TARGETNAME $_CHIPNAME.cpu
target create $_TARGETNAME arm966e -endian $_ENDIAN -chain-position $_TARGETNAME
-
diff --git a/tcl/target/icepick.cfg b/tcl/target/icepick.cfg
index a945bea..36b0b70 100644
--- a/tcl/target/icepick.cfg
+++ b/tcl/target/icepick.cfg
@@ -140,4 +140,3 @@ proc icepick_c_wreset {jrc} {
# send a router write, block is 0, register is 1, value is 0x2100
icepick_c_router $jrc 1 0x0 0x1 0x002101
}
-
diff --git a/tcl/target/ixp42x.cfg b/tcl/target/ixp42x.cfg
index d7b5bf4..3f86e35 100644
--- a/tcl/target/ixp42x.cfg
+++ b/tcl/target/ixp42x.cfg
@@ -104,4 +104,3 @@ proc ixp42x_init_sdram { SDRAM_CFG REFRESH CASLAT } {
proc ixp42x_set_bigendian { } {
reg XSCALE_CTRL 0xF8
}
-
diff --git a/tcl/target/kx.cfg b/tcl/target/kx.cfg
index 1dd5d31..9fda4ed 100644
--- a/tcl/target/kx.cfg
+++ b/tcl/target/kx.cfg
@@ -79,4 +79,3 @@ if {[using_hla]} {
$_TARGETNAME configure -event reset-init {
kinetis disable_wdog
}
-
diff --git a/tcl/target/readme.txt b/tcl/target/readme.txt
index 2c3cc8d..91bb2d5 100644
--- a/tcl/target/readme.txt
+++ b/tcl/target/readme.txt
@@ -38,4 +38,3 @@ Note that a target/xxx.cfg file can invoke another target/yyy.cfg file,
so one can create target subtype configurations where e.g. only
amount of DRAM, oscillator speeds differ and having a single
config file for the default/common settings.
-
diff --git a/tcl/target/samsung_s3c2440.cfg b/tcl/target/samsung_s3c2440.cfg
index 2a0a915..a97659b 100644
--- a/tcl/target/samsung_s3c2440.cfg
+++ b/tcl/target/samsung_s3c2440.cfg
@@ -32,4 +32,3 @@ $_TARGETNAME configure -work-area-phys 0x200000 -work-area-size 0x4000 -work-are
#reset configuration
reset_config trst_and_srst
-
diff --git a/tcl/target/samsung_s3c4510.cfg b/tcl/target/samsung_s3c4510.cfg
index 461d047..8bc5da5 100644
--- a/tcl/target/samsung_s3c4510.cfg
+++ b/tcl/target/samsung_s3c4510.cfg
@@ -21,4 +21,3 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CP
set _TARGETNAME $_CHIPNAME.cpu
target create $_TARGETNAME arm7tdmi -endian $_ENDIAN -chain-position $_TARGETNAME
-
diff --git a/tcl/target/sharp_lh79532.cfg b/tcl/target/sharp_lh79532.cfg
index 6f2cf22..a464839 100644
--- a/tcl/target/sharp_lh79532.cfg
+++ b/tcl/target/sharp_lh79532.cfg
@@ -22,5 +22,3 @@ jtag newtap $_CHIPNAME cpu -irlen 4 -ircapture 0x1 -irmask 0xf -expected-id $_CP
set _TARGETNAME $_CHIPNAME.cpu
target create $_TARGETNAME arm7tdmi -endian $_ENDIAN -chain-position $_TARGETNAME
-
-
diff --git a/tcl/target/snps_em_sk_fpga.cfg b/tcl/target/snps_em_sk_fpga.cfg
index d52c7e8..2f7fecb 100644
--- a/tcl/target/snps_em_sk_fpga.cfg
+++ b/tcl/target/snps_em_sk_fpga.cfg
@@ -31,4 +31,3 @@ $_TARGETNAME configure -event reset-assert "arc_em_reset $_TARGETNAME"
arc_em_init_regs
# vim:ft=tcl
-
diff --git a/tcl/target/stm32f7x.cfg b/tcl/target/stm32f7x.cfg
index db1794c..6ad4b65 100644
--- a/tcl/target/stm32f7x.cfg
+++ b/tcl/target/stm32f7x.cfg
@@ -170,4 +170,3 @@ $_TARGETNAME configure -event reset-start {
# Reduce speed since CPU speed will slow down to 16MHz with the reset
adapter speed 2000
}
-
diff --git a/tcl/target/str730.cfg b/tcl/target/str730.cfg
index 9a27194..e9e2f26 100644
--- a/tcl/target/str730.cfg
+++ b/tcl/target/str730.cfg
@@ -51,4 +51,3 @@ $_TARGETNAME configure -work-area-phys 0xA0000000 -work-area-size 0x4000 -work-a
#flash bank <driver> <base> <size> <chip_width> <bus_width>
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME str7x 0x80000000 0x00040000 0 0 $_TARGETNAME STR73x
-
diff --git a/tcl/target/u8500.cfg b/tcl/target/u8500.cfg
index faaf97d..baef9c8 100644
--- a/tcl/target/u8500.cfg
+++ b/tcl/target/u8500.cfg
@@ -322,5 +322,3 @@ set mem inaccessible-by-default-off
jtag_ntrst_delay 100
reset_config trst_and_srst combined
-
-
diff --git a/tcl/test/syntax1.cfg b/tcl/test/syntax1.cfg
index 04e615e..2e66188 100644
--- a/tcl/test/syntax1.cfg
+++ b/tcl/test/syntax1.cfg
@@ -27,4 +27,3 @@ mvb 0xE01FC040 0x01
set _FLASHNAME $_CHIPNAME.flash
flash bank $_FLASHNAME lpc2000 0x0 0x7d000 0 0 0 lpc2000_v2 14765
-