aboutsummaryrefslogtreecommitdiff
path: root/debug_rom/debug_rom.S
diff options
context:
space:
mode:
Diffstat (limited to 'debug_rom/debug_rom.S')
-rwxr-xr-xdebug_rom/debug_rom.S2
1 files changed, 1 insertions, 1 deletions
diff --git a/debug_rom/debug_rom.S b/debug_rom/debug_rom.S
index 0d97270..e7547a0 100755
--- a/debug_rom/debug_rom.S
+++ b/debug_rom/debug_rom.S
@@ -9,7 +9,7 @@
#define DEBUG_RAM_SIZE 64
#define SETHALTNOT 0x100
-#define CLEARDEBINT 0x108
+#define CLEARDEBINT 0x104
.global entry
.global resume