aboutsummaryrefslogtreecommitdiff
path: root/vgasrc/vgahw.h
diff options
context:
space:
mode:
Diffstat (limited to 'vgasrc/vgahw.h')
-rw-r--r--vgasrc/vgahw.h1
1 files changed, 1 insertions, 0 deletions
diff --git a/vgasrc/vgahw.h b/vgasrc/vgahw.h
index 39f818a..3d9ae39 100644
--- a/vgasrc/vgahw.h
+++ b/vgasrc/vgahw.h
@@ -9,6 +9,7 @@
#include "bochsvga.h" // bochsvga_set_mode
#include "stdvga.h" // stdvga_set_mode
#include "geodevga.h" // geodevga_setup
+#include "vgautil.h" // stdvga_list_modes
static inline struct vgamode_s *vgahw_find_mode(int mode) {
if (CONFIG_VGA_CIRRUS)