aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--sim/common/ChangeLog21
-rw-r--r--sim/tic80/ChangeLog4
-rw-r--r--sim/tic80/sim-calls.c1
3 files changed, 26 insertions, 0 deletions
diff --git a/sim/common/ChangeLog b/sim/common/ChangeLog
index c2cc924..6398e02 100644
--- a/sim/common/ChangeLog
+++ b/sim/common/ChangeLog
@@ -1,5 +1,26 @@
Mon May 19 12:07:22 1997 Andrew Cagney <cagney@b1.cygnus.com>
+ * sim-events.c (sim_events_zalloc): Signal save memory allocator -
+ stop tk interrupting malloc calls.
+ (sim_events_zalloc): Converse.
+
+ * Make-common.in (sim_main_headers): Add sim-events.h.
+
+ * sim-events.c (sim_events_schedule_after_signal): Change return
+ type to void - signal events are strictly internal.
+ (sim_events_init): Allocate a finite buffer for signal events.
+ (sim_events_schedule_after_signal): Enter signal events into the
+ signal buffer.
+
+ * sim-engine.c (sim_engine_halt): Check SIM_DESC magic.
+ (sim_engine_restart): Ditto.
+ (sim_engine_abort): Ditto.
+ * sim-stop.c (sim_stop): Ditto.
+ (control_c_simulation): Ditto.
+ * sim-resume.c (sim_resume): Ditto.
+ (has_stepped): Ditto.
+ * sim-abort.c (sim_engine_abort): Ditto.
+
* sim-basics.h (transfer_type): New type.
* sim-core.c (sim_core_signal): New function. Print core signal
diff --git a/sim/tic80/ChangeLog b/sim/tic80/ChangeLog
index 76952bc..cc6bbe5 100644
--- a/sim/tic80/ChangeLog
+++ b/sim/tic80/ChangeLog
@@ -1,3 +1,7 @@
+Mon May 19 14:58:47 1997 Andrew Cagney <cagney@b1.cygnus.com>
+
+ * sim-calls.c (sim_open): Set the simulator base magic number.
+
Fri May 16 14:35:30 1997 Andrew Cagney <cagney@b1.cygnus.com>
* insns (illegal, fp_unavailable): Halt instead of abort the
diff --git a/sim/tic80/sim-calls.c b/sim/tic80/sim-calls.c
index 5b580bd..a0e57532 100644
--- a/sim/tic80/sim-calls.c
+++ b/sim/tic80/sim-calls.c
@@ -53,6 +53,7 @@ SIM_DESC
sim_open (SIM_OPEN_KIND kind, char **argv)
{
STATE_OPEN_KIND (&simulation) = kind;
+ STATE_MAGIC (&simulation) = SIM_MAGIC_NUMBER;
/* establish the simulator configuration */
sim_config (&simulation,