aboutsummaryrefslogtreecommitdiff
path: root/sim/cris
diff options
context:
space:
mode:
authorMike Frysinger <vapier@gentoo.org>2021-01-09 01:16:11 -0500
committerMike Frysinger <vapier@gentoo.org>2021-01-09 09:19:37 -0500
commitbf470982f998b2b18545cc335f469a20e457acdd (patch)
tree9d11918cdd5c02cdcff059b29005e05c6b616dee /sim/cris
parent0a94990bf64a82bdf73960fec1b47fef7c6a6ced (diff)
downloadgdb-bf470982f998b2b18545cc335f469a20e457acdd.zip
gdb-bf470982f998b2b18545cc335f469a20e457acdd.tar.gz
gdb-bf470982f998b2b18545cc335f469a20e457acdd.tar.bz2
sim: enable -Werror by default for some arches
We've had this off for a long time because the sim code was way too full of warnings for it to be feasible. However, I've cleaned things up significantly from when this was first merged, and we can start to turn this around. Change the macro to enable -Werror by default, and allow ports to opt out. New ports will get it automatically (and we can push back on them if they try to turn it off). Also turn it off for the few ports that still hit warnings for me. All the rest will get the new default, and we'll wait for feedback if/when new issues come up.
Diffstat (limited to 'sim/cris')
-rw-r--r--sim/cris/ChangeLog5
-rwxr-xr-xsim/cris/configure5
-rw-r--r--sim/cris/configure.ac2
3 files changed, 6 insertions, 6 deletions
diff --git a/sim/cris/ChangeLog b/sim/cris/ChangeLog
index 1c4bda1..0a057d9 100644
--- a/sim/cris/ChangeLog
+++ b/sim/cris/ChangeLog
@@ -1,3 +1,8 @@
+2021-01-09 Mike Frysinger <vapier@gentoo.org>
+
+ * configure.ac (SIM_AC_OPTION_WARNINGS): Pass "no".
+ * configure: Regenerate.
+
2021-01-08 Mike Frysinger <vapier@gentoo.org>
* configure: Regenerate.
diff --git a/sim/cris/configure b/sim/cris/configure
index 96f545d..e75a8ce 100755
--- a/sim/cris/configure
+++ b/sim/cris/configure
@@ -13732,11 +13732,6 @@ if test "${GCC}" = yes -a -z "${ERROR_ON_WARNING}" ; then
fi
WERROR_CFLAGS=""
-if test "${ERROR_ON_WARNING}" = yes ; then
-# NOTE: Disabled in the sim dir due to most sims generating warnings.
-# WERROR_CFLAGS="-Werror"
- true
-fi
build_warnings="-Wall -Wdeclaration-after-statement -Wpointer-arith \
-Wpointer-sign \
diff --git a/sim/cris/configure.ac b/sim/cris/configure.ac
index 2ac3266..12e0023 100644
--- a/sim/cris/configure.ac
+++ b/sim/cris/configure.ac
@@ -10,7 +10,7 @@ AC_CHECK_HEADERS(sys/socket.h sys/select.h limits.h sys/param.h)
SIM_AC_OPTION_ENDIAN(LITTLE)
SIM_AC_OPTION_ALIGNMENT(NONSTRICT_ALIGNMENT)
SIM_AC_OPTION_SCACHE(16384)
-SIM_AC_OPTION_WARNINGS
+SIM_AC_OPTION_WARNINGS(no)
SIM_AC_OPTION_HARDWARE(yes,,rv cris cris_900000xx)
# The default model shouldn't matter as long as there's a BFD.