aboutsummaryrefslogtreecommitdiff
path: root/sim/common/dv-cfi.c
diff options
context:
space:
mode:
authorMike Frysinger <vapier@gentoo.org>2021-04-24 00:10:44 -0400
committerMike Frysinger <vapier@gentoo.org>2021-04-24 00:17:35 -0400
commit837b53fd088d13dda77b1d9db73800163692c2e3 (patch)
tree545d201075d262c1e6692b917fe8ed05e19b49a9 /sim/common/dv-cfi.c
parent9d90335212595f76b0f29698dfb2468c7318c171 (diff)
downloadgdb-837b53fd088d13dda77b1d9db73800163692c2e3.zip
gdb-837b53fd088d13dda77b1d9db73800163692c2e3.tar.gz
gdb-837b53fd088d13dda77b1d9db73800163692c2e3.tar.bz2
sim: options: increase max option count
As we turn on more modules by default for all ports, the number of options has been increasing. The sim-options module has a limit on the number of options it can support, and if it's exceeded, it likes to go into an infinite loop. Increase the ceiling and add an assert so we abort right away instead of hanging. This will be needed to turn on hw support for v850 as it will then exceed the current limit.
Diffstat (limited to 'sim/common/dv-cfi.c')
0 files changed, 0 insertions, 0 deletions