aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/smul16.h
blob: 7e0f08abb6cd03cfc41ad64b0248d6cec06f016f (plain)
1
2
3
P_MUL_LOOP(16, {
  pd = (int32_t)ps1 * (int32_t)ps2;
})