aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/amoor_b.h
blob: 3048ee9023bb761fa2b8b76b1fabbe48c181bdce (plain)
1
2
require_extension(EXT_ZABHA);
WRITE_RD(sreg_t(MMU.amo<int8_t>(RS1, [&](int8_t lhs) { return lhs | RS2; })));