aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/vlbu.h
diff options
context:
space:
mode:
Diffstat (limited to 'riscv/insns/vlbu.h')
-rw-r--r--riscv/insns/vlbu.h2
1 files changed, 2 insertions, 0 deletions
diff --git a/riscv/insns/vlbu.h b/riscv/insns/vlbu.h
new file mode 100644
index 0000000..f92c8b5
--- /dev/null
+++ b/riscv/insns/vlbu.h
@@ -0,0 +1,2 @@
+require_vector;
+VEC_LOAD(RD, load_uint8, 1);