aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorSudakshina Das <sudi.das@arm.com>2018-11-12 13:19:12 +0000
committerSudakshina Das <sudi.das@arm.com>2018-11-12 13:20:58 +0000
commit503ba600259856b41a88b56922e094ea826df270 (patch)
tree3db3d7f334fc3cf0de24c808169bc126d9913a36
parente6025b546c21b280ef05201b065f07335ee10e2e (diff)
downloadgdb-503ba600259856b41a88b56922e094ea826df270.zip
gdb-503ba600259856b41a88b56922e094ea826df270.tar.gz
gdb-503ba600259856b41a88b56922e094ea826df270.tar.bz2
[BINUTILS, AARCH64, 6/8] Add Tag getting instruction in Memory Tagging Extension
This patch is part of the patch series to add support for ARMv8.5-A Memory Tagging Extensions which is an optional extension to ARMv8.5-A and is enabled using the +memtag command line option. This patch add support to the Bulk Allocation Tag instructions from MTE. These are the following instructions added in this patch: - LDGV <Xt>, [<Xn|SP>]! - STGV <Xt>, [<Xn|SP>]! This needed a new kind of operand for the new addressing [<Xn|SP>]! since this has no offset and only takes a pre-indexed version. Hence AARCH64_OPND_ADDR_SIMPLE_2 and ldtdgv_indexed are introduced. (AARCH64_OPND_ADDR_SIMPLE fulfilled the no offset criteria but does not allow writeback). We also needed new encoding and decoding functions to be able to do the same. where <Xt> : Is the 64-bit destination GPR. <Xn|SP> : Is the 64-bit first source GPR or Stack pointer. *** include/ChangeLog *** 2018-11-12 Sudakshina Das <sudi.das@arm.com> * opcode/aarch64.h (aarch64_opnd): Add AARCH64_OPND_ADDR_SIMPLE_2. (aarch64_insn_class): Add ldstgv_indexed. *** opcodes/ChangeLog *** 2018-11-12 Sudakshina Das <sudi.das@arm.com> * aarch64-asm.c (aarch64_ins_addr_simple_2): New. * aarch64-asm.h (ins_addr_simple_2): Declare the above. * aarch64-dis.c (aarch64_ext_addr_simple_2): New. * aarch64-dis.h (ext_addr_simple_2): Declare the above. * aarch64-opc.c (operand_general_constraint_met_p): Add case for AARCH64_OPND_ADDR_SIMPLE_2 and ldstgv_indexed. (aarch64_print_operand): Add case for AARCH64_OPND_ADDR_SIMPLE_2. * aarch64-tbl.h (aarch64_opcode_table): Add stgv and ldgv. (AARCH64_OPERANDS): Define ADDR_SIMPLE_2. * aarch64-asm-2.c: Regenerated. * aarch64-dis-2.c: Regenerated. * aarch64-opc-2.c: Regenerated. *** gas/ChangeLog *** 2018-11-12 Sudakshina Das <sudi.das@arm.com> * config/tc-aarch64.c (parse_operands): Add switch case for AARCH64_OPND_ADDR_SIMPLE_2 and allow [base]! for it. (warn_unpredictable_ldst): Exempt ldstgv_indexed for ldgv. * testsuite/gas/aarch64/armv8_5-a-memtag.s: Add tests for ldgv and stgv. * testsuite/gas/aarch64/armv8_5-a-memtag.d: Likewise. * testsuite/gas/aarch64/illegal-memtag.s: Likewise. * testsuite/gas/aarch64/illegal-memtag.l: Likewise.
-rw-r--r--gas/ChangeLog10
-rw-r--r--gas/config/tc-aarch64.c20
-rw-r--r--gas/testsuite/gas/aarch64/armv8_5-a-memtag.d11
-rw-r--r--gas/testsuite/gas/aarch64/armv8_5-a-memtag.s13
-rw-r--r--gas/testsuite/gas/aarch64/illegal-memtag.l7
-rw-r--r--gas/testsuite/gas/aarch64/illegal-memtag.s12
-rw-r--r--include/ChangeLog5
-rw-r--r--include/opcode/aarch64.h2
-rw-r--r--opcodes/ChangeLog15
-rw-r--r--opcodes/aarch64-asm-2.c694
-rw-r--r--opcodes/aarch64-asm.c11
-rw-r--r--opcodes/aarch64-asm.h1
-rw-r--r--opcodes/aarch64-dis-2.c2586
-rw-r--r--opcodes/aarch64-dis.c17
-rw-r--r--opcodes/aarch64-dis.h1
-rw-r--r--opcodes/aarch64-opc-2.c31
-rw-r--r--opcodes/aarch64-opc.c6
-rw-r--r--opcodes/aarch64-tbl.h4
18 files changed, 1801 insertions, 1645 deletions
diff --git a/gas/ChangeLog b/gas/ChangeLog
index eead118..eea9a4e 100644
--- a/gas/ChangeLog
+++ b/gas/ChangeLog
@@ -1,5 +1,15 @@
2018-11-12 Sudakshina Das <sudi.das@arm.com>
+ * config/tc-aarch64.c (parse_operands): Add switch case for
+ AARCH64_OPND_ADDR_SIMPLE_2 and allow [base]! for it.
+ (warn_unpredictable_ldst): Exempt ldstgv_indexed for ldgv.
+ * testsuite/gas/aarch64/armv8_5-a-memtag.s: Add tests for ldgv and stgv.
+ * testsuite/gas/aarch64/armv8_5-a-memtag.d: Likewise.
+ * testsuite/gas/aarch64/illegal-memtag.s: Likewise.
+ * testsuite/gas/aarch64/illegal-memtag.l: Likewise.
+
+2018-11-12 Sudakshina Das <sudi.das@arm.com>
+
* testsuite/gas/aarch64/armv8_5-a-memtag.s: Add tests for ldg.
* testsuite/gas/aarch64/armv8_5-a-memtag.d: Likewise.
* testsuite/gas/aarch64/illegal-memtag.s: Likewise.
diff --git a/gas/config/tc-aarch64.c b/gas/config/tc-aarch64.c
index a5eee96..3fed11c 100644
--- a/gas/config/tc-aarch64.c
+++ b/gas/config/tc-aarch64.c
@@ -3372,6 +3372,7 @@ parse_shifter_operand_reloc (char **str, aarch64_opnd_info *operand,
[base,Wm,(S|U)XTW {#imm}]
Pre-indexed
[base,#imm]!
+ [base]! // in ld/stgv
Post-indexed
[base],#imm
[base],Xm // in SIMD ld/st structure
@@ -3680,10 +3681,11 @@ parse_address_main (char **str, aarch64_opnd_info *operand,
}
/* If at this point neither .preind nor .postind is set, we have a
- bare [Rn]{!}; reject [Rn]! but accept [Rn] as a shorthand for [Rn,#0]. */
+ bare [Rn]{!}; reject [Rn]! except for ld/stgv but accept [Rn]
+ as a shorthand for [Rn,#0]. */
if (operand->addr.preind == 0 && operand->addr.postind == 0)
{
- if (operand->addr.writeback)
+ if (operand->type != AARCH64_OPND_ADDR_SIMPLE_2 && operand->addr.writeback)
{
/* Reject [Rn]! */
set_syntax_error (_("missing offset in the pre-indexed address"));
@@ -6137,6 +6139,7 @@ parse_operands (char *str, const aarch64_opcode *opcode)
break;
case AARCH64_OPND_ADDR_SIMPLE:
+ case AARCH64_OPND_ADDR_SIMPLE_2:
case AARCH64_OPND_SIMD_ADDR_SIMPLE:
{
/* [<Xn|SP>{, #<simm>}] */
@@ -6146,7 +6149,8 @@ parse_operands (char *str, const aarch64_opcode *opcode)
po_misc_or_fail (parse_address (&str, info));
if (info->addr.pcrel || info->addr.offset.is_reg
|| !info->addr.preind || info->addr.postind
- || info->addr.writeback)
+ || (info->addr.writeback
+ && operands[i] != AARCH64_OPND_ADDR_SIMPLE_2))
{
set_syntax_error (_("invalid addressing mode"));
goto failure;
@@ -6169,6 +6173,8 @@ parse_operands (char *str, const aarch64_opcode *opcode)
}
}
po_char_or_fail (']');
+ if (operands[i] == AARCH64_OPND_ADDR_SIMPLE_2)
+ po_char_or_fail ('!');
break;
}
@@ -6766,6 +6772,14 @@ warn_unpredictable_ldst (aarch64_instruction *instr, char *str)
&& opnds[1].addr.writeback)
as_warn (_("unpredictable transfer with writeback -- `%s'"), str);
break;
+
+ case ldstgv_indexed:
+ /* Load operations must load different registers. */
+ if ((opcode->opcode & (1 << 22))
+ && opnds[0].reg.regno == opnds[1].addr.base_regno)
+ as_warn (_("unpredictable load of register -- `%s'"), str);
+ break;
+
case ldstpair_off:
case ldstnapair_offs:
case ldstpair_indexed:
diff --git a/gas/testsuite/gas/aarch64/armv8_5-a-memtag.d b/gas/testsuite/gas/aarch64/armv8_5-a-memtag.d
index 363bbe2..fa56bfa 100644
--- a/gas/testsuite/gas/aarch64/armv8_5-a-memtag.d
+++ b/gas/testsuite/gas/aarch64/armv8_5-a-memtag.d
@@ -121,3 +121,14 @@ Disassembly of section \.text:
.*: d960001f ldg xzr, \[x0\]
.*: d96ff000 ldg x0, \[x0, #4080\]
.*: d9700000 ldg x0, \[x0, #-4096\]
+.*: d9e0001b ldgv x27, \[x0\]!
+.*: d9e00360 ldgv x0, \[x27\]!
+.*: d9e00379 ldgv x25, \[x27\]!
+.*: d9e003e0 ldgv x0, \[sp\]!
+.*: d9e0001f ldgv xzr, \[x0\]!
+.*: d9a00000 stgv x0, \[x0\]!
+.*: d9a0001b stgv x27, \[x0\]!
+.*: d9a00360 stgv x0, \[x27\]!
+.*: d9a00379 stgv x25, \[x27\]!
+.*: d9a003e0 stgv x0, \[sp\]!
+.*: d9a0001f stgv xzr, \[x0\]!
diff --git a/gas/testsuite/gas/aarch64/armv8_5-a-memtag.s b/gas/testsuite/gas/aarch64/armv8_5-a-memtag.s
index 62c9436..2c2ff8f 100644
--- a/gas/testsuite/gas/aarch64/armv8_5-a-memtag.s
+++ b/gas/testsuite/gas/aarch64/armv8_5-a-memtag.s
@@ -30,6 +30,14 @@ func:
\op [sp], #-4096
.endm
+ .macro expand_ldg_bulk op
+ \op x27, [x0]!
+ \op x0, [x27]!
+ \op x25, [x27]!
+ \op x0, [sp]!
+ \op xzr, [x0]!
+ .endm
+
# IRG
expand_3_reg irg
irg sp, x0
@@ -98,3 +106,8 @@ func:
ldg xzr, [x0, #0]
ldg x0, [x0, #4080]
ldg x0, [x0, #-4096]
+
+ expand_ldg_bulk ldgv
+
+ stgv x0, [x0]!
+ expand_ldg_bulk stgv
diff --git a/gas/testsuite/gas/aarch64/illegal-memtag.l b/gas/testsuite/gas/aarch64/illegal-memtag.l
index dfdf00a..0d499d9 100644
--- a/gas/testsuite/gas/aarch64/illegal-memtag.l
+++ b/gas/testsuite/gas/aarch64/illegal-memtag.l
@@ -12,6 +12,9 @@
[^:]*:[0-9]+: Error: immediate offset out of range -1024 to 1008 at operand 3 -- `stgp x1,x2,\[x3,#1009\]'
[^:]*:[0-9]+: Error: immediate value must be a multiple of 16 at operand 3 -- `stgp x1,x2,\[x3,#33\]'
[^:]*:[0-9]+: Error: immediate offset out of range -1024 to 1008 at operand 3 -- `stgp x1,x2,\[x3,#-1025\]'
+[^:]*:[0-9]+: Warning: unpredictable load of register -- `ldgv x1,\[x1\]!'
+[^:]*:[0-9]+: Error: operand 2 must be a writeback address with base register \(no offset\) -- `ldgv x1,\[x2\]'
+[^:]*:[0-9]+: Error: operand 2 must be a writeback address with base register \(no offset\) -- `stgv x1,\[x2\]'
[^:]*:[0-9]+: Error: operand 1 must be an integer or stack pointer register -- `irg xzr,x2,x3'
[^:]*:[0-9]+: Error: operand 2 must be an integer or stack pointer register -- `irg x1,xzr,x3'
[^:]*:[0-9]+: Error: operand 3 must be an integer register -- `irg x1,x2,sp'
@@ -37,3 +40,7 @@
[^:]*:[0-9]+: Error: 64-bit integer or SP register expected at operand 3 -- `stgp x0,x0,\[xzr\]'
[^:]*:[0-9]+: Error: operand 1 must be an integer register -- `ldg sp,\[x0,#16\]'
[^:]*:[0-9]+: Error: 64-bit integer or SP register expected at operand 2 -- `ldg x0,\[xzr,#16\]'
+[^:]*:[0-9]+: Error: operand 1 must be an integer register -- `ldgv sp,\[x1\]!'
+[^:]*:[0-9]+: Error: 64-bit integer or SP register expected at operand 2 -- `ldgv x0,\[xzr\]!'
+[^:]*:[0-9]+: Error: operand 1 must be an integer register -- `stgv sp,\[x1\]!'
+[^:]*:[0-9]+: Error: 64-bit integer or SP register expected at operand 2 -- `stgv x0,\[xzr\]!'
diff --git a/gas/testsuite/gas/aarch64/illegal-memtag.s b/gas/testsuite/gas/aarch64/illegal-memtag.s
index 35d1b12..7b7dcd9 100644
--- a/gas/testsuite/gas/aarch64/illegal-memtag.s
+++ b/gas/testsuite/gas/aarch64/illegal-memtag.s
@@ -20,6 +20,14 @@ func:
stgp x1, x2, [x3, #33]
stgp x1, x2, [x3, #-1025]
+ # LDGV : Warn for Xt == Xn
+ # STGV : Sould not warn for above
+ ldgv x1, [x1]!
+ stgv x1, [x1]!
+ # Error for no writeback
+ ldgv x1, [x2]
+ stgv x1, [x2]
+
# Illegal SP/XZR registers
irg xzr, x2, x3
irg x1, xzr, x3
@@ -46,3 +54,7 @@ func:
stgp x0, x0, [xzr]
ldg sp, [x0, #16]
ldg x0, [xzr, #16]
+ ldgv sp, [x1]!
+ ldgv x0, [xzr]!
+ stgv sp, [x1]!
+ stgv x0, [xzr]!
diff --git a/include/ChangeLog b/include/ChangeLog
index 491bbd4..d23816f 100644
--- a/include/ChangeLog
+++ b/include/ChangeLog
@@ -1,5 +1,10 @@
2018-11-12 Sudakshina Das <sudi.das@arm.com>
+ * opcode/aarch64.h (aarch64_opnd): Add AARCH64_OPND_ADDR_SIMPLE_2.
+ (aarch64_insn_class): Add ldstgv_indexed.
+
+2018-11-12 Sudakshina Das <sudi.das@arm.com>
+
* opcode/aarch64.h (aarch64_opnd): Add AARCH64_OPND_ADDR_SIMM11
and AARCH64_OPND_ADDR_SIMM13.
(aarch64_opnd_qualifier): Add new AARCH64_OPND_QLF_imm_tag.
diff --git a/include/opcode/aarch64.h b/include/opcode/aarch64.h
index c4c573a..0840239 100644
--- a/include/opcode/aarch64.h
+++ b/include/opcode/aarch64.h
@@ -275,6 +275,7 @@ enum aarch64_opnd
AARCH64_OPND_ADDR_PCREL26, /* 26-bit PC-relative address for e.g. BL. */
AARCH64_OPND_ADDR_SIMPLE, /* Address of ld/st exclusive. */
+ AARCH64_OPND_ADDR_SIMPLE_2, /* Address of ld/stgv. */
AARCH64_OPND_ADDR_REGOFF, /* Address of register offset. */
AARCH64_OPND_ADDR_SIMM7, /* Address of signed 7-bit immediate. */
AARCH64_OPND_ADDR_SIMM9, /* Address of signed 9-bit immediate. */
@@ -561,6 +562,7 @@ enum aarch64_insn_class
ldstnapair_offs,
ldstpair_off,
ldstpair_indexed,
+ ldstgv_indexed,
loadlit,
log_imm,
log_shift,
diff --git a/opcodes/ChangeLog b/opcodes/ChangeLog
index b652efd..0b5daa9 100644
--- a/opcodes/ChangeLog
+++ b/opcodes/ChangeLog
@@ -1,5 +1,20 @@
2018-11-12 Sudakshina Das <sudi.das@arm.com>
+ * aarch64-asm.c (aarch64_ins_addr_simple_2): New.
+ * aarch64-asm.h (ins_addr_simple_2): Declare the above.
+ * aarch64-dis.c (aarch64_ext_addr_simple_2): New.
+ * aarch64-dis.h (ext_addr_simple_2): Declare the above.
+ * aarch64-opc.c (operand_general_constraint_met_p): Add case for
+ AARCH64_OPND_ADDR_SIMPLE_2 and ldstgv_indexed.
+ (aarch64_print_operand): Add case for AARCH64_OPND_ADDR_SIMPLE_2.
+ * aarch64-tbl.h (aarch64_opcode_table): Add stgv and ldgv.
+ (AARCH64_OPERANDS): Define ADDR_SIMPLE_2.
+ * aarch64-asm-2.c: Regenerated.
+ * aarch64-dis-2.c: Regenerated.
+ * aarch64-opc-2.c: Regenerated.
+
+2018-11-12 Sudakshina Das <sudi.das@arm.com>
+
* aarch64-tbl.h (QL_LDG): New.
(aarch64_opcode_table): Add ldg.
* aarch64-asm-2.c: Regenerated.
diff --git a/opcodes/aarch64-asm-2.c b/opcodes/aarch64-asm-2.c
index ed1d91d..afa16db 100644
--- a/opcodes/aarch64-asm-2.c
+++ b/opcodes/aarch64-asm-2.c
@@ -201,390 +201,390 @@ aarch64_find_real_opcode (const aarch64_opcode *opcode)
case 757: /* extr */
value = 757; /* --> extr. */
break;
- case 988: /* bic */
- case 987: /* and */
- value = 987; /* --> and. */
- break;
- case 990: /* mov */
- case 989: /* orr */
- value = 989; /* --> orr. */
- break;
- case 993: /* tst */
- case 992: /* ands */
- value = 992; /* --> ands. */
- break;
- case 998: /* uxtw */
- case 997: /* mov */
- case 996: /* orr */
- value = 996; /* --> orr. */
+ case 990: /* bic */
+ case 989: /* and */
+ value = 989; /* --> and. */
+ break;
+ case 992: /* mov */
+ case 991: /* orr */
+ value = 991; /* --> orr. */
+ break;
+ case 995: /* tst */
+ case 994: /* ands */
+ value = 994; /* --> ands. */
+ break;
+ case 1000: /* uxtw */
+ case 999: /* mov */
+ case 998: /* orr */
+ value = 998; /* --> orr. */
break;
- case 1000: /* mvn */
- case 999: /* orn */
- value = 999; /* --> orn. */
+ case 1002: /* mvn */
+ case 1001: /* orn */
+ value = 1001; /* --> orn. */
break;
- case 1004: /* tst */
- case 1003: /* ands */
- value = 1003; /* --> ands. */
+ case 1006: /* tst */
+ case 1005: /* ands */
+ value = 1005; /* --> ands. */
break;
- case 1130: /* staddb */
- case 1034: /* ldaddb */
- value = 1034; /* --> ldaddb. */
+ case 1132: /* staddb */
+ case 1036: /* ldaddb */
+ value = 1036; /* --> ldaddb. */
break;
- case 1131: /* staddh */
- case 1035: /* ldaddh */
- value = 1035; /* --> ldaddh. */
+ case 1133: /* staddh */
+ case 1037: /* ldaddh */
+ value = 1037; /* --> ldaddh. */
break;
- case 1132: /* stadd */
- case 1036: /* ldadd */
- value = 1036; /* --> ldadd. */
+ case 1134: /* stadd */
+ case 1038: /* ldadd */
+ value = 1038; /* --> ldadd. */
break;
- case 1133: /* staddlb */
- case 1038: /* ldaddlb */
- value = 1038; /* --> ldaddlb. */
+ case 1135: /* staddlb */
+ case 1040: /* ldaddlb */
+ value = 1040; /* --> ldaddlb. */
break;
- case 1134: /* staddlh */
- case 1041: /* ldaddlh */
- value = 1041; /* --> ldaddlh. */
+ case 1136: /* staddlh */
+ case 1043: /* ldaddlh */
+ value = 1043; /* --> ldaddlh. */
break;
- case 1135: /* staddl */
- case 1044: /* ldaddl */
- value = 1044; /* --> ldaddl. */
+ case 1137: /* staddl */
+ case 1046: /* ldaddl */
+ value = 1046; /* --> ldaddl. */
break;
- case 1136: /* stclrb */
- case 1046: /* ldclrb */
- value = 1046; /* --> ldclrb. */
+ case 1138: /* stclrb */
+ case 1048: /* ldclrb */
+ value = 1048; /* --> ldclrb. */
break;
- case 1137: /* stclrh */
- case 1047: /* ldclrh */
- value = 1047; /* --> ldclrh. */
+ case 1139: /* stclrh */
+ case 1049: /* ldclrh */
+ value = 1049; /* --> ldclrh. */
break;
- case 1138: /* stclr */
- case 1048: /* ldclr */
- value = 1048; /* --> ldclr. */
+ case 1140: /* stclr */
+ case 1050: /* ldclr */
+ value = 1050; /* --> ldclr. */
break;
- case 1139: /* stclrlb */
- case 1050: /* ldclrlb */
- value = 1050; /* --> ldclrlb. */
+ case 1141: /* stclrlb */
+ case 1052: /* ldclrlb */
+ value = 1052; /* --> ldclrlb. */
break;
- case 1140: /* stclrlh */
- case 1053: /* ldclrlh */
- value = 1053; /* --> ldclrlh. */
+ case 1142: /* stclrlh */
+ case 1055: /* ldclrlh */
+ value = 1055; /* --> ldclrlh. */
break;
- case 1141: /* stclrl */
- case 1056: /* ldclrl */
- value = 1056; /* --> ldclrl. */
+ case 1143: /* stclrl */
+ case 1058: /* ldclrl */
+ value = 1058; /* --> ldclrl. */
break;
- case 1142: /* steorb */
- case 1058: /* ldeorb */
- value = 1058; /* --> ldeorb. */
+ case 1144: /* steorb */
+ case 1060: /* ldeorb */
+ value = 1060; /* --> ldeorb. */
break;
- case 1143: /* steorh */
- case 1059: /* ldeorh */
- value = 1059; /* --> ldeorh. */
+ case 1145: /* steorh */
+ case 1061: /* ldeorh */
+ value = 1061; /* --> ldeorh. */
break;
- case 1144: /* steor */
- case 1060: /* ldeor */
- value = 1060; /* --> ldeor. */
+ case 1146: /* steor */
+ case 1062: /* ldeor */
+ value = 1062; /* --> ldeor. */
break;
- case 1145: /* steorlb */
- case 1062: /* ldeorlb */
- value = 1062; /* --> ldeorlb. */
+ case 1147: /* steorlb */
+ case 1064: /* ldeorlb */
+ value = 1064; /* --> ldeorlb. */
break;
- case 1146: /* steorlh */
- case 1065: /* ldeorlh */
- value = 1065; /* --> ldeorlh. */
+ case 1148: /* steorlh */
+ case 1067: /* ldeorlh */
+ value = 1067; /* --> ldeorlh. */
break;
- case 1147: /* steorl */
- case 1068: /* ldeorl */
- value = 1068; /* --> ldeorl. */
+ case 1149: /* steorl */
+ case 1070: /* ldeorl */
+ value = 1070; /* --> ldeorl. */
break;
- case 1148: /* stsetb */
- case 1070: /* ldsetb */
- value = 1070; /* --> ldsetb. */
+ case 1150: /* stsetb */
+ case 1072: /* ldsetb */
+ value = 1072; /* --> ldsetb. */
break;
- case 1149: /* stseth */
- case 1071: /* ldseth */
- value = 1071; /* --> ldseth. */
+ case 1151: /* stseth */
+ case 1073: /* ldseth */
+ value = 1073; /* --> ldseth. */
break;
- case 1150: /* stset */
- case 1072: /* ldset */
- value = 1072; /* --> ldset. */
+ case 1152: /* stset */
+ case 1074: /* ldset */
+ value = 1074; /* --> ldset. */
break;
- case 1151: /* stsetlb */
- case 1074: /* ldsetlb */
- value = 1074; /* --> ldsetlb. */
+ case 1153: /* stsetlb */
+ case 1076: /* ldsetlb */
+ value = 1076; /* --> ldsetlb. */
break;
- case 1152: /* stsetlh */
- case 1077: /* ldsetlh */
- value = 1077; /* --> ldsetlh. */
+ case 1154: /* stsetlh */
+ case 1079: /* ldsetlh */
+ value = 1079; /* --> ldsetlh. */
break;
- case 1153: /* stsetl */
- case 1080: /* ldsetl */
- value = 1080; /* --> ldsetl. */
+ case 1155: /* stsetl */
+ case 1082: /* ldsetl */
+ value = 1082; /* --> ldsetl. */
break;
- case 1154: /* stsmaxb */
- case 1082: /* ldsmaxb */
- value = 1082; /* --> ldsmaxb. */
+ case 1156: /* stsmaxb */
+ case 1084: /* ldsmaxb */
+ value = 1084; /* --> ldsmaxb. */
break;
- case 1155: /* stsmaxh */
- case 1083: /* ldsmaxh */
- value = 1083; /* --> ldsmaxh. */
+ case 1157: /* stsmaxh */
+ case 1085: /* ldsmaxh */
+ value = 1085; /* --> ldsmaxh. */
break;
- case 1156: /* stsmax */
- case 1084: /* ldsmax */
- value = 1084; /* --> ldsmax. */
+ case 1158: /* stsmax */
+ case 1086: /* ldsmax */
+ value = 1086; /* --> ldsmax. */
break;
- case 1157: /* stsmaxlb */
- case 1086: /* ldsmaxlb */
- value = 1086; /* --> ldsmaxlb. */
+ case 1159: /* stsmaxlb */
+ case 1088: /* ldsmaxlb */
+ value = 1088; /* --> ldsmaxlb. */
break;
- case 1158: /* stsmaxlh */
- case 1089: /* ldsmaxlh */
- value = 1089; /* --> ldsmaxlh. */
+ case 1160: /* stsmaxlh */
+ case 1091: /* ldsmaxlh */
+ value = 1091; /* --> ldsmaxlh. */
break;
- case 1159: /* stsmaxl */
- case 1092: /* ldsmaxl */
- value = 1092; /* --> ldsmaxl. */
+ case 1161: /* stsmaxl */
+ case 1094: /* ldsmaxl */
+ value = 1094; /* --> ldsmaxl. */
break;
- case 1160: /* stsminb */
- case 1094: /* ldsminb */
- value = 1094; /* --> ldsminb. */
+ case 1162: /* stsminb */
+ case 1096: /* ldsminb */
+ value = 1096; /* --> ldsminb. */
break;
- case 1161: /* stsminh */
- case 1095: /* ldsminh */
- value = 1095; /* --> ldsminh. */
+ case 1163: /* stsminh */
+ case 1097: /* ldsminh */
+ value = 1097; /* --> ldsminh. */
break;
- case 1162: /* stsmin */
- case 1096: /* ldsmin */
- value = 1096; /* --> ldsmin. */
+ case 1164: /* stsmin */
+ case 1098: /* ldsmin */
+ value = 1098; /* --> ldsmin. */
break;
- case 1163: /* stsminlb */
- case 1098: /* ldsminlb */
- value = 1098; /* --> ldsminlb. */
+ case 1165: /* stsminlb */
+ case 1100: /* ldsminlb */
+ value = 1100; /* --> ldsminlb. */
break;
- case 1164: /* stsminlh */
- case 1101: /* ldsminlh */
- value = 1101; /* --> ldsminlh. */
+ case 1166: /* stsminlh */
+ case 1103: /* ldsminlh */
+ value = 1103; /* --> ldsminlh. */
break;
- case 1165: /* stsminl */
- case 1104: /* ldsminl */
- value = 1104; /* --> ldsminl. */
+ case 1167: /* stsminl */
+ case 1106: /* ldsminl */
+ value = 1106; /* --> ldsminl. */
break;
- case 1166: /* stumaxb */
- case 1106: /* ldumaxb */
- value = 1106; /* --> ldumaxb. */
+ case 1168: /* stumaxb */
+ case 1108: /* ldumaxb */
+ value = 1108; /* --> ldumaxb. */
break;
- case 1167: /* stumaxh */
- case 1107: /* ldumaxh */
- value = 1107; /* --> ldumaxh. */
+ case 1169: /* stumaxh */
+ case 1109: /* ldumaxh */
+ value = 1109; /* --> ldumaxh. */
break;
- case 1168: /* stumax */
- case 1108: /* ldumax */
- value = 1108; /* --> ldumax. */
+ case 1170: /* stumax */
+ case 1110: /* ldumax */
+ value = 1110; /* --> ldumax. */
break;
- case 1169: /* stumaxlb */
- case 1110: /* ldumaxlb */
- value = 1110; /* --> ldumaxlb. */
+ case 1171: /* stumaxlb */
+ case 1112: /* ldumaxlb */
+ value = 1112; /* --> ldumaxlb. */
break;
- case 1170: /* stumaxlh */
- case 1113: /* ldumaxlh */
- value = 1113; /* --> ldumaxlh. */
+ case 1172: /* stumaxlh */
+ case 1115: /* ldumaxlh */
+ value = 1115; /* --> ldumaxlh. */
break;
- case 1171: /* stumaxl */
- case 1116: /* ldumaxl */
- value = 1116; /* --> ldumaxl. */
+ case 1173: /* stumaxl */
+ case 1118: /* ldumaxl */
+ value = 1118; /* --> ldumaxl. */
break;
- case 1172: /* stuminb */
- case 1118: /* lduminb */
- value = 1118; /* --> lduminb. */
+ case 1174: /* stuminb */
+ case 1120: /* lduminb */
+ value = 1120; /* --> lduminb. */
break;
- case 1173: /* stuminh */
- case 1119: /* lduminh */
- value = 1119; /* --> lduminh. */
+ case 1175: /* stuminh */
+ case 1121: /* lduminh */
+ value = 1121; /* --> lduminh. */
break;
- case 1174: /* stumin */
- case 1120: /* ldumin */
- value = 1120; /* --> ldumin. */
+ case 1176: /* stumin */
+ case 1122: /* ldumin */
+ value = 1122; /* --> ldumin. */
break;
- case 1175: /* stuminlb */
- case 1122: /* lduminlb */
- value = 1122; /* --> lduminlb. */
+ case 1177: /* stuminlb */
+ case 1124: /* lduminlb */
+ value = 1124; /* --> lduminlb. */
break;
- case 1176: /* stuminlh */
- case 1125: /* lduminlh */
- value = 1125; /* --> lduminlh. */
+ case 1178: /* stuminlh */
+ case 1127: /* lduminlh */
+ value = 1127; /* --> lduminlh. */
break;
- case 1177: /* stuminl */
- case 1128: /* lduminl */
- value = 1128; /* --> lduminl. */
- break;
- case 1179: /* mov */
- case 1178: /* movn */
- value = 1178; /* --> movn. */
+ case 1179: /* stuminl */
+ case 1130: /* lduminl */
+ value = 1130; /* --> lduminl. */
break;
case 1181: /* mov */
- case 1180: /* movz */
- value = 1180; /* --> movz. */
- break;
- case 1227: /* autibsp */
- case 1226: /* autibz */
- case 1225: /* autiasp */
- case 1224: /* autiaz */
- case 1223: /* pacibsp */
- case 1222: /* pacibz */
- case 1221: /* paciasp */
- case 1220: /* paciaz */
- case 1201: /* psb */
- case 1200: /* esb */
- case 1199: /* autib1716 */
- case 1198: /* autia1716 */
- case 1197: /* pacib1716 */
- case 1196: /* pacia1716 */
- case 1195: /* xpaclri */
- case 1194: /* sevl */
- case 1193: /* sev */
- case 1192: /* wfi */
- case 1191: /* wfe */
- case 1190: /* yield */
- case 1189: /* bti */
- case 1188: /* csdb */
- case 1187: /* nop */
- case 1186: /* hint */
- value = 1186; /* --> hint. */
- break;
- case 1205: /* pssbb */
- case 1204: /* ssbb */
- case 1203: /* dsb */
- value = 1203; /* --> dsb. */
- break;
- case 1216: /* cpp */
- case 1215: /* dvp */
- case 1214: /* cfp */
- case 1213: /* tlbi */
- case 1212: /* ic */
- case 1211: /* dc */
- case 1210: /* at */
- case 1209: /* sys */
- value = 1209; /* --> sys. */
- break;
- case 2025: /* bic */
- case 1275: /* and */
- value = 1275; /* --> and. */
- break;
- case 1258: /* mov */
+ case 1180: /* movn */
+ value = 1180; /* --> movn. */
+ break;
+ case 1183: /* mov */
+ case 1182: /* movz */
+ value = 1182; /* --> movz. */
+ break;
+ case 1229: /* autibsp */
+ case 1228: /* autibz */
+ case 1227: /* autiasp */
+ case 1226: /* autiaz */
+ case 1225: /* pacibsp */
+ case 1224: /* pacibz */
+ case 1223: /* paciasp */
+ case 1222: /* paciaz */
+ case 1203: /* psb */
+ case 1202: /* esb */
+ case 1201: /* autib1716 */
+ case 1200: /* autia1716 */
+ case 1199: /* pacib1716 */
+ case 1198: /* pacia1716 */
+ case 1197: /* xpaclri */
+ case 1196: /* sevl */
+ case 1195: /* sev */
+ case 1194: /* wfi */
+ case 1193: /* wfe */
+ case 1192: /* yield */
+ case 1191: /* bti */
+ case 1190: /* csdb */
+ case 1189: /* nop */
+ case 1188: /* hint */
+ value = 1188; /* --> hint. */
+ break;
+ case 1207: /* pssbb */
+ case 1206: /* ssbb */
+ case 1205: /* dsb */
+ value = 1205; /* --> dsb. */
+ break;
+ case 1218: /* cpp */
+ case 1217: /* dvp */
+ case 1216: /* cfp */
+ case 1215: /* tlbi */
+ case 1214: /* ic */
+ case 1213: /* dc */
+ case 1212: /* at */
+ case 1211: /* sys */
+ value = 1211; /* --> sys. */
+ break;
+ case 2027: /* bic */
case 1277: /* and */
value = 1277; /* --> and. */
break;
- case 1262: /* movs */
- case 1278: /* ands */
- value = 1278; /* --> ands. */
+ case 1260: /* mov */
+ case 1279: /* and */
+ value = 1279; /* --> and. */
break;
- case 2026: /* cmple */
- case 1313: /* cmpge */
- value = 1313; /* --> cmpge. */
+ case 1264: /* movs */
+ case 1280: /* ands */
+ value = 1280; /* --> ands. */
break;
- case 2029: /* cmplt */
- case 1316: /* cmpgt */
- value = 1316; /* --> cmpgt. */
+ case 2028: /* cmple */
+ case 1315: /* cmpge */
+ value = 1315; /* --> cmpge. */
break;
- case 2027: /* cmplo */
- case 1318: /* cmphi */
- value = 1318; /* --> cmphi. */
+ case 2031: /* cmplt */
+ case 1318: /* cmpgt */
+ value = 1318; /* --> cmpgt. */
break;
- case 2028: /* cmpls */
- case 1321: /* cmphs */
- value = 1321; /* --> cmphs. */
+ case 2029: /* cmplo */
+ case 1320: /* cmphi */
+ value = 1320; /* --> cmphi. */
break;
- case 1255: /* mov */
- case 1343: /* cpy */
- value = 1343; /* --> cpy. */
+ case 2030: /* cmpls */
+ case 1323: /* cmphs */
+ value = 1323; /* --> cmphs. */
break;
case 1257: /* mov */
- case 1344: /* cpy */
- value = 1344; /* --> cpy. */
- break;
- case 2036: /* fmov */
- case 1260: /* mov */
case 1345: /* cpy */
value = 1345; /* --> cpy. */
break;
- case 1250: /* mov */
- case 1357: /* dup */
- value = 1357; /* --> dup. */
+ case 1259: /* mov */
+ case 1346: /* cpy */
+ value = 1346; /* --> cpy. */
break;
- case 1252: /* mov */
- case 1249: /* mov */
- case 1358: /* dup */
- value = 1358; /* --> dup. */
+ case 2038: /* fmov */
+ case 1262: /* mov */
+ case 1347: /* cpy */
+ value = 1347; /* --> cpy. */
break;
- case 2035: /* fmov */
- case 1254: /* mov */
+ case 1252: /* mov */
case 1359: /* dup */
value = 1359; /* --> dup. */
break;
- case 1253: /* mov */
- case 1360: /* dupm */
- value = 1360; /* --> dupm. */
+ case 1254: /* mov */
+ case 1251: /* mov */
+ case 1360: /* dup */
+ value = 1360; /* --> dup. */
+ break;
+ case 2037: /* fmov */
+ case 1256: /* mov */
+ case 1361: /* dup */
+ value = 1361; /* --> dup. */
break;
- case 2030: /* eon */
- case 1362: /* eor */
- value = 1362; /* --> eor. */
+ case 1255: /* mov */
+ case 1362: /* dupm */
+ value = 1362; /* --> dupm. */
break;
- case 1263: /* not */
+ case 2032: /* eon */
case 1364: /* eor */
value = 1364; /* --> eor. */
break;
- case 1264: /* nots */
- case 1365: /* eors */
- value = 1365; /* --> eors. */
+ case 1265: /* not */
+ case 1366: /* eor */
+ value = 1366; /* --> eor. */
break;
- case 2031: /* facle */
- case 1370: /* facge */
- value = 1370; /* --> facge. */
+ case 1266: /* nots */
+ case 1367: /* eors */
+ value = 1367; /* --> eors. */
break;
- case 2032: /* faclt */
- case 1371: /* facgt */
- value = 1371; /* --> facgt. */
+ case 2033: /* facle */
+ case 1372: /* facge */
+ value = 1372; /* --> facge. */
break;
- case 2033: /* fcmle */
- case 1384: /* fcmge */
- value = 1384; /* --> fcmge. */
+ case 2034: /* faclt */
+ case 1373: /* facgt */
+ value = 1373; /* --> facgt. */
break;
- case 2034: /* fcmlt */
- case 1386: /* fcmgt */
- value = 1386; /* --> fcmgt. */
+ case 2035: /* fcmle */
+ case 1386: /* fcmge */
+ value = 1386; /* --> fcmge. */
break;
- case 1247: /* fmov */
- case 1392: /* fcpy */
- value = 1392; /* --> fcpy. */
+ case 2036: /* fcmlt */
+ case 1388: /* fcmgt */
+ value = 1388; /* --> fcmgt. */
break;
- case 1246: /* fmov */
- case 1415: /* fdup */
- value = 1415; /* --> fdup. */
+ case 1249: /* fmov */
+ case 1394: /* fcpy */
+ value = 1394; /* --> fcpy. */
break;
- case 1248: /* mov */
- case 1746: /* orr */
- value = 1746; /* --> orr. */
+ case 1248: /* fmov */
+ case 1417: /* fdup */
+ value = 1417; /* --> fdup. */
break;
- case 2037: /* orn */
- case 1747: /* orr */
- value = 1747; /* --> orr. */
+ case 1250: /* mov */
+ case 1748: /* orr */
+ value = 1748; /* --> orr. */
break;
- case 1251: /* mov */
+ case 2039: /* orn */
case 1749: /* orr */
value = 1749; /* --> orr. */
break;
- case 1261: /* movs */
- case 1750: /* orrs */
- value = 1750; /* --> orrs. */
+ case 1253: /* mov */
+ case 1751: /* orr */
+ value = 1751; /* --> orr. */
break;
- case 1256: /* mov */
- case 1812: /* sel */
- value = 1812; /* --> sel. */
+ case 1263: /* movs */
+ case 1752: /* orrs */
+ value = 1752; /* --> orrs. */
break;
- case 1259: /* mov */
- case 1813: /* sel */
- value = 1813; /* --> sel. */
+ case 1258: /* mov */
+ case 1814: /* sel */
+ value = 1814; /* --> sel. */
+ break;
+ case 1261: /* mov */
+ case 1815: /* sel */
+ value = 1815; /* --> sel. */
break;
default: return NULL;
}
@@ -627,7 +627,6 @@ aarch64_insert_operand (const aarch64_operand *self,
case 27:
case 28:
case 29:
- case 159:
case 160:
case 161:
case 162:
@@ -637,7 +636,7 @@ aarch64_insert_operand (const aarch64_operand *self,
case 166:
case 167:
case 168:
- case 181:
+ case 169:
case 182:
case 183:
case 184:
@@ -646,8 +645,9 @@ aarch64_insert_operand (const aarch64_operand *self,
case 187:
case 188:
case 189:
- case 193:
- case 196:
+ case 190:
+ case 194:
+ case 197:
return aarch64_ins_regno (self, info, code, inst, errors);
case 13:
return aarch64_ins_reg_extended (self, info, code, inst, errors);
@@ -659,7 +659,7 @@ aarch64_insert_operand (const aarch64_operand *self,
case 31:
case 32:
case 33:
- case 198:
+ case 199:
return aarch64_ins_reglane (self, info, code, inst, errors);
case 34:
return aarch64_ins_reglist (self, info, code, inst, errors);
@@ -693,9 +693,8 @@ aarch64_insert_operand (const aarch64_operand *self,
case 79:
case 80:
case 81:
- case 156:
- case 158:
- case 173:
+ case 157:
+ case 159:
case 174:
case 175:
case 176:
@@ -703,6 +702,7 @@ aarch64_insert_operand (const aarch64_operand *self,
case 178:
case 179:
case 180:
+ case 181:
return aarch64_ins_imm (self, info, code, inst, errors);
case 42:
case 43:
@@ -712,10 +712,10 @@ aarch64_insert_operand (const aarch64_operand *self,
case 46:
return aarch64_ins_advsimd_imm_modified (self, info, code, inst, errors);
case 50:
- case 147:
+ case 148:
return aarch64_ins_fpimm (self, info, code, inst, errors);
case 67:
- case 154:
+ case 155:
return aarch64_ins_limm (self, info, code, inst, errors);
case 68:
return aarch64_ins_aimm (self, info, code, inst, errors);
@@ -725,68 +725,69 @@ aarch64_insert_operand (const aarch64_operand *self,
return aarch64_ins_fbits (self, info, code, inst, errors);
case 72:
case 73:
- case 152:
+ case 153:
return aarch64_ins_imm_rotate2 (self, info, code, inst, errors);
case 74:
- case 151:
+ case 152:
return aarch64_ins_imm_rotate1 (self, info, code, inst, errors);
case 75:
case 76:
return aarch64_ins_cond (self, info, code, inst, errors);
case 82:
- case 91:
+ case 92:
return aarch64_ins_addr_simple (self, info, code, inst, errors);
case 83:
- return aarch64_ins_addr_regoff (self, info, code, inst, errors);
+ return aarch64_ins_addr_simple_2 (self, info, code, inst, errors);
case 84:
+ return aarch64_ins_addr_regoff (self, info, code, inst, errors);
case 85:
case 86:
- case 88:
- case 90:
- return aarch64_ins_addr_simm (self, info, code, inst, errors);
case 87:
- return aarch64_ins_addr_simm10 (self, info, code, inst, errors);
case 89:
+ case 91:
+ return aarch64_ins_addr_simm (self, info, code, inst, errors);
+ case 88:
+ return aarch64_ins_addr_simm10 (self, info, code, inst, errors);
+ case 90:
return aarch64_ins_addr_uimm12 (self, info, code, inst, errors);
- case 92:
- return aarch64_ins_addr_offset (self, info, code, inst, errors);
case 93:
- return aarch64_ins_simd_addr_post (self, info, code, inst, errors);
+ return aarch64_ins_addr_offset (self, info, code, inst, errors);
case 94:
- return aarch64_ins_sysreg (self, info, code, inst, errors);
+ return aarch64_ins_simd_addr_post (self, info, code, inst, errors);
case 95:
- return aarch64_ins_pstatefield (self, info, code, inst, errors);
+ return aarch64_ins_sysreg (self, info, code, inst, errors);
case 96:
+ return aarch64_ins_pstatefield (self, info, code, inst, errors);
case 97:
case 98:
case 99:
case 100:
- return aarch64_ins_sysins_op (self, info, code, inst, errors);
case 101:
+ return aarch64_ins_sysins_op (self, info, code, inst, errors);
case 102:
- return aarch64_ins_barrier (self, info, code, inst, errors);
case 103:
- return aarch64_ins_prfop (self, info, code, inst, errors);
+ return aarch64_ins_barrier (self, info, code, inst, errors);
case 104:
+ return aarch64_ins_prfop (self, info, code, inst, errors);
case 105:
- return aarch64_ins_hint (self, info, code, inst, errors);
case 106:
- return aarch64_ins_sve_addr_ri_s4 (self, info, code, inst, errors);
+ return aarch64_ins_hint (self, info, code, inst, errors);
case 107:
+ return aarch64_ins_sve_addr_ri_s4 (self, info, code, inst, errors);
case 108:
case 109:
case 110:
- return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst, errors);
case 111:
- return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_ri_s4xvl (self, info, code, inst, errors);
case 112:
- return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_ri_s6xvl (self, info, code, inst, errors);
case 113:
+ return aarch64_ins_sve_addr_ri_s9xvl (self, info, code, inst, errors);
case 114:
case 115:
case 116:
- return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst, errors);
case 117:
+ return aarch64_ins_sve_addr_ri_u6 (self, info, code, inst, errors);
case 118:
case 119:
case 120:
@@ -799,8 +800,8 @@ aarch64_insert_operand (const aarch64_operand *self,
case 127:
case 128:
case 129:
- return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst, errors);
case 130:
+ return aarch64_ins_sve_addr_rr_lsl (self, info, code, inst, errors);
case 131:
case 132:
case 133:
@@ -808,48 +809,49 @@ aarch64_insert_operand (const aarch64_operand *self,
case 135:
case 136:
case 137:
- return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst, errors);
case 138:
+ return aarch64_ins_sve_addr_rz_xtw (self, info, code, inst, errors);
case 139:
case 140:
case 141:
- return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst, errors);
case 142:
- return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_zi_u5 (self, info, code, inst, errors);
case 143:
- return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_zz_lsl (self, info, code, inst, errors);
case 144:
- return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_zz_sxtw (self, info, code, inst, errors);
case 145:
- return aarch64_ins_sve_aimm (self, info, code, inst, errors);
+ return aarch64_ins_sve_addr_zz_uxtw (self, info, code, inst, errors);
case 146:
+ return aarch64_ins_sve_aimm (self, info, code, inst, errors);
+ case 147:
return aarch64_ins_sve_asimm (self, info, code, inst, errors);
- case 148:
- return aarch64_ins_sve_float_half_one (self, info, code, inst, errors);
case 149:
- return aarch64_ins_sve_float_half_two (self, info, code, inst, errors);
+ return aarch64_ins_sve_float_half_one (self, info, code, inst, errors);
case 150:
+ return aarch64_ins_sve_float_half_two (self, info, code, inst, errors);
+ case 151:
return aarch64_ins_sve_float_zero_one (self, info, code, inst, errors);
- case 153:
+ case 154:
return aarch64_ins_inv_limm (self, info, code, inst, errors);
- case 155:
+ case 156:
return aarch64_ins_sve_limm_mov (self, info, code, inst, errors);
- case 157:
+ case 158:
return aarch64_ins_sve_scale (self, info, code, inst, errors);
- case 169:
case 170:
- return aarch64_ins_sve_shlimm (self, info, code, inst, errors);
case 171:
+ return aarch64_ins_sve_shlimm (self, info, code, inst, errors);
case 172:
+ case 173:
return aarch64_ins_sve_shrimm (self, info, code, inst, errors);
- case 190:
case 191:
case 192:
+ case 193:
return aarch64_ins_sve_quad_index (self, info, code, inst, errors);
- case 194:
- return aarch64_ins_sve_index (self, info, code, inst, errors);
case 195:
- case 197:
+ return aarch64_ins_sve_index (self, info, code, inst, errors);
+ case 196:
+ case 198:
return aarch64_ins_sve_reglist (self, info, code, inst, errors);
default: assert (0); abort ();
}
diff --git a/opcodes/aarch64-asm.c b/opcodes/aarch64-asm.c
index e53b98a..e3380cb 100644
--- a/opcodes/aarch64-asm.c
+++ b/opcodes/aarch64-asm.c
@@ -618,6 +618,17 @@ aarch64_ins_addr_simple (const aarch64_operand *self ATTRIBUTE_UNUSED,
return TRUE;
}
+/* Encode the address operand for e.g. STGV <Xt>, [<Xn|SP>]!. */
+bfd_boolean
+aarch64_ins_addr_simple_2 (const aarch64_operand *self,
+ const aarch64_opnd_info *info, aarch64_insn *code,
+ const aarch64_inst *inst,
+ aarch64_operand_error *errors)
+
+{
+ return aarch64_ins_addr_simple (self, info, code, inst, errors);
+}
+
/* Encode the address operand for e.g.
STR <Qt>, [<Xn|SP>, <R><m>{, <extend> {<amount>}}]. */
bfd_boolean
diff --git a/opcodes/aarch64-asm.h b/opcodes/aarch64-asm.h
index 0524f91..fec5448 100644
--- a/opcodes/aarch64-asm.h
+++ b/opcodes/aarch64-asm.h
@@ -59,6 +59,7 @@ AARCH64_DECL_OPD_INSERTER (ins_limm);
AARCH64_DECL_OPD_INSERTER (ins_inv_limm);
AARCH64_DECL_OPD_INSERTER (ins_ft);
AARCH64_DECL_OPD_INSERTER (ins_addr_simple);
+AARCH64_DECL_OPD_INSERTER (ins_addr_simple_2);
AARCH64_DECL_OPD_INSERTER (ins_addr_offset);
AARCH64_DECL_OPD_INSERTER (ins_addr_regoff);
AARCH64_DECL_OPD_INSERTER (ins_addr_simm);
diff --git a/opcodes/aarch64-dis-2.c b/opcodes/aarch64-dis-2.c
index faead8e..65c48ee 100644
--- a/opcodes/aarch64-dis-2.c
+++ b/opcodes/aarch64-dis-2.c
@@ -40,7 +40,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
adr. */
- return 1183;
+ return 1185;
}
else
{
@@ -48,7 +48,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1xxx0000xxxxxxxxxxxxxxxxxxxxxxxx
adrp. */
- return 1184;
+ return 1186;
}
}
else
@@ -143,7 +143,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x00100x001xxxxx0xxxxxxxxxxxxxxx
casp. */
- return 1018;
+ return 1020;
}
else
{
@@ -195,7 +195,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x00100x001xxxxx1xxxxxxxxxxxxxxx
caspl. */
- return 1020;
+ return 1022;
}
else
{
@@ -216,7 +216,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x010100x00xxxxxxxxxxxxxxxxxxxxxx
stnp. */
- return 967;
+ return 969;
}
else
{
@@ -224,7 +224,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x110100x00xxxxxxxxxxxxxxxxxxxxxx
stgp. */
- return 976;
+ return 978;
}
}
}
@@ -242,7 +242,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x00100x100xxxxx0xxxxxxxxxxxxxxx
stllrb. */
- return 965;
+ return 967;
}
else
{
@@ -250,7 +250,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x100xxxxx0xxxxxxxxxxxxxxx
stllr. */
- return 964;
+ return 966;
}
}
else
@@ -263,7 +263,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0000100x101xxxxx0xxxxxxxxxxxxxxx
casb. */
- return 1006;
+ return 1008;
}
else
{
@@ -271,7 +271,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0100100x101xxxxx0xxxxxxxxxxxxxxx
cash. */
- return 1007;
+ return 1009;
}
}
else
@@ -280,7 +280,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x101xxxxx0xxxxxxxxxxxxxxx
cas. */
- return 1008;
+ return 1010;
}
}
}
@@ -326,7 +326,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0000100x101xxxxx1xxxxxxxxxxxxxxx
caslb. */
- return 1010;
+ return 1012;
}
else
{
@@ -334,7 +334,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0100100x101xxxxx1xxxxxxxxxxxxxxx
caslh. */
- return 1013;
+ return 1015;
}
}
else
@@ -343,7 +343,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x101xxxxx1xxxxxxxxxxxxxxx
casl. */
- return 1016;
+ return 1018;
}
}
}
@@ -356,7 +356,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x010100x10xxxxxxxxxxxxxxxxxxxxxx
stp. */
- return 977;
+ return 979;
}
else
{
@@ -364,7 +364,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x110100x10xxxxxxxxxxxxxxxxxxxxxx
stgp. */
- return 982;
+ return 984;
}
}
}
@@ -415,7 +415,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x00100x011xxxxx0xxxxxxxxxxxxxxx
caspa. */
- return 1019;
+ return 1021;
}
else
{
@@ -467,7 +467,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x00100x011xxxxx1xxxxxxxxxxxxxxx
caspal. */
- return 1021;
+ return 1023;
}
else
{
@@ -488,7 +488,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x010100x01xxxxxxxxxxxxxxxxxxxxxx
ldnp. */
- return 968;
+ return 970;
}
else
{
@@ -496,7 +496,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x110100x01xxxxxxxxxxxxxxxxxxxxxx
ldpsw. */
- return 975;
+ return 977;
}
}
}
@@ -516,7 +516,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0000100x110xxxxx0xxxxxxxxxxxxxxx
ldlarb. */
- return 962;
+ return 964;
}
else
{
@@ -524,7 +524,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0100100x110xxxxx0xxxxxxxxxxxxxxx
ldlarh. */
- return 963;
+ return 965;
}
}
else
@@ -533,7 +533,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x110xxxxx0xxxxxxxxxxxxxxx
ldlar. */
- return 961;
+ return 963;
}
}
else
@@ -546,7 +546,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0000100x111xxxxx0xxxxxxxxxxxxxxx
casab. */
- return 1009;
+ return 1011;
}
else
{
@@ -554,7 +554,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0100100x111xxxxx0xxxxxxxxxxxxxxx
casah. */
- return 1012;
+ return 1014;
}
}
else
@@ -563,7 +563,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x111xxxxx0xxxxxxxxxxxxxxx
casa. */
- return 1015;
+ return 1017;
}
}
}
@@ -609,7 +609,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0000100x111xxxxx1xxxxxxxxxxxxxxx
casalb. */
- return 1011;
+ return 1013;
}
else
{
@@ -617,7 +617,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0100100x111xxxxx1xxxxxxxxxxxxxxx
casalh. */
- return 1014;
+ return 1016;
}
}
else
@@ -626,7 +626,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x00100x111xxxxx1xxxxxxxxxxxxxxx
casal. */
- return 1017;
+ return 1019;
}
}
}
@@ -639,7 +639,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x010100x11xxxxxxxxxxxxxxxxxxxxxx
ldp. */
- return 978;
+ return 980;
}
else
{
@@ -647,7 +647,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x110100x11xxxxxxxxxxxxxxxxxxxxxx
ldpsw. */
- return 981;
+ return 983;
}
}
}
@@ -665,7 +665,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x011000xxxxxxxxxxxxxxxxxxxxxxxx
ldr. */
- return 983;
+ return 985;
}
else
{
@@ -675,7 +675,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
10011000xxxxxxxxxxxxxxxxxxxxxxxx
ldrsw. */
- return 985;
+ return 987;
}
else
{
@@ -683,7 +683,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
11011000xxxxxxxxxxxxxxxxxxxxxxxx
prfm. */
- return 986;
+ return 988;
}
}
}
@@ -822,7 +822,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxx000000xxxxxxxxxx
ldaddb. */
- return 1034;
+ return 1036;
}
else
{
@@ -830,7 +830,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxx000000xxxxxxxxxx
ldaddh. */
- return 1035;
+ return 1037;
}
}
else
@@ -839,7 +839,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxx000000xxxxxxxxxx
ldadd. */
- return 1036;
+ return 1038;
}
}
else
@@ -852,7 +852,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxx000000xxxxxxxxxx
ldaddab. */
- return 1037;
+ return 1039;
}
else
{
@@ -860,7 +860,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxx000000xxxxxxxxxx
ldaddah. */
- return 1040;
+ return 1042;
}
}
else
@@ -869,7 +869,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxx000000xxxxxxxxxx
ldadda. */
- return 1043;
+ return 1045;
}
}
}
@@ -885,7 +885,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxx000000xxxxxxxxxx
ldaddlb. */
- return 1038;
+ return 1040;
}
else
{
@@ -893,7 +893,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxx000000xxxxxxxxxx
ldaddlh. */
- return 1041;
+ return 1043;
}
}
else
@@ -902,7 +902,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxx000000xxxxxxxxxx
ldaddl. */
- return 1044;
+ return 1046;
}
}
else
@@ -915,7 +915,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxx000000xxxxxxxxxx
ldaddalb. */
- return 1039;
+ return 1041;
}
else
{
@@ -923,7 +923,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxx000000xxxxxxxxxx
ldaddalh. */
- return 1042;
+ return 1044;
}
}
else
@@ -932,7 +932,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxx000000xxxxxxxxxx
ldaddal. */
- return 1045;
+ return 1047;
}
}
}
@@ -951,7 +951,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxx100000xxxxxxxxxx
swpb. */
- return 1022;
+ return 1024;
}
else
{
@@ -959,7 +959,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxx100000xxxxxxxxxx
swph. */
- return 1023;
+ return 1025;
}
}
else
@@ -968,7 +968,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxx100000xxxxxxxxxx
swp. */
- return 1024;
+ return 1026;
}
}
else
@@ -981,7 +981,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxx100000xxxxxxxxxx
swpab. */
- return 1025;
+ return 1027;
}
else
{
@@ -989,7 +989,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxx100000xxxxxxxxxx
swpah. */
- return 1028;
+ return 1030;
}
}
else
@@ -998,7 +998,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxx100000xxxxxxxxxx
swpa. */
- return 1031;
+ return 1033;
}
}
}
@@ -1014,7 +1014,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxx100000xxxxxxxxxx
swplb. */
- return 1026;
+ return 1028;
}
else
{
@@ -1022,7 +1022,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxx100000xxxxxxxxxx
swplh. */
- return 1029;
+ return 1031;
}
}
else
@@ -1031,7 +1031,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxx100000xxxxxxxxxx
swpl. */
- return 1032;
+ return 1034;
}
}
else
@@ -1044,7 +1044,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxx100000xxxxxxxxxx
swpalb. */
- return 1027;
+ return 1029;
}
else
{
@@ -1052,7 +1052,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxx100000xxxxxxxxxx
swpalh. */
- return 1030;
+ return 1032;
}
}
else
@@ -1061,7 +1061,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxx100000xxxxxxxxxx
swpal. */
- return 1033;
+ return 1035;
}
}
}
@@ -1083,7 +1083,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxx010000xxxxxxxxxx
ldsmaxb. */
- return 1082;
+ return 1084;
}
else
{
@@ -1091,7 +1091,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxx010000xxxxxxxxxx
ldsmaxh. */
- return 1083;
+ return 1085;
}
}
else
@@ -1100,7 +1100,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxx010000xxxxxxxxxx
ldsmax. */
- return 1084;
+ return 1086;
}
}
else
@@ -1113,7 +1113,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxx010000xxxxxxxxxx
ldsmaxab. */
- return 1085;
+ return 1087;
}
else
{
@@ -1121,7 +1121,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxx010000xxxxxxxxxx
ldsmaxah. */
- return 1088;
+ return 1090;
}
}
else
@@ -1130,7 +1130,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxx010000xxxxxxxxxx
ldsmaxa. */
- return 1091;
+ return 1093;
}
}
}
@@ -1146,7 +1146,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxx010000xxxxxxxxxx
ldsmaxlb. */
- return 1086;
+ return 1088;
}
else
{
@@ -1154,7 +1154,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxx010000xxxxxxxxxx
ldsmaxlh. */
- return 1089;
+ return 1091;
}
}
else
@@ -1163,7 +1163,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxx010000xxxxxxxxxx
ldsmaxl. */
- return 1092;
+ return 1094;
}
}
else
@@ -1176,7 +1176,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxx010000xxxxxxxxxx
ldsmaxalb. */
- return 1087;
+ return 1089;
}
else
{
@@ -1184,7 +1184,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxx010000xxxxxxxxxx
ldsmaxalh. */
- return 1090;
+ return 1092;
}
}
else
@@ -1193,7 +1193,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxx010000xxxxxxxxxx
ldsmaxal. */
- return 1093;
+ return 1095;
}
}
}
@@ -1246,7 +1246,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx01000xxxxxxxxxx
ldeorb. */
- return 1058;
+ return 1060;
}
else
{
@@ -1254,7 +1254,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx01000xxxxxxxxxx
ldeorh. */
- return 1059;
+ return 1061;
}
}
else
@@ -1263,7 +1263,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx01000xxxxxxxxxx
ldeor. */
- return 1060;
+ return 1062;
}
}
else
@@ -1276,7 +1276,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx01000xxxxxxxxxx
ldeorab. */
- return 1061;
+ return 1063;
}
else
{
@@ -1284,7 +1284,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx01000xxxxxxxxxx
ldeorah. */
- return 1064;
+ return 1066;
}
}
else
@@ -1293,7 +1293,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx01000xxxxxxxxxx
ldeora. */
- return 1067;
+ return 1069;
}
}
}
@@ -1309,7 +1309,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx01000xxxxxxxxxx
ldeorlb. */
- return 1062;
+ return 1064;
}
else
{
@@ -1317,7 +1317,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx01000xxxxxxxxxx
ldeorlh. */
- return 1065;
+ return 1067;
}
}
else
@@ -1326,7 +1326,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx01000xxxxxxxxxx
ldeorl. */
- return 1068;
+ return 1070;
}
}
else
@@ -1339,7 +1339,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx01000xxxxxxxxxx
ldeoralb. */
- return 1063;
+ return 1065;
}
else
{
@@ -1347,7 +1347,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx01000xxxxxxxxxx
ldeoralh. */
- return 1066;
+ return 1068;
}
}
else
@@ -1356,7 +1356,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx01000xxxxxxxxxx
ldeoral. */
- return 1069;
+ return 1071;
}
}
}
@@ -1375,7 +1375,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx11000xxxxxxxxxx
ldumaxb. */
- return 1106;
+ return 1108;
}
else
{
@@ -1383,7 +1383,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx11000xxxxxxxxxx
ldumaxh. */
- return 1107;
+ return 1109;
}
}
else
@@ -1392,7 +1392,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx11000xxxxxxxxxx
ldumax. */
- return 1108;
+ return 1110;
}
}
else
@@ -1405,7 +1405,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx11000xxxxxxxxxx
ldumaxab. */
- return 1109;
+ return 1111;
}
else
{
@@ -1413,7 +1413,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx11000xxxxxxxxxx
ldumaxah. */
- return 1112;
+ return 1114;
}
}
else
@@ -1422,7 +1422,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx11000xxxxxxxxxx
ldumaxa. */
- return 1115;
+ return 1117;
}
}
}
@@ -1438,7 +1438,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx11000xxxxxxxxxx
ldumaxlb. */
- return 1110;
+ return 1112;
}
else
{
@@ -1446,7 +1446,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx11000xxxxxxxxxx
ldumaxlh. */
- return 1113;
+ return 1115;
}
}
else
@@ -1455,7 +1455,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx11000xxxxxxxxxx
ldumaxl. */
- return 1116;
+ return 1118;
}
}
else
@@ -1468,7 +1468,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx11000xxxxxxxxxx
ldumaxalb. */
- return 1111;
+ return 1113;
}
else
{
@@ -1476,7 +1476,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx11000xxxxxxxxxx
ldumaxalh. */
- return 1114;
+ return 1116;
}
}
else
@@ -1485,7 +1485,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx11000xxxxxxxxxx
ldumaxal. */
- return 1117;
+ return 1119;
}
}
}
@@ -1510,7 +1510,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx00100xxxxxxxxxx
ldclrb. */
- return 1046;
+ return 1048;
}
else
{
@@ -1518,7 +1518,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx00100xxxxxxxxxx
ldclrh. */
- return 1047;
+ return 1049;
}
}
else
@@ -1527,7 +1527,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx00100xxxxxxxxxx
ldclr. */
- return 1048;
+ return 1050;
}
}
else
@@ -1540,7 +1540,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx00100xxxxxxxxxx
ldclrab. */
- return 1049;
+ return 1051;
}
else
{
@@ -1548,7 +1548,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx00100xxxxxxxxxx
ldclrah. */
- return 1052;
+ return 1054;
}
}
else
@@ -1557,7 +1557,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx00100xxxxxxxxxx
ldclra. */
- return 1055;
+ return 1057;
}
}
}
@@ -1573,7 +1573,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx00100xxxxxxxxxx
ldclrlb. */
- return 1050;
+ return 1052;
}
else
{
@@ -1581,7 +1581,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx00100xxxxxxxxxx
ldclrlh. */
- return 1053;
+ return 1055;
}
}
else
@@ -1590,7 +1590,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx00100xxxxxxxxxx
ldclrl. */
- return 1056;
+ return 1058;
}
}
else
@@ -1603,7 +1603,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx00100xxxxxxxxxx
ldclralb. */
- return 1051;
+ return 1053;
}
else
{
@@ -1611,7 +1611,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx00100xxxxxxxxxx
ldclralh. */
- return 1054;
+ return 1056;
}
}
else
@@ -1620,7 +1620,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx00100xxxxxxxxxx
ldclral. */
- return 1057;
+ return 1059;
}
}
}
@@ -1639,7 +1639,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx10100xxxxxxxxxx
ldsminb. */
- return 1094;
+ return 1096;
}
else
{
@@ -1647,7 +1647,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx10100xxxxxxxxxx
ldsminh. */
- return 1095;
+ return 1097;
}
}
else
@@ -1656,7 +1656,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx10100xxxxxxxxxx
ldsmin. */
- return 1096;
+ return 1098;
}
}
else
@@ -1669,7 +1669,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx10100xxxxxxxxxx
ldsminab. */
- return 1097;
+ return 1099;
}
else
{
@@ -1677,7 +1677,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx10100xxxxxxxxxx
ldsminah. */
- return 1100;
+ return 1102;
}
}
else
@@ -1686,7 +1686,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx10100xxxxxxxxxx
ldsmina. */
- return 1103;
+ return 1105;
}
}
}
@@ -1702,7 +1702,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx10100xxxxxxxxxx
ldsminlb. */
- return 1098;
+ return 1100;
}
else
{
@@ -1710,7 +1710,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx10100xxxxxxxxxx
ldsminlh. */
- return 1101;
+ return 1103;
}
}
else
@@ -1719,7 +1719,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx10100xxxxxxxxxx
ldsminl. */
- return 1104;
+ return 1106;
}
}
else
@@ -1732,7 +1732,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx10100xxxxxxxxxx
ldsminalb. */
- return 1099;
+ return 1101;
}
else
{
@@ -1740,7 +1740,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx10100xxxxxxxxxx
ldsminalh. */
- return 1102;
+ return 1104;
}
}
else
@@ -1749,7 +1749,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx10100xxxxxxxxxx
ldsminal. */
- return 1105;
+ return 1107;
}
}
}
@@ -1771,7 +1771,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx01100xxxxxxxxxx
ldsetb. */
- return 1070;
+ return 1072;
}
else
{
@@ -1779,7 +1779,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx01100xxxxxxxxxx
ldseth. */
- return 1071;
+ return 1073;
}
}
else
@@ -1788,7 +1788,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx01100xxxxxxxxxx
ldset. */
- return 1072;
+ return 1074;
}
}
else
@@ -1801,7 +1801,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx01100xxxxxxxxxx
ldsetab. */
- return 1073;
+ return 1075;
}
else
{
@@ -1809,7 +1809,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx01100xxxxxxxxxx
ldsetah. */
- return 1076;
+ return 1078;
}
}
else
@@ -1818,7 +1818,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx01100xxxxxxxxxx
ldseta. */
- return 1079;
+ return 1081;
}
}
}
@@ -1834,7 +1834,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx01100xxxxxxxxxx
ldsetlb. */
- return 1074;
+ return 1076;
}
else
{
@@ -1842,7 +1842,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx01100xxxxxxxxxx
ldsetlh. */
- return 1077;
+ return 1079;
}
}
else
@@ -1851,7 +1851,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx01100xxxxxxxxxx
ldsetl. */
- return 1080;
+ return 1082;
}
}
else
@@ -1864,7 +1864,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx01100xxxxxxxxxx
ldsetalb. */
- return 1075;
+ return 1077;
}
else
{
@@ -1872,7 +1872,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx01100xxxxxxxxxx
ldsetalh. */
- return 1078;
+ return 1080;
}
}
else
@@ -1881,7 +1881,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx01100xxxxxxxxxx
ldsetal. */
- return 1081;
+ return 1083;
}
}
}
@@ -1900,7 +1900,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000001xxxxxx11100xxxxxxxxxx
lduminb. */
- return 1118;
+ return 1120;
}
else
{
@@ -1908,7 +1908,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000001xxxxxx11100xxxxxxxxxx
lduminh. */
- return 1119;
+ return 1121;
}
}
else
@@ -1917,7 +1917,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000001xxxxxx11100xxxxxxxxxx
ldumin. */
- return 1120;
+ return 1122;
}
}
else
@@ -1930,7 +1930,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000101xxxxxx11100xxxxxxxxxx
lduminab. */
- return 1121;
+ return 1123;
}
else
{
@@ -1938,7 +1938,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000101xxxxxx11100xxxxxxxxxx
lduminah. */
- return 1124;
+ return 1126;
}
}
else
@@ -1947,7 +1947,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000101xxxxxx11100xxxxxxxxxx
ldumina. */
- return 1127;
+ return 1129;
}
}
}
@@ -1963,7 +1963,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000011xxxxxx11100xxxxxxxxxx
lduminlb. */
- return 1122;
+ return 1124;
}
else
{
@@ -1971,7 +1971,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000011xxxxxx11100xxxxxxxxxx
lduminlh. */
- return 1125;
+ return 1127;
}
}
else
@@ -1980,7 +1980,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000011xxxxxx11100xxxxxxxxxx
lduminl. */
- return 1128;
+ return 1130;
}
}
else
@@ -1993,7 +1993,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00111000111xxxxxx11100xxxxxxxxxx
lduminalb. */
- return 1123;
+ return 1125;
}
else
{
@@ -2001,7 +2001,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01111000111xxxxxx11100xxxxxxxxxx
lduminalh. */
- return 1126;
+ return 1128;
}
}
else
@@ -2010,7 +2010,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x111000111xxxxxx11100xxxxxxxxxx
lduminal. */
- return 1129;
+ return 1131;
}
}
}
@@ -2366,7 +2366,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0001100100xxxxxxxxxx00xxxxxxxxxx
stlurb. */
- return 2079;
+ return 2081;
}
else
{
@@ -2374,7 +2374,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1001100100xxxxxxxxxx00xxxxxxxxxx
stlur. */
- return 2087;
+ return 2089;
}
}
else
@@ -2385,7 +2385,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0101100100xxxxxxxxxx00xxxxxxxxxx
stlurh. */
- return 2083;
+ return 2085;
}
else
{
@@ -2393,7 +2393,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1101100100xxxxxxxxxx00xxxxxxxxxx
stlur. */
- return 2090;
+ return 2092;
}
}
}
@@ -2464,7 +2464,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
00011001010xxxxxxxxx00xxxxxxxxxx
ldapurb. */
- return 2080;
+ return 2082;
}
else
{
@@ -2472,7 +2472,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
10011001010xxxxxxxxx00xxxxxxxxxx
ldapur. */
- return 2088;
+ return 2090;
}
}
else
@@ -2483,7 +2483,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
01011001010xxxxxxxxx00xxxxxxxxxx
ldapurh. */
- return 2084;
+ return 2086;
}
else
{
@@ -2491,7 +2491,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
11011001010xxxxxxxxx00xxxxxxxxxx
ldapur. */
- return 2091;
+ return 2093;
}
}
}
@@ -2562,53 +2562,75 @@ aarch64_opcode_lookup_1 (uint32_t word)
{
if (((word >> 11) & 0x1) == 0)
{
- if (((word >> 22) & 0x1) == 0)
+ if (((word >> 21) & 0x1) == 0)
{
- if (((word >> 30) & 0x1) == 0)
+ if (((word >> 22) & 0x1) == 0)
{
- if (((word >> 31) & 0x1) == 0)
+ if (((word >> 30) & 0x1) == 0)
{
- /* 33222222222211111111110000000000
- 10987654321098765432109876543210
- 0001100110xxxxxxxxxx00xxxxxxxxxx
- ldapursb. */
- return 2082;
+ if (((word >> 31) & 0x1) == 0)
+ {
+ /* 33222222222211111111110000000000
+ 10987654321098765432109876543210
+ 00011001100xxxxxxxxx00xxxxxxxxxx
+ ldapursb. */
+ return 2084;
+ }
+ else
+ {
+ /* 33222222222211111111110000000000
+ 10987654321098765432109876543210
+ 10011001100xxxxxxxxx00xxxxxxxxxx
+ ldapursw. */
+ return 2091;
+ }
}
else
{
/* 33222222222211111111110000000000
10987654321098765432109876543210
- 1001100110xxxxxxxxxx00xxxxxxxxxx
- ldapursw. */
- return 2089;
+ x1011001100xxxxxxxxx00xxxxxxxxxx
+ ldapursh. */
+ return 2088;
}
}
else
{
- /* 33222222222211111111110000000000
- 10987654321098765432109876543210
- x101100110xxxxxxxxxx00xxxxxxxxxx
- ldapursh. */
- return 2086;
+ if (((word >> 30) & 0x1) == 0)
+ {
+ /* 33222222222211111111110000000000
+ 10987654321098765432109876543210
+ x0011001110xxxxxxxxx00xxxxxxxxxx
+ ldapursb. */
+ return 2083;
+ }
+ else
+ {
+ /* 33222222222211111111110000000000
+ 10987654321098765432109876543210
+ x1011001110xxxxxxxxx00xxxxxxxxxx
+ ldapursh. */
+ return 2087;
+ }
}
}
else
{
- if (((word >> 30) & 0x1) == 0)
+ if (((word >> 22) & 0x1) == 0)
{
/* 33222222222211111111110000000000
10987654321098765432109876543210
- x001100111xxxxxxxxxx00xxxxxxxxxx
- ldapursb. */
- return 2081;
+ xx011001101xxxxxxxxx00xxxxxxxxxx
+ stgv. */
+ return 962;
}
else
{
/* 33222222222211111111110000000000
10987654321098765432109876543210
- x101100111xxxxxxxxxx00xxxxxxxxxx
- ldapursh. */
- return 2085;
+ xx011001111xxxxxxxxx00xxxxxxxxxx
+ ldgv. */
+ return 961;
}
}
}
@@ -2714,7 +2736,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00x00100xxxxxxxxxxxxxxxxxxxxxxx
and. */
- return 987;
+ return 989;
}
else
{
@@ -2722,7 +2744,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10x00100xxxxxxxxxxxxxxxxxxxxxxx
eor. */
- return 991;
+ return 993;
}
}
else
@@ -2733,7 +2755,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01x00100xxxxxxxxxxxxxxxxxxxxxxx
orr. */
- return 989;
+ return 991;
}
else
{
@@ -2741,7 +2763,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11x00100xxxxxxxxxxxxxxxxxxxxxxx
ands. */
- return 992;
+ return 994;
}
}
}
@@ -2755,7 +2777,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00x00101xxxxxxxxxxxxxxxxxxxxxxx
movn. */
- return 1178;
+ return 1180;
}
else
{
@@ -2763,7 +2785,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10x00101xxxxxxxxxxxxxxxxxxxxxxx
movz. */
- return 1180;
+ return 1182;
}
}
else
@@ -2772,7 +2794,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx1x00101xxxxxxxxxxxxxxxxxxxxxxx
movk. */
- return 1182;
+ return 1184;
}
}
}
@@ -2790,7 +2812,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0001010xx0xxxxxxxxxxxxxxxxxxxxx
and. */
- return 994;
+ return 996;
}
else
{
@@ -2798,7 +2820,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1001010xx0xxxxxxxxxxxxxxxxxxxxx
eor. */
- return 1001;
+ return 1003;
}
}
else
@@ -2809,7 +2831,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0101010xx0xxxxxxxxxxxxxxxxxxxxx
orr. */
- return 996;
+ return 998;
}
else
{
@@ -2817,7 +2839,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1101010xx0xxxxxxxxxxxxxxxxxxxxx
ands. */
- return 1003;
+ return 1005;
}
}
}
@@ -3074,7 +3096,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xxx11010x00xxxxxx0xx10xxxxxxxxxx
setf8. */
- return 2077;
+ return 2079;
}
else
{
@@ -3082,7 +3104,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xxx11010x00xxxxxx1xx10xxxxxxxxxx
setf16. */
- return 2078;
+ return 2080;
}
}
else
@@ -3228,7 +3250,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xxx11010000xxxxxxxxx01xxxxxxxxxx
rmif. */
- return 2076;
+ return 2078;
}
else
{
@@ -3478,7 +3500,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00x1010xx1xxxxxxxxxxxxxxxxxxxxx
bic. */
- return 995;
+ return 997;
}
else
{
@@ -3486,7 +3508,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10x1010xx1xxxxxxxxxxxxxxxxxxxxx
eon. */
- return 1002;
+ return 1004;
}
}
else
@@ -3497,7 +3519,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01x1010xx1xxxxxxxxxxxxxxxxxxxxx
orn. */
- return 999;
+ return 1001;
}
else
{
@@ -3505,7 +3527,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11x1010xx1xxxxxxxxxxxxxxxxxxxxx
bics. */
- return 1005;
+ return 1007;
}
}
}
@@ -3766,7 +3788,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000000000xxxxxxxxxxxxx
add. */
- return 1268;
+ return 1270;
}
else
{
@@ -3774,7 +3796,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx010000000xxxxxxxxxxxxx
mul. */
- return 1737;
+ return 1739;
}
}
else
@@ -3785,7 +3807,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001000000xxxxxxxxxxxxx
smax. */
- return 1816;
+ return 1818;
}
else
{
@@ -3793,7 +3815,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011000000xxxxxxxxxxxxx
orr. */
- return 1748;
+ return 1750;
}
}
}
@@ -3805,7 +3827,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0100000xxxxxxxxxxxxx
sdiv. */
- return 1807;
+ return 1809;
}
else
{
@@ -3813,7 +3835,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1100000xxxxxxxxxxxxx
sabd. */
- return 1798;
+ return 1800;
}
}
}
@@ -3827,7 +3849,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0010000xxxxxxxxxxxxx
smulh. */
- return 1821;
+ return 1823;
}
else
{
@@ -3837,7 +3859,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001010000xxxxxxxxxxxxx
smin. */
- return 1819;
+ return 1821;
}
else
{
@@ -3845,7 +3867,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011010000xxxxxxxxxxxxx
and. */
- return 1276;
+ return 1278;
}
}
}
@@ -3855,7 +3877,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xx110000xxxxxxxxxxxxx
sdivr. */
- return 1808;
+ return 1810;
}
}
}
@@ -3871,7 +3893,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0001000xxxxxxxxxxxxx
sub. */
- return 1937;
+ return 1939;
}
else
{
@@ -3881,7 +3903,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001001000xxxxxxxxxxxxx
umax. */
- return 1965;
+ return 1967;
}
else
{
@@ -3889,7 +3911,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011001000xxxxxxxxxxxxx
eor. */
- return 1363;
+ return 1365;
}
}
}
@@ -3901,7 +3923,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0101000xxxxxxxxxxxxx
udiv. */
- return 1959;
+ return 1961;
}
else
{
@@ -3909,7 +3931,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1101000xxxxxxxxxxxxx
uabd. */
- return 1950;
+ return 1952;
}
}
}
@@ -3925,7 +3947,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000011000xxxxxxxxxxxxx
subr. */
- return 1939;
+ return 1941;
}
else
{
@@ -3933,7 +3955,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx010011000xxxxxxxxxxxxx
umulh. */
- return 1970;
+ return 1972;
}
}
else
@@ -3944,7 +3966,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001011000xxxxxxxxxxxxx
umin. */
- return 1968;
+ return 1970;
}
else
{
@@ -3952,7 +3974,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011011000xxxxxxxxxxxxx
bic. */
- return 1288;
+ return 1290;
}
}
}
@@ -3962,7 +3984,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xx111000xxxxxxxxxxxxx
udivr. */
- return 1960;
+ return 1962;
}
}
}
@@ -3975,7 +3997,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x0xxxxx000xxxxxxxxxxxxx
ld1sb. */
- return 1550;
+ return 1552;
}
else
{
@@ -3983,7 +4005,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x0xxxxx000xxxxxxxxxxxxx
ld1sh. */
- return 1561;
+ return 1563;
}
}
}
@@ -3995,7 +4017,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx000xxxxxxxxxxxxx
ld1sb. */
- return 1554;
+ return 1556;
}
else
{
@@ -4007,7 +4029,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x01x0xxxxx000xx0xxxxxxxxxx
sdot. */
- return 1809;
+ return 1811;
}
else
{
@@ -4015,7 +4037,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x01x0xxxxx000xx1xxxxxxxxxx
udot. */
- return 1961;
+ return 1963;
}
}
else
@@ -4024,7 +4046,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
110001x01x0xxxxx000xxxxxxxxxxxxx
ld1sh. */
- return 1565;
+ return 1567;
}
}
}
@@ -4045,7 +4067,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000000xxxxxxxxxx
add. */
- return 1266;
+ return 1268;
}
else
{
@@ -4053,7 +4075,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000100xxxxxxxxxx
sqadd. */
- return 1823;
+ return 1825;
}
}
else
@@ -4062,7 +4084,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000x10xxxxxxxxxx
sqsub. */
- return 1853;
+ return 1855;
}
}
else
@@ -4075,7 +4097,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000001xxxxxxxxxx
sub. */
- return 1935;
+ return 1937;
}
else
{
@@ -4083,7 +4105,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000101xxxxxxxxxx
uqadd. */
- return 1971;
+ return 1973;
}
}
else
@@ -4092,7 +4114,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx000x11xxxxxxxxxx
uqsub. */
- return 2001;
+ return 2003;
}
}
}
@@ -4104,7 +4126,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x1xxxxx000xxxxxxxxxxxxx
prfb. */
- return 1756;
+ return 1758;
}
else
{
@@ -4112,7 +4134,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x1xxxxx000xxxxxxxxxxxxx
ld1sh. */
- return 1562;
+ return 1564;
}
}
}
@@ -4124,7 +4146,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x1xxxxx000xxxxxxxxxxxxx
prfb. */
- return 1757;
+ return 1759;
}
else
{
@@ -4138,7 +4160,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x0101xxxxx000xx0xxxxxxxxxx
sdot. */
- return 1810;
+ return 1812;
}
else
{
@@ -4146,7 +4168,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x0111xxxxx000xx0xxxxxxxxxx
sdot. */
- return 1811;
+ return 1813;
}
}
else
@@ -4157,7 +4179,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x0101xxxxx000xx1xxxxxxxxxx
udot. */
- return 1962;
+ return 1964;
}
else
{
@@ -4165,7 +4187,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
010001x0111xxxxx000xx1xxxxxxxxxx
udot. */
- return 1963;
+ return 1965;
}
}
}
@@ -4175,7 +4197,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
110001x01x1xxxxx000xxxxxxxxxxxxx
ld1sh. */
- return 1566;
+ return 1568;
}
}
}
@@ -4201,7 +4223,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0000x0100xxxxxxxxxxxxx
asr. */
- return 1284;
+ return 1286;
}
else
{
@@ -4209,7 +4231,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0100x0100xxxxxxxxxxxxx
asr. */
- return 1282;
+ return 1284;
}
}
else
@@ -4218,7 +4240,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x10x0100xxxxxxxxxxxxx
asr. */
- return 1283;
+ return 1285;
}
}
else
@@ -4229,7 +4251,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx00x1x0100xxxxxxxxxxxxx
asrd. */
- return 1285;
+ return 1287;
}
else
{
@@ -4237,7 +4259,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx01x1x0100xxxxxxxxxxxxx
asrr. */
- return 1286;
+ return 1288;
}
}
}
@@ -4255,7 +4277,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000001100xxxxxxxxxxxxx
lsr. */
- return 1728;
+ return 1730;
}
else
{
@@ -4263,7 +4285,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx010001100xxxxxxxxxxxxx
lsr. */
- return 1726;
+ return 1728;
}
}
else
@@ -4272,7 +4294,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1001100xxxxxxxxxxxxx
lsr. */
- return 1727;
+ return 1729;
}
}
else
@@ -4281,7 +4303,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xx101100xxxxxxxxxxxxx
lsrr. */
- return 1729;
+ return 1731;
}
}
else
@@ -4296,7 +4318,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000011100xxxxxxxxxxxxx
lsl. */
- return 1722;
+ return 1724;
}
else
{
@@ -4304,7 +4326,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx010011100xxxxxxxxxxxxx
lsl. */
- return 1720;
+ return 1722;
}
}
else
@@ -4313,7 +4335,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1011100xxxxxxxxxxxxx
lsl. */
- return 1721;
+ return 1723;
}
}
else
@@ -4322,7 +4344,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xx111100xxxxxxxxxxxxx
lslr. */
- return 1723;
+ return 1725;
}
}
}
@@ -4337,7 +4359,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx1000x0xxxxxxxxxx
asr. */
- return 1280;
+ return 1282;
}
else
{
@@ -4345,7 +4367,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx1001x0xxxxxxxxxx
asr. */
- return 1281;
+ return 1283;
}
}
else
@@ -4358,7 +4380,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx100001xxxxxxxxxx
lsr. */
- return 1724;
+ return 1726;
}
else
{
@@ -4366,7 +4388,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx100101xxxxxxxxxx
lsr. */
- return 1725;
+ return 1727;
}
}
else
@@ -4377,7 +4399,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx100011xxxxxxxxxx
lsl. */
- return 1718;
+ return 1720;
}
else
{
@@ -4385,7 +4407,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx100111xxxxxxxxxx
lsl. */
- return 1719;
+ return 1721;
}
}
}
@@ -4401,7 +4423,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x000xxxxxx100xxxxxxxxxxxxx
ld1sb. */
- return 1556;
+ return 1558;
}
else
{
@@ -4409,7 +4431,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x010xxxxxx100xxxxxxxxxxxxx
ld1sh. */
- return 1569;
+ return 1571;
}
}
else
@@ -4420,7 +4442,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x001xxxxxx100xxxxxxxxxxxxx
ld1rb. */
- return 1526;
+ return 1528;
}
else
{
@@ -4428,7 +4450,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x011xxxxxx100xxxxxxxxxxxxx
ld1rsw. */
- return 1547;
+ return 1549;
}
}
}
@@ -4443,7 +4465,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx100xxxxxxxxxxxxx
ld1sb. */
- return 1555;
+ return 1557;
}
else
{
@@ -4451,7 +4473,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx100xxxxxxxxxxxxx
ld1sh. */
- return 1567;
+ return 1569;
}
}
else
@@ -4464,7 +4486,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0001xxxxx100xxxxxxxxxxxxx
ld1sb. */
- return 1560;
+ return 1562;
}
else
{
@@ -4472,7 +4494,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0101xxxxx100xxxxxxxxxxxxx
ld1sh. */
- return 1572;
+ return 1574;
}
}
else
@@ -4483,7 +4505,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0011xxxxx100xxxxxxxxxxxxx
prfb. */
- return 1758;
+ return 1760;
}
else
{
@@ -4491,7 +4513,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0111xxxxx100xxxxxxxxxxxxx
ld1sh. */
- return 1568;
+ return 1570;
}
}
}
@@ -4512,7 +4534,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xxxxx010xxxxxxxxxxxxx
mla. */
- return 1731;
+ return 1733;
}
else
{
@@ -4522,7 +4544,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x0xxxxx010xxxxxxxxxxxxx
ld1b. */
- return 1492;
+ return 1494;
}
else
{
@@ -4530,7 +4552,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x0xxxxx010xxxxxxxxxxxxx
ld1h. */
- return 1512;
+ return 1514;
}
}
}
@@ -4542,7 +4564,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx010xxxxxxxxxxxxx
ld1b. */
- return 1497;
+ return 1499;
}
else
{
@@ -4550,7 +4572,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx010xxxxxxxxxxxxx
ld1h. */
- return 1517;
+ return 1519;
}
}
}
@@ -4570,7 +4592,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx010000xxxxxxxxxx
index. */
- return 1483;
+ return 1485;
}
else
{
@@ -4578,7 +4600,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx010001xxxxxxxxxx
index. */
- return 1484;
+ return 1486;
}
}
else
@@ -4591,7 +4613,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0001xxxxx01010xxxxxxxxxxx
addvl. */
- return 1270;
+ return 1272;
}
else
{
@@ -4599,7 +4621,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0101xxxxx01010xxxxxxxxxxx
rdvl. */
- return 1792;
+ return 1794;
}
}
else
@@ -4608,7 +4630,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x11xxxxx01010xxxxxxxxxxx
addpl. */
- return 1269;
+ return 1271;
}
}
}
@@ -4620,7 +4642,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx010x10xxxxxxxxxx
index. */
- return 1485;
+ return 1487;
}
else
{
@@ -4628,7 +4650,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx010x11xxxxxxxxxx
index. */
- return 1482;
+ return 1484;
}
}
}
@@ -4640,7 +4662,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x1xxxxx010xxxxxxxxxxxxx
prfw. */
- return 1776;
+ return 1778;
}
else
{
@@ -4648,7 +4670,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x1xxxxx010xxxxxxxxxxxxx
ld1h. */
- return 1513;
+ return 1515;
}
}
}
@@ -4660,7 +4682,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x1xxxxx010xxxxxxxxxxxxx
prfw. */
- return 1778;
+ return 1780;
}
else
{
@@ -4668,7 +4690,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x1xxxxx010xxxxxxxxxxxxx
ld1h. */
- return 1518;
+ return 1520;
}
}
}
@@ -4685,7 +4707,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xxxxx110xxxxxxxxxxxxx
mad. */
- return 1730;
+ return 1732;
}
else
{
@@ -4701,7 +4723,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x010xxxx110x00xxxxxxxxxx
sqincw. */
- return 1850;
+ return 1852;
}
else
{
@@ -4711,7 +4733,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx110x00xxxxxxxxxx
sqinch. */
- return 1844;
+ return 1846;
}
else
{
@@ -4719,7 +4741,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx110x00xxxxxxxxxx
sqincd. */
- return 1841;
+ return 1843;
}
}
}
@@ -4731,7 +4753,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x011xxxx110x00xxxxxxxxxx
incw. */
- return 1480;
+ return 1482;
}
else
{
@@ -4741,7 +4763,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx110x00xxxxxxxxxx
inch. */
- return 1476;
+ return 1478;
}
else
{
@@ -4749,7 +4771,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx110x00xxxxxxxxxx
incd. */
- return 1474;
+ return 1476;
}
}
}
@@ -4762,7 +4784,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x01xxxxx110x10xxxxxxxxxx
sqdecw. */
- return 1836;
+ return 1838;
}
else
{
@@ -4772,7 +4794,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0011xxxxx110x10xxxxxxxxxx
sqdech. */
- return 1830;
+ return 1832;
}
else
{
@@ -4780,7 +4802,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0111xxxxx110x10xxxxxxxxxx
sqdecd. */
- return 1827;
+ return 1829;
}
}
}
@@ -4797,7 +4819,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x010xxxx110x01xxxxxxxxxx
uqincw. */
- return 1998;
+ return 2000;
}
else
{
@@ -4807,7 +4829,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx110x01xxxxxxxxxx
uqinch. */
- return 1992;
+ return 1994;
}
else
{
@@ -4815,7 +4837,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx110x01xxxxxxxxxx
uqincd. */
- return 1989;
+ return 1991;
}
}
}
@@ -4827,7 +4849,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x011xxxx110x01xxxxxxxxxx
decw. */
- return 1355;
+ return 1357;
}
else
{
@@ -4837,7 +4859,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx110x01xxxxxxxxxx
dech. */
- return 1351;
+ return 1353;
}
else
{
@@ -4845,7 +4867,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx110x01xxxxxxxxxx
decd. */
- return 1349;
+ return 1351;
}
}
}
@@ -4858,7 +4880,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0x01xxxxx110x11xxxxxxxxxx
uqdecw. */
- return 1984;
+ return 1986;
}
else
{
@@ -4868,7 +4890,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0011xxxxx110x11xxxxxxxxxx
uqdech. */
- return 1978;
+ return 1980;
}
else
{
@@ -4876,7 +4898,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0111xxxxx110x11xxxxxxxxxx
uqdecd. */
- return 1975;
+ return 1977;
}
}
}
@@ -4895,7 +4917,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0000xxxxx110xxxxxxxxxxxxx
prfb. */
- return 1755;
+ return 1757;
}
else
{
@@ -4903,7 +4925,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0100xxxxx110xxxxxxxxxxxxx
prfh. */
- return 1770;
+ return 1772;
}
}
else
@@ -4914,7 +4936,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0001xxxxx110xxxxxxxxxxxxx
ld1b. */
- return 1499;
+ return 1501;
}
else
{
@@ -4922,7 +4944,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0101xxxxx110xxxxxxxxxxxxx
ld1h. */
- return 1521;
+ return 1523;
}
}
}
@@ -4934,7 +4956,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x001xxxxxx110xxxxxxxxxxxxx
ld1rb. */
- return 1528;
+ return 1530;
}
else
{
@@ -4942,7 +4964,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x011xxxxxx110xxxxxxxxxxxxx
ld1rh. */
- return 1532;
+ return 1534;
}
}
}
@@ -4957,7 +4979,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx110xxxxxxxxxxxxx
ld1b. */
- return 1498;
+ return 1500;
}
else
{
@@ -4965,7 +4987,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx110xxxxxxxxxxxxx
ld1h. */
- return 1519;
+ return 1521;
}
}
else
@@ -4978,7 +5000,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0001xxxxx110xxxxxxxxxxxxx
ld1b. */
- return 1504;
+ return 1506;
}
else
{
@@ -4986,7 +5008,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0101xxxxx110xxxxxxxxxxxxx
ld1h. */
- return 1525;
+ return 1527;
}
}
else
@@ -4997,7 +5019,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0011xxxxx110xxxxxxxxxxxxx
prfw. */
- return 1779;
+ return 1781;
}
else
{
@@ -5005,7 +5027,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0111xxxxx110xxxxxxxxxxxxx
ld1h. */
- return 1520;
+ return 1522;
}
}
}
@@ -5037,7 +5059,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000x00001xxxxxxxxxxxxx
saddv. */
- return 1799;
+ return 1801;
}
else
{
@@ -5045,7 +5067,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx000x01001xxxxxxxxxxxxx
uaddv. */
- return 1951;
+ return 1953;
}
}
else
@@ -5054,7 +5076,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx010x0x001xxxxxxxxxxxxx
movprfx. */
- return 1734;
+ return 1736;
}
}
else
@@ -5067,7 +5089,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001x00001xxxxxxxxxxxxx
smaxv. */
- return 1817;
+ return 1819;
}
else
{
@@ -5075,7 +5097,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011x00001xxxxxxxxxxxxx
orv. */
- return 1751;
+ return 1753;
}
}
else
@@ -5086,7 +5108,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx001x01001xxxxxxxxxxxxx
umaxv. */
- return 1966;
+ return 1968;
}
else
{
@@ -5094,7 +5116,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx011x01001xxxxxxxxxxxxx
eorv. */
- return 1366;
+ return 1368;
}
}
}
@@ -5109,7 +5131,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx00xx10001xxxxxxxxxxxxx
sminv. */
- return 1820;
+ return 1822;
}
else
{
@@ -5117,7 +5139,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx01xx10001xxxxxxxxxxxxx
andv. */
- return 1279;
+ return 1281;
}
}
else
@@ -5126,7 +5148,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xxx11001xxxxxxxxxxxxx
uminv. */
- return 1969;
+ return 1971;
}
}
}
@@ -5138,7 +5160,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x0xxxxx001xxxxxxxxxxxxx
ldff1sb. */
- return 1650;
+ return 1652;
}
else
{
@@ -5146,7 +5168,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x0xxxxx001xxxxxxxxxxxxx
ldff1sh. */
- return 1661;
+ return 1663;
}
}
}
@@ -5158,7 +5180,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx001xxxxxxxxxxxxx
ldff1sb. */
- return 1657;
+ return 1659;
}
else
{
@@ -5166,7 +5188,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx001xxxxxxxxxxxxx
ldff1sh. */
- return 1667;
+ return 1669;
}
}
}
@@ -5184,7 +5206,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0001xxxxx001xxxxxxxxxxxxx
and. */
- return 1274;
+ return 1276;
}
else
{
@@ -5192,7 +5214,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0011xxxxx001xxxxxxxxxxxxx
orr. */
- return 1746;
+ return 1748;
}
}
else
@@ -5201,7 +5223,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x1xxxxx001xxxxxxxxxxxxx
prfh. */
- return 1769;
+ return 1771;
}
}
else
@@ -5210,7 +5232,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x1xxxxx001xxxxxxxxxxxxx
prfh. */
- return 1771;
+ return 1773;
}
}
else
@@ -5225,7 +5247,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0101xxxxx001xxxxxxxxxxxxx
eor. */
- return 1361;
+ return 1363;
}
else
{
@@ -5233,7 +5255,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0111xxxxx001xxxxxxxxxxxxx
bic. */
- return 1287;
+ return 1289;
}
}
else
@@ -5242,7 +5264,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x1xxxxx001xxxxxxxxxxxxx
ldff1sh. */
- return 1662;
+ return 1664;
}
}
else
@@ -5251,7 +5273,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x1xxxxx001xxxxxxxxxxxxx
ldff1sh. */
- return 1668;
+ return 1670;
}
}
}
@@ -5276,7 +5298,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0000101xxxxxxxxxxxxx
sxtb. */
- return 1942;
+ return 1944;
}
else
{
@@ -5284,7 +5306,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1000101xxxxxxxxxxxxx
cls. */
- return 1307;
+ return 1309;
}
}
else
@@ -5295,7 +5317,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0100101xxxxxxxxxxxxx
sxtw. */
- return 1944;
+ return 1946;
}
else
{
@@ -5303,7 +5325,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1100101xxxxxxxxxxxxx
fabs. */
- return 1369;
+ return 1371;
}
}
}
@@ -5317,7 +5339,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0010101xxxxxxxxxxxxx
sxth. */
- return 1943;
+ return 1945;
}
else
{
@@ -5325,7 +5347,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1010101xxxxxxxxxxxxx
cnt. */
- return 1336;
+ return 1338;
}
}
else
@@ -5336,7 +5358,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0110101xxxxxxxxxxxxx
abs. */
- return 1265;
+ return 1267;
}
else
{
@@ -5344,7 +5366,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1110101xxxxxxxxxxxxx
not. */
- return 1743;
+ return 1745;
}
}
}
@@ -5361,7 +5383,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0001101xxxxxxxxxxxxx
uxtb. */
- return 2005;
+ return 2007;
}
else
{
@@ -5369,7 +5391,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1001101xxxxxxxxxxxxx
clz. */
- return 1308;
+ return 1310;
}
}
else
@@ -5380,7 +5402,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0101101xxxxxxxxxxxxx
uxtw. */
- return 2007;
+ return 2009;
}
else
{
@@ -5388,7 +5410,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1101101xxxxxxxxxxxxx
fneg. */
- return 1446;
+ return 1448;
}
}
}
@@ -5402,7 +5424,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x0011101xxxxxxxxxxxxx
uxth. */
- return 2006;
+ return 2008;
}
else
{
@@ -5410,7 +5432,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0x1011101xxxxxxxxxxxxx
cnot. */
- return 1335;
+ return 1337;
}
}
else
@@ -5419,7 +5441,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xx111101xxxxxxxxxxxxx
neg. */
- return 1740;
+ return 1742;
}
}
}
@@ -5436,7 +5458,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0001xxxxx1010xxxxxxxxxxxx
adr. */
- return 1271;
+ return 1273;
}
else
{
@@ -5444,7 +5466,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0011xxxxx1010xxxxxxxxxxxx
adr. */
- return 1272;
+ return 1274;
}
}
else
@@ -5453,7 +5475,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01x1xxxxx1010xxxxxxxxxxxx
adr. */
- return 1273;
+ return 1275;
}
}
else
@@ -5466,7 +5488,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx101100xxxxxxxxxx
ftssel. */
- return 1472;
+ return 1474;
}
else
{
@@ -5474,7 +5496,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx101110xxxxxxxxxx
fexpa. */
- return 1416;
+ return 1418;
}
}
else
@@ -5483,7 +5505,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx1xxxxx1011x1xxxxxxxxxx
movprfx. */
- return 1733;
+ return 1735;
}
}
}
@@ -5498,7 +5520,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x000xxxxxx101xxxxxxxxxxxxx
ldff1sb. */
- return 1659;
+ return 1661;
}
else
{
@@ -5506,7 +5528,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x010xxxxxx101xxxxxxxxxxxxx
ldff1sh. */
- return 1671;
+ return 1673;
}
}
else
@@ -5517,7 +5539,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x001xxxxxx101xxxxxxxxxxxxx
ld1rb. */
- return 1527;
+ return 1529;
}
else
{
@@ -5525,7 +5547,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x011xxxxxx101xxxxxxxxxxxxx
ld1rh. */
- return 1531;
+ return 1533;
}
}
}
@@ -5540,7 +5562,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx101xxxxxxxxxxxxx
ldff1sb. */
- return 1658;
+ return 1660;
}
else
{
@@ -5548,7 +5570,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx101xxxxxxxxxxxxx
ldff1sh. */
- return 1669;
+ return 1671;
}
}
else
@@ -5561,7 +5583,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0001xxxxx101xxxxxxxxxxxxx
ldff1sb. */
- return 1660;
+ return 1662;
}
else
{
@@ -5569,7 +5591,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0101xxxxx101xxxxxxxxxxxxx
ldff1sh. */
- return 1672;
+ return 1674;
}
}
else
@@ -5580,7 +5602,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0011xxxxx101xxxxxxxxxxxxx
prfh. */
- return 1772;
+ return 1774;
}
else
{
@@ -5588,7 +5610,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0111xxxxx101xxxxxxxxxxxxx
ldff1sh. */
- return 1670;
+ return 1672;
}
}
}
@@ -5609,7 +5631,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xxxxx011xxxxxxxxxxxxx
mls. */
- return 1732;
+ return 1734;
}
else
{
@@ -5619,7 +5641,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x00x0xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1616;
+ return 1618;
}
else
{
@@ -5627,7 +5649,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x01x0xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1636;
+ return 1638;
}
}
}
@@ -5639,7 +5661,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x0xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1625;
+ return 1627;
}
else
{
@@ -5647,7 +5669,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x0xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1644;
+ return 1646;
}
}
}
@@ -5661,7 +5683,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00001x00x1xxxxx011xxxxxxxxxxxxx
prfd. */
- return 1762;
+ return 1764;
}
else
{
@@ -5669,7 +5691,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x00x1xxxxx011xxxxxxxxxxxxx
prfd. */
- return 1764;
+ return 1766;
}
}
else
@@ -5680,7 +5702,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00001x01x1xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1637;
+ return 1639;
}
else
{
@@ -5688,7 +5710,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x01x1xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1645;
+ return 1647;
}
}
}
@@ -5705,7 +5727,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0xx0xxxxx111xxxxxxxxxxxxx
msb. */
- return 1735;
+ return 1737;
}
else
{
@@ -5725,7 +5747,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00010xxxx111000xxxxxxxxxx
cntb. */
- return 1337;
+ return 1339;
}
else
{
@@ -5733,7 +5755,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01010xxxx111000xxxxxxxxxx
cntw. */
- return 1341;
+ return 1343;
}
}
else
@@ -5744,7 +5766,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx111000xxxxxxxxxx
cnth. */
- return 1339;
+ return 1341;
}
else
{
@@ -5752,7 +5774,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx111000xxxxxxxxxx
cntd. */
- return 1338;
+ return 1340;
}
}
}
@@ -5766,7 +5788,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00011xxxx111000xxxxxxxxxx
incb. */
- return 1473;
+ return 1475;
}
else
{
@@ -5774,7 +5796,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01011xxxx111000xxxxxxxxxx
incw. */
- return 1481;
+ return 1483;
}
}
else
@@ -5785,7 +5807,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx111000xxxxxxxxxx
inch. */
- return 1477;
+ return 1479;
}
else
{
@@ -5793,7 +5815,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx111000xxxxxxxxxx
incd. */
- return 1475;
+ return 1477;
}
}
}
@@ -5810,7 +5832,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00010xxxx111100xxxxxxxxxx
sqincb. */
- return 1840;
+ return 1842;
}
else
{
@@ -5818,7 +5840,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01010xxxx111100xxxxxxxxxx
sqincw. */
- return 1852;
+ return 1854;
}
}
else
@@ -5829,7 +5851,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx111100xxxxxxxxxx
sqinch. */
- return 1846;
+ return 1848;
}
else
{
@@ -5837,7 +5859,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx111100xxxxxxxxxx
sqincd. */
- return 1843;
+ return 1845;
}
}
}
@@ -5851,7 +5873,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00011xxxx111100xxxxxxxxxx
sqincb. */
- return 1839;
+ return 1841;
}
else
{
@@ -5859,7 +5881,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01011xxxx111100xxxxxxxxxx
sqincw. */
- return 1851;
+ return 1853;
}
}
else
@@ -5870,7 +5892,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx111100xxxxxxxxxx
sqinch. */
- return 1845;
+ return 1847;
}
else
{
@@ -5878,7 +5900,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx111100xxxxxxxxxx
sqincd. */
- return 1842;
+ return 1844;
}
}
}
@@ -5896,7 +5918,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00010xxxx111x10xxxxxxxxxx
sqdecb. */
- return 1826;
+ return 1828;
}
else
{
@@ -5904,7 +5926,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01010xxxx111x10xxxxxxxxxx
sqdecw. */
- return 1838;
+ return 1840;
}
}
else
@@ -5915,7 +5937,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx111x10xxxxxxxxxx
sqdech. */
- return 1832;
+ return 1834;
}
else
{
@@ -5923,7 +5945,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx111x10xxxxxxxxxx
sqdecd. */
- return 1829;
+ return 1831;
}
}
}
@@ -5937,7 +5959,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00011xxxx111x10xxxxxxxxxx
sqdecb. */
- return 1825;
+ return 1827;
}
else
{
@@ -5945,7 +5967,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01011xxxx111x10xxxxxxxxxx
sqdecw. */
- return 1837;
+ return 1839;
}
}
else
@@ -5956,7 +5978,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx111x10xxxxxxxxxx
sqdech. */
- return 1831;
+ return 1833;
}
else
{
@@ -5964,7 +5986,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx111x10xxxxxxxxxx
sqdecd. */
- return 1828;
+ return 1830;
}
}
}
@@ -5984,7 +6006,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0001xxxxx111001xxxxxxxxxx
decb. */
- return 1348;
+ return 1350;
}
else
{
@@ -5992,7 +6014,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0101xxxxx111001xxxxxxxxxx
decw. */
- return 1356;
+ return 1358;
}
}
else
@@ -6003,7 +6025,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0011xxxxx111001xxxxxxxxxx
dech. */
- return 1352;
+ return 1354;
}
else
{
@@ -6011,7 +6033,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x0111xxxxx111001xxxxxxxxxx
decd. */
- return 1350;
+ return 1352;
}
}
}
@@ -6027,7 +6049,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00010xxxx111101xxxxxxxxxx
uqincb. */
- return 1987;
+ return 1989;
}
else
{
@@ -6035,7 +6057,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01010xxxx111101xxxxxxxxxx
uqincw. */
- return 1999;
+ return 2001;
}
}
else
@@ -6046,7 +6068,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx111101xxxxxxxxxx
uqinch. */
- return 1993;
+ return 1995;
}
else
{
@@ -6054,7 +6076,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx111101xxxxxxxxxx
uqincd. */
- return 1990;
+ return 1992;
}
}
}
@@ -6068,7 +6090,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00011xxxx111101xxxxxxxxxx
uqincb. */
- return 1988;
+ return 1990;
}
else
{
@@ -6076,7 +6098,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01011xxxx111101xxxxxxxxxx
uqincw. */
- return 2000;
+ return 2002;
}
}
else
@@ -6087,7 +6109,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx111101xxxxxxxxxx
uqinch. */
- return 1994;
+ return 1996;
}
else
{
@@ -6095,7 +6117,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx111101xxxxxxxxxx
uqincd. */
- return 1991;
+ return 1993;
}
}
}
@@ -6113,7 +6135,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00010xxxx111x11xxxxxxxxxx
uqdecb. */
- return 1973;
+ return 1975;
}
else
{
@@ -6121,7 +6143,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01010xxxx111x11xxxxxxxxxx
uqdecw. */
- return 1985;
+ return 1987;
}
}
else
@@ -6132,7 +6154,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00110xxxx111x11xxxxxxxxxx
uqdech. */
- return 1979;
+ return 1981;
}
else
{
@@ -6140,7 +6162,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01110xxxx111x11xxxxxxxxxx
uqdecd. */
- return 1976;
+ return 1978;
}
}
}
@@ -6154,7 +6176,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00011xxxx111x11xxxxxxxxxx
uqdecb. */
- return 1974;
+ return 1976;
}
else
{
@@ -6162,7 +6184,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01011xxxx111x11xxxxxxxxxx
uqdecw. */
- return 1986;
+ return 1988;
}
}
else
@@ -6173,7 +6195,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x00111xxxx111x11xxxxxxxxxx
uqdech. */
- return 1980;
+ return 1982;
}
else
{
@@ -6181,7 +6203,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x01111xxxx111x11xxxxxxxxxx
uqdecd. */
- return 1977;
+ return 1979;
}
}
}
@@ -6201,7 +6223,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0000xxxxx111xxxxxxxxxxxxx
prfb. */
- return 1759;
+ return 1761;
}
else
{
@@ -6209,7 +6231,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0100xxxxx111xxxxxxxxxxxxx
prfh. */
- return 1773;
+ return 1775;
}
}
else
@@ -6220,7 +6242,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0001xxxxx111xxxxxxxxxxxxx
ldff1b. */
- return 1627;
+ return 1629;
}
else
{
@@ -6228,7 +6250,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x0101xxxxx111xxxxxxxxxxxxx
ldff1h. */
- return 1648;
+ return 1650;
}
}
}
@@ -6240,7 +6262,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x001xxxxxx111xxxxxxxxxxxxx
ld1rb. */
- return 1529;
+ return 1531;
}
else
{
@@ -6248,7 +6270,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x011xxxxxx111xxxxxxxxxxxxx
ld1rh. */
- return 1533;
+ return 1535;
}
}
}
@@ -6265,7 +6287,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0000xxxxx111xxxxxxxxxxxxx
prfb. */
- return 1761;
+ return 1763;
}
else
{
@@ -6273,7 +6295,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0100xxxxx111xxxxxxxxxxxxx
prfh. */
- return 1775;
+ return 1777;
}
}
else
@@ -6284,7 +6306,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0010xxxxx111xxxxxxxxxxxxx
ldff1b. */
- return 1626;
+ return 1628;
}
else
{
@@ -6292,7 +6314,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0110xxxxx111xxxxxxxxxxxxx
ldff1h. */
- return 1646;
+ return 1648;
}
}
}
@@ -6306,7 +6328,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0001xxxxx111xxxxxxxxxxxxx
ldff1b. */
- return 1628;
+ return 1630;
}
else
{
@@ -6314,7 +6336,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0101xxxxx111xxxxxxxxxxxxx
ldff1h. */
- return 1649;
+ return 1651;
}
}
else
@@ -6325,7 +6347,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0011xxxxx111xxxxxxxxxxxxx
prfd. */
- return 1765;
+ return 1767;
}
else
{
@@ -6333,7 +6355,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x0111xxxxx111xxxxxxxxxxxxx
ldff1h. */
- return 1647;
+ return 1649;
}
}
}
@@ -6362,7 +6384,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx000xxxxxxxx0xxxx
cmphs. */
- return 1321;
+ return 1323;
}
else
{
@@ -6370,7 +6392,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx000xxxxxxxx1xxxx
cmphi. */
- return 1318;
+ return 1320;
}
}
else
@@ -6381,7 +6403,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00x0xxxxx000xxxxxxxxxxxxx
ld1rqb. */
- return 1535;
+ return 1537;
}
else
{
@@ -6389,7 +6411,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01x0xxxxx000xxxxxxxxxxxxx
ld1rqh. */
- return 1539;
+ return 1541;
}
}
}
@@ -6403,7 +6425,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx010xxxxxxxx0xxxx
cmpge. */
- return 1312;
+ return 1314;
}
else
{
@@ -6411,7 +6433,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx010xxxxxxxx1xxxx
cmpgt. */
- return 1315;
+ return 1317;
}
}
else
@@ -6424,7 +6446,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0000xxxxx010xxxxxxxxxxxxx
ld1b. */
- return 1493;
+ return 1495;
}
else
{
@@ -6432,7 +6454,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0100xxxxx010xxxxxxxxxxxxx
ld1sw. */
- return 1573;
+ return 1575;
}
}
else
@@ -6443,7 +6465,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0010xxxxx010xxxxxxxxxxxxx
ld1b. */
- return 1495;
+ return 1497;
}
else
{
@@ -6451,7 +6473,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0110xxxxx010xxxxxxxxxxxxx
ld1h. */
- return 1515;
+ return 1517;
}
}
}
@@ -6469,7 +6491,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx001xxxxxxxx0xxxx
cmpeq. */
- return 1309;
+ return 1311;
}
else
{
@@ -6477,7 +6499,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx001xxxxxxxx1xxxx
cmpne. */
- return 1332;
+ return 1334;
}
}
else
@@ -6488,7 +6510,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00x0xxxxx001xxxxxxxxxxxxx
ld1rqb. */
- return 1534;
+ return 1536;
}
else
{
@@ -6496,7 +6518,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01x0xxxxx001xxxxxxxxxxxxx
ld1rqh. */
- return 1538;
+ return 1540;
}
}
}
@@ -6510,7 +6532,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx011xxxxxxxx0xxxx
cmplt. */
- return 1330;
+ return 1332;
}
else
{
@@ -6518,7 +6540,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx011xxxxxxxx1xxxx
cmple. */
- return 1324;
+ return 1326;
}
}
else
@@ -6531,7 +6553,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0000xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1617;
+ return 1619;
}
else
{
@@ -6539,7 +6561,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0100xxxxx011xxxxxxxxxxxxx
ldff1sw. */
- return 1673;
+ return 1675;
}
}
else
@@ -6550,7 +6572,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0010xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1621;
+ return 1623;
}
else
{
@@ -6558,7 +6580,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0110xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1640;
+ return 1642;
}
}
}
@@ -6573,7 +6595,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x0xx0xxxxx0xxxxxxxxxxxxxxx
fcmla. */
- return 1378;
+ return 1380;
}
else
{
@@ -6585,7 +6607,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0x00xxxxx0x0xxxxxxxxxxxxx
st1b. */
- return 1855;
+ return 1857;
}
else
{
@@ -6595,7 +6617,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0010xxxxx0x0xxxxxxxxxxxxx
st1b. */
- return 1859;
+ return 1861;
}
else
{
@@ -6603,7 +6625,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0110xxxxx0x0xxxxxxxxxxxxx
st1h. */
- return 1880;
+ return 1882;
}
}
}
@@ -6617,7 +6639,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0000xxxxx0x1xxxxxxxxxxxxx
stnt1b. */
- return 1925;
+ return 1927;
}
else
{
@@ -6625,7 +6647,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0100xxxxx0x1xxxxxxxxxxxxx
stnt1h. */
- return 1929;
+ return 1931;
}
}
else
@@ -6636,7 +6658,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0010xxxxx0x1xxxxxxxxxxxxx
st3b. */
- return 1909;
+ return 1911;
}
else
{
@@ -6644,7 +6666,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0110xxxxx0x1xxxxxxxxxxxxx
st3h. */
- return 1913;
+ return 1915;
}
}
}
@@ -6665,7 +6687,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x0xx0xxxxx100xxxxxxxx0xxxx
cmpge. */
- return 1313;
+ return 1315;
}
else
{
@@ -6673,7 +6695,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x0xx0xxxxx100xxxxxxxx1xxxx
cmpgt. */
- return 1316;
+ return 1318;
}
}
else
@@ -6686,7 +6708,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx110xxxxxxxx0xxxx
cmphs. */
- return 1322;
+ return 1324;
}
else
{
@@ -6694,7 +6716,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx110xxxxxxxx1xxxx
cmphi. */
- return 1319;
+ return 1321;
}
}
else
@@ -6707,7 +6729,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0000xxxxx110xxxxxxxxxxxxx
ldnt1b. */
- return 1708;
+ return 1710;
}
else
{
@@ -6715,7 +6737,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0100xxxxx110xxxxxxxxxxxxx
ldnt1h. */
- return 1712;
+ return 1714;
}
}
else
@@ -6726,7 +6748,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0010xxxxx110xxxxxxxxxxxxx
ld3b. */
- return 1600;
+ return 1602;
}
else
{
@@ -6734,7 +6756,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0110xxxxx110xxxxxxxxxxxxx
ld3h. */
- return 1604;
+ return 1606;
}
}
}
@@ -6748,7 +6770,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x0xx0xxxxx1x0xxxxxxxxxxxxx
fcadd. */
- return 1377;
+ return 1379;
}
else
{
@@ -6760,7 +6782,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0000xxxxx1x0xxxxxxxxxxxxx
st1b. */
- return 1856;
+ return 1858;
}
else
{
@@ -6768,7 +6790,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0100xxxxx1x0xxxxxxxxxxxxx
st1h. */
- return 1875;
+ return 1877;
}
}
else
@@ -6779,7 +6801,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0010xxxxx1x0xxxxxxxxxxxxx
st1b. */
- return 1860;
+ return 1862;
}
else
{
@@ -6787,7 +6809,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x0110xxxxx1x0xxxxxxxxxxxxx
st1h. */
- return 1881;
+ return 1883;
}
}
}
@@ -6807,7 +6829,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx101xxxxxxxx0xxxx
cmpeq. */
- return 1310;
+ return 1312;
}
else
{
@@ -6815,7 +6837,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx101xxxxxxxx1xxxx
cmpne. */
- return 1333;
+ return 1335;
}
}
else
@@ -6830,7 +6852,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00000xxxx101xxxxxxxxxxxxx
ld1b. */
- return 1500;
+ return 1502;
}
else
{
@@ -6838,7 +6860,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01000xxxx101xxxxxxxxxxxxx
ld1sw. */
- return 1578;
+ return 1580;
}
}
else
@@ -6849,7 +6871,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00100xxxx101xxxxxxxxxxxxx
ld1b. */
- return 1502;
+ return 1504;
}
else
{
@@ -6857,7 +6879,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01100xxxx101xxxxxxxxxxxxx
ld1h. */
- return 1523;
+ return 1525;
}
}
}
@@ -6871,7 +6893,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00001xxxx101xxxxxxxxxxxxx
ldnf1b. */
- return 1692;
+ return 1694;
}
else
{
@@ -6879,7 +6901,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01001xxxx101xxxxxxxxxxxxx
ldnf1sw. */
- return 1705;
+ return 1707;
}
}
else
@@ -6890,7 +6912,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00101xxxx101xxxxxxxxxxxxx
ldnf1b. */
- return 1694;
+ return 1696;
}
else
{
@@ -6898,7 +6920,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01101xxxx101xxxxxxxxxxxxx
ldnf1h. */
- return 1698;
+ return 1700;
}
}
}
@@ -6914,7 +6936,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0000xxxxx101xxxxxxxxxxxxx
st1b. */
- return 1857;
+ return 1859;
}
else
{
@@ -6922,7 +6944,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0100xxxxx101xxxxxxxxxxxxx
st1h. */
- return 1876;
+ return 1878;
}
}
else
@@ -6933,7 +6955,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0010xxxxx101xxxxxxxxxxxxx
st1b. */
- return 1864;
+ return 1866;
}
else
{
@@ -6941,7 +6963,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0110xxxxx101xxxxxxxxxxxxx
st1h. */
- return 1885;
+ return 1887;
}
}
}
@@ -6958,7 +6980,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx111xxxxxxxx0xxxx
cmplo. */
- return 1326;
+ return 1328;
}
else
{
@@ -6966,7 +6988,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx0xxxxx111xxxxxxxx1xxxx
cmpls. */
- return 1328;
+ return 1330;
}
}
else
@@ -6979,7 +7001,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0000xxxxx111xxxxxxxxxxxxx
ldnt1b. */
- return 1709;
+ return 1711;
}
else
{
@@ -6987,7 +7009,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0100xxxxx111xxxxxxxxxxxxx
ldnt1h. */
- return 1713;
+ return 1715;
}
}
else
@@ -6998,7 +7020,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0010xxxxx111xxxxxxxxxxxxx
ld3b. */
- return 1601;
+ return 1603;
}
else
{
@@ -7006,7 +7028,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0110xxxxx111xxxxxxxxxxxxx
ld3h. */
- return 1605;
+ return 1607;
}
}
}
@@ -7021,7 +7043,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x000xxxx111xxxxxxxxxxxxx
st1b. */
- return 1862;
+ return 1864;
}
else
{
@@ -7031,7 +7053,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00100xxxx111xxxxxxxxxxxxx
st1b. */
- return 1865;
+ return 1867;
}
else
{
@@ -7039,7 +7061,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01100xxxx111xxxxxxxxxxxxx
st1h. */
- return 1886;
+ return 1888;
}
}
}
@@ -7053,7 +7075,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00001xxxx111xxxxxxxxxxxxx
stnt1b. */
- return 1926;
+ return 1928;
}
else
{
@@ -7061,7 +7083,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01001xxxx111xxxxxxxxxxxxx
stnt1h. */
- return 1930;
+ return 1932;
}
}
else
@@ -7072,7 +7094,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00101xxxx111xxxxxxxxxxxxx
st3b. */
- return 1910;
+ return 1912;
}
else
{
@@ -7080,7 +7102,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01101xxxx111xxxxxxxxxxxxx
st3h. */
- return 1914;
+ return 1916;
}
}
}
@@ -7103,7 +7125,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx1xxxxxxx0xxxxxxxx0xxxx
cmphs. */
- return 1323;
+ return 1325;
}
else
{
@@ -7111,7 +7133,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx1xxxxxxx0xxxxxxxx1xxxx
cmphi. */
- return 1320;
+ return 1322;
}
}
else
@@ -7126,7 +7148,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0001xxxxx0x0xxxxxxxxxxxxx
ld1b. */
- return 1494;
+ return 1496;
}
else
{
@@ -7134,7 +7156,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0101xxxxx0x0xxxxxxxxxxxxx
ld1h. */
- return 1514;
+ return 1516;
}
}
else
@@ -7145,7 +7167,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0011xxxxx0x0xxxxxxxxxxxxx
ld1b. */
- return 1496;
+ return 1498;
}
else
{
@@ -7153,7 +7175,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0111xxxxx0x0xxxxxxxxxxxxx
ld1h. */
- return 1516;
+ return 1518;
}
}
}
@@ -7167,7 +7189,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0001xxxxx1x0xxxxxxxxxxxxx
ld2b. */
- return 1592;
+ return 1594;
}
else
{
@@ -7175,7 +7197,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0101xxxxx1x0xxxxxxxxxxxxx
ld2h. */
- return 1596;
+ return 1598;
}
}
else
@@ -7186,7 +7208,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0011xxxxx1x0xxxxxxxxxxxxx
ld4b. */
- return 1608;
+ return 1610;
}
else
{
@@ -7194,7 +7216,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0111xxxxx1x0xxxxxxxxxxxxx
ld4h. */
- return 1612;
+ return 1614;
}
}
}
@@ -7216,7 +7238,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00x1xxxxx0000x0xxxxxxxxxx
fmla. */
- return 1431;
+ return 1433;
}
else
{
@@ -7226,7 +7248,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0101xxxxx0000x0xxxxxxxxxx
fmla. */
- return 1432;
+ return 1434;
}
else
{
@@ -7234,7 +7256,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx0000x0xxxxxxxxxx
fmla. */
- return 1433;
+ return 1435;
}
}
}
@@ -7246,7 +7268,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00x1xxxxx0000x1xxxxxxxxxx
fmls. */
- return 1435;
+ return 1437;
}
else
{
@@ -7256,7 +7278,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0101xxxxx0000x1xxxxxxxxxx
fmls. */
- return 1436;
+ return 1438;
}
else
{
@@ -7264,7 +7286,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx0000x1xxxxxxxxxx
fmls. */
- return 1437;
+ return 1439;
}
}
}
@@ -7277,7 +7299,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x01xxxxx0001xxxxxxxxxxxx
fcmla. */
- return 1379;
+ return 1381;
}
else
{
@@ -7285,7 +7307,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x11xxxxx0001xxxxxxxxxxxx
fcmla. */
- return 1380;
+ return 1382;
}
}
}
@@ -7299,7 +7321,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0001xxxxx010xxxxxxxxxxxxx
st1b. */
- return 1858;
+ return 1860;
}
else
{
@@ -7307,7 +7329,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0101xxxxx010xxxxxxxxxxxxx
st1h. */
- return 1877;
+ return 1879;
}
}
else
@@ -7318,7 +7340,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0011xxxxx010xxxxxxxxxxxxx
st1b. */
- return 1861;
+ return 1863;
}
else
{
@@ -7326,7 +7348,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx010xxxxxxxxxxxxx
st1h. */
- return 1882;
+ return 1884;
}
}
}
@@ -7339,7 +7361,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x01xxxxx1x0xxxxxxxxxxxxx
st1h. */
- return 1878;
+ return 1880;
}
else
{
@@ -7347,7 +7369,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x11xxxxx1x0xxxxxxxxxxxxx
st1h. */
- return 1883;
+ return 1885;
}
}
}
@@ -7364,7 +7386,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx1xxxxxxx1xxxxxxxx0xxxx
cmplo. */
- return 1327;
+ return 1329;
}
else
{
@@ -7372,7 +7394,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x0xx1xxxxxxx1xxxxxxxx1xxxx
cmpls. */
- return 1329;
+ return 1331;
}
}
else
@@ -7389,7 +7411,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00010xxxxx01xxxxxxxxxxxxx
ld1b. */
- return 1501;
+ return 1503;
}
else
{
@@ -7397,7 +7419,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01010xxxxx01xxxxxxxxxxxxx
ld1h. */
- return 1522;
+ return 1524;
}
}
else
@@ -7408,7 +7430,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00110xxxxx01xxxxxxxxxxxxx
ld1b. */
- return 1503;
+ return 1505;
}
else
{
@@ -7416,7 +7438,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01110xxxxx01xxxxxxxxxxxxx
ld1h. */
- return 1524;
+ return 1526;
}
}
}
@@ -7430,7 +7452,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00011xxxxx01xxxxxxxxxxxxx
ldnf1b. */
- return 1693;
+ return 1695;
}
else
{
@@ -7438,7 +7460,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01011xxxxx01xxxxxxxxxxxxx
ldnf1h. */
- return 1697;
+ return 1699;
}
}
else
@@ -7449,7 +7471,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x00111xxxxx01xxxxxxxxxxxxx
ldnf1b. */
- return 1695;
+ return 1697;
}
else
{
@@ -7457,7 +7479,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x01111xxxxx01xxxxxxxxxxxxx
ldnf1h. */
- return 1699;
+ return 1701;
}
}
}
@@ -7474,7 +7496,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0001xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1619;
+ return 1621;
}
else
{
@@ -7482,7 +7504,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0101xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1638;
+ return 1640;
}
}
else
@@ -7493,7 +7515,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0011xxxxx011xxxxxxxxxxxxx
ldff1b. */
- return 1623;
+ return 1625;
}
else
{
@@ -7501,7 +7523,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0111xxxxx011xxxxxxxxxxxxx
ldff1h. */
- return 1642;
+ return 1644;
}
}
}
@@ -7515,7 +7537,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0001xxxxx111xxxxxxxxxxxxx
ld2b. */
- return 1593;
+ return 1595;
}
else
{
@@ -7523,7 +7545,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0101xxxxx111xxxxxxxxxxxxx
ld2h. */
- return 1597;
+ return 1599;
}
}
else
@@ -7534,7 +7556,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0011xxxxx111xxxxxxxxxxxxx
ld4b. */
- return 1609;
+ return 1611;
}
else
{
@@ -7542,7 +7564,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x0111xxxxx111xxxxxxxxxxxxx
ld4h. */
- return 1613;
+ return 1615;
}
}
}
@@ -7561,7 +7583,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00x1xxxxx001xxxxxxxxxxxxx
fmul. */
- return 1442;
+ return 1444;
}
else
{
@@ -7571,7 +7593,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0101xxxxx001xxxxxxxxxxxxx
fmul. */
- return 1443;
+ return 1445;
}
else
{
@@ -7579,7 +7601,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx001xxxxxxxxxxxxx
fmul. */
- return 1444;
+ return 1446;
}
}
}
@@ -7591,7 +7613,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0x01xxxxx101xxxxxxxxxxxxx
st1h. */
- return 1879;
+ return 1881;
}
else
{
@@ -7601,7 +7623,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0011xxxxx101xxxxxxxxxxxxx
st1b. */
- return 1866;
+ return 1868;
}
else
{
@@ -7609,7 +7631,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx101xxxxxxxxxxxxx
st1h. */
- return 1887;
+ return 1889;
}
}
}
@@ -7626,7 +7648,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0001xxxxx011xxxxxxxxxxxxx
st2b. */
- return 1901;
+ return 1903;
}
else
{
@@ -7634,7 +7656,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0101xxxxx011xxxxxxxxxxxxx
st2h. */
- return 1905;
+ return 1907;
}
}
else
@@ -7645,7 +7667,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0011xxxxx011xxxxxxxxxxxxx
st4b. */
- return 1917;
+ return 1919;
}
else
{
@@ -7653,7 +7675,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x0111xxxxx011xxxxxxxxxxxxx
st4h. */
- return 1921;
+ return 1923;
}
}
}
@@ -7669,7 +7691,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00010xxxx111xxxxxxxxxxxxx
st1b. */
- return 1863;
+ return 1865;
}
else
{
@@ -7677,7 +7699,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01010xxxx111xxxxxxxxxxxxx
st1h. */
- return 1884;
+ return 1886;
}
}
else
@@ -7688,7 +7710,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00110xxxx111xxxxxxxxxxxxx
st1b. */
- return 1867;
+ return 1869;
}
else
{
@@ -7696,7 +7718,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01110xxxx111xxxxxxxxxxxxx
st1h. */
- return 1888;
+ return 1890;
}
}
}
@@ -7710,7 +7732,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00011xxxx111xxxxxxxxxxxxx
st2b. */
- return 1902;
+ return 1904;
}
else
{
@@ -7718,7 +7740,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01011xxxx111xxxxxxxxxxxxx
st2h. */
- return 1906;
+ return 1908;
}
}
else
@@ -7729,7 +7751,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x00111xxxx111xxxxxxxxxxxxx
st4b. */
- return 1918;
+ return 1920;
}
else
{
@@ -7737,7 +7759,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x01111xxxx111xxxxxxxxxxxxx
st4h. */
- return 1922;
+ return 1924;
}
}
}
@@ -7768,7 +7790,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x10000xxxxxxxxxxxxxxxxxxxx
orr. */
- return 1747;
+ return 1749;
}
else
{
@@ -7776,7 +7798,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x11000xxxxxxxxxxxxxxxxxxxx
and. */
- return 1275;
+ return 1277;
}
}
else
@@ -7787,7 +7809,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x10100xxxxxxxxxxxxxxxxxxxx
eor. */
- return 1362;
+ return 1364;
}
else
{
@@ -7795,7 +7817,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x11100xxxxxxxxxxxxxxxxxxxx
dupm. */
- return 1360;
+ return 1362;
}
}
}
@@ -7807,7 +7829,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx01xxxx0xxxxxxxxxxxxxxx
cpy. */
- return 1345;
+ return 1347;
}
else
{
@@ -7815,7 +7837,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx01xxxx1xxxxxxxxxxxxxxx
fcpy. */
- return 1392;
+ return 1394;
}
}
}
@@ -7831,7 +7853,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx000xxxxxxxxxxxxx
ext. */
- return 1367;
+ return 1369;
}
else
{
@@ -7847,7 +7869,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x0000100xxxxxxxxxxxxx
cpy. */
- return 1343;
+ return 1345;
}
else
{
@@ -7855,7 +7877,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1000100xxxxxxxxxxxxx
clasta. */
- return 1301;
+ return 1303;
}
}
else
@@ -7866,7 +7888,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x0100100xxxxxxxxxxxxx
revb. */
- return 1795;
+ return 1797;
}
else
{
@@ -7874,7 +7896,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1100100xxxxxxxxxxxxx
splice. */
- return 1822;
+ return 1824;
}
}
}
@@ -7888,7 +7910,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x0010100xxxxxxxxxxxxx
lasta. */
- return 1489;
+ return 1491;
}
else
{
@@ -7896,7 +7918,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1010100xxxxxxxxxxxxx
clasta. */
- return 1302;
+ return 1304;
}
}
else
@@ -7905,7 +7927,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xx110100xxxxxxxxxxxxx
revw. */
- return 1797;
+ return 1799;
}
}
}
@@ -7921,7 +7943,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x0001100xxxxxxxxxxxxx
compact. */
- return 1342;
+ return 1344;
}
else
{
@@ -7929,7 +7951,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1001100xxxxxxxxxxxxx
clastb. */
- return 1304;
+ return 1306;
}
}
else
@@ -7938,7 +7960,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xx101100xxxxxxxxxxxxx
revh. */
- return 1796;
+ return 1798;
}
}
else
@@ -7951,7 +7973,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x0011100xxxxxxxxxxxxx
lastb. */
- return 1491;
+ return 1493;
}
else
{
@@ -7959,7 +7981,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1011100xxxxxxxxxxxxx
clastb. */
- return 1305;
+ return 1307;
}
}
else
@@ -7968,7 +7990,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xx111100xxxxxxxxxxxxx
rbit. */
- return 1788;
+ return 1790;
}
}
}
@@ -7986,7 +8008,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx00100xxxxxxxxxxx
dup. */
- return 1358;
+ return 1360;
}
else
{
@@ -7994,7 +8016,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx00110xxxxxxxxxxx
tbl. */
- return 1945;
+ return 1947;
}
}
else
@@ -8013,7 +8035,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx100000001x1xxxxxxxxxxx
dup. */
- return 1357;
+ return 1359;
}
else
{
@@ -8021,7 +8043,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx110000001x1xxxxxxxxxxx
sunpklo. */
- return 1941;
+ return 1943;
}
}
else
@@ -8030,7 +8052,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1000001x1xxxxxxxxxxx
rev. */
- return 1794;
+ return 1796;
}
}
else
@@ -8041,7 +8063,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx10x100001x1xxxxxxxxxxx
insr. */
- return 1486;
+ return 1488;
}
else
{
@@ -8049,7 +8071,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx11x100001x1xxxxxxxxxxx
insr. */
- return 1487;
+ return 1489;
}
}
}
@@ -8059,7 +8081,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxx10001x1xxxxxxxxxxx
uunpklo. */
- return 2004;
+ return 2006;
}
}
else
@@ -8070,7 +8092,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxx01001x1xxxxxxxxxxx
sunpkhi. */
- return 1940;
+ return 1942;
}
else
{
@@ -8078,7 +8100,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxx11001x1xxxxxxxxxxx
uunpkhi. */
- return 2003;
+ return 2005;
}
}
}
@@ -8095,7 +8117,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx100xx0101xxxxxxxxxxxxx
lasta. */
- return 1488;
+ return 1490;
}
else
{
@@ -8103,7 +8125,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx110xx0101xxxxxxxxxxxxx
clasta. */
- return 1303;
+ return 1305;
}
}
else
@@ -8112,7 +8134,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1x1xx0101xxxxxxxxxxxxx
cpy. */
- return 1344;
+ return 1346;
}
}
else
@@ -8123,7 +8145,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx10xxx1101xxxxxxxxxxxxx
lastb. */
- return 1490;
+ return 1492;
}
else
{
@@ -8131,7 +8153,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx11xxx1101xxxxxxxxxxxxx
clastb. */
- return 1306;
+ return 1308;
}
}
}
@@ -8155,7 +8177,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx10xxxx010000xxxxxxxxxx
zip1. */
- return 2021;
+ return 2023;
}
else
{
@@ -8167,7 +8189,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx11x0x0010000xxxxxxxxxx
punpklo. */
- return 1787;
+ return 1789;
}
else
{
@@ -8175,7 +8197,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx11x1x0010000xxxxxxxxxx
rev. */
- return 1793;
+ return 1795;
}
}
else
@@ -8184,7 +8206,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx11xxx1010000xxxxxxxxxx
punpkhi. */
- return 1786;
+ return 1788;
}
}
}
@@ -8194,7 +8216,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011000xxxxxxxxxx
zip1. */
- return 2022;
+ return 2024;
}
}
else
@@ -8205,7 +8227,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx010100xxxxxxxxxx
trn1. */
- return 1946;
+ return 1948;
}
else
{
@@ -8213,7 +8235,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011100xxxxxxxxxx
trn1. */
- return 1947;
+ return 1949;
}
}
}
@@ -8225,7 +8247,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx010x10xxxxxxxxxx
uzp1. */
- return 2008;
+ return 2010;
}
else
{
@@ -8233,7 +8255,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011x10xxxxxxxxxx
uzp1. */
- return 2009;
+ return 2011;
}
}
}
@@ -8249,7 +8271,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx010001xxxxxxxxxx
zip2. */
- return 2023;
+ return 2025;
}
else
{
@@ -8257,7 +8279,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011001xxxxxxxxxx
zip2. */
- return 2024;
+ return 2026;
}
}
else
@@ -8268,7 +8290,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx010101xxxxxxxxxx
trn2. */
- return 1948;
+ return 1950;
}
else
{
@@ -8276,7 +8298,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011101xxxxxxxxxx
trn2. */
- return 1949;
+ return 1951;
}
}
}
@@ -8288,7 +8310,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx010x11xxxxxxxxxx
uzp2. */
- return 2010;
+ return 2012;
}
else
{
@@ -8296,7 +8318,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx011x11xxxxxxxxxx
uzp2. */
- return 2011;
+ return 2013;
}
}
}
@@ -8307,7 +8329,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
000001x1xx1xxxxx11xxxxxxxxxxxxxx
sel. */
- return 1812;
+ return 1814;
}
}
}
@@ -8326,7 +8348,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1x0xxxxxx000xxxxxxxxxxxxx
ldr. */
- return 1716;
+ return 1718;
}
else
{
@@ -8334,7 +8356,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1x1xxxxxx000xxxxxxxxxxxxx
prfb. */
- return 1760;
+ return 1762;
}
}
else
@@ -8345,7 +8367,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10xxxxxxx100xxxxxxxxxxxxx
ld1rsh. */
- return 1545;
+ return 1547;
}
else
{
@@ -8353,7 +8375,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x11xxxxxxx100xxxxxxxxxxxxx
ld1rsb. */
- return 1542;
+ return 1544;
}
}
}
@@ -8369,7 +8391,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10x0xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1580;
+ return 1582;
}
else
{
@@ -8377,7 +8399,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10x1xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1581;
+ return 1583;
}
}
else
@@ -8388,7 +8410,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x110xxxxxx010xxxxxxxxxxxxx
ldr. */
- return 1717;
+ return 1719;
}
else
{
@@ -8396,7 +8418,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x111xxxxxx010xxxxxxxxxxxxx
prfw. */
- return 1781;
+ return 1783;
}
}
}
@@ -8412,7 +8434,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1000xxxxx110xxxxxxxxxxxxx
prfw. */
- return 1777;
+ return 1779;
}
else
{
@@ -8420,7 +8442,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1100xxxxx110xxxxxxxxxxxxx
prfd. */
- return 1763;
+ return 1765;
}
}
else
@@ -8429,7 +8451,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1x01xxxxx110xxxxxxxxxxxxx
ld1w. */
- return 1588;
+ return 1590;
}
}
else
@@ -8440,7 +8462,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x101xxxxxx110xxxxxxxxxxxxx
ld1rw. */
- return 1548;
+ return 1550;
}
else
{
@@ -8448,7 +8470,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x111xxxxxx110xxxxxxxxxxxxx
ld1rsb. */
- return 1544;
+ return 1546;
}
}
}
@@ -8464,7 +8486,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1xxxxxxxx001xxxxxxxxxxxxx
prfh. */
- return 1774;
+ return 1776;
}
else
{
@@ -8474,7 +8496,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10xxxxxxx101xxxxxxxxxxxxx
ld1rsh. */
- return 1546;
+ return 1548;
}
else
{
@@ -8482,7 +8504,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x11xxxxxxx101xxxxxxxxxxxxx
ld1rsb. */
- return 1543;
+ return 1545;
}
}
}
@@ -8498,7 +8520,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10x0xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1680;
+ return 1682;
}
else
{
@@ -8506,7 +8528,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x10x1xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1681;
+ return 1683;
}
}
else
@@ -8515,7 +8537,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x11xxxxxxx011xxxxxxxxxxxxx
prfd. */
- return 1767;
+ return 1769;
}
}
else
@@ -8530,7 +8552,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1000xxxxx111xxxxxxxxxxxxx
prfw. */
- return 1780;
+ return 1782;
}
else
{
@@ -8538,7 +8560,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1100xxxxx111xxxxxxxxxxxxx
prfd. */
- return 1766;
+ return 1768;
}
}
else
@@ -8547,7 +8569,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x1x01xxxxx111xxxxxxxxxxxxx
ldff1w. */
- return 1690;
+ return 1692;
}
}
else
@@ -8558,7 +8580,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x101xxxxxx111xxxxxxxxxxxxx
ld1rw. */
- return 1549;
+ return 1551;
}
else
{
@@ -8566,7 +8588,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
100001x111xxxxxx111xxxxxxxxxxxxx
ld1rd. */
- return 1530;
+ return 1532;
}
}
}
@@ -8588,7 +8610,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx0xxxxx000xxxxxxxxxxxxx
ld1sw. */
- return 1574;
+ return 1576;
}
else
{
@@ -8596,7 +8618,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx1xxxxx000xxxxxxxxxxxxx
ld1sw. */
- return 1575;
+ return 1577;
}
}
else
@@ -8607,7 +8629,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx0xxxxx100xxxxxxxxxxxxx
ld1sw. */
- return 1576;
+ return 1578;
}
else
{
@@ -8617,7 +8639,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1x01xxxxx100xxxxxxxxxxxxx
ld1sw. */
- return 1579;
+ return 1581;
}
else
{
@@ -8625,7 +8647,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1x11xxxxx100xxxxxxxxxxxxx
ld1sw. */
- return 1577;
+ return 1579;
}
}
}
@@ -8642,7 +8664,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x10x0xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1584;
+ return 1586;
}
else
{
@@ -8650,7 +8672,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x11x0xxxxx010xxxxxxxxxxxxx
ld1d. */
- return 1506;
+ return 1508;
}
}
else
@@ -8661,7 +8683,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x10x1xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1585;
+ return 1587;
}
else
{
@@ -8669,7 +8691,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x11x1xxxxx010xxxxxxxxxxxxx
ld1d. */
- return 1507;
+ return 1509;
}
}
}
@@ -8683,7 +8705,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x10x0xxxxx110xxxxxxxxxxxxx
ld1w. */
- return 1586;
+ return 1588;
}
else
{
@@ -8691,7 +8713,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x11x0xxxxx110xxxxxxxxxxxxx
ld1d. */
- return 1508;
+ return 1510;
}
}
else
@@ -8704,7 +8726,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1001xxxxx110xxxxxxxxxxxxx
ld1w. */
- return 1591;
+ return 1593;
}
else
{
@@ -8712,7 +8734,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1101xxxxx110xxxxxxxxxxxxx
ld1d. */
- return 1511;
+ return 1513;
}
}
else
@@ -8723,7 +8745,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1011xxxxx110xxxxxxxxxxxxx
ld1w. */
- return 1587;
+ return 1589;
}
else
{
@@ -8731,7 +8753,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1111xxxxx110xxxxxxxxxxxxx
ld1d. */
- return 1509;
+ return 1511;
}
}
}
@@ -8750,7 +8772,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx0xxxxx001xxxxxxxxxxxxx
ldff1sw. */
- return 1675;
+ return 1677;
}
else
{
@@ -8758,7 +8780,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx1xxxxx001xxxxxxxxxxxxx
ldff1sw. */
- return 1676;
+ return 1678;
}
}
else
@@ -8769,7 +8791,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1xx0xxxxx101xxxxxxxxxxxxx
ldff1sw. */
- return 1677;
+ return 1679;
}
else
{
@@ -8779,7 +8801,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1x01xxxxx101xxxxxxxxxxxxx
ldff1sw. */
- return 1679;
+ return 1681;
}
else
{
@@ -8787,7 +8809,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1x11xxxxx101xxxxxxxxxxxxx
ldff1sw. */
- return 1678;
+ return 1680;
}
}
}
@@ -8804,7 +8826,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x10x0xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1686;
+ return 1688;
}
else
{
@@ -8812,7 +8834,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x11x0xxxxx011xxxxxxxxxxxxx
ldff1d. */
- return 1631;
+ return 1633;
}
}
else
@@ -8823,7 +8845,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x10x1xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1687;
+ return 1689;
}
else
{
@@ -8831,7 +8853,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x11x1xxxxx011xxxxxxxxxxxxx
ldff1d. */
- return 1632;
+ return 1634;
}
}
}
@@ -8847,7 +8869,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1000xxxxx111xxxxxxxxxxxxx
prfw. */
- return 1782;
+ return 1784;
}
else
{
@@ -8855,7 +8877,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1100xxxxx111xxxxxxxxxxxxx
prfd. */
- return 1768;
+ return 1770;
}
}
else
@@ -8866,7 +8888,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1010xxxxx111xxxxxxxxxxxxx
ldff1w. */
- return 1688;
+ return 1690;
}
else
{
@@ -8874,7 +8896,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1110xxxxx111xxxxxxxxxxxxx
ldff1d. */
- return 1633;
+ return 1635;
}
}
}
@@ -8888,7 +8910,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1001xxxxx111xxxxxxxxxxxxx
ldff1w. */
- return 1691;
+ return 1693;
}
else
{
@@ -8896,7 +8918,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1101xxxxx111xxxxxxxxxxxxx
ldff1d. */
- return 1635;
+ return 1637;
}
}
else
@@ -8907,7 +8929,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1011xxxxx111xxxxxxxxxxxxx
ldff1w. */
- return 1689;
+ return 1691;
}
else
{
@@ -8915,7 +8937,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10001x1111xxxxx111xxxxxxxxxxxxx
ldff1d. */
- return 1634;
+ return 1636;
}
}
}
@@ -8944,7 +8966,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx0xxxxx000xxxxxxxx0xxxx
cmpge. */
- return 1314;
+ return 1316;
}
else
{
@@ -8952,7 +8974,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx0xxxxx000xxxxxxxx1xxxx
cmpgt. */
- return 1317;
+ return 1319;
}
}
else
@@ -8963,7 +8985,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x10x0xxxxx000xxxxxxxxxxxxx
ld1rqw. */
- return 1541;
+ return 1543;
}
else
{
@@ -8971,7 +8993,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x11x0xxxxx000xxxxxxxxxxxxx
ld1rqd. */
- return 1537;
+ return 1539;
}
}
}
@@ -8987,7 +9009,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00000xxxxxx0xxxx
whilelt. */
- return 2018;
+ return 2020;
}
else
{
@@ -8995,7 +9017,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00010xxxxxx0xxxx
whilelt. */
- return 2019;
+ return 2021;
}
}
else
@@ -9006,7 +9028,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00001xxxxxx0xxxx
whilelo. */
- return 2014;
+ return 2016;
}
else
{
@@ -9014,7 +9036,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00011xxxxxx0xxxx
whilelo. */
- return 2015;
+ return 2017;
}
}
}
@@ -9028,7 +9050,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00000xxxxxx1xxxx
whilele. */
- return 2012;
+ return 2014;
}
else
{
@@ -9036,7 +9058,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00010xxxxxx1xxxx
whilele. */
- return 2013;
+ return 2015;
}
}
else
@@ -9047,7 +9069,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00001xxxxxx1xxxx
whilels. */
- return 2016;
+ return 2018;
}
else
{
@@ -9055,7 +9077,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx00011xxxxxx1xxxx
whilels. */
- return 2017;
+ return 2019;
}
}
}
@@ -9075,7 +9097,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000x00xxxxxxxxxx
fadd. */
- return 1372;
+ return 1374;
}
else
{
@@ -9085,7 +9107,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000010xxxxxxxxxx
fmul. */
- return 1439;
+ return 1441;
}
else
{
@@ -9093,7 +9115,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000110xxxxxxxxxx
frecps. */
- return 1452;
+ return 1454;
}
}
}
@@ -9105,7 +9127,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000x01xxxxxxxxxx
fsub. */
- return 1465;
+ return 1467;
}
else
{
@@ -9115,7 +9137,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000011xxxxxxxxxx
ftsmul. */
- return 1471;
+ return 1473;
}
else
{
@@ -9123,7 +9145,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx000111xxxxxxxxxx
frsqrts. */
- return 1462;
+ return 1464;
}
}
}
@@ -9134,7 +9156,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx000xxxxxxxxxxxxx
fmla. */
- return 1430;
+ return 1432;
}
}
else
@@ -9143,7 +9165,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1xxxxxxxx000xxxxxxxxxxxxx
str. */
- return 1933;
+ return 1935;
}
}
}
@@ -9161,7 +9183,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx0xxxxx001xxxxxxxx0xxxx
cmplt. */
- return 1331;
+ return 1333;
}
else
{
@@ -9169,7 +9191,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx0xxxxx001xxxxxxxx1xxxx
cmple. */
- return 1325;
+ return 1327;
}
}
else
@@ -9180,7 +9202,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x10x0xxxxx001xxxxxxxxxxxxx
ld1rqw. */
- return 1540;
+ return 1542;
}
else
{
@@ -9188,7 +9210,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x11x0xxxxx001xxxxxxxxxxxxx
ld1rqd. */
- return 1536;
+ return 1538;
}
}
}
@@ -9208,7 +9230,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx000000001xxxxxxxxxxxxx
faddv. */
- return 1376;
+ return 1378;
}
else
{
@@ -9218,7 +9240,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx010000001xxxxxxxx0xxxx
fcmge. */
- return 1383;
+ return 1385;
}
else
{
@@ -9226,7 +9248,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx010000001xxxxxxxx1xxxx
fcmgt. */
- return 1385;
+ return 1387;
}
}
}
@@ -9236,7 +9258,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0x1000001xxxxxxxxxxxxx
fadda. */
- return 1375;
+ return 1377;
}
}
else
@@ -9245,7 +9267,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx100001xxxxxxxxxxxxx
fmaxnmv. */
- return 1422;
+ return 1424;
}
}
else
@@ -9256,7 +9278,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx010001xxxxxxxxxxxxx
fcmeq. */
- return 1381;
+ return 1383;
}
else
{
@@ -9266,7 +9288,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0x0110001xxxxxxxxxxxxx
fmaxv. */
- return 1423;
+ return 1425;
}
else
{
@@ -9274,7 +9296,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0x1110001xxxxxxxxxxxxx
frecpe. */
- return 1451;
+ return 1453;
}
}
}
@@ -9291,7 +9313,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx001001xxxxxxxx0xxxx
fcmlt. */
- return 1388;
+ return 1390;
}
else
{
@@ -9299,7 +9321,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx001001xxxxxxxx1xxxx
fcmle. */
- return 1387;
+ return 1389;
}
}
else
@@ -9308,7 +9330,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx101001xxxxxxxxxxxxx
fminnmv. */
- return 1428;
+ return 1430;
}
}
else
@@ -9319,7 +9341,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0xx011001xxxxxxxxxxxxx
fcmne. */
- return 1389;
+ return 1391;
}
else
{
@@ -9329,7 +9351,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0x0111001xxxxxxxxxxxxx
fminv. */
- return 1429;
+ return 1431;
}
else
{
@@ -9337,7 +9359,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx0x1111001xxxxxxxxxxxxx
frsqrte. */
- return 1461;
+ return 1463;
}
}
}
@@ -9354,7 +9376,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx001xxxxxxxx0xxxx
ctermeq. */
- return 1346;
+ return 1348;
}
else
{
@@ -9362,7 +9384,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx1xxxxx001xxxxxxxx1xxxx
ctermne. */
- return 1347;
+ return 1349;
}
}
else
@@ -9371,7 +9393,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11001x1xx1xxxxx001xxxxxxxxxxxxx
fmls. */
- return 1434;
+ return 1436;
}
}
}
@@ -9398,7 +9420,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x10000xxxx01xxxx0xxxx0xxxx
and. */
- return 1277;
+ return 1279;
}
else
{
@@ -9406,7 +9428,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x10000xxxx01xxxx0xxxx1xxxx
bic. */
- return 1289;
+ return 1291;
}
}
else
@@ -9417,7 +9439,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x100010xxx01xxxx0xxxxxxxxx
brka. */
- return 1291;
+ return 1293;
}
else
{
@@ -9425,7 +9447,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x100011xxx01xxxx0xxxxxxxxx
brkn. */
- return 1295;
+ return 1297;
}
}
}
@@ -9437,7 +9459,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1000xxxxx01xxxx1xxxx0xxxx
eor. */
- return 1364;
+ return 1366;
}
else
{
@@ -9445,7 +9467,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1000xxxxx01xxxx1xxxx1xxxx
sel. */
- return 1813;
+ return 1815;
}
}
}
@@ -9457,7 +9479,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1000xxxxx010xxxxxxxxxxxxx
ld1sh. */
- return 1563;
+ return 1565;
}
else
{
@@ -9465,7 +9487,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1000xxxxx011xxxxxxxxxxxxx
ldff1sh. */
- return 1663;
+ return 1665;
}
}
}
@@ -9483,7 +9505,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x11000xxxx01xxxx0xxxx0xxxx
orr. */
- return 1749;
+ return 1751;
}
else
{
@@ -9491,7 +9513,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x11000xxxx01xxxx0xxxx1xxxx
orn. */
- return 1744;
+ return 1746;
}
}
else
@@ -9500,7 +9522,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x11001xxxx01xxxx0xxxxxxxxx
brkb. */
- return 1293;
+ return 1295;
}
}
else
@@ -9511,7 +9533,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1100xxxxx01xxxx1xxxx0xxxx
nor. */
- return 1741;
+ return 1743;
}
else
{
@@ -9519,7 +9541,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1100xxxxx01xxxx1xxxx1xxxx
nand. */
- return 1738;
+ return 1740;
}
}
}
@@ -9531,7 +9553,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1100xxxxx010xxxxxxxxxxxxx
ld1sb. */
- return 1551;
+ return 1553;
}
else
{
@@ -9539,7 +9561,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1100xxxxx011xxxxxxxxxxxxx
ldff1sb. */
- return 1651;
+ return 1653;
}
}
}
@@ -9560,7 +9582,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x10100xxxx01xxxx0xxxx0xxxx
ands. */
- return 1278;
+ return 1280;
}
else
{
@@ -9570,7 +9592,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x101010xxx01xxxx0xxxx0xxxx
brkas. */
- return 1292;
+ return 1294;
}
else
{
@@ -9578,7 +9600,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x101011xxx01xxxx0xxxx0xxxx
brkns. */
- return 1296;
+ return 1298;
}
}
}
@@ -9588,7 +9610,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1010xxxxx01xxxx1xxxx0xxxx
eors. */
- return 1365;
+ return 1367;
}
}
else
@@ -9597,7 +9619,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1010xxxxx01xxxxxxxxx1xxxx
bics. */
- return 1290;
+ return 1292;
}
}
else
@@ -9608,7 +9630,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1010xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1582;
+ return 1584;
}
else
{
@@ -9616,7 +9638,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1010xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1682;
+ return 1684;
}
}
}
@@ -9634,7 +9656,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x11100xxxx01xxxx0xxxx0xxxx
orrs. */
- return 1750;
+ return 1752;
}
else
{
@@ -9642,7 +9664,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x11101xxxx01xxxx0xxxx0xxxx
brkbs. */
- return 1294;
+ return 1296;
}
}
else
@@ -9651,7 +9673,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1110xxxxx01xxxx1xxxx0xxxx
nors. */
- return 1742;
+ return 1744;
}
}
else
@@ -9662,7 +9684,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1110xxxxx01xxxx0xxxx1xxxx
orns. */
- return 1745;
+ return 1747;
}
else
{
@@ -9670,7 +9692,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1110xxxxx01xxxx1xxxx1xxxx
nands. */
- return 1739;
+ return 1741;
}
}
}
@@ -9682,7 +9704,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1110xxxxx010xxxxxxxxxxxxx
ld1sb. */
- return 1553;
+ return 1555;
}
else
{
@@ -9690,7 +9712,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1110xxxxx011xxxxxxxxxxxxx
ldff1sb. */
- return 1655;
+ return 1657;
}
}
}
@@ -9708,7 +9730,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1001xxxxx010xxxxxxxxxxxxx
ld1sh. */
- return 1564;
+ return 1566;
}
else
{
@@ -9716,7 +9738,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1101xxxxx010xxxxxxxxxxxxx
ld1sb. */
- return 1552;
+ return 1554;
}
}
else
@@ -9727,7 +9749,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1011xxxxx010xxxxxxxxxxxxx
ld1w. */
- return 1583;
+ return 1585;
}
else
{
@@ -9735,7 +9757,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1111xxxxx010xxxxxxxxxxxxx
ld1d. */
- return 1505;
+ return 1507;
}
}
}
@@ -9749,7 +9771,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1001xxxxx011xxxxxxxxxxxxx
ldff1sh. */
- return 1665;
+ return 1667;
}
else
{
@@ -9757,7 +9779,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1101xxxxx011xxxxxxxxxxxxx
ldff1sb. */
- return 1653;
+ return 1655;
}
}
else
@@ -9768,7 +9790,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1011xxxxx011xxxxxxxxxxxxx
ldff1w. */
- return 1684;
+ return 1686;
}
else
{
@@ -9776,7 +9798,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1111xxxxx011xxxxxxxxxxxxx
ldff1d. */
- return 1629;
+ return 1631;
}
}
}
@@ -9796,7 +9818,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx010xxxxxxxx0xxxx
fcmge. */
- return 1384;
+ return 1386;
}
else
{
@@ -9804,7 +9826,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx010xxxxxxxx1xxxx
fcmgt. */
- return 1386;
+ return 1388;
}
}
else
@@ -9813,7 +9835,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx010xxxxxxxxxxxxx
fnmla. */
- return 1448;
+ return 1450;
}
}
else
@@ -9824,7 +9846,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1x0xxxxxx010xxxxxxxxxxxxx
str. */
- return 1934;
+ return 1936;
}
else
{
@@ -9834,7 +9856,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1x10xxxxx010xxxxxxxxxxxxx
st1w. */
- return 1893;
+ return 1895;
}
else
{
@@ -9844,7 +9866,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1011xxxxx010xxxxxxxxxxxxx
st1w. */
- return 1895;
+ return 1897;
}
else
{
@@ -9852,7 +9874,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1111xxxxx010xxxxxxxxxxxxx
st1d. */
- return 1872;
+ return 1874;
}
}
}
@@ -9870,7 +9892,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx011xxxxxxxx0xxxx
fcmeq. */
- return 1382;
+ return 1384;
}
else
{
@@ -9878,7 +9900,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx011xxxxxxxx1xxxx
fcmne. */
- return 1390;
+ return 1392;
}
}
else
@@ -9891,7 +9913,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1000xxxxx011xxxxxxxxxxxxx
stnt1w. */
- return 1931;
+ return 1933;
}
else
{
@@ -9899,7 +9921,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1100xxxxx011xxxxxxxxxxxxx
stnt1d. */
- return 1927;
+ return 1929;
}
}
else
@@ -9910,7 +9932,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1010xxxxx011xxxxxxxxxxxxx
st3w. */
- return 1915;
+ return 1917;
}
else
{
@@ -9918,7 +9940,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1110xxxxx011xxxxxxxxxxxxx
st3d. */
- return 1911;
+ return 1913;
}
}
}
@@ -9931,7 +9953,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx011xxxxxxxxxxxxx
fnmls. */
- return 1449;
+ return 1451;
}
else
{
@@ -9943,7 +9965,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1001xxxxx011xxxxxxxxxxxxx
st2w. */
- return 1907;
+ return 1909;
}
else
{
@@ -9951,7 +9973,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1101xxxxx011xxxxxxxxxxxxx
st2d. */
- return 1903;
+ return 1905;
}
}
else
@@ -9962,7 +9984,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1011xxxxx011xxxxxxxxxxxxx
st4w. */
- return 1923;
+ return 1925;
}
else
{
@@ -9970,7 +9992,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1111xxxxx011xxxxxxxxxxxxx
st4d. */
- return 1919;
+ return 1921;
}
}
}
@@ -9995,7 +10017,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx0xxxxx100xxxxxxxx0xxxx
cmpeq. */
- return 1311;
+ return 1313;
}
else
{
@@ -10003,7 +10025,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x1xx0xxxxx100xxxxxxxx1xxxx
cmpne. */
- return 1334;
+ return 1336;
}
}
else
@@ -10018,7 +10040,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10000xxxx101xxxxxxxxxxxxx
ld1sh. */
- return 1570;
+ return 1572;
}
else
{
@@ -10026,7 +10048,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11000xxxx101xxxxxxxxxxxxx
ld1sb. */
- return 1557;
+ return 1559;
}
}
else
@@ -10037,7 +10059,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10100xxxx101xxxxxxxxxxxxx
ld1w. */
- return 1589;
+ return 1591;
}
else
{
@@ -10045,7 +10067,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11100xxxx101xxxxxxxxxxxxx
ld1sb. */
- return 1559;
+ return 1561;
}
}
}
@@ -10059,7 +10081,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10001xxxx101xxxxxxxxxxxxx
ldnf1sh. */
- return 1703;
+ return 1705;
}
else
{
@@ -10067,7 +10089,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11001xxxx101xxxxxxxxxxxxx
ldnf1sb. */
- return 1700;
+ return 1702;
}
}
else
@@ -10078,7 +10100,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10101xxxx101xxxxxxxxxxxxx
ldnf1w. */
- return 1706;
+ return 1708;
}
else
{
@@ -10086,7 +10108,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11101xxxx101xxxxxxxxxxxxx
ldnf1sb. */
- return 1702;
+ return 1704;
}
}
}
@@ -10106,7 +10128,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x000xxxx11xxxxxxxxx0xxxx
brkpa. */
- return 1297;
+ return 1299;
}
else
{
@@ -10114,7 +10136,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x100xxxx11xxxxxxxxx0xxxx
brkpas. */
- return 1298;
+ return 1300;
}
}
else
@@ -10127,7 +10149,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx010xx011xxxxxxxxx0xxxx
ptest. */
- return 1783;
+ return 1785;
}
else
{
@@ -10141,7 +10163,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx011xx01100x0xxxxx0xxxx
pfirst. */
- return 1753;
+ return 1755;
}
else
{
@@ -10149,7 +10171,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx011xx01110x0xxxxx0xxxx
ptrue. */
- return 1784;
+ return 1786;
}
}
else
@@ -10160,7 +10182,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x0011xx011x1x0xxxxx0xxxx
rdffr. */
- return 1790;
+ return 1792;
}
else
{
@@ -10168,7 +10190,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x1011xx011x1x0xxxxx0xxxx
rdffrs. */
- return 1791;
+ return 1793;
}
}
}
@@ -10178,7 +10200,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx011xx011xxx1xxxxx0xxxx
pfalse. */
- return 1752;
+ return 1754;
}
}
}
@@ -10192,7 +10214,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx01xxx111x0x0xxxxx0xxxx
ptrues. */
- return 1785;
+ return 1787;
}
else
{
@@ -10200,7 +10222,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx01xxx111x1x0xxxxx0xxxx
rdffr. */
- return 1789;
+ return 1791;
}
}
else
@@ -10209,7 +10231,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx01xxx111xxx1xxxxx0xxxx
pnext. */
- return 1754;
+ return 1756;
}
}
}
@@ -10222,7 +10244,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x00xxxxx11xxxxxxxxx1xxxx
brkpb. */
- return 1299;
+ return 1301;
}
else
{
@@ -10230,7 +10252,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1x10xxxxx11xxxxxxxxx1xxxx
brkpbs. */
- return 1300;
+ return 1302;
}
}
}
@@ -10246,7 +10268,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1000xxxxx110xxxxxxxxxxxxx
ldnt1w. */
- return 1714;
+ return 1716;
}
else
{
@@ -10254,7 +10276,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1100xxxxx110xxxxxxxxxxxxx
ldnt1d. */
- return 1710;
+ return 1712;
}
}
else
@@ -10265,7 +10287,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1010xxxxx110xxxxxxxxxxxxx
ld3w. */
- return 1606;
+ return 1608;
}
else
{
@@ -10273,7 +10295,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1110xxxxx110xxxxxxxxxxxxx
ld3d. */
- return 1602;
+ return 1604;
}
}
}
@@ -10287,7 +10309,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1000xxxxx111xxxxxxxxxxxxx
ldnt1w. */
- return 1715;
+ return 1717;
}
else
{
@@ -10295,7 +10317,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1100xxxxx111xxxxxxxxxxxxx
ldnt1d. */
- return 1711;
+ return 1713;
}
}
else
@@ -10306,7 +10328,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1010xxxxx111xxxxxxxxxxxxx
ld3w. */
- return 1607;
+ return 1609;
}
else
{
@@ -10314,7 +10336,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1110xxxxx111xxxxxxxxxxxxx
ld3d. */
- return 1603;
+ return 1605;
}
}
}
@@ -10343,7 +10365,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000000100xxxxxxxxxxxxx
fadd. */
- return 1373;
+ return 1375;
}
else
{
@@ -10351,7 +10373,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000100100xxxxxxxxxxxxx
fmaxnm. */
- return 1420;
+ return 1422;
}
}
else
@@ -10362,7 +10384,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000010100xxxxxxxxxxxxx
fmul. */
- return 1440;
+ return 1442;
}
else
{
@@ -10370,7 +10392,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000110100xxxxxxxxxxxxx
fmax. */
- return 1418;
+ return 1420;
}
}
}
@@ -10384,7 +10406,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000001100xxxxxxxxxxxxx
fsub. */
- return 1466;
+ return 1468;
}
else
{
@@ -10392,7 +10414,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000101100xxxxxxxxxxxxx
fminnm. */
- return 1426;
+ return 1428;
}
}
else
@@ -10403,7 +10425,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000011100xxxxxxxxxxxxx
fsubr. */
- return 1468;
+ return 1470;
}
else
{
@@ -10411,7 +10433,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000111100xxxxxxxxxxxxx
fmin. */
- return 1424;
+ return 1426;
}
}
}
@@ -10422,7 +10444,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx010xxx100xxxxxxxxxxxxx
ftmad. */
- return 1470;
+ return 1472;
}
}
else
@@ -10439,7 +10461,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001000100xxxxxxxxxxxxx
fabd. */
- return 1368;
+ return 1370;
}
else
{
@@ -10447,7 +10469,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011000100xxxxxxxxxxxxx
fadd. */
- return 1374;
+ return 1376;
}
}
else
@@ -10458,7 +10480,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001100100xxxxxxxxxxxxx
fdivr. */
- return 1414;
+ return 1416;
}
else
{
@@ -10466,7 +10488,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011100100xxxxxxxxxxxxx
fmaxnm. */
- return 1421;
+ return 1423;
}
}
}
@@ -10480,7 +10502,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001010100xxxxxxxxxxxxx
fmulx. */
- return 1445;
+ return 1447;
}
else
{
@@ -10488,7 +10510,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011010100xxxxxxxxxxxxx
fmul. */
- return 1441;
+ return 1443;
}
}
else
@@ -10497,7 +10519,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0x1110100xxxxxxxxxxxxx
fmax. */
- return 1419;
+ return 1421;
}
}
}
@@ -10513,7 +10535,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001001100xxxxxxxxxxxxx
fscale. */
- return 1463;
+ return 1465;
}
else
{
@@ -10521,7 +10543,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011001100xxxxxxxxxxxxx
fsub. */
- return 1467;
+ return 1469;
}
}
else
@@ -10532,7 +10554,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001101100xxxxxxxxxxxxx
fdiv. */
- return 1413;
+ return 1415;
}
else
{
@@ -10540,7 +10562,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011101100xxxxxxxxxxxxx
fminnm. */
- return 1427;
+ return 1429;
}
}
}
@@ -10552,7 +10574,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0x1011100xxxxxxxxxxxxx
fsubr. */
- return 1469;
+ return 1471;
}
else
{
@@ -10560,7 +10582,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0x1111100xxxxxxxxxxxxx
fmin. */
- return 1425;
+ return 1427;
}
}
}
@@ -10574,7 +10596,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx110xxxxxxxx0xxxx
fcmuo. */
- return 1391;
+ return 1393;
}
else
{
@@ -10582,7 +10604,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx110xxxxxxxx1xxxx
facge. */
- return 1370;
+ return 1372;
}
}
}
@@ -10596,7 +10618,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1000xxxxx1x0xxxxxxxxxxxxx
st1w. */
- return 1889;
+ return 1891;
}
else
{
@@ -10604,7 +10626,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1100xxxxx1x0xxxxxxxxxxxxx
st1d. */
- return 1868;
+ return 1870;
}
}
else
@@ -10613,7 +10635,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1x10xxxxx1x0xxxxxxxxxxxxx
st1w. */
- return 1894;
+ return 1896;
}
}
}
@@ -10637,7 +10659,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000000101xxxxxxxxxxxxx
frintn. */
- return 1457;
+ return 1459;
}
else
{
@@ -10645,7 +10667,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx010000101xxxxxxxxxxxxx
scvtf. */
- return 1803;
+ return 1805;
}
}
else
@@ -10658,7 +10680,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x0001000101xxxxxxxxxxxxx
fcvt. */
- return 1393;
+ return 1395;
}
else
{
@@ -10666,7 +10688,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x1001000101xxxxxxxxxxxxx
fcvt. */
- return 1395;
+ return 1397;
}
}
else
@@ -10675,7 +10697,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011000101xxxxxxxxxxxxx
fcvtzs. */
- return 1403;
+ return 1405;
}
}
}
@@ -10689,7 +10711,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000100101xxxxxxxxxxxxx
frinta. */
- return 1454;
+ return 1456;
}
else
{
@@ -10699,7 +10721,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x0010100101xxxxxxxxxxxxx
scvtf. */
- return 1802;
+ return 1804;
}
else
{
@@ -10709,7 +10731,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x101010100101xxxxxxxxxxxxx
scvtf. */
- return 1801;
+ return 1803;
}
else
{
@@ -10717,7 +10739,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x111010100101xxxxxxxxxxxxx
scvtf. */
- return 1805;
+ return 1807;
}
}
}
@@ -10730,7 +10752,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001100101xxxxxxxxxxxxx
frecpx. */
- return 1453;
+ return 1455;
}
else
{
@@ -10740,7 +10762,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x0011100101xxxxxxxxxxxxx
fcvtzs. */
- return 1402;
+ return 1404;
}
else
{
@@ -10750,7 +10772,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x101011100101xxxxxxxxxxxxx
fcvtzs. */
- return 1400;
+ return 1402;
}
else
{
@@ -10758,7 +10780,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x111011100101xxxxxxxxxxxxx
fcvtzs. */
- return 1404;
+ return 1406;
}
}
}
@@ -10777,7 +10799,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000010101xxxxxxxxxxxxx
frintm. */
- return 1456;
+ return 1458;
}
else
{
@@ -10785,7 +10807,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx010010101xxxxxxxxxxxxx
scvtf. */
- return 1800;
+ return 1802;
}
}
else
@@ -10796,7 +10818,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001010101xxxxxxxxxxxxx
fcvt. */
- return 1397;
+ return 1399;
}
else
{
@@ -10804,7 +10826,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011010101xxxxxxxxxxxxx
fcvtzs. */
- return 1399;
+ return 1401;
}
}
}
@@ -10818,7 +10840,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000110101xxxxxxxxxxxxx
frintx. */
- return 1459;
+ return 1461;
}
else
{
@@ -10828,7 +10850,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x10x010110101xxxxxxxxxxxxx
scvtf. */
- return 1804;
+ return 1806;
}
else
{
@@ -10836,7 +10858,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x11x010110101xxxxxxxxxxxxx
scvtf. */
- return 1806;
+ return 1808;
}
}
}
@@ -10848,7 +10870,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x10x0x1110101xxxxxxxxxxxxx
fcvtzs. */
- return 1401;
+ return 1403;
}
else
{
@@ -10856,7 +10878,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x11x0x1110101xxxxxxxxxxxxx
fcvtzs. */
- return 1405;
+ return 1407;
}
}
}
@@ -10876,7 +10898,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000001101xxxxxxxxxxxxx
frintp. */
- return 1458;
+ return 1460;
}
else
{
@@ -10884,7 +10906,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx010001101xxxxxxxxxxxxx
ucvtf. */
- return 1955;
+ return 1957;
}
}
else
@@ -10897,7 +10919,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x0001001101xxxxxxxxxxxxx
fcvt. */
- return 1394;
+ return 1396;
}
else
{
@@ -10905,7 +10927,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x1001001101xxxxxxxxxxxxx
fcvt. */
- return 1396;
+ return 1398;
}
}
else
@@ -10914,7 +10936,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011001101xxxxxxxxxxxxx
fcvtzu. */
- return 1410;
+ return 1412;
}
}
}
@@ -10928,7 +10950,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x00x0101101xxxxxxxxxxxxx
ucvtf. */
- return 1954;
+ return 1956;
}
else
{
@@ -10938,7 +10960,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1010x0101101xxxxxxxxxxxxx
ucvtf. */
- return 1953;
+ return 1955;
}
else
{
@@ -10946,7 +10968,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1110x0101101xxxxxxxxxxxxx
ucvtf. */
- return 1957;
+ return 1959;
}
}
}
@@ -10958,7 +10980,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001101101xxxxxxxxxxxxx
fsqrt. */
- return 1464;
+ return 1466;
}
else
{
@@ -10968,7 +10990,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1x0011101101xxxxxxxxxxxxx
fcvtzu. */
- return 1409;
+ return 1411;
}
else
{
@@ -10978,7 +11000,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x101011101101xxxxxxxxxxxxx
fcvtzu. */
- return 1407;
+ return 1409;
}
else
{
@@ -10986,7 +11008,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x111011101101xxxxxxxxxxxxx
fcvtzu. */
- return 1411;
+ return 1413;
}
}
}
@@ -11005,7 +11027,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000011101xxxxxxxxxxxxx
frintz. */
- return 1460;
+ return 1462;
}
else
{
@@ -11013,7 +11035,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx010011101xxxxxxxxxxxxx
ucvtf. */
- return 1952;
+ return 1954;
}
}
else
@@ -11024,7 +11046,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx001011101xxxxxxxxxxxxx
fcvt. */
- return 1398;
+ return 1400;
}
else
{
@@ -11032,7 +11054,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx011011101xxxxxxxxxxxxx
fcvtzu. */
- return 1406;
+ return 1408;
}
}
}
@@ -11046,7 +11068,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx000111101xxxxxxxxxxxxx
frinti. */
- return 1455;
+ return 1457;
}
else
{
@@ -11056,7 +11078,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x10x010111101xxxxxxxxxxxxx
ucvtf. */
- return 1956;
+ return 1958;
}
else
{
@@ -11064,7 +11086,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x11x010111101xxxxxxxxxxxxx
ucvtf. */
- return 1958;
+ return 1960;
}
}
}
@@ -11076,7 +11098,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x10x0x1111101xxxxxxxxxxxxx
fcvtzu. */
- return 1408;
+ return 1410;
}
else
{
@@ -11084,7 +11106,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x11x0x1111101xxxxxxxxxxxxx
fcvtzu. */
- return 1412;
+ return 1414;
}
}
}
@@ -11101,7 +11123,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1000xxxxx101xxxxxxxxxxxxx
st1w. */
- return 1890;
+ return 1892;
}
else
{
@@ -11109,7 +11131,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1100xxxxx101xxxxxxxxxxxxx
st1d. */
- return 1869;
+ return 1871;
}
}
else
@@ -11120,7 +11142,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1010xxxxx101xxxxxxxxxxxxx
st1w. */
- return 1897;
+ return 1899;
}
else
{
@@ -11128,7 +11150,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1110xxxxx101xxxxxxxxxxxxx
st1d. */
- return 1873;
+ return 1875;
}
}
}
@@ -11141,7 +11163,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx0xxxxx111xxxxxxxxxxxxx
facgt. */
- return 1371;
+ return 1373;
}
else
{
@@ -11151,7 +11173,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1xx00xxxx111xxxxxxxxxxxxx
st1w. */
- return 1898;
+ return 1900;
}
else
{
@@ -11163,7 +11185,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x10001xxxx111xxxxxxxxxxxxx
stnt1w. */
- return 1932;
+ return 1934;
}
else
{
@@ -11171,7 +11193,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x11001xxxx111xxxxxxxxxxxxx
stnt1d. */
- return 1928;
+ return 1930;
}
}
else
@@ -11182,7 +11204,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x10101xxxx111xxxxxxxxxxxxx
st3w. */
- return 1916;
+ return 1918;
}
else
{
@@ -11190,7 +11212,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x11101xxxx111xxxxxxxxxxxxx
st3d. */
- return 1912;
+ return 1914;
}
}
}
@@ -11221,7 +11243,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10000010xxxxxxxxxxxxxx
cntp. */
- return 1340;
+ return 1342;
}
else
{
@@ -11235,7 +11257,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100010x000xxxxxxxxxx
sqincp. */
- return 1847;
+ return 1849;
}
else
{
@@ -11243,7 +11265,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100010x100xxxxxxxxxx
wrffr. */
- return 2020;
+ return 2022;
}
}
else
@@ -11252,7 +11274,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100010xx10xxxxxxxxxx
sqincp. */
- return 1849;
+ return 1851;
}
}
else
@@ -11261,7 +11283,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100010xxx1xxxxxxxxxx
sqincp. */
- return 1848;
+ return 1850;
}
}
}
@@ -11275,7 +11297,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x10010x00xxxxxxxxxxx
incp. */
- return 1478;
+ return 1480;
}
else
{
@@ -11283,7 +11305,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x10010x10xxxxxxxxxxx
setffr. */
- return 1814;
+ return 1816;
}
}
else
@@ -11292,7 +11314,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x10010xx1xxxxxxxxxxx
incp. */
- return 1479;
+ return 1481;
}
}
}
@@ -11306,7 +11328,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1010xx00xxxxxxxxxx
sqdecp. */
- return 1833;
+ return 1835;
}
else
{
@@ -11314,7 +11336,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1010xx10xxxxxxxxxx
sqdecp. */
- return 1835;
+ return 1837;
}
}
else
@@ -11323,7 +11345,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1010xxx1xxxxxxxxxx
sqdecp. */
- return 1834;
+ return 1836;
}
}
}
@@ -11341,7 +11363,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x00110xx00xxxxxxxxxx
uqincp. */
- return 1995;
+ return 1997;
}
else
{
@@ -11349,7 +11371,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x10110xx00xxxxxxxxxx
decp. */
- return 1353;
+ return 1355;
}
}
else
@@ -11358,7 +11380,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1110xx00xxxxxxxxxx
uqdecp. */
- return 1981;
+ return 1983;
}
}
else
@@ -11371,7 +11393,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x00110xx10xxxxxxxxxx
uqincp. */
- return 1996;
+ return 1998;
}
else
{
@@ -11379,7 +11401,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10x10110xx10xxxxxxxxxx
decp. */
- return 1354;
+ return 1356;
}
}
else
@@ -11388,7 +11410,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1110xx10xxxxxxxxxx
uqdecp. */
- return 1982;
+ return 1984;
}
}
}
@@ -11400,7 +11422,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx0110xxx1xxxxxxxxxx
uqincp. */
- return 1997;
+ return 1999;
}
else
{
@@ -11408,7 +11430,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10xx1110xxx1xxxxxxxxxx
uqdecp. */
- return 1983;
+ return 1985;
}
}
}
@@ -11423,7 +11445,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x10010xxxx10xxxxxxxxxxxxxx
ld1sh. */
- return 1571;
+ return 1573;
}
else
{
@@ -11431,7 +11453,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x11010xxxx10xxxxxxxxxxxxxx
ld1sb. */
- return 1558;
+ return 1560;
}
}
else
@@ -11442,7 +11464,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x10110xxxx10xxxxxxxxxxxxxx
ld1w. */
- return 1590;
+ return 1592;
}
else
{
@@ -11450,7 +11472,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x11110xxxx10xxxxxxxxxxxxxx
ld1d. */
- return 1510;
+ return 1512;
}
}
}
@@ -11465,7 +11487,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10011xxxx10xxxxxxxxxxxxxx
ldnf1sh. */
- return 1704;
+ return 1706;
}
else
{
@@ -11473,7 +11495,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11011xxxx10xxxxxxxxxxxxxx
ldnf1sb. */
- return 1701;
+ return 1703;
}
}
else
@@ -11484,7 +11506,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x10111xxxx10xxxxxxxxxxxxxx
ldnf1w. */
- return 1707;
+ return 1709;
}
else
{
@@ -11492,7 +11514,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01001x11111xxxx10xxxxxxxxxxxxxx
ldnf1d. */
- return 1696;
+ return 1698;
}
}
}
@@ -11515,7 +11537,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10000011xxxxxxxxxxxxxx
add. */
- return 1267;
+ return 1269;
}
else
{
@@ -11523,7 +11545,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx11000011xxxxxxxxxxxxxx
mul. */
- return 1736;
+ return 1738;
}
}
else
@@ -11534,7 +11556,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100011xxxxxxxxxxxxxx
smax. */
- return 1815;
+ return 1817;
}
else
{
@@ -11542,7 +11564,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx11100011xxxxxxxxxxxxxx
dup. */
- return 1359;
+ return 1361;
}
}
}
@@ -11552,7 +11574,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1xx10011xxxxxxxxxxxxxx
sqadd. */
- return 1824;
+ return 1826;
}
}
else
@@ -11563,7 +11585,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1xx01011xxxxxxxxxxxxxx
smin. */
- return 1818;
+ return 1820;
}
else
{
@@ -11571,7 +11593,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1xx11011xxxxxxxxxxxxxx
sqsub. */
- return 1854;
+ return 1856;
}
}
}
@@ -11587,7 +11609,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1x000111xxxxxxxxxxxxxx
sub. */
- return 1936;
+ return 1938;
}
else
{
@@ -11597,7 +11619,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx10100111xxxxxxxxxxxxxx
umax. */
- return 1964;
+ return 1966;
}
else
{
@@ -11605,7 +11627,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx11100111xxxxxxxxxxxxxx
fdup. */
- return 1415;
+ return 1417;
}
}
}
@@ -11615,7 +11637,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1xx10111xxxxxxxxxxxxxx
uqadd. */
- return 1972;
+ return 1974;
}
}
else
@@ -11628,7 +11650,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1x001111xxxxxxxxxxxxxx
subr. */
- return 1938;
+ return 1940;
}
else
{
@@ -11636,7 +11658,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1x101111xxxxxxxxxxxxxx
umin. */
- return 1967;
+ return 1969;
}
}
else
@@ -11645,7 +11667,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
001001x1xx1xx11111xxxxxxxxxxxxxx
uqsub. */
- return 2002;
+ return 2004;
}
}
}
@@ -11662,7 +11684,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1001xxxxx110xxxxxxxxxxxxx
ld2w. */
- return 1598;
+ return 1600;
}
else
{
@@ -11670,7 +11692,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1101xxxxx110xxxxxxxxxxxxx
ld2d. */
- return 1594;
+ return 1596;
}
}
else
@@ -11681,7 +11703,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1011xxxxx110xxxxxxxxxxxxx
ld4w. */
- return 1614;
+ return 1616;
}
else
{
@@ -11689,7 +11711,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1111xxxxx110xxxxxxxxxxxxx
ld4d. */
- return 1610;
+ return 1612;
}
}
}
@@ -11703,7 +11725,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1001xxxxx111xxxxxxxxxxxxx
ld2w. */
- return 1599;
+ return 1601;
}
else
{
@@ -11711,7 +11733,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1101xxxxx111xxxxxxxxxxxxx
ld2d. */
- return 1595;
+ return 1597;
}
}
else
@@ -11722,7 +11744,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1011xxxxx111xxxxxxxxxxxxx
ld4w. */
- return 1615;
+ return 1617;
}
else
{
@@ -11730,7 +11752,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
101001x1111xxxxx111xxxxxxxxxxxxx
ld4d. */
- return 1611;
+ return 1613;
}
}
}
@@ -11749,7 +11771,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx100xxxxxxxxxxxxx
fmad. */
- return 1417;
+ return 1419;
}
else
{
@@ -11757,7 +11779,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx110xxxxxxxxxxxxx
fnmad. */
- return 1447;
+ return 1449;
}
}
else
@@ -11770,7 +11792,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1001xxxxx1x0xxxxxxxxxxxxx
st1w. */
- return 1891;
+ return 1893;
}
else
{
@@ -11778,7 +11800,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1101xxxxx1x0xxxxxxxxxxxxx
st1d. */
- return 1870;
+ return 1872;
}
}
else
@@ -11787,7 +11809,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1x11xxxxx1x0xxxxxxxxxxxxx
st1w. */
- return 1896;
+ return 1898;
}
}
}
@@ -11801,7 +11823,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx101xxxxxxxxxxxxx
fmsb. */
- return 1438;
+ return 1440;
}
else
{
@@ -11813,7 +11835,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1001xxxxx101xxxxxxxxxxxxx
st1w. */
- return 1892;
+ return 1894;
}
else
{
@@ -11821,7 +11843,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1101xxxxx101xxxxxxxxxxxxx
st1d. */
- return 1871;
+ return 1873;
}
}
else
@@ -11830,7 +11852,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x1x11xxxxx101xxxxxxxxxxxxx
st1w. */
- return 1899;
+ return 1901;
}
}
}
@@ -11842,7 +11864,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
011001x1xx1xxxxx111xxxxxxxxxxxxx
fnmsb. */
- return 1450;
+ return 1452;
}
else
{
@@ -11854,7 +11876,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x10x10xxxx111xxxxxxxxxxxxx
st1w. */
- return 1900;
+ return 1902;
}
else
{
@@ -11862,7 +11884,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x11x10xxxx111xxxxxxxxxxxxx
st1d. */
- return 1874;
+ return 1876;
}
}
else
@@ -11875,7 +11897,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x10011xxxx111xxxxxxxxxxxxx
st2w. */
- return 1908;
+ return 1910;
}
else
{
@@ -11883,7 +11905,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x11011xxxx111xxxxxxxxxxxxx
st2d. */
- return 1904;
+ return 1906;
}
}
else
@@ -11894,7 +11916,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x10111xxxx111xxxxxxxxxxxxx
st4w. */
- return 1924;
+ return 1926;
}
else
{
@@ -11902,7 +11924,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
111001x11111xxxx111xxxxxxxxxxxxx
st4d. */
- return 1920;
+ return 1922;
}
}
}
@@ -12219,7 +12241,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1010101xx1xxxxxxxxxxxxxxxxxxxxx
sysl. */
- return 1218;
+ return 1220;
}
else
{
@@ -12262,7 +12284,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx110110xxxxxxxxxxxxxxxxxxxxxxxx
tbz. */
- return 1228;
+ return 1230;
}
}
else
@@ -12281,7 +12303,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx110111xxxxxxxxxxxxxxxxxxxxxxxx
tbnz. */
- return 1229;
+ return 1231;
}
}
}
@@ -12313,7 +12335,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110000xxxxxxxxxxxxxxxxxxxxxx
stnp. */
- return 969;
+ return 971;
}
}
else
@@ -12365,7 +12387,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110100xxxxxxxxxxxxxxxxxxxxxx
stp. */
- return 973;
+ return 975;
}
}
}
@@ -12429,7 +12451,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110x10xxxxxxxxxxxxxxxxxxxxxx
stp. */
- return 979;
+ return 981;
}
}
}
@@ -12453,7 +12475,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110001xxxxxxxxxxxxxxxxxxxxxx
ldnp. */
- return 970;
+ return 972;
}
}
else
@@ -12505,7 +12527,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110101xxxxxxxxxxxxxxxxxxxxxx
ldp. */
- return 974;
+ return 976;
}
}
}
@@ -12569,7 +12591,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx10110x11xxxxxxxxxxxxxxxxxxxxxx
ldp. */
- return 980;
+ return 982;
}
}
}
@@ -12584,7 +12606,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx011100xxxxxxxxxxxxxxxxxxxxxxxx
ldr. */
- return 984;
+ return 986;
}
else
{
@@ -12842,7 +12864,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
0x001110xx0xxxxx1x0101xxxxxxxxxx
sdot. */
- return 2039;
+ return 2041;
}
}
else
@@ -12974,7 +12996,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110000xxxxxxxxxxxxxxxxxxxxx
eor3. */
- return 2046;
+ return 2048;
}
else
{
@@ -12982,7 +13004,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110100xxxxxxxxxxxxxxxxxxxxx
xar. */
- return 2048;
+ return 2050;
}
}
else
@@ -12993,7 +13015,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110x10xxxxx0xxxxxxxxxxxxxxx
sm3ss1. */
- return 2050;
+ return 2052;
}
else
{
@@ -13007,7 +13029,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110010xxxxx1xxx00xxxxxxxxxx
sm3tt1a. */
- return 2051;
+ return 2053;
}
else
{
@@ -13015,7 +13037,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110110xxxxx1xxx00xxxxxxxxxx
sha512su0. */
- return 2044;
+ return 2046;
}
}
else
@@ -13024,7 +13046,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110x10xxxxx1xxx10xxxxxxxxxx
sm3tt2a. */
- return 2053;
+ return 2055;
}
}
else
@@ -13037,7 +13059,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110010xxxxx1xxx01xxxxxxxxxx
sm3tt1b. */
- return 2052;
+ return 2054;
}
else
{
@@ -13045,7 +13067,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110110xxxxx1xxx01xxxxxxxxxx
sm4e. */
- return 2057;
+ return 2059;
}
}
else
@@ -13054,7 +13076,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110x10xxxxx1xxx11xxxxxxxxxx
sm3tt2b. */
- return 2054;
+ return 2056;
}
}
}
@@ -13235,7 +13257,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx101110xx0xxxxx100101xxxxxxxxxx
udot. */
- return 2038;
+ return 2040;
}
}
else
@@ -14146,7 +14168,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110xx1xxxxx0xxxxxxxxxxxxxxx
bcax. */
- return 2049;
+ return 2051;
}
}
else
@@ -14757,7 +14779,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
11001110xx1xxxxx100000xxxxxxxxxx
sha512h. */
- return 2042;
+ return 2044;
}
}
}
@@ -14809,7 +14831,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
11001110xx1xxxxx110000xxxxxxxxxx
sm3partw1. */
- return 2055;
+ return 2057;
}
}
}
@@ -15052,7 +15074,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110xx1xxxxx100010xxxxxxxxxx
sha512su1. */
- return 2045;
+ return 2047;
}
}
else
@@ -15128,7 +15150,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x0011100x1xxxxx110010xxxxxxxxxx
sm4ekey. */
- return 2058;
+ return 2060;
}
}
else
@@ -15954,7 +15976,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110xx1xxxxx100001xxxxxxxxxx
sha512h2. */
- return 2043;
+ return 2045;
}
}
else
@@ -15986,7 +16008,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x0011100x1xxxxx110001xxxxxxxxxx
sm3partw2. */
- return 2056;
+ return 2058;
}
}
else
@@ -16226,7 +16248,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
1x001110xx1xxxxx100011xxxxxxxxxx
rax1. */
- return 2047;
+ return 2049;
}
}
else
@@ -16258,7 +16280,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01011100x1xxxxx110011xxxxxxxxxx
fmlal2. */
- return 2061;
+ return 2063;
}
else
{
@@ -16266,7 +16288,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11011100x1xxxxx110011xxxxxxxxxx
fmlal2. */
- return 2065;
+ return 2067;
}
}
}
@@ -16288,7 +16310,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x01011101x1xxxxx110011xxxxxxxxxx
fmlsl2. */
- return 2062;
+ return 2064;
}
else
{
@@ -16296,7 +16318,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x11011101x1xxxxx110011xxxxxxxxxx
fmlsl2. */
- return 2066;
+ return 2068;
}
}
}
@@ -16335,7 +16357,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00011100x1xxxxx111011xxxxxxxxxx
fmlal. */
- return 2059;
+ return 2061;
}
else
{
@@ -16343,7 +16365,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10011100x1xxxxx111011xxxxxxxxxx
fmlal. */
- return 2063;
+ return 2065;
}
}
else
@@ -16365,7 +16387,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x00011101x1xxxxx111011xxxxxxxxxx
fmlsl. */
- return 2060;
+ return 2062;
}
else
{
@@ -16373,7 +16395,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x10011101x1xxxxx111011xxxxxxxxxx
fmlsl. */
- return 2064;
+ return 2066;
}
}
else
@@ -18181,7 +18203,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0001111xxxxxxxx0000x0xxxxxxxxxx
fmlal. */
- return 2067;
+ return 2069;
}
else
{
@@ -18189,7 +18211,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1001111xxxxxxxx0000x0xxxxxxxxxx
fmlal. */
- return 2071;
+ return 2073;
}
}
else
@@ -18211,7 +18233,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0001111xxxxxxxx0100x0xxxxxxxxxx
fmlsl. */
- return 2068;
+ return 2070;
}
else
{
@@ -18219,7 +18241,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1001111xxxxxxxx0100x0xxxxxxxxxx
fmlsl. */
- return 2072;
+ return 2074;
}
}
else
@@ -18725,7 +18747,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0101111xxxxxxxx1000x0xxxxxxxxxx
fmlal2. */
- return 2069;
+ return 2071;
}
else
{
@@ -18733,7 +18755,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1101111xxxxxxxx1000x0xxxxxxxxxx
fmlal2. */
- return 2073;
+ return 2075;
}
}
}
@@ -18755,7 +18777,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x0101111xxxxxxxx1100x0xxxxxxxxxx
fmlsl2. */
- return 2070;
+ return 2072;
}
else
{
@@ -18763,7 +18785,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
x1101111xxxxxxxx1100x0xxxxxxxxxx
fmlsl2. */
- return 2074;
+ return 2076;
}
}
}
@@ -18819,7 +18841,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx001111xxxxxxxx1110x0xxxxxxxxxx
sdot. */
- return 2041;
+ return 2043;
}
else
{
@@ -18827,7 +18849,7 @@ aarch64_opcode_lookup_1 (uint32_t word)
10987654321098765432109876543210
xx101111xxxxxxxx1110x0xxxxxxxxxx
udot. */
- return 2040;
+ return 2042;
}
}
}
@@ -19403,58 +19425,58 @@ aarch64_find_next_opcode (const aarch64_opcode *opcode)
case 19: return NULL; /* addg --> NULL. */
case 16: value = 20; break; /* sub --> subg. */
case 20: return NULL; /* subg --> NULL. */
- case 967: value = 971; break; /* stnp --> stp. */
- case 971: return NULL; /* stp --> NULL. */
- case 965: value = 966; break; /* stllrb --> stllrh. */
- case 966: return NULL; /* stllrh --> NULL. */
- case 968: value = 972; break; /* ldnp --> ldp. */
- case 972: return NULL; /* ldp --> NULL. */
- case 1617: value = 1618; break; /* ldff1b --> ldff1b. */
- case 1618: return NULL; /* ldff1b --> NULL. */
- case 1673: value = 1674; break; /* ldff1sw --> ldff1sw. */
- case 1674: return NULL; /* ldff1sw --> NULL. */
- case 1621: value = 1622; break; /* ldff1b --> ldff1b. */
- case 1622: return NULL; /* ldff1b --> NULL. */
- case 1640: value = 1641; break; /* ldff1h --> ldff1h. */
- case 1641: return NULL; /* ldff1h --> NULL. */
+ case 969: value = 973; break; /* stnp --> stp. */
+ case 973: return NULL; /* stp --> NULL. */
+ case 967: value = 968; break; /* stllrb --> stllrh. */
+ case 968: return NULL; /* stllrh --> NULL. */
+ case 970: value = 974; break; /* ldnp --> ldp. */
+ case 974: return NULL; /* ldp --> NULL. */
case 1619: value = 1620; break; /* ldff1b --> ldff1b. */
case 1620: return NULL; /* ldff1b --> NULL. */
- case 1638: value = 1639; break; /* ldff1h --> ldff1h. */
- case 1639: return NULL; /* ldff1h --> NULL. */
+ case 1675: value = 1676; break; /* ldff1sw --> ldff1sw. */
+ case 1676: return NULL; /* ldff1sw --> NULL. */
case 1623: value = 1624; break; /* ldff1b --> ldff1b. */
case 1624: return NULL; /* ldff1b --> NULL. */
case 1642: value = 1643; break; /* ldff1h --> ldff1h. */
case 1643: return NULL; /* ldff1h --> NULL. */
- case 1663: value = 1664; break; /* ldff1sh --> ldff1sh. */
- case 1664: return NULL; /* ldff1sh --> NULL. */
- case 1651: value = 1652; break; /* ldff1sb --> ldff1sb. */
- case 1652: return NULL; /* ldff1sb --> NULL. */
- case 1682: value = 1683; break; /* ldff1w --> ldff1w. */
- case 1683: return NULL; /* ldff1w --> NULL. */
- case 1655: value = 1656; break; /* ldff1sb --> ldff1sb. */
- case 1656: return NULL; /* ldff1sb --> NULL. */
+ case 1621: value = 1622; break; /* ldff1b --> ldff1b. */
+ case 1622: return NULL; /* ldff1b --> NULL. */
+ case 1640: value = 1641; break; /* ldff1h --> ldff1h. */
+ case 1641: return NULL; /* ldff1h --> NULL. */
+ case 1625: value = 1626; break; /* ldff1b --> ldff1b. */
+ case 1626: return NULL; /* ldff1b --> NULL. */
+ case 1644: value = 1645; break; /* ldff1h --> ldff1h. */
+ case 1645: return NULL; /* ldff1h --> NULL. */
case 1665: value = 1666; break; /* ldff1sh --> ldff1sh. */
case 1666: return NULL; /* ldff1sh --> NULL. */
case 1653: value = 1654; break; /* ldff1sb --> ldff1sb. */
case 1654: return NULL; /* ldff1sb --> NULL. */
case 1684: value = 1685; break; /* ldff1w --> ldff1w. */
case 1685: return NULL; /* ldff1w --> NULL. */
- case 1629: value = 1630; break; /* ldff1d --> ldff1d. */
- case 1630: return NULL; /* ldff1d --> NULL. */
+ case 1657: value = 1658; break; /* ldff1sb --> ldff1sb. */
+ case 1658: return NULL; /* ldff1sb --> NULL. */
+ case 1667: value = 1668; break; /* ldff1sh --> ldff1sh. */
+ case 1668: return NULL; /* ldff1sh --> NULL. */
+ case 1655: value = 1656; break; /* ldff1sb --> ldff1sb. */
+ case 1656: return NULL; /* ldff1sb --> NULL. */
+ case 1686: value = 1687; break; /* ldff1w --> ldff1w. */
+ case 1687: return NULL; /* ldff1w --> NULL. */
+ case 1631: value = 1632; break; /* ldff1d --> ldff1d. */
+ case 1632: return NULL; /* ldff1d --> NULL. */
case 810: value = 811; break; /* xaflag --> axflag. */
- case 811: value = 1185; break; /* axflag --> msr. */
- case 1185: value = 1186; break; /* msr --> hint. */
- case 1186: value = 1202; break; /* hint --> clrex. */
- case 1202: value = 1203; break; /* clrex --> dsb. */
- case 1203: value = 1206; break; /* dsb --> dmb. */
- case 1206: value = 1207; break; /* dmb --> isb. */
- case 1207: value = 1208; break; /* isb --> sb. */
- case 1208: value = 1209; break; /* sb --> sys. */
- case 1209: value = 1217; break; /* sys --> msr. */
- case 1217: value = 2075; break; /* msr --> cfinv. */
- case 2075: return NULL; /* cfinv --> NULL. */
- case 1218: value = 1219; break; /* sysl --> mrs. */
- case 1219: return NULL; /* mrs --> NULL. */
+ case 811: value = 1187; break; /* axflag --> msr. */
+ case 1187: value = 1188; break; /* msr --> hint. */
+ case 1188: value = 1204; break; /* hint --> clrex. */
+ case 1204: value = 1205; break; /* clrex --> dsb. */
+ case 1205: value = 1208; break; /* dsb --> dmb. */
+ case 1208: value = 1209; break; /* dmb --> isb. */
+ case 1209: value = 1210; break; /* isb --> sb. */
+ case 1210: value = 1211; break; /* sb --> sys. */
+ case 1211: value = 1219; break; /* sys --> msr. */
+ case 1219: value = 2077; break; /* msr --> cfinv. */
+ case 2077: return NULL; /* cfinv --> NULL. */
+ case 1220: value = 1221; break; /* sysl --> mrs. */
+ case 1221: return NULL; /* mrs --> NULL. */
case 440: value = 441; break; /* st4 --> st1. */
case 441: value = 442; break; /* st1 --> st2. */
case 442: value = 443; break; /* st2 --> st3. */
@@ -19694,94 +19716,94 @@ aarch64_find_alias_opcode (const aarch64_opcode *opcode)
case 744: value = 745; break; /* umaddl --> umull. */
case 746: value = 747; break; /* umsubl --> umnegl. */
case 757: value = 758; break; /* extr --> ror. */
- case 987: value = 988; break; /* and --> bic. */
- case 989: value = 990; break; /* orr --> mov. */
- case 992: value = 993; break; /* ands --> tst. */
- case 996: value = 998; break; /* orr --> uxtw. */
- case 999: value = 1000; break; /* orn --> mvn. */
- case 1003: value = 1004; break; /* ands --> tst. */
- case 1034: value = 1130; break; /* ldaddb --> staddb. */
- case 1035: value = 1131; break; /* ldaddh --> staddh. */
- case 1036: value = 1132; break; /* ldadd --> stadd. */
- case 1038: value = 1133; break; /* ldaddlb --> staddlb. */
- case 1041: value = 1134; break; /* ldaddlh --> staddlh. */
- case 1044: value = 1135; break; /* ldaddl --> staddl. */
- case 1046: value = 1136; break; /* ldclrb --> stclrb. */
- case 1047: value = 1137; break; /* ldclrh --> stclrh. */
- case 1048: value = 1138; break; /* ldclr --> stclr. */
- case 1050: value = 1139; break; /* ldclrlb --> stclrlb. */
- case 1053: value = 1140; break; /* ldclrlh --> stclrlh. */
- case 1056: value = 1141; break; /* ldclrl --> stclrl. */
- case 1058: value = 1142; break; /* ldeorb --> steorb. */
- case 1059: value = 1143; break; /* ldeorh --> steorh. */
- case 1060: value = 1144; break; /* ldeor --> steor. */
- case 1062: value = 1145; break; /* ldeorlb --> steorlb. */
- case 1065: value = 1146; break; /* ldeorlh --> steorlh. */
- case 1068: value = 1147; break; /* ldeorl --> steorl. */
- case 1070: value = 1148; break; /* ldsetb --> stsetb. */
- case 1071: value = 1149; break; /* ldseth --> stseth. */
- case 1072: value = 1150; break; /* ldset --> stset. */
- case 1074: value = 1151; break; /* ldsetlb --> stsetlb. */
- case 1077: value = 1152; break; /* ldsetlh --> stsetlh. */
- case 1080: value = 1153; break; /* ldsetl --> stsetl. */
- case 1082: value = 1154; break; /* ldsmaxb --> stsmaxb. */
- case 1083: value = 1155; break; /* ldsmaxh --> stsmaxh. */
- case 1084: value = 1156; break; /* ldsmax --> stsmax. */
- case 1086: value = 1157; break; /* ldsmaxlb --> stsmaxlb. */
- case 1089: value = 1158; break; /* ldsmaxlh --> stsmaxlh. */
- case 1092: value = 1159; break; /* ldsmaxl --> stsmaxl. */
- case 1094: value = 1160; break; /* ldsminb --> stsminb. */
- case 1095: value = 1161; break; /* ldsminh --> stsminh. */
- case 1096: value = 1162; break; /* ldsmin --> stsmin. */
- case 1098: value = 1163; break; /* ldsminlb --> stsminlb. */
- case 1101: value = 1164; break; /* ldsminlh --> stsminlh. */
- case 1104: value = 1165; break; /* ldsminl --> stsminl. */
- case 1106: value = 1166; break; /* ldumaxb --> stumaxb. */
- case 1107: value = 1167; break; /* ldumaxh --> stumaxh. */
- case 1108: value = 1168; break; /* ldumax --> stumax. */
- case 1110: value = 1169; break; /* ldumaxlb --> stumaxlb. */
- case 1113: value = 1170; break; /* ldumaxlh --> stumaxlh. */
- case 1116: value = 1171; break; /* ldumaxl --> stumaxl. */
- case 1118: value = 1172; break; /* lduminb --> stuminb. */
- case 1119: value = 1173; break; /* lduminh --> stuminh. */
- case 1120: value = 1174; break; /* ldumin --> stumin. */
- case 1122: value = 1175; break; /* lduminlb --> stuminlb. */
- case 1125: value = 1176; break; /* lduminlh --> stuminlh. */
- case 1128: value = 1177; break; /* lduminl --> stuminl. */
- case 1178: value = 1179; break; /* movn --> mov. */
- case 1180: value = 1181; break; /* movz --> mov. */
- case 1186: value = 1227; break; /* hint --> autibsp. */
- case 1203: value = 1205; break; /* dsb --> pssbb. */
- case 1209: value = 1216; break; /* sys --> cpp. */
- case 1275: value = 2025; break; /* and --> bic. */
- case 1277: value = 1258; break; /* and --> mov. */
- case 1278: value = 1262; break; /* ands --> movs. */
- case 1313: value = 2026; break; /* cmpge --> cmple. */
- case 1316: value = 2029; break; /* cmpgt --> cmplt. */
- case 1318: value = 2027; break; /* cmphi --> cmplo. */
- case 1321: value = 2028; break; /* cmphs --> cmpls. */
- case 1343: value = 1255; break; /* cpy --> mov. */
- case 1344: value = 1257; break; /* cpy --> mov. */
- case 1345: value = 2036; break; /* cpy --> fmov. */
- case 1357: value = 1250; break; /* dup --> mov. */
- case 1358: value = 1252; break; /* dup --> mov. */
- case 1359: value = 2035; break; /* dup --> fmov. */
- case 1360: value = 1253; break; /* dupm --> mov. */
- case 1362: value = 2030; break; /* eor --> eon. */
- case 1364: value = 1263; break; /* eor --> not. */
- case 1365: value = 1264; break; /* eors --> nots. */
- case 1370: value = 2031; break; /* facge --> facle. */
- case 1371: value = 2032; break; /* facgt --> faclt. */
- case 1384: value = 2033; break; /* fcmge --> fcmle. */
- case 1386: value = 2034; break; /* fcmgt --> fcmlt. */
- case 1392: value = 1247; break; /* fcpy --> fmov. */
- case 1415: value = 1246; break; /* fdup --> fmov. */
- case 1746: value = 1248; break; /* orr --> mov. */
- case 1747: value = 2037; break; /* orr --> orn. */
- case 1749: value = 1251; break; /* orr --> mov. */
- case 1750: value = 1261; break; /* orrs --> movs. */
- case 1812: value = 1256; break; /* sel --> mov. */
- case 1813: value = 1259; break; /* sel --> mov. */
+ case 989: value = 990; break; /* and --> bic. */
+ case 991: value = 992; break; /* orr --> mov. */
+ case 994: value = 995; break; /* ands --> tst. */
+ case 998: value = 1000; break; /* orr --> uxtw. */
+ case 1001: value = 1002; break; /* orn --> mvn. */
+ case 1005: value = 1006; break; /* ands --> tst. */
+ case 1036: value = 1132; break; /* ldaddb --> staddb. */
+ case 1037: value = 1133; break; /* ldaddh --> staddh. */
+ case 1038: value = 1134; break; /* ldadd --> stadd. */
+ case 1040: value = 1135; break; /* ldaddlb --> staddlb. */
+ case 1043: value = 1136; break; /* ldaddlh --> staddlh. */
+ case 1046: value = 1137; break; /* ldaddl --> staddl. */
+ case 1048: value = 1138; break; /* ldclrb --> stclrb. */
+ case 1049: value = 1139; break; /* ldclrh --> stclrh. */
+ case 1050: value = 1140; break; /* ldclr --> stclr. */
+ case 1052: value = 1141; break; /* ldclrlb --> stclrlb. */
+ case 1055: value = 1142; break; /* ldclrlh --> stclrlh. */
+ case 1058: value = 1143; break; /* ldclrl --> stclrl. */
+ case 1060: value = 1144; break; /* ldeorb --> steorb. */
+ case 1061: value = 1145; break; /* ldeorh --> steorh. */
+ case 1062: value = 1146; break; /* ldeor --> steor. */
+ case 1064: value = 1147; break; /* ldeorlb --> steorlb. */
+ case 1067: value = 1148; break; /* ldeorlh --> steorlh. */
+ case 1070: value = 1149; break; /* ldeorl --> steorl. */
+ case 1072: value = 1150; break; /* ldsetb --> stsetb. */
+ case 1073: value = 1151; break; /* ldseth --> stseth. */
+ case 1074: value = 1152; break; /* ldset --> stset. */
+ case 1076: value = 1153; break; /* ldsetlb --> stsetlb. */
+ case 1079: value = 1154; break; /* ldsetlh --> stsetlh. */
+ case 1082: value = 1155; break; /* ldsetl --> stsetl. */
+ case 1084: value = 1156; break; /* ldsmaxb --> stsmaxb. */
+ case 1085: value = 1157; break; /* ldsmaxh --> stsmaxh. */
+ case 1086: value = 1158; break; /* ldsmax --> stsmax. */
+ case 1088: value = 1159; break; /* ldsmaxlb --> stsmaxlb. */
+ case 1091: value = 1160; break; /* ldsmaxlh --> stsmaxlh. */
+ case 1094: value = 1161; break; /* ldsmaxl --> stsmaxl. */
+ case 1096: value = 1162; break; /* ldsminb --> stsminb. */
+ case 1097: value = 1163; break; /* ldsminh --> stsminh. */
+ case 1098: value = 1164; break; /* ldsmin --> stsmin. */
+ case 1100: value = 1165; break; /* ldsminlb --> stsminlb. */
+ case 1103: value = 1166; break; /* ldsminlh --> stsminlh. */
+ case 1106: value = 1167; break; /* ldsminl --> stsminl. */
+ case 1108: value = 1168; break; /* ldumaxb --> stumaxb. */
+ case 1109: value = 1169; break; /* ldumaxh --> stumaxh. */
+ case 1110: value = 1170; break; /* ldumax --> stumax. */
+ case 1112: value = 1171; break; /* ldumaxlb --> stumaxlb. */
+ case 1115: value = 1172; break; /* ldumaxlh --> stumaxlh. */
+ case 1118: value = 1173; break; /* ldumaxl --> stumaxl. */
+ case 1120: value = 1174; break; /* lduminb --> stuminb. */
+ case 1121: value = 1175; break; /* lduminh --> stuminh. */
+ case 1122: value = 1176; break; /* ldumin --> stumin. */
+ case 1124: value = 1177; break; /* lduminlb --> stuminlb. */
+ case 1127: value = 1178; break; /* lduminlh --> stuminlh. */
+ case 1130: value = 1179; break; /* lduminl --> stuminl. */
+ case 1180: value = 1181; break; /* movn --> mov. */
+ case 1182: value = 1183; break; /* movz --> mov. */
+ case 1188: value = 1229; break; /* hint --> autibsp. */
+ case 1205: value = 1207; break; /* dsb --> pssbb. */
+ case 1211: value = 1218; break; /* sys --> cpp. */
+ case 1277: value = 2027; break; /* and --> bic. */
+ case 1279: value = 1260; break; /* and --> mov. */
+ case 1280: value = 1264; break; /* ands --> movs. */
+ case 1315: value = 2028; break; /* cmpge --> cmple. */
+ case 1318: value = 2031; break; /* cmpgt --> cmplt. */
+ case 1320: value = 2029; break; /* cmphi --> cmplo. */
+ case 1323: value = 2030; break; /* cmphs --> cmpls. */
+ case 1345: value = 1257; break; /* cpy --> mov. */
+ case 1346: value = 1259; break; /* cpy --> mov. */
+ case 1347: value = 2038; break; /* cpy --> fmov. */
+ case 1359: value = 1252; break; /* dup --> mov. */
+ case 1360: value = 1254; break; /* dup --> mov. */
+ case 1361: value = 2037; break; /* dup --> fmov. */
+ case 1362: value = 1255; break; /* dupm --> mov. */
+ case 1364: value = 2032; break; /* eor --> eon. */
+ case 1366: value = 1265; break; /* eor --> not. */
+ case 1367: value = 1266; break; /* eors --> nots. */
+ case 1372: value = 2033; break; /* facge --> facle. */
+ case 1373: value = 2034; break; /* facgt --> faclt. */
+ case 1386: value = 2035; break; /* fcmge --> fcmle. */
+ case 1388: value = 2036; break; /* fcmgt --> fcmlt. */
+ case 1394: value = 1249; break; /* fcpy --> fmov. */
+ case 1417: value = 1248; break; /* fdup --> fmov. */
+ case 1748: value = 1250; break; /* orr --> mov. */
+ case 1749: value = 2039; break; /* orr --> orn. */
+ case 1751: value = 1253; break; /* orr --> mov. */
+ case 1752: value = 1263; break; /* orrs --> movs. */
+ case 1814: value = 1258; break; /* sel --> mov. */
+ case 1815: value = 1261; break; /* sel --> mov. */
default: return NULL;
}
@@ -19850,127 +19872,127 @@ aarch64_find_next_alias_opcode (const aarch64_opcode *opcode)
case 745: value = 744; break; /* umull --> umaddl. */
case 747: value = 746; break; /* umnegl --> umsubl. */
case 758: value = 757; break; /* ror --> extr. */
- case 988: value = 987; break; /* bic --> and. */
- case 990: value = 989; break; /* mov --> orr. */
- case 993: value = 992; break; /* tst --> ands. */
- case 998: value = 997; break; /* uxtw --> mov. */
- case 997: value = 996; break; /* mov --> orr. */
- case 1000: value = 999; break; /* mvn --> orn. */
- case 1004: value = 1003; break; /* tst --> ands. */
- case 1130: value = 1034; break; /* staddb --> ldaddb. */
- case 1131: value = 1035; break; /* staddh --> ldaddh. */
- case 1132: value = 1036; break; /* stadd --> ldadd. */
- case 1133: value = 1038; break; /* staddlb --> ldaddlb. */
- case 1134: value = 1041; break; /* staddlh --> ldaddlh. */
- case 1135: value = 1044; break; /* staddl --> ldaddl. */
- case 1136: value = 1046; break; /* stclrb --> ldclrb. */
- case 1137: value = 1047; break; /* stclrh --> ldclrh. */
- case 1138: value = 1048; break; /* stclr --> ldclr. */
- case 1139: value = 1050; break; /* stclrlb --> ldclrlb. */
- case 1140: value = 1053; break; /* stclrlh --> ldclrlh. */
- case 1141: value = 1056; break; /* stclrl --> ldclrl. */
- case 1142: value = 1058; break; /* steorb --> ldeorb. */
- case 1143: value = 1059; break; /* steorh --> ldeorh. */
- case 1144: value = 1060; break; /* steor --> ldeor. */
- case 1145: value = 1062; break; /* steorlb --> ldeorlb. */
- case 1146: value = 1065; break; /* steorlh --> ldeorlh. */
- case 1147: value = 1068; break; /* steorl --> ldeorl. */
- case 1148: value = 1070; break; /* stsetb --> ldsetb. */
- case 1149: value = 1071; break; /* stseth --> ldseth. */
- case 1150: value = 1072; break; /* stset --> ldset. */
- case 1151: value = 1074; break; /* stsetlb --> ldsetlb. */
- case 1152: value = 1077; break; /* stsetlh --> ldsetlh. */
- case 1153: value = 1080; break; /* stsetl --> ldsetl. */
- case 1154: value = 1082; break; /* stsmaxb --> ldsmaxb. */
- case 1155: value = 1083; break; /* stsmaxh --> ldsmaxh. */
- case 1156: value = 1084; break; /* stsmax --> ldsmax. */
- case 1157: value = 1086; break; /* stsmaxlb --> ldsmaxlb. */
- case 1158: value = 1089; break; /* stsmaxlh --> ldsmaxlh. */
- case 1159: value = 1092; break; /* stsmaxl --> ldsmaxl. */
- case 1160: value = 1094; break; /* stsminb --> ldsminb. */
- case 1161: value = 1095; break; /* stsminh --> ldsminh. */
- case 1162: value = 1096; break; /* stsmin --> ldsmin. */
- case 1163: value = 1098; break; /* stsminlb --> ldsminlb. */
- case 1164: value = 1101; break; /* stsminlh --> ldsminlh. */
- case 1165: value = 1104; break; /* stsminl --> ldsminl. */
- case 1166: value = 1106; break; /* stumaxb --> ldumaxb. */
- case 1167: value = 1107; break; /* stumaxh --> ldumaxh. */
- case 1168: value = 1108; break; /* stumax --> ldumax. */
- case 1169: value = 1110; break; /* stumaxlb --> ldumaxlb. */
- case 1170: value = 1113; break; /* stumaxlh --> ldumaxlh. */
- case 1171: value = 1116; break; /* stumaxl --> ldumaxl. */
- case 1172: value = 1118; break; /* stuminb --> lduminb. */
- case 1173: value = 1119; break; /* stuminh --> lduminh. */
- case 1174: value = 1120; break; /* stumin --> ldumin. */
- case 1175: value = 1122; break; /* stuminlb --> lduminlb. */
- case 1176: value = 1125; break; /* stuminlh --> lduminlh. */
- case 1177: value = 1128; break; /* stuminl --> lduminl. */
- case 1179: value = 1178; break; /* mov --> movn. */
- case 1181: value = 1180; break; /* mov --> movz. */
- case 1227: value = 1226; break; /* autibsp --> autibz. */
- case 1226: value = 1225; break; /* autibz --> autiasp. */
- case 1225: value = 1224; break; /* autiasp --> autiaz. */
- case 1224: value = 1223; break; /* autiaz --> pacibsp. */
- case 1223: value = 1222; break; /* pacibsp --> pacibz. */
- case 1222: value = 1221; break; /* pacibz --> paciasp. */
- case 1221: value = 1220; break; /* paciasp --> paciaz. */
- case 1220: value = 1201; break; /* paciaz --> psb. */
- case 1201: value = 1200; break; /* psb --> esb. */
- case 1200: value = 1199; break; /* esb --> autib1716. */
- case 1199: value = 1198; break; /* autib1716 --> autia1716. */
- case 1198: value = 1197; break; /* autia1716 --> pacib1716. */
- case 1197: value = 1196; break; /* pacib1716 --> pacia1716. */
- case 1196: value = 1195; break; /* pacia1716 --> xpaclri. */
- case 1195: value = 1194; break; /* xpaclri --> sevl. */
- case 1194: value = 1193; break; /* sevl --> sev. */
- case 1193: value = 1192; break; /* sev --> wfi. */
- case 1192: value = 1191; break; /* wfi --> wfe. */
- case 1191: value = 1190; break; /* wfe --> yield. */
- case 1190: value = 1189; break; /* yield --> bti. */
- case 1189: value = 1188; break; /* bti --> csdb. */
- case 1188: value = 1187; break; /* csdb --> nop. */
- case 1187: value = 1186; break; /* nop --> hint. */
- case 1205: value = 1204; break; /* pssbb --> ssbb. */
- case 1204: value = 1203; break; /* ssbb --> dsb. */
- case 1216: value = 1215; break; /* cpp --> dvp. */
- case 1215: value = 1214; break; /* dvp --> cfp. */
- case 1214: value = 1213; break; /* cfp --> tlbi. */
- case 1213: value = 1212; break; /* tlbi --> ic. */
- case 1212: value = 1211; break; /* ic --> dc. */
- case 1211: value = 1210; break; /* dc --> at. */
- case 1210: value = 1209; break; /* at --> sys. */
- case 2025: value = 1275; break; /* bic --> and. */
- case 1258: value = 1277; break; /* mov --> and. */
- case 1262: value = 1278; break; /* movs --> ands. */
- case 2026: value = 1313; break; /* cmple --> cmpge. */
- case 2029: value = 1316; break; /* cmplt --> cmpgt. */
- case 2027: value = 1318; break; /* cmplo --> cmphi. */
- case 2028: value = 1321; break; /* cmpls --> cmphs. */
- case 1255: value = 1343; break; /* mov --> cpy. */
- case 1257: value = 1344; break; /* mov --> cpy. */
- case 2036: value = 1260; break; /* fmov --> mov. */
- case 1260: value = 1345; break; /* mov --> cpy. */
- case 1250: value = 1357; break; /* mov --> dup. */
- case 1252: value = 1249; break; /* mov --> mov. */
- case 1249: value = 1358; break; /* mov --> dup. */
- case 2035: value = 1254; break; /* fmov --> mov. */
- case 1254: value = 1359; break; /* mov --> dup. */
- case 1253: value = 1360; break; /* mov --> dupm. */
- case 2030: value = 1362; break; /* eon --> eor. */
- case 1263: value = 1364; break; /* not --> eor. */
- case 1264: value = 1365; break; /* nots --> eors. */
- case 2031: value = 1370; break; /* facle --> facge. */
- case 2032: value = 1371; break; /* faclt --> facgt. */
- case 2033: value = 1384; break; /* fcmle --> fcmge. */
- case 2034: value = 1386; break; /* fcmlt --> fcmgt. */
- case 1247: value = 1392; break; /* fmov --> fcpy. */
- case 1246: value = 1415; break; /* fmov --> fdup. */
- case 1248: value = 1746; break; /* mov --> orr. */
- case 2037: value = 1747; break; /* orn --> orr. */
- case 1251: value = 1749; break; /* mov --> orr. */
- case 1261: value = 1750; break; /* movs --> orrs. */
- case 1256: value = 1812; break; /* mov --> sel. */
- case 1259: value = 1813; break; /* mov --> sel. */
+ case 990: value = 989; break; /* bic --> and. */
+ case 992: value = 991; break; /* mov --> orr. */
+ case 995: value = 994; break; /* tst --> ands. */
+ case 1000: value = 999; break; /* uxtw --> mov. */
+ case 999: value = 998; break; /* mov --> orr. */
+ case 1002: value = 1001; break; /* mvn --> orn. */
+ case 1006: value = 1005; break; /* tst --> ands. */
+ case 1132: value = 1036; break; /* staddb --> ldaddb. */
+ case 1133: value = 1037; break; /* staddh --> ldaddh. */
+ case 1134: value = 1038; break; /* stadd --> ldadd. */
+ case 1135: value = 1040; break; /* staddlb --> ldaddlb. */
+ case 1136: value = 1043; break; /* staddlh --> ldaddlh. */
+ case 1137: value = 1046; break; /* staddl --> ldaddl. */
+ case 1138: value = 1048; break; /* stclrb --> ldclrb. */
+ case 1139: value = 1049; break; /* stclrh --> ldclrh. */
+ case 1140: value = 1050; break; /* stclr --> ldclr. */
+ case 1141: value = 1052; break; /* stclrlb --> ldclrlb. */
+ case 1142: value = 1055; break; /* stclrlh --> ldclrlh. */
+ case 1143: value = 1058; break; /* stclrl --> ldclrl. */
+ case 1144: value = 1060; break; /* steorb --> ldeorb. */
+ case 1145: value = 1061; break; /* steorh --> ldeorh. */
+ case 1146: value = 1062; break; /* steor --> ldeor. */
+ case 1147: value = 1064; break; /* steorlb --> ldeorlb. */
+ case 1148: value = 1067; break; /* steorlh --> ldeorlh. */
+ case 1149: value = 1070; break; /* steorl --> ldeorl. */
+ case 1150: value = 1072; break; /* stsetb --> ldsetb. */
+ case 1151: value = 1073; break; /* stseth --> ldseth. */
+ case 1152: value = 1074; break; /* stset --> ldset. */
+ case 1153: value = 1076; break; /* stsetlb --> ldsetlb. */
+ case 1154: value = 1079; break; /* stsetlh --> ldsetlh. */
+ case 1155: value = 1082; break; /* stsetl --> ldsetl. */
+ case 1156: value = 1084; break; /* stsmaxb --> ldsmaxb. */
+ case 1157: value = 1085; break; /* stsmaxh --> ldsmaxh. */
+ case 1158: value = 1086; break; /* stsmax --> ldsmax. */
+ case 1159: value = 1088; break; /* stsmaxlb --> ldsmaxlb. */
+ case 1160: value = 1091; break; /* stsmaxlh --> ldsmaxlh. */
+ case 1161: value = 1094; break; /* stsmaxl --> ldsmaxl. */
+ case 1162: value = 1096; break; /* stsminb --> ldsminb. */
+ case 1163: value = 1097; break; /* stsminh --> ldsminh. */
+ case 1164: value = 1098; break; /* stsmin --> ldsmin. */
+ case 1165: value = 1100; break; /* stsminlb --> ldsminlb. */
+ case 1166: value = 1103; break; /* stsminlh --> ldsminlh. */
+ case 1167: value = 1106; break; /* stsminl --> ldsminl. */
+ case 1168: value = 1108; break; /* stumaxb --> ldumaxb. */
+ case 1169: value = 1109; break; /* stumaxh --> ldumaxh. */
+ case 1170: value = 1110; break; /* stumax --> ldumax. */
+ case 1171: value = 1112; break; /* stumaxlb --> ldumaxlb. */
+ case 1172: value = 1115; break; /* stumaxlh --> ldumaxlh. */
+ case 1173: value = 1118; break; /* stumaxl --> ldumaxl. */
+ case 1174: value = 1120; break; /* stuminb --> lduminb. */
+ case 1175: value = 1121; break; /* stuminh --> lduminh. */
+ case 1176: value = 1122; break; /* stumin --> ldumin. */
+ case 1177: value = 1124; break; /* stuminlb --> lduminlb. */
+ case 1178: value = 1127; break; /* stuminlh --> lduminlh. */
+ case 1179: value = 1130; break; /* stuminl --> lduminl. */
+ case 1181: value = 1180; break; /* mov --> movn. */
+ case 1183: value = 1182; break; /* mov --> movz. */
+ case 1229: value = 1228; break; /* autibsp --> autibz. */
+ case 1228: value = 1227; break; /* autibz --> autiasp. */
+ case 1227: value = 1226; break; /* autiasp --> autiaz. */
+ case 1226: value = 1225; break; /* autiaz --> pacibsp. */
+ case 1225: value = 1224; break; /* pacibsp --> pacibz. */
+ case 1224: value = 1223; break; /* pacibz --> paciasp. */
+ case 1223: value = 1222; break; /* paciasp --> paciaz. */
+ case 1222: value = 1203; break; /* paciaz --> psb. */
+ case 1203: value = 1202; break; /* psb --> esb. */
+ case 1202: value = 1201; break; /* esb --> autib1716. */
+ case 1201: value = 1200; break; /* autib1716 --> autia1716. */
+ case 1200: value = 1199; break; /* autia1716 --> pacib1716. */
+ case 1199: value = 1198; break; /* pacib1716 --> pacia1716. */
+ case 1198: value = 1197; break; /* pacia1716 --> xpaclri. */
+ case 1197: value = 1196; break; /* xpaclri --> sevl. */
+ case 1196: value = 1195; break; /* sevl --> sev. */
+ case 1195: value = 1194; break; /* sev --> wfi. */
+ case 1194: value = 1193; break; /* wfi --> wfe. */
+ case 1193: value = 1192; break; /* wfe --> yield. */
+ case 1192: value = 1191; break; /* yield --> bti. */
+ case 1191: value = 1190; break; /* bti --> csdb. */
+ case 1190: value = 1189; break; /* csdb --> nop. */
+ case 1189: value = 1188; break; /* nop --> hint. */
+ case 1207: value = 1206; break; /* pssbb --> ssbb. */
+ case 1206: value = 1205; break; /* ssbb --> dsb. */
+ case 1218: value = 1217; break; /* cpp --> dvp. */
+ case 1217: value = 1216; break; /* dvp --> cfp. */
+ case 1216: value = 1215; break; /* cfp --> tlbi. */
+ case 1215: value = 1214; break; /* tlbi --> ic. */
+ case 1214: value = 1213; break; /* ic --> dc. */
+ case 1213: value = 1212; break; /* dc --> at. */
+ case 1212: value = 1211; break; /* at --> sys. */
+ case 2027: value = 1277; break; /* bic --> and. */
+ case 1260: value = 1279; break; /* mov --> and. */
+ case 1264: value = 1280; break; /* movs --> ands. */
+ case 2028: value = 1315; break; /* cmple --> cmpge. */
+ case 2031: value = 1318; break; /* cmplt --> cmpgt. */
+ case 2029: value = 1320; break; /* cmplo --> cmphi. */
+ case 2030: value = 1323; break; /* cmpls --> cmphs. */
+ case 1257: value = 1345; break; /* mov --> cpy. */
+ case 1259: value = 1346; break; /* mov --> cpy. */
+ case 2038: value = 1262; break; /* fmov --> mov. */
+ case 1262: value = 1347; break; /* mov --> cpy. */
+ case 1252: value = 1359; break; /* mov --> dup. */
+ case 1254: value = 1251; break; /* mov --> mov. */
+ case 1251: value = 1360; break; /* mov --> dup. */
+ case 2037: value = 1256; break; /* fmov --> mov. */
+ case 1256: value = 1361; break; /* mov --> dup. */
+ case 1255: value = 1362; break; /* mov --> dupm. */
+ case 2032: value = 1364; break; /* eon --> eor. */
+ case 1265: value = 1366; break; /* not --> eor. */
+ case 1266: value = 1367; break; /* nots --> eors. */
+ case 2033: value = 1372; break; /* facle --> facge. */
+ case 2034: value = 1373; break; /* faclt --> facgt. */
+ case 2035: value = 1386; break; /* fcmle --> fcmge. */
+ case 2036: value = 1388; break; /* fcmlt --> fcmgt. */
+ case 1249: value = 1394; break; /* fmov --> fcpy. */
+ case 1248: value = 1417; break; /* fmov --> fdup. */
+ case 1250: value = 1748; break; /* mov --> orr. */
+ case 2039: value = 1749; break; /* orn --> orr. */
+ case 1253: value = 1751; break; /* mov --> orr. */
+ case 1263: value = 1752; break; /* movs --> orrs. */
+ case 1258: value = 1814; break; /* mov --> sel. */
+ case 1261: value = 1815; break; /* mov --> sel. */
default: return NULL;
}
@@ -20011,7 +20033,6 @@ aarch64_extract_operand (const aarch64_operand *self,
case 27:
case 28:
case 29:
- case 159:
case 160:
case 161:
case 162:
@@ -20021,7 +20042,7 @@ aarch64_extract_operand (const aarch64_operand *self,
case 166:
case 167:
case 168:
- case 181:
+ case 169:
case 182:
case 183:
case 184:
@@ -20030,8 +20051,9 @@ aarch64_extract_operand (const aarch64_operand *self,
case 187:
case 188:
case 189:
- case 193:
- case 196:
+ case 190:
+ case 194:
+ case 197:
return aarch64_ext_regno (self, info, code, inst, errors);
case 8:
return aarch64_ext_regrt_sysins (self, info, code, inst, errors);
@@ -20047,7 +20069,7 @@ aarch64_extract_operand (const aarch64_operand *self,
case 31:
case 32:
case 33:
- case 198:
+ case 199:
return aarch64_ext_reglane (self, info, code, inst, errors);
case 34:
return aarch64_ext_reglist (self, info, code, inst, errors);
@@ -20082,9 +20104,8 @@ aarch64_extract_operand (const aarch64_operand *self,
case 79:
case 80:
case 81:
- case 156:
- case 158:
- case 173:
+ case 157:
+ case 159:
case 174:
case 175:
case 176:
@@ -20092,6 +20113,7 @@ aarch64_extract_operand (const aarch64_operand *self,
case 178:
case 179:
case 180:
+ case 181:
return aarch64_ext_imm (self, info, code, inst, errors);
case 42:
case 43:
@@ -20103,10 +20125,10 @@ aarch64_extract_operand (const aarch64_operand *self,
case 47:
return aarch64_ext_shll_imm (self, info, code, inst, errors);
case 50:
- case 147:
+ case 148:
return aarch64_ext_fpimm (self, info, code, inst, errors);
case 67:
- case 154:
+ case 155:
return aarch64_ext_limm (self, info, code, inst, errors);
case 68:
return aarch64_ext_aimm (self, info, code, inst, errors);
@@ -20116,68 +20138,69 @@ aarch64_extract_operand (const aarch64_operand *self,
return aarch64_ext_fbits (self, info, code, inst, errors);
case 72:
case 73:
- case 152:
+ case 153:
return aarch64_ext_imm_rotate2 (self, info, code, inst, errors);
case 74:
- case 151:
+ case 152:
return aarch64_ext_imm_rotate1 (self, info, code, inst, errors);
case 75:
case 76:
return aarch64_ext_cond (self, info, code, inst, errors);
case 82:
- case 91:
+ case 92:
return aarch64_ext_addr_simple (self, info, code, inst, errors);
case 83:
- return aarch64_ext_addr_regoff (self, info, code, inst, errors);
+ return aarch64_ext_addr_simple_2 (self, info, code, inst, errors);
case 84:
+ return aarch64_ext_addr_regoff (self, info, code, inst, errors);
case 85:
case 86:
- case 88:
- case 90:
- return aarch64_ext_addr_simm (self, info, code, inst, errors);
case 87:
- return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
case 89:
+ case 91:
+ return aarch64_ext_addr_simm (self, info, code, inst, errors);
+ case 88:
+ return aarch64_ext_addr_simm10 (self, info, code, inst, errors);
+ case 90:
return aarch64_ext_addr_uimm12 (self, info, code, inst, errors);
- case 92:
- return aarch64_ext_addr_offset (self, info, code, inst, errors);
case 93:
- return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
+ return aarch64_ext_addr_offset (self, info, code, inst, errors);
case 94:
- return aarch64_ext_sysreg (self, info, code, inst, errors);
+ return aarch64_ext_simd_addr_post (self, info, code, inst, errors);
case 95:
- return aarch64_ext_pstatefield (self, info, code, inst, errors);
+ return aarch64_ext_sysreg (self, info, code, inst, errors);
case 96:
+ return aarch64_ext_pstatefield (self, info, code, inst, errors);
case 97:
case 98:
case 99:
case 100:
- return aarch64_ext_sysins_op (self, info, code, inst, errors);
case 101:
+ return aarch64_ext_sysins_op (self, info, code, inst, errors);
case 102:
- return aarch64_ext_barrier (self, info, code, inst, errors);
case 103:
- return aarch64_ext_prfop (self, info, code, inst, errors);
+ return aarch64_ext_barrier (self, info, code, inst, errors);
case 104:
+ return aarch64_ext_prfop (self, info, code, inst, errors);
case 105:
- return aarch64_ext_hint (self, info, code, inst, errors);
case 106:
- return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
+ return aarch64_ext_hint (self, info, code, inst, errors);
case 107:
+ return aarch64_ext_sve_addr_ri_s4 (self, info, code, inst, errors);
case 108:
case 109:
case 110:
- return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
case 111:
- return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_ri_s4xvl (self, info, code, inst, errors);
case 112:
- return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_ri_s6xvl (self, info, code, inst, errors);
case 113:
+ return aarch64_ext_sve_addr_ri_s9xvl (self, info, code, inst, errors);
case 114:
case 115:
case 116:
- return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
case 117:
+ return aarch64_ext_sve_addr_ri_u6 (self, info, code, inst, errors);
case 118:
case 119:
case 120:
@@ -20190,8 +20213,8 @@ aarch64_extract_operand (const aarch64_operand *self,
case 127:
case 128:
case 129:
- return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
case 130:
+ return aarch64_ext_sve_addr_rr_lsl (self, info, code, inst, errors);
case 131:
case 132:
case 133:
@@ -20199,48 +20222,49 @@ aarch64_extract_operand (const aarch64_operand *self,
case 135:
case 136:
case 137:
- return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
case 138:
+ return aarch64_ext_sve_addr_rz_xtw (self, info, code, inst, errors);
case 139:
case 140:
case 141:
- return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
case 142:
- return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_zi_u5 (self, info, code, inst, errors);
case 143:
- return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_zz_lsl (self, info, code, inst, errors);
case 144:
- return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_zz_sxtw (self, info, code, inst, errors);
case 145:
- return aarch64_ext_sve_aimm (self, info, code, inst, errors);
+ return aarch64_ext_sve_addr_zz_uxtw (self, info, code, inst, errors);
case 146:
+ return aarch64_ext_sve_aimm (self, info, code, inst, errors);
+ case 147:
return aarch64_ext_sve_asimm (self, info, code, inst, errors);
- case 148:
- return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
case 149:
- return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
+ return aarch64_ext_sve_float_half_one (self, info, code, inst, errors);
case 150:
+ return aarch64_ext_sve_float_half_two (self, info, code, inst, errors);
+ case 151:
return aarch64_ext_sve_float_zero_one (self, info, code, inst, errors);
- case 153:
+ case 154:
return aarch64_ext_inv_limm (self, info, code, inst, errors);
- case 155:
+ case 156:
return aarch64_ext_sve_limm_mov (self, info, code, inst, errors);
- case 157:
+ case 158:
return aarch64_ext_sve_scale (self, info, code, inst, errors);
- case 169:
case 170:
- return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
case 171:
+ return aarch64_ext_sve_shlimm (self, info, code, inst, errors);
case 172:
+ case 173:
return aarch64_ext_sve_shrimm (self, info, code, inst, errors);
- case 190:
case 191:
case 192:
+ case 193:
return aarch64_ext_sve_quad_index (self, info, code, inst, errors);
- case 194:
- return aarch64_ext_sve_index (self, info, code, inst, errors);
case 195:
- case 197:
+ return aarch64_ext_sve_index (self, info, code, inst, errors);
+ case 196:
+ case 198:
return aarch64_ext_sve_reglist (self, info, code, inst, errors);
default: assert (0); abort ();
}
diff --git a/opcodes/aarch64-dis.c b/opcodes/aarch64-dis.c
index defb53d..fd542ac 100644
--- a/opcodes/aarch64-dis.c
+++ b/opcodes/aarch64-dis.c
@@ -985,6 +985,23 @@ aarch64_ext_addr_simple (const aarch64_operand *self ATTRIBUTE_UNUSED,
return TRUE;
}
+
+
+/* Decode the address operand for e.g. STGV <Xt>, [<Xn|SP>]!. */
+bfd_boolean
+aarch64_ext_addr_simple_2 (const aarch64_operand *self ATTRIBUTE_UNUSED,
+ aarch64_opnd_info *info,
+ aarch64_insn code,
+ const aarch64_inst *inst ATTRIBUTE_UNUSED,
+ aarch64_operand_error *errors ATTRIBUTE_UNUSED)
+{
+ /* Rn */
+ info->addr.base_regno = extract_field (FLD_Rn, code, 0);
+ info->addr.writeback = 1;
+ info->addr.preind = 1;
+ return TRUE;
+}
+
/* Decode the address operand for e.g.
stlur <Xt>, [<Xn|SP>{, <amount>}]. */
bfd_boolean
diff --git a/opcodes/aarch64-dis.h b/opcodes/aarch64-dis.h
index b09a5ee..8949852 100644
--- a/opcodes/aarch64-dis.h
+++ b/opcodes/aarch64-dis.h
@@ -82,6 +82,7 @@ AARCH64_DECL_OPD_EXTRACTOR (ext_limm);
AARCH64_DECL_OPD_EXTRACTOR (ext_inv_limm);
AARCH64_DECL_OPD_EXTRACTOR (ext_ft);
AARCH64_DECL_OPD_EXTRACTOR (ext_addr_simple);
+AARCH64_DECL_OPD_EXTRACTOR (ext_addr_simple_2);
AARCH64_DECL_OPD_EXTRACTOR (ext_addr_offset);
AARCH64_DECL_OPD_EXTRACTOR (ext_addr_regoff);
AARCH64_DECL_OPD_EXTRACTOR (ext_addr_simm);
diff --git a/opcodes/aarch64-opc-2.c b/opcodes/aarch64-opc-2.c
index 3420746..3543d0d 100644
--- a/opcodes/aarch64-opc-2.c
+++ b/opcodes/aarch64-opc-2.c
@@ -107,6 +107,7 @@ const struct aarch64_operand aarch64_operands[] =
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_PCREL21", OPD_F_SEXT | OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {FLD_immhi,FLD_immlo}, "21-bit PC-relative address"},
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_PCREL26", OPD_F_SEXT | OPD_F_SHIFT_BY_2 | OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {FLD_imm26}, "26-bit PC-relative address"},
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_SIMPLE", OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {}, "an address with base register (no offset)"},
+ {AARCH64_OPND_CLASS_ADDRESS, "ADDR_SIMPLE_2", OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {}, "a writeback address with base register (no offset)"},
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_REGOFF", OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {}, "an address with register offset"},
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_SIMM7", OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {FLD_imm7,FLD_index2}, "an address with 7-bit signed immediate offset"},
{AARCH64_OPND_CLASS_ADDRESS, "ADDR_SIMM9", OPD_F_HAS_INSERTER | OPD_F_HAS_EXTRACTOR, {FLD_imm9,FLD_index}, "an address with 9-bit signed immediate offset"},
@@ -256,24 +257,24 @@ static const unsigned op_enum_table [] =
925,
931,
932,
- 983,
- 984,
985,
986,
+ 987,
+ 988,
12,
636,
637,
- 1178,
1180,
1182,
- 990,
+ 1184,
+ 992,
+ 1183,
1181,
- 1179,
318,
624,
635,
634,
- 988,
+ 990,
631,
628,
620,
@@ -283,7 +284,7 @@ static const unsigned op_enum_table [] =
630,
632,
633,
- 998,
+ 1000,
664,
667,
670,
@@ -300,17 +301,17 @@ static const unsigned op_enum_table [] =
391,
413,
415,
+ 1253,
+ 1258,
1251,
- 1256,
- 1249,
- 1248,
- 1252,
- 1259,
+ 1250,
+ 1254,
1261,
- 1262,
- 1258,
- 1264,
1263,
+ 1264,
+ 1260,
+ 1266,
+ 1265,
131,
};
diff --git a/opcodes/aarch64-opc.c b/opcodes/aarch64-opc.c
index 433336f..3bc2ccc 100644
--- a/opcodes/aarch64-opc.c
+++ b/opcodes/aarch64-opc.c
@@ -1602,6 +1602,7 @@ operand_general_constraint_met_p (const aarch64_opnd_info *opnds, int idx,
break;
case ldst_imm9:
case ldstpair_indexed:
+ case ldstgv_indexed:
case asisdlsep:
case asisdlsop:
if (opnd->addr.writeback == 0)
@@ -3556,6 +3557,11 @@ aarch64_print_operand (char *buf, size_t size, bfd_vma pc,
snprintf (buf, size, "[%s]", name);
break;
+ case AARCH64_OPND_ADDR_SIMPLE_2:
+ name = get_64bit_int_reg_name (opnd->addr.base_regno, 1);
+ snprintf (buf, size, "[%s]!", name);
+ break;
+
case AARCH64_OPND_ADDR_REGOFF:
case AARCH64_OPND_SVE_ADDR_R:
case AARCH64_OPND_SVE_ADDR_RR:
diff --git a/opcodes/aarch64-tbl.h b/opcodes/aarch64-tbl.h
index 1118433..6fb74bf 100644
--- a/opcodes/aarch64-tbl.h
+++ b/opcodes/aarch64-tbl.h
@@ -3324,6 +3324,8 @@ struct aarch64_opcode aarch64_opcode_table[] =
RCPC_INSN ("ldaprb", 0x38bfc000, 0xfffffc00, ldstexcl, OP2 (Rt, ADDR_SIMPLE), QL_W1_LDST_EXC, 0),
RCPC_INSN ("ldaprh", 0x78bfc000, 0xfffffc00, ldstexcl, OP2 (Rt, ADDR_SIMPLE), QL_W1_LDST_EXC, 0),
RCPC_INSN ("ldapr", 0xb8bfc000, 0xbffffc00, ldstexcl, OP2 (Rt, ADDR_SIMPLE), QL_R1NIL, F_GPRSIZE_IN_Q),
+ MEMTAG_INSN ("ldgv", 0xd9e00000, 0xfffffc00, ldstgv_indexed, OP2 (Rt, ADDR_SIMPLE_2), QL_STLX, 0),
+ MEMTAG_INSN ("stgv", 0xd9a00000, 0xfffffc00, ldstgv_indexed, OP2 (Rt, ADDR_SIMPLE_2), QL_STLX, 0),
/* Limited Ordering Regions load/store instructions. */
_LOR_INSN ("ldlar", 0x88df7c00, 0xbfe08000, ldstexcl, OP2 (Rt, ADDR_SIMPLE), QL_R1NIL, F_GPRSIZE_IN_Q),
_LOR_INSN ("ldlarb", 0x08df7c00, 0xffe08000, ldstexcl, OP2 (Rt, ADDR_SIMPLE), QL_W1_LDST_EXC, 0),
@@ -4648,6 +4650,8 @@ struct aarch64_opcode aarch64_opcode_table[] =
F(FLD_imm26), "26-bit PC-relative address") \
Y(ADDRESS, addr_simple, "ADDR_SIMPLE", 0, F(), \
"an address with base register (no offset)") \
+ Y(ADDRESS, addr_simple_2, "ADDR_SIMPLE_2", 0, F(), \
+ "a writeback address with base register (no offset)") \
Y(ADDRESS, addr_regoff, "ADDR_REGOFF", 0, F(), \
"an address with register offset") \
Y(ADDRESS, addr_simm, "ADDR_SIMM7", 0, F(FLD_imm7,FLD_index2), \