aboutsummaryrefslogtreecommitdiff
path: root/test/riscv-tests/rv64uf-p-fmin.dump
blob: 7c47a6ff40ffdb7a6ac75c93f6644a5e95d82de4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553

rv64uf-p-fmin:     file format elf64-littleriscv


Disassembly of section .text.init:

0000000080000000 <_start>:
    80000000:	04c0006f          	j	8000004c <reset_vector>

0000000080000004 <trap_vector>:
    80000004:	34202f73          	csrr	t5,mcause
    80000008:	00800f93          	li	t6,8
    8000000c:	03ff0a63          	beq	t5,t6,80000040 <write_tohost>
    80000010:	00900f93          	li	t6,9
    80000014:	03ff0663          	beq	t5,t6,80000040 <write_tohost>
    80000018:	00b00f93          	li	t6,11
    8000001c:	03ff0263          	beq	t5,t6,80000040 <write_tohost>
    80000020:	80000f17          	auipc	t5,0x80000
    80000024:	fe0f0f13          	addi	t5,t5,-32 # 0 <_start-0x80000000>
    80000028:	000f0463          	beqz	t5,80000030 <trap_vector+0x2c>
    8000002c:	000f0067          	jr	t5
    80000030:	34202f73          	csrr	t5,mcause
    80000034:	000f5463          	bgez	t5,8000003c <handle_exception>
    80000038:	0040006f          	j	8000003c <handle_exception>

000000008000003c <handle_exception>:
    8000003c:	5391e193          	ori	gp,gp,1337

0000000080000040 <write_tohost>:
    80000040:	00001f17          	auipc	t5,0x1
    80000044:	fc3f2023          	sw	gp,-64(t5) # 80001000 <tohost>
    80000048:	ff9ff06f          	j	80000040 <write_tohost>

000000008000004c <reset_vector>:
    8000004c:	f1402573          	csrr	a0,mhartid
    80000050:	00051063          	bnez	a0,80000050 <reset_vector+0x4>
    80000054:	00000297          	auipc	t0,0x0
    80000058:	01028293          	addi	t0,t0,16 # 80000064 <reset_vector+0x18>
    8000005c:	30529073          	csrw	mtvec,t0
    80000060:	18005073          	csrwi	satp,0
    80000064:	00000297          	auipc	t0,0x0
    80000068:	01c28293          	addi	t0,t0,28 # 80000080 <reset_vector+0x34>
    8000006c:	30529073          	csrw	mtvec,t0
    80000070:	fff00293          	li	t0,-1
    80000074:	3b029073          	csrw	pmpaddr0,t0
    80000078:	01f00293          	li	t0,31
    8000007c:	3a029073          	csrw	pmpcfg0,t0
    80000080:	00000297          	auipc	t0,0x0
    80000084:	01828293          	addi	t0,t0,24 # 80000098 <reset_vector+0x4c>
    80000088:	30529073          	csrw	mtvec,t0
    8000008c:	30205073          	csrwi	medeleg,0
    80000090:	30305073          	csrwi	mideleg,0
    80000094:	30405073          	csrwi	mie,0
    80000098:	00000193          	li	gp,0
    8000009c:	00000297          	auipc	t0,0x0
    800000a0:	f6828293          	addi	t0,t0,-152 # 80000004 <trap_vector>
    800000a4:	30529073          	csrw	mtvec,t0
    800000a8:	00100513          	li	a0,1
    800000ac:	01f51513          	slli	a0,a0,0x1f
    800000b0:	00055863          	bgez	a0,800000c0 <reset_vector+0x74>
    800000b4:	0ff0000f          	fence
    800000b8:	00100193          	li	gp,1
    800000bc:	00000073          	ecall
    800000c0:	80000297          	auipc	t0,0x80000
    800000c4:	f4028293          	addi	t0,t0,-192 # 0 <_start-0x80000000>
    800000c8:	00028e63          	beqz	t0,800000e4 <reset_vector+0x98>
    800000cc:	10529073          	csrw	stvec,t0
    800000d0:	0000b2b7          	lui	t0,0xb
    800000d4:	1092829b          	addiw	t0,t0,265
    800000d8:	30229073          	csrw	medeleg,t0
    800000dc:	30202373          	csrr	t1,medeleg
    800000e0:	f4629ee3          	bne	t0,t1,8000003c <handle_exception>
    800000e4:	30005073          	csrwi	mstatus,0
    800000e8:	00002537          	lui	a0,0x2
    800000ec:	30052073          	csrs	mstatus,a0
    800000f0:	00305073          	csrwi	fcsr,0
    800000f4:	00000297          	auipc	t0,0x0
    800000f8:	01428293          	addi	t0,t0,20 # 80000108 <test_2>
    800000fc:	34129073          	csrw	mepc,t0
    80000100:	f1402573          	csrr	a0,mhartid
    80000104:	30200073          	mret

0000000080000108 <test_2>:
    80000108:	00200193          	li	gp,2
    8000010c:	00002517          	auipc	a0,0x2
    80000110:	ef450513          	addi	a0,a0,-268 # 80002000 <test_2_data>
    80000114:	00052007          	flw	ft0,0(a0)
    80000118:	00452087          	flw	ft1,4(a0)
    8000011c:	00852107          	flw	ft2,8(a0)
    80000120:	00c52683          	lw	a3,12(a0)
    80000124:	281001d3          	fmin.s	ft3,ft0,ft1
    80000128:	e0018553          	fmv.x.w	a0,ft3
    8000012c:	001015f3          	fsflags	a1,zero
    80000130:	00000613          	li	a2,0
    80000134:	38d51063          	bne	a0,a3,800004b4 <fail>
    80000138:	36c59e63          	bne	a1,a2,800004b4 <fail>

000000008000013c <test_3>:
    8000013c:	00300193          	li	gp,3
    80000140:	00002517          	auipc	a0,0x2
    80000144:	ed050513          	addi	a0,a0,-304 # 80002010 <test_3_data>
    80000148:	00052007          	flw	ft0,0(a0)
    8000014c:	00452087          	flw	ft1,4(a0)
    80000150:	00852107          	flw	ft2,8(a0)
    80000154:	00c52683          	lw	a3,12(a0)
    80000158:	281001d3          	fmin.s	ft3,ft0,ft1
    8000015c:	e0018553          	fmv.x.w	a0,ft3
    80000160:	001015f3          	fsflags	a1,zero
    80000164:	00000613          	li	a2,0
    80000168:	34d51663          	bne	a0,a3,800004b4 <fail>
    8000016c:	34c59463          	bne	a1,a2,800004b4 <fail>

0000000080000170 <test_4>:
    80000170:	00400193          	li	gp,4
    80000174:	00002517          	auipc	a0,0x2
    80000178:	eac50513          	addi	a0,a0,-340 # 80002020 <test_4_data>
    8000017c:	00052007          	flw	ft0,0(a0)
    80000180:	00452087          	flw	ft1,4(a0)
    80000184:	00852107          	flw	ft2,8(a0)
    80000188:	00c52683          	lw	a3,12(a0)
    8000018c:	281001d3          	fmin.s	ft3,ft0,ft1
    80000190:	e0018553          	fmv.x.w	a0,ft3
    80000194:	001015f3          	fsflags	a1,zero
    80000198:	00000613          	li	a2,0
    8000019c:	30d51c63          	bne	a0,a3,800004b4 <fail>
    800001a0:	30c59a63          	bne	a1,a2,800004b4 <fail>

00000000800001a4 <test_5>:
    800001a4:	00500193          	li	gp,5
    800001a8:	00002517          	auipc	a0,0x2
    800001ac:	e8850513          	addi	a0,a0,-376 # 80002030 <test_5_data>
    800001b0:	00052007          	flw	ft0,0(a0)
    800001b4:	00452087          	flw	ft1,4(a0)
    800001b8:	00852107          	flw	ft2,8(a0)
    800001bc:	00c52683          	lw	a3,12(a0)
    800001c0:	281001d3          	fmin.s	ft3,ft0,ft1
    800001c4:	e0018553          	fmv.x.w	a0,ft3
    800001c8:	001015f3          	fsflags	a1,zero
    800001cc:	00000613          	li	a2,0
    800001d0:	2ed51263          	bne	a0,a3,800004b4 <fail>
    800001d4:	2ec59063          	bne	a1,a2,800004b4 <fail>

00000000800001d8 <test_6>:
    800001d8:	00600193          	li	gp,6
    800001dc:	00002517          	auipc	a0,0x2
    800001e0:	e6450513          	addi	a0,a0,-412 # 80002040 <test_6_data>
    800001e4:	00052007          	flw	ft0,0(a0)
    800001e8:	00452087          	flw	ft1,4(a0)
    800001ec:	00852107          	flw	ft2,8(a0)
    800001f0:	00c52683          	lw	a3,12(a0)
    800001f4:	281001d3          	fmin.s	ft3,ft0,ft1
    800001f8:	e0018553          	fmv.x.w	a0,ft3
    800001fc:	001015f3          	fsflags	a1,zero
    80000200:	00000613          	li	a2,0
    80000204:	2ad51863          	bne	a0,a3,800004b4 <fail>
    80000208:	2ac59663          	bne	a1,a2,800004b4 <fail>

000000008000020c <test_7>:
    8000020c:	00700193          	li	gp,7
    80000210:	00002517          	auipc	a0,0x2
    80000214:	e4050513          	addi	a0,a0,-448 # 80002050 <test_7_data>
    80000218:	00052007          	flw	ft0,0(a0)
    8000021c:	00452087          	flw	ft1,4(a0)
    80000220:	00852107          	flw	ft2,8(a0)
    80000224:	00c52683          	lw	a3,12(a0)
    80000228:	281001d3          	fmin.s	ft3,ft0,ft1
    8000022c:	e0018553          	fmv.x.w	a0,ft3
    80000230:	001015f3          	fsflags	a1,zero
    80000234:	00000613          	li	a2,0
    80000238:	26d51e63          	bne	a0,a3,800004b4 <fail>
    8000023c:	26c59c63          	bne	a1,a2,800004b4 <fail>

0000000080000240 <test_12>:
    80000240:	00c00193          	li	gp,12
    80000244:	00002517          	auipc	a0,0x2
    80000248:	e1c50513          	addi	a0,a0,-484 # 80002060 <test_12_data>
    8000024c:	00052007          	flw	ft0,0(a0)
    80000250:	00452087          	flw	ft1,4(a0)
    80000254:	00852107          	flw	ft2,8(a0)
    80000258:	00c52683          	lw	a3,12(a0)
    8000025c:	281011d3          	fmax.s	ft3,ft0,ft1
    80000260:	e0018553          	fmv.x.w	a0,ft3
    80000264:	001015f3          	fsflags	a1,zero
    80000268:	00000613          	li	a2,0
    8000026c:	24d51463          	bne	a0,a3,800004b4 <fail>
    80000270:	24c59263          	bne	a1,a2,800004b4 <fail>

0000000080000274 <test_13>:
    80000274:	00d00193          	li	gp,13
    80000278:	00002517          	auipc	a0,0x2
    8000027c:	df850513          	addi	a0,a0,-520 # 80002070 <test_13_data>
    80000280:	00052007          	flw	ft0,0(a0)
    80000284:	00452087          	flw	ft1,4(a0)
    80000288:	00852107          	flw	ft2,8(a0)
    8000028c:	00c52683          	lw	a3,12(a0)
    80000290:	281011d3          	fmax.s	ft3,ft0,ft1
    80000294:	e0018553          	fmv.x.w	a0,ft3
    80000298:	001015f3          	fsflags	a1,zero
    8000029c:	00000613          	li	a2,0
    800002a0:	20d51a63          	bne	a0,a3,800004b4 <fail>
    800002a4:	20c59863          	bne	a1,a2,800004b4 <fail>

00000000800002a8 <test_14>:
    800002a8:	00e00193          	li	gp,14
    800002ac:	00002517          	auipc	a0,0x2
    800002b0:	dd450513          	addi	a0,a0,-556 # 80002080 <test_14_data>
    800002b4:	00052007          	flw	ft0,0(a0)
    800002b8:	00452087          	flw	ft1,4(a0)
    800002bc:	00852107          	flw	ft2,8(a0)
    800002c0:	00c52683          	lw	a3,12(a0)
    800002c4:	281011d3          	fmax.s	ft3,ft0,ft1
    800002c8:	e0018553          	fmv.x.w	a0,ft3
    800002cc:	001015f3          	fsflags	a1,zero
    800002d0:	00000613          	li	a2,0
    800002d4:	1ed51063          	bne	a0,a3,800004b4 <fail>
    800002d8:	1cc59e63          	bne	a1,a2,800004b4 <fail>

00000000800002dc <test_15>:
    800002dc:	00f00193          	li	gp,15
    800002e0:	00002517          	auipc	a0,0x2
    800002e4:	db050513          	addi	a0,a0,-592 # 80002090 <test_15_data>
    800002e8:	00052007          	flw	ft0,0(a0)
    800002ec:	00452087          	flw	ft1,4(a0)
    800002f0:	00852107          	flw	ft2,8(a0)
    800002f4:	00c52683          	lw	a3,12(a0)
    800002f8:	281011d3          	fmax.s	ft3,ft0,ft1
    800002fc:	e0018553          	fmv.x.w	a0,ft3
    80000300:	001015f3          	fsflags	a1,zero
    80000304:	00000613          	li	a2,0
    80000308:	1ad51663          	bne	a0,a3,800004b4 <fail>
    8000030c:	1ac59463          	bne	a1,a2,800004b4 <fail>

0000000080000310 <test_16>:
    80000310:	01000193          	li	gp,16
    80000314:	00002517          	auipc	a0,0x2
    80000318:	d8c50513          	addi	a0,a0,-628 # 800020a0 <test_16_data>
    8000031c:	00052007          	flw	ft0,0(a0)
    80000320:	00452087          	flw	ft1,4(a0)
    80000324:	00852107          	flw	ft2,8(a0)
    80000328:	00c52683          	lw	a3,12(a0)
    8000032c:	281011d3          	fmax.s	ft3,ft0,ft1
    80000330:	e0018553          	fmv.x.w	a0,ft3
    80000334:	001015f3          	fsflags	a1,zero
    80000338:	00000613          	li	a2,0
    8000033c:	16d51c63          	bne	a0,a3,800004b4 <fail>
    80000340:	16c59a63          	bne	a1,a2,800004b4 <fail>

0000000080000344 <test_17>:
    80000344:	01100193          	li	gp,17
    80000348:	00002517          	auipc	a0,0x2
    8000034c:	d6850513          	addi	a0,a0,-664 # 800020b0 <test_17_data>
    80000350:	00052007          	flw	ft0,0(a0)
    80000354:	00452087          	flw	ft1,4(a0)
    80000358:	00852107          	flw	ft2,8(a0)
    8000035c:	00c52683          	lw	a3,12(a0)
    80000360:	281011d3          	fmax.s	ft3,ft0,ft1
    80000364:	e0018553          	fmv.x.w	a0,ft3
    80000368:	001015f3          	fsflags	a1,zero
    8000036c:	00000613          	li	a2,0
    80000370:	14d51263          	bne	a0,a3,800004b4 <fail>
    80000374:	14c59063          	bne	a1,a2,800004b4 <fail>

0000000080000378 <test_20>:
    80000378:	01400193          	li	gp,20
    8000037c:	00002517          	auipc	a0,0x2
    80000380:	d4450513          	addi	a0,a0,-700 # 800020c0 <test_20_data>
    80000384:	00052007          	flw	ft0,0(a0)
    80000388:	00452087          	flw	ft1,4(a0)
    8000038c:	00852107          	flw	ft2,8(a0)
    80000390:	00c52683          	lw	a3,12(a0)
    80000394:	281011d3          	fmax.s	ft3,ft0,ft1
    80000398:	e0018553          	fmv.x.w	a0,ft3
    8000039c:	001015f3          	fsflags	a1,zero
    800003a0:	01000613          	li	a2,16
    800003a4:	10d51863          	bne	a0,a3,800004b4 <fail>
    800003a8:	10c59663          	bne	a1,a2,800004b4 <fail>

00000000800003ac <test_21>:
    800003ac:	01500193          	li	gp,21
    800003b0:	00002517          	auipc	a0,0x2
    800003b4:	d2050513          	addi	a0,a0,-736 # 800020d0 <test_21_data>
    800003b8:	00052007          	flw	ft0,0(a0)
    800003bc:	00452087          	flw	ft1,4(a0)
    800003c0:	00852107          	flw	ft2,8(a0)
    800003c4:	00c52683          	lw	a3,12(a0)
    800003c8:	281011d3          	fmax.s	ft3,ft0,ft1
    800003cc:	e0018553          	fmv.x.w	a0,ft3
    800003d0:	001015f3          	fsflags	a1,zero
    800003d4:	00000613          	li	a2,0
    800003d8:	0cd51e63          	bne	a0,a3,800004b4 <fail>
    800003dc:	0cc59c63          	bne	a1,a2,800004b4 <fail>

00000000800003e0 <test_30>:
    800003e0:	01e00193          	li	gp,30
    800003e4:	00002517          	auipc	a0,0x2
    800003e8:	cfc50513          	addi	a0,a0,-772 # 800020e0 <test_30_data>
    800003ec:	00052007          	flw	ft0,0(a0)
    800003f0:	00452087          	flw	ft1,4(a0)
    800003f4:	00852107          	flw	ft2,8(a0)
    800003f8:	00c52683          	lw	a3,12(a0)
    800003fc:	281001d3          	fmin.s	ft3,ft0,ft1
    80000400:	e0018553          	fmv.x.w	a0,ft3
    80000404:	001015f3          	fsflags	a1,zero
    80000408:	00000613          	li	a2,0
    8000040c:	0ad51463          	bne	a0,a3,800004b4 <fail>
    80000410:	0ac59263          	bne	a1,a2,800004b4 <fail>

0000000080000414 <test_31>:
    80000414:	01f00193          	li	gp,31
    80000418:	00002517          	auipc	a0,0x2
    8000041c:	cd850513          	addi	a0,a0,-808 # 800020f0 <test_31_data>
    80000420:	00052007          	flw	ft0,0(a0)
    80000424:	00452087          	flw	ft1,4(a0)
    80000428:	00852107          	flw	ft2,8(a0)
    8000042c:	00c52683          	lw	a3,12(a0)
    80000430:	281001d3          	fmin.s	ft3,ft0,ft1
    80000434:	e0018553          	fmv.x.w	a0,ft3
    80000438:	001015f3          	fsflags	a1,zero
    8000043c:	00000613          	li	a2,0
    80000440:	06d51a63          	bne	a0,a3,800004b4 <fail>
    80000444:	06c59863          	bne	a1,a2,800004b4 <fail>

0000000080000448 <test_32>:
    80000448:	02000193          	li	gp,32
    8000044c:	00002517          	auipc	a0,0x2
    80000450:	cb450513          	addi	a0,a0,-844 # 80002100 <test_32_data>
    80000454:	00052007          	flw	ft0,0(a0)
    80000458:	00452087          	flw	ft1,4(a0)
    8000045c:	00852107          	flw	ft2,8(a0)
    80000460:	00c52683          	lw	a3,12(a0)
    80000464:	281011d3          	fmax.s	ft3,ft0,ft1
    80000468:	e0018553          	fmv.x.w	a0,ft3
    8000046c:	001015f3          	fsflags	a1,zero
    80000470:	00000613          	li	a2,0
    80000474:	04d51063          	bne	a0,a3,800004b4 <fail>
    80000478:	02c59e63          	bne	a1,a2,800004b4 <fail>

000000008000047c <test_33>:
    8000047c:	02100193          	li	gp,33
    80000480:	00002517          	auipc	a0,0x2
    80000484:	c9050513          	addi	a0,a0,-880 # 80002110 <test_33_data>
    80000488:	00052007          	flw	ft0,0(a0)
    8000048c:	00452087          	flw	ft1,4(a0)
    80000490:	00852107          	flw	ft2,8(a0)
    80000494:	00c52683          	lw	a3,12(a0)
    80000498:	281011d3          	fmax.s	ft3,ft0,ft1
    8000049c:	e0018553          	fmv.x.w	a0,ft3
    800004a0:	001015f3          	fsflags	a1,zero
    800004a4:	00000613          	li	a2,0
    800004a8:	00d51663          	bne	a0,a3,800004b4 <fail>
    800004ac:	00c59463          	bne	a1,a2,800004b4 <fail>
    800004b0:	00301c63          	bne	zero,gp,800004c8 <pass>

00000000800004b4 <fail>:
    800004b4:	0ff0000f          	fence
    800004b8:	00018063          	beqz	gp,800004b8 <fail+0x4>
    800004bc:	00119193          	slli	gp,gp,0x1
    800004c0:	0011e193          	ori	gp,gp,1
    800004c4:	00000073          	ecall

00000000800004c8 <pass>:
    800004c8:	0ff0000f          	fence
    800004cc:	00100193          	li	gp,1
    800004d0:	00000073          	ecall
    800004d4:	c0001073          	unimp
    800004d8:	0000                	unimp
    800004da:	0000                	unimp
    800004dc:	0000                	unimp
    800004de:	0000                	unimp
    800004e0:	0000                	unimp
    800004e2:	0000                	unimp
    800004e4:	0000                	unimp
    800004e6:	0000                	unimp
    800004e8:	0000                	unimp
    800004ea:	0000                	unimp
    800004ec:	0000                	unimp
    800004ee:	0000                	unimp
    800004f0:	0000                	unimp
    800004f2:	0000                	unimp
    800004f4:	0000                	unimp
    800004f6:	0000                	unimp
    800004f8:	0000                	unimp
    800004fa:	0000                	unimp
    800004fc:	0000                	unimp
    800004fe:	0000                	unimp
    80000500:	0000                	unimp
    80000502:	0000                	unimp

Disassembly of section .data:

0000000080002000 <test_2_data>:
    80002000:	0000                	unimp
    80002002:	4020                	lw	s0,64(s0)
    80002004:	0000                	unimp
    80002006:	3f80                	fld	fs0,56(a5)
    80002008:	0000                	unimp
    8000200a:	0000                	unimp
    8000200c:	0000                	unimp
    8000200e:	3f80                	fld	fs0,56(a5)

0000000080002010 <test_3_data>:
    80002010:	c49a6333          	0xc49a6333
    80002014:	cccd                	beqz	s1,800020ce <test_20_data+0xe>
    80002016:	3f8c                	fld	fa1,56(a5)
    80002018:	0000                	unimp
    8000201a:	0000                	unimp
    8000201c:	c49a6333          	0xc49a6333

0000000080002020 <test_4_data>:
    80002020:	cccd                	beqz	s1,800020da <test_21_data+0xa>
    80002022:	3f8c                	fld	fa1,56(a5)
    80002024:	c49a6333          	0xc49a6333
    80002028:	0000                	unimp
    8000202a:	0000                	unimp
    8000202c:	c49a6333          	0xc49a6333

0000000080002030 <test_5_data>:
    80002030:	ffff                	0xffff
    80002032:	7fff                	0x7fff
    80002034:	c49a6333          	0xc49a6333
    80002038:	0000                	unimp
    8000203a:	0000                	unimp
    8000203c:	c49a6333          	0xc49a6333

0000000080002040 <test_6_data>:
    80002040:	40490fdb          	0x40490fdb
    80002044:	322bcc77          	0x322bcc77
    80002048:	0000                	unimp
    8000204a:	0000                	unimp
    8000204c:	322bcc77          	0x322bcc77

0000000080002050 <test_7_data>:
    80002050:	0000                	unimp
    80002052:	bf80                	fsd	fs0,56(a5)
    80002054:	0000                	unimp
    80002056:	c000                	sw	s0,0(s0)
    80002058:	0000                	unimp
    8000205a:	0000                	unimp
    8000205c:	0000                	unimp
    8000205e:	c000                	sw	s0,0(s0)

0000000080002060 <test_12_data>:
    80002060:	0000                	unimp
    80002062:	4020                	lw	s0,64(s0)
    80002064:	0000                	unimp
    80002066:	3f80                	fld	fs0,56(a5)
    80002068:	0000                	unimp
    8000206a:	0000                	unimp
    8000206c:	0000                	unimp
    8000206e:	4020                	lw	s0,64(s0)

0000000080002070 <test_13_data>:
    80002070:	c49a6333          	0xc49a6333
    80002074:	cccd                	beqz	s1,8000212e <_end+0xe>
    80002076:	3f8c                	fld	fa1,56(a5)
    80002078:	0000                	unimp
    8000207a:	0000                	unimp
    8000207c:	cccd                	beqz	s1,80002136 <_end+0x16>
    8000207e:	3f8c                	fld	fa1,56(a5)

0000000080002080 <test_14_data>:
    80002080:	cccd                	beqz	s1,8000213a <_end+0x1a>
    80002082:	3f8c                	fld	fa1,56(a5)
    80002084:	c49a6333          	0xc49a6333
    80002088:	0000                	unimp
    8000208a:	0000                	unimp
    8000208c:	cccd                	beqz	s1,80002146 <_end+0x26>
    8000208e:	3f8c                	fld	fa1,56(a5)

0000000080002090 <test_15_data>:
    80002090:	ffff                	0xffff
    80002092:	7fff                	0x7fff
    80002094:	c49a6333          	0xc49a6333
    80002098:	0000                	unimp
    8000209a:	0000                	unimp
    8000209c:	c49a6333          	0xc49a6333

00000000800020a0 <test_16_data>:
    800020a0:	40490fdb          	0x40490fdb
    800020a4:	322bcc77          	0x322bcc77
    800020a8:	0000                	unimp
    800020aa:	0000                	unimp
    800020ac:	40490fdb          	0x40490fdb

00000000800020b0 <test_17_data>:
    800020b0:	0000                	unimp
    800020b2:	bf80                	fsd	fs0,56(a5)
    800020b4:	0000                	unimp
    800020b6:	c000                	sw	s0,0(s0)
    800020b8:	0000                	unimp
    800020ba:	0000                	unimp
    800020bc:	0000                	unimp
    800020be:	bf80                	fsd	fs0,56(a5)

00000000800020c0 <test_20_data>:
    800020c0:	0001                	nop
    800020c2:	7f80                	ld	s0,56(a5)
    800020c4:	0000                	unimp
    800020c6:	3f80                	fld	fs0,56(a5)
    800020c8:	0000                	unimp
    800020ca:	0000                	unimp
    800020cc:	0000                	unimp
    800020ce:	3f80                	fld	fs0,56(a5)

00000000800020d0 <test_21_data>:
    800020d0:	ffff                	0xffff
    800020d2:	7fff                	0x7fff
    800020d4:	ffff                	0xffff
    800020d6:	7fff                	0x7fff
    800020d8:	0000                	unimp
    800020da:	0000                	unimp
    800020dc:	0000                	unimp
    800020de:	7fc0                	ld	s0,184(a5)

00000000800020e0 <test_30_data>:
    800020e0:	0000                	unimp
    800020e2:	8000                	0x8000
    800020e4:	0000                	unimp
    800020e6:	0000                	unimp
    800020e8:	0000                	unimp
    800020ea:	0000                	unimp
    800020ec:	0000                	unimp
    800020ee:	8000                	0x8000

00000000800020f0 <test_31_data>:
    800020f0:	0000                	unimp
    800020f2:	0000                	unimp
    800020f4:	0000                	unimp
    800020f6:	8000                	0x8000
    800020f8:	0000                	unimp
    800020fa:	0000                	unimp
    800020fc:	0000                	unimp
    800020fe:	8000                	0x8000

0000000080002100 <test_32_data>:
    80002100:	0000                	unimp
    80002102:	8000                	0x8000
    80002104:	0000                	unimp
    80002106:	0000                	unimp
    80002108:	0000                	unimp
    8000210a:	0000                	unimp
    8000210c:	0000                	unimp
    8000210e:	0000                	unimp

0000000080002110 <test_33_data>:
    80002110:	0000                	unimp
    80002112:	0000                	unimp
    80002114:	0000                	unimp
    80002116:	8000                	0x8000
    80002118:	0000                	unimp
    8000211a:	0000                	unimp
    8000211c:	0000                	unimp
    8000211e:	0000                	unimp