aboutsummaryrefslogtreecommitdiff
path: root/tcl/interface
diff options
context:
space:
mode:
Diffstat (limited to 'tcl/interface')
-rw-r--r--tcl/interface/altera-usb-blaster.cfg2
-rw-r--r--tcl/interface/altera-usb-blaster2.cfg2
-rw-r--r--tcl/interface/arm-jtag-ew.cfg3
-rw-r--r--tcl/interface/at91rm9200.cfg3
-rw-r--r--tcl/interface/buspirate.cfg3
-rw-r--r--tcl/interface/calao-usb-a9260.cfg3
-rw-r--r--tcl/interface/chameleon.cfg3
-rw-r--r--tcl/interface/cmsis-dap.cfg2
-rw-r--r--tcl/interface/dummy.cfg3
-rw-r--r--tcl/interface/estick.cfg2
-rw-r--r--tcl/interface/flashlink.cfg2
-rw-r--r--tcl/interface/ft232r.cfg4
-rw-r--r--tcl/interface/ftdi/100ask-openjtag.cfg2
-rw-r--r--tcl/interface/ftdi/axm0432.cfg2
-rw-r--r--tcl/interface/ftdi/c232hm.cfg47
-rw-r--r--tcl/interface/ftdi/calao-usb-a9260-c01.cfg2
-rw-r--r--tcl/interface/ftdi/calao-usb-a9260-c02.cfg2
-rw-r--r--tcl/interface/ftdi/cortino.cfg2
-rw-r--r--tcl/interface/ftdi/digilent-hs1.cfg2
-rw-r--r--tcl/interface/ftdi/digilent-hs2.cfg2
-rw-r--r--tcl/interface/ftdi/digilent_jtag_hs3.cfg2
-rw-r--r--tcl/interface/ftdi/digilent_jtag_smt2.cfg2
-rw-r--r--tcl/interface/ftdi/digilent_jtag_smt2_nc.cfg2
-rw-r--r--tcl/interface/ftdi/dlp-usb1232h.cfg2
-rw-r--r--tcl/interface/ftdi/dp_busblaster.cfg2
-rw-r--r--tcl/interface/ftdi/dp_busblaster_kt-link.cfg2
-rw-r--r--tcl/interface/ftdi/flossjtag-noeeprom.cfg2
-rw-r--r--tcl/interface/ftdi/flossjtag.cfg2
-rw-r--r--tcl/interface/ftdi/flyswatter.cfg2
-rw-r--r--tcl/interface/ftdi/flyswatter2.cfg2
-rw-r--r--tcl/interface/ftdi/ft232h-module-swd.cfg4
-rw-r--r--tcl/interface/ftdi/gw16042.cfg2
-rw-r--r--tcl/interface/ftdi/hilscher_nxhx10_etm.cfg2
-rw-r--r--tcl/interface/ftdi/hilscher_nxhx500_etm.cfg2
-rw-r--r--tcl/interface/ftdi/hilscher_nxhx500_re.cfg2
-rw-r--r--tcl/interface/ftdi/hilscher_nxhx50_etm.cfg2
-rw-r--r--tcl/interface/ftdi/hilscher_nxhx50_re.cfg2
-rw-r--r--tcl/interface/ftdi/hitex_lpc1768stick.cfg3
-rw-r--r--tcl/interface/ftdi/hitex_str9-comstick.cfg2
-rw-r--r--tcl/interface/ftdi/icebear.cfg2
-rw-r--r--tcl/interface/ftdi/imx8mp-evk.cfg28
-rw-r--r--tcl/interface/ftdi/incircuit-icprog.cfg2
-rw-r--r--tcl/interface/ftdi/iotlab-usb.cfg2
-rw-r--r--tcl/interface/ftdi/isodebug.cfg27
-rw-r--r--tcl/interface/ftdi/jtag-lock-pick_tiny_2.cfg2
-rw-r--r--tcl/interface/ftdi/jtagkey.cfg2
-rw-r--r--tcl/interface/ftdi/jtagkey2.cfg2
-rw-r--r--tcl/interface/ftdi/jtagkey2p.cfg2
-rw-r--r--tcl/interface/ftdi/kt-link.cfg2
-rw-r--r--tcl/interface/ftdi/lisa-l.cfg2
-rw-r--r--tcl/interface/ftdi/luminary-icdi.cfg2
-rw-r--r--tcl/interface/ftdi/luminary-lm3s811.cfg2
-rw-r--r--tcl/interface/ftdi/luminary.cfg2
-rw-r--r--tcl/interface/ftdi/m53evk.cfg2
-rw-r--r--tcl/interface/ftdi/mbftdi.cfg2
-rw-r--r--tcl/interface/ftdi/minimodule-swd.cfg2
-rw-r--r--tcl/interface/ftdi/minimodule.cfg2
-rw-r--r--tcl/interface/ftdi/minispartan6.cfg4
-rw-r--r--tcl/interface/ftdi/neodb.cfg2
-rw-r--r--tcl/interface/ftdi/ngxtech.cfg2
-rw-r--r--tcl/interface/ftdi/olimex-arm-usb-ocd-h.cfg2
-rw-r--r--tcl/interface/ftdi/olimex-arm-usb-ocd.cfg2
-rw-r--r--tcl/interface/ftdi/olimex-arm-usb-tiny-h.cfg2
-rw-r--r--tcl/interface/ftdi/olimex-jtag-tiny.cfg2
-rw-r--r--tcl/interface/ftdi/oocdlink.cfg2
-rw-r--r--tcl/interface/ftdi/opendous_ftdi.cfg2
-rw-r--r--tcl/interface/ftdi/openocd-usb-hs.cfg2
-rw-r--r--tcl/interface/ftdi/openocd-usb.cfg2
-rw-r--r--tcl/interface/ftdi/openrd.cfg2
-rw-r--r--tcl/interface/ftdi/pipistrello.cfg4
-rw-r--r--tcl/interface/ftdi/redbee-econotag.cfg2
-rw-r--r--tcl/interface/ftdi/redbee-usb.cfg2
-rw-r--r--tcl/interface/ftdi/sheevaplug.cfg2
-rw-r--r--tcl/interface/ftdi/signalyzer-lite.cfg2
-rw-r--r--tcl/interface/ftdi/signalyzer.cfg2
-rw-r--r--tcl/interface/ftdi/stm32-stick.cfg2
-rw-r--r--tcl/interface/ftdi/ti-icdi.cfg2
-rw-r--r--tcl/interface/ftdi/tumpa-lite.cfg2
-rw-r--r--tcl/interface/ftdi/tumpa.cfg2
-rw-r--r--tcl/interface/ftdi/turtelizer2-revB.cfg2
-rw-r--r--tcl/interface/ftdi/turtelizer2-revC.cfg2
-rw-r--r--tcl/interface/ftdi/um232h.cfg2
-rw-r--r--tcl/interface/ftdi/vpaclink.cfg2
-rw-r--r--tcl/interface/ftdi/xds100v2.cfg2
-rw-r--r--tcl/interface/imx-native.cfg4
-rw-r--r--tcl/interface/jlink.cfg2
-rw-r--r--tcl/interface/jtag_vpi.cfg2
-rw-r--r--tcl/interface/kitprog.cfg2
-rw-r--r--tcl/interface/nds32-aice.cfg4
-rw-r--r--tcl/interface/opendous.cfg2
-rw-r--r--tcl/interface/openjtag.cfg4
-rw-r--r--tcl/interface/osbdm.cfg2
-rw-r--r--tcl/interface/parport.cfg2
-rw-r--r--tcl/interface/parport_dlc5.cfg3
-rw-r--r--tcl/interface/raspberrypi-native.cfg2
-rw-r--r--tcl/interface/raspberrypi2-native.cfg2
-rw-r--r--tcl/interface/rlink.cfg3
-rw-r--r--tcl/interface/rshim.cfg6
-rw-r--r--tcl/interface/stlink-dap.cfg20
-rw-r--r--tcl/interface/stlink.cfg3
-rw-r--r--tcl/interface/sysfsgpio-raspberrypi.cfg2
-rw-r--r--tcl/interface/ti-icdi.cfg2
-rw-r--r--tcl/interface/ulink.cfg2
-rw-r--r--tcl/interface/usb-jtag.cfg2
-rw-r--r--tcl/interface/usbprog.cfg2
-rw-r--r--tcl/interface/vsllink.cfg3
-rw-r--r--tcl/interface/xds110.cfg2
107 files changed, 234 insertions, 123 deletions
diff --git a/tcl/interface/altera-usb-blaster.cfg b/tcl/interface/altera-usb-blaster.cfg
index 1bfef9d..84e77b1 100644
--- a/tcl/interface/altera-usb-blaster.cfg
+++ b/tcl/interface/altera-usb-blaster.cfg
@@ -4,7 +4,7 @@
# http://www.altera.com/literature/ug/ug_usb_blstr.pdf
#
-interface usb_blaster
+adapter driver usb_blaster
usb_blaster_lowlevel_driver ftdi
# These are already the defaults.
# usb_blaster_vid_pid 0x09FB 0x6001
diff --git a/tcl/interface/altera-usb-blaster2.cfg b/tcl/interface/altera-usb-blaster2.cfg
index c35be19..4642b1d 100644
--- a/tcl/interface/altera-usb-blaster2.cfg
+++ b/tcl/interface/altera-usb-blaster2.cfg
@@ -2,7 +2,7 @@
# Altera USB-Blaster II
#
-interface usb_blaster
+adapter driver usb_blaster
usb_blaster_vid_pid 0x09fb 0x6010 0x09fb 0x6810
usb_blaster_lowlevel_driver ublast2
usb_blaster_firmware /path/to/quartus/blaster_6810.hex
diff --git a/tcl/interface/arm-jtag-ew.cfg b/tcl/interface/arm-jtag-ew.cfg
index 2e8b57e..797bb71 100644
--- a/tcl/interface/arm-jtag-ew.cfg
+++ b/tcl/interface/arm-jtag-ew.cfg
@@ -4,5 +4,4 @@
# http://www.olimex.com/dev/arm-jtag-ew.html
#
-interface arm-jtag-ew
-
+adapter driver arm-jtag-ew
diff --git a/tcl/interface/at91rm9200.cfg b/tcl/interface/at91rm9200.cfg
index 2082647..b66e060 100644
--- a/tcl/interface/at91rm9200.cfg
+++ b/tcl/interface/at91rm9200.cfg
@@ -4,6 +4,5 @@
# TODO: URL?
#
-interface at91rm9200
+adapter driver at91rm9200
at91rm9200_device rea_ecr
-
diff --git a/tcl/interface/buspirate.cfg b/tcl/interface/buspirate.cfg
index c2f3a83..265e37e 100644
--- a/tcl/interface/buspirate.cfg
+++ b/tcl/interface/buspirate.cfg
@@ -4,7 +4,7 @@
# http://dangerousprototypes.com/bus-pirate-manual/
#
-interface buspirate
+adapter driver buspirate
# you need to specify port on which BP lives
#buspirate_port /dev/ttyUSB0
@@ -23,4 +23,3 @@ buspirate_speed normal ;# or fast
# this depends on the cable, you are safe with this option
reset_config srst_only
-
diff --git a/tcl/interface/calao-usb-a9260.cfg b/tcl/interface/calao-usb-a9260.cfg
index 5fae2f3..01b426b 100644
--- a/tcl/interface/calao-usb-a9260.cfg
+++ b/tcl/interface/calao-usb-a9260.cfg
@@ -6,6 +6,5 @@
# See calao-usb-a9260-c01.cfg and calao-usb-a9260-c02.cfg.
#
-adapter_nsrst_delay 200
+adapter srst delay 200
jtag_ntrst_delay 200
-
diff --git a/tcl/interface/chameleon.cfg b/tcl/interface/chameleon.cfg
index 2fb7468..1cb1d61 100644
--- a/tcl/interface/chameleon.cfg
+++ b/tcl/interface/chameleon.cfg
@@ -4,6 +4,5 @@
# http://www.amontec.com/chameleon.shtml
#
-interface parport
+adapter driver parport
parport_cable chameleon
-
diff --git a/tcl/interface/cmsis-dap.cfg b/tcl/interface/cmsis-dap.cfg
index ab5c187..887d2d7 100644
--- a/tcl/interface/cmsis-dap.cfg
+++ b/tcl/interface/cmsis-dap.cfg
@@ -4,7 +4,7 @@
# http://www.keil.com/support/man/docs/dapdebug/
#
-interface cmsis-dap
+adapter driver cmsis-dap
# Optionally specify the serial number of CMSIS-DAP usb device.
#cmsis_dap_serial 02200201E6661E601B98E3B9
diff --git a/tcl/interface/dummy.cfg b/tcl/interface/dummy.cfg
index 1c148c0..154c872 100644
--- a/tcl/interface/dummy.cfg
+++ b/tcl/interface/dummy.cfg
@@ -2,5 +2,4 @@
# Dummy interface (for testing purposes)
#
-interface dummy
-
+adapter driver dummy
diff --git a/tcl/interface/estick.cfg b/tcl/interface/estick.cfg
index adefcb7..75e6ea8 100644
--- a/tcl/interface/estick.cfg
+++ b/tcl/interface/estick.cfg
@@ -4,4 +4,4 @@
# http://code.google.com/p/estick-jtag/
#
-interface opendous
+adapter driver opendous
diff --git a/tcl/interface/flashlink.cfg b/tcl/interface/flashlink.cfg
index 56dc35e..e0a4b97 100644
--- a/tcl/interface/flashlink.cfg
+++ b/tcl/interface/flashlink.cfg
@@ -11,6 +11,6 @@ if { [info exists PARPORTADDR] } {
set _PARPORTADDR 0
}
-interface parport
+adapter driver parport
parport_port $_PARPORTADDR
parport_cable flashlink
diff --git a/tcl/interface/ft232r.cfg b/tcl/interface/ft232r.cfg
index b4f71c8..2c705c3 100644
--- a/tcl/interface/ft232r.cfg
+++ b/tcl/interface/ft232r.cfg
@@ -1,2 +1,2 @@
-interface ft232r
-adapter_khz 1000
+adapter driver ft232r
+adapter speed 1000
diff --git a/tcl/interface/ftdi/100ask-openjtag.cfg b/tcl/interface/ftdi/100ask-openjtag.cfg
index 01ae2f7..3cbd37e 100644
--- a/tcl/interface/ftdi/100ask-openjtag.cfg
+++ b/tcl/interface/ftdi/100ask-openjtag.cfg
@@ -7,7 +7,7 @@
# https://blog.matthiasbock.net/wp-content/uploads/2015/04/100ask-JTAGv3.pdf
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "USB<=>JTAG&RS232"
ftdi_vid_pid 0x1457 0x5118
diff --git a/tcl/interface/ftdi/axm0432.cfg b/tcl/interface/ftdi/axm0432.cfg
index 0c24a33..6cc1752 100644
--- a/tcl/interface/ftdi/axm0432.cfg
+++ b/tcl/interface/ftdi/axm0432.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Symphony SoundBite"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/c232hm.cfg b/tcl/interface/ftdi/c232hm.cfg
index 387abbb..27cf766 100644
--- a/tcl/interface/ftdi/c232hm.cfg
+++ b/tcl/interface/ftdi/c232hm.cfg
@@ -1,4 +1,3 @@
-#
# FTDI USB Hi-Speed to MPSSE Cable
#
# http://www.ftdichip.com/Products/Cables/USBMPSSE.htm
@@ -6,10 +5,52 @@
# C232HM-DDHSL-0 and C232HM-EDSL-0 provide 3.3V and 5V on pin 1 (Red),
# respectively.
#
+# Adapter: http://www.ftdichip.com/Support/Documents/DataSheets/Cables/DS_C232HM_MPSSE_CABLE.PDF
+# Chip: http://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT232H.pdf
+# See pinout/colors at end of this file.
+#
+# Tech notes:
+# http://www.ftdichip.com/Support/Documents/AppNotes/AN_135_MPSSE_Basics.pdf
+# http://www.ftdichip.com/Support/Documents/AppNotes/AN_129_FTDI_Hi_Speed_USB_To_JTAG_Example.pdf
-interface ftdi
+adapter driver ftdi
#ftdi_device_desc "C232HM-DDHSL-0"
#ftdi_device_desc "C232HM-EDHSL-0"
+
+# Common PID for FT232H
ftdi_vid_pid 0x0403 0x6014
-ftdi_layout_init 0x0008 0x000b
+# Layout
+# High data byte 0x40 configures red LED on ACBUS6 initially high (unlit, since active-low)
+# Low data byte 0x08 configures TMS on ACBUS3 initially high (asserted); TCK, TDI low
+# High direction byte 0x40 configures red LED on ACBUS6 as high (output)
+# Low direction byte 0x0b configures TDO on ACBUS2 as low (input)
+ftdi_layout_init 0x4008 0x400b
+
+# ---A*BUS-------CCCCCCCC|DDDDDDDD
+# --------\______76543210|76543210
+# LED 0x4000 = 01000000|00000000 = ACBUS6
+#GPIOL0 0x0010 = 00000000|00010000 = ADBUS4
+#GPIOL1 0x0020 = 00000000|00100000 = ADBUS5
+#GPIOL2 0x0040 = 00000000|01000000 = ADBUS6
+#GPIOL3 0x0080 = 00000000|10000000 = ADBUS7
+# -ndata treats the LED as active-low for expected behavior (toggle when transferring)
+ftdi_layout_signal LED -ndata 0x4000
+# Available for aliasing as desired
+ftdi_layout_signal GPIOL0 -data 0x0010 -oe 0x0010
+ftdi_layout_signal GPIOL1 -data 0x0020 -oe 0x0020
+ftdi_layout_signal GPIOL2 -data 0x0040 -oe 0x0040
+ftdi_layout_signal GPIOL3 -data 0x0080 -oe 0x0080
+
+# C232HM FT232H JTAG/Other
+# Num Color Name Func
+# 1 Red VCC Optionally, can power the board if it is not using its own power supply.
+# 2 Orange ADBUS0 TCK
+# 3 Yellow ADBUS1 TDI
+# 4 Green ADBUS2 TDO
+# 5 Brown ADBUS3 TMS
+# 6 Grey ADBUS4 GPIOL0
+# 7 Purple ADBUS5 GPIOL1
+# 8 White ADBUS6 GPIOL2
+# 9 Blue ADBUS7 GPIOL3
+# 10 Black GND Connect to ground
diff --git a/tcl/interface/ftdi/calao-usb-a9260-c01.cfg b/tcl/interface/ftdi/calao-usb-a9260-c01.cfg
index d3da6b7..a23ddbf 100644
--- a/tcl/interface/ftdi/calao-usb-a9260-c01.cfg
+++ b/tcl/interface/ftdi/calao-usb-a9260-c01.cfg
@@ -10,7 +10,7 @@ echo "interface uses the same layout as configs that were verified. Please repor
echo "experience with this file to openocd-devel mailing list, so it could be marked"
echo "as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "USB-A9260"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/calao-usb-a9260-c02.cfg b/tcl/interface/ftdi/calao-usb-a9260-c02.cfg
index dc4dca8..67427c5 100644
--- a/tcl/interface/ftdi/calao-usb-a9260-c02.cfg
+++ b/tcl/interface/ftdi/calao-usb-a9260-c02.cfg
@@ -10,7 +10,7 @@ echo "interface uses the same layout as configs that were verified. Please repor
echo "experience with this file to openocd-devel mailing list, so it could be marked"
echo "as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "USB-A9260"
ftdi_vid_pid 0x0403 0x6001
diff --git a/tcl/interface/ftdi/cortino.cfg b/tcl/interface/ftdi/cortino.cfg
index 16ede61..2bc516c 100644
--- a/tcl/interface/ftdi/cortino.cfg
+++ b/tcl/interface/ftdi/cortino.cfg
@@ -4,7 +4,7 @@
# http://www.hitex.com/index.php?id=cortino
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Cortino"
ftdi_vid_pid 0x0640 0x0032
diff --git a/tcl/interface/ftdi/digilent-hs1.cfg b/tcl/interface/ftdi/digilent-hs1.cfg
index e27249b..dfba339 100644
--- a/tcl/interface/ftdi/digilent-hs1.cfg
+++ b/tcl/interface/ftdi/digilent-hs1.cfg
@@ -1,7 +1,7 @@
# this supports JTAG-HS1 and JTAG-SMT1
# (the later being the OEM on-board version)
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Digilent Adept USB Device"
ftdi_vid_pid 0x0403 0x6010
# channel 1 does not have any functionality
diff --git a/tcl/interface/ftdi/digilent-hs2.cfg b/tcl/interface/ftdi/digilent-hs2.cfg
index 2005b66..ae6ba01 100644
--- a/tcl/interface/ftdi/digilent-hs2.cfg
+++ b/tcl/interface/ftdi/digilent-hs2.cfg
@@ -1,6 +1,6 @@
# this supports JTAG-HS2 (and apparently Nexys4 as well)
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Digilent Adept USB Device"
ftdi_vid_pid 0x0403 0x6014
diff --git a/tcl/interface/ftdi/digilent_jtag_hs3.cfg b/tcl/interface/ftdi/digilent_jtag_hs3.cfg
index f7b8e57..7160bed 100644
--- a/tcl/interface/ftdi/digilent_jtag_hs3.cfg
+++ b/tcl/interface/ftdi/digilent_jtag_hs3.cfg
@@ -2,7 +2,7 @@
# Digilent JTAG-HS3
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6014
ftdi_device_desc "Digilent USB Device"
diff --git a/tcl/interface/ftdi/digilent_jtag_smt2.cfg b/tcl/interface/ftdi/digilent_jtag_smt2.cfg
index 014fe14..493ed6a 100644
--- a/tcl/interface/ftdi/digilent_jtag_smt2.cfg
+++ b/tcl/interface/ftdi/digilent_jtag_smt2.cfg
@@ -7,7 +7,7 @@
# http://electronix.ru/forum/index.php?showtopic=114633&view=findpost&p=1215497 and ZedBoard schematics
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6014
ftdi_layout_init 0x2088 0x3f8b
diff --git a/tcl/interface/ftdi/digilent_jtag_smt2_nc.cfg b/tcl/interface/ftdi/digilent_jtag_smt2_nc.cfg
index a83a008..bc783a4 100644
--- a/tcl/interface/ftdi/digilent_jtag_smt2_nc.cfg
+++ b/tcl/interface/ftdi/digilent_jtag_smt2_nc.cfg
@@ -10,7 +10,7 @@
# Note that the digilent_jtag_smt2 layout does not work and hangs while
# the ftdi_device_desc from digilent_hs2 is wrong.
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Digilent USB Device"
ftdi_vid_pid 0x0403 0x6014
ftdi_channel 0
diff --git a/tcl/interface/ftdi/dlp-usb1232h.cfg b/tcl/interface/ftdi/dlp-usb1232h.cfg
index f447771..9ddc2c8 100644
--- a/tcl/interface/ftdi/dlp-usb1232h.cfg
+++ b/tcl/interface/ftdi/dlp-usb1232h.cfg
@@ -12,7 +12,7 @@ echo "This file was not tested with real interface, it is based on schematics an
echo "in ft2232.c. Please report your experience with this file to openocd-devel"
echo "mailing list, so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/dp_busblaster.cfg b/tcl/interface/ftdi/dp_busblaster.cfg
index 73827cf..86ab4d8 100644
--- a/tcl/interface/ftdi/dp_busblaster.cfg
+++ b/tcl/interface/ftdi/dp_busblaster.cfg
@@ -11,7 +11,7 @@
echo "Info : If you need SWD support, flash KT-Link buffer from https://github.com/bharrisau/busblaster
and use dp_busblaster_kt-link.cfg instead"
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/dp_busblaster_kt-link.cfg b/tcl/interface/ftdi/dp_busblaster_kt-link.cfg
index 2d27519..d49a4c9 100644
--- a/tcl/interface/ftdi/dp_busblaster_kt-link.cfg
+++ b/tcl/interface/ftdi/dp_busblaster_kt-link.cfg
@@ -9,7 +9,7 @@
# http://dangerousprototypes.com/docs/Bus_Blaster
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/flossjtag-noeeprom.cfg b/tcl/interface/ftdi/flossjtag-noeeprom.cfg
index 18046e7..42ed18e 100644
--- a/tcl/interface/ftdi/flossjtag-noeeprom.cfg
+++ b/tcl/interface/ftdi/flossjtag-noeeprom.cfg
@@ -17,7 +17,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/flossjtag.cfg b/tcl/interface/ftdi/flossjtag.cfg
index 13e1f0b..c4ad81d 100644
--- a/tcl/interface/ftdi/flossjtag.cfg
+++ b/tcl/interface/ftdi/flossjtag.cfg
@@ -17,7 +17,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_device_desc "FLOSS-JTAG"
#ftdi_serial "FJ000001"
diff --git a/tcl/interface/ftdi/flyswatter.cfg b/tcl/interface/ftdi/flyswatter.cfg
index 56dab1f..5e9d481 100644
--- a/tcl/interface/ftdi/flyswatter.cfg
+++ b/tcl/interface/ftdi/flyswatter.cfg
@@ -4,7 +4,7 @@
# http://www.tincantools.com/product.php?productid=16134
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Flyswatter"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/flyswatter2.cfg b/tcl/interface/ftdi/flyswatter2.cfg
index 8bd4db4..45dd0ba 100644
--- a/tcl/interface/ftdi/flyswatter2.cfg
+++ b/tcl/interface/ftdi/flyswatter2.cfg
@@ -4,7 +4,7 @@
# http://www.tincantools.com/product.php?productid=16153
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Flyswatter2"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/ft232h-module-swd.cfg b/tcl/interface/ftdi/ft232h-module-swd.cfg
index d2bd1da..98a8c84 100644
--- a/tcl/interface/ftdi/ft232h-module-swd.cfg
+++ b/tcl/interface/ftdi/ft232h-module-swd.cfg
@@ -6,7 +6,7 @@
#
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6014
@@ -15,7 +15,7 @@ ftdi_vid_pid 0x0403 0x6014
ftdi_layout_init 0x0030 0x003b
# 0xfff8 0xfffb
# Those signal are only required on some platforms or may required to be
-# enabled explicitely (e.g. nrf5x chips).
+# enabled explicitly (e.g. nrf5x chips).
ftdi_layout_signal nSRST -data 0x0010 -oe 0x0010
ftdi_layout_signal nTRST -data 0x0020 -oe 0x0020
diff --git a/tcl/interface/ftdi/gw16042.cfg b/tcl/interface/ftdi/gw16042.cfg
index 90c6f7c..1288f77 100644
--- a/tcl/interface/ftdi/gw16042.cfg
+++ b/tcl/interface/ftdi/gw16042.cfg
@@ -17,7 +17,7 @@
# BDBUS1 TXD (input)
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "USB-JTAG"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/hilscher_nxhx10_etm.cfg b/tcl/interface/ftdi/hilscher_nxhx10_etm.cfg
index b682333..3802f6d 100644
--- a/tcl/interface/ftdi/hilscher_nxhx10_etm.cfg
+++ b/tcl/interface/ftdi/hilscher_nxhx10_etm.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NXHX 10-ETM"
ftdi_vid_pid 0x0640 0x0028
diff --git a/tcl/interface/ftdi/hilscher_nxhx500_etm.cfg b/tcl/interface/ftdi/hilscher_nxhx500_etm.cfg
index 3483030..f2e64b4 100644
--- a/tcl/interface/ftdi/hilscher_nxhx500_etm.cfg
+++ b/tcl/interface/ftdi/hilscher_nxhx500_etm.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NXHX 500-ETM"
ftdi_vid_pid 0x0640 0x0028
diff --git a/tcl/interface/ftdi/hilscher_nxhx500_re.cfg b/tcl/interface/ftdi/hilscher_nxhx500_re.cfg
index b4cada0..38f3c69 100644
--- a/tcl/interface/ftdi/hilscher_nxhx500_re.cfg
+++ b/tcl/interface/ftdi/hilscher_nxhx500_re.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NXHX 500-RE"
ftdi_vid_pid 0x0640 0x0028
diff --git a/tcl/interface/ftdi/hilscher_nxhx50_etm.cfg b/tcl/interface/ftdi/hilscher_nxhx50_etm.cfg
index 67074a2..bff081f 100644
--- a/tcl/interface/ftdi/hilscher_nxhx50_etm.cfg
+++ b/tcl/interface/ftdi/hilscher_nxhx50_etm.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NXHX 50-ETM"
ftdi_vid_pid 0x0640 0x0028
diff --git a/tcl/interface/ftdi/hilscher_nxhx50_re.cfg b/tcl/interface/ftdi/hilscher_nxhx50_re.cfg
index 966dcd8..f9fbd01 100644
--- a/tcl/interface/ftdi/hilscher_nxhx50_re.cfg
+++ b/tcl/interface/ftdi/hilscher_nxhx50_re.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NXHX50-RE"
ftdi_vid_pid 0x0640 0x0028
diff --git a/tcl/interface/ftdi/hitex_lpc1768stick.cfg b/tcl/interface/ftdi/hitex_lpc1768stick.cfg
index f22d4f7..9fe80f1 100644
--- a/tcl/interface/ftdi/hitex_lpc1768stick.cfg
+++ b/tcl/interface/ftdi/hitex_lpc1768stick.cfg
@@ -5,11 +5,10 @@
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "LPC1768-Stick"
ftdi_vid_pid 0x0640 0x0026
ftdi_layout_init 0x0388 0x038b
ftdi_layout_signal nTRST -data 0x0100
ftdi_layout_signal nSRST -data 0x0080 -noe 0x200
-
diff --git a/tcl/interface/ftdi/hitex_str9-comstick.cfg b/tcl/interface/ftdi/hitex_str9-comstick.cfg
index c46f032..2b3dc36 100644
--- a/tcl/interface/ftdi/hitex_str9-comstick.cfg
+++ b/tcl/interface/ftdi/hitex_str9-comstick.cfg
@@ -4,7 +4,7 @@
# http://www.hitex.com/index.php?id=383
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "STR9-comStick"
ftdi_vid_pid 0x0640 0x002c
diff --git a/tcl/interface/ftdi/icebear.cfg b/tcl/interface/ftdi/icebear.cfg
index 2c03d41..04c2731 100644
--- a/tcl/interface/ftdi/icebear.cfg
+++ b/tcl/interface/ftdi/icebear.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "ICEbear JTAG adapter"
ftdi_vid_pid 0x0403 0xc140
diff --git a/tcl/interface/ftdi/imx8mp-evk.cfg b/tcl/interface/ftdi/imx8mp-evk.cfg
new file mode 100644
index 0000000..4e04e8c
--- /dev/null
+++ b/tcl/interface/ftdi/imx8mp-evk.cfg
@@ -0,0 +1,28 @@
+#
+# Configuration file for NXP MC-IMX8MP-EVK on-board internal JTAG
+#
+# Using this interface requires enabling "remote mode" for the board using the
+# NXP bcu tool (see https://github.com/NXPmicro/bcu)
+#
+# bcu set_gpio remote_en 1 -board=imx8mpevk
+#
+# The REMOTE_EN gpio is accessible through the same FTDI adapter but it's
+# behind an I2C GPIO expander.
+#
+
+adapter driver ftdi
+ftdi_vid_pid 0x0403 0x6011
+ftdi_channel 0
+
+ftdi_layout_init 0x00f8 0x000b
+
+ftdi_layout_signal RESET_B -data 0x0010 -oe 0x0010
+# Called SYS_nRST in schematics
+ftdi_layout_signal nSRST -data 0x0020 -oe 0x0020
+ftdi_layout_signal IO_nRST -data 0x0040 -oe 0x0040
+ftdi_layout_signal ONOFF_B -data 0x0080 -oe 0x0080
+
+ftdi_layout_signal GPIO1 -data 0x0100 -oe 0x0100
+ftdi_layout_signal GPIO2 -data 0x0200 -oe 0x0200
+ftdi_layout_signal GPIO3 -data 0x0400 -oe 0x0400
+ftdi_layout_signal GPIO4 -data 0x0800 -oe 0x0800
diff --git a/tcl/interface/ftdi/incircuit-icprog.cfg b/tcl/interface/ftdi/incircuit-icprog.cfg
index 5e90a70..e0bd5ef 100644
--- a/tcl/interface/ftdi/incircuit-icprog.cfg
+++ b/tcl/interface/ftdi/incircuit-icprog.cfg
@@ -6,7 +6,7 @@
# http://wiki.in-circuit.de/images/0/06/610000158A_openocd.pdf
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_layout_init 0x0508 0x0f1b
diff --git a/tcl/interface/ftdi/iotlab-usb.cfg b/tcl/interface/ftdi/iotlab-usb.cfg
index fbbad0c..caa0596 100644
--- a/tcl/interface/ftdi/iotlab-usb.cfg
+++ b/tcl/interface/ftdi/iotlab-usb.cfg
@@ -3,7 +3,7 @@
# https://github.com/iot-lab/iot-lab/wiki
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_layout_init 0x0008 0x000b
diff --git a/tcl/interface/ftdi/isodebug.cfg b/tcl/interface/ftdi/isodebug.cfg
new file mode 100644
index 0000000..ead2864
--- /dev/null
+++ b/tcl/interface/ftdi/isodebug.cfg
@@ -0,0 +1,27 @@
+# isodebug v1
+# 5 kV isolated JTAG/SWD + UART adapter by Unjo AB
+
+adapter driver ftdi
+ftdi_vid_pid 0x22b7 0x150d
+
+ftdi_layout_init 0x0ff8 0xfffb
+
+ftdi_layout_signal LED -ndata 0x0100
+ftdi_layout_signal nTRST -data 0x0200
+ftdi_layout_signal nSRST -noe 0x0400
+ftdi_layout_signal SWDIO_OE -data 0x0008
+
+# Mode signals, either of these needs to be high to drive the JTAG/SWD pins.
+# The power-on state is low for both signals but the init setting above sets
+# JTAG_EN high.
+ftdi_layout_signal SWD_EN -data 0x1000
+ftdi_layout_signal JTAG_EN -data 0x0800
+
+# In SWD mode, the JTAG_EN signal doubles as SWO_EN_N which switches the
+# second FTDI channel UART RxD to the SWO pin instead of the separate RxD
+# pin. Note that the default init state has this pin high so when OpenOCD
+# starts in SWD mode, SWO is by default disabled. To enable SWO tracing,
+# issue the command 'ftdi_set_signal SWO_EN 1' where tracing is configured.
+# To switch back to using the separate UART, SWO_EN needs to be disabled
+# before exiting OpenOCD, or the adapter replugged.
+ftdi_layout_signal SWO_EN -nalias JTAG_EN
diff --git a/tcl/interface/ftdi/jtag-lock-pick_tiny_2.cfg b/tcl/interface/ftdi/jtag-lock-pick_tiny_2.cfg
index c5e5db4..82eeaa7 100644
--- a/tcl/interface/ftdi/jtag-lock-pick_tiny_2.cfg
+++ b/tcl/interface/ftdi/jtag-lock-pick_tiny_2.cfg
@@ -4,7 +4,7 @@
# http://www.distortec.com
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "JTAG-lock-pick Tiny 2"
ftdi_vid_pid 0x0403 0x8220
diff --git a/tcl/interface/ftdi/jtagkey.cfg b/tcl/interface/ftdi/jtagkey.cfg
index 7b87e6d..06463ab 100644
--- a/tcl/interface/ftdi/jtagkey.cfg
+++ b/tcl/interface/ftdi/jtagkey.cfg
@@ -4,7 +4,7 @@
# http://www.amontec.com/jtagkey.shtml
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Amontec JTAGkey"
ftdi_vid_pid 0x0403 0xcff8
diff --git a/tcl/interface/ftdi/jtagkey2.cfg b/tcl/interface/ftdi/jtagkey2.cfg
index c6c2b32..ba151d3 100644
--- a/tcl/interface/ftdi/jtagkey2.cfg
+++ b/tcl/interface/ftdi/jtagkey2.cfg
@@ -4,7 +4,7 @@
# http://www.amontec.com/jtagkey2.shtml
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Amontec JTAGkey-2"
ftdi_vid_pid 0x0403 0xcff8
diff --git a/tcl/interface/ftdi/jtagkey2p.cfg b/tcl/interface/ftdi/jtagkey2p.cfg
index dc9c456..acb5047 100644
--- a/tcl/interface/ftdi/jtagkey2p.cfg
+++ b/tcl/interface/ftdi/jtagkey2p.cfg
@@ -4,7 +4,7 @@
# http://www.amontec.com/jtagkey2p.shtml
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Amontec JTAGkey-2P"
ftdi_vid_pid 0x0403 0xcff8
diff --git a/tcl/interface/ftdi/kt-link.cfg b/tcl/interface/ftdi/kt-link.cfg
index 1f28d3a..5fc5db9 100644
--- a/tcl/interface/ftdi/kt-link.cfg
+++ b/tcl/interface/ftdi/kt-link.cfg
@@ -4,7 +4,7 @@
# http://www.kristech.eu
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "KT-LINK"
ftdi_vid_pid 0x0403 0xbbe2
diff --git a/tcl/interface/ftdi/lisa-l.cfg b/tcl/interface/ftdi/lisa-l.cfg
index 67002bb..4e52f7b 100644
--- a/tcl/interface/ftdi/lisa-l.cfg
+++ b/tcl/interface/ftdi/lisa-l.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on schematics an
echo "in ft2232.c. Please report your experience with this file to openocd-devel"
echo "mailing list, so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Lisa/L"
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 1
diff --git a/tcl/interface/ftdi/luminary-icdi.cfg b/tcl/interface/ftdi/luminary-icdi.cfg
index 2eea806..8bc783e 100644
--- a/tcl/interface/ftdi/luminary-icdi.cfg
+++ b/tcl/interface/ftdi/luminary-icdi.cfg
@@ -15,7 +15,7 @@
# http://www.luminarymicro.com/products/ek-lm3s9b92.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Luminary Micro ICDI Board"
ftdi_vid_pid 0x0403 0xbcda
diff --git a/tcl/interface/ftdi/luminary-lm3s811.cfg b/tcl/interface/ftdi/luminary-lm3s811.cfg
index 543b1e0..aac915e 100644
--- a/tcl/interface/ftdi/luminary-lm3s811.cfg
+++ b/tcl/interface/ftdi/luminary-lm3s811.cfg
@@ -11,7 +11,7 @@
# need to use the "luminary_icdi" layout to work correctly.
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "LM3S811 Evaluation Board"
ftdi_vid_pid 0x0403 0xbcd9
diff --git a/tcl/interface/ftdi/luminary.cfg b/tcl/interface/ftdi/luminary.cfg
index 20b5422..5e34f8c 100644
--- a/tcl/interface/ftdi/luminary.cfg
+++ b/tcl/interface/ftdi/luminary.cfg
@@ -24,7 +24,7 @@
# firmware via the ITM module as well as profile data.
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Stellaris Evaluation Board"
ftdi_vid_pid 0x0403 0xbcd9
diff --git a/tcl/interface/ftdi/m53evk.cfg b/tcl/interface/ftdi/m53evk.cfg
index 2b97270..6597f2d 100644
--- a/tcl/interface/ftdi/m53evk.cfg
+++ b/tcl/interface/ftdi/m53evk.cfg
@@ -4,7 +4,7 @@
# http://www.denx-cs.de/?q=M53EVK
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/mbftdi.cfg b/tcl/interface/ftdi/mbftdi.cfg
index d051ccc..c0ff865 100644
--- a/tcl/interface/ftdi/mbftdi.cfg
+++ b/tcl/interface/ftdi/mbftdi.cfg
@@ -9,7 +9,7 @@
# and http://www.marsohod.org/plata-marsokhod3 for details.
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/minimodule-swd.cfg b/tcl/interface/ftdi/minimodule-swd.cfg
index 5f0b212..7ab4650 100644
--- a/tcl/interface/ftdi/minimodule-swd.cfg
+++ b/tcl/interface/ftdi/minimodule-swd.cfg
@@ -34,7 +34,7 @@ Supports SWD using the FT2232H or FT4232H minimodule.
# CN2-22 - nRESET
#
-interface ftdi
+adapter driver ftdi
#Select your module type and channel
diff --git a/tcl/interface/ftdi/minimodule.cfg b/tcl/interface/ftdi/minimodule.cfg
index 7df096d..5dcce1f 100644
--- a/tcl/interface/ftdi/minimodule.cfg
+++ b/tcl/interface/ftdi/minimodule.cfg
@@ -4,7 +4,7 @@
# http://www.ftdichip.com/Support/Documents/DataSheets/Modules/DS_FT2232H_Mini_Module.pdf
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "FT2232H MiniModule"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/minispartan6.cfg b/tcl/interface/ftdi/minispartan6.cfg
index 8f16011..97a6abe 100644
--- a/tcl/interface/ftdi/minispartan6.cfg
+++ b/tcl/interface/ftdi/minispartan6.cfg
@@ -1,6 +1,6 @@
# https://www.scarabhardware.com/minispartan6/
# https://github.com/scarabhardware/miniSpartan6-plus/raw/master/miniSpartan6%2B_Rev_B.pdf
-interface ftdi
+adapter driver ftdi
# The miniSpartan6+ sadly doesn't have a custom device description, so we just
# have to hope you got it right.
#ftdi_device_desc "Dual RS232-HS"
@@ -12,4 +12,4 @@ ftdi_layout_init 0x0008 0x000b
reset_config none
# this generally works fast: the fpga can handle 30MHz, the spi flash can handle
# 54MHz with simple read, no dummy cycles, and wait-for-write-completion
-adapter_khz 30000
+adapter speed 30000
diff --git a/tcl/interface/ftdi/neodb.cfg b/tcl/interface/ftdi/neodb.cfg
index 6cc8ccf..1cfb352 100644
--- a/tcl/interface/ftdi/neodb.cfg
+++ b/tcl/interface/ftdi/neodb.cfg
@@ -4,7 +4,7 @@
# http://wiki.openmoko.org/wiki/Debug_Board_v3
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Debug Board for Neo1973"
ftdi_vid_pid 0x1457 0x5118
diff --git a/tcl/interface/ftdi/ngxtech.cfg b/tcl/interface/ftdi/ngxtech.cfg
index 9eaa3c5..3aa79ab 100644
--- a/tcl/interface/ftdi/ngxtech.cfg
+++ b/tcl/interface/ftdi/ngxtech.cfg
@@ -10,7 +10,7 @@ echo "interface uses the same layout as configs that were verified. Please repor
echo "experience with this file to openocd-devel mailing list, so it could be marked"
echo "as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "NGX JTAG"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/olimex-arm-usb-ocd-h.cfg b/tcl/interface/ftdi/olimex-arm-usb-ocd-h.cfg
index 5b27d38..c8e3bef 100644
--- a/tcl/interface/ftdi/olimex-arm-usb-ocd-h.cfg
+++ b/tcl/interface/ftdi/olimex-arm-usb-ocd-h.cfg
@@ -4,7 +4,7 @@
# http://www.olimex.com/dev/arm-usb-ocd-h.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-OCD-H"
ftdi_vid_pid 0x15ba 0x002b
diff --git a/tcl/interface/ftdi/olimex-arm-usb-ocd.cfg b/tcl/interface/ftdi/olimex-arm-usb-ocd.cfg
index e1aeeea..f9126d4 100644
--- a/tcl/interface/ftdi/olimex-arm-usb-ocd.cfg
+++ b/tcl/interface/ftdi/olimex-arm-usb-ocd.cfg
@@ -4,7 +4,7 @@
# http://www.olimex.com/dev/arm-usb-ocd.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Olimex OpenOCD JTAG"
ftdi_vid_pid 0x15ba 0x0003
diff --git a/tcl/interface/ftdi/olimex-arm-usb-tiny-h.cfg b/tcl/interface/ftdi/olimex-arm-usb-tiny-h.cfg
index f77c24b..eac25b6 100644
--- a/tcl/interface/ftdi/olimex-arm-usb-tiny-h.cfg
+++ b/tcl/interface/ftdi/olimex-arm-usb-tiny-h.cfg
@@ -4,7 +4,7 @@
# http://www.olimex.com/dev/arm-usb-tiny-h.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Olimex OpenOCD JTAG ARM-USB-TINY-H"
ftdi_vid_pid 0x15ba 0x002a
diff --git a/tcl/interface/ftdi/olimex-jtag-tiny.cfg b/tcl/interface/ftdi/olimex-jtag-tiny.cfg
index b3c6a71..4811f4d 100644
--- a/tcl/interface/ftdi/olimex-jtag-tiny.cfg
+++ b/tcl/interface/ftdi/olimex-jtag-tiny.cfg
@@ -4,7 +4,7 @@
# http://www.olimex.com/dev/arm-usb-tiny.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Olimex OpenOCD JTAG TINY"
ftdi_vid_pid 0x15ba 0x0004
diff --git a/tcl/interface/ftdi/oocdlink.cfg b/tcl/interface/ftdi/oocdlink.cfg
index fc09a16..deba4a5 100644
--- a/tcl/interface/ftdi/oocdlink.cfg
+++ b/tcl/interface/ftdi/oocdlink.cfg
@@ -10,7 +10,7 @@ echo "interface uses the same layout as configs that were verified. Please repor
echo "experience with this file to openocd-devel mailing list, so it could be marked"
echo "as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "OOCDLink"
ftdi_vid_pid 0x0403 0xbaf8
diff --git a/tcl/interface/ftdi/opendous_ftdi.cfg b/tcl/interface/ftdi/opendous_ftdi.cfg
index 6a12d72..50f32fb 100644
--- a/tcl/interface/ftdi/opendous_ftdi.cfg
+++ b/tcl/interface/ftdi/opendous_ftdi.cfg
@@ -7,7 +7,7 @@
# (and it has a different pid number).
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 1
diff --git a/tcl/interface/ftdi/openocd-usb-hs.cfg b/tcl/interface/ftdi/openocd-usb-hs.cfg
index 37a717d..6f67689 100644
--- a/tcl/interface/ftdi/openocd-usb-hs.cfg
+++ b/tcl/interface/ftdi/openocd-usb-hs.cfg
@@ -4,7 +4,7 @@
# http://shop.embedded-projects.net/index.php?module=artikel&action=artikel&id=14
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232-HS"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/openocd-usb.cfg b/tcl/interface/ftdi/openocd-usb.cfg
index ff537c7..ed80a05 100644
--- a/tcl/interface/ftdi/openocd-usb.cfg
+++ b/tcl/interface/ftdi/openocd-usb.cfg
@@ -4,7 +4,7 @@
# http://www.hs-augsburg.de/~hhoegl/proj/usbjtag/usbjtag.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Dual RS232"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/openrd.cfg b/tcl/interface/ftdi/openrd.cfg
index 9ec5b5f..535c5e8 100644
--- a/tcl/interface/ftdi/openrd.cfg
+++ b/tcl/interface/ftdi/openrd.cfg
@@ -4,7 +4,7 @@
# http://www.marvell.com/products/embedded_processors/developer/kirkwood/openrd.jsp
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "OpenRD JTAGKey FT2232D B"
ftdi_vid_pid 0x0403 0x9e90
ftdi_channel 0
diff --git a/tcl/interface/ftdi/pipistrello.cfg b/tcl/interface/ftdi/pipistrello.cfg
index 5ee5be5..2074924 100644
--- a/tcl/interface/ftdi/pipistrello.cfg
+++ b/tcl/interface/ftdi/pipistrello.cfg
@@ -1,6 +1,6 @@
# http://pipistrello.saanlima.com/
# http://www.saanlima.com/download/pipistrello-v2.0/pipistrello_v2_schematic.pdf
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Pipistrello LX45"
ftdi_vid_pid 0x0403 0x6010
# interface 1 is the uart
@@ -10,4 +10,4 @@ ftdi_layout_init 0x0008 0x000b
reset_config none
# this generally works fast: the fpga can handle 30MHz, the spi flash can handle
# 54MHz with simple read, no dummy cycles, and wait-for-write-completion
-adapter_khz 10000
+adapter speed 10000
diff --git a/tcl/interface/ftdi/redbee-econotag.cfg b/tcl/interface/ftdi/redbee-econotag.cfg
index 70c30d6..b6f6d23 100644
--- a/tcl/interface/ftdi/redbee-econotag.cfg
+++ b/tcl/interface/ftdi/redbee-econotag.cfg
@@ -13,7 +13,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_layout_init 0x0c08 0x0c2b
diff --git a/tcl/interface/ftdi/redbee-usb.cfg b/tcl/interface/ftdi/redbee-usb.cfg
index b79300d..52ab93e 100644
--- a/tcl/interface/ftdi/redbee-usb.cfg
+++ b/tcl/interface/ftdi/redbee-usb.cfg
@@ -13,7 +13,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x6010
ftdi_channel 1
diff --git a/tcl/interface/ftdi/sheevaplug.cfg b/tcl/interface/ftdi/sheevaplug.cfg
index 625aad3..d4ec72e 100644
--- a/tcl/interface/ftdi/sheevaplug.cfg
+++ b/tcl/interface/ftdi/sheevaplug.cfg
@@ -4,7 +4,7 @@
# http://www.marvell.com/products/embedded_processors/developer/kirkwood/sheevaplug.jsp
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "SheevaPlug JTAGKey FT2232D B"
ftdi_vid_pid 0x9e88 0x9e8f
ftdi_channel 0
diff --git a/tcl/interface/ftdi/signalyzer-lite.cfg b/tcl/interface/ftdi/signalyzer-lite.cfg
index 4988a3b..4778420 100644
--- a/tcl/interface/ftdi/signalyzer-lite.cfg
+++ b/tcl/interface/ftdi/signalyzer-lite.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Signalyzer LITE"
ftdi_vid_pid 0x0403 0xbca1
diff --git a/tcl/interface/ftdi/signalyzer.cfg b/tcl/interface/ftdi/signalyzer.cfg
index e2629be..2439298 100644
--- a/tcl/interface/ftdi/signalyzer.cfg
+++ b/tcl/interface/ftdi/signalyzer.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on code in ft223
echo "Please report your experience with this file to openocd-devel mailing list,"
echo "so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Signalyzer"
ftdi_vid_pid 0x0403 0xbca0
diff --git a/tcl/interface/ftdi/stm32-stick.cfg b/tcl/interface/ftdi/stm32-stick.cfg
index 2aff1fe..7ae02bd 100644
--- a/tcl/interface/ftdi/stm32-stick.cfg
+++ b/tcl/interface/ftdi/stm32-stick.cfg
@@ -4,7 +4,7 @@
# http://www.hitex.com/index.php?id=340
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "STM32-PerformanceStick"
ftdi_vid_pid 0x0640 0x002d
diff --git a/tcl/interface/ftdi/ti-icdi.cfg b/tcl/interface/ftdi/ti-icdi.cfg
index 6af809c..55085ea 100644
--- a/tcl/interface/ftdi/ti-icdi.cfg
+++ b/tcl/interface/ftdi/ti-icdi.cfg
@@ -6,7 +6,7 @@
# support) but the USB IDs are different.
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0451 0xc32a
ftdi_layout_init 0x00a8 0x00eb
diff --git a/tcl/interface/ftdi/tumpa-lite.cfg b/tcl/interface/ftdi/tumpa-lite.cfg
index 657515a..7f576e9 100644
--- a/tcl/interface/ftdi/tumpa-lite.cfg
+++ b/tcl/interface/ftdi/tumpa-lite.cfg
@@ -4,7 +4,7 @@
# http://www.diygadget.com/tiao-usb-multi-protocol-adapter-lite-jtag-spi-i2c-serial.html
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x8a99
ftdi_layout_init 0x0038 0x087b
diff --git a/tcl/interface/ftdi/tumpa.cfg b/tcl/interface/ftdi/tumpa.cfg
index e4b59b1..1a4e3cd 100644
--- a/tcl/interface/ftdi/tumpa.cfg
+++ b/tcl/interface/ftdi/tumpa.cfg
@@ -4,7 +4,7 @@
# http://www.diygadget.com/tiao-usb-multi-protocol-adapter-jtag-spi-i2c-serial.html
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0x8a98 0x0403 0x6010
ftdi_layout_init 0x0038 0x087b
diff --git a/tcl/interface/ftdi/turtelizer2-revB.cfg b/tcl/interface/ftdi/turtelizer2-revB.cfg
index 4584040..34ae861 100644
--- a/tcl/interface/ftdi/turtelizer2-revB.cfg
+++ b/tcl/interface/ftdi/turtelizer2-revB.cfg
@@ -9,7 +9,7 @@ echo "This file was not tested with real interface, it is based on schematics an
echo "in ft2232.c. Please report your experience with this file to openocd-devel"
echo "mailing list, so it could be marked as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Turtelizer JTAG/RS232 Adapter"
ftdi_vid_pid 0x0403 0xbdc8
diff --git a/tcl/interface/ftdi/turtelizer2-revC.cfg b/tcl/interface/ftdi/turtelizer2-revC.cfg
index 918ac49..f5192fb 100644
--- a/tcl/interface/ftdi/turtelizer2-revC.cfg
+++ b/tcl/interface/ftdi/turtelizer2-revC.cfg
@@ -4,7 +4,7 @@
# http://www.ethernut.de/en/hardware/turtelizer/index.html
#
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "Turtelizer JTAG/RS232 Adapter"
ftdi_vid_pid 0x0403 0xbdc8
diff --git a/tcl/interface/ftdi/um232h.cfg b/tcl/interface/ftdi/um232h.cfg
index 6ba6f43..2dabbec 100644
--- a/tcl/interface/ftdi/um232h.cfg
+++ b/tcl/interface/ftdi/um232h.cfg
@@ -7,7 +7,7 @@
# Note that UM232H and UM232H-B are 3.3V only.
#
-interface ftdi
+adapter driver ftdi
#ftdi_device_desc "UM232H"
ftdi_vid_pid 0x0403 0x6014
diff --git a/tcl/interface/ftdi/vpaclink.cfg b/tcl/interface/ftdi/vpaclink.cfg
index 2057619..ed4895a 100644
--- a/tcl/interface/ftdi/vpaclink.cfg
+++ b/tcl/interface/ftdi/vpaclink.cfg
@@ -10,7 +10,7 @@ echo "interface uses the same layout as configs that were verified. Please repor
echo "experience with this file to openocd-devel mailing list, so it could be marked"
echo "as working or fixed."
-interface ftdi
+adapter driver ftdi
ftdi_device_desc "VPACLink"
ftdi_vid_pid 0x0403 0x6010
diff --git a/tcl/interface/ftdi/xds100v2.cfg b/tcl/interface/ftdi/xds100v2.cfg
index 2628aa0..860a758 100644
--- a/tcl/interface/ftdi/xds100v2.cfg
+++ b/tcl/interface/ftdi/xds100v2.cfg
@@ -7,7 +7,7 @@
# to the registered TI users.
#
-interface ftdi
+adapter driver ftdi
ftdi_vid_pid 0x0403 0xa6d0 0x0403 0x6010
ftdi_layout_init 0x0038 0x597b
diff --git a/tcl/interface/imx-native.cfg b/tcl/interface/imx-native.cfg
index c2f80eb..9e1f38d 100644
--- a/tcl/interface/imx-native.cfg
+++ b/tcl/interface/imx-native.cfg
@@ -7,7 +7,7 @@
#
#
-interface imx_gpio
+adapter driver imx_gpio
# For most IMX processors 0x0209c000
imx_gpio_peripheral_base 0x0209c000
@@ -32,4 +32,4 @@ imx_gpio_swd_nums 1 6
# reset_config srst_only srst_push_pull
# or if you have both connected,
-# reset_config trst_and_srst srst_push_pull \ No newline at end of file
+# reset_config trst_and_srst srst_push_pull
diff --git a/tcl/interface/jlink.cfg b/tcl/interface/jlink.cfg
index a4f9ddd..51f420b 100644
--- a/tcl/interface/jlink.cfg
+++ b/tcl/interface/jlink.cfg
@@ -4,7 +4,7 @@
# http://www.segger.com/jlink.html
#
-interface jlink
+adapter driver jlink
# The serial number can be used to select a specific device in case more than
# one is connected to the host.
diff --git a/tcl/interface/jtag_vpi.cfg b/tcl/interface/jtag_vpi.cfg
index a37a11e..e665a63 100644
--- a/tcl/interface/jtag_vpi.cfg
+++ b/tcl/interface/jtag_vpi.cfg
@@ -1,4 +1,4 @@
-interface jtag_vpi
+adapter driver jtag_vpi
# Set the VPI JTAG server port
if { [info exists VPI_PORT] } {
diff --git a/tcl/interface/kitprog.cfg b/tcl/interface/kitprog.cfg
index 9449714..29fce48 100644
--- a/tcl/interface/kitprog.cfg
+++ b/tcl/interface/kitprog.cfg
@@ -6,7 +6,7 @@
# interface driver or switch the KitProg to KitProg mode.
#
-interface kitprog
+adapter driver kitprog
# Optionally specify the serial number of the KitProg you want to use.
#kitprog_serial 1926402735485200
diff --git a/tcl/interface/nds32-aice.cfg b/tcl/interface/nds32-aice.cfg
index 5363b4c..3b21025 100644
--- a/tcl/interface/nds32-aice.cfg
+++ b/tcl/interface/nds32-aice.cfg
@@ -4,12 +4,12 @@
# http://www.andestech.com
#
-interface aice
+adapter driver aice
aice desc "Andes AICE adapter"
aice serial "C001-42163"
aice vid_pid 0x1CFC 0x0000
aice port aice_usb
reset_config trst_and_srst
-adapter_khz 24000
+adapter speed 24000
aice retry_times 50
aice count_to_check_dbger 30
diff --git a/tcl/interface/opendous.cfg b/tcl/interface/opendous.cfg
index 21ced6f..23fddc6 100644
--- a/tcl/interface/opendous.cfg
+++ b/tcl/interface/opendous.cfg
@@ -4,4 +4,4 @@
# http://code.google.com/p/opendous-jtag/
#
-interface opendous
+adapter driver opendous
diff --git a/tcl/interface/openjtag.cfg b/tcl/interface/openjtag.cfg
index b20c22b..9a5827b 100644
--- a/tcl/interface/openjtag.cfg
+++ b/tcl/interface/openjtag.cfg
@@ -4,5 +4,5 @@
# www.openjtag.org
#
-interface openjtag
-openjtag_device_desc "Open JTAG Project" \ No newline at end of file
+adapter driver openjtag
+openjtag_device_desc "Open JTAG Project"
diff --git a/tcl/interface/osbdm.cfg b/tcl/interface/osbdm.cfg
index e88ce50..6e88c07 100644
--- a/tcl/interface/osbdm.cfg
+++ b/tcl/interface/osbdm.cfg
@@ -3,5 +3,5 @@
#
# http://pemicro.com/osbdm/
#
-interface osbdm
+adapter driver osbdm
reset_config srst_only
diff --git a/tcl/interface/parport.cfg b/tcl/interface/parport.cfg
index ae3f8f1..4c0b260 100644
--- a/tcl/interface/parport.cfg
+++ b/tcl/interface/parport.cfg
@@ -14,6 +14,6 @@ if { [info exists PARPORTADDR] } {
}
}
-interface parport
+adapter driver parport
parport_port $_PARPORTADDR
parport_cable wiggler
diff --git a/tcl/interface/parport_dlc5.cfg b/tcl/interface/parport_dlc5.cfg
index 9834580..e9beaaf 100644
--- a/tcl/interface/parport_dlc5.cfg
+++ b/tcl/interface/parport_dlc5.cfg
@@ -10,7 +10,6 @@ if { [info exists PARPORTADDR] } {
set _PARPORTADDR 0
}
-interface parport
+adapter driver parport
parport_port $_PARPORTADDR
parport_cable dlc5
-
diff --git a/tcl/interface/raspberrypi-native.cfg b/tcl/interface/raspberrypi-native.cfg
index c63dfdb..2d0547f 100644
--- a/tcl/interface/raspberrypi-native.cfg
+++ b/tcl/interface/raspberrypi-native.cfg
@@ -8,7 +8,7 @@
# Do not forget the GND connection, pin 6 of the expansion header.
#
-interface bcm2835gpio
+adapter driver bcm2835gpio
bcm2835gpio_peripheral_base 0x20000000
diff --git a/tcl/interface/raspberrypi2-native.cfg b/tcl/interface/raspberrypi2-native.cfg
index 26a31c5..e53b0f3 100644
--- a/tcl/interface/raspberrypi2-native.cfg
+++ b/tcl/interface/raspberrypi2-native.cfg
@@ -8,7 +8,7 @@
# Do not forget the GND connection, pin 6 of the expansion header.
#
-interface bcm2835gpio
+adapter driver bcm2835gpio
bcm2835gpio_peripheral_base 0x3F000000
diff --git a/tcl/interface/rlink.cfg b/tcl/interface/rlink.cfg
index 2f13cc4..29d3ce5 100644
--- a/tcl/interface/rlink.cfg
+++ b/tcl/interface/rlink.cfg
@@ -4,5 +4,4 @@
# http://www.mcu-raisonance.com/~rlink-debugger-programmer__microcontrollers__tool~tool__T018:4cn9ziz4bnx6.html
#
-interface rlink
-
+adapter driver rlink
diff --git a/tcl/interface/rshim.cfg b/tcl/interface/rshim.cfg
new file mode 100644
index 0000000..accabf5
--- /dev/null
+++ b/tcl/interface/rshim.cfg
@@ -0,0 +1,6 @@
+#
+# BlueField SoC in-circuit debugger/programmer
+#
+
+adapter driver rshim
+transport select dapdirect_swd
diff --git a/tcl/interface/stlink-dap.cfg b/tcl/interface/stlink-dap.cfg
new file mode 100644
index 0000000..ac4de18
--- /dev/null
+++ b/tcl/interface/stlink-dap.cfg
@@ -0,0 +1,20 @@
+#
+# STMicroelectronics ST-LINK/V1, ST-LINK/V2, ST-LINK/V2-1, STLINK-V3 in-circuit
+# debugger/programmer
+#
+# This new interface driver creates a ST-Link wrapper for ARM-DAP named "dapdirect"
+# Old ST-LINK/V1 and ST-LINK/V2 pre version V2J24 don't support "dapdirect"
+#
+# SWIM transport is natively supported
+#
+
+adapter driver st-link
+st-link vid_pid 0x0483 0x3744 0x0483 0x3748 0x0483 0x374b 0x0483 0x374d 0x0483 0x374e 0x0483 0x374f 0x0483 0x3752 0x0483 0x3753
+
+# transport select dapdirect_jtag
+# transport select dapdirect_swd
+# transport select swim
+
+# Optionally specify the serial number of usb device
+# e.g.
+# st-link serial "\xaa\xbc\x6e\x06\x50\x75\xff\x55\x17\x42\x19\x3f"
diff --git a/tcl/interface/stlink.cfg b/tcl/interface/stlink.cfg
index 735ad5a..54cd63e 100644
--- a/tcl/interface/stlink.cfg
+++ b/tcl/interface/stlink.cfg
@@ -3,7 +3,7 @@
# debugger/programmer
#
-interface hla
+adapter driver hla
hla_layout stlink
hla_device_desc "ST-LINK"
hla_vid_pid 0x0483 0x3744 0x0483 0x3748 0x0483 0x374b 0x0483 0x374d 0x0483 0x374e 0x0483 0x374f 0x0483 0x3752 0x0483 0x3753
@@ -14,4 +14,3 @@ hla_vid_pid 0x0483 0x3744 0x0483 0x3748 0x0483 0x374b 0x0483 0x374d 0x0483 0x374
# number reset issues.
# eg.
#hla_serial "\xaa\xbc\x6e\x06\x50\x75\xff\x55\x17\x42\x19\x3f"
-
diff --git a/tcl/interface/sysfsgpio-raspberrypi.cfg b/tcl/interface/sysfsgpio-raspberrypi.cfg
index 9f5b87c..ebb1502 100644
--- a/tcl/interface/sysfsgpio-raspberrypi.cfg
+++ b/tcl/interface/sysfsgpio-raspberrypi.cfg
@@ -8,7 +8,7 @@
# Do not forget the GND connection, pin 6 of the expansion header.
#
-interface sysfsgpio
+adapter driver sysfsgpio
# Each of the JTAG lines need a gpio number set: tck tms tdi tdo
# Header pin numbers: 23 22 19 21
diff --git a/tcl/interface/ti-icdi.cfg b/tcl/interface/ti-icdi.cfg
index 0fc3a9b..9b46b43 100644
--- a/tcl/interface/ti-icdi.cfg
+++ b/tcl/interface/ti-icdi.cfg
@@ -7,7 +7,7 @@
# http://www.ti.com/tool/ek-lm4f232
#
-interface hla
+adapter driver hla
hla_layout ti-icdi
hla_vid_pid 0x1cbe 0x00fd
diff --git a/tcl/interface/ulink.cfg b/tcl/interface/ulink.cfg
index 3b1fad0..164b990 100644
--- a/tcl/interface/ulink.cfg
+++ b/tcl/interface/ulink.cfg
@@ -5,4 +5,4 @@
# http://article.gmane.org/gmane.comp.debugging.openocd.devel/17362
#
-interface ulink
+adapter driver ulink
diff --git a/tcl/interface/usb-jtag.cfg b/tcl/interface/usb-jtag.cfg
index cb4d29b..8617c78 100644
--- a/tcl/interface/usb-jtag.cfg
+++ b/tcl/interface/usb-jtag.cfg
@@ -29,7 +29,7 @@
# level driver. Loading firmware is currently only supported on the ublast2
# driver but ixo-usb-jtag requires the ftdi driver.
-interface usb_blaster
+adapter driver usb_blaster
usb_blaster_vid_pid 0x16C0 0x06AD
usb_blaster_device_desc "Van Ooijen Technische Informatica"
# ixo-usb-jtag is only compatible with the ublast1 protocol implemented via the
diff --git a/tcl/interface/usbprog.cfg b/tcl/interface/usbprog.cfg
index b4f0da3..f65c1d4 100644
--- a/tcl/interface/usbprog.cfg
+++ b/tcl/interface/usbprog.cfg
@@ -4,7 +4,7 @@
# http://embedded-projects.net/index.php?page_id=135
#
-interface usbprog
+adapter driver usbprog
# USBprog is broken w/short TMS sequences, this is a workaround
# until the C code can be fixed.
tms_sequence long
diff --git a/tcl/interface/vsllink.cfg b/tcl/interface/vsllink.cfg
index fad7934..d40dbb4 100644
--- a/tcl/interface/vsllink.cfg
+++ b/tcl/interface/vsllink.cfg
@@ -4,5 +4,4 @@
# http://www.versaloon.com/
#
-interface vsllink
-
+adapter driver vsllink
diff --git a/tcl/interface/xds110.cfg b/tcl/interface/xds110.cfg
index 495e202..edc438d 100644
--- a/tcl/interface/xds110.cfg
+++ b/tcl/interface/xds110.cfg
@@ -5,7 +5,7 @@
# http://processors.wiki.ti.com/index.php/Emulation_Software_Package#XDS110_Support_Utilities
#
-interface xds110
+adapter driver xds110
# Use serial number option to use a specific XDS110
# when more than one are connected to the host.