aboutsummaryrefslogtreecommitdiff
path: root/src/images/wavedrom
diff options
context:
space:
mode:
authorBill Traynor <wmat@riscv.org>2023-03-15 16:41:17 -0400
committerBill Traynor <wmat@riscv.org>2023-03-15 16:41:17 -0400
commitfabf561035ce9e2410909f23f1dcb2731b98d62e (patch)
tree29c31c1fc17479c258c805995d9f05fb51768004 /src/images/wavedrom
parentc6220fa1cc9c7770848e41f2267db3d8907c5005 (diff)
downloadriscv-isa-manual-fabf561035ce9e2410909f23f1dcb2731b98d62e.zip
riscv-isa-manual-fabf561035ce9e2410909f23f1dcb2731b98d62e.tar.gz
riscv-isa-manual-fabf561035ce9e2410909f23f1dcb2731b98d62e.tar.bz2
Added two more opcode diags
Added sinval.vvma and hinval.GVMA
Diffstat (limited to 'src/images/wavedrom')
-rw-r--r--src/images/wavedrom/hinvalgvma.edn11
-rw-r--r--src/images/wavedrom/hinvalvvma.edn11
2 files changed, 22 insertions, 0 deletions
diff --git a/src/images/wavedrom/hinvalgvma.edn b/src/images/wavedrom/hinvalgvma.edn
new file mode 100644
index 0000000..4a2c3fd
--- /dev/null
+++ b/src/images/wavedrom/hinvalgvma.edn
@@ -0,0 +1,11 @@
+[wavedrom, ,]
+....
+{reg: [
+ {bits: 7, name: 'opcode', attr: ['7', 'SYSTEM'], type: 8},
+ {bits: 5, name: 'rd', attr: ['5', '0'], type: 2},
+ {bits: 3, name: 'funct3', attr: ['3', 'PRIV'], type: 8},
+ {bits: 5, name: 'rs1', attr: ['5', 'gaddr'], type: 4},
+ {bits: 5, name: 'rs2', attr: ['5', 'vmid'], type: 4},
+ {bits: 7, name: 'funct7', attr: ['7', 'HINVAL.GVMA'], type: 8},
+]}
+.... \ No newline at end of file
diff --git a/src/images/wavedrom/hinvalvvma.edn b/src/images/wavedrom/hinvalvvma.edn
new file mode 100644
index 0000000..cf4c2d1
--- /dev/null
+++ b/src/images/wavedrom/hinvalvvma.edn
@@ -0,0 +1,11 @@
+[wavedrom, ,]
+....
+{reg: [
+ {bits: 7, name: 'opcode', attr: ['7', 'SYSTEM'], type: 8},
+ {bits: 5, name: 'rd', attr: ['5', '0'], type: 2},
+ {bits: 3, name: 'funct3', attr: ['3', 'PRIV'], type: 8},
+ {bits: 5, name: 'rs1', attr: ['5', 'vaddr'], type: 4},
+ {bits: 5, name: 'rs2', attr: ['5', 'asid'], type: 4},
+ {bits: 7, name: 'funct7', attr: ['7', 'HINVAL.VVMA'], type: 8},
+]}
+.... \ No newline at end of file