aboutsummaryrefslogtreecommitdiff
BranchCommit messageAuthorAge
masterlib: sbi: fix missing high 32bits when sbi_cppc_write on rv32Xiang W3 weeks
release-1.3.xlib: utils/gpio: Fix RV32 compile error for designware GPIO driverAnup Patel15 months
release-1.5.xlib: sbi: check result of pmp_get() in is_pmp_entry_mapped()Carlos López3 months
 
TagDownloadAuthorAge
v1.5.1opensbi-1.5.1.zip  opensbi-1.5.1.tar.gz  opensbi-1.5.1.tar.bz2  Anup Patel3 months
v1.5opensbi-1.5.zip  opensbi-1.5.tar.gz  opensbi-1.5.tar.bz2  Anup Patel4 months
v1.4opensbi-1.4.zip  opensbi-1.4.tar.gz  opensbi-1.4.tar.bz2  Anup Patel10 months
v1.3.1opensbi-1.3.1.zip  opensbi-1.3.1.tar.gz  opensbi-1.3.1.tar.bz2  Anup Patel15 months
v1.3opensbi-1.3.zip  opensbi-1.3.tar.gz  opensbi-1.3.tar.bz2  Anup Patel16 months
v1.2opensbi-1.2.zip  opensbi-1.2.tar.gz  opensbi-1.2.tar.bz2  Anup Patel22 months
v1.1opensbi-1.1.zip  opensbi-1.1.tar.gz  opensbi-1.1.tar.bz2  Anup Patel2 years
v1.0opensbi-1.0.zip  opensbi-1.0.tar.gz  opensbi-1.0.tar.bz2  Anup Patel3 years
v0.9opensbi-0.9.zip  opensbi-0.9.tar.gz  opensbi-0.9.tar.bz2  Anup Patel4 years
v0.8opensbi-0.8.zip  opensbi-0.8.tar.gz  opensbi-0.8.tar.bz2  Anup Patel4 years
[...]
 
AgeCommit messageAuthorFilesLines
2024-09-27lib: sbi: fix missing high 32bits when sbi_cppc_write on rv32HEADmasterXiang W1-1/+7
2024-09-27firmware: fw_base.S: fix multi-core boot bug.dong.yang1-4/+6
2024-09-27include: sbi: Don't unconditionally define '__always_inline'Elyes Haouas1-0/+3
2024-09-27Makefile: Make .carray.c files depend on carray.shSamuel Holland1-3/+3
2024-09-27lib: utils/ipi: Fix hartid wrongly used as hart indexSamuel Holland2-4/+2
2024-09-26lib: sbi: Remove unused hartid parametersSamuel Holland3-10/+10
2024-09-26lib: sbi: Update sbi_{entry,init}_count() to take a hart indexSamuel Holland4-10/+12
2024-09-26lib: sbi: Update sbi_domain_is_assigned_hart() to take a hart indexSamuel Holland6-15/+17
2024-09-26lib: sbi: Use sbi_hartmask in sbi_hsm_hart_interruptible_mask()Samuel Holland6-56/+52
2024-09-26lib: sbi: Update __sbi_hsm_hart_get_state() to take a hart indexSamuel Holland3-10/+13
[...]