// vor VI_VV_LOOP ({ vd = vs1 | vs2; })