From 93ebd039aab8b830e0887dd59360f2ba8de75c07 Mon Sep 17 00:00:00 2001 From: blueswir1 Date: Fri, 26 Oct 2007 18:42:59 +0000 Subject: Make Slirp statistics gathering and output conditional to LOG_ENABLED Add 'info slirp' command to monitor to display statistics Disable Slirp debugging code by default git-svn-id: svn://svn.savannah.nongnu.org/qemu/trunk@3451 c046a42c-6fe2-441c-8c8c-71466251a162 --- if.h | 2 ++ 1 file changed, 2 insertions(+) (limited to 'if.h') diff --git a/if.h b/if.h index 26f536a..5092730 100644 --- a/if.h +++ b/if.h @@ -29,6 +29,7 @@ extern struct mbuf *next_m; #define ifs_init(ifm) ((ifm)->ifs_next = (ifm)->ifs_prev = (ifm)) +#ifdef LOG_ENABLED /* Interface statistics */ struct slirp_ifstats { u_int out_pkts; /* Output packets */ @@ -46,5 +47,6 @@ struct slirp_ifstats { u_int in_mbad; /* Bad incoming packets */ }; +#endif #endif -- cgit v1.1