aboutsummaryrefslogtreecommitdiff
path: root/hdata/vpd.c
diff options
context:
space:
mode:
Diffstat (limited to 'hdata/vpd.c')
-rw-r--r--hdata/vpd.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/hdata/vpd.c b/hdata/vpd.c
index 5562579..06a3b18 100644
--- a/hdata/vpd.c
+++ b/hdata/vpd.c
@@ -402,7 +402,7 @@ void dt_init_vpd_node(void)
/* Get SLCA entry */
entry = slca_get_entry(index);
if (!entry)
- goto next_entry;
+ continue;
/*
* A child entry is valid if all of the following criteria is met