aboutsummaryrefslogtreecommitdiff
path: root/gas
diff options
context:
space:
mode:
authorNick Clifton <nickc@redhat.com>2004-11-24 13:23:53 +0000
committerNick Clifton <nickc@redhat.com>2004-11-24 13:23:53 +0000
commit5515a510de9c22cdf852ca9f55cd8efbe28dbbfb (patch)
tree331d875483f27cac866b303190bd174dc6e83a6f /gas
parentd8b2b7a5532059be58d58163b75c9f1d260c1b8f (diff)
downloadgdb-5515a510de9c22cdf852ca9f55cd8efbe28dbbfb.zip
gdb-5515a510de9c22cdf852ca9f55cd8efbe28dbbfb.tar.gz
gdb-5515a510de9c22cdf852ca9f55cd8efbe28dbbfb.tar.bz2
Remove IQ10 support from IQ2000 port
Diffstat (limited to 'gas')
-rw-r--r--gas/ChangeLog6
-rw-r--r--gas/config/tc-iq2000.c853
-rw-r--r--gas/config/tc-iq2000.h18
-rw-r--r--gas/testsuite/ChangeLog39
-rw-r--r--gas/testsuite/gas/iq2000/allinsn.exp1
-rw-r--r--gas/testsuite/gas/iq2000/q10allinsn.d492
-rw-r--r--gas/testsuite/gas/iq2000/q10allinsn.s641
-rw-r--r--gas/testsuite/gas/iq2000/q10hazard3.s14
-rw-r--r--gas/testsuite/gas/iq2000/q10hazard4.s11
-rw-r--r--gas/testsuite/gas/iq2000/q10hazard5.s11
-rw-r--r--gas/testsuite/gas/iq2000/q10load-hazards.exp62
-rw-r--r--gas/testsuite/gas/iq2000/q10nohazard.s20
-rw-r--r--gas/testsuite/gas/iq2000/q10noyield.s14
-rw-r--r--gas/testsuite/gas/iq2000/q10test0.d361
-rw-r--r--gas/testsuite/gas/iq2000/q10test0.s354
-rw-r--r--gas/testsuite/gas/iq2000/q10test1.d317
-rw-r--r--gas/testsuite/gas/iq2000/q10test1.s310
-rw-r--r--gas/testsuite/gas/iq2000/q10test10.d301
-rw-r--r--gas/testsuite/gas/iq2000/q10test10.s294
-rw-r--r--gas/testsuite/gas/iq2000/q10test11.d225
-rw-r--r--gas/testsuite/gas/iq2000/q10test11.s218
-rw-r--r--gas/testsuite/gas/iq2000/q10test12.d221
-rw-r--r--gas/testsuite/gas/iq2000/q10test12.s214
-rw-r--r--gas/testsuite/gas/iq2000/q10test2.d319
-rw-r--r--gas/testsuite/gas/iq2000/q10test2.s312
-rw-r--r--gas/testsuite/gas/iq2000/q10test3.d313
-rw-r--r--gas/testsuite/gas/iq2000/q10test3.s306
-rw-r--r--gas/testsuite/gas/iq2000/q10test4.d315
-rw-r--r--gas/testsuite/gas/iq2000/q10test4.s308
-rw-r--r--gas/testsuite/gas/iq2000/q10test5.d315
-rw-r--r--gas/testsuite/gas/iq2000/q10test5.s308
-rw-r--r--gas/testsuite/gas/iq2000/q10test6.d315
-rw-r--r--gas/testsuite/gas/iq2000/q10test6.s308
-rw-r--r--gas/testsuite/gas/iq2000/q10test7.d301
-rw-r--r--gas/testsuite/gas/iq2000/q10test7.s294
-rw-r--r--gas/testsuite/gas/iq2000/q10test8.d327
-rw-r--r--gas/testsuite/gas/iq2000/q10test8.s286
-rw-r--r--gas/testsuite/gas/iq2000/q10test9.d291
-rw-r--r--gas/testsuite/gas/iq2000/q10test9.s284
-rw-r--r--gas/testsuite/gas/iq2000/q10yield.exp39
-rw-r--r--gas/testsuite/gas/iq2000/test.exp17
41 files changed, 400 insertions, 9555 deletions
diff --git a/gas/ChangeLog b/gas/ChangeLog
index 8a742f6..6f3b2c9 100644
--- a/gas/ChangeLog
+++ b/gas/ChangeLog
@@ -1,3 +1,9 @@
+2004-11-24 Nick Clifton <nickc@redhat.com>
+
+ * config/tc-iq2000.c: Remove support for IQ10 processor.
+ Convert to ISO C90 formatting.
+ * config/tc-iq2000.h: Likewise.
+
2004-11-23 Nick Clifton <nickc@redhat.com>
* config/tc-mn10300.c (md_relax_table): More fixes to the offsets
diff --git a/gas/config/tc-iq2000.c b/gas/config/tc-iq2000.c
index 9f591d3..3ca9621 100644
--- a/gas/config/tc-iq2000.c
+++ b/gas/config/tc-iq2000.c
@@ -21,7 +21,7 @@
#include <stdio.h>
#include "as.h"
#include "safe-ctype.h"
-#include "subsegs.h"
+#include "subsegs.h"
#include "symcat.h"
#include "opcodes/iq2000-desc.h"
#include "opcodes/iq2000-opc.h"
@@ -56,22 +56,22 @@ iq2000_insn;
const char comment_chars[] = "#";
const char line_comment_chars[] = "";
-const char line_separator_chars[] = ";";
+const char line_separator_chars[] = ";";
const char EXP_CHARS[] = "eE";
const char FLT_CHARS[] = "dD";
-/* Default machine */
-
+/* Default machine. */
#define DEFAULT_MACHINE bfd_mach_iq2000
#define DEFAULT_FLAGS EF_IQ2000_CPU_IQ2000
static unsigned long iq2000_mach = bfd_mach_iq2000;
static int cpu_mach = (1 << MACH_IQ2000);
-/* Flags to set in the elf header */
+/* Flags to set in the elf header. */
static flagword iq2000_flags = DEFAULT_FLAGS;
-typedef struct proc {
+typedef struct proc
+{
symbolS *isym;
unsigned long reg_mask;
unsigned long reg_offset;
@@ -86,35 +86,6 @@ static procS cur_proc;
static procS *cur_proc_ptr;
static int numprocs;
-static void s_change_sec PARAMS ((int));
-static void s_iq2000_set PARAMS ((int));
-static void s_iq2000_mask PARAMS ((int));
-static void s_iq2000_frame PARAMS ((int));
-static void s_iq2000_ent PARAMS ((int));
-static void s_iq2000_end PARAMS ((int));
-static int get_number PARAMS ((void));
-static symbolS * get_symbol PARAMS ((void));
-static void iq2000_record_hi16 PARAMS((int, fixS *, segT));
-
-
-/* The target specific pseudo-ops which we support. */
-const pseudo_typeS md_pseudo_table[] =
-{
- { "align", s_align_bytes, 0 },
- { "word", cons, 4 },
- { "rdata", s_change_sec, 'r'},
- { "sdata", s_change_sec, 's'},
- { "set", s_iq2000_set, 0 },
- { "ent", s_iq2000_ent, 0 },
- { "end", s_iq2000_end, 0 },
- { "frame", s_iq2000_frame, 0 },
- { "fmask", s_iq2000_mask, 'F' },
- { "mask", s_iq2000_mask, 'R' },
- { "dword", cons, 8 },
- { "half", cons, 2 },
- { NULL, NULL, 0 }
-};
-
/* Relocations against symbols are done in two
parts, with a HI relocation and a LO relocation. Each relocation
has only 16 bits of space to store an addend. This means that in
@@ -129,171 +100,39 @@ const pseudo_typeS md_pseudo_table[] =
struct iq2000_hi_fixup
{
struct iq2000_hi_fixup * next; /* Next HI fixup. */
- fixS * fixp; /* This fixup. */
- segT seg; /* The section this fixup is in. */
-
+ fixS * fixp; /* This fixup. */
+ segT seg; /* The section this fixup is in. */
};
/* The list of unmatched HI relocs. */
static struct iq2000_hi_fixup * iq2000_hi_fixup_list;
+/* Macro hash table, which we will add to. */
+extern struct hash_control *macro_hash;
-/* assembler options */
-#define OPTION_CPU_2000 (OPTION_MD_BASE)
-#define OPTION_CPU_10 (OPTION_MD_BASE + 1)
-
+const char *md_shortopts = "";
struct option md_longopts[] =
-{
- { "m2000", no_argument, NULL, OPTION_CPU_2000 },
- { "m10", no_argument, NULL, OPTION_CPU_10 },
- { NULL, no_argument, NULL, 0 },
+{
+ {NULL, no_argument, NULL, 0}
};
-
size_t md_longopts_size = sizeof (md_longopts);
-const char * md_shortopts = "";
-
-static void iq2000_add_macro PARAMS ((const char *, const char *, const char **));
-static void iq2000_load_macros PARAMS ((void));
-static void iq10_load_macros PARAMS ((void));
-
-/* macro hash table, which we will add to. */
-extern struct hash_control *macro_hash;
-
int
-md_parse_option (c, arg)
- int c ATTRIBUTE_UNUSED;
- char * arg ATTRIBUTE_UNUSED;
+md_parse_option (int c ATTRIBUTE_UNUSED,
+ char * arg ATTRIBUTE_UNUSED)
{
- switch (c)
- {
- case OPTION_CPU_2000:
- iq2000_flags = (iq2000_flags & ~EF_IQ2000_CPU_MASK) | EF_IQ2000_CPU_IQ2000;
- iq2000_mach = bfd_mach_iq2000;
- cpu_mach = (1 << MACH_IQ2000);
- break;
-
- case OPTION_CPU_10:
- iq2000_flags = (iq2000_flags & ~EF_IQ2000_CPU_MASK) | EF_IQ2000_CPU_IQ10;
- iq2000_mach = bfd_mach_iq10;
- cpu_mach = (1 << MACH_IQ10);
- /* only the first 3 pseudo ops (word, file, loc) are in IQ10 */
- break;
-
- default:
- return 0;
- }
- return 1;
+ return 0;
}
void
-md_show_usage (stream)
- FILE * stream;
-{
- fprintf (stream, _("IQ2000 specific command line options:\n"));
- fprintf (stream, _("-m2000 <default> IQ2000 processor\n"));
- fprintf (stream, _("-m10 IQ10 processor\n"));
-}
-
-
-void
-md_begin ()
+md_show_usage (FILE * stream ATTRIBUTE_UNUSED)
{
- /* Initialize the `cgen' interface. */
-
- /* Set the machine number and endian. */
- gas_cgen_cpu_desc = iq2000_cgen_cpu_open (CGEN_CPU_OPEN_MACHS, cpu_mach,
- CGEN_CPU_OPEN_ENDIAN,
- CGEN_ENDIAN_BIG,
- CGEN_CPU_OPEN_END);
- iq2000_cgen_init_asm (gas_cgen_cpu_desc);
-
- /* This is a callback from cgen to gas to parse operands. */
- cgen_set_parse_operand_fn (gas_cgen_cpu_desc, gas_cgen_parse_operand);
-
- /* Set the ELF flags if desired. */
- if (iq2000_flags)
- bfd_set_private_flags (stdoutput, iq2000_flags);
-
- /* Set the machine type */
- bfd_default_set_arch_mach (stdoutput, bfd_arch_iq2000, iq2000_mach);
-
- if (iq2000_mach == bfd_mach_iq2000)
- iq2000_load_macros ();
- else
- iq10_load_macros ();
}
-
-static void
-iq2000_add_macro (name, semantics, arguments)
- const char *name;
- const char *semantics;
- const char **arguments;
-{
- macro_entry *macro;
- sb macro_name;
- const char *namestr;
-
- macro = (macro_entry *) xmalloc (sizeof (macro_entry));
- sb_new (&macro->sub);
- sb_new (&macro_name);
-
- macro->formal_count = 0;
- macro->formals = 0;
-
- sb_add_string (&macro->sub, semantics);
-
- if (arguments != NULL)
- {
- formal_entry **p = &macro->formals;
-
- macro->formal_count = 0;
- macro->formal_hash = hash_new ();
- while (*arguments != NULL)
- {
- formal_entry *formal;
-
- formal = (formal_entry *) xmalloc (sizeof (formal_entry));
-
- sb_new (&formal->name);
- sb_new (&formal->def);
- sb_new (&formal->actual);
-
- /* chlm: Added the following to allow defaulted args. */
- if (strchr (*arguments,'='))
- {
- char * tt_args = strdup(*arguments);
- char * tt_dflt = strchr(tt_args,'=');
-
- *tt_dflt = 0;
- sb_add_string (&formal->name, tt_args);
- sb_add_string (&formal->def, tt_dflt + 1);
- }
- else
- sb_add_string (&formal->name, *arguments);
-
- /* Add to macro's hash table. */
- hash_jam (macro->formal_hash, sb_terminate (&formal->name), formal);
-
- formal->index = macro->formal_count;
- macro->formal_count++;
- *p = formal;
- p = &formal->next;
- *p = NULL;
- ++arguments;
- }
- }
-
- sb_add_string (&macro_name, name);
- namestr = sb_terminate (&macro_name);
- hash_jam (macro_hash, namestr, (PTR) macro);
-
- macro_defined = 1;
-}
-
+
/* Automatically enter conditional branch macros. */
-typedef struct {
+typedef struct
+{
const char * mnemonic;
const char ** expansion;
const char ** args;
@@ -301,10 +140,8 @@ typedef struct {
static const char * abs_args[] = { "rd", "rs", "scratch=%1", NULL };
static const char * abs_expn = "\n sra \\rd,\\rs,31\n xor \\scratch,\\rd,\\rs\n sub \\rd,\\scratch,\\rd\n";
-
static const char * la_expn = "\n lui \\reg,%hi(\\label)\n ori \\reg,\\reg,%lo(\\label)\n";
static const char * la_args[] = { "reg", "label", NULL };
-
static const char * bxx_args[] = { "rs", "rt", "label", "scratch=%1", NULL };
static const char * bge_expn = "\n slt \\scratch,\\rs,\\rt\n beq %0,\\scratch,\\label\n";
static const char * bgeu_expn = "\n sltu \\scratch,\\rs,\\rt\n beq %0,\\scratch,\\label\n";
@@ -314,7 +151,6 @@ static const char * ble_expn = "\n slt \\scratch,\\rt,\\rs\n beq %0,\\scratch,
static const char * bleu_expn = "\n sltu \\scratch,\\rt,\\rs\n beq %0,\\scratch,\\label\n";
static const char * blt_expn = "\n slt \\scratch,\\rs,\\rt\n bne %0,\\scratch,\\label\n";
static const char * bltu_expn = "\n sltu \\scratch,\\rs,\\rt\n bne %0,\\scratch,\\label\n";
-
static const char * sxx_args[] = { "rd", "rs", "rt", NULL };
static const char * sge_expn = "\n slt \\rd,\\rs,\\rt\n xori \\rd,\\rd,1\n";
static const char * sgeu_expn = "\n sltu \\rd,\\rs,\\rt\n xori \\rd,\\rd,1\n";
@@ -324,7 +160,6 @@ static const char * sgt_expn = "\n slt \\rd,\\rt,\\rs\n";
static const char * sgtu_expn = "\n sltu \\rd,\\rt,\\rs\n";
static const char * sne_expn = "\n xor \\rd,\\rt,\\rs\n sltu \\rd,%0,\\rd\n";
static const char * seq_expn = "\n xor \\rd,\\rt,\\rs\n sltu \\rd,%0,\\rd\n xori \\rd,\\rd,1\n";
-
static const char * ai32_args[] = { "rt", "rs", "imm", NULL };
static const char * andi32_expn = "\n\
.if (\\imm & 0xffff0000 == 0xffff0000)\n\
@@ -350,7 +185,6 @@ static const char * ori32_expn = "\n\
static const char * neg_args[] = { "rd", "rs", NULL };
static const char * neg_expn = "\n sub \\rd,%0,\\rs\n";
static const char * negu_expn = "\n subu \\rd,%0,\\rs\n";
-
static const char * li_args[] = { "rt", "imm", NULL };
static const char * li_expn = "\n\
.if (\\imm & 0xffff0000 == 0x0)\n\
@@ -363,38 +197,106 @@ static const char * li_expn = "\n\
lui \\rt,%uhi(\\imm)\n\
ori \\rt,\\rt,%lo(\\imm)\n\
.endif\n";
-
-static iq2000_macro_defs_s iq2000_macro_defs[] = {
- {"abs", (const char **)&abs_expn, (const char **)&abs_args},
- {"la", (const char **)&la_expn, (const char **)&la_args},
- {"bge", (const char **)&bge_expn, (const char **)&bxx_args},
- {"bgeu", (const char **)&bgeu_expn, (const char **)&bxx_args},
- {"bgt", (const char **)&bgt_expn, (const char **)&bxx_args},
- {"bgtu", (const char **)&bgtu_expn, (const char **)&bxx_args},
- {"ble", (const char **)&ble_expn, (const char **)&bxx_args},
- {"bleu", (const char **)&bleu_expn, (const char **)&bxx_args},
- {"blt", (const char **)&blt_expn, (const char **)&bxx_args},
- {"bltu", (const char **)&bltu_expn, (const char **)&bxx_args},
- {"sge", (const char **)&sge_expn, (const char **)&sxx_args},
- {"sgeu", (const char **)&sgeu_expn, (const char **)&sxx_args},
- {"sle", (const char **)&sle_expn, (const char **)&sxx_args},
- {"sleu", (const char **)&sleu_expn, (const char **)&sxx_args},
- {"sgt", (const char **)&sgt_expn, (const char **)&sxx_args},
- {"sgtu", (const char **)&sgtu_expn, (const char **)&sxx_args},
- {"seq", (const char **)&seq_expn, (const char **)&sxx_args},
- {"sne", (const char **)&sne_expn, (const char **)&sxx_args},
- {"neg", (const char **)&neg_expn, (const char **)&neg_args},
- {"negu", (const char **)&negu_expn, (const char **)&neg_args},
- {"li", (const char **)&li_expn, (const char **)&li_args},
- {"ori32", (const char **)&ori32_expn, (const char **)&ai32_args},
- {"andi32",(const char **)&andi32_expn,(const char **)&ai32_args},
+
+static iq2000_macro_defs_s iq2000_macro_defs[] =
+{
+ {"abs", (const char **) & abs_expn, (const char **) & abs_args},
+ {"la", (const char **) & la_expn, (const char **) & la_args},
+ {"bge", (const char **) & bge_expn, (const char **) & bxx_args},
+ {"bgeu", (const char **) & bgeu_expn, (const char **) & bxx_args},
+ {"bgt", (const char **) & bgt_expn, (const char **) & bxx_args},
+ {"bgtu", (const char **) & bgtu_expn, (const char **) & bxx_args},
+ {"ble", (const char **) & ble_expn, (const char **) & bxx_args},
+ {"bleu", (const char **) & bleu_expn, (const char **) & bxx_args},
+ {"blt", (const char **) & blt_expn, (const char **) & bxx_args},
+ {"bltu", (const char **) & bltu_expn, (const char **) & bxx_args},
+ {"sge", (const char **) & sge_expn, (const char **) & sxx_args},
+ {"sgeu", (const char **) & sgeu_expn, (const char **) & sxx_args},
+ {"sle", (const char **) & sle_expn, (const char **) & sxx_args},
+ {"sleu", (const char **) & sleu_expn, (const char **) & sxx_args},
+ {"sgt", (const char **) & sgt_expn, (const char **) & sxx_args},
+ {"sgtu", (const char **) & sgtu_expn, (const char **) & sxx_args},
+ {"seq", (const char **) & seq_expn, (const char **) & sxx_args},
+ {"sne", (const char **) & sne_expn, (const char **) & sxx_args},
+ {"neg", (const char **) & neg_expn, (const char **) & neg_args},
+ {"negu", (const char **) & negu_expn, (const char **) & neg_args},
+ {"li", (const char **) & li_expn, (const char **) & li_args},
+ {"ori32", (const char **) & ori32_expn, (const char **) & ai32_args},
+ {"andi32",(const char **) & andi32_expn,(const char **) & ai32_args},
};
static void
-iq2000_load_macros ()
+iq2000_add_macro (const char * name,
+ const char * semantics,
+ const char ** arguments)
+{
+ macro_entry *macro;
+ sb macro_name;
+ const char *namestr;
+
+ macro = xmalloc (sizeof (macro_entry));
+ sb_new (& macro->sub);
+ sb_new (& macro_name);
+
+ macro->formal_count = 0;
+ macro->formals = 0;
+
+ sb_add_string (& macro->sub, semantics);
+
+ if (arguments != NULL)
+ {
+ formal_entry ** p = &macro->formals;
+
+ macro->formal_count = 0;
+ macro->formal_hash = hash_new ();
+
+ while (*arguments != NULL)
+ {
+ formal_entry *formal;
+
+ formal = xmalloc (sizeof (formal_entry));
+
+ sb_new (& formal->name);
+ sb_new (& formal->def);
+ sb_new (& formal->actual);
+
+ /* chlm: Added the following to allow defaulted args. */
+ if (strchr (*arguments,'='))
+ {
+ char * tt_args = strdup (*arguments);
+ char * tt_dflt = strchr (tt_args,'=');
+
+ *tt_dflt = 0;
+ sb_add_string (& formal->name, tt_args);
+ sb_add_string (& formal->def, tt_dflt + 1);
+ }
+ else
+ sb_add_string (& formal->name, *arguments);
+
+ /* Add to macro's hash table. */
+ hash_jam (macro->formal_hash, sb_terminate (& formal->name), formal);
+
+ formal->index = macro->formal_count;
+ macro->formal_count++;
+ *p = formal;
+ p = & formal->next;
+ *p = NULL;
+ ++arguments;
+ }
+ }
+
+ sb_add_string (&macro_name, name);
+ namestr = sb_terminate (&macro_name);
+ hash_jam (macro_hash, namestr, macro);
+
+ macro_defined = 1;
+}
+
+static void
+iq2000_load_macros (void)
{
int i;
- int mcnt = sizeof (iq2000_macro_defs) / sizeof (iq2000_macro_defs_s);
+ int mcnt = ARRAY_SIZE (iq2000_macro_defs);
for (i = 0; i < mcnt; i++)
iq2000_add_macro (iq2000_macro_defs[i].mnemonic,
@@ -402,26 +304,33 @@ iq2000_load_macros ()
iq2000_macro_defs[i].args);
}
-static void
-iq10_load_macros ()
+void
+md_begin (void)
{
- /* Allow all iq2k macros in iq10, instead of just LA. */
- iq2000_load_macros ();
-#if 0
- char *la_sem = "\n lui \\reg,%hi(\\label)\n ori \\reg,\\reg,%lo(\\label)\n";
+ /* Initialize the `cgen' interface. */
- char *la_arg_1 = "reg";
- char *la_arg_2 = "label";
- const char *la_args[3] = { la_arg_1, la_arg_2, NULL };
+ /* Set the machine number and endian. */
+ gas_cgen_cpu_desc = iq2000_cgen_cpu_open (CGEN_CPU_OPEN_MACHS, cpu_mach,
+ CGEN_CPU_OPEN_ENDIAN,
+ CGEN_ENDIAN_BIG,
+ CGEN_CPU_OPEN_END);
+ iq2000_cgen_init_asm (gas_cgen_cpu_desc);
- iq2000_add_macro ("la", la_sem, la_args);
-#endif
-}
+ /* This is a callback from cgen to gas to parse operands. */
+ cgen_set_parse_operand_fn (gas_cgen_cpu_desc, gas_cgen_parse_operand);
+
+ /* Set the ELF flags if desired. */
+ if (iq2000_flags)
+ bfd_set_private_flags (stdoutput, iq2000_flags);
+ /* Set the machine type */
+ bfd_default_set_arch_mach (stdoutput, bfd_arch_iq2000, iq2000_mach);
+
+ iq2000_load_macros ();
+}
void
-md_assemble (str)
- char * str;
+md_assemble (char * str)
{
static long delayed_load_register = 0;
static int last_insn_had_delay_slot = 0;
@@ -448,29 +357,21 @@ md_assemble (str)
gas_cgen_finish_insn (insn.insn, insn.buffer,
CGEN_FIELDS_BITSIZE (& insn.fields), 1, NULL);
- /* We need to generate an error if there's a yielding instruction in the delay
- slot of a control flow modifying instruction (jump (yes), load (no)) */
+ /* We need to generate an error if there's a yielding instruction in the delay
+ slot of a control flow modifying instruction (jump (yes), load (no)) */
if ((last_insn_had_delay_slot && !last_insn_has_load_delay) &&
CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_YIELD_INSN))
as_bad (_("the yielding instruction %s may not be in a delay slot."),
CGEN_INSN_NAME (insn.insn));
/* Warn about odd numbered base registers for paired-register
- instructions like LDW. On iq2000, result is always rt. */
+ instructions like LDW. On iq2000, result is always rt. */
if (iq2000_mach == bfd_mach_iq2000
&& CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_EVEN_REG_NUM)
&& (insn.fields.f_rt % 2))
as_bad (_("Register number (R%ld) for double word access must be even."),
insn.fields.f_rt);
- /* Warn about odd numbered base registers for paired-register
- instructions like LDW. On iq10, result is always rd. */
- if (iq2000_mach == bfd_mach_iq10
- && CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_EVEN_REG_NUM)
- && (insn.fields.f_rd % 2))
- as_bad (_("Register number (R%ld) for double word access must be even."),
- insn.fields.f_rd);
-
/* Warn about insns that reference the target of a previous load. */
/* NOTE: R0 is a special case and is not subject to load delays (except for ldw). */
if (delayed_load_register && (last_insn_has_load_delay || last_insn_was_ldw))
@@ -479,34 +380,34 @@ md_assemble (str)
insn.fields.f_rd == delayed_load_register)
as_warn (_("operand references R%ld of previous load."),
insn.fields.f_rd);
-
+
if (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RS) &&
insn.fields.f_rs == delayed_load_register)
as_warn (_("operand references R%ld of previous load."),
insn.fields.f_rs);
-
+
if (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RT) &&
insn.fields.f_rt == delayed_load_register)
as_warn (_("operand references R%ld of previous load."),
insn.fields.f_rt);
-
+
if (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_R31) &&
delayed_load_register == 31)
as_warn (_("instruction implicitly accesses R31 of previous load."));
}
- /* Warn about insns that reference the (target + 1) of a previous ldw */
- if (last_insn_was_ldw)
- {
- if ((CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RD)
- && insn.fields.f_rd == delayed_load_register + 1)
- || (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RS)
- && insn.fields.f_rs == delayed_load_register + 1)
- || (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RT)
- && insn.fields.f_rt == delayed_load_register + 1))
- as_warn (_("operand references R%ld of previous load."),
- delayed_load_register + 1);
- }
+ /* Warn about insns that reference the (target + 1) of a previous ldw. */
+ if (last_insn_was_ldw)
+ {
+ if ((CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RD)
+ && insn.fields.f_rd == delayed_load_register + 1)
+ || (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RS)
+ && insn.fields.f_rs == delayed_load_register + 1)
+ || (CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_USES_RT)
+ && insn.fields.f_rt == delayed_load_register + 1))
+ as_warn (_("operand references R%ld of previous load."),
+ delayed_load_register + 1);
+ }
last_insn_had_delay_slot =
CGEN_INSN_ATTR_VALUE (insn.insn, CGEN_INSN_DELAY_SLOT);
@@ -520,28 +421,23 @@ md_assemble (str)
|| ! strcmp (CGEN_INSN_MNEMONIC (insn.insn), "jal"))
last_insn_unconditional_jump = 1;
- /* The meaning of EVEN_REG_NUM was overloaded to also imply LDW. Since that's
- not true for IQ10, let's make the above logic specific to LDW. */
+ /* The meaning of EVEN_REG_NUM was overloaded to also imply LDW. Since
+ that's not true for IQ10, let's make the above logic specific to LDW. */
last_insn_was_ldw = ! strcmp ("ldw", CGEN_INSN_NAME (insn.insn));
- /* The assumption here is that the target of a load is always rt.
- That is true for iq2000 & iq10. */
+ /* The assumption here is that the target of a load is always rt. */
delayed_load_register = insn.fields.f_rt;
}
valueT
-md_section_align (segment, size)
- segT segment;
- valueT size;
+md_section_align (segT segment, valueT size)
{
int align = bfd_get_section_alignment (stdoutput, segment);
return ((size + (1 << align) - 1) & (-1 << align));
}
-
symbolS *
-md_undefined_symbol (name)
- char * name ATTRIBUTE_UNUSED;
+md_undefined_symbol (char * name ATTRIBUTE_UNUSED)
{
return 0;
}
@@ -560,9 +456,8 @@ md_undefined_symbol (name)
0 value. */
int
-md_estimate_size_before_relax (fragP, segment)
- fragS * fragP;
- segT segment ATTRIBUTE_UNUSED;
+md_estimate_size_before_relax (fragS * fragP,
+ segT segment ATTRIBUTE_UNUSED)
{
int old_fr_fix = fragP->fr_fix;
@@ -573,7 +468,7 @@ md_estimate_size_before_relax (fragP, segment)
alignment requirements may move the insn about. */
return (fragP->fr_var + fragP->fr_fix - old_fr_fix);
-}
+}
/* *fragP has been relaxed to its final size, and now needs to have
the bytes inside it modified to conform to the new size.
@@ -583,10 +478,9 @@ md_estimate_size_before_relax (fragP, segment)
fragP->fr_subtype is the subtype of what the address relaxed to. */
void
-md_convert_frag (abfd, sec, fragP)
- bfd * abfd ATTRIBUTE_UNUSED;
- segT sec ATTRIBUTE_UNUSED;
- fragS * fragP ATTRIBUTE_UNUSED;
+md_convert_frag (bfd * abfd ATTRIBUTE_UNUSED,
+ segT sec ATTRIBUTE_UNUSED,
+ fragS * fragP ATTRIBUTE_UNUSED)
{
}
@@ -594,9 +488,7 @@ md_convert_frag (abfd, sec, fragP)
/* Functions concerning relocs. */
long
-md_pcrel_from_section (fixP, sec)
- fixS * fixP;
- segT sec;
+md_pcrel_from_section (fixS * fixP, segT sec)
{
if (fixP->fx_addsy != (symbolS *) NULL
&& (! S_IS_DEFINED (fixP->fx_addsy)
@@ -607,7 +499,7 @@ md_pcrel_from_section (fixP, sec)
return 0;
}
- /* return the address of the delay slot */
+ /* Return the address of the delay slot. */
return fixP->fx_size + fixP->fx_where + fixP->fx_frag->fr_address;
}
@@ -616,28 +508,18 @@ md_pcrel_from_section (fixP, sec)
*FIXP may be modified if desired. */
bfd_reloc_code_real_type
-md_cgen_lookup_reloc (insn, operand, fixP)
- const CGEN_INSN * insn ATTRIBUTE_UNUSED;
- const CGEN_OPERAND * operand;
- fixS * fixP ATTRIBUTE_UNUSED;
+md_cgen_lookup_reloc (const CGEN_INSN * insn ATTRIBUTE_UNUSED,
+ const CGEN_OPERAND * operand,
+ fixS * fixP ATTRIBUTE_UNUSED)
{
switch (operand->type)
{
- case IQ2000_OPERAND_OFFSET:
- return BFD_RELOC_16_PCREL_S2;
- case IQ2000_OPERAND_JMPTARG:
- return BFD_RELOC_IQ2000_OFFSET_16;
- case IQ2000_OPERAND_JMPTARGQ10:
- if (iq2000_mach == bfd_mach_iq10)
- return BFD_RELOC_IQ2000_OFFSET_21;
- return BFD_RELOC_NONE;
- case IQ2000_OPERAND_HI16:
- return BFD_RELOC_HI16;
- case IQ2000_OPERAND_LO16:
- return BFD_RELOC_LO16;
- default:
- /* Pacify gcc -Wall. */
- return BFD_RELOC_NONE;
+ case IQ2000_OPERAND_OFFSET: return BFD_RELOC_16_PCREL_S2;
+ case IQ2000_OPERAND_JMPTARG: return BFD_RELOC_IQ2000_OFFSET_16;
+ case IQ2000_OPERAND_JMPTARGQ10: return BFD_RELOC_NONE;
+ case IQ2000_OPERAND_HI16: return BFD_RELOC_HI16;
+ case IQ2000_OPERAND_LO16: return BFD_RELOC_LO16;
+ default: break;
}
return BFD_RELOC_NONE;
@@ -646,21 +528,19 @@ md_cgen_lookup_reloc (insn, operand, fixP)
/* Record a HI16 reloc for later matching with its LO16 cousin. */
static void
-iq2000_record_hi16 (reloc_type, fixP, seg)
- int reloc_type;
- fixS * fixP;
- segT seg ATTRIBUTE_UNUSED;
+iq2000_record_hi16 (int reloc_type,
+ fixS * fixP,
+ segT seg ATTRIBUTE_UNUSED)
{
struct iq2000_hi_fixup * hi_fixup;
assert (reloc_type == BFD_RELOC_HI16);
- hi_fixup = ((struct iq2000_hi_fixup *)
- xmalloc (sizeof (struct iq2000_hi_fixup)));
+ hi_fixup = xmalloc (sizeof * hi_fixup);
hi_fixup->fixp = fixP;
hi_fixup->seg = now_seg;
hi_fixup->next = iq2000_hi_fixup_list;
-
+
iq2000_hi_fixup_list = hi_fixup;
}
@@ -668,29 +548,22 @@ iq2000_record_hi16 (reloc_type, fixP, seg)
We need to check for HI16 relocs and queue them up for later sorting. */
fixS *
-iq2000_cgen_record_fixup_exp (frag, where, insn, length, operand, opinfo, exp)
- fragS * frag;
- int where;
- const CGEN_INSN * insn;
- int length;
- const CGEN_OPERAND * operand;
- int opinfo;
- expressionS * exp;
+iq2000_cgen_record_fixup_exp (fragS * frag,
+ int where,
+ const CGEN_INSN * insn,
+ int length,
+ const CGEN_OPERAND * operand,
+ int opinfo,
+ expressionS * exp)
{
fixS * fixP = gas_cgen_record_fixup_exp (frag, where, insn, length,
operand, opinfo, exp);
- switch (operand->type)
- {
- case IQ2000_OPERAND_HI16 :
+ if (operand->type == IQ2000_OPERAND_HI16
/* If low/high was used, it is recorded in `opinfo'. */
- if (fixP->fx_cgen.opinfo == BFD_RELOC_HI16
- || fixP->fx_cgen.opinfo == BFD_RELOC_LO16)
- iq2000_record_hi16 (fixP->fx_cgen.opinfo, fixP, now_seg);
- break;
- default : /* avoid -Wall warning */
- break;
- }
+ && (fixP->fx_cgen.opinfo == BFD_RELOC_HI16
+ || fixP->fx_cgen.opinfo == BFD_RELOC_LO16))
+ iq2000_record_hi16 (fixP->fx_cgen.opinfo, fixP, now_seg);
return fixP;
}
@@ -705,7 +578,7 @@ iq2000_cgen_record_fixup_exp (frag, where, insn, length, operand, opinfo, exp)
tc_gen_reloc. */
void
-iq2000_frob_file ()
+iq2000_frob_file (void)
{
struct iq2000_hi_fixup * l;
@@ -713,10 +586,10 @@ iq2000_frob_file ()
{
segment_info_type * seginfo;
int pass;
-
+
assert (FX_OPINFO_R_TYPE (l->fixp) == BFD_RELOC_HI16
|| FX_OPINFO_R_TYPE (l->fixp) == BFD_RELOC_LO16);
-
+
/* Check quickly whether the next fixup happens to be a matching low. */
if (l->fixp->fx_next != NULL
&& FX_OPINFO_R_TYPE (l->fixp->fx_next) == BFD_RELOC_LO16
@@ -783,8 +656,7 @@ iq2000_frob_file ()
/* See whether we need to force a relocation into the output file. */
int
-iq2000_force_relocation (fix)
- fixS * fix;
+iq2000_force_relocation (fixS * fix)
{
if (fix->fx_r_type == BFD_RELOC_VTABLE_INHERIT
|| fix->fx_r_type == BFD_RELOC_VTABLE_ENTRY)
@@ -796,9 +668,25 @@ iq2000_force_relocation (fix)
/* Handle the .set pseudo-op. */
static void
-s_iq2000_set (x)
- int x ATTRIBUTE_UNUSED;
+s_iq2000_set (int x ATTRIBUTE_UNUSED)
{
+ static const char * ignored_arguments [] =
+ {
+ "reorder",
+ "noreorder",
+ "at",
+ "noat",
+ "macro",
+ "nomacro",
+ "move",
+ "novolatile",
+ "nomove",
+ "volatile",
+ "bopt",
+ "nobopt",
+ NULL
+ };
+ const char * ignored;
char *name = input_line_pointer, ch;
char *save_ILP = input_line_pointer;
@@ -807,43 +695,15 @@ s_iq2000_set (x)
ch = *input_line_pointer;
*input_line_pointer = '\0';
- if (strcmp (name, "reorder") == 0)
- {
- }
- else if (strcmp (name, "noreorder") == 0)
- {
- }
- else if (strcmp (name, "at") == 0)
- {
- }
- else if (strcmp (name, "noat") == 0)
- {
- }
- else if (strcmp (name, "macro") == 0)
- {
- }
- else if (strcmp (name, "nomacro") == 0)
- {
- }
- else if (strcmp (name, "move") == 0 || strcmp (name, "novolatile") == 0)
- {
- }
- else if (strcmp (name, "nomove") == 0 || strcmp (name, "volatile") == 0)
- {
- }
- else if (strcmp (name, "bopt") == 0)
- {
- }
- else if (strcmp (name, "nobopt") == 0)
- {
- }
- else
+ for (ignored = ignored_arguments[0]; ignored; ignored ++)
+ if (strcmp (ignored, name) == 0)
+ break;
+ if (ignored == NULL)
{
/* We'd like to be able to use .set symbol, expn */
input_line_pointer = save_ILP;
s_set (0);
return;
- /*as_warn (_("Tried to set unrecognized symbol: %s\n"), name);*/
}
*input_line_pointer = ch;
demand_empty_rest_of_line ();
@@ -852,19 +712,15 @@ s_iq2000_set (x)
/* Write a value out to the object file, using the appropriate endianness. */
void
-md_number_to_chars (buf, val, n)
- char * buf;
- valueT val;
- int n;
+md_number_to_chars (char * buf, valueT val, int n)
{
number_to_chars_bigendian (buf, val, n);
}
void
-md_operand (exp)
- expressionS * exp;
+md_operand (expressionS * exp)
{
- /* In case of a syntax error, escape back to try next syntax combo. */
+ /* In case of a syntax error, escape back to try next syntax combo. */
if (exp->X_op == O_absent)
gas_cgen_md_operand (exp);
}
@@ -878,10 +734,7 @@ md_operand (exp)
#define MAX_LITTLENUMS 6
char *
-md_atof (type, litP, sizeP)
- char type;
- char *litP;
- int *sizeP;
+md_atof (int type, char * litP, int * sizeP)
{
int i;
int prec;
@@ -915,7 +768,7 @@ md_atof (type, litP, sizeP)
if (t)
input_line_pointer = t;
* sizeP = prec * sizeof (LITTLENUM_TYPE);
-
+
for (i = 0; i < prec; i++)
{
md_number_to_chars (litP, (valueT) words[i],
@@ -928,8 +781,7 @@ md_atof (type, litP, sizeP)
bfd_boolean
-iq2000_fix_adjustable (fixP)
- fixS * fixP;
+iq2000_fix_adjustable (fixS * fixP)
{
bfd_reloc_code_real_type reloc_type;
@@ -938,6 +790,7 @@ iq2000_fix_adjustable (fixP)
const CGEN_INSN *insn = NULL;
int opindex = (int) fixP->fx_r_type - (int) BFD_RELOC_UNUSED;
const CGEN_OPERAND *operand = cgen_operand_lookup_by_num(gas_cgen_cpu_desc, opindex);
+
reloc_type = md_cgen_lookup_reloc (insn, operand, fixP);
}
else
@@ -945,14 +798,14 @@ iq2000_fix_adjustable (fixP)
if (fixP->fx_addsy == NULL)
return TRUE;
-
+
/* Prevent all adjustments to global symbols. */
if (S_IS_EXTERN (fixP->fx_addsy))
return FALSE;
-
+
if (S_IS_WEAK (fixP->fx_addsy))
return FALSE;
-
+
/* We need the symbol name for the VTABLE entries. */
if ( reloc_type == BFD_RELOC_VTABLE_INHERIT
|| reloc_type == BFD_RELOC_VTABLE_ENTRY)
@@ -962,10 +815,8 @@ iq2000_fix_adjustable (fixP)
}
static void
-s_change_sec (sec)
- int sec;
+s_change_sec (int sec)
{
-
#ifdef OBJ_ELF
/* The ELF backend needs to know that we are changing sections, so
that .previous works correctly. We could do something like check
@@ -976,8 +827,6 @@ s_change_sec (sec)
obj_elf_section_change_hook ();
#endif
- /* iq2000_emit_delays (false); */
-
switch (sec)
{
case 't':
@@ -990,11 +839,24 @@ s_change_sec (sec)
}
}
+static symbolS *
+get_symbol (void)
+{
+ int c;
+ char *name;
+ symbolS *p;
+
+ name = input_line_pointer;
+ c = get_symbol_end ();
+ p = (symbolS *) symbol_find_or_make (name);
+ *input_line_pointer = c;
+ return p;
+}
+
/* The .end directive. */
static void
-s_iq2000_end (x)
- int x ATTRIBUTE_UNUSED;
+s_iq2000_end (int x ATTRIBUTE_UNUSED)
{
symbolS *p;
int maybe_text;
@@ -1007,141 +869,35 @@ s_iq2000_end (x)
else
p = NULL;
- if (1/*iq2000_mach == bfd_mach_iq2000*/)
- {
-#ifdef BFD_ASSEMBLER
- if ((bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE) != 0)
- maybe_text = 1;
- else
- maybe_text = 0;
-#else
- if (now_seg != data_section && now_seg != bss_section)
- maybe_text = 1;
- else
- maybe_text = 0;
-#endif
-
- if (!maybe_text)
- as_warn (_(".end not in text section"));
-
- if (!cur_proc_ptr)
- {
- as_warn (_(".end directive without a preceding .ent directive."));
- demand_empty_rest_of_line ();
- return;
- }
-
- if (p != NULL)
- {
- assert (S_GET_NAME (p));
- if (strcmp (S_GET_NAME (p), S_GET_NAME (cur_proc_ptr->isym)))
- as_warn (_(".end symbol does not match .ent symbol."));
- }
- else
- as_warn (_(".end directive missing or unknown symbol"));
-
- }
-
- cur_proc_ptr = NULL;
-}
+ if ((bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE) != 0)
+ maybe_text = 1;
+ else
+ maybe_text = 0;
-/* The .aent and .ent directives. */
+ if (!maybe_text)
+ as_warn (_(".end not in text section"));
-static void
-s_iq2000_ent (aent)
- int aent;
-{
- int number = 0;
- symbolS *symbolP;
- int maybe_text;
+ if (!cur_proc_ptr)
+ {
+ as_warn (_(".end directive without a preceding .ent directive."));
+ demand_empty_rest_of_line ();
+ return;
+ }
- if (1/*iq2000_mach == bfd_mach_iq2000*/)
+ if (p != NULL)
{
- symbolP = get_symbol ();
- if (*input_line_pointer == ',')
- input_line_pointer++;
- SKIP_WHITESPACE ();
- if (ISDIGIT (*input_line_pointer) || *input_line_pointer == '-')
- number = get_number ();
-
-#ifdef BFD_ASSEMBLER
- if ((bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE) != 0)
- maybe_text = 1;
- else
- maybe_text = 0;
-#else
- if (now_seg != data_section && now_seg != bss_section)
- maybe_text = 1;
- else
- maybe_text = 0;
-#endif
-
- if (!maybe_text)
- as_warn (_(".ent or .aent not in text section."));
-
- if (!aent && cur_proc_ptr)
- as_warn (_("missing `.end'"));
-
- if (!aent)
- {
- cur_proc_ptr = &cur_proc;
- memset (cur_proc_ptr, '\0', sizeof (procS));
-
- cur_proc_ptr->isym = symbolP;
-
- symbol_get_bfdsym (symbolP)->flags |= BSF_FUNCTION;
-
- numprocs++;
- }
+ assert (S_GET_NAME (p));
+ if (strcmp (S_GET_NAME (p), S_GET_NAME (cur_proc_ptr->isym)))
+ as_warn (_(".end symbol does not match .ent symbol."));
}
else
- as_bad (_("unknown pseudo-op: `%s'"), ".ent");
+ as_warn (_(".end directive missing or unknown symbol"));
- demand_empty_rest_of_line ();
-}
-
-/* The .frame directive. If the mdebug section is present (IRIX 5 native)
- then ecoff.c (ecoff_directive_frame) is used. For embedded targets,
- s_iq2000_frame is used so that we can set the PDR information correctly.
- We can't use the ecoff routines because they make reference to the ecoff
- symbol table (in the mdebug section). */
-
-static void
-s_iq2000_frame (ignore)
- int ignore;
-{
- s_ignore (ignore);
-}
-
-/* The .fmask and .mask directives. If the mdebug section is present
- (IRIX 5 native) then ecoff.c (ecoff_directive_mask) is used. For
- embedded targets, s_iq2000_mask is used so that we can set the PDR
- information correctly. We can't use the ecoff routines because they
- make reference to the ecoff symbol table (in the mdebug section). */
-
-static void
-s_iq2000_mask (reg_type)
- char reg_type;
-{
- s_ignore (reg_type);
-}
-
-static symbolS *
-get_symbol ()
-{
- int c;
- char *name;
- symbolS *p;
-
- name = input_line_pointer;
- c = get_symbol_end ();
- p = (symbolS *) symbol_find_or_make (name);
- *input_line_pointer = c;
- return p;
+ cur_proc_ptr = NULL;
}
static int
-get_number ()
+get_number (void)
{
int negative = 0;
long val = 0;
@@ -1197,3 +953,86 @@ get_number ()
return negative ? -val : val;
}
+/* The .aent and .ent directives. */
+
+static void
+s_iq2000_ent (int aent)
+{
+ int number = 0;
+ symbolS *symbolP;
+ int maybe_text;
+
+ symbolP = get_symbol ();
+ if (*input_line_pointer == ',')
+ input_line_pointer++;
+ SKIP_WHITESPACE ();
+ if (ISDIGIT (*input_line_pointer) || *input_line_pointer == '-')
+ number = get_number ();
+
+ if ((bfd_get_section_flags (stdoutput, now_seg) & SEC_CODE) != 0)
+ maybe_text = 1;
+ else
+ maybe_text = 0;
+
+ if (!maybe_text)
+ as_warn (_(".ent or .aent not in text section."));
+
+ if (!aent && cur_proc_ptr)
+ as_warn (_("missing `.end'"));
+
+ if (!aent)
+ {
+ cur_proc_ptr = &cur_proc;
+ memset (cur_proc_ptr, '\0', sizeof (procS));
+
+ cur_proc_ptr->isym = symbolP;
+
+ symbol_get_bfdsym (symbolP)->flags |= BSF_FUNCTION;
+
+ numprocs++;
+ }
+
+ demand_empty_rest_of_line ();
+}
+
+/* The .frame directive. If the mdebug section is present (IRIX 5 native)
+ then ecoff.c (ecoff_directive_frame) is used. For embedded targets,
+ s_iq2000_frame is used so that we can set the PDR information correctly.
+ We can't use the ecoff routines because they make reference to the ecoff
+ symbol table (in the mdebug section). */
+
+static void
+s_iq2000_frame (int ignore)
+{
+ s_ignore (ignore);
+}
+
+/* The .fmask and .mask directives. If the mdebug section is present
+ (IRIX 5 native) then ecoff.c (ecoff_directive_mask) is used. For
+ embedded targets, s_iq2000_mask is used so that we can set the PDR
+ information correctly. We can't use the ecoff routines because they
+ make reference to the ecoff symbol table (in the mdebug section). */
+
+static void
+s_iq2000_mask (int reg_type)
+{
+ s_ignore (reg_type);
+}
+
+/* The target specific pseudo-ops which we support. */
+const pseudo_typeS md_pseudo_table[] =
+{
+ { "align", s_align_bytes, 0 },
+ { "word", cons, 4 },
+ { "rdata", s_change_sec, 'r'},
+ { "sdata", s_change_sec, 's'},
+ { "set", s_iq2000_set, 0 },
+ { "ent", s_iq2000_ent, 0 },
+ { "end", s_iq2000_end, 0 },
+ { "frame", s_iq2000_frame, 0 },
+ { "fmask", s_iq2000_mask, 'F'},
+ { "mask", s_iq2000_mask, 'R'},
+ { "dword", cons, 8 },
+ { "half", cons, 2 },
+ { NULL, NULL, 0 }
+};
diff --git a/gas/config/tc-iq2000.h b/gas/config/tc-iq2000.h
index 30b6d5f..82c5791 100644
--- a/gas/config/tc-iq2000.h
+++ b/gas/config/tc-iq2000.h
@@ -21,7 +21,7 @@
#define TC_IQ2000
#ifndef BFD_ASSEMBLER
-/* leading space so will compile with cc */
+/* Leading space so will compile with cc. */
#error IQ2000 support requires BFD_ASSEMBLER
#endif
@@ -34,37 +34,37 @@
#define TARGET_BYTES_BIG_ENDIAN 1
-/* Permit temporary numeric labels. */
+/* Permit temporary numeric labels. */
#define LOCAL_LABELS_FB 1
-/* .-foo gets turned into PC relative relocs. */
+/* .-foo gets turned into PC relative relocs. */
#define DIFF_EXPR_OK
-/* We don't need to handle .word strangely. */
+/* We don't need to handle .word strangely. */
#define WORKING_DOT_WORD
#define md_apply_fix3 gas_cgen_md_apply_fix3
/* Call md_pcrel_from_section(), not md_pcrel_from(). */
#define MD_PCREL_FROM_SECTION(FIXP, SEC) md_pcrel_from_section (FIXP, SEC)
-extern long md_pcrel_from_section PARAMS ((struct fix *, segT));
#define tc_frob_file() iq2000_frob_file ()
-extern void iq2000_frob_file PARAMS ((void));
#define obj_fix_adjustable(fixP) iq2000_fix_adjustable (fixP)
-extern bfd_boolean iq2000_fix_adjustable PARAMS ((struct fix *));
/* After creating a fixup for an instruction operand, we need to check
- for HI16 relocs and queue them up for later sorting. */
+ for HI16 relocs and queue them up for later sorting. */
#define md_cgen_record_fixup_exp iq2000_cgen_record_fixup_exp
/* When relaxing, we need to emit various relocs we otherwise wouldn't. */
#define TC_FORCE_RELOCATION(fix) iq2000_force_relocation (fix)
-extern int iq2000_force_relocation PARAMS ((struct fix *));
/* Values passed to md_apply_fix3 don't include the symbol value. */
#define MD_APPLY_SYM_VALUE(FIX) 0
#define tc_gen_reloc gas_cgen_tc_gen_reloc
+extern void iq2000_frob_file (void);
+extern bfd_boolean iq2000_fix_adjustable (struct fix *);
+extern int iq2000_force_relocation (struct fix *);
+extern long md_pcrel_from_section (struct fix *, segT);
diff --git a/gas/testsuite/ChangeLog b/gas/testsuite/ChangeLog
index 98cdcbd..eb3ebd4 100644
--- a/gas/testsuite/ChangeLog
+++ b/gas/testsuite/ChangeLog
@@ -1,3 +1,42 @@
+2004-11-24 Nick Clifton <nickc@redhat.com>
+
+ * gas/iq2000/allinsn.exp: Remove IQ10 tests.
+ * gas/iq2000/q10allinsn.d: Delete.
+ * gas/iq2000/q10allinsn.s: Delete.
+ * gas/iq2000/q10hazard4.s: Delete.
+ * gas/iq2000/q10hazard5.s: Delete.
+ * gas/iq2000/q10load-hazards.exp: Delete.
+ * gas/iq2000/q10nohazard.s: Delete.
+ * gas/iq2000/q10noyield.s: Delete.
+ * gas/iq2000/q10test0.d: Delete.
+ * gas/iq2000/q10test0.s: Delete.
+ * gas/iq2000/q10test1.d: Delete.
+ * gas/iq2000/q10test1.s: Delete.
+ * gas/iq2000/q10test10.d: Delete.
+ * gas/iq2000/q10test10.s: Delete.
+ * gas/iq2000/q10test11.d: Delete.
+ * gas/iq2000/q10test11.s: Delete.
+ * gas/iq2000/q10test12.d: Delete.
+ * gas/iq2000/q10test12.s: Delete.
+ * gas/iq2000/q10test2.d: Delete.
+ * gas/iq2000/q10test2.s: Delete.
+ * gas/iq2000/q10test3.d: Delete.
+ * gas/iq2000/q10test3.s: Delete.
+ * gas/iq2000/q10test4.d: Delete.
+ * gas/iq2000/q10test4.s: Delete.
+ * gas/iq2000/q10test5.d: Delete.
+ * gas/iq2000/q10test5.s: Delete.
+ * gas/iq2000/q10test6.d: Delete.
+ * gas/iq2000/q10test6.s: Delete.
+ * gas/iq2000/q10test7.d: Delete.
+ * gas/iq2000/q10test7.s: Delete.
+ * gas/iq2000/q10test8.d: Delete.
+ * gas/iq2000/q10test8.s: Delete.
+ * gas/iq2000/q10test9.d: Delete.
+ * gas/iq2000/q10test9.s: Delete.
+ * gas/iq2000/q10yield.exp: Delete.
+ * gas/iq2000/test.exp: Delete.
+
2004-11-24 Ramana Radhakrishnan <ramana.radhakrishnan@codito.com>
* gas/arc/st.s: Add checks for other variants of the sr and st
diff --git a/gas/testsuite/gas/iq2000/allinsn.exp b/gas/testsuite/gas/iq2000/allinsn.exp
index 20354e0..b53235f 100644
--- a/gas/testsuite/gas/iq2000/allinsn.exp
+++ b/gas/testsuite/gas/iq2000/allinsn.exp
@@ -2,5 +2,4 @@
if [istarget iq2000*-*-*] {
run_dump_test "allinsn"
- run_dump_test "q10allinsn"
}
diff --git a/gas/testsuite/gas/iq2000/q10allinsn.d b/gas/testsuite/gas/iq2000/q10allinsn.d
deleted file mode 100644
index 6e9903a..0000000
--- a/gas/testsuite/gas/iq2000/q10allinsn.d
+++ /dev/null
@@ -1,492 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10allinsn
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <add>:
- 0: 03 be 00 20 add r0,r29,r30
-
-00000004 <addi>:
- 4: 20 00 ff fc addi r0,r0,0xfffc
-
-00000008 <addiu>:
- 8: 24 00 00 04 addiu r0,r0,0x4
-
-0000000c <addu>:
- c: 03 be 00 21 addu r0,r29,r30
-
-00000010 <ado16>:
- 10: 03 be 00 29 ado16 r0,r29,r30
-
-00000014 <and>:
- 14: 03 be 00 24 and r0,r29,r30
-
-00000018 <andi>:
- 18: 30 00 de ad andi r0,r0,0xdead
-
-0000001c <andoi>:
- 1c: b0 00 00 00 andoi r0,r0,0x0
-
-00000020 <andoui>:
- 20: bc 00 00 00 andoui r0,r0,0x0
-
-00000024 <mrgb>:
- 24: 03 a0 00 2d mrgb r0,r29,r0,0x0
-
-00000028 <nor>:
- 28: 03 be 00 27 nor r0,r29,r30
-
-0000002c <or>:
- 2c: 03 be 00 25 or r0,r29,r30
- 30: 03 be 08 25 or r1,r29,r30
-
-00000034 <ori>:
- 34: 34 00 ff ff ori r0,r0,0xffff
-
-00000038 <orui>:
- 38: 3c 20 00 00 orui r0,r1,0x0
-
-0000003c <ram>:
- 3c: 9c 00 00 00 ram r0,r0,0x0,0x0,0x0
-
-00000040 <sll>:
- 40: 00 00 00 00 nop
- 44: 00 02 08 00 sll r1,r2,0x0
-
-00000048 <sllv>:
- 48: 03 dd 00 04 sllv r0,r29,r30
-
-0000004c <slmv>:
- 4c: 00 00 00 01 slmv r0,r0,r0,0x0
-
-00000050 <slt>:
- 50: 03 be 00 2a slt r0,r29,r30
-
-00000054 <slti>:
- 54: 28 00 00 00 slti r0,r0,0x0
-
-00000058 <sltiu>:
- 58: 2c 00 00 00 sltiu r0,r0,0x0
-
-0000005c <sltu>:
- 5c: 03 be 00 2b sltu r0,r29,r30
-
-00000060 <sra>:
- 60: 00 00 00 03 sra r0,r0,0x0
-
-00000064 <srav>:
- 64: 03 dd 00 07 srav r0,r29,r30
-
-00000068 <srl>:
- 68: 00 00 00 02 srl r0,r0,0x0
-
-0000006c <srlv>:
- 6c: 03 dd 00 06 srlv r0,r29,r30
-
-00000070 <srmv>:
- 70: 00 00 00 05 srmv r0,r0,r0,0x0
-
-00000074 <sub>:
- 74: 03 be 00 22 sub r0,r29,r30
-
-00000078 <subu>:
- 78: 03 be 00 23 subu r0,r29,r30
-
-0000007c <xor>:
- 7c: 00 00 00 26 xor r0,r0,r0
-
-00000080 <xori>:
- 80: 38 00 00 00 xori r0,r0,0x0
-
-00000084 <bbi>:
- 84: 70 00 ff ff bbi r0\(0x0\),84 <bbi>
-
-00000088 <bbil>:
- 88: f0 00 ff fe bbil r0\(0x0\),84 <bbi>
-
-0000008c <bbinl>:
- 8c: f8 00 ff fd bbinl r0\(0x0\),84 <bbi>
-
-00000090 <bbin>:
- 90: 78 00 ff fc bbin r0\(0x0\),84 <bbi>
-
-00000094 <bbv>:
- 94: 74 00 ff fb bbv r0,r0,84 <bbi>
-
-00000098 <bbvl>:
- 98: f4 00 ff fa bbvl r0,r0,84 <bbi>
-
-0000009c <bbvn>:
- 9c: 7c 00 ff f9 bbvn r0,r0,84 <bbi>
-
-000000a0 <bbvnl>:
- a0: fc 00 ff f8 bbvnl r0,r0,84 <bbi>
-
-000000a4 <beq>:
- a4: 10 00 ff f7 beq r0,r0,84 <bbi>
-
-000000a8 <beql>:
- a8: 50 00 ff f6 beql r0,r0,84 <bbi>
-
-000000ac <bgez>:
- ac: 04 01 ff f5 bgez r0,84 <bbi>
-
-000000b0 <bgezal>:
- b0: 04 11 ff f4 bgezal r0,84 <bbi>
-
-000000b4 <bgezall>:
- b4: 04 13 ff f3 bgezall r0,84 <bbi>
-
-000000b8 <bgezl>:
- b8: 04 03 ff f2 bgezl r0,84 <bbi>
-
-000000bc <bgtz>:
- bc: 04 05 ff f1 bgtz r0,84 <bbi>
-
-000000c0 <bgtzal>:
- c0: 04 15 ff f0 bgtzal r0,84 <bbi>
-
-000000c4 <bgtzall>:
- c4: 04 17 ff ef bgtzall r0,84 <bbi>
-
-000000c8 <bgtzl>:
- c8: 04 07 ff ee bgtzl r0,84 <bbi>
-
-000000cc <blez>:
- cc: 04 04 ff ed blez r0,84 <bbi>
-
-000000d0 <blezal>:
- d0: 04 14 ff ec blezal r0,84 <bbi>
-
-000000d4 <blezall>:
- d4: 04 16 ff eb blezall r0,84 <bbi>
-
-000000d8 <blezl>:
- d8: 04 06 ff ea blezl r0,84 <bbi>
-
-000000dc <bltz>:
- dc: 04 00 ff e9 bltz r0,84 <bbi>
-
-000000e0 <bltzl>:
- e0: 04 02 ff e8 bltzl r0,84 <bbi>
-
-000000e4 <bltzal>:
- e4: 04 10 ff e7 bltzal r0,84 <bbi>
-
-000000e8 <bltzall>:
- e8: 04 12 ff e6 bltzall r0,84 <bbi>
-
-000000ec <bmb>:
- ec: 18 00 ff e5 bmb r0,r0,84 <bbi>
-
-000000f0 <bmb0>:
- f0: 60 00 ff e4 bmb0 r0,r0,84 <bbi>
-
-000000f4 <bmb1>:
- f4: 64 00 ff e3 bmb1 r0,r0,84 <bbi>
-
-000000f8 <bmb2>:
- f8: 68 00 ff e2 bmb2 r0,r0,84 <bbi>
-
-000000fc <bmb3>:
- fc: 6c 00 ff e1 bmb3 r0,r0,84 <bbi>
-
-00000100 <bmbl>:
- 100: 58 00 ff e0 bmbl r0,r0,84 <bbi>
-
-00000104 <bne>:
- 104: 14 00 ff df bne r0,r0,84 <bbi>
-
-00000108 <bnel>:
- 108: 54 00 ff de bnel r0,r0,84 <bbi>
-
-0000010c <break>:
- 10c: 00 00 00 0d break
-
-00000110 <bri>:
- 110: 04 08 ff dc bri r0,84 <bbi>
-
-00000114 <brv>:
- 114: 04 09 ff db brv r0,84 <bbi>
-
-00000118 <chkhdr>:
- 118: 4c 00 00 26 chkhdr r0,r0
-
-0000011c <j>:
- 11c: 08 00 00 00 j 0 <add>
- 11c: R_IQ2000_OFFSET_16 .text\+0x124
-
-00000120 <jal>:
- 120: 0c 00 00 00 jal r0,0 <add>
- 120: R_IQ2000_OFFSET_16 .text\+0x124
-
-00000124 <jalr>:
- 124: 00 00 00 09 jalr r0,r0
-
-00000128 <jr>:
- 128: 00 00 00 08 jr r0
-
-0000012c <lb>:
- 12c: 80 00 10 24 lb r0,0x1024\(r0\)
-
-00000130 <lbu>:
- 130: 90 00 10 24 lbu r0,0x1024\(r0\)
-
-00000134 <lh>:
- 134: 84 00 10 24 lh r0,0x1024\(r0\)
-
-00000138 <lhu>:
- 138: 94 00 10 24 lhu r0,0x1024\(r0\)
-
-0000013c <lui>:
- 13c: 3c 00 ff ff lui r0,0xffff
- 140: 3c 1d 00 00 lui r29,0x0
- 140: R_IQ2000_HI16 foodata
- 144: 37 bd 00 00 ori r29,r29,0x0
- 144: R_IQ2000_LO16 foodata
-
-00000148 <la>:
- 148: 3c 0b 00 00 lui r11,0x0
- 148: R_IQ2000_HI16 foodata
- 14c: 35 6b 00 00 ori r11,r11,0x0
- 14c: R_IQ2000_LO16 foodata
-
-00000150 <lw>:
- 150: 8c 00 10 24 lw r0,0x1024\(r0\)
-
-00000154 <sb>:
- 154: a0 00 10 24 sb r0,0x1024\(r0\)
-
-00000158 <sh>:
- 158: a4 00 10 24 sh r0,0x1024\(r0\)
-
-0000015c <sw>:
- 15c: ac 00 10 24 sw r0,0x1024\(r0\)
-
-00000160 <swrd>:
- 160: 4c 1e e8 04 swrd r29,r30
-
-00000164 <swrdl>:
- 164: 4c 1e e8 05 swrdl r29,r30
-
-00000168 <swwr>:
- 168: 4f be 00 06 swwr r0,r29,r30
-
-0000016c <swwru>:
- 16c: 4f be 00 07 swwru r0,r29,r30
-
-00000170 <rba>:
- 170: 4f be 00 08 rba r0,r29,r30
-
-00000174 <rbal>:
- 174: 4f be 00 09 rbal r0,r29,r30
-
-00000178 <rbar>:
- 178: 4f be 00 0a rbar r0,r29,r30
-
-0000017c <dwrd>:
- 17c: 4c 1e e0 0c dwrd r28,r30
-
-00000180 <dwrdl>:
- 180: 4c 1e e0 0d dwrdl r28,r30
-
-00000184 <wba>:
- 184: 4f be 00 10 wba r0,r29,r30
-
-00000188 <wbau>:
- 188: 4f be 00 11 wbau r0,r29,r30
-
-0000018c <wbac>:
- 18c: 4f be 00 12 wbac r0,r29,r30
-
-00000190 <crc32>:
- 190: 4f be 00 14 crc32 r0,r29,r30
-
-00000194 <crc32b>:
- 194: 4f be 00 15 crc32b r0,r29,r30
-
-00000198 <cfc>:
- 198: 4c 1e e8 00 cfc r29,r30
-
-0000019c <lock>:
- 19c: 4c 1c e8 01 lock r29,r28
-
-000001a0 <ctc>:
- 1a0: 4f be 00 02 ctc r29,r30
-
-000001a4 <unlk>:
- 1a4: 4c 1e e8 03 unlk r29,r30
-
-000001a8 <mcid>:
- 1a8: 4c 1d 00 20 mcid r0,r29
-
-000001ac <dba>:
- 1ac: 4c 00 f0 22 dba r30
-
-000001b0 <dbd>:
- 1b0: 4c 1e 00 21 dbd r0,r0,r30
-
-000001b4 <dpwt>:
- 1b4: 4f c0 00 23 dpwt r0,r30
-
-000001b8 <avail>:
- 1b8: 4c 00 f8 24 avail r31
-
-000001bc <free>:
- 1bc: 4f c0 00 25 free r0,r30
-
-000001c0 <tstod>:
- 1c0: 4f c0 00 27 tstod r0,r30
-
-000001c4 <yield>:
- 1c4: 00 00 00 0e yield
-
-000001c8 <pkrla>:
- 1c8: 4f be 00 28 pkrla r0,r29,r30
-
-000001cc <pkrlac>:
- 1cc: 4f be 00 2b pkrlac r0,r29,r30
-
-000001d0 <pkrlau>:
- 1d0: 4f be 00 29 pkrlau r0,r29,r30
-
-000001d4 <pkrlah>:
- 1d4: 4f be 00 2a pkrlah r0,r29,r30
-
-000001d8 <cmphdr>:
- 1d8: 4c 00 f8 2c cmphdr r31
-
-000001dc <cam36>:
- 1dc: 4c 1e ec 09 cam36 r29,r30,0x1,0x1
-
-000001e0 <cam72>:
- 1e0: 4c 1e 04 52 cam72 r0,r30,0x2,0x2
-
-000001e4 <cam144>:
- 1e4: 4c 1d 04 9b cam144 r0,r29,0x3,0x3
-
-000001e8 <cam288>:
- 1e8: 4c 1d 04 a4 cam144 r0,r29,0x4,0x4
-
-000001ec <cm32and>:
- 1ec: 4f be 00 ab cm32and r0,r29,r30
-
-000001f0 <cm32andn>:
- 1f0: 4f be 00 a3 cm32andn r0,r29,r30
-
-000001f4 <cm32or>:
- 1f4: 4f be 00 aa cm32or r0,r29,r30
-
-000001f8 <cm32ra>:
- 1f8: 4f be 00 b0 cm32ra r0,r29,r30
-
-000001fc <cm32rd>:
- 1fc: 4c 1e e8 a1 cm32rd r29,r30
-
-00000200 <cm32ri>:
- 200: 4c 1d 00 a4 cm32ri r0,r29
-
-00000204 <cm32rs>:
- 204: 4f be 00 a0 cm32rs r0,r29,r30
-
-00000208 <cm32sa>:
- 208: 4f be 00 b8 cm32sa r0,r29,r30
-
-0000020c <cm32sd>:
- 20c: 4c 1d 00 a9 cm32sd r0,r29
-
-00000210 <cm32si>:
- 210: 4c 1d 00 ac cm32si r0,r29
-
-00000214 <cm32ss>:
- 214: 4f be 00 a8 cm32ss r0,r29,r30
-
-00000218 <cm32xor>:
- 218: 4f be 00 a2 cm32xor r0,r29,r30
-
-0000021c <cm64clr>:
- 21c: 4c 1c 00 85 cm64clr r0,r28
-
-00000220 <cm64ra>:
- 220: 4f 9e 00 90 cm64ra r0,r28,r30
-
-00000224 <cm64rd>:
- 224: 4c 1c 00 81 cm64rd r0,r28
-
-00000228 <cm64ri>:
- 228: 4c 1c 00 84 cm64ri r0,r28
-
-0000022c <cm64ria2>:
- 22c: 4f 9e 00 94 cm64ria2 r0,r28,r30
-
-00000230 <cm64rs>:
- 230: 4f 9e 00 80 cm64rs r0,r28,r30
-
-00000234 <cm64sa>:
- 234: 4f 9e 00 98 cm64sa r0,r28,r30
-
-00000238 <cm64sd>:
- 238: 4c 1c 00 89 cm64sd r0,r28
-
-0000023c <cm64si>:
- 23c: 4c 1c 00 8c cm64si r0,r28
-
-00000240 <cm64sia2>:
- 240: 4f 9e 00 9c cm64sia2 r0,r28,r30
-
-00000244 <cm64ss>:
- 244: 4f be 00 88 cm64ss r0,r29,r30
-
-00000248 <cm128ria2>:
- 248: 4f be 00 95 cm128ria2 r0,r29,r30
-
-0000024c <cm128ria3>:
- 24c: 4f be 00 90 cm64ra r0,r29,r30
-
-00000250 <cm128ria4>:
- 250: 4f be 00 b7 cm128ria4 r0,r29,r30,0x7
-
-00000254 <cm128sia2>:
- 254: 4f be 00 9d cm128sia2 r0,r29,r30
-
-00000258 <cm128sia3>:
- 258: 4f be 00 98 cm64sa r0,r29,r30
-
-0000025c <cm128sia4>:
- 25c: 4f be 00 bf cm128sia4 r0,r29,r30,0x7
-
-00000260 <cm128vsa>:
- 260: 4f be 00 a6 cm128vsa r0,r29,r30
-
-00000264 <pkrli>:
- 264: 4b fd 08 3f pkrli r1,r31,r29,0x3f
-
-00000268 <pkrlic>:
- 268: 4b fd 0b 3f pkrlic r1,r31,r29,0x3f
-
-0000026c <pkrlih>:
- 26c: 4b fd 0a 3f pkrlih r1,r31,r29,0x3f
-
-00000270 <pkrliu>:
- 270: 4b fd 09 3f pkrliu r1,r31,r29,0x3f
-
-00000274 <rbi>:
- 274: 4f bc 12 20 rbi r2,r29,r28,0x20
-
-00000278 <rbil>:
- 278: 4f bc 13 20 rbil r2,r29,r28,0x20
-
-0000027c <rbir>:
- 27c: 4f bc 11 20 rbir r2,r29,r28,0x20
-
-00000280 <wbi>:
- 280: 4c 22 06 20 wbi r0,r1,r2,0x20
-
-00000284 <wbic>:
- 284: 4c 22 05 20 wbic r0,r1,r2,0x20
-
-00000288 <wbiu>:
- 288: 4c 22 07 20 wbiu r0,r1,r2,0x20
diff --git a/gas/testsuite/gas/iq2000/q10allinsn.s b/gas/testsuite/gas/iq2000/q10allinsn.s
deleted file mode 100644
index bb5d0f6..0000000
--- a/gas/testsuite/gas/iq2000/q10allinsn.s
+++ /dev/null
@@ -1,641 +0,0 @@
- .globl foodata
- .data
- .align 2
-foodata:
- .word 42
- .text
- .global add
-add:
- add %0,%29,%30
- .text
- .global addi
-addi:
- addi %0,%0,-4
- .text
- .global addiu
-addiu:
- addiu %0,%0,4
- .text
- .global addu
-addu:
- addu %0,%29,%30
- .text
- .global ado16
-ado16:
- ado16 %0,%29,%30
- .text
- .global and
-and:
- and %0,%29,%30
- .text
- .global andi
-andi:
- andi %0,%0,0xdead
- .text
- .global andoi
-andoi:
- andoi %0,%0,0
- .text
- .global andoui
-andoui:
- andoui %0,%0,0
- .text
- .global mrgb
-mrgb:
- mrgb %0,%29,%0,0
- .text
- .global nor
-nor:
- nor %0,%29,%30
- .text
- .global or
-or:
- or %0,%29,%30
- or %1,%29,%30
- .text
- .global ori
-ori:
- ori %0,%0,-1
- .text
- .global orui
-orui:
- orui %0,%1,0
- .text
- .global ram
-ram:
- ram %0,%0,0,0,0
- .text
- .global sll
-sll:
- sll %0,%0,0
- sll %1,%2,0
- .text
- .global sllv
-sllv:
- sllv %0,%29,%30
- .text
- .global slmv
-slmv:
- slmv %0,%0,%0,0
- .text
- .global slt
-slt:
- slt %0,%29,%30
- .text
- .global slti
-slti:
- slti %0,%0,0
- .text
- .global sltiu
-sltiu:
- sltiu %0,%0,0
- .text
- .global sltu
-sltu:
- sltu %0,%29,%30
- .text
- .global sra
-sra:
- sra %0,%0,0
- .text
- .global srav
-srav:
- srav %0,%29,%30
- .text
- .global srl
-srl:
- srl %0,%0,0
- .text
- .global srlv
-srlv:
- srlv %0,%29,%30
- .text
- .global srmv
-srmv:
- srmv %0,%0,%0,0
- .text
- .global sub
-sub:
- sub %0,%29,%30
- .text
- .global subu
-subu:
- subu %0,%29,%30
- .text
- .global xor
-xor:
- xor %0,%0,%0
- .global xori
-xori:
- xori %0,%0,0
-footext:
- .text
- .global bbi
-bbi:
- bbi %0(0),footext
- .text
- .global bbil
-bbil:
- bbil %0(0),footext
- .text
- .global bbinl
-bbinl:
- bbinl %0(0),footext
- .text
- .global bbin
-bbin:
- bbin %0(0),footext
- .text
- .global bbv
-bbv:
- bbv %0,%0,footext
- .text
- .global bbvl
-bbvl:
- bbvl %0,%0,footext
- .text
- .global bbvn
-bbvn:
- bbvn %0,%0,footext
- .text
- .global bbvnl
-bbvnl:
- bbvnl %0,%0,footext
- .text
- .global beq
-beq:
- beq %0,%0,footext
- .text
- .global beql
-beql:
- beql %0,%0,footext
- .text
- .global bgez
-bgez:
- bgez %0,footext
- .text
- .global bgezal
-bgezal:
- bgezal %0,footext
- .text
- .global bgezall
-bgezall:
- bgezall %0,footext
- .text
- .global bgezl
-bgezl:
- bgezl %0,footext
- .text
- .global bgtz
-bgtz:
- bgtz %0,footext
- .text
- .global bgtzal
-bgtzal:
- bgtzal %0,footext
- .text
- .global bgtzall
-bgtzall:
- bgtzall %0,footext
- .text
- .global bgtzl
-bgtzl:
- bgtzl %0,footext
- .text
- .global blez
-blez:
- blez %0,footext
- .text
- .global blezal
-blezal:
- blezal %0,footext
- .text
- .global blezall
-blezall:
- blezall %0,footext
- .text
- .global blezl
-blezl:
- blezl %0,footext
- .text
- .global bltz
-bltz:
- bltz %0,footext
- .text
- .global bltzl
-bltzl:
- bltzl %0,footext
- .text
- .global bltzal
-bltzal:
- bltzal %0,footext
- .text
- .global bltzall
-bltzall:
- bltzall %0,footext
- .text
- .global bmb
-bmb:
- bmb %0,%0,footext
- .text
- .global bmb0
-bmb0:
- bmb0 %0,%0,footext
- .text
- .global bmb1
-bmb1:
- bmb1 %0,%0,footext
- .text
- .global bmb2
-bmb2:
- bmb2 %0,%0,footext
- .text
- .global bmb3
-bmb3:
- bmb3 %0,%0,footext
- .text
- .global bmbl
-bmbl:
- bmbl %0,%0,footext
- .text
- .global bne
-bne:
- bne %0,%0,footext
- .text
- .global bnel
-bnel:
- bnel %0,%0,footext
- .text
- .global break
-break:
- break
- .text
- .global bri
-bri:
- bri %0,footext
- .text
- .global brv
-brv:
- brv %0,footext
- .text
- .global chkhdr
-chkhdr:
- chkhdr %0,%0
- .text
- .global j
-j:
- j bartext
- .text
- .global jal
-jal:
- jal %0,bartext
-bartext:
- .text
- .global jalr
-jalr:
- jalr %0,%0
- .text
- .global jr
-jr:
- jr %0
- .text
- .global lb
-lb:
- lb %0,0x1024(%0)
- .text
- .global lbu
-lbu:
- lbu %0,0x1024(%0)
- .text
- .global lh
-lh:
- lh %0,0x1024(%0)
- .text
- .global lhu
-lhu:
- lhu %0,0x1024(%0)
- .text
- .global lui
-lui:
- lui %0,-1
- lui %29,%hi(foodata)
- ori %29,%29,%lo(foodata)
- .text
- .global la
-la:
- la %11,foodata
- .global lw
-lw:
- lw %0,0x1024(%0)
- .text
- .global sb
-sb:
- sb %0,0x1024(%0)
- .text
- .global sh
-sh:
- sh %0,0x1024(%0)
- .text
- .global sw
-sw:
- sw %0,0x1024(%0)
- .text
- .global swrd
-swrd:
- swrd %29,%30
- .text
- .global swrdl
-swrdl:
- swrdl %29,%30
- .text
- .global swwr
-swwr:
- swwr %0,%29,%30
- .text
- .global swwru
-swwru:
- swwru %0,%29,%30
- .text
- .global rba
-rba:
- rba %0,%29,%30
- .text
- .global rbal
-rbal:
- rbal %0,%29,%30
- .text
- .global rbar
-rbar:
- rbar %0,%29,%30
- .text
- .global dwrd
-dwrd:
- dwrd %28,%30
- .text
- .global dwrdl
-dwrdl:
- dwrdl %28,%30
- .text
- .global wba
-wba:
- wba %0,%29,%30
- .text
- .global wbau
-wbau:
- wbau %0,%29,%30
- .text
- .global wbac
-wbac:
- wbac %0,%29,%30
- .text
- .global crc32
-crc32:
- crc32 %0,%29,%30
- .text
- .global crc32b
-crc32b:
- crc32b %0,%29,%30
- .text
- .global cfc
-cfc:
- cfc %29,%30
- .text
- .global lock
-lock:
- lock %29,%28
- .text
- .global ctc
-ctc:
- ctc %29,%30
- .text
- .global unlk
-unlk:
- unlk %29,%30
- .text
- .global mcid
-mcid:
- mcid %0,%29
- .text
- .global dba
-dba:
- dba %30
- .text
- .global dbd
-dbd:
- dbd %0,%30
- .text
- .global dpwt
-dpwt:
- dpwt %0,%30
- .text
- .global avail
-avail:
- avail %31
- .text
- .global free
-free:
- free %0,%30
- .text
- .global tstod
-tstod:
- tstod %0,%30
- .global yield
-yield:
- yield
- .text
- .global pkrla
-pkrla:
- pkrla %0,%29,%30
- .text
- .global pkrlac
-pkrlac:
- pkrlac %0,%29,%30
- .text
- .global pkrlau
-pkrlau:
- pkrlau %0,%29,%30
- .text
- .global pkrlah
-pkrlah:
- pkrlah %0,%29,%30
- .text
- .global cmphdr
-cmphdr:
- cmphdr %31
- .text
- .global cam36
-cam36:
- cam36 %29,%30,1,1
- .text
- .global cam72
-cam72:
- cam72 %0,%30,2,2
- .text
- .global cam144
-cam144:
- cam144 %0,%29,3,3
- .text
- .global cam288
-cam288:
- cam144 %0,%29,4,4
- .text
- .global cm32and
-cm32and:
- cm32and %0,%29,%30
- .text
- .global cm32andn
-cm32andn:
- cm32andn %0,%29,%30
- .text
- .global cm32or
-cm32or:
- cm32or %0,%29,%30
- .text
- .global cm32ra
-cm32ra:
- cm32ra %0,%29,%30
- .text
- .global cm32rd
-cm32rd:
- cm32rd %29,%30
- .text
- .global cm32ri
-cm32ri:
- cm32ri %0,%29
- .text
- .global cm32rs
-cm32rs:
- cm32rs %0,%29,%30
- .text
- .global cm32sa
-cm32sa:
- cm32sa %0,%29,%30
- .text
- .global cm32sd
-cm32sd:
- cm32sd %0,%29
- .text
- .global cm32si
-cm32si:
- cm32si %0,%29
- .text
- .global cm32ss
-cm32ss:
- cm32ss %0,%29,%30
- .text
- .global cm32xor
-cm32xor:
- cm32xor %0,%29,%30
- .text
- .global cm64clr
-cm64clr:
- cm64clr %0,%28
- .text
- .global cm64ra
-cm64ra:
- cm64ra %0,%28,%30
- .text
- .global cm64rd
-cm64rd:
- cm64rd %0,%28
- .text
- .global cm64ri
-cm64ri:
- cm64ri %0,%28
- .text
- .global cm64ria2
-cm64ria2:
- cm64ria2 %0,%28,%30
- .text
- .global cm64rs
-cm64rs:
- cm64rs %0,%28,%30
- .text
- .global cm64sa
-cm64sa:
- cm64sa %0,%28,%30
- .text
- .global cm64sd
-cm64sd:
- cm64sd %0,%28
- .text
- .global cm64si
-cm64si:
- cm64si %0,%28
- .text
- .global cm64sia2
-cm64sia2:
- cm64sia2 %0,%28,%30
- .text
- .global cm64ss
-cm64ss:
- cm64ss %0,%29,%30
- .text
- .global cm128ria2
-cm128ria2:
- cm128ria2 %0,%29,%30
- .text
- .global cm128ria30
-cm128ria3:
- cm128ria3 %0,%29,%30,0
- .text
- .global cm128ria4
-cm128ria4:
- cm128ria4 %0,%29,%30,7
- .text
- .global cm128sia2
-cm128sia2:
- cm128sia2 %0,%29,%30
- .text
- .global cm128sia3
-cm128sia3:
- cm128sia3 %0,%29,%30,0
- .text
- .global cm128sia4
-cm128sia4:
- cm128sia4 %0,%29,%30,7
- .text
- .global cm128vsa
-cm128vsa:
- cm128vsa %0,%29,%30
- .text
- .global pkrli
-pkrli:
- pkrli %1,%31,%29,63
- .text
- .global pkrlic
-pkrlic:
- pkrlic %1,%31,%29,63
- .text
- .global pkrlih
-pkrlih:
- pkrlih %1,%31,%29,63
- .text
- .global pkrliu
-pkrliu:
- pkrliu %1,%31,%29,63
- .text
- .global rbi
-rbi:
- rbi %2,%29,%28,32
- .text
- .global rbil
-rbil:
- rbil %2,%29,%28,32
- .text
- .global rbir
-rbir:
- rbir %2,%29,%28,32
- .text
- .global wbi
-wbi:
- wbi %0,%1,%2,32
- .text
- .global wbic
-wbic:
- wbic %0,%1,%2,32
- .text
- .global wbiu
-wbiu:
- wbiu %0,%1,%2,32
-
diff --git a/gas/testsuite/gas/iq2000/q10hazard3.s b/gas/testsuite/gas/iq2000/q10hazard3.s
deleted file mode 100644
index c4170a0..0000000
--- a/gas/testsuite/gas/iq2000/q10hazard3.s
+++ /dev/null
@@ -1,14 +0,0 @@
-# This test case includes a single case of a load hazard, whereby an
-# instruction references a register which is the target of a load.
-# The assembler must warn about this!
-
-.data
-foodata:
- .word 42
-
-.text
- lw %31, foodata(%1)
- jal %31,footext
-
-footext:
- nop
diff --git a/gas/testsuite/gas/iq2000/q10hazard4.s b/gas/testsuite/gas/iq2000/q10hazard4.s
deleted file mode 100644
index fc9f9a6..0000000
--- a/gas/testsuite/gas/iq2000/q10hazard4.s
+++ /dev/null
@@ -1,11 +0,0 @@
-# This test case includes a single case of a load hazard, whereby an
-# instruction references a register which is the target of a load.
-# The assembler must warn about this!
-
-.data
-foodata:
- .word 42
-
-.text
- lw %10, foodata(%12)
- add %3, %10, %9
diff --git a/gas/testsuite/gas/iq2000/q10hazard5.s b/gas/testsuite/gas/iq2000/q10hazard5.s
deleted file mode 100644
index 6ec51f5..0000000
--- a/gas/testsuite/gas/iq2000/q10hazard5.s
+++ /dev/null
@@ -1,11 +0,0 @@
-# This test case includes a single case of a load hazard, whereby an
-# instruction references a register which is the target of a load.
-# The assembler must warn about this!
-
-.data
-foodata:
- .word 42
-
-.text
- lw %1, foodata(%4)
- add %8, %1, %9
diff --git a/gas/testsuite/gas/iq2000/q10load-hazards.exp b/gas/testsuite/gas/iq2000/q10load-hazards.exp
deleted file mode 100644
index e49bcf9..0000000
--- a/gas/testsuite/gas/iq2000/q10load-hazards.exp
+++ /dev/null
@@ -1,62 +0,0 @@
-# Test for warnings when producing load hazards (instructions that
-# reference the target of load one stage further down the pipeline.
-
-# Run GAS and check that it emits the desired warning for the test case.
-# Arguments:
-# file -- name of the test case to assemble.
-# testname -- a string describing the test.
-# warnpattern -- a regular expression, suitable for use by the Tcl
-# regexp command, to decide if the warning string was emitted by
-# the assembler to stderr.
-
-proc iq2000_warning_test { file testname {warnpattern ""} } {
- global comp_output
-
- gas_run $file "-m10" ">/dev/null"
- verbose "output was $comp_output" 2
-
- if {$warnpattern == ""} {
- if {$comp_output == ""} { pass $testname } else { fail $testname }
- return
- }
-
- if {[regexp "Warning: $warnpattern" $comp_output]} {
- pass $testname
- } else {
- fail $testname
- }
-}
-
-if [istarget iq2000*-*-*] {
- foreach file [glob -nocomplain -- $srcdir/$subdir/q10hazard*.s] {
- set file [file tail $file]
- switch -- $file {
- "q10hazard0.s" {
- set warnpattern "operand references R10 of previous load"
- }
- "q10hazard1.s" {
- set warnpattern "operand references R1 of previous load"
- }
- "q10hazard2.s" {
- set warnpattern "operand references R2 of previous load"
- }
- "q10hazard3.s" {
- set warnpattern "operand references R31 of previous load"
- }
- "q10hazard4.s" {
- set warnpattern "operand references R10 of previous load"
- }
- "q10hazard5.s" {
- set warnpattern "operand references R1 of previous load"
- }
- default {
- error "no expected result specified for $file"
- return
- }
- }
- iq2000_warning_test $file "assembler emits load hazard warning for $file" $warnpattern
- }
-
- set testname "assembler emits no warnings when there are no load hazards"
- iq2000_warning_test q10nohazard.s $testname
-}
diff --git a/gas/testsuite/gas/iq2000/q10nohazard.s b/gas/testsuite/gas/iq2000/q10nohazard.s
deleted file mode 100644
index 02fc136..0000000
--- a/gas/testsuite/gas/iq2000/q10nohazard.s
+++ /dev/null
@@ -1,20 +0,0 @@
-# This test case includes a number of cases where there is no load
-# hazard between a load and the instruction which follows it in
-# the pipeline.
-
-.data
-.text
- lw %0, 0x40(%0)
- add %1, %2, %3
- lh %0, 0x80(%0)
- add %1, %2, %3
- lb %0, 0x80(%0)
- add %1, %2, %3
- lw %0, 0x80(%0)
- nop
- add %0, %0, %0
- lw %0, 0x80(%3)
- nop
- lw %0, 0x80(%3)
- add %2, %3, %4
-
diff --git a/gas/testsuite/gas/iq2000/q10noyield.s b/gas/testsuite/gas/iq2000/q10noyield.s
deleted file mode 100644
index 7c8cdaf..0000000
--- a/gas/testsuite/gas/iq2000/q10noyield.s
+++ /dev/null
@@ -1,14 +0,0 @@
-# This test case includes a number of cases where a yield instruction
-# (e.g. SLEEP) does NOT appear in the branch delay slot.
-
-.text
-test1: beq %0, %0, test2
- # nop in the branch delay slot.
- nop
-test2: cfc %0, %0
- nop
-test3: cfc %0, %0
- beq %0, %0, test4
- nop
-test4: sub %1,%2,%3
-
diff --git a/gas/testsuite/gas/iq2000/q10test0.d b/gas/testsuite/gas/iq2000/q10test0.d
deleted file mode 100644
index a0e77c7..0000000
--- a/gas/testsuite/gas/iq2000/q10test0.d
+++ /dev/null
@@ -1,361 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test0
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 08 2d mrgb r1,r1,r1,0x0
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 01 08 00 ram r1,r1,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 00 rbi r1,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 00 rbir r1,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 00 rbil r1,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 00 wbi r1,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 00 wbic r1,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 00 wbiu r1,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2b pkrlac r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 2a pkrlah r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 4c 21 08 29 pkrlau r1,r1,r1
- 30c: 00 00 00 00 nop
- 310: 48 21 08 00 pkrli r1,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 0b 00 pkrlic r1,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 48 21 0a 00 pkrlih r1,r1,r1,0x0
- 324: 00 00 00 00 nop
- 328: 48 21 09 00 pkrliu r1,r1,r1,0x0
- 32c: 00 00 00 00 nop
- 330: 4c 01 08 01 lock r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 01 08 03 unlk r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 21 08 06 swwr r1,r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 21 08 07 swwru r1,r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 01 08 04 swrd r1,r1
- 354: 00 00 00 00 nop
- 358: 4c 01 08 05 swrdl r1,r1
- 35c: 00 00 00 00 nop
- 360: 4c 02 10 0c dwrd r2,r2
- 364: 00 00 00 00 nop
- 368: 4c 02 10 0d dwrdl r2,r2
- 36c: 00 00 00 00 nop
- 370: 4c 01 0c 00 cam36 r1,r1,0x0,0x0
- 374: 00 00 00 00 nop
- 378: 4c 01 0c 40 cam72 r1,r1,0x0,0x0
- 37c: 00 00 00 00 nop
- 380: 4c 01 0c 80 cam144 r1,r1,0x0,0x0
- 384: 00 00 00 00 nop
- 388: 4c 01 0c c0 cam288 r1,r1,0x0,0x0
- 38c: 00 00 00 00 nop
- 390: 4c 21 08 ab cm32and r1,r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 21 08 a3 cm32andn r1,r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 21 08 aa cm32or r1,r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 21 08 b0 cm32ra r1,r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 01 08 a1 cm32rd r1,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 01 08 a4 cm32ri r1,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 21 08 a0 cm32rs r1,r1,r1
- 3c4: 00 00 00 00 nop
- 3c8: 4c 21 08 b8 cm32sa r1,r1,r1
- 3cc: 00 00 00 00 nop
- 3d0: 4c 01 08 a9 cm32sd r1,r1
- 3d4: 00 00 00 00 nop
- 3d8: 4c 01 08 ac cm32si r1,r1
- 3dc: 00 00 00 00 nop
- 3e0: 4c 21 08 a8 cm32ss r1,r1,r1
- 3e4: 00 00 00 00 nop
- 3e8: 4c 21 08 a2 cm32xor r1,r1,r1
- 3ec: 00 00 00 00 nop
- 3f0: 4c 02 10 85 cm64clr r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 42 10 90 cm64ra r2,r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 02 10 81 cm64rd r2,r2
- 404: 00 00 00 00 nop
- 408: 4c 02 10 84 cm64ri r2,r2
- 40c: 00 00 00 00 nop
- 410: 4c 42 10 94 cm64ria2 r2,r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 10 80 cm64rs r2,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 42 10 98 cm64sa r2,r2,r2
- 424: 00 00 00 00 nop
- 428: 4c 02 10 89 cm64sd r2,r2
- 42c: 00 00 00 00 nop
- 430: 4c 02 10 8c cm64si r2,r2
- 434: 00 00 00 00 nop
- 438: 4c 42 10 9c cm64sia2 r2,r2,r2
- 43c: 00 00 00 00 nop
- 440: 4c 42 10 88 cm64ss r2,r2,r2
- 444: 00 00 00 00 nop
- 448: 4c 42 10 95 cm128ria2 r2,r2,r2
- 44c: 00 00 00 00 nop
- 450: 4c 42 10 90 cm64ra r2,r2,r2
- 454: 00 00 00 00 nop
- 458: 4c 42 10 91 cm128ria3 r2,r2,r2,0x1
- 45c: 00 00 00 00 nop
- 460: 4c 42 10 92 cm128ria3 r2,r2,r2,0x2
- 464: 00 00 00 00 nop
- 468: 4c 42 10 93 cm128ria3 r2,r2,r2,0x3
- 46c: 00 00 00 00 nop
- 470: 4c 42 10 b0 cm32ra r2,r2,r2
- 474: 00 00 00 00 nop
- 478: 4c 42 10 b1 cm128ria4 r2,r2,r2,0x1
- 47c: 00 00 00 00 nop
- 480: 4c 42 10 b2 cm128ria4 r2,r2,r2,0x2
- 484: 00 00 00 00 nop
- 488: 4c 42 10 b3 cm128ria4 r2,r2,r2,0x3
- 48c: 00 00 00 00 nop
- 490: 4c 42 10 b4 cm128ria4 r2,r2,r2,0x4
- 494: 00 00 00 00 nop
- 498: 4c 42 10 b5 cm128ria4 r2,r2,r2,0x5
- 49c: 00 00 00 00 nop
- 4a0: 4c 42 10 b6 cm128ria4 r2,r2,r2,0x6
- 4a4: 00 00 00 00 nop
- 4a8: 4c 42 10 b7 cm128ria4 r2,r2,r2,0x7
- 4ac: 00 00 00 00 nop
- 4b0: 4c 42 10 9d cm128sia2 r2,r2,r2
- 4b4: 00 00 00 00 nop
- 4b8: 4c 42 10 98 cm64sa r2,r2,r2
- 4bc: 00 00 00 00 nop
- 4c0: 4c 42 10 99 cm128sia3 r2,r2,r2,0x1
- 4c4: 00 00 00 00 nop
- 4c8: 4c 42 10 9a cm128sia3 r2,r2,r2,0x2
- 4cc: 00 00 00 00 nop
- 4d0: 4c 42 10 9b cm128sia3 r2,r2,r2,0x3
- 4d4: 00 00 00 00 nop
- 4d8: 4c 21 08 b8 cm32sa r1,r1,r1
- 4dc: 00 00 00 00 nop
- 4e0: 4c 21 08 b9 cm128sia4 r1,r1,r1,0x1
- 4e4: 00 00 00 00 nop
- 4e8: 4c 21 08 ba cm128sia4 r1,r1,r1,0x2
- 4ec: 00 00 00 00 nop
- 4f0: 4c 21 08 bb cm128sia4 r1,r1,r1,0x3
- 4f4: 00 00 00 00 nop
- 4f8: 4c 21 08 bc cm128sia4 r1,r1,r1,0x4
- 4fc: 00 00 00 00 nop
- 500: 4c 21 08 bd cm128sia4 r1,r1,r1,0x5
- 504: 00 00 00 00 nop
- 508: 4c 21 08 be cm128sia4 r1,r1,r1,0x6
- 50c: 00 00 00 00 nop
- 510: 4c 21 08 bf cm128sia4 r1,r1,r1,0x7
- 514: 00 00 00 00 nop
- 518: 4c 21 08 a6 cm128vsa r1,r1,r1
- 51c: 00 00 00 00 nop
- 520: 4c 21 08 14 crc32 r1,r1,r1
- 524: 00 00 00 00 nop
- 528: 4c 21 08 15 crc32b r1,r1,r1
- 52c: 00 00 00 00 nop
- 530: 4c 20 08 26 chkhdr r1,r1
- 534: 00 00 00 00 nop
- 538: 4c 00 08 24 avail r1
- 53c: 00 00 00 00 nop
- 540: 4c 20 08 25 free r1,r1
- 544: 00 00 00 00 nop
- 548: 00 00 00 0e yield
- 54c: 00 00 00 00 nop
- 550: 4c 20 08 27 tstod r1,r1
- 554: 00 00 00 00 nop
- 558: 4c 00 08 2c cmphdr r1
- 55c: 00 00 00 00 nop
- 560: 4c 01 08 20 mcid r1,r1
- 564: 00 00 00 00 nop
- 568: 4c 00 08 22 dba r1
- 56c: 00 00 00 00 nop
- 570: 4c 01 08 21 dbd r1,r0,r1
- 574: 00 00 00 00 nop
- 578: 4c 20 08 23 dpwt r1,r1
- 57c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test0.s b/gas/testsuite/gas/iq2000/q10test0.s
deleted file mode 100644
index 60ba744..0000000
--- a/gas/testsuite/gas/iq2000/q10test0.s
+++ /dev/null
@@ -1,354 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%1,%1,0
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,0,0
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,0
- NOP
- RBIR %1,%1,%1,0
- NOP
- RBIL %1,%1,%1,0
- NOP
- WBI %1,%1,%1,0
- NOP
- WBIC %1,%1,%1,0
- NOP
- WBIU %1,%1,%1,0
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAC %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,0
- NOP
- PKRLIC %1,%1,%1,0
- NOP
- PKRLIH %1,%1,%1,0
- NOP
- PKRLIU %1,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- DWRD %2,%2
- NOP
- DWRDL %2,%2
- NOP
- CAM36 %1,%1,0,0
- NOP
- CAM72 %1,%1,0,0
- NOP
- CAM144 %1,%1,0,0
- NOP
- CAM288 %1,%1,0,0
- NOP
- CM32AND %1,%1,%1
- NOP
- CM32ANDN %1,%1,%1
- NOP
- CM32OR %1,%1,%1
- NOP
- CM32RA %1,%1,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%1,%1
- NOP
- CM32SA %1,%1,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%1,%1
- NOP
- CM32XOR %1,%1,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%2,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%2,%2
- NOP
- CM64RS %2,%2,%2
- NOP
- CM64SA %2,%2,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%2,%2
- NOP
- CM64SS %2,%2,%2
- NOP
- CM128RIA2 %2,%2,%2
- NOP
- CM128RIA3 %2,%2,%2,0
- NOP
- CM128RIA3 %2,%2,%2,1
- NOP
- CM128RIA3 %2,%2,%2,2
- NOP
- CM128RIA3 %2,%2,%2,3
- NOP
- CM128RIA4 %2,%2,%2,0
- NOP
- CM128RIA4 %2,%2,%2,1
- NOP
- CM128RIA4 %2,%2,%2,2
- NOP
- CM128RIA4 %2,%2,%2,3
- NOP
- CM128RIA4 %2,%2,%2,4
- NOP
- CM128RIA4 %2,%2,%2,5
- NOP
- CM128RIA4 %2,%2,%2,6
- NOP
- CM128RIA4 %2,%2,%2,7
- NOP
- CM128SIA2 %2,%2,%2
- NOP
- CM128SIA3 %2,%2,%2,0
- NOP
- CM128SIA3 %2,%2,%2,1
- NOP
- CM128SIA3 %2,%2,%2,2
- NOP
- CM128SIA3 %2,%2,%2,3
- NOP
- CM128SIA4 %1,%1,%1,0
- NOP
- CM128SIA4 %1,%1,%1,1
- NOP
- CM128SIA4 %1,%1,%1,2
- NOP
- CM128SIA4 %1,%1,%1,3
- NOP
- CM128SIA4 %1,%1,%1,4
- NOP
- CM128SIA4 %1,%1,%1,5
- NOP
- CM128SIA4 %1,%1,%1,6
- NOP
- CM128SIA4 %1,%1,%1,7
- NOP
- CM128VSA %1,%1,%1
- NOP
- CRC32 %1,%1,%1
- NOP
- CRC32B %1,%1,%1
- NOP
- CHKHDR %1,%1
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- YIELD
- NOP
- TSTOD %1,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %1
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test1.d b/gas/testsuite/gas/iq2000/q10test1.d
deleted file mode 100644
index 97f5cd7..0000000
--- a/gas/testsuite/gas/iq2000/q10test1.d
+++ /dev/null
@@ -1,317 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test1
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 03 e1 08 20 add r1,r31,r1
- 4: 00 00 00 00 nop
- 8: 23 e1 00 00 addi r1,r31,0x0
- c: 00 00 00 00 nop
- 10: 27 e1 00 00 addiu r1,r31,0x0
- 14: 00 00 00 00 nop
- 18: 03 e1 08 21 addu r1,r31,r1
- 1c: 00 00 00 00 nop
- 20: 03 e1 08 29 ado16 r1,r31,r1
- 24: 00 00 00 00 nop
- 28: 03 e1 08 24 and r1,r31,r1
- 2c: 00 00 00 00 nop
- 30: 33 e1 00 00 andi r1,r31,0x0
- 34: 00 00 00 00 nop
- 38: b3 e1 00 00 andoi r1,r31,0x0
- 3c: 00 00 00 00 nop
- 40: bf e1 00 00 andoui r1,r31,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 03 e1 08 2d mrgb r1,r31,r1,0x0
- 54: 00 00 00 00 nop
- 58: 03 e1 08 27 nor r1,r31,r1
- 5c: 00 00 00 00 nop
- 60: 03 e1 08 25 or r1,r31,r1
- 64: 00 00 00 00 nop
- 68: 37 e1 00 00 ori r1,r31,0x0
- 6c: 00 00 00 00 nop
- 70: 3f e1 00 00 orui r1,r31,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 03 e1 08 04 sllv r1,r1,r31
- 84: 00 00 00 00 nop
- 88: 03 e1 08 2a slt r1,r31,r1
- 8c: 00 00 00 00 nop
- 90: 2b e1 00 00 slti r1,r31,0x0
- 94: 00 00 00 00 nop
- 98: 2f e1 00 00 sltiu r1,r31,0x0
- 9c: 00 00 00 00 nop
- a0: 03 e1 08 2b sltu r1,r31,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 03 e1 08 07 srav r1,r1,r31
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 03 e1 08 06 srlv r1,r1,r31
- c4: 00 00 00 00 nop
- c8: 03 e1 08 22 sub r1,r31,r1
- cc: 00 00 00 00 nop
- d0: 03 e1 08 23 subu r1,r31,r1
- d4: 00 00 00 00 nop
- d8: 03 e1 08 26 xor r1,r31,r1
- dc: 00 00 00 00 nop
- e0: 3b e1 00 00 xori r1,r31,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 3f 08 05 srmv r1,r31,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 3f 08 01 slmv r1,r31,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 01 08 00 ram r1,r1,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 73 e0 ff bd bbi r31\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 7b e0 ff bb bbin r31\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 77 e1 ff b9 bbv r31,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7f e1 ff b7 bbvn r31,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f3 e0 ff b5 bbil r31\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: fb e0 ff b3 bbinl r31\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f7 e1 ff b1 bbvl r31,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: ff e1 ff af bbvnl r31,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 13 e1 ff ad beq r31,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 53 e1 ff ab beql r31,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 07 e1 ff a9 bgez r31,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 07 f5 ff a7 bgtzal r31,0 <_start>
- 164: 00 00 00 00 nop
- 168: 07 f1 ff a5 bgezal r31,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 07 f7 ff a3 bgtzall r31,0 <_start>
- 174: 00 00 00 00 nop
- 178: 07 f3 ff a1 bgezall r31,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 07 e3 ff 9f bgezl r31,0 <_start>
- 184: 00 00 00 00 nop
- 188: 07 e7 ff 9d bgtzl r31,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 07 e5 ff 9b bgtz r31,0 <_start>
- 194: 00 00 00 00 nop
- 198: 07 e4 ff 99 blez r31,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 07 f4 ff 97 blezal r31,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 07 e0 ff 95 bltz r31,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 07 f0 ff 93 bltzal r31,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 07 e6 ff 91 blezl r31,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 07 e2 ff 8f bltzl r31,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 07 f6 ff 8d blezall r31,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 07 f2 ff 8b bltzall r31,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 1b e1 ff 89 bmb r31,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 5b e1 ff 87 bmbl r31,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 63 e1 ff 85 bmb0 r31,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 67 e1 ff 83 bmb1 r31,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 6b e1 ff 81 bmb2 r31,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6f e1 ff 7f bmb3 r31,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 17 e1 ff 7d bne r31,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 57 e1 ff 7b bnel r31,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 1f 00 00 jal 0 <_start>
- 224: 00 00 00 00 nop
- 228: 03 e0 08 09 jalr r1,r31
- 22c: 00 00 00 00 nop
- 230: 03 e0 00 08 jr r31
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4f e1 00 02 ctc r31,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8f e1 00 00 lw r1,0x0\(r31\)
- 254: 00 00 00 00 nop
- 258: 87 e1 00 00 lh r1,0x0\(r31\)
- 25c: 00 00 00 00 nop
- 260: 83 e1 00 00 lb r1,0x0\(r31\)
- 264: 00 00 00 00 nop
- 268: 97 e1 00 00 lhu r1,0x0\(r31\)
- 26c: 00 00 00 00 nop
- 270: 93 e1 00 00 lbu r1,0x0\(r31\)
- 274: 00 00 00 00 nop
- 278: a3 e1 00 00 sb r1,0x0\(r31\)
- 27c: 00 00 00 00 nop
- 280: a7 e1 00 00 sh r1,0x0\(r31\)
- 284: 00 00 00 00 nop
- 288: af e1 00 00 sw r1,0x0\(r31\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 f8 08 rba r31,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 f8 0a rbar r31,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 f8 09 rbal r31,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 f8 10 wba r31,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 f8 12 wbac r31,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 f8 11 wbau r31,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 fa 00 rbi r31,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 f9 00 rbir r31,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 fb 00 rbil r31,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 fe 00 wbi r31,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 fd 00 wbic r31,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 ff 00 wbiu r31,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 f8 28 pkrla r31,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 f8 2a pkrlah r31,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 f8 29 pkrlau r31,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 f8 00 pkrli r31,r1,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 48 21 fa 00 pkrlih r31,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 f9 00 pkrliu r31,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 f8 06 swwr r31,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 f8 07 swwru r31,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 02 10 0c dwrd r2,r2
- 354: 00 00 00 00 nop
- 358: 4c 02 10 0d dwrdl r2,r2
- 35c: 00 00 00 00 nop
- 360: 4c 1f 0c 08 cam36 r1,r31,0x1,0x0
- 364: 00 00 00 00 nop
- 368: 4c 1f 0c 41 cam72 r1,r31,0x1,0x0
- 36c: 00 00 00 00 nop
- 370: 4c 1f 0c 81 cam144 r1,r31,0x1,0x0
- 374: 00 00 00 00 nop
- 378: 4c 1f 0c c1 cam288 r1,r31,0x1,0x0
- 37c: 00 00 00 00 nop
- 380: 4f e1 08 ab cm32and r1,r31,r1
- 384: 00 00 00 00 nop
- 388: 4f e1 08 a3 cm32andn r1,r31,r1
- 38c: 00 00 00 00 nop
- 390: 4f e1 08 aa cm32or r1,r31,r1
- 394: 00 00 00 00 nop
- 398: 4f e1 08 b0 cm32ra r1,r31,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 01 08 a1 cm32rd r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 01 08 a4 cm32ri r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4f e1 08 a0 cm32rs r1,r31,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4f e1 08 b8 cm32sa r1,r31,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 01 08 a9 cm32sd r1,r1
- 3c4: 00 00 00 00 nop
- 3c8: 4c 01 08 ac cm32si r1,r1
- 3cc: 00 00 00 00 nop
- 3d0: 4f e1 08 a8 cm32ss r1,r31,r1
- 3d4: 00 00 00 00 nop
- 3d8: 4f e1 08 a2 cm32xor r1,r31,r1
- 3dc: 00 00 00 00 nop
- 3e0: 4c 02 10 85 cm64clr r2,r2
- 3e4: 00 00 00 00 nop
- 3e8: 4f e2 10 90 cm64ra r2,r31,r2
- 3ec: 00 00 00 00 nop
- 3f0: 4c 02 10 81 cm64rd r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 02 10 84 cm64ri r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4f e2 10 94 cm64ria2 r2,r31,r2
- 404: 00 00 00 00 nop
- 408: 4f e2 10 80 cm64rs r2,r31,r2
- 40c: 00 00 00 00 nop
- 410: 4f e2 10 98 cm64sa r2,r31,r2
- 414: 00 00 00 00 nop
- 418: 4c 02 10 89 cm64sd r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 02 10 8c cm64si r2,r2
- 424: 00 00 00 00 nop
- 428: 4f e2 10 9c cm64sia2 r2,r31,r2
- 42c: 00 00 00 00 nop
- 430: 4f e2 10 88 cm64ss r2,r31,r2
- 434: 00 00 00 00 nop
- 438: 4f e2 10 95 cm128ria2 r2,r31,r2
- 43c: 00 00 00 00 nop
- 440: 4f e2 10 90 cm64ra r2,r31,r2
- 444: 00 00 00 00 nop
- 448: 4f e2 10 b1 cm128ria4 r2,r31,r2,0x1
- 44c: 00 00 00 00 nop
- 450: 4f e2 10 9d cm128sia2 r2,r31,r2
- 454: 00 00 00 00 nop
- 458: 4f e2 10 98 cm64sa r2,r31,r2
- 45c: 00 00 00 00 nop
- 460: 4f e1 08 b8 cm32sa r1,r31,r1
- 464: 00 00 00 00 nop
- 468: 4f e1 08 a6 cm128vsa r1,r31,r1
- 46c: 00 00 00 00 nop
- 470: 4f e1 08 14 crc32 r1,r31,r1
- 474: 00 00 00 00 nop
- 478: 4f e1 08 15 crc32b r1,r31,r1
- 47c: 00 00 00 00 nop
- 480: 4c 20 08 26 chkhdr r1,r1
- 484: 00 00 00 00 nop
- 488: 4c 00 08 24 avail r1
- 48c: 00 00 00 00 nop
- 490: 4c 20 08 25 free r1,r1
- 494: 00 00 00 00 nop
- 498: 4f e0 08 27 tstod r1,r31
- 49c: 00 00 00 00 nop
- 4a0: 00 00 00 0e yield
- 4a4: 00 00 00 00 nop
- 4a8: 4c 00 08 2c cmphdr r1
- 4ac: 00 00 00 00 nop
- 4b0: 4c 01 08 20 mcid r1,r1
- 4b4: 00 00 00 00 nop
- 4b8: 4c 00 f8 22 dba r31
- 4bc: 00 00 00 00 nop
- 4c0: 4c 01 08 21 dbd r1,r0,r1
- 4c4: 00 00 00 00 nop
- 4c8: 4c 20 08 23 dpwt r1,r1
- 4cc: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test1.s b/gas/testsuite/gas/iq2000/q10test1.s
deleted file mode 100644
index 7505230..0000000
--- a/gas/testsuite/gas/iq2000/q10test1.s
+++ /dev/null
@@ -1,310 +0,0 @@
-.global _start
-_start:
- ADD %1,%31,%1
- NOP
- ADDI %1,%31,0
- NOP
- ADDIU %1,%31,0
- NOP
- ADDU %1,%31,%1
- NOP
- ADO16 %1,%31,%1
- NOP
- AND %1,%31,%1
- NOP
- ANDI %1,%31,0
- NOP
- ANDOI %1,%31,0
- NOP
- ANDOUI %1,%31,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%31,%1,0
- NOP
- NOR %1,%31,%1
- NOP
- OR %1,%31,%1
- NOP
- ORI %1,%31,0
- NOP
- ORUI %1,%31,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%31
- NOP
- SLT %1,%31,%1
- NOP
- SLTI %1,%31,0
- NOP
- SLTIU %1,%31,0
- NOP
- SLTU %1,%31,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%31
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%31
- NOP
- SUB %1,%31,%1
- NOP
- SUBU %1,%31,%1
- NOP
- XOR %1,%31,%1
- NOP
- XORI %1,%31,0
- NOP
- NOP
- NOP
- SRMV %1,%31,%1,0
- NOP
- SLMV %1,%31,%1,0
- NOP
- RAM %1,%1,0,0,0
- NOP
- BBI %31(0),_start
- NOP
- BBIN %31(0),_start
- NOP
- BBV %31,%1,_start
- NOP
- BBVN %31,%1,_start
- NOP
- BBIL %31(0),_start
- NOP
- BBINL %31(0),_start
- NOP
- BBVL %31,%1,_start
- NOP
- BBVNL %31,%1,_start
- NOP
- BEQ %31,%1,_start
- NOP
- BEQL %31,%1,_start
- NOP
- BGEZ %31,_start
- NOP
- BGTZAL %31,_start
- NOP
- BGEZAL %31,_start
- NOP
- BGTZALL %31,_start
- NOP
- BGEZALL %31,_start
- NOP
- BGEZL %31,_start
- NOP
- BGTZL %31,_start
- NOP
- BGTZ %31,_start
- NOP
- BLEZ %31,_start
- NOP
- BLEZAL %31,_start
- NOP
- BLTZ %31,_start
- NOP
- BLTZAL %31,_start
- NOP
- BLEZL %31,_start
- NOP
- BLTZL %31,_start
- NOP
- BLEZALL %31,_start
- NOP
- BLTZALL %31,_start
- NOP
- BMB %31,%1,_start
- NOP
- BMBL %31,%1,_start
- NOP
- BMB0 %31,%1,_start
- NOP
- BMB1 %31,%1,_start
- NOP
- BMB2 %31,%1,_start
- NOP
- BMB3 %31,%1,_start
- NOP
- BNE %31,%1,_start
- NOP
- BNEL %31,%1,_start
- NOP
- J 0
- NOP
- JAL %31,0
- NOP
- JALR %1,%31
- NOP
- JR %31
- NOP
- BREAK
- NOP
- CTC %31,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%31)
- NOP
- LH %1,0(%31)
- NOP
- LB %1,0(%31)
- NOP
- LHU %1,0(%31)
- NOP
- LBU %1,0(%31)
- NOP
- SB %1,0(%31)
- NOP
- SH %1,0(%31)
- NOP
- SW %1,0(%31)
- NOP
- RBA %31,%1,%1
- NOP
- RBAR %31,%1,%1
- NOP
- RBAL %31,%1,%1
- NOP
- WBA %31,%1,%1
- NOP
- WBAC %31,%1,%1
- NOP
- WBAU %31,%1,%1
- NOP
- RBI %31,%1,%1,0
- NOP
- RBIR %31,%1,%1,0
- NOP
- RBIL %31,%1,%1,0
- NOP
- WBI %31,%1,%1,0
- NOP
- WBIC %31,%1,%1,0
- NOP
- WBIU %31,%1,%1,0
- NOP
- PKRLA %31,%1,%1
- NOP
- PKRLAH %31,%1,%1
- NOP
- PKRLAU %31,%1,%1
- NOP
- PKRLI %31,%1,%1,0
- NOP
- PKRLIH %31,%1,%1,0
- NOP
- PKRLIU %31,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %31,%1,%1
- NOP
- SWWRU %31,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- DWRD %2,%2
- NOP
- DWRDL %2,%2
- NOP
- CAM36 %1,%31,1,0
- NOP
- CAM72 %1,%31,1,0
- NOP
- CAM144 %1,%31,1,0
- NOP
- CAM288 %1,%31,1,0
- NOP
- CM32AND %1,%31,%1
- NOP
- CM32ANDN %1,%31,%1
- NOP
- CM32OR %1,%31,%1
- NOP
- CM32RA %1,%31,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%31,%1
- NOP
- CM32SA %1,%31,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%31,%1
- NOP
- CM32XOR %1,%31,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%31,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%31,%2
- NOP
- CM64RS %2,%31,%2
- NOP
- CM64SA %2,%31,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%31,%2
- NOP
- CM64SS %2,%31,%2
- NOP
- CM128RIA2 %2,%31,%2
- NOP
- CM128RIA3 %2,%31,%2,0
- NOP
- CM128RIA4 %2,%31,%2,1
- NOP
- CM128SIA2 %2,%31,%2
- NOP
- CM128SIA3 %2,%31,%2,0
- NOP
- CM128SIA4 %1,%31,%1,0
- NOP
- CM128VSA %1,%31,%1
- NOP
- CRC32 %1,%31,%1
- NOP
- CRC32B %1,%31,%1
- NOP
- CHKHDR %1,%1
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- TSTOD %1,%31
- NOP
- YIELD
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %31
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test10.d b/gas/testsuite/gas/iq2000/q10test10.d
deleted file mode 100644
index 422e0b7..0000000
--- a/gas/testsuite/gas/iq2000/q10test10.d
+++ /dev/null
@@ -1,301 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test10
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 0f ed mrgb r1,r1,r1,0x1f
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 21 08 01 ram r1,r1,0x0,0x1,0x1
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a ff rbi r1,r1,r1,0xff
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 ff rbir r1,r1,r1,0xff
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b ff rbil r1,r1,r1,0xff
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e ff wbi r1,r1,r1,0xff
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d ff wbic r1,r1,r1,0xff
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f ff wbiu r1,r1,r1,0xff
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2a pkrlah r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 29 pkrlau r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 08 ff pkrli r1,r1,r1,0xff
- 30c: 00 00 00 00 nop
- 310: 48 21 0a ff pkrlih r1,r1,r1,0xff
- 314: 00 00 00 00 nop
- 318: 48 21 09 ff pkrliu r1,r1,r1,0xff
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 08 06 swwr r1,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 08 07 swwru r1,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 01 0c 07 cam36 r1,r1,0x0,0x7
- 354: 00 00 00 00 nop
- 358: 4c 01 0c 78 cam72 r1,r1,0x0,0x7
- 35c: 00 00 00 00 nop
- 360: 4c 01 0c b8 cam144 r1,r1,0x0,0x7
- 364: 00 00 00 00 nop
- 368: 4c 01 0c f8 cam288 r1,r1,0x0,0x7
- 36c: 00 00 00 00 nop
- 370: 4c 21 08 ab cm32and r1,r1,r1
- 374: 00 00 00 00 nop
- 378: 4c 21 08 a3 cm32andn r1,r1,r1
- 37c: 00 00 00 00 nop
- 380: 4c 21 08 aa cm32or r1,r1,r1
- 384: 00 00 00 00 nop
- 388: 4c 21 08 b0 cm32ra r1,r1,r1
- 38c: 00 00 00 00 nop
- 390: 4c 01 08 a1 cm32rd r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 01 08 a4 cm32ri r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 21 08 a0 cm32rs r1,r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 21 08 b8 cm32sa r1,r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 01 08 a9 cm32sd r1,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 01 08 ac cm32si r1,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 21 08 a8 cm32ss r1,r1,r1
- 3c4: 00 00 00 00 nop
- 3c8: 4c 21 08 a2 cm32xor r1,r1,r1
- 3cc: 00 00 00 00 nop
- 3d0: 4c 02 10 85 cm64clr r2,r2
- 3d4: 00 00 00 00 nop
- 3d8: 4c 42 10 90 cm64ra r2,r2,r2
- 3dc: 00 00 00 00 nop
- 3e0: 4c 02 10 81 cm64rd r2,r2
- 3e4: 00 00 00 00 nop
- 3e8: 4c 02 10 84 cm64ri r2,r2
- 3ec: 00 00 00 00 nop
- 3f0: 4c 42 10 94 cm64ria2 r2,r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 42 10 80 cm64rs r2,r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 42 10 98 cm64sa r2,r2,r2
- 404: 00 00 00 00 nop
- 408: 4c 02 10 89 cm64sd r2,r2
- 40c: 00 00 00 00 nop
- 410: 4c 02 10 8c cm64si r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 10 9c cm64sia2 r2,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 42 10 88 cm64ss r2,r2,r2
- 424: 00 00 00 00 nop
- 428: 4c 42 10 95 cm128ria2 r2,r2,r2
- 42c: 00 00 00 00 nop
- 430: 4c 21 08 14 crc32 r1,r1,r1
- 434: 00 00 00 00 nop
- 438: 4c 21 08 15 crc32b r1,r1,r1
- 43c: 00 00 00 00 nop
- 440: 4c 20 08 26 chkhdr r1,r1
- 444: 00 00 00 00 nop
- 448: 4c 00 08 24 avail r1
- 44c: 00 00 00 00 nop
- 450: 4c 20 08 25 free r1,r1
- 454: 00 00 00 00 nop
- 458: 4c 20 08 27 tstod r1,r1
- 45c: 00 00 00 00 nop
- 460: 00 00 00 0e yield
- 464: 00 00 00 00 nop
- 468: 4c 00 08 2c cmphdr r1
- 46c: 00 00 00 00 nop
- 470: 4c 01 08 20 mcid r1,r1
- 474: 00 00 00 00 nop
- 478: 4c 00 08 22 dba r1
- 47c: 00 00 00 00 nop
- 480: 4c 01 08 21 dbd r1,r0,r1
- 484: 00 00 00 00 nop
- 488: 4c 20 08 23 dpwt r1,r1
- 48c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test10.s b/gas/testsuite/gas/iq2000/q10test10.s
deleted file mode 100644
index 1ecf110..0000000
--- a/gas/testsuite/gas/iq2000/q10test10.s
+++ /dev/null
@@ -1,294 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%1,%1,31
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,1,1
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,255
- NOP
- RBIR %1,%1,%1,255
- NOP
- RBIL %1,%1,%1,255
- NOP
- WBI %1,%1,%1,255
- NOP
- WBIC %1,%1,%1,255
- NOP
- WBIU %1,%1,%1,255
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,255
- NOP
- PKRLIH %1,%1,%1,255
- NOP
- PKRLIU %1,%1,%1,255
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- CAM36 %1,%1,0,7
- NOP
- CAM72 %1,%1,0,7
- NOP
- CAM144 %1,%1,0,7
- NOP
- CAM288 %1,%1,0,7
- NOP
- CM32AND %1,%1,%1
- NOP
- CM32ANDN %1,%1,%1
- NOP
- CM32OR %1,%1,%1
- NOP
- CM32RA %1,%1,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%1,%1
- NOP
- CM32SA %1,%1,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%1,%1
- NOP
- CM32XOR %1,%1,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%2,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%2,%2
- NOP
- CM64RS %2,%2,%2
- NOP
- CM64SA %2,%2,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%2,%2
- NOP
- CM64SS %2,%2,%2
- NOP
- CM128RIA2 %2,%2,%2
- NOP
- CRC32 %1,%1,%1
- NOP
- CRC32B %1,%1,%1
- NOP
- CHKHDR %1,%1
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- TSTOD %1,%1
- NOP
- YIELD
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %1
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test11.d b/gas/testsuite/gas/iq2000/q10test11.d
deleted file mode 100644
index a01fedc..0000000
--- a/gas/testsuite/gas/iq2000/q10test11.d
+++ /dev/null
@@ -1,225 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test11
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 08 6d mrgb r1,r1,r1,0x1
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9d e1 08 01 ram r1,r1,0x0,0x1,0xf
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 00 rbi r1,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 00 rbir r1,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 00 rbil r1,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 00 wbi r1,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 00 wbic r1,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 00 wbiu r1,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2b pkrlac r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 2a pkrlah r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 4c 21 08 29 pkrlau r1,r1,r1
- 30c: 00 00 00 00 nop
- 310: 48 21 08 00 pkrli r1,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 0b 00 pkrlic r1,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 48 21 0a 00 pkrlih r1,r1,r1,0x0
- 324: 00 00 00 00 nop
- 328: 48 21 09 00 pkrliu r1,r1,r1,0x0
- 32c: 00 00 00 00 nop
- 330: 4c 01 08 01 lock r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 01 08 03 unlk r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 21 08 06 swwr r1,r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 21 08 07 swwru r1,r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 01 08 04 swrd r1,r1
- 354: 00 00 00 00 nop
- 358: 4c 01 08 05 swrdl r1,r1
- 35c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test11.s b/gas/testsuite/gas/iq2000/q10test11.s
deleted file mode 100644
index 08ee8d5..0000000
--- a/gas/testsuite/gas/iq2000/q10test11.s
+++ /dev/null
@@ -1,218 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%1,%1,1
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,1,15
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,0
- NOP
- RBIR %1,%1,%1,0
- NOP
- RBIL %1,%1,%1,0
- NOP
- WBI %1,%1,%1,0
- NOP
- WBIC %1,%1,%1,0
- NOP
- WBIU %1,%1,%1,0
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAC %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,0
- NOP
- PKRLIC %1,%1,%1,0
- NOP
- PKRLIH %1,%1,%1,0
- NOP
- PKRLIU %1,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test12.d b/gas/testsuite/gas/iq2000/q10test12.d
deleted file mode 100644
index c261205..0000000
--- a/gas/testsuite/gas/iq2000/q10test12.d
+++ /dev/null
@@ -1,221 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test12
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 08 6d mrgb r1,r1,r1,0x1
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 21 08 0f ram r1,r1,0x0,0xf,0x1
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 00 rbi r1,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 00 rbir r1,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 00 rbil r1,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 00 wbi r1,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 00 wbic r1,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 00 wbiu r1,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2a pkrlah r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 29 pkrlau r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 08 00 pkrli r1,r1,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 48 21 0a 00 pkrlih r1,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 09 00 pkrliu r1,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 08 06 swwr r1,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 08 07 swwru r1,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test12.s b/gas/testsuite/gas/iq2000/q10test12.s
deleted file mode 100644
index e207ec3..0000000
--- a/gas/testsuite/gas/iq2000/q10test12.s
+++ /dev/null
@@ -1,214 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%1,%1,1
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,15,1
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,0
- NOP
- RBIR %1,%1,%1,0
- NOP
- RBIL %1,%1,%1,0
- NOP
- WBI %1,%1,%1,0
- NOP
- WBIC %1,%1,%1,0
- NOP
- WBIU %1,%1,%1,0
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,0
- NOP
- PKRLIH %1,%1,%1,0
- NOP
- PKRLIU %1,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test2.d b/gas/testsuite/gas/iq2000/q10test2.d
deleted file mode 100644
index 944232a..0000000
--- a/gas/testsuite/gas/iq2000/q10test2.d
+++ /dev/null
@@ -1,319 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test2
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 f8 20 add r31,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 f8 21 addu r31,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 f8 29 ado16 r31,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 f8 24 and r31,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 f8 2d mrgb r31,r1,r1,0x0
- 54: 00 00 00 00 nop
- 58: 00 21 f8 27 nor r31,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 f8 25 or r31,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 f8 00 sll r31,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 f8 04 sllv r31,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 f8 2a slt r31,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 f8 2b sltu r31,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 f8 03 sra r31,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 f8 07 srav r31,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 f8 02 srl r31,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 f8 06 srlv r31,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 f8 22 sub r31,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 f8 23 subu r31,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 f8 26 xor r31,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 f8 05 srmv r31,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 f8 01 slmv r31,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 01 f8 00 ram r31,r1,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 f8 09 jalr r31,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 f8 00 cfc r31,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 3f 08 08 rba r1,r1,r31
- 294: 00 00 00 00 nop
- 298: 4c 3f 08 0a rbar r1,r1,r31
- 29c: 00 00 00 00 nop
- 2a0: 4c 3f 08 09 rbal r1,r1,r31
- 2a4: 00 00 00 00 nop
- 2a8: 4c 3f 08 10 wba r1,r1,r31
- 2ac: 00 00 00 00 nop
- 2b0: 4c 3f 08 12 wbac r1,r1,r31
- 2b4: 00 00 00 00 nop
- 2b8: 4c 3f 08 11 wbau r1,r1,r31
- 2bc: 00 00 00 00 nop
- 2c0: 4c 3f 0a 00 rbi r1,r1,r31,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 3f 09 00 rbir r1,r1,r31,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 3f 0b 00 rbil r1,r1,r31,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 3f 0e 00 wbi r1,r1,r31,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 3f 0d 00 wbic r1,r1,r31,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 3f 0f 00 wbiu r1,r1,r31,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 3f 08 28 pkrla r1,r1,r31
- 2f4: 00 00 00 00 nop
- 2f8: 4c 3f 08 2b pkrlac r1,r1,r31
- 2fc: 00 00 00 00 nop
- 300: 4c 3f 08 2a pkrlah r1,r1,r31
- 304: 00 00 00 00 nop
- 308: 4c 3f 08 29 pkrlau r1,r1,r31
- 30c: 00 00 00 00 nop
- 310: 48 3f 08 00 pkrli r1,r1,r31,0x0
- 314: 00 00 00 00 nop
- 318: 48 3f 0b 00 pkrlic r1,r1,r31,0x0
- 31c: 00 00 00 00 nop
- 320: 48 3f 0a 00 pkrlih r1,r1,r31,0x0
- 324: 00 00 00 00 nop
- 328: 48 3f 09 00 pkrliu r1,r1,r31,0x0
- 32c: 00 00 00 00 nop
- 330: 4c 1f 08 01 lock r1,r31
- 334: 00 00 00 00 nop
- 338: 4c 1f 08 03 unlk r1,r31
- 33c: 00 00 00 00 nop
- 340: 4c 3f 08 06 swwr r1,r1,r31
- 344: 00 00 00 00 nop
- 348: 4c 3f 08 07 swwru r1,r1,r31
- 34c: 00 00 00 00 nop
- 350: 4c 01 f8 04 swrd r31,r1
- 354: 00 00 00 00 nop
- 358: 4c 01 f8 05 swrdl r31,r1
- 35c: 00 00 00 00 nop
- 360: 4c 02 f0 0c dwrd r30,r2
- 364: 00 00 00 00 nop
- 368: 4c 02 f0 0d dwrdl r30,r2
- 36c: 00 00 00 00 nop
- 370: 4c 01 fc 10 cam36 r31,r1,0x2,0x0
- 374: 00 00 00 00 nop
- 378: 4c 01 fc 42 cam72 r31,r1,0x2,0x0
- 37c: 00 00 00 00 nop
- 380: 4c 01 fc 82 cam144 r31,r1,0x2,0x0
- 384: 00 00 00 00 nop
- 388: 4c 01 fc c2 cam288 r31,r1,0x2,0x0
- 38c: 00 00 00 00 nop
- 390: 4c 21 f8 ab cm32and r31,r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 21 f8 a3 cm32andn r31,r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 21 f8 aa cm32or r31,r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 21 f8 b0 cm32ra r31,r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 01 f8 a1 cm32rd r31,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 01 f8 a4 cm32ri r31,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 21 f8 a0 cm32rs r31,r1,r1
- 3c4: 00 00 00 00 nop
- 3c8: 4c 21 f8 b8 cm32sa r31,r1,r1
- 3cc: 00 00 00 00 nop
- 3d0: 4c 01 f8 a9 cm32sd r31,r1
- 3d4: 00 00 00 00 nop
- 3d8: 4c 01 f8 ac cm32si r31,r1
- 3dc: 00 00 00 00 nop
- 3e0: 4c 21 f8 a8 cm32ss r31,r1,r1
- 3e4: 00 00 00 00 nop
- 3e8: 4c 21 f8 a2 cm32xor r31,r1,r1
- 3ec: 00 00 00 00 nop
- 3f0: 4c 02 f0 85 cm64clr r30,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 42 f0 90 cm64ra r30,r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 02 f0 81 cm64rd r30,r2
- 404: 00 00 00 00 nop
- 408: 4c 02 f0 84 cm64ri r30,r2
- 40c: 00 00 00 00 nop
- 410: 4c 42 f0 94 cm64ria2 r30,r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 f0 80 cm64rs r30,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 42 f0 98 cm64sa r30,r2,r2
- 424: 00 00 00 00 nop
- 428: 4c 02 f0 89 cm64sd r30,r2
- 42c: 00 00 00 00 nop
- 430: 4c 02 f0 8c cm64si r30,r2
- 434: 00 00 00 00 nop
- 438: 4c 42 f0 9c cm64sia2 r30,r2,r2
- 43c: 00 00 00 00 nop
- 440: 4c 42 f0 88 cm64ss r30,r2,r2
- 444: 00 00 00 00 nop
- 448: 4c 42 f0 95 cm128ria2 r30,r2,r2
- 44c: 00 00 00 00 nop
- 450: 4c 42 f0 92 cm128ria3 r30,r2,r2,0x2
- 454: 00 00 00 00 nop
- 458: 4c 42 f0 b2 cm128ria4 r30,r2,r2,0x2
- 45c: 00 00 00 00 nop
- 460: 4c 42 f0 9d cm128sia2 r30,r2,r2
- 464: 00 00 00 00 nop
- 468: 4c 42 f0 9a cm128sia3 r30,r2,r2,0x2
- 46c: 00 00 00 00 nop
- 470: 4c 21 f8 ba cm128sia4 r31,r1,r1,0x2
- 474: 00 00 00 00 nop
- 478: 4c 21 f8 a6 cm128vsa r31,r1,r1
- 47c: 00 00 00 00 nop
- 480: 4c 21 f8 14 crc32 r31,r1,r1
- 484: 00 00 00 00 nop
- 488: 4c 21 f8 15 crc32b r31,r1,r1
- 48c: 00 00 00 00 nop
- 490: 4c 20 f8 26 chkhdr r31,r1
- 494: 00 00 00 00 nop
- 498: 4c 00 f8 24 avail r31
- 49c: 00 00 00 00 nop
- 4a0: 4c 20 f8 25 free r31,r1
- 4a4: 00 00 00 00 nop
- 4a8: 4c 20 f8 27 tstod r31,r1
- 4ac: 00 00 00 00 nop
- 4b0: 4c 00 f8 2c cmphdr r31
- 4b4: 00 00 00 00 nop
- 4b8: 4c 01 f8 20 mcid r31,r1
- 4bc: 00 00 00 00 nop
- 4c0: 4c 00 f8 22 dba r31
- 4c4: 00 00 00 00 nop
- 4c8: 4c 1f 08 21 dbd r1,r0,r31
- 4cc: 00 00 00 00 nop
- 4d0: 4f e0 08 23 dpwt r1,r31
- 4d4: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test2.s b/gas/testsuite/gas/iq2000/q10test2.s
deleted file mode 100644
index 72a8311..0000000
--- a/gas/testsuite/gas/iq2000/q10test2.s
+++ /dev/null
@@ -1,312 +0,0 @@
-.global _start
-_start:
- ADD %31,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %31,%1,%1
- NOP
- ADO16 %31,%1,%1
- NOP
- AND %31,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %31,%1,%1,0
- NOP
- NOR %31,%1,%1
- NOP
- OR %31,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %31,%1,0
- NOP
- SLLV %31,%1,%1
- NOP
- SLT %31,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %31,%1,%1
- NOP
- SRA %31,%1,0
- NOP
- SRAV %31,%1,%1
- NOP
- SRL %31,%1,0
- NOP
- SRLV %31,%1,%1
- NOP
- SUB %31,%1,%1
- NOP
- SUBU %31,%1,%1
- NOP
- XOR %31,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %31,%1,%1,0
- NOP
- SLMV %31,%1,%1,0
- NOP
- RAM %31,%1,0,0,0
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %31,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %31,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%31
- NOP
- RBAR %1,%1,%31
- NOP
- RBAL %1,%1,%31
- NOP
- WBA %1,%1,%31
- NOP
- WBAC %1,%1,%31
- NOP
- WBAU %1,%1,%31
- NOP
- RBI %1,%1,%31,0
- NOP
- RBIR %1,%1,%31,0
- NOP
- RBIL %1,%1,%31,0
- NOP
- WBI %1,%1,%31,0
- NOP
- WBIC %1,%1,%31,0
- NOP
- WBIU %1,%1,%31,0
- NOP
- PKRLA %1,%1,%31
- NOP
- PKRLAC %1,%1,%31
- NOP
- PKRLAH %1,%1,%31
- NOP
- PKRLAU %1,%1,%31
- NOP
- PKRLI %1,%1,%31,0
- NOP
- PKRLIC %1,%1,%31,0
- NOP
- PKRLIH %1,%1,%31,0
- NOP
- PKRLIU %1,%1,%31,0
- NOP
- LOCK %1,%31
- NOP
- UNLK %1,%31
- NOP
- SWWR %1,%1,%31
- NOP
- SWWRU %1,%1,%31
- NOP
- SWRD %31,%1
- NOP
- SWRDL %31,%1
- NOP
- DWRD %30,%2
- NOP
- DWRDL %30,%2
- NOP
- CAM36 %31,%1,2,0
- NOP
- CAM72 %31,%1,2,0
- NOP
- CAM144 %31,%1,2,0
- NOP
- CAM288 %31,%1,2,0
- NOP
- CM32AND %31,%1,%1
- NOP
- CM32ANDN %31,%1,%1
- NOP
- CM32OR %31,%1,%1
- NOP
- CM32RA %31,%1,%1
- NOP
- CM32RD %31,%1
- NOP
- CM32RI %31,%1
- NOP
- CM32RS %31,%1,%1
- NOP
- CM32SA %31,%1,%1
- NOP
- CM32SD %31,%1
- NOP
- CM32SI %31,%1
- NOP
- CM32SS %31,%1,%1
- NOP
- CM32XOR %31,%1,%1
- NOP
- CM64CLR %30,%2
- NOP
- CM64RA %30,%2,%2
- NOP
- CM64RD %30,%2
- NOP
- CM64RI %30,%2
- NOP
- CM64RIA2 %30,%2,%2
- NOP
- CM64RS %30,%2,%2
- NOP
- CM64SA %30,%2,%2
- NOP
- CM64SD %30,%2
- NOP
- CM64SI %30,%2
- NOP
- CM64SIA2 %30,%2,%2
- NOP
- CM64SS %30,%2,%2
- NOP
- CM128RIA2 %30,%2,%2
- NOP
- CM128RIA3 %30,%2,%2,2
- NOP
- CM128RIA4 %30,%2,%2,2
- NOP
- CM128SIA2 %30,%2,%2
- NOP
- CM128SIA3 %30,%2,%2,2
- NOP
- CM128SIA4 %31,%1,%1,2
- NOP
- CM128VSA %31,%1,%1
- NOP
- CRC32 %31,%1,%1
- NOP
- CRC32B %31,%1,%1
- NOP
- CHKHDR %31,%1
- NOP
- AVAIL %31
- NOP
- FREE %31,%1
- NOP
- TSTOD %31,%1
- NOP
- CMPHDR %31
- NOP
- MCID %31,%1
- NOP
- DBA %31
- NOP
- DBD %1,%31
- NOP
- DPWT %1,%31
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test3.d b/gas/testsuite/gas/iq2000/q10test3.d
deleted file mode 100644
index a32acdd..0000000
--- a/gas/testsuite/gas/iq2000/q10test3.d
+++ /dev/null
@@ -1,313 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test3
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 3f 08 20 add r1,r1,r31
- 4: 00 00 00 00 nop
- 8: 20 3f 00 00 addi r31,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 3f 00 00 addiu r31,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 3f 08 21 addu r1,r1,r31
- 1c: 00 00 00 00 nop
- 20: 00 3f 08 29 ado16 r1,r1,r31
- 24: 00 00 00 00 nop
- 28: 00 3f 08 24 and r1,r1,r31
- 2c: 00 00 00 00 nop
- 30: 30 3f 00 00 andi r31,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 3f 00 00 andoi r31,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 3f 00 00 andoui r31,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 1f 00 00 lui r31,0x0
- 4c: 00 00 00 00 nop
- 50: 00 3f 08 2d mrgb r1,r1,r31,0x0
- 54: 00 00 00 00 nop
- 58: 00 3f 08 27 nor r1,r1,r31
- 5c: 00 00 00 00 nop
- 60: 00 3f 08 25 or r1,r1,r31
- 64: 00 00 00 00 nop
- 68: 34 3f 00 00 ori r31,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 3f 00 00 orui r31,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 1f 08 00 sll r1,r31,0x0
- 7c: 00 00 00 00 nop
- 80: 00 3f 08 04 sllv r1,r31,r1
- 84: 00 00 00 00 nop
- 88: 00 3f 08 2a slt r1,r1,r31
- 8c: 00 00 00 00 nop
- 90: 28 3f 00 00 slti r31,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 3f 00 00 sltiu r31,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 3f 08 2b sltu r1,r1,r31
- a4: 00 00 00 00 nop
- a8: 00 1f 08 03 sra r1,r31,0x0
- ac: 00 00 00 00 nop
- b0: 00 3f 08 07 srav r1,r31,r1
- b4: 00 00 00 00 nop
- b8: 00 1f 08 02 srl r1,r31,0x0
- bc: 00 00 00 00 nop
- c0: 00 3f 08 06 srlv r1,r31,r1
- c4: 00 00 00 00 nop
- c8: 00 3f 08 22 sub r1,r1,r31
- cc: 00 00 00 00 nop
- d0: 00 3f 08 23 subu r1,r1,r31
- d4: 00 00 00 00 nop
- d8: 00 3f 08 26 xor r1,r1,r31
- dc: 00 00 00 00 nop
- e0: 38 3f 00 00 xori r31,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 03 e1 08 05 srmv r1,r1,r31,0x0
- f4: 00 00 00 00 nop
- f8: 03 e1 08 01 slmv r1,r1,r31,0x0
- fc: 00 00 00 00 nop
- 100: 9c 1f 08 00 ram r1,r31,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 3f ff b9 bbv r1,r31,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 3f ff b7 bbvn r1,r31,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 3f ff b1 bbvl r1,r31,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 3f ff af bbvnl r1,r31,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 3f ff ad beq r1,r31,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 3f ff ab beql r1,r31,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 3f ff 89 bmb r1,r31,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 3f ff 87 bmbl r1,r31,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 3f ff 85 bmb0 r1,r31,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 3f ff 83 bmb1 r1,r31,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 3f ff 81 bmb2 r1,r31,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 3f ff 7f bmb3 r1,r31,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 3f ff 7d bne r1,r31,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 3f ff 7b bnel r1,r31,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 3f 00 02 ctc r1,r31
- 244: 00 00 00 00 nop
- 248: 4c 1f 08 00 cfc r1,r31
- 24c: 00 00 00 00 nop
- 250: 8c 3f 00 00 lw r31,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 3f 00 00 lh r31,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 3f 00 00 lb r31,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 3f 00 00 lhu r31,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 3f 00 00 lbu r31,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 3f 00 00 sb r31,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 3f 00 00 sh r31,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 3f 00 00 sw r31,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4f e1 08 08 rba r1,r31,r1
- 294: 00 00 00 00 nop
- 298: 4f e1 08 0a rbar r1,r31,r1
- 29c: 00 00 00 00 nop
- 2a0: 4f e1 08 09 rbal r1,r31,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4f e1 08 10 wba r1,r31,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4f e1 08 12 wbac r1,r31,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4f e1 08 11 wbau r1,r31,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4f e1 0a 00 rbi r1,r31,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4f e1 09 00 rbir r1,r31,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4f e1 0b 00 rbil r1,r31,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4f e1 0e 00 wbi r1,r31,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4f e1 0d 00 wbic r1,r31,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4f e1 0f 00 wbiu r1,r31,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4f e1 08 28 pkrla r1,r31,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4f e1 08 2a pkrlah r1,r31,r1
- 2fc: 00 00 00 00 nop
- 300: 4f e1 08 29 pkrlau r1,r31,r1
- 304: 00 00 00 00 nop
- 308: 4b e1 08 00 pkrli r1,r31,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 4b e1 0a 00 pkrlih r1,r31,r1,0x0
- 314: 00 00 00 00 nop
- 318: 4b e1 09 00 pkrliu r1,r31,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 f8 01 lock r31,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 f8 03 unlk r31,r1
- 32c: 00 00 00 00 nop
- 330: 4f e1 08 06 swwr r1,r31,r1
- 334: 00 00 00 00 nop
- 338: 4f e1 08 07 swwru r1,r31,r1
- 33c: 00 00 00 00 nop
- 340: 4c 1f 08 04 swrd r1,r31
- 344: 00 00 00 00 nop
- 348: 4c 1f 08 05 swrdl r1,r31
- 34c: 00 00 00 00 nop
- 350: 4c 1e 10 0c dwrd r2,r30
- 354: 00 00 00 00 nop
- 358: 4c 1e 10 0d dwrdl r2,r30
- 35c: 00 00 00 00 nop
- 360: 4c 1f 0c 18 cam36 r1,r31,0x3,0x0
- 364: 00 00 00 00 nop
- 368: 4c 1f 0c 43 cam72 r1,r31,0x3,0x0
- 36c: 00 00 00 00 nop
- 370: 4c 1f 0c 83 cam144 r1,r31,0x3,0x0
- 374: 00 00 00 00 nop
- 378: 4c 1f 0c c3 cam288 r1,r31,0x3,0x0
- 37c: 00 00 00 00 nop
- 380: 4c 3f 08 ab cm32and r1,r1,r31
- 384: 00 00 00 00 nop
- 388: 4c 3f 08 a3 cm32andn r1,r1,r31
- 38c: 00 00 00 00 nop
- 390: 4c 3f 08 aa cm32or r1,r1,r31
- 394: 00 00 00 00 nop
- 398: 4c 3f 08 b0 cm32ra r1,r1,r31
- 39c: 00 00 00 00 nop
- 3a0: 4c 1f 08 a1 cm32rd r1,r31
- 3a4: 00 00 00 00 nop
- 3a8: 4c 1f 08 a4 cm32ri r1,r31
- 3ac: 00 00 00 00 nop
- 3b0: 4c 3f 08 a0 cm32rs r1,r1,r31
- 3b4: 00 00 00 00 nop
- 3b8: 4c 3f 08 b8 cm32sa r1,r1,r31
- 3bc: 00 00 00 00 nop
- 3c0: 4c 1f 08 a9 cm32sd r1,r31
- 3c4: 00 00 00 00 nop
- 3c8: 4c 1f 08 ac cm32si r1,r31
- 3cc: 00 00 00 00 nop
- 3d0: 4c 3f 08 a8 cm32ss r1,r1,r31
- 3d4: 00 00 00 00 nop
- 3d8: 4c 3f 08 a2 cm32xor r1,r1,r31
- 3dc: 00 00 00 00 nop
- 3e0: 4c 1e 10 85 cm64clr r2,r30
- 3e4: 00 00 00 00 nop
- 3e8: 4c 5e 10 90 cm64ra r2,r2,r30
- 3ec: 00 00 00 00 nop
- 3f0: 4c 1e 10 81 cm64rd r2,r30
- 3f4: 00 00 00 00 nop
- 3f8: 4c 1e 10 84 cm64ri r2,r30
- 3fc: 00 00 00 00 nop
- 400: 4c 5e 10 94 cm64ria2 r2,r2,r30
- 404: 00 00 00 00 nop
- 408: 4c 5e 10 80 cm64rs r2,r2,r30
- 40c: 00 00 00 00 nop
- 410: 4c 5e 10 98 cm64sa r2,r2,r30
- 414: 00 00 00 00 nop
- 418: 4c 1e 10 89 cm64sd r2,r30
- 41c: 00 00 00 00 nop
- 420: 4c 1e 10 8c cm64si r2,r30
- 424: 00 00 00 00 nop
- 428: 4c 5e 10 9c cm64sia2 r2,r2,r30
- 42c: 00 00 00 00 nop
- 430: 4c 5e 10 88 cm64ss r2,r2,r30
- 434: 00 00 00 00 nop
- 438: 4c 5e 10 95 cm128ria2 r2,r2,r30
- 43c: 00 00 00 00 nop
- 440: 4c 5e 10 93 cm128ria3 r2,r2,r30,0x3
- 444: 00 00 00 00 nop
- 448: 4c 5e 10 b3 cm128ria4 r2,r2,r30,0x3
- 44c: 00 00 00 00 nop
- 450: 4c 5e 10 9d cm128sia2 r2,r2,r30
- 454: 00 00 00 00 nop
- 458: 4c 5e 10 9b cm128sia3 r2,r2,r30,0x3
- 45c: 00 00 00 00 nop
- 460: 4c 3f 08 bb cm128sia4 r1,r1,r31,0x3
- 464: 00 00 00 00 nop
- 468: 4c 3f 08 a6 cm128vsa r1,r1,r31
- 46c: 00 00 00 00 nop
- 470: 4c 3f 08 14 crc32 r1,r1,r31
- 474: 00 00 00 00 nop
- 478: 4c 3f 08 15 crc32b r1,r1,r31
- 47c: 00 00 00 00 nop
- 480: 4f e0 08 26 chkhdr r1,r31
- 484: 00 00 00 00 nop
- 488: 4c 00 08 24 avail r1
- 48c: 00 00 00 00 nop
- 490: 4c 20 f8 25 free r31,r1
- 494: 00 00 00 00 nop
- 498: 4c 00 08 2c cmphdr r1
- 49c: 00 00 00 00 nop
- 4a0: 4c 1f 08 20 mcid r1,r31
- 4a4: 00 00 00 00 nop
- 4a8: 4c 00 f8 22 dba r31
- 4ac: 00 00 00 00 nop
- 4b0: 4c 01 f8 21 dbd r31,r0,r1
- 4b4: 00 00 00 00 nop
- 4b8: 4c 20 f8 23 dpwt r31,r1
- 4bc: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test3.s b/gas/testsuite/gas/iq2000/q10test3.s
deleted file mode 100644
index c5f0f32..0000000
--- a/gas/testsuite/gas/iq2000/q10test3.s
+++ /dev/null
@@ -1,306 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%31
- NOP
- ADDI %31,%1,0
- NOP
- ADDIU %31,%1,0
- NOP
- ADDU %1,%1,%31
- NOP
- ADO16 %1,%1,%31
- NOP
- AND %1,%1,%31
- NOP
- ANDI %31,%1,0
- NOP
- ANDOI %31,%1,0
- NOP
- ANDOUI %31,%1,0
- NOP
- LUI %31,0
- NOP
- MRGB %1,%1,%31,0
- NOP
- NOR %1,%1,%31
- NOP
- OR %1,%1,%31
- NOP
- ORI %31,%1,0
- NOP
- ORUI %31,%1,0
- NOP
- SLL %1,%31,0
- NOP
- SLLV %1,%31,%1
- NOP
- SLT %1,%1,%31
- NOP
- SLTI %31,%1,0
- NOP
- SLTIU %31,%1,0
- NOP
- SLTU %1,%1,%31
- NOP
- SRA %1,%31,0
- NOP
- SRAV %1,%31,%1
- NOP
- SRL %1,%31,0
- NOP
- SRLV %1,%31,%1
- NOP
- SUB %1,%1,%31
- NOP
- SUBU %1,%1,%31
- NOP
- XOR %1,%1,%31
- NOP
- XORI %31,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%31,0
- NOP
- SLMV %1,%1,%31,0
- NOP
- RAM %1,%31,0,0,0
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%31,_start
- NOP
- BBVN %1,%31,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%31,_start
- NOP
- BBVNL %1,%31,_start
- NOP
- BEQ %1,%31,_start
- NOP
- BEQL %1,%31,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%31,_start
- NOP
- BMBL %1,%31,_start
- NOP
- BMB0 %1,%31,_start
- NOP
- BMB1 %1,%31,_start
- NOP
- BMB2 %1,%31,_start
- NOP
- BMB3 %1,%31,_start
- NOP
- BNE %1,%31,_start
- NOP
- BNEL %1,%31,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%31
- NOP
- CFC %1,%31
- NOP
- LW %31,0(%1)
- NOP
- LH %31,0(%1)
- NOP
- LB %31,0(%1)
- NOP
- LHU %31,0(%1)
- NOP
- LBU %31,0(%1)
- NOP
- SB %31,0(%1)
- NOP
- SH %31,0(%1)
- NOP
- SW %31,0(%1)
- NOP
- RBA %1,%31,%1
- NOP
- RBAR %1,%31,%1
- NOP
- RBAL %1,%31,%1
- NOP
- WBA %1,%31,%1
- NOP
- WBAC %1,%31,%1
- NOP
- WBAU %1,%31,%1
- NOP
- RBI %1,%31,%1,0
- NOP
- RBIR %1,%31,%1,0
- NOP
- RBIL %1,%31,%1,0
- NOP
- WBI %1,%31,%1,0
- NOP
- WBIC %1,%31,%1,0
- NOP
- WBIU %1,%31,%1,0
- NOP
- PKRLA %1,%31,%1
- NOP
- PKRLAH %1,%31,%1
- NOP
- PKRLAU %1,%31,%1
- NOP
- PKRLI %1,%31,%1,0
- NOP
- PKRLIH %1,%31,%1,0
- NOP
- PKRLIU %1,%31,%1,0
- NOP
- LOCK %31,%1
- NOP
- UNLK %31,%1
- NOP
- SWWR %1,%31,%1
- NOP
- SWWRU %1,%31,%1
- NOP
- SWRD %1,%31
- NOP
- SWRDL %1,%31
- NOP
- DWRD %2,%30
- NOP
- DWRDL %2,%30
- NOP
- CAM36 %1,%31,3,0
- NOP
- CAM72 %1,%31,3,0
- NOP
- CAM144 %1,%31,3,0
- NOP
- CAM288 %1,%31,3,0
- NOP
- CM32AND %1,%1,%31
- NOP
- CM32ANDN %1,%1,%31
- NOP
- CM32OR %1,%1,%31
- NOP
- CM32RA %1,%1,%31
- NOP
- CM32RD %1,%31
- NOP
- CM32RI %1,%31
- NOP
- CM32RS %1,%1,%31
- NOP
- CM32SA %1,%1,%31
- NOP
- CM32SD %1,%31
- NOP
- CM32SI %1,%31
- NOP
- CM32SS %1,%1,%31
- NOP
- CM32XOR %1,%1,%31
- NOP
- CM64CLR %2,%30
- NOP
- CM64RA %2,%2,%30
- NOP
- CM64RD %2,%30
- NOP
- CM64RI %2,%30
- NOP
- CM64RIA2 %2,%2,%30
- NOP
- CM64RS %2,%2,%30
- NOP
- CM64SA %2,%2,%30
- NOP
- CM64SD %2,%30
- NOP
- CM64SI %2,%30
- NOP
- CM64SIA2 %2,%2,%30
- NOP
- CM64SS %2,%2,%30
- NOP
- CM128RIA2 %2,%2,%30
- NOP
- CM128RIA3 %2,%2,%30,3
- NOP
- CM128RIA4 %2,%2,%30,3
- NOP
- CM128SIA2 %2,%2,%30
- NOP
- CM128SIA3 %2,%2,%30,3
- NOP
- CM128SIA4 %1,%1,%31,3
- NOP
- CM128VSA %1,%1,%31
- NOP
- CRC32 %1,%1,%31
- NOP
- CRC32B %1,%1,%31
- NOP
- CHKHDR %1,%31
- NOP
- AVAIL %1
- NOP
- FREE %31,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%31
- NOP
- DBA %31
- NOP
- DBD %31,%1
- NOP
- DPWT %31,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test4.d b/gas/testsuite/gas/iq2000/q10test4.d
deleted file mode 100644
index 3b3a77f..0000000
--- a/gas/testsuite/gas/iq2000/q10test4.d
+++ /dev/null
@@ -1,315 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test4
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 03 df 08 20 add r1,r30,r31
- 4: 00 00 00 00 nop
- 8: 23 df 00 00 addi r31,r30,0x0
- c: 00 00 00 00 nop
- 10: 27 df 00 00 addiu r31,r30,0x0
- 14: 00 00 00 00 nop
- 18: 03 df 08 21 addu r1,r30,r31
- 1c: 00 00 00 00 nop
- 20: 03 df 08 29 ado16 r1,r30,r31
- 24: 00 00 00 00 nop
- 28: 03 df 08 24 and r1,r30,r31
- 2c: 00 00 00 00 nop
- 30: 33 df 00 00 andi r31,r30,0x0
- 34: 00 00 00 00 nop
- 38: b3 df 00 00 andoi r31,r30,0x0
- 3c: 00 00 00 00 nop
- 40: bf df 00 00 andoui r31,r30,0x0
- 44: 00 00 00 00 nop
- 48: 3c 1f 00 00 lui r31,0x0
- 4c: 00 00 00 00 nop
- 50: 03 df 08 2d mrgb r1,r30,r31,0x0
- 54: 00 00 00 00 nop
- 58: 03 df 08 27 nor r1,r30,r31
- 5c: 00 00 00 00 nop
- 60: 03 df 08 25 or r1,r30,r31
- 64: 00 00 00 00 nop
- 68: 37 df 00 00 ori r31,r30,0x0
- 6c: 00 00 00 00 nop
- 70: 3f df 00 00 orui r31,r30,0x0
- 74: 00 00 00 00 nop
- 78: 00 1f 08 00 sll r1,r31,0x0
- 7c: 00 00 00 00 nop
- 80: 03 df 08 04 sllv r1,r31,r30
- 84: 00 00 00 00 nop
- 88: 03 df 08 2a slt r1,r30,r31
- 8c: 00 00 00 00 nop
- 90: 2b df 00 00 slti r31,r30,0x0
- 94: 00 00 00 00 nop
- 98: 2f df 00 00 sltiu r31,r30,0x0
- 9c: 00 00 00 00 nop
- a0: 03 df 08 2b sltu r1,r30,r31
- a4: 00 00 00 00 nop
- a8: 00 1f 08 03 sra r1,r31,0x0
- ac: 00 00 00 00 nop
- b0: 03 df 08 07 srav r1,r31,r30
- b4: 00 00 00 00 nop
- b8: 00 1f 08 02 srl r1,r31,0x0
- bc: 00 00 00 00 nop
- c0: 03 df 08 06 srlv r1,r31,r30
- c4: 00 00 00 00 nop
- c8: 03 df 08 22 sub r1,r30,r31
- cc: 00 00 00 00 nop
- d0: 03 df 08 23 subu r1,r30,r31
- d4: 00 00 00 00 nop
- d8: 03 df 08 26 xor r1,r30,r31
- dc: 00 00 00 00 nop
- e0: 3b df 00 00 xori r31,r30,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 03 fe 08 05 srmv r1,r30,r31,0x0
- f4: 00 00 00 00 nop
- f8: 03 fe 08 01 slmv r1,r30,r31,0x0
- fc: 00 00 00 00 nop
- 100: 9c 1f 08 00 ram r1,r31,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 73 c0 ff bd bbi r30\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 7b c0 ff bb bbin r30\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 77 df ff b9 bbv r30,r31,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7f df ff b7 bbvn r30,r31,0 <_start>
- 124: 00 00 00 00 nop
- 128: f3 c0 ff b5 bbil r30\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: fb c0 ff b3 bbinl r30\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f7 df ff b1 bbvl r30,r31,0 <_start>
- 13c: 00 00 00 00 nop
- 140: ff df ff af bbvnl r30,r31,0 <_start>
- 144: 00 00 00 00 nop
- 148: 13 df ff ad beq r30,r31,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 53 df ff ab beql r30,r31,0 <_start>
- 154: 00 00 00 00 nop
- 158: 07 c1 ff a9 bgez r30,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 07 d5 ff a7 bgtzal r30,0 <_start>
- 164: 00 00 00 00 nop
- 168: 07 d1 ff a5 bgezal r30,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 07 d7 ff a3 bgtzall r30,0 <_start>
- 174: 00 00 00 00 nop
- 178: 07 d3 ff a1 bgezall r30,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 07 c3 ff 9f bgezl r30,0 <_start>
- 184: 00 00 00 00 nop
- 188: 07 c7 ff 9d bgtzl r30,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 07 c5 ff 9b bgtz r30,0 <_start>
- 194: 00 00 00 00 nop
- 198: 07 c4 ff 99 blez r30,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 07 d4 ff 97 blezal r30,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 07 c0 ff 95 bltz r30,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 07 d0 ff 93 bltzal r30,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 07 c6 ff 91 blezl r30,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 07 c2 ff 8f bltzl r30,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 07 d6 ff 8d blezall r30,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 07 d2 ff 8b bltzall r30,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 1b df ff 89 bmb r30,r31,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 5b df ff 87 bmbl r30,r31,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 63 df ff 85 bmb0 r30,r31,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 67 df ff 83 bmb1 r30,r31,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 6b df ff 81 bmb2 r30,r31,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6f df ff 7f bmb3 r30,r31,0 <_start>
- 204: 00 00 00 00 nop
- 208: 17 df ff 7d bne r30,r31,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 57 df ff 7b bnel r30,r31,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 1e 00 00 jal r30,0 <_start>
- 224: 00 00 00 00 nop
- 228: 03 c0 08 09 jalr r1,r30
- 22c: 00 00 00 00 nop
- 230: 03 c0 00 08 jr r30
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4f df 00 02 ctc r30,r31
- 244: 00 00 00 00 nop
- 248: 4c 1f 08 00 cfc r1,r31
- 24c: 00 00 00 00 nop
- 250: 8f df 00 00 lw r31,0x0\(r30\)
- 254: 00 00 00 00 nop
- 258: 87 df 00 00 lh r31,0x0\(r30\)
- 25c: 00 00 00 00 nop
- 260: 83 df 00 00 lb r31,0x0\(r30\)
- 264: 00 00 00 00 nop
- 268: 97 df 00 00 lhu r31,0x0\(r30\)
- 26c: 00 00 00 00 nop
- 270: 93 df 00 00 lbu r31,0x0\(r30\)
- 274: 00 00 00 00 nop
- 278: a3 df 00 00 sb r31,0x0\(r30\)
- 27c: 00 00 00 00 nop
- 280: a7 df 00 00 sh r31,0x0\(r30\)
- 284: 00 00 00 00 nop
- 288: af df 00 00 sw r31,0x0\(r30\)
- 28c: 00 00 00 00 nop
- 290: 4f e1 f0 08 rba r30,r31,r1
- 294: 00 00 00 00 nop
- 298: 4f e1 f0 0a rbar r30,r31,r1
- 29c: 00 00 00 00 nop
- 2a0: 4f e1 f0 09 rbal r30,r31,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4f e1 f0 10 wba r30,r31,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4f e1 f0 12 wbac r30,r31,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4f e1 f0 11 wbau r30,r31,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4f e1 f2 00 rbi r30,r31,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4f e1 f1 00 rbir r30,r31,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4f e1 f3 00 rbil r30,r31,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4f e1 f6 00 wbi r30,r31,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4f e1 f5 00 wbic r30,r31,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4f e1 f7 00 wbiu r30,r31,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4f e1 f0 28 pkrla r30,r31,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4f e1 f0 2a pkrlah r30,r31,r1
- 2fc: 00 00 00 00 nop
- 300: 4f e1 f0 29 pkrlau r30,r31,r1
- 304: 00 00 00 00 nop
- 308: 4b e1 f0 00 pkrli r30,r31,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 4b e1 f2 00 pkrlih r30,r31,r1,0x0
- 314: 00 00 00 00 nop
- 318: 4b e1 f1 00 pkrliu r30,r31,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 f8 01 lock r31,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 f8 03 unlk r31,r1
- 32c: 00 00 00 00 nop
- 330: 4f e1 f0 06 swwr r30,r31,r1
- 334: 00 00 00 00 nop
- 338: 4f e1 f0 07 swwru r30,r31,r1
- 33c: 00 00 00 00 nop
- 340: 4c 1f 08 04 swrd r1,r31
- 344: 00 00 00 00 nop
- 348: 4c 1f 08 05 swrdl r1,r31
- 34c: 00 00 00 00 nop
- 350: 4c 1e 10 0c dwrd r2,r30
- 354: 00 00 00 00 nop
- 358: 4c 1e 10 0d dwrdl r2,r30
- 35c: 00 00 00 00 nop
- 360: 4c 1e 0c 20 cam36 r1,r30,0x4,0x0
- 364: 00 00 00 00 nop
- 368: 4c 1e 0c 44 cam72 r1,r30,0x4,0x0
- 36c: 00 00 00 00 nop
- 370: 4c 1e 0c 84 cam144 r1,r30,0x4,0x0
- 374: 00 00 00 00 nop
- 378: 4c 1e 0c c4 cam288 r1,r30,0x4,0x0
- 37c: 00 00 00 00 nop
- 380: 4f df 08 ab cm32and r1,r30,r31
- 384: 00 00 00 00 nop
- 388: 4f df 08 a3 cm32andn r1,r30,r31
- 38c: 00 00 00 00 nop
- 390: 4f df 08 aa cm32or r1,r30,r31
- 394: 00 00 00 00 nop
- 398: 4f df 08 b0 cm32ra r1,r30,r31
- 39c: 00 00 00 00 nop
- 3a0: 4c 1f 08 a1 cm32rd r1,r31
- 3a4: 00 00 00 00 nop
- 3a8: 4c 1f 08 a4 cm32ri r1,r31
- 3ac: 00 00 00 00 nop
- 3b0: 4f df 08 a0 cm32rs r1,r30,r31
- 3b4: 00 00 00 00 nop
- 3b8: 4f df 08 b8 cm32sa r1,r30,r31
- 3bc: 00 00 00 00 nop
- 3c0: 4c 1f 08 a9 cm32sd r1,r31
- 3c4: 00 00 00 00 nop
- 3c8: 4c 1f 08 ac cm32si r1,r31
- 3cc: 00 00 00 00 nop
- 3d0: 4f df 08 a8 cm32ss r1,r30,r31
- 3d4: 00 00 00 00 nop
- 3d8: 4f df 08 a2 cm32xor r1,r30,r31
- 3dc: 00 00 00 00 nop
- 3e0: 4c 1e 10 85 cm64clr r2,r30
- 3e4: 00 00 00 00 nop
- 3e8: 4f de 10 90 cm64ra r2,r30,r30
- 3ec: 00 00 00 00 nop
- 3f0: 4c 1e 10 81 cm64rd r2,r30
- 3f4: 00 00 00 00 nop
- 3f8: 4c 1e 10 84 cm64ri r2,r30
- 3fc: 00 00 00 00 nop
- 400: 4f de 10 94 cm64ria2 r2,r30,r30
- 404: 00 00 00 00 nop
- 408: 4f de 10 80 cm64rs r2,r30,r30
- 40c: 00 00 00 00 nop
- 410: 4f de 10 98 cm64sa r2,r30,r30
- 414: 00 00 00 00 nop
- 418: 4c 1e 10 89 cm64sd r2,r30
- 41c: 00 00 00 00 nop
- 420: 4c 1e 10 8c cm64si r2,r30
- 424: 00 00 00 00 nop
- 428: 4f de 10 9c cm64sia2 r2,r30,r30
- 42c: 00 00 00 00 nop
- 430: 4f de 10 88 cm64ss r2,r30,r30
- 434: 00 00 00 00 nop
- 438: 4f de 10 95 cm128ria2 r2,r30,r30
- 43c: 00 00 00 00 nop
- 440: 4f de 10 93 cm128ria3 r2,r30,r30,0x3
- 444: 00 00 00 00 nop
- 448: 4f de 10 b4 cm128ria4 r2,r30,r30,0x4
- 44c: 00 00 00 00 nop
- 450: 4f de 10 9d cm128sia2 r2,r30,r30
- 454: 00 00 00 00 nop
- 458: 4f de 10 9b cm128sia3 r2,r30,r30,0x3
- 45c: 00 00 00 00 nop
- 460: 4f df 08 bc cm128sia4 r1,r30,r31,0x4
- 464: 00 00 00 00 nop
- 468: 4f df 08 a6 cm128vsa r1,r30,r31
- 46c: 00 00 00 00 nop
- 470: 4f df 08 14 crc32 r1,r30,r31
- 474: 00 00 00 00 nop
- 478: 4f df 08 15 crc32b r1,r30,r31
- 47c: 00 00 00 00 nop
- 480: 4f e0 08 26 chkhdr r1,r31
- 484: 00 00 00 00 nop
- 488: 4c 00 08 24 avail r1
- 48c: 00 00 00 00 nop
- 490: 4c 20 f8 25 free r31,r1
- 494: 00 00 00 00 nop
- 498: 4c 20 f8 27 tstod r31,r1
- 49c: 00 00 00 00 nop
- 4a0: 4c 00 08 2c cmphdr r1
- 4a4: 00 00 00 00 nop
- 4a8: 4c 1f 08 20 mcid r1,r31
- 4ac: 00 00 00 00 nop
- 4b0: 4c 00 f0 22 dba r30
- 4b4: 00 00 00 00 nop
- 4b8: 4c 01 f8 21 dbd r31,r0,r1
- 4bc: 00 00 00 00 nop
- 4c0: 4c 20 f8 23 dpwt r31,r1
- 4c4: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test4.s b/gas/testsuite/gas/iq2000/q10test4.s
deleted file mode 100644
index 3ef2ef9..0000000
--- a/gas/testsuite/gas/iq2000/q10test4.s
+++ /dev/null
@@ -1,308 +0,0 @@
-.global _start
-_start:
- ADD %1,%30,%31
- NOP
- ADDI %31,%30,0
- NOP
- ADDIU %31,%30,0
- NOP
- ADDU %1,%30,%31
- NOP
- ADO16 %1,%30,%31
- NOP
- AND %1,%30,%31
- NOP
- ANDI %31,%30,0
- NOP
- ANDOI %31,%30,0
- NOP
- ANDOUI %31,%30,0
- NOP
- LUI %31,0
- NOP
- MRGB %1,%30,%31,0
- NOP
- NOR %1,%30,%31
- NOP
- OR %1,%30,%31
- NOP
- ORI %31,%30,0
- NOP
- ORUI %31,%30,0
- NOP
- SLL %1,%31,0
- NOP
- SLLV %1,%31,%30
- NOP
- SLT %1,%30,%31
- NOP
- SLTI %31,%30,0
- NOP
- SLTIU %31,%30,0
- NOP
- SLTU %1,%30,%31
- NOP
- SRA %1,%31,0
- NOP
- SRAV %1,%31,%30
- NOP
- SRL %1,%31,0
- NOP
- SRLV %1,%31,%30
- NOP
- SUB %1,%30,%31
- NOP
- SUBU %1,%30,%31
- NOP
- XOR %1,%30,%31
- NOP
- XORI %31,%30,0
- NOP
- NOP
- NOP
- SRMV %1,%30,%31,0
- NOP
- SLMV %1,%30,%31,0
- NOP
- RAM %1,%31,0,0,0
- NOP
- BBI %30(0),_start
- NOP
- BBIN %30(0),_start
- NOP
- BBV %30,%31,_start
- NOP
- BBVN %30,%31,_start
- NOP
- BBIL %30(0),_start
- NOP
- BBINL %30(0),_start
- NOP
- BBVL %30,%31,_start
- NOP
- BBVNL %30,%31,_start
- NOP
- BEQ %30,%31,_start
- NOP
- BEQL %30,%31,_start
- NOP
- BGEZ %30,_start
- NOP
- BGTZAL %30,_start
- NOP
- BGEZAL %30,_start
- NOP
- BGTZALL %30,_start
- NOP
- BGEZALL %30,_start
- NOP
- BGEZL %30,_start
- NOP
- BGTZL %30,_start
- NOP
- BGTZ %30,_start
- NOP
- BLEZ %30,_start
- NOP
- BLEZAL %30,_start
- NOP
- BLTZ %30,_start
- NOP
- BLTZAL %30,_start
- NOP
- BLEZL %30,_start
- NOP
- BLTZL %30,_start
- NOP
- BLEZALL %30,_start
- NOP
- BLTZALL %30,_start
- NOP
- BMB %30,%31,_start
- NOP
- BMBL %30,%31,_start
- NOP
- BMB0 %30,%31,_start
- NOP
- BMB1 %30,%31,_start
- NOP
- BMB2 %30,%31,_start
- NOP
- BMB3 %30,%31,_start
- NOP
- BNE %30,%31,_start
- NOP
- BNEL %30,%31,_start
- NOP
- J 0
- NOP
- JAL %30,0
- NOP
- JALR %1,%30
- NOP
- JR %30
- NOP
- BREAK
- NOP
- CTC %30,%31
- NOP
- CFC %1,%31
- NOP
- LW %31,0(%30)
- NOP
- LH %31,0(%30)
- NOP
- LB %31,0(%30)
- NOP
- LHU %31,0(%30)
- NOP
- LBU %31,0(%30)
- NOP
- SB %31,0(%30)
- NOP
- SH %31,0(%30)
- NOP
- SW %31,0(%30)
- NOP
- RBA %30,%31,%1
- NOP
- RBAR %30,%31,%1
- NOP
- RBAL %30,%31,%1
- NOP
- WBA %30,%31,%1
- NOP
- WBAC %30,%31,%1
- NOP
- WBAU %30,%31,%1
- NOP
- RBI %30,%31,%1,0
- NOP
- RBIR %30,%31,%1,0
- NOP
- RBIL %30,%31,%1,0
- NOP
- WBI %30,%31,%1,0
- NOP
- WBIC %30,%31,%1,0
- NOP
- WBIU %30,%31,%1,0
- NOP
- PKRLA %30,%31,%1
- NOP
- PKRLAH %30,%31,%1
- NOP
- PKRLAU %30,%31,%1
- NOP
- PKRLI %30,%31,%1,0
- NOP
- PKRLIH %30,%31,%1,0
- NOP
- PKRLIU %30,%31,%1,0
- NOP
- LOCK %31,%1
- NOP
- UNLK %31,%1
- NOP
- SWWR %30,%31,%1
- NOP
- SWWRU %30,%31,%1
- NOP
- SWRD %1,%31
- NOP
- SWRDL %1,%31
- NOP
- DWRD %2,%30
- NOP
- DWRDL %2,%30
- NOP
- CAM36 %1,%30,4,0
- NOP
- CAM72 %1,%30,4,0
- NOP
- CAM144 %1,%30,4,0
- NOP
- CAM288 %1,%30,4,0
- NOP
- CM32AND %1,%30,%31
- NOP
- CM32ANDN %1,%30,%31
- NOP
- CM32OR %1,%30,%31
- NOP
- CM32RA %1,%30,%31
- NOP
- CM32RD %1,%31
- NOP
- CM32RI %1,%31
- NOP
- CM32RS %1,%30,%31
- NOP
- CM32SA %1,%30,%31
- NOP
- CM32SD %1,%31
- NOP
- CM32SI %1,%31
- NOP
- CM32SS %1,%30,%31
- NOP
- CM32XOR %1,%30,%31
- NOP
- CM64CLR %2,%30
- NOP
- CM64RA %2,%30,%30
- NOP
- CM64RD %2,%30
- NOP
- CM64RI %2,%30
- NOP
- CM64RIA2 %2,%30,%30
- NOP
- CM64RS %2,%30,%30
- NOP
- CM64SA %2,%30,%30
- NOP
- CM64SD %2,%30
- NOP
- CM64SI %2,%30
- NOP
- CM64SIA2 %2,%30,%30
- NOP
- CM64SS %2,%30,%30
- NOP
- CM128RIA2 %2,%30,%30
- NOP
- CM128RIA3 %2,%30,%30,3
- NOP
- CM128RIA4 %2,%30,%30,4
- NOP
- CM128SIA2 %2,%30,%30
- NOP
- CM128SIA3 %2,%30,%30,3
- NOP
- CM128SIA4 %1,%30,%31,4
- NOP
- CM128VSA %1,%30,%31
- NOP
- CRC32 %1,%30,%31
- NOP
- CRC32B %1,%30,%31
- NOP
- CHKHDR %1,%31
- NOP
- AVAIL %1
- NOP
- FREE %31,%1
- NOP
- TSTOD %31,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%31
- NOP
- DBA %30
- NOP
- DBD %31,%1
- NOP
- DPWT %31,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test5.d b/gas/testsuite/gas/iq2000/q10test5.d
deleted file mode 100644
index 4485a97..0000000
--- a/gas/testsuite/gas/iq2000/q10test5.d
+++ /dev/null
@@ -1,315 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test5
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 03 df f0 20 add r30,r30,r31
- 4: 00 00 00 00 nop
- 8: 23 df 00 00 addi r31,r30,0x0
- c: 00 00 00 00 nop
- 10: 27 df 00 00 addiu r31,r30,0x0
- 14: 00 00 00 00 nop
- 18: 03 df f0 21 addu r30,r30,r31
- 1c: 00 00 00 00 nop
- 20: 03 df f0 29 ado16 r30,r30,r31
- 24: 00 00 00 00 nop
- 28: 03 df f0 24 and r30,r30,r31
- 2c: 00 00 00 00 nop
- 30: 33 df 00 00 andi r31,r30,0x0
- 34: 00 00 00 00 nop
- 38: b3 df 00 00 andoi r31,r30,0x0
- 3c: 00 00 00 00 nop
- 40: bf df 00 00 andoui r31,r30,0x0
- 44: 00 00 00 00 nop
- 48: 3c 1f 00 00 lui r31,0x0
- 4c: 00 00 00 00 nop
- 50: 03 df f0 2d mrgb r30,r30,r31,0x0
- 54: 00 00 00 00 nop
- 58: 03 df f0 27 nor r30,r30,r31
- 5c: 00 00 00 00 nop
- 60: 03 df f0 25 or r30,r30,r31
- 64: 00 00 00 00 nop
- 68: 37 df 00 00 ori r31,r30,0x0
- 6c: 00 00 00 00 nop
- 70: 3f df 00 00 orui r31,r30,0x0
- 74: 00 00 00 00 nop
- 78: 00 1f f0 00 sll r30,r31,0x0
- 7c: 00 00 00 00 nop
- 80: 03 df f0 04 sllv r30,r31,r30
- 84: 00 00 00 00 nop
- 88: 03 df f0 2a slt r30,r30,r31
- 8c: 00 00 00 00 nop
- 90: 2b df 00 00 slti r31,r30,0x0
- 94: 00 00 00 00 nop
- 98: 2f df 00 00 sltiu r31,r30,0x0
- 9c: 00 00 00 00 nop
- a0: 03 df f0 2b sltu r30,r30,r31
- a4: 00 00 00 00 nop
- a8: 00 1f f0 03 sra r30,r31,0x0
- ac: 00 00 00 00 nop
- b0: 03 df f0 07 srav r30,r31,r30
- b4: 00 00 00 00 nop
- b8: 00 1f f0 02 srl r30,r31,0x0
- bc: 00 00 00 00 nop
- c0: 03 df f0 06 srlv r30,r31,r30
- c4: 00 00 00 00 nop
- c8: 03 df f0 22 sub r30,r30,r31
- cc: 00 00 00 00 nop
- d0: 03 df f0 23 subu r30,r30,r31
- d4: 00 00 00 00 nop
- d8: 03 df f0 26 xor r30,r30,r31
- dc: 00 00 00 00 nop
- e0: 3b df 00 00 xori r31,r30,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 03 fe f0 05 srmv r30,r30,r31,0x0
- f4: 00 00 00 00 nop
- f8: 03 fe f0 01 slmv r30,r30,r31,0x0
- fc: 00 00 00 00 nop
- 100: 9c 1f f0 00 ram r30,r31,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 73 c0 ff bd bbi r30\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 7b c0 ff bb bbin r30\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 77 df ff b9 bbv r30,r31,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7f df ff b7 bbvn r30,r31,0 <_start>
- 124: 00 00 00 00 nop
- 128: f3 c0 ff b5 bbil r30\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: fb c0 ff b3 bbinl r30\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f7 df ff b1 bbvl r30,r31,0 <_start>
- 13c: 00 00 00 00 nop
- 140: ff df ff af bbvnl r30,r31,0 <_start>
- 144: 00 00 00 00 nop
- 148: 13 df ff ad beq r30,r31,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 53 df ff ab beql r30,r31,0 <_start>
- 154: 00 00 00 00 nop
- 158: 07 c1 ff a9 bgez r30,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 07 d5 ff a7 bgtzal r30,0 <_start>
- 164: 00 00 00 00 nop
- 168: 07 d1 ff a5 bgezal r30,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 07 d7 ff a3 bgtzall r30,0 <_start>
- 174: 00 00 00 00 nop
- 178: 07 d3 ff a1 bgezall r30,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 07 c3 ff 9f bgezl r30,0 <_start>
- 184: 00 00 00 00 nop
- 188: 07 c7 ff 9d bgtzl r30,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 07 c5 ff 9b bgtz r30,0 <_start>
- 194: 00 00 00 00 nop
- 198: 07 c4 ff 99 blez r30,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 07 d4 ff 97 blezal r30,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 07 c0 ff 95 bltz r30,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 07 d0 ff 93 bltzal r30,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 07 c6 ff 91 blezl r30,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 07 c2 ff 8f bltzl r30,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 07 d6 ff 8d blezall r30,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 07 d2 ff 8b bltzall r30,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 1b df ff 89 bmb r30,r31,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 5b df ff 87 bmbl r30,r31,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 63 df ff 85 bmb0 r30,r31,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 67 df ff 83 bmb1 r30,r31,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 6b df ff 81 bmb2 r30,r31,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6f df ff 7f bmb3 r30,r31,0 <_start>
- 204: 00 00 00 00 nop
- 208: 17 df ff 7d bne r30,r31,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 57 df ff 7b bnel r30,r31,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 1e 00 00 jal r30,0 <_start>
- 224: 00 00 00 00 nop
- 228: 03 c0 f0 09 jalr r30,r30
- 22c: 00 00 00 00 nop
- 230: 03 c0 00 08 jr r30
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4f df 00 02 ctc r30,r31
- 244: 00 00 00 00 nop
- 248: 4c 1f f0 00 cfc r30,r31
- 24c: 00 00 00 00 nop
- 250: 8f df 00 00 lw r31,0x0\(r30\)
- 254: 00 00 00 00 nop
- 258: 87 df 00 00 lh r31,0x0\(r30\)
- 25c: 00 00 00 00 nop
- 260: 83 df 00 00 lb r31,0x0\(r30\)
- 264: 00 00 00 00 nop
- 268: 97 df 00 00 lhu r31,0x0\(r30\)
- 26c: 00 00 00 00 nop
- 270: 93 df 00 00 lbu r31,0x0\(r30\)
- 274: 00 00 00 00 nop
- 278: a3 df 00 00 sb r31,0x0\(r30\)
- 27c: 00 00 00 00 nop
- 280: a7 df 00 00 sh r31,0x0\(r30\)
- 284: 00 00 00 00 nop
- 288: af df 00 00 sw r31,0x0\(r30\)
- 28c: 00 00 00 00 nop
- 290: 4f fe f0 08 rba r30,r31,r30
- 294: 00 00 00 00 nop
- 298: 4f fe f0 0a rbar r30,r31,r30
- 29c: 00 00 00 00 nop
- 2a0: 4f fe f0 09 rbal r30,r31,r30
- 2a4: 00 00 00 00 nop
- 2a8: 4f fe f0 10 wba r30,r31,r30
- 2ac: 00 00 00 00 nop
- 2b0: 4f fe f0 12 wbac r30,r31,r30
- 2b4: 00 00 00 00 nop
- 2b8: 4f fe f0 11 wbau r30,r31,r30
- 2bc: 00 00 00 00 nop
- 2c0: 4f fe f2 00 rbi r30,r31,r30,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4f fe f1 00 rbir r30,r31,r30,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4f fe f3 00 rbil r30,r31,r30,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4f fe f6 00 wbi r30,r31,r30,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4f fe f5 00 wbic r30,r31,r30,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4f fe f7 00 wbiu r30,r31,r30,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4f fe f0 28 pkrla r30,r31,r30
- 2f4: 00 00 00 00 nop
- 2f8: 4f fe f0 2a pkrlah r30,r31,r30
- 2fc: 00 00 00 00 nop
- 300: 4f fe f0 29 pkrlau r30,r31,r30
- 304: 00 00 00 00 nop
- 308: 4b fe f0 00 pkrli r30,r31,r30,0x0
- 30c: 00 00 00 00 nop
- 310: 4b fe f2 00 pkrlih r30,r31,r30,0x0
- 314: 00 00 00 00 nop
- 318: 4b fe f1 00 pkrliu r30,r31,r30,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 1e f8 01 lock r31,r30
- 324: 00 00 00 00 nop
- 328: 4c 1e f8 03 unlk r31,r30
- 32c: 00 00 00 00 nop
- 330: 4f fe f0 06 swwr r30,r31,r30
- 334: 00 00 00 00 nop
- 338: 4f fe f0 07 swwru r30,r31,r30
- 33c: 00 00 00 00 nop
- 340: 4c 1f f0 04 swrd r30,r31
- 344: 00 00 00 00 nop
- 348: 4c 1f f0 05 swrdl r30,r31
- 34c: 00 00 00 00 nop
- 350: 4c 1e f0 0c dwrd r30,r30
- 354: 00 00 00 00 nop
- 358: 4c 1e f0 0d dwrdl r30,r30
- 35c: 00 00 00 00 nop
- 360: 4c 1f f4 28 cam36 r30,r31,0x5,0x0
- 364: 00 00 00 00 nop
- 368: 4c 1f f4 45 cam72 r30,r31,0x5,0x0
- 36c: 00 00 00 00 nop
- 370: 4c 1f f4 85 cam144 r30,r31,0x5,0x0
- 374: 00 00 00 00 nop
- 378: 4c 1f f4 c5 cam288 r30,r31,0x5,0x0
- 37c: 00 00 00 00 nop
- 380: 4f df f0 ab cm32and r30,r30,r31
- 384: 00 00 00 00 nop
- 388: 4f df f0 a3 cm32andn r30,r30,r31
- 38c: 00 00 00 00 nop
- 390: 4f df f0 aa cm32or r30,r30,r31
- 394: 00 00 00 00 nop
- 398: 4f df f0 b0 cm32ra r30,r30,r31
- 39c: 00 00 00 00 nop
- 3a0: 4c 1f f0 a1 cm32rd r30,r31
- 3a4: 00 00 00 00 nop
- 3a8: 4c 1f f0 a4 cm32ri r30,r31
- 3ac: 00 00 00 00 nop
- 3b0: 4f df f0 a0 cm32rs r30,r30,r31
- 3b4: 00 00 00 00 nop
- 3b8: 4f df f0 b8 cm32sa r30,r30,r31
- 3bc: 00 00 00 00 nop
- 3c0: 4c 1f f0 a9 cm32sd r30,r31
- 3c4: 00 00 00 00 nop
- 3c8: 4c 1f f0 ac cm32si r30,r31
- 3cc: 00 00 00 00 nop
- 3d0: 4f df f0 a8 cm32ss r30,r30,r31
- 3d4: 00 00 00 00 nop
- 3d8: 4f df f0 a2 cm32xor r30,r30,r31
- 3dc: 00 00 00 00 nop
- 3e0: 4c 1e f0 85 cm64clr r30,r30
- 3e4: 00 00 00 00 nop
- 3e8: 4f de f0 90 cm64ra r30,r30,r30
- 3ec: 00 00 00 00 nop
- 3f0: 4c 1e f0 81 cm64rd r30,r30
- 3f4: 00 00 00 00 nop
- 3f8: 4c 1e f0 84 cm64ri r30,r30
- 3fc: 00 00 00 00 nop
- 400: 4f de f0 94 cm64ria2 r30,r30,r30
- 404: 00 00 00 00 nop
- 408: 4f de f0 80 cm64rs r30,r30,r30
- 40c: 00 00 00 00 nop
- 410: 4f de f0 98 cm64sa r30,r30,r30
- 414: 00 00 00 00 nop
- 418: 4c 1e f0 89 cm64sd r30,r30
- 41c: 00 00 00 00 nop
- 420: 4c 1e f0 8c cm64si r30,r30
- 424: 00 00 00 00 nop
- 428: 4f de f0 9c cm64sia2 r30,r30,r30
- 42c: 00 00 00 00 nop
- 430: 4f de f0 88 cm64ss r30,r30,r30
- 434: 00 00 00 00 nop
- 438: 4f de f0 95 cm128ria2 r30,r30,r30
- 43c: 00 00 00 00 nop
- 440: 4f de f0 93 cm128ria3 r30,r30,r30,0x3
- 444: 00 00 00 00 nop
- 448: 4f de f0 b5 cm128ria4 r30,r30,r30,0x5
- 44c: 00 00 00 00 nop
- 450: 4f de f0 9d cm128sia2 r30,r30,r30
- 454: 00 00 00 00 nop
- 458: 4f de f0 9b cm128sia3 r30,r30,r30,0x3
- 45c: 00 00 00 00 nop
- 460: 4f df f0 bd cm128sia4 r30,r30,r31,0x5
- 464: 00 00 00 00 nop
- 468: 4f df f0 a6 cm128vsa r30,r30,r31
- 46c: 00 00 00 00 nop
- 470: 4f df f0 14 crc32 r30,r30,r31
- 474: 00 00 00 00 nop
- 478: 4f df f0 15 crc32b r30,r30,r31
- 47c: 00 00 00 00 nop
- 480: 4f e0 f0 26 chkhdr r30,r31
- 484: 00 00 00 00 nop
- 488: 4c 00 f0 24 avail r30
- 48c: 00 00 00 00 nop
- 490: 4f c0 f8 25 free r31,r30
- 494: 00 00 00 00 nop
- 498: 4f c0 f8 27 tstod r31,r30
- 49c: 00 00 00 00 nop
- 4a0: 4c 00 f0 2c cmphdr r30
- 4a4: 00 00 00 00 nop
- 4a8: 4c 1f f0 20 mcid r30,r31
- 4ac: 00 00 00 00 nop
- 4b0: 4c 00 f0 22 dba r30
- 4b4: 00 00 00 00 nop
- 4b8: 4c 1e f8 21 dbd r31,r0,r30
- 4bc: 00 00 00 00 nop
- 4c0: 4f c0 f8 23 dpwt r31,r30
- 4c4: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test5.s b/gas/testsuite/gas/iq2000/q10test5.s
deleted file mode 100644
index ab80fac..0000000
--- a/gas/testsuite/gas/iq2000/q10test5.s
+++ /dev/null
@@ -1,308 +0,0 @@
-.global _start
-_start:
- ADD %30,%30,%31
- NOP
- ADDI %31,%30,0
- NOP
- ADDIU %31,%30,0
- NOP
- ADDU %30,%30,%31
- NOP
- ADO16 %30,%30,%31
- NOP
- AND %30,%30,%31
- NOP
- ANDI %31,%30,0
- NOP
- ANDOI %31,%30,0
- NOP
- ANDOUI %31,%30,0
- NOP
- LUI %31,0
- NOP
- MRGB %30,%30,%31,0
- NOP
- NOR %30,%30,%31
- NOP
- OR %30,%30,%31
- NOP
- ORI %31,%30,0
- NOP
- ORUI %31,%30,0
- NOP
- SLL %30,%31,0
- NOP
- SLLV %30,%31,%30
- NOP
- SLT %30,%30,%31
- NOP
- SLTI %31,%30,0
- NOP
- SLTIU %31,%30,0
- NOP
- SLTU %30,%30,%31
- NOP
- SRA %30,%31,0
- NOP
- SRAV %30,%31,%30
- NOP
- SRL %30,%31,0
- NOP
- SRLV %30,%31,%30
- NOP
- SUB %30,%30,%31
- NOP
- SUBU %30,%30,%31
- NOP
- XOR %30,%30,%31
- NOP
- XORI %31,%30,0
- NOP
- NOP
- NOP
- SRMV %30,%30,%31,0
- NOP
- SLMV %30,%30,%31,0
- NOP
- RAM %30,%31,0,0,0
- NOP
- BBI %30(0),_start
- NOP
- BBIN %30(0),_start
- NOP
- BBV %30,%31,_start
- NOP
- BBVN %30,%31,_start
- NOP
- BBIL %30(0),_start
- NOP
- BBINL %30(0),_start
- NOP
- BBVL %30,%31,_start
- NOP
- BBVNL %30,%31,_start
- NOP
- BEQ %30,%31,_start
- NOP
- BEQL %30,%31,_start
- NOP
- BGEZ %30,_start
- NOP
- BGTZAL %30,_start
- NOP
- BGEZAL %30,_start
- NOP
- BGTZALL %30,_start
- NOP
- BGEZALL %30,_start
- NOP
- BGEZL %30,_start
- NOP
- BGTZL %30,_start
- NOP
- BGTZ %30,_start
- NOP
- BLEZ %30,_start
- NOP
- BLEZAL %30,_start
- NOP
- BLTZ %30,_start
- NOP
- BLTZAL %30,_start
- NOP
- BLEZL %30,_start
- NOP
- BLTZL %30,_start
- NOP
- BLEZALL %30,_start
- NOP
- BLTZALL %30,_start
- NOP
- BMB %30,%31,_start
- NOP
- BMBL %30,%31,_start
- NOP
- BMB0 %30,%31,_start
- NOP
- BMB1 %30,%31,_start
- NOP
- BMB2 %30,%31,_start
- NOP
- BMB3 %30,%31,_start
- NOP
- BNE %30,%31,_start
- NOP
- BNEL %30,%31,_start
- NOP
- J 0
- NOP
- JAL %30,0
- NOP
- JALR %30,%30
- NOP
- JR %30
- NOP
- BREAK
- NOP
- CTC %30,%31
- NOP
- CFC %30,%31
- NOP
- LW %31,0(%30)
- NOP
- LH %31,0(%30)
- NOP
- LB %31,0(%30)
- NOP
- LHU %31,0(%30)
- NOP
- LBU %31,0(%30)
- NOP
- SB %31,0(%30)
- NOP
- SH %31,0(%30)
- NOP
- SW %31,0(%30)
- NOP
- RBA %30,%31,%30
- NOP
- RBAR %30,%31,%30
- NOP
- RBAL %30,%31,%30
- NOP
- WBA %30,%31,%30
- NOP
- WBAC %30,%31,%30
- NOP
- WBAU %30,%31,%30
- NOP
- RBI %30,%31,%30,0
- NOP
- RBIR %30,%31,%30,0
- NOP
- RBIL %30,%31,%30,0
- NOP
- WBI %30,%31,%30,0
- NOP
- WBIC %30,%31,%30,0
- NOP
- WBIU %30,%31,%30,0
- NOP
- PKRLA %30,%31,%30
- NOP
- PKRLAH %30,%31,%30
- NOP
- PKRLAU %30,%31,%30
- NOP
- PKRLI %30,%31,%30,0
- NOP
- PKRLIH %30,%31,%30,0
- NOP
- PKRLIU %30,%31,%30,0
- NOP
- LOCK %31,%30
- NOP
- UNLK %31,%30
- NOP
- SWWR %30,%31,%30
- NOP
- SWWRU %30,%31,%30
- NOP
- SWRD %30,%31
- NOP
- SWRDL %30,%31
- NOP
- DWRD %30,%30
- NOP
- DWRDL %30,%30
- NOP
- CAM36 %30,%31,5,0
- NOP
- CAM72 %30,%31,5,0
- NOP
- CAM144 %30,%31,5,0
- NOP
- CAM288 %30,%31,5,0
- NOP
- CM32AND %30,%30,%31
- NOP
- CM32ANDN %30,%30,%31
- NOP
- CM32OR %30,%30,%31
- NOP
- CM32RA %30,%30,%31
- NOP
- CM32RD %30,%31
- NOP
- CM32RI %30,%31
- NOP
- CM32RS %30,%30,%31
- NOP
- CM32SA %30,%30,%31
- NOP
- CM32SD %30,%31
- NOP
- CM32SI %30,%31
- NOP
- CM32SS %30,%30,%31
- NOP
- CM32XOR %30,%30,%31
- NOP
- CM64CLR %30,%30
- NOP
- CM64RA %30,%30,%30
- NOP
- CM64RD %30,%30
- NOP
- CM64RI %30,%30
- NOP
- CM64RIA2 %30,%30,%30
- NOP
- CM64RS %30,%30,%30
- NOP
- CM64SA %30,%30,%30
- NOP
- CM64SD %30,%30
- NOP
- CM64SI %30,%30
- NOP
- CM64SIA2 %30,%30,%30
- NOP
- CM64SS %30,%30,%30
- NOP
- CM128RIA2 %30,%30,%30
- NOP
- CM128RIA3 %30,%30,%30,3
- NOP
- CM128RIA4 %30,%30,%30,5
- NOP
- CM128SIA2 %30,%30,%30
- NOP
- CM128SIA3 %30,%30,%30,3
- NOP
- CM128SIA4 %30,%30,%31,5
- NOP
- CM128VSA %30,%30,%31
- NOP
- CRC32 %30,%30,%31
- NOP
- CRC32B %30,%30,%31
- NOP
- CHKHDR %30,%31
- NOP
- AVAIL %30
- NOP
- FREE %31,%30
- NOP
- TSTOD %31,%30
- NOP
- CMPHDR %30
- NOP
- MCID %30,%31
- NOP
- DBA %30
- NOP
- DBD %31,%30
- NOP
- DPWT %31,%30
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test6.d b/gas/testsuite/gas/iq2000/q10test6.d
deleted file mode 100644
index c7e0adf..0000000
--- a/gas/testsuite/gas/iq2000/q10test6.d
+++ /dev/null
@@ -1,315 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test6
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 03 de f0 20 add r30,r30,r30
- 4: 00 00 00 00 nop
- 8: 23 de 00 00 addi r30,r30,0x0
- c: 00 00 00 00 nop
- 10: 27 de 00 00 addiu r30,r30,0x0
- 14: 00 00 00 00 nop
- 18: 03 de f0 21 addu r30,r30,r30
- 1c: 00 00 00 00 nop
- 20: 03 de f0 29 ado16 r30,r30,r30
- 24: 00 00 00 00 nop
- 28: 03 de f0 24 and r30,r30,r30
- 2c: 00 00 00 00 nop
- 30: 33 de 00 00 andi r30,r30,0x0
- 34: 00 00 00 00 nop
- 38: b3 de 00 00 andoi r30,r30,0x0
- 3c: 00 00 00 00 nop
- 40: bf de 00 00 andoui r30,r30,0x0
- 44: 00 00 00 00 nop
- 48: 3c 1e 00 00 lui r30,0x0
- 4c: 00 00 00 00 nop
- 50: 03 de f0 2d mrgb r30,r30,r30,0x0
- 54: 00 00 00 00 nop
- 58: 03 de f0 27 nor r30,r30,r30
- 5c: 00 00 00 00 nop
- 60: 03 de f0 25 or r30,r30,r30
- 64: 00 00 00 00 nop
- 68: 37 de 00 00 ori r30,r30,0x0
- 6c: 00 00 00 00 nop
- 70: 3f de 00 00 orui r30,r30,0x0
- 74: 00 00 00 00 nop
- 78: 00 1e f0 00 sll r30,r30,0x0
- 7c: 00 00 00 00 nop
- 80: 03 de f0 04 sllv r30,r30,r30
- 84: 00 00 00 00 nop
- 88: 03 de f0 2a slt r30,r30,r30
- 8c: 00 00 00 00 nop
- 90: 2b de 00 00 slti r30,r30,0x0
- 94: 00 00 00 00 nop
- 98: 2f de 00 00 sltiu r30,r30,0x0
- 9c: 00 00 00 00 nop
- a0: 03 de f0 2b sltu r30,r30,r30
- a4: 00 00 00 00 nop
- a8: 00 1e f0 03 sra r30,r30,0x0
- ac: 00 00 00 00 nop
- b0: 03 de f0 07 srav r30,r30,r30
- b4: 00 00 00 00 nop
- b8: 00 1e f0 02 srl r30,r30,0x0
- bc: 00 00 00 00 nop
- c0: 03 de f0 06 srlv r30,r30,r30
- c4: 00 00 00 00 nop
- c8: 03 de f0 22 sub r30,r30,r30
- cc: 00 00 00 00 nop
- d0: 03 de f0 23 subu r30,r30,r30
- d4: 00 00 00 00 nop
- d8: 03 de f0 26 xor r30,r30,r30
- dc: 00 00 00 00 nop
- e0: 3b de 00 00 xori r30,r30,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 03 de f0 05 srmv r30,r30,r30,0x0
- f4: 00 00 00 00 nop
- f8: 03 de f0 01 slmv r30,r30,r30,0x0
- fc: 00 00 00 00 nop
- 100: 9c 1e f0 00 ram r30,r30,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 73 c0 ff bd bbi r30\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 7b c0 ff bb bbin r30\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 77 de ff b9 bbv r30,r30,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7f de ff b7 bbvn r30,r30,0 <_start>
- 124: 00 00 00 00 nop
- 128: f3 c0 ff b5 bbil r30\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: fb c0 ff b3 bbinl r30\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f7 de ff b1 bbvl r30,r30,0 <_start>
- 13c: 00 00 00 00 nop
- 140: ff de ff af bbvnl r30,r30,0 <_start>
- 144: 00 00 00 00 nop
- 148: 13 de ff ad beq r30,r30,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 53 de ff ab beql r30,r30,0 <_start>
- 154: 00 00 00 00 nop
- 158: 07 c1 ff a9 bgez r30,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 07 d5 ff a7 bgtzal r30,0 <_start>
- 164: 00 00 00 00 nop
- 168: 07 d1 ff a5 bgezal r30,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 07 d7 ff a3 bgtzall r30,0 <_start>
- 174: 00 00 00 00 nop
- 178: 07 d3 ff a1 bgezall r30,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 07 c3 ff 9f bgezl r30,0 <_start>
- 184: 00 00 00 00 nop
- 188: 07 c7 ff 9d bgtzl r30,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 07 c5 ff 9b bgtz r30,0 <_start>
- 194: 00 00 00 00 nop
- 198: 07 c4 ff 99 blez r30,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 07 d4 ff 97 blezal r30,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 07 c0 ff 95 bltz r30,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 07 d0 ff 93 bltzal r30,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 07 c6 ff 91 blezl r30,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 07 c2 ff 8f bltzl r30,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 07 d6 ff 8d blezall r30,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 07 d2 ff 8b bltzall r30,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 1b de ff 89 bmb r30,r30,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 5b de ff 87 bmbl r30,r30,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 63 de ff 85 bmb0 r30,r30,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 67 de ff 83 bmb1 r30,r30,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 6b de ff 81 bmb2 r30,r30,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6f de ff 7f bmb3 r30,r30,0 <_start>
- 204: 00 00 00 00 nop
- 208: 17 de ff 7d bne r30,r30,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 57 de ff 7b bnel r30,r30,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 1e 00 00 jal r30,0 <_start>
- 224: 00 00 00 00 nop
- 228: 03 c0 f0 09 jalr r30,r30
- 22c: 00 00 00 00 nop
- 230: 03 c0 00 08 jr r30
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4f de 00 02 ctc r30,r30
- 244: 00 00 00 00 nop
- 248: 4c 1e f0 00 cfc r30,r30
- 24c: 00 00 00 00 nop
- 250: 8f de 00 00 lw r30,0x0\(r30\)
- 254: 00 00 00 00 nop
- 258: 87 de 00 00 lh r30,0x0\(r30\)
- 25c: 00 00 00 00 nop
- 260: 83 de 00 00 lb r30,0x0\(r30\)
- 264: 00 00 00 00 nop
- 268: 97 de 00 00 lhu r30,0x0\(r30\)
- 26c: 00 00 00 00 nop
- 270: 93 de 00 00 lbu r30,0x0\(r30\)
- 274: 00 00 00 00 nop
- 278: a3 de 00 00 sb r30,0x0\(r30\)
- 27c: 00 00 00 00 nop
- 280: a7 de 00 00 sh r30,0x0\(r30\)
- 284: 00 00 00 00 nop
- 288: af de 00 00 sw r30,0x0\(r30\)
- 28c: 00 00 00 00 nop
- 290: 4f de f0 08 rba r30,r30,r30
- 294: 00 00 00 00 nop
- 298: 4f de f0 0a rbar r30,r30,r30
- 29c: 00 00 00 00 nop
- 2a0: 4f de f0 09 rbal r30,r30,r30
- 2a4: 00 00 00 00 nop
- 2a8: 4f de f0 10 wba r30,r30,r30
- 2ac: 00 00 00 00 nop
- 2b0: 4f de f0 12 wbac r30,r30,r30
- 2b4: 00 00 00 00 nop
- 2b8: 4f de f0 11 wbau r30,r30,r30
- 2bc: 00 00 00 00 nop
- 2c0: 4f de f2 00 rbi r30,r30,r30,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4f de f1 00 rbir r30,r30,r30,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4f de f3 00 rbil r30,r30,r30,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4f de f6 00 wbi r30,r30,r30,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4f de f5 00 wbic r30,r30,r30,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4f de f7 00 wbiu r30,r30,r30,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4f de f0 28 pkrla r30,r30,r30
- 2f4: 00 00 00 00 nop
- 2f8: 4f de f0 2a pkrlah r30,r30,r30
- 2fc: 00 00 00 00 nop
- 300: 4f de f0 29 pkrlau r30,r30,r30
- 304: 00 00 00 00 nop
- 308: 4b de f0 00 pkrli r30,r30,r30,0x0
- 30c: 00 00 00 00 nop
- 310: 4b de f2 00 pkrlih r30,r30,r30,0x0
- 314: 00 00 00 00 nop
- 318: 4b de f1 00 pkrliu r30,r30,r30,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 1e f0 01 lock r30,r30
- 324: 00 00 00 00 nop
- 328: 4c 1e f0 03 unlk r30,r30
- 32c: 00 00 00 00 nop
- 330: 4f de f0 06 swwr r30,r30,r30
- 334: 00 00 00 00 nop
- 338: 4f de f0 07 swwru r30,r30,r30
- 33c: 00 00 00 00 nop
- 340: 4c 1e f0 04 swrd r30,r30
- 344: 00 00 00 00 nop
- 348: 4c 1e f0 05 swrdl r30,r30
- 34c: 00 00 00 00 nop
- 350: 4c 1e f0 0c dwrd r30,r30
- 354: 00 00 00 00 nop
- 358: 4c 1e f0 0d dwrdl r30,r30
- 35c: 00 00 00 00 nop
- 360: 4c 1e f4 30 cam36 r30,r30,0x6,0x0
- 364: 00 00 00 00 nop
- 368: 4c 1e f4 46 cam72 r30,r30,0x6,0x0
- 36c: 00 00 00 00 nop
- 370: 4c 1e f4 86 cam144 r30,r30,0x6,0x0
- 374: 00 00 00 00 nop
- 378: 4c 1e f4 c6 cam288 r30,r30,0x6,0x0
- 37c: 00 00 00 00 nop
- 380: 4f de f0 ab cm32and r30,r30,r30
- 384: 00 00 00 00 nop
- 388: 4f de f0 a3 cm32andn r30,r30,r30
- 38c: 00 00 00 00 nop
- 390: 4f de f0 aa cm32or r30,r30,r30
- 394: 00 00 00 00 nop
- 398: 4f de f0 b0 cm32ra r30,r30,r30
- 39c: 00 00 00 00 nop
- 3a0: 4c 1e f0 a1 cm32rd r30,r30
- 3a4: 00 00 00 00 nop
- 3a8: 4c 1e f0 a4 cm32ri r30,r30
- 3ac: 00 00 00 00 nop
- 3b0: 4f de f0 a0 cm32rs r30,r30,r30
- 3b4: 00 00 00 00 nop
- 3b8: 4f de f0 b8 cm32sa r30,r30,r30
- 3bc: 00 00 00 00 nop
- 3c0: 4c 1e f0 a9 cm32sd r30,r30
- 3c4: 00 00 00 00 nop
- 3c8: 4c 1e f0 ac cm32si r30,r30
- 3cc: 00 00 00 00 nop
- 3d0: 4f de f0 a8 cm32ss r30,r30,r30
- 3d4: 00 00 00 00 nop
- 3d8: 4f de f0 a2 cm32xor r30,r30,r30
- 3dc: 00 00 00 00 nop
- 3e0: 4c 1e f0 85 cm64clr r30,r30
- 3e4: 00 00 00 00 nop
- 3e8: 4f de f0 90 cm64ra r30,r30,r30
- 3ec: 00 00 00 00 nop
- 3f0: 4c 1e f0 81 cm64rd r30,r30
- 3f4: 00 00 00 00 nop
- 3f8: 4c 1e f0 84 cm64ri r30,r30
- 3fc: 00 00 00 00 nop
- 400: 4f de f0 94 cm64ria2 r30,r30,r30
- 404: 00 00 00 00 nop
- 408: 4f de f0 80 cm64rs r30,r30,r30
- 40c: 00 00 00 00 nop
- 410: 4f de f0 98 cm64sa r30,r30,r30
- 414: 00 00 00 00 nop
- 418: 4c 1e f0 89 cm64sd r30,r30
- 41c: 00 00 00 00 nop
- 420: 4c 1e f0 8c cm64si r30,r30
- 424: 00 00 00 00 nop
- 428: 4f de f0 9c cm64sia2 r30,r30,r30
- 42c: 00 00 00 00 nop
- 430: 4f de f0 88 cm64ss r30,r30,r30
- 434: 00 00 00 00 nop
- 438: 4f de f0 95 cm128ria2 r30,r30,r30
- 43c: 00 00 00 00 nop
- 440: 4f de f0 93 cm128ria3 r30,r30,r30,0x3
- 444: 00 00 00 00 nop
- 448: 4f de f0 b6 cm128ria4 r30,r30,r30,0x6
- 44c: 00 00 00 00 nop
- 450: 4f de f0 9d cm128sia2 r30,r30,r30
- 454: 00 00 00 00 nop
- 458: 4f de f0 9b cm128sia3 r30,r30,r30,0x3
- 45c: 00 00 00 00 nop
- 460: 4f de f0 be cm128sia4 r30,r30,r30,0x6
- 464: 00 00 00 00 nop
- 468: 4f de f0 a6 cm128vsa r30,r30,r30
- 46c: 00 00 00 00 nop
- 470: 4f de f0 14 crc32 r30,r30,r30
- 474: 00 00 00 00 nop
- 478: 4f de f0 15 crc32b r30,r30,r30
- 47c: 00 00 00 00 nop
- 480: 4f c0 f0 26 chkhdr r30,r30
- 484: 00 00 00 00 nop
- 488: 4c 00 f0 24 avail r30
- 48c: 00 00 00 00 nop
- 490: 4f c0 f0 25 free r30,r30
- 494: 00 00 00 00 nop
- 498: 4f c0 f0 27 tstod r30,r30
- 49c: 00 00 00 00 nop
- 4a0: 4c 00 f0 2c cmphdr r30
- 4a4: 00 00 00 00 nop
- 4a8: 4c 1e f0 20 mcid r30,r30
- 4ac: 00 00 00 00 nop
- 4b0: 4c 00 f0 22 dba r30
- 4b4: 00 00 00 00 nop
- 4b8: 4c 1e f0 21 dbd r30,r0,r30
- 4bc: 00 00 00 00 nop
- 4c0: 4f c0 f0 23 dpwt r30,r30
- 4c4: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test6.s b/gas/testsuite/gas/iq2000/q10test6.s
deleted file mode 100644
index c6f58ac..0000000
--- a/gas/testsuite/gas/iq2000/q10test6.s
+++ /dev/null
@@ -1,308 +0,0 @@
-.global _start
-_start:
- ADD %30,%30,%30
- NOP
- ADDI %30,%30,0
- NOP
- ADDIU %30,%30,0
- NOP
- ADDU %30,%30,%30
- NOP
- ADO16 %30,%30,%30
- NOP
- AND %30,%30,%30
- NOP
- ANDI %30,%30,0
- NOP
- ANDOI %30,%30,0
- NOP
- ANDOUI %30,%30,0
- NOP
- LUI %30,0
- NOP
- MRGB %30,%30,%30,0
- NOP
- NOR %30,%30,%30
- NOP
- OR %30,%30,%30
- NOP
- ORI %30,%30,0
- NOP
- ORUI %30,%30,0
- NOP
- SLL %30,%30,0
- NOP
- SLLV %30,%30,%30
- NOP
- SLT %30,%30,%30
- NOP
- SLTI %30,%30,0
- NOP
- SLTIU %30,%30,0
- NOP
- SLTU %30,%30,%30
- NOP
- SRA %30,%30,0
- NOP
- SRAV %30,%30,%30
- NOP
- SRL %30,%30,0
- NOP
- SRLV %30,%30,%30
- NOP
- SUB %30,%30,%30
- NOP
- SUBU %30,%30,%30
- NOP
- XOR %30,%30,%30
- NOP
- XORI %30,%30,0
- NOP
- NOP
- NOP
- SRMV %30,%30,%30,0
- NOP
- SLMV %30,%30,%30,0
- NOP
- RAM %30,%30,0,0,0
- NOP
- BBI %30(0),_start
- NOP
- BBIN %30(0),_start
- NOP
- BBV %30,%30,_start
- NOP
- BBVN %30,%30,_start
- NOP
- BBIL %30(0),_start
- NOP
- BBINL %30(0),_start
- NOP
- BBVL %30,%30,_start
- NOP
- BBVNL %30,%30,_start
- NOP
- BEQ %30,%30,_start
- NOP
- BEQL %30,%30,_start
- NOP
- BGEZ %30,_start
- NOP
- BGTZAL %30,_start
- NOP
- BGEZAL %30,_start
- NOP
- BGTZALL %30,_start
- NOP
- BGEZALL %30,_start
- NOP
- BGEZL %30,_start
- NOP
- BGTZL %30,_start
- NOP
- BGTZ %30,_start
- NOP
- BLEZ %30,_start
- NOP
- BLEZAL %30,_start
- NOP
- BLTZ %30,_start
- NOP
- BLTZAL %30,_start
- NOP
- BLEZL %30,_start
- NOP
- BLTZL %30,_start
- NOP
- BLEZALL %30,_start
- NOP
- BLTZALL %30,_start
- NOP
- BMB %30,%30,_start
- NOP
- BMBL %30,%30,_start
- NOP
- BMB0 %30,%30,_start
- NOP
- BMB1 %30,%30,_start
- NOP
- BMB2 %30,%30,_start
- NOP
- BMB3 %30,%30,_start
- NOP
- BNE %30,%30,_start
- NOP
- BNEL %30,%30,_start
- NOP
- J 0
- NOP
- JAL %30,0
- NOP
- JALR %30,%30
- NOP
- JR %30
- NOP
- BREAK
- NOP
- CTC %30,%30
- NOP
- CFC %30,%30
- NOP
- LW %30,0(%30)
- NOP
- LH %30,0(%30)
- NOP
- LB %30,0(%30)
- NOP
- LHU %30,0(%30)
- NOP
- LBU %30,0(%30)
- NOP
- SB %30,0(%30)
- NOP
- SH %30,0(%30)
- NOP
- SW %30,0(%30)
- NOP
- RBA %30,%30,%30
- NOP
- RBAR %30,%30,%30
- NOP
- RBAL %30,%30,%30
- NOP
- WBA %30,%30,%30
- NOP
- WBAC %30,%30,%30
- NOP
- WBAU %30,%30,%30
- NOP
- RBI %30,%30,%30,0
- NOP
- RBIR %30,%30,%30,0
- NOP
- RBIL %30,%30,%30,0
- NOP
- WBI %30,%30,%30,0
- NOP
- WBIC %30,%30,%30,0
- NOP
- WBIU %30,%30,%30,0
- NOP
- PKRLA %30,%30,%30
- NOP
- PKRLAH %30,%30,%30
- NOP
- PKRLAU %30,%30,%30
- NOP
- PKRLI %30,%30,%30,0
- NOP
- PKRLIH %30,%30,%30,0
- NOP
- PKRLIU %30,%30,%30,0
- NOP
- LOCK %30,%30
- NOP
- UNLK %30,%30
- NOP
- SWWR %30,%30,%30
- NOP
- SWWRU %30,%30,%30
- NOP
- SWRD %30,%30
- NOP
- SWRDL %30,%30
- NOP
- DWRD %30,%30
- NOP
- DWRDL %30,%30
- NOP
- CAM36 %30,%30,6,0
- NOP
- CAM72 %30,%30,6,0
- NOP
- CAM144 %30,%30,6,0
- NOP
- CAM288 %30,%30,6,0
- NOP
- CM32AND %30,%30,%30
- NOP
- CM32ANDN %30,%30,%30
- NOP
- CM32OR %30,%30,%30
- NOP
- CM32RA %30,%30,%30
- NOP
- CM32RD %30,%30
- NOP
- CM32RI %30,%30
- NOP
- CM32RS %30,%30,%30
- NOP
- CM32SA %30,%30,%30
- NOP
- CM32SD %30,%30
- NOP
- CM32SI %30,%30
- NOP
- CM32SS %30,%30,%30
- NOP
- CM32XOR %30,%30,%30
- NOP
- CM64CLR %30,%30
- NOP
- CM64RA %30,%30,%30
- NOP
- CM64RD %30,%30
- NOP
- CM64RI %30,%30
- NOP
- CM64RIA2 %30,%30,%30
- NOP
- CM64RS %30,%30,%30
- NOP
- CM64SA %30,%30,%30
- NOP
- CM64SD %30,%30
- NOP
- CM64SI %30,%30
- NOP
- CM64SIA2 %30,%30,%30
- NOP
- CM64SS %30,%30,%30
- NOP
- CM128RIA2 %30,%30,%30
- NOP
- CM128RIA3 %30,%30,%30,3
- NOP
- CM128RIA4 %30,%30,%30,6
- NOP
- CM128SIA2 %30,%30,%30
- NOP
- CM128SIA3 %30,%30,%30,3
- NOP
- CM128SIA4 %30,%30,%30,6
- NOP
- CM128VSA %30,%30,%30
- NOP
- CRC32 %30,%30,%30
- NOP
- CRC32B %30,%30,%30
- NOP
- CHKHDR %30,%30
- NOP
- AVAIL %30
- NOP
- FREE %30,%30
- NOP
- TSTOD %30,%30
- NOP
- CMPHDR %30
- NOP
- MCID %30,%30
- NOP
- DBA %30
- NOP
- DBD %30,%30
- NOP
- DPWT %30,%30
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test7.d b/gas/testsuite/gas/iq2000/q10test7.d
deleted file mode 100644
index 2bf380c..0000000
--- a/gas/testsuite/gas/iq2000/q10test7.d
+++ /dev/null
@@ -1,301 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test7
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 08 addi r1,r1,0x8
- c: 00 00 00 00 nop
- 10: 24 21 00 08 addiu r1,r1,0x8
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 08 andi r1,r1,0x8
- 34: 00 00 00 00 nop
- 38: b0 21 00 08 andoi r1,r1,0x8
- 3c: 00 00 00 00 nop
- 40: bc 21 00 08 andoui r1,r1,0x8
- 44: 00 00 00 00 nop
- 48: 3c 01 00 08 lui r1,0x8
- 4c: 00 00 00 00 nop
- 50: 00 21 08 2d mrgb r1,r1,r1,0x0
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 08 ori r1,r1,0x8
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 08 orui r1,r1,0x8
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 08 slti r1,r1,0x8
- 94: 00 00 00 00 nop
- 98: 2c 21 00 08 sltiu r1,r1,0x8
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 08 xori r1,r1,0x8
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 01 08 00 ram r1,r1,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 70 21 00 02 bbi r1\(0x1\),114 <_start\+0x114>
- 10c: 00 00 00 00 nop
- 110: 78 21 00 02 bbin r1\(0x1\),11c <_start\+0x11c>
- 114: 00 00 00 00 nop
- 118: 74 21 00 02 bbv r1,r1,124 <_start\+0x124>
- 11c: 00 00 00 00 nop
- 120: 7c 21 00 02 bbvn r1,r1,12c <_start\+0x12c>
- 124: 00 00 00 00 nop
- 128: f0 21 00 02 bbil r1\(0x1\),134 <_start\+0x134>
- 12c: 00 00 00 00 nop
- 130: f8 21 00 02 bbinl r1\(0x1\),13c <_start\+0x13c>
- 134: 00 00 00 00 nop
- 138: f4 21 00 02 bbvl r1,r1,144 <_start\+0x144>
- 13c: 00 00 00 00 nop
- 140: fc 21 00 02 bbvnl r1,r1,14c <_start\+0x14c>
- 144: 00 00 00 00 nop
- 148: 10 21 00 02 beq r1,r1,154 <_start\+0x154>
- 14c: 00 00 00 00 nop
- 150: 50 21 00 02 beql r1,r1,15c <_start\+0x15c>
- 154: 00 00 00 00 nop
- 158: 04 21 00 02 bgez r1,164 <_start\+0x164>
- 15c: 00 00 00 00 nop
- 160: 04 35 00 02 bgtzal r1,16c <_start\+0x16c>
- 164: 00 00 00 00 nop
- 168: 04 31 00 02 bgezal r1,174 <_start\+0x174>
- 16c: 00 00 00 00 nop
- 170: 04 37 00 02 bgtzall r1,17c <_start\+0x17c>
- 174: 00 00 00 00 nop
- 178: 04 33 00 02 bgezall r1,184 <_start\+0x184>
- 17c: 00 00 00 00 nop
- 180: 04 23 00 02 bgezl r1,18c <_start\+0x18c>
- 184: 00 00 00 00 nop
- 188: 04 27 00 02 bgtzl r1,194 <_start\+0x194>
- 18c: 00 00 00 00 nop
- 190: 04 25 00 02 bgtz r1,19c <_start\+0x19c>
- 194: 00 00 00 00 nop
- 198: 04 24 00 02 blez r1,1a4 <_start\+0x1a4>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 00 02 blezal r1,1ac <_start\+0x1ac>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 00 02 bltz r1,1b4 <_start\+0x1b4>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 00 02 bltzal r1,1bc <_start\+0x1bc>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 00 02 blezl r1,1c4 <_start\+0x1c4>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 00 02 bltzl r1,1cc <_start\+0x1cc>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 00 02 blezall r1,1d4 <_start\+0x1d4>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 00 02 bltzall r1,1dc <_start\+0x1dc>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 00 02 bmb r1,r1,1e4 <_start\+0x1e4>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 00 02 bmbl r1,r1,1ec <_start\+0x1ec>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 00 02 bmb0 r1,r1,1f4 <_start\+0x1f4>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 00 02 bmb1 r1,r1,1fc <_start\+0x1fc>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 00 02 bmb2 r1,r1,204 <_start\+0x204>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 00 02 bmb3 r1,r1,20c <_start\+0x20c>
- 204: 00 00 00 00 nop
- 208: 14 21 00 02 bne r1,r1,214 <_start\+0x214>
- 20c: 00 00 00 00 nop
- 210: 54 21 00 02 bnel r1,r1,21c <_start\+0x21c>
- 214: 00 00 00 00 nop
- 218: 08 00 00 02 j 8 <_start\+0x8>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 02 jal r1,8 <_start\+0x8>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 08 lw r1,0x8\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 08 lh r1,0x8\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 08 lb r1,0x8\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 08 lhu r1,0x8\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 08 lbu r1,0x8\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 08 sb r1,0x8\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 08 sh r1,0x8\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 08 sw r1,0x8\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 00 rbi r1,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 00 rbir r1,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 00 rbil r1,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 00 wbi r1,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 00 wbic r1,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 00 wbiu r1,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2a pkrlah r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 29 pkrlau r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 08 00 pkrli r1,r1,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 48 21 0a 00 pkrlih r1,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 09 00 pkrliu r1,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 08 06 swwr r1,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 08 07 swwru r1,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 02 10 0c dwrd r2,r2
- 354: 00 00 00 00 nop
- 358: 4c 02 10 0d dwrdl r2,r2
- 35c: 00 00 00 00 nop
- 360: 4c 21 08 ab cm32and r1,r1,r1
- 364: 00 00 00 00 nop
- 368: 4c 21 08 a3 cm32andn r1,r1,r1
- 36c: 00 00 00 00 nop
- 370: 4c 21 08 aa cm32or r1,r1,r1
- 374: 00 00 00 00 nop
- 378: 4c 21 08 b0 cm32ra r1,r1,r1
- 37c: 00 00 00 00 nop
- 380: 4c 01 08 a1 cm32rd r1,r1
- 384: 00 00 00 00 nop
- 388: 4c 01 08 a4 cm32ri r1,r1
- 38c: 00 00 00 00 nop
- 390: 4c 21 08 a0 cm32rs r1,r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 21 08 b8 cm32sa r1,r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 01 08 a9 cm32sd r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 01 08 ac cm32si r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 21 08 a8 cm32ss r1,r1,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 21 08 a2 cm32xor r1,r1,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 02 10 85 cm64clr r2,r2
- 3c4: 00 00 00 00 nop
- 3c8: 4c 42 10 90 cm64ra r2,r2,r2
- 3cc: 00 00 00 00 nop
- 3d0: 4c 02 10 81 cm64rd r2,r2
- 3d4: 00 00 00 00 nop
- 3d8: 4c 02 10 84 cm64ri r2,r2
- 3dc: 00 00 00 00 nop
- 3e0: 4c 42 10 94 cm64ria2 r2,r2,r2
- 3e4: 00 00 00 00 nop
- 3e8: 4c 42 10 80 cm64rs r2,r2,r2
- 3ec: 00 00 00 00 nop
- 3f0: 4c 42 10 98 cm64sa r2,r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 02 10 89 cm64sd r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 02 10 8c cm64si r2,r2
- 404: 00 00 00 00 nop
- 408: 4c 42 10 9c cm64sia2 r2,r2,r2
- 40c: 00 00 00 00 nop
- 410: 4c 42 10 88 cm64ss r2,r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 10 95 cm128ria2 r2,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 42 10 b7 cm128ria4 r2,r2,r2,0x7
- 424: 00 00 00 00 nop
- 428: 4c 42 10 9d cm128sia2 r2,r2,r2
- 42c: 00 00 00 00 nop
- 430: 4c 21 08 bf cm128sia4 r1,r1,r1,0x7
- 434: 00 00 00 00 nop
- 438: 4c 21 08 a6 cm128vsa r1,r1,r1
- 43c: 00 00 00 00 nop
- 440: 4c 21 08 14 crc32 r1,r1,r1
- 444: 00 00 00 00 nop
- 448: 4c 21 08 15 crc32b r1,r1,r1
- 44c: 00 00 00 00 nop
- 450: 4c 20 08 26 chkhdr r1,r1
- 454: 00 00 00 00 nop
- 458: 4c 00 08 24 avail r1
- 45c: 00 00 00 00 nop
- 460: 4c 20 08 25 free r1,r1
- 464: 00 00 00 00 nop
- 468: 4c 00 08 2c cmphdr r1
- 46c: 00 00 00 00 nop
- 470: 4c 01 08 20 mcid r1,r1
- 474: 00 00 00 00 nop
- 478: 4c 00 08 22 dba r1
- 47c: 00 00 00 00 nop
- 480: 4c 01 08 21 dbd r1,r0,r1
- 484: 00 00 00 00 nop
- 488: 4c 20 08 23 dpwt r1,r1
- 48c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test7.s b/gas/testsuite/gas/iq2000/q10test7.s
deleted file mode 100644
index 12b1c99..0000000
--- a/gas/testsuite/gas/iq2000/q10test7.s
+++ /dev/null
@@ -1,294 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,8
- NOP
- ADDIU %1,%1,8
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,8
- NOP
- ANDOI %1,%1,8
- NOP
- ANDOUI %1,%1,8
- NOP
- LUI %1,8
- NOP
- MRGB %1,%1,%1,0
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,8
- NOP
- ORUI %1,%1,8
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,8
- NOP
- SLTIU %1,%1,8
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,8
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,0,0
- NOP
- BBI %1(1),8
- NOP
- BBIN %1(1),8
- NOP
- BBV %1,%1,8
- NOP
- BBVN %1,%1,8
- NOP
- BBIL %1(1),8
- NOP
- BBINL %1(1),8
- NOP
- BBVL %1,%1,8
- NOP
- BBVNL %1,%1,8
- NOP
- BEQ %1,%1,8
- NOP
- BEQL %1,%1,8
- NOP
- BGEZ %1,8
- NOP
- BGTZAL %1,8
- NOP
- BGEZAL %1,8
- NOP
- BGTZALL %1,8
- NOP
- BGEZALL %1,8
- NOP
- BGEZL %1,8
- NOP
- BGTZL %1,8
- NOP
- BGTZ %1,8
- NOP
- BLEZ %1,8
- NOP
- BLEZAL %1,8
- NOP
- BLTZ %1,8
- NOP
- BLTZAL %1,8
- NOP
- BLEZL %1,8
- NOP
- BLTZL %1,8
- NOP
- BLEZALL %1,8
- NOP
- BLTZALL %1,8
- NOP
- BMB %1,%1,8
- NOP
- BMBL %1,%1,8
- NOP
- BMB0 %1,%1,8
- NOP
- BMB1 %1,%1,8
- NOP
- BMB2 %1,%1,8
- NOP
- BMB3 %1,%1,8
- NOP
- BNE %1,%1,8
- NOP
- BNEL %1,%1,8
- NOP
- J 8
- NOP
- JAL %1,8
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,8(%1)
- NOP
- LH %1,8(%1)
- NOP
- LB %1,8(%1)
- NOP
- LHU %1,8(%1)
- NOP
- LBU %1,8(%1)
- NOP
- SB %1,8(%1)
- NOP
- SH %1,8(%1)
- NOP
- SW %1,8(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,0
- NOP
- RBIR %1,%1,%1,0
- NOP
- RBIL %1,%1,%1,0
- NOP
- WBI %1,%1,%1,0
- NOP
- WBIC %1,%1,%1,0
- NOP
- WBIU %1,%1,%1,0
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,0
- NOP
- PKRLIH %1,%1,%1,0
- NOP
- PKRLIU %1,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- DWRD %2,%2
- NOP
- DWRDL %2,%2
- NOP
- CM32AND %1,%1,%1
- NOP
- CM32ANDN %1,%1,%1
- NOP
- CM32OR %1,%1,%1
- NOP
- CM32RA %1,%1,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%1,%1
- NOP
- CM32SA %1,%1,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%1,%1
- NOP
- CM32XOR %1,%1,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%2,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%2,%2
- NOP
- CM64RS %2,%2,%2
- NOP
- CM64SA %2,%2,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%2,%2
- NOP
- CM64SS %2,%2,%2
- NOP
- CM128RIA2 %2,%2,%2
- NOP
- CM128RIA4 %2,%2,%2,7
- NOP
- CM128SIA2 %2,%2,%2
- NOP
- CM128SIA4 %1,%1,%1,7
- NOP
- CM128VSA %1,%1,%1
- NOP
- CRC32 %1,%1,%1
- NOP
- CRC32B %1,%1,%1
- NOP
- CHKHDR %1,%1
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %1
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test8.d b/gas/testsuite/gas/iq2000/q10test8.d
deleted file mode 100644
index 9b18dde..0000000
--- a/gas/testsuite/gas/iq2000/q10test8.d
+++ /dev/null
@@ -1,327 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test8
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 ff f8 addi r1,r1,0xfff8
- c: 00 00 00 00 nop
- 10: 24 21 ff f8 addiu r1,r1,0xfff8
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 ff f8 andi r1,r1,0xfff8
- 34: 00 00 00 00 nop
- 38: b0 21 ff f8 andoi r1,r1,0xfff8
- 3c: 00 00 00 00 nop
- 40: bc 21 ff f8 andoui r1,r1,0xfff8
- 44: 00 00 00 00 nop
- 48: 3c 01 ff f8 lui r1,0xfff8
- 4c: 00 00 00 00 nop
- 50: 00 21 08 2d mrgb r1,r1,r1,0x0
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 ff f8 ori r1,r1,0xfff8
- 6c: 00 00 00 00 nop
- 70: 3c 21 ff f8 orui r1,r1,0xfff8
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 ff f8 slti r1,r1,0xfff8
- 94: 00 00 00 00 nop
- 98: 2c 21 ff f8 sltiu r1,r1,0xfff8
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 ff f8 xori r1,r1,0xfff8
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 01 08 00 ram r1,r1,0x0,0x0,0x0
- 104: 00 00 00 00 nop
- 108: 70 3f 00 00 bbi r1\(0x1f\),10c <_start\+0x10c>
- 108: R_IQ2000_PC16 _startxfff8
- 10c: 00 00 00 00 nop
- 110: 78 3f 00 00 bbin r1\(0x1f\),114 <_start\+0x114>
- 110: R_IQ2000_PC16 _startxfff8
- 114: 00 00 00 00 nop
- 118: 74 21 00 00 bbv r1,r1,11c <_start\+0x11c>
- 118: R_IQ2000_PC16 _startxfff8
- 11c: 00 00 00 00 nop
- 120: 7c 21 00 00 bbvn r1,r1,124 <_start\+0x124>
- 120: R_IQ2000_PC16 _startxfff8
- 124: 00 00 00 00 nop
- 128: f0 3f 00 00 bbil r1\(0x1f\),12c <_start\+0x12c>
- 128: R_IQ2000_PC16 _startxfff8
- 12c: 00 00 00 00 nop
- 130: f8 3f 00 00 bbinl r1\(0x1f\),134 <_start\+0x134>
- 130: R_IQ2000_PC16 _startxfff8
- 134: 00 00 00 00 nop
- 138: f4 21 00 00 bbvl r1,r1,13c <_start\+0x13c>
- 138: R_IQ2000_PC16 _startxfff8
- 13c: 00 00 00 00 nop
- 140: fc 21 00 00 bbvnl r1,r1,144 <_start\+0x144>
- 140: R_IQ2000_PC16 _startxfff8
- 144: 00 00 00 00 nop
- 148: 10 21 00 00 beq r1,r1,14c <_start\+0x14c>
- 148: R_IQ2000_PC16 _startxfff8
- 14c: 00 00 00 00 nop
- 150: 50 21 00 00 beql r1,r1,154 <_start\+0x154>
- 150: R_IQ2000_PC16 _startxfff8
- 154: 00 00 00 00 nop
- 158: 04 21 00 00 bgez r1,15c <_start\+0x15c>
- 158: R_IQ2000_PC16 _startxfff8
- 15c: 00 00 00 00 nop
- 160: 04 35 00 00 bgtzal r1,164 <_start\+0x164>
- 160: R_IQ2000_PC16 _startxfff8
- 164: 00 00 00 00 nop
- 168: 04 31 00 00 bgezal r1,16c <_start\+0x16c>
- 168: R_IQ2000_PC16 _startxfff8
- 16c: 00 00 00 00 nop
- 170: 04 37 00 00 bgtzall r1,174 <_start\+0x174>
- 170: R_IQ2000_PC16 _startxfff8
- 174: 00 00 00 00 nop
- 178: 04 33 00 00 bgezall r1,17c <_start\+0x17c>
- 178: R_IQ2000_PC16 _startxfff8
- 17c: 00 00 00 00 nop
- 180: 04 23 00 00 bgezl r1,184 <_start\+0x184>
- 180: R_IQ2000_PC16 _startxfff8
- 184: 00 00 00 00 nop
- 188: 04 27 00 00 bgtzl r1,18c <_start\+0x18c>
- 188: R_IQ2000_PC16 _startxfff8
- 18c: 00 00 00 00 nop
- 190: 04 25 00 00 bgtz r1,194 <_start\+0x194>
- 190: R_IQ2000_PC16 _startxfff8
- 194: 00 00 00 00 nop
- 198: 04 24 00 00 blez r1,19c <_start\+0x19c>
- 198: R_IQ2000_PC16 _startxfff8
- 19c: 00 00 00 00 nop
- 1a0: 04 34 00 00 blezal r1,1a4 <_start\+0x1a4>
- 1a0: R_IQ2000_PC16 _startxfff8
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 00 00 bltz r1,1ac <_start\+0x1ac>
- 1a8: R_IQ2000_PC16 _startxfff8
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 00 00 bltzal r1,1b4 <_start\+0x1b4>
- 1b0: R_IQ2000_PC16 _startxfff8
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 00 00 blezl r1,1bc <_start\+0x1bc>
- 1b8: R_IQ2000_PC16 _startxfff8
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 00 00 bltzl r1,1c4 <_start\+0x1c4>
- 1c0: R_IQ2000_PC16 _startxfff8
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 00 00 blezall r1,1cc <_start\+0x1cc>
- 1c8: R_IQ2000_PC16 _startxfff8
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 00 00 bltzall r1,1d4 <_start\+0x1d4>
- 1d0: R_IQ2000_PC16 _startxfff8
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 00 00 bmb r1,r1,1dc <_start\+0x1dc>
- 1d8: R_IQ2000_PC16 _startxfff8
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 00 00 bmbl r1,r1,1e4 <_start\+0x1e4>
- 1e0: R_IQ2000_PC16 _startxfff8
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 00 00 bmb0 r1,r1,1ec <_start\+0x1ec>
- 1e8: R_IQ2000_PC16 _startxfff8
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 00 00 bmb1 r1,r1,1f4 <_start\+0x1f4>
- 1f0: R_IQ2000_PC16 _startxfff8
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 00 00 bmb2 r1,r1,1fc <_start\+0x1fc>
- 1f8: R_IQ2000_PC16 _startxfff8
- 1fc: 00 00 00 00 nop
- 200: 6c 21 00 00 bmb3 r1,r1,204 <_start\+0x204>
- 200: R_IQ2000_PC16 _startxfff8
- 204: 00 00 00 00 nop
- 208: 14 21 00 00 bne r1,r1,20c <_start\+0x20c>
- 208: R_IQ2000_PC16 _startxfff8
- 20c: 00 00 00 00 nop
- 210: 54 21 00 00 bnel r1,r1,214 <_start\+0x214>
- 210: R_IQ2000_PC16 _startxfff8
- 214: 00 00 00 00 nop
- 218: 08 00 3f fe j fff8 <_start\+0xfff8>
- 21c: 00 00 00 00 nop
- 220: 0c 01 3f fe jal r1,fff8 <_start\+0xfff8>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 ff f8 lw r1,0xfff8\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 ff f8 lh r1,0xfff8\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 ff f8 lb r1,0xfff8\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 ff f8 lhu r1,0xfff8\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 ff f8 lbu r1,0xfff8\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 ff f8 sb r1,0xfff8\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 ff f8 sh r1,0xfff8\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 ff f8 sw r1,0xfff8\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 00 rbi r1,r1,r1,0x0
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 00 rbir r1,r1,r1,0x0
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 00 rbil r1,r1,r1,0x0
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 00 wbi r1,r1,r1,0x0
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 00 wbic r1,r1,r1,0x0
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 00 wbiu r1,r1,r1,0x0
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2a pkrlah r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 29 pkrlau r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 08 00 pkrli r1,r1,r1,0x0
- 30c: 00 00 00 00 nop
- 310: 48 21 0a 00 pkrlih r1,r1,r1,0x0
- 314: 00 00 00 00 nop
- 318: 48 21 09 00 pkrliu r1,r1,r1,0x0
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 08 06 swwr r1,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 08 07 swwru r1,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 02 10 0c dwrd r2,r2
- 354: 00 00 00 00 nop
- 358: 4c 02 10 0d dwrdl r2,r2
- 35c: 00 00 00 00 nop
- 360: 4c 21 08 ab cm32and r1,r1,r1
- 364: 00 00 00 00 nop
- 368: 4c 21 08 a3 cm32andn r1,r1,r1
- 36c: 00 00 00 00 nop
- 370: 4c 21 08 aa cm32or r1,r1,r1
- 374: 00 00 00 00 nop
- 378: 4c 21 08 b0 cm32ra r1,r1,r1
- 37c: 00 00 00 00 nop
- 380: 4c 01 08 a1 cm32rd r1,r1
- 384: 00 00 00 00 nop
- 388: 4c 01 08 a4 cm32ri r1,r1
- 38c: 00 00 00 00 nop
- 390: 4c 21 08 a0 cm32rs r1,r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 21 08 b8 cm32sa r1,r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 01 08 a9 cm32sd r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 01 08 ac cm32si r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 21 08 a8 cm32ss r1,r1,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 21 08 a2 cm32xor r1,r1,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 02 10 85 cm64clr r2,r2
- 3c4: 00 00 00 00 nop
- 3c8: 4c 42 10 90 cm64ra r2,r2,r2
- 3cc: 00 00 00 00 nop
- 3d0: 4c 02 10 81 cm64rd r2,r2
- 3d4: 00 00 00 00 nop
- 3d8: 4c 02 10 84 cm64ri r2,r2
- 3dc: 00 00 00 00 nop
- 3e0: 4c 42 10 94 cm64ria2 r2,r2,r2
- 3e4: 00 00 00 00 nop
- 3e8: 4c 42 10 80 cm64rs r2,r2,r2
- 3ec: 00 00 00 00 nop
- 3f0: 4c 42 10 98 cm64sa r2,r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 02 10 89 cm64sd r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 02 10 8c cm64si r2,r2
- 404: 00 00 00 00 nop
- 408: 4c 42 10 9c cm64sia2 r2,r2,r2
- 40c: 00 00 00 00 nop
- 410: 4c 42 10 88 cm64ss r2,r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 10 95 cm128ria2 r2,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 21 08 14 crc32 r1,r1,r1
- 424: 00 00 00 00 nop
- 428: 4c 21 08 15 crc32b r1,r1,r1
- 42c: 00 00 00 00 nop
- 430: 4c 20 08 26 chkhdr r1,r1
- 434: 00 00 00 00 nop
- 438: 4c 00 08 24 avail r1
- 43c: 00 00 00 00 nop
- 440: 4c 20 08 25 free r1,r1
- 444: 00 00 00 00 nop
- 448: 4c 00 08 2c cmphdr r1
- 44c: 00 00 00 00 nop
- 450: 4c 01 08 20 mcid r1,r1
- 454: 00 00 00 00 nop
- 458: 4c 00 08 22 dba r1
- 45c: 00 00 00 00 nop
- 460: 4c 01 08 21 dbd r1,r0,r1
- 464: 00 00 00 00 nop
- 468: 4c 20 08 23 dpwt r1,r1
- 46c: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test8.s b/gas/testsuite/gas/iq2000/q10test8.s
deleted file mode 100644
index 9484f31..0000000
--- a/gas/testsuite/gas/iq2000/q10test8.s
+++ /dev/null
@@ -1,286 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0xfff8
- NOP
- ADDIU %1,%1,0xfff8
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0xfff8
- NOP
- ANDOI %1,%1,0xfff8
- NOP
- ANDOUI %1,%1,0xfff8
- NOP
- LUI %1,0xfff8
- NOP
- MRGB %1,%1,%1,0
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0xfff8
- NOP
- ORUI %1,%1,0xfff8
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0xfff8
- NOP
- SLTIU %1,%1,0xfff8
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0xfff8
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,0,0
- NOP
- BBI %1(31),_startxfff8
- NOP
- BBIN %1(31),_startxfff8
- NOP
- BBV %1,%1,_startxfff8
- NOP
- BBVN %1,%1,_startxfff8
- NOP
- BBIL %1(31),_startxfff8
- NOP
- BBINL %1(31),_startxfff8
- NOP
- BBVL %1,%1,_startxfff8
- NOP
- BBVNL %1,%1,_startxfff8
- NOP
- BEQ %1,%1,_startxfff8
- NOP
- BEQL %1,%1,_startxfff8
- NOP
- BGEZ %1,_startxfff8
- NOP
- BGTZAL %1,_startxfff8
- NOP
- BGEZAL %1,_startxfff8
- NOP
- BGTZALL %1,_startxfff8
- NOP
- BGEZALL %1,_startxfff8
- NOP
- BGEZL %1,_startxfff8
- NOP
- BGTZL %1,_startxfff8
- NOP
- BGTZ %1,_startxfff8
- NOP
- BLEZ %1,_startxfff8
- NOP
- BLEZAL %1,_startxfff8
- NOP
- BLTZ %1,_startxfff8
- NOP
- BLTZAL %1,_startxfff8
- NOP
- BLEZL %1,_startxfff8
- NOP
- BLTZL %1,_startxfff8
- NOP
- BLEZALL %1,_startxfff8
- NOP
- BLTZALL %1,_startxfff8
- NOP
- BMB %1,%1,_startxfff8
- NOP
- BMBL %1,%1,_startxfff8
- NOP
- BMB0 %1,%1,_startxfff8
- NOP
- BMB1 %1,%1,_startxfff8
- NOP
- BMB2 %1,%1,_startxfff8
- NOP
- BMB3 %1,%1,_startxfff8
- NOP
- BNE %1,%1,_startxfff8
- NOP
- BNEL %1,%1,_startxfff8
- NOP
- J 0xfff8
- NOP
- JAL %1,0xfff8
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0xfff8(%1)
- NOP
- LH %1,0xfff8(%1)
- NOP
- LB %1,0xfff8(%1)
- NOP
- LHU %1,0xfff8(%1)
- NOP
- LBU %1,0xfff8(%1)
- NOP
- SB %1,0xfff8(%1)
- NOP
- SH %1,0xfff8(%1)
- NOP
- SW %1,0xfff8(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,0
- NOP
- RBIR %1,%1,%1,0
- NOP
- RBIL %1,%1,%1,0
- NOP
- WBI %1,%1,%1,0
- NOP
- WBIC %1,%1,%1,0
- NOP
- WBIU %1,%1,%1,0
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,0
- NOP
- PKRLIH %1,%1,%1,0
- NOP
- PKRLIU %1,%1,%1,0
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- DWRD %2,%2
- NOP
- DWRDL %2,%2
- NOP
- CM32AND %1,%1,%1
- NOP
- CM32ANDN %1,%1,%1
- NOP
- CM32OR %1,%1,%1
- NOP
- CM32RA %1,%1,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%1,%1
- NOP
- CM32SA %1,%1,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%1,%1
- NOP
- CM32XOR %1,%1,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%2,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%2,%2
- NOP
- CM64RS %2,%2,%2
- NOP
- CM64SA %2,%2,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%2,%2
- NOP
- CM64SS %2,%2,%2
- NOP
- CM128RIA2 %2,%2,%2
- NOP
- CRC32 %1,%1,%1
- NOP
- CRC32B %1,%1,%1
- NOP
- CHKHDR %1,%1
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %1
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10test9.d b/gas/testsuite/gas/iq2000/q10test9.d
deleted file mode 100644
index 9a1f295..0000000
--- a/gas/testsuite/gas/iq2000/q10test9.d
+++ /dev/null
@@ -1,291 +0,0 @@
-#as: -m10
-#objdump: -drz
-#name: q10test9
-
-.*: +file format .*
-
-Disassembly of section .text:
-
-00000000 <_start>:
- 0: 00 21 08 20 add r1,r1,r1
- 4: 00 00 00 00 nop
- 8: 20 21 00 00 addi r1,r1,0x0
- c: 00 00 00 00 nop
- 10: 24 21 00 00 addiu r1,r1,0x0
- 14: 00 00 00 00 nop
- 18: 00 21 08 21 addu r1,r1,r1
- 1c: 00 00 00 00 nop
- 20: 00 21 08 29 ado16 r1,r1,r1
- 24: 00 00 00 00 nop
- 28: 00 21 08 24 and r1,r1,r1
- 2c: 00 00 00 00 nop
- 30: 30 21 00 00 andi r1,r1,0x0
- 34: 00 00 00 00 nop
- 38: b0 21 00 00 andoi r1,r1,0x0
- 3c: 00 00 00 00 nop
- 40: bc 21 00 00 andoui r1,r1,0x0
- 44: 00 00 00 00 nop
- 48: 3c 01 00 00 lui r1,0x0
- 4c: 00 00 00 00 nop
- 50: 00 21 08 6d mrgb r1,r1,r1,0x1
- 54: 00 00 00 00 nop
- 58: 00 21 08 27 nor r1,r1,r1
- 5c: 00 00 00 00 nop
- 60: 00 21 08 25 or r1,r1,r1
- 64: 00 00 00 00 nop
- 68: 34 21 00 00 ori r1,r1,0x0
- 6c: 00 00 00 00 nop
- 70: 3c 21 00 00 orui r1,r1,0x0
- 74: 00 00 00 00 nop
- 78: 00 01 08 00 sll r1,r1,0x0
- 7c: 00 00 00 00 nop
- 80: 00 21 08 04 sllv r1,r1,r1
- 84: 00 00 00 00 nop
- 88: 00 21 08 2a slt r1,r1,r1
- 8c: 00 00 00 00 nop
- 90: 28 21 00 00 slti r1,r1,0x0
- 94: 00 00 00 00 nop
- 98: 2c 21 00 00 sltiu r1,r1,0x0
- 9c: 00 00 00 00 nop
- a0: 00 21 08 2b sltu r1,r1,r1
- a4: 00 00 00 00 nop
- a8: 00 01 08 03 sra r1,r1,0x0
- ac: 00 00 00 00 nop
- b0: 00 21 08 07 srav r1,r1,r1
- b4: 00 00 00 00 nop
- b8: 00 01 08 02 srl r1,r1,0x0
- bc: 00 00 00 00 nop
- c0: 00 21 08 06 srlv r1,r1,r1
- c4: 00 00 00 00 nop
- c8: 00 21 08 22 sub r1,r1,r1
- cc: 00 00 00 00 nop
- d0: 00 21 08 23 subu r1,r1,r1
- d4: 00 00 00 00 nop
- d8: 00 21 08 26 xor r1,r1,r1
- dc: 00 00 00 00 nop
- e0: 38 21 00 00 xori r1,r1,0x0
- e4: 00 00 00 00 nop
- e8: 00 00 00 00 nop
- ec: 00 00 00 00 nop
- f0: 00 21 08 05 srmv r1,r1,r1,0x0
- f4: 00 00 00 00 nop
- f8: 00 21 08 01 slmv r1,r1,r1,0x0
- fc: 00 00 00 00 nop
- 100: 9c 21 08 01 ram r1,r1,0x0,0x1,0x1
- 104: 00 00 00 00 nop
- 108: 70 20 ff bd bbi r1\(0x0\),0 <_start>
- 10c: 00 00 00 00 nop
- 110: 78 20 ff bb bbin r1\(0x0\),0 <_start>
- 114: 00 00 00 00 nop
- 118: 74 21 ff b9 bbv r1,r1,0 <_start>
- 11c: 00 00 00 00 nop
- 120: 7c 21 ff b7 bbvn r1,r1,0 <_start>
- 124: 00 00 00 00 nop
- 128: f0 20 ff b5 bbil r1\(0x0\),0 <_start>
- 12c: 00 00 00 00 nop
- 130: f8 20 ff b3 bbinl r1\(0x0\),0 <_start>
- 134: 00 00 00 00 nop
- 138: f4 21 ff b1 bbvl r1,r1,0 <_start>
- 13c: 00 00 00 00 nop
- 140: fc 21 ff af bbvnl r1,r1,0 <_start>
- 144: 00 00 00 00 nop
- 148: 10 21 ff ad beq r1,r1,0 <_start>
- 14c: 00 00 00 00 nop
- 150: 50 21 ff ab beql r1,r1,0 <_start>
- 154: 00 00 00 00 nop
- 158: 04 21 ff a9 bgez r1,0 <_start>
- 15c: 00 00 00 00 nop
- 160: 04 35 ff a7 bgtzal r1,0 <_start>
- 164: 00 00 00 00 nop
- 168: 04 31 ff a5 bgezal r1,0 <_start>
- 16c: 00 00 00 00 nop
- 170: 04 37 ff a3 bgtzall r1,0 <_start>
- 174: 00 00 00 00 nop
- 178: 04 33 ff a1 bgezall r1,0 <_start>
- 17c: 00 00 00 00 nop
- 180: 04 23 ff 9f bgezl r1,0 <_start>
- 184: 00 00 00 00 nop
- 188: 04 27 ff 9d bgtzl r1,0 <_start>
- 18c: 00 00 00 00 nop
- 190: 04 25 ff 9b bgtz r1,0 <_start>
- 194: 00 00 00 00 nop
- 198: 04 24 ff 99 blez r1,0 <_start>
- 19c: 00 00 00 00 nop
- 1a0: 04 34 ff 97 blezal r1,0 <_start>
- 1a4: 00 00 00 00 nop
- 1a8: 04 20 ff 95 bltz r1,0 <_start>
- 1ac: 00 00 00 00 nop
- 1b0: 04 30 ff 93 bltzal r1,0 <_start>
- 1b4: 00 00 00 00 nop
- 1b8: 04 26 ff 91 blezl r1,0 <_start>
- 1bc: 00 00 00 00 nop
- 1c0: 04 22 ff 8f bltzl r1,0 <_start>
- 1c4: 00 00 00 00 nop
- 1c8: 04 36 ff 8d blezall r1,0 <_start>
- 1cc: 00 00 00 00 nop
- 1d0: 04 32 ff 8b bltzall r1,0 <_start>
- 1d4: 00 00 00 00 nop
- 1d8: 18 21 ff 89 bmb r1,r1,0 <_start>
- 1dc: 00 00 00 00 nop
- 1e0: 58 21 ff 87 bmbl r1,r1,0 <_start>
- 1e4: 00 00 00 00 nop
- 1e8: 60 21 ff 85 bmb0 r1,r1,0 <_start>
- 1ec: 00 00 00 00 nop
- 1f0: 64 21 ff 83 bmb1 r1,r1,0 <_start>
- 1f4: 00 00 00 00 nop
- 1f8: 68 21 ff 81 bmb2 r1,r1,0 <_start>
- 1fc: 00 00 00 00 nop
- 200: 6c 21 ff 7f bmb3 r1,r1,0 <_start>
- 204: 00 00 00 00 nop
- 208: 14 21 ff 7d bne r1,r1,0 <_start>
- 20c: 00 00 00 00 nop
- 210: 54 21 ff 7b bnel r1,r1,0 <_start>
- 214: 00 00 00 00 nop
- 218: 08 00 00 00 j 0 <_start>
- 21c: 00 00 00 00 nop
- 220: 0c 01 00 00 jal r1,0 <_start>
- 224: 00 00 00 00 nop
- 228: 00 20 08 09 jalr r1,r1
- 22c: 00 00 00 00 nop
- 230: 00 20 00 08 jr r1
- 234: 00 00 00 00 nop
- 238: 00 00 00 0d break
- 23c: 00 00 00 00 nop
- 240: 4c 21 00 02 ctc r1,r1
- 244: 00 00 00 00 nop
- 248: 4c 01 08 00 cfc r1,r1
- 24c: 00 00 00 00 nop
- 250: 8c 21 00 00 lw r1,0x0\(r1\)
- 254: 00 00 00 00 nop
- 258: 84 21 00 00 lh r1,0x0\(r1\)
- 25c: 00 00 00 00 nop
- 260: 80 21 00 00 lb r1,0x0\(r1\)
- 264: 00 00 00 00 nop
- 268: 94 21 00 00 lhu r1,0x0\(r1\)
- 26c: 00 00 00 00 nop
- 270: 90 21 00 00 lbu r1,0x0\(r1\)
- 274: 00 00 00 00 nop
- 278: a0 21 00 00 sb r1,0x0\(r1\)
- 27c: 00 00 00 00 nop
- 280: a4 21 00 00 sh r1,0x0\(r1\)
- 284: 00 00 00 00 nop
- 288: ac 21 00 00 sw r1,0x0\(r1\)
- 28c: 00 00 00 00 nop
- 290: 4c 21 08 08 rba r1,r1,r1
- 294: 00 00 00 00 nop
- 298: 4c 21 08 0a rbar r1,r1,r1
- 29c: 00 00 00 00 nop
- 2a0: 4c 21 08 09 rbal r1,r1,r1
- 2a4: 00 00 00 00 nop
- 2a8: 4c 21 08 10 wba r1,r1,r1
- 2ac: 00 00 00 00 nop
- 2b0: 4c 21 08 12 wbac r1,r1,r1
- 2b4: 00 00 00 00 nop
- 2b8: 4c 21 08 11 wbau r1,r1,r1
- 2bc: 00 00 00 00 nop
- 2c0: 4c 21 0a 08 rbi r1,r1,r1,0x8
- 2c4: 00 00 00 00 nop
- 2c8: 4c 21 09 08 rbir r1,r1,r1,0x8
- 2cc: 00 00 00 00 nop
- 2d0: 4c 21 0b 08 rbil r1,r1,r1,0x8
- 2d4: 00 00 00 00 nop
- 2d8: 4c 21 0e 08 wbi r1,r1,r1,0x8
- 2dc: 00 00 00 00 nop
- 2e0: 4c 21 0d 08 wbic r1,r1,r1,0x8
- 2e4: 00 00 00 00 nop
- 2e8: 4c 21 0f 08 wbiu r1,r1,r1,0x8
- 2ec: 00 00 00 00 nop
- 2f0: 4c 21 08 28 pkrla r1,r1,r1
- 2f4: 00 00 00 00 nop
- 2f8: 4c 21 08 2a pkrlah r1,r1,r1
- 2fc: 00 00 00 00 nop
- 300: 4c 21 08 29 pkrlau r1,r1,r1
- 304: 00 00 00 00 nop
- 308: 48 21 08 08 pkrli r1,r1,r1,0x8
- 30c: 00 00 00 00 nop
- 310: 48 21 0a 08 pkrlih r1,r1,r1,0x8
- 314: 00 00 00 00 nop
- 318: 48 21 09 08 pkrliu r1,r1,r1,0x8
- 31c: 00 00 00 00 nop
- 320: 4c 01 08 01 lock r1,r1
- 324: 00 00 00 00 nop
- 328: 4c 01 08 03 unlk r1,r1
- 32c: 00 00 00 00 nop
- 330: 4c 21 08 06 swwr r1,r1,r1
- 334: 00 00 00 00 nop
- 338: 4c 21 08 07 swwru r1,r1,r1
- 33c: 00 00 00 00 nop
- 340: 4c 01 08 04 swrd r1,r1
- 344: 00 00 00 00 nop
- 348: 4c 01 08 05 swrdl r1,r1
- 34c: 00 00 00 00 nop
- 350: 4c 02 10 0c dwrd r2,r2
- 354: 00 00 00 00 nop
- 358: 4c 02 10 0d dwrdl r2,r2
- 35c: 00 00 00 00 nop
- 360: 4c 21 08 ab cm32and r1,r1,r1
- 364: 00 00 00 00 nop
- 368: 4c 21 08 a3 cm32andn r1,r1,r1
- 36c: 00 00 00 00 nop
- 370: 4c 21 08 aa cm32or r1,r1,r1
- 374: 00 00 00 00 nop
- 378: 4c 21 08 b0 cm32ra r1,r1,r1
- 37c: 00 00 00 00 nop
- 380: 4c 01 08 a1 cm32rd r1,r1
- 384: 00 00 00 00 nop
- 388: 4c 01 08 a4 cm32ri r1,r1
- 38c: 00 00 00 00 nop
- 390: 4c 21 08 a0 cm32rs r1,r1,r1
- 394: 00 00 00 00 nop
- 398: 4c 21 08 b8 cm32sa r1,r1,r1
- 39c: 00 00 00 00 nop
- 3a0: 4c 01 08 a9 cm32sd r1,r1
- 3a4: 00 00 00 00 nop
- 3a8: 4c 01 08 ac cm32si r1,r1
- 3ac: 00 00 00 00 nop
- 3b0: 4c 21 08 a8 cm32ss r1,r1,r1
- 3b4: 00 00 00 00 nop
- 3b8: 4c 21 08 a2 cm32xor r1,r1,r1
- 3bc: 00 00 00 00 nop
- 3c0: 4c 02 10 85 cm64clr r2,r2
- 3c4: 00 00 00 00 nop
- 3c8: 4c 42 10 90 cm64ra r2,r2,r2
- 3cc: 00 00 00 00 nop
- 3d0: 4c 02 10 81 cm64rd r2,r2
- 3d4: 00 00 00 00 nop
- 3d8: 4c 02 10 84 cm64ri r2,r2
- 3dc: 00 00 00 00 nop
- 3e0: 4c 42 10 94 cm64ria2 r2,r2,r2
- 3e4: 00 00 00 00 nop
- 3e8: 4c 42 10 80 cm64rs r2,r2,r2
- 3ec: 00 00 00 00 nop
- 3f0: 4c 42 10 98 cm64sa r2,r2,r2
- 3f4: 00 00 00 00 nop
- 3f8: 4c 02 10 89 cm64sd r2,r2
- 3fc: 00 00 00 00 nop
- 400: 4c 02 10 8c cm64si r2,r2
- 404: 00 00 00 00 nop
- 408: 4c 42 10 9c cm64sia2 r2,r2,r2
- 40c: 00 00 00 00 nop
- 410: 4c 42 10 88 cm64ss r2,r2,r2
- 414: 00 00 00 00 nop
- 418: 4c 42 10 14 crc32 r2,r2,r2
- 41c: 00 00 00 00 nop
- 420: 4c 42 10 15 crc32b r2,r2,r2
- 424: 00 00 00 00 nop
- 428: 4c 40 10 26 chkhdr r2,r2
- 42c: 00 00 00 00 nop
- 430: 4c 00 08 24 avail r1
- 434: 00 00 00 00 nop
- 438: 4c 20 08 25 free r1,r1
- 43c: 00 00 00 00 nop
- 440: 4c 00 08 2c cmphdr r1
- 444: 00 00 00 00 nop
- 448: 4c 01 08 20 mcid r1,r1
- 44c: 00 00 00 00 nop
- 450: 4c 00 08 22 dba r1
- 454: 00 00 00 00 nop
- 458: 4c 01 08 21 dbd r1,r0,r1
- 45c: 00 00 00 00 nop
- 460: 4c 20 08 23 dpwt r1,r1
- 464: 00 00 00 00 nop
diff --git a/gas/testsuite/gas/iq2000/q10test9.s b/gas/testsuite/gas/iq2000/q10test9.s
deleted file mode 100644
index 0481743..0000000
--- a/gas/testsuite/gas/iq2000/q10test9.s
+++ /dev/null
@@ -1,284 +0,0 @@
-.global _start
-_start:
- ADD %1,%1,%1
- NOP
- ADDI %1,%1,0
- NOP
- ADDIU %1,%1,0
- NOP
- ADDU %1,%1,%1
- NOP
- ADO16 %1,%1,%1
- NOP
- AND %1,%1,%1
- NOP
- ANDI %1,%1,0
- NOP
- ANDOI %1,%1,0
- NOP
- ANDOUI %1,%1,0
- NOP
- LUI %1,0
- NOP
- MRGB %1,%1,%1,1
- NOP
- NOR %1,%1,%1
- NOP
- OR %1,%1,%1
- NOP
- ORI %1,%1,0
- NOP
- ORUI %1,%1,0
- NOP
- SLL %1,%1,0
- NOP
- SLLV %1,%1,%1
- NOP
- SLT %1,%1,%1
- NOP
- SLTI %1,%1,0
- NOP
- SLTIU %1,%1,0
- NOP
- SLTU %1,%1,%1
- NOP
- SRA %1,%1,0
- NOP
- SRAV %1,%1,%1
- NOP
- SRL %1,%1,0
- NOP
- SRLV %1,%1,%1
- NOP
- SUB %1,%1,%1
- NOP
- SUBU %1,%1,%1
- NOP
- XOR %1,%1,%1
- NOP
- XORI %1,%1,0
- NOP
- NOP
- NOP
- SRMV %1,%1,%1,0
- NOP
- SLMV %1,%1,%1,0
- NOP
- RAM %1,%1,0,1,1
- NOP
- BBI %1(0),_start
- NOP
- BBIN %1(0),_start
- NOP
- BBV %1,%1,_start
- NOP
- BBVN %1,%1,_start
- NOP
- BBIL %1(0),_start
- NOP
- BBINL %1(0),_start
- NOP
- BBVL %1,%1,_start
- NOP
- BBVNL %1,%1,_start
- NOP
- BEQ %1,%1,_start
- NOP
- BEQL %1,%1,_start
- NOP
- BGEZ %1,_start
- NOP
- BGTZAL %1,_start
- NOP
- BGEZAL %1,_start
- NOP
- BGTZALL %1,_start
- NOP
- BGEZALL %1,_start
- NOP
- BGEZL %1,_start
- NOP
- BGTZL %1,_start
- NOP
- BGTZ %1,_start
- NOP
- BLEZ %1,_start
- NOP
- BLEZAL %1,_start
- NOP
- BLTZ %1,_start
- NOP
- BLTZAL %1,_start
- NOP
- BLEZL %1,_start
- NOP
- BLTZL %1,_start
- NOP
- BLEZALL %1,_start
- NOP
- BLTZALL %1,_start
- NOP
- BMB %1,%1,_start
- NOP
- BMBL %1,%1,_start
- NOP
- BMB0 %1,%1,_start
- NOP
- BMB1 %1,%1,_start
- NOP
- BMB2 %1,%1,_start
- NOP
- BMB3 %1,%1,_start
- NOP
- BNE %1,%1,_start
- NOP
- BNEL %1,%1,_start
- NOP
- J 0
- NOP
- JAL %1,0
- NOP
- JALR %1,%1
- NOP
- JR %1
- NOP
- BREAK
- NOP
- CTC %1,%1
- NOP
- CFC %1,%1
- NOP
- LW %1,0(%1)
- NOP
- LH %1,0(%1)
- NOP
- LB %1,0(%1)
- NOP
- LHU %1,0(%1)
- NOP
- LBU %1,0(%1)
- NOP
- SB %1,0(%1)
- NOP
- SH %1,0(%1)
- NOP
- SW %1,0(%1)
- NOP
- RBA %1,%1,%1
- NOP
- RBAR %1,%1,%1
- NOP
- RBAL %1,%1,%1
- NOP
- WBA %1,%1,%1
- NOP
- WBAC %1,%1,%1
- NOP
- WBAU %1,%1,%1
- NOP
- RBI %1,%1,%1,8
- NOP
- RBIR %1,%1,%1,8
- NOP
- RBIL %1,%1,%1,8
- NOP
- WBI %1,%1,%1,8
- NOP
- WBIC %1,%1,%1,8
- NOP
- WBIU %1,%1,%1,8
- NOP
- PKRLA %1,%1,%1
- NOP
- PKRLAH %1,%1,%1
- NOP
- PKRLAU %1,%1,%1
- NOP
- PKRLI %1,%1,%1,8
- NOP
- PKRLIH %1,%1,%1,8
- NOP
- PKRLIU %1,%1,%1,8
- NOP
- LOCK %1,%1
- NOP
- UNLK %1,%1
- NOP
- SWWR %1,%1,%1
- NOP
- SWWRU %1,%1,%1
- NOP
- SWRD %1,%1
- NOP
- SWRDL %1,%1
- NOP
- DWRD %2,%2
- NOP
- DWRDL %2,%2
- NOP
- CM32AND %1,%1,%1
- NOP
- CM32ANDN %1,%1,%1
- NOP
- CM32OR %1,%1,%1
- NOP
- CM32RA %1,%1,%1
- NOP
- CM32RD %1,%1
- NOP
- CM32RI %1,%1
- NOP
- CM32RS %1,%1,%1
- NOP
- CM32SA %1,%1,%1
- NOP
- CM32SD %1,%1
- NOP
- CM32SI %1,%1
- NOP
- CM32SS %1,%1,%1
- NOP
- CM32XOR %1,%1,%1
- NOP
- CM64CLR %2,%2
- NOP
- CM64RA %2,%2,%2
- NOP
- CM64RD %2,%2
- NOP
- CM64RI %2,%2
- NOP
- CM64RIA2 %2,%2,%2
- NOP
- CM64RS %2,%2,%2
- NOP
- CM64SA %2,%2,%2
- NOP
- CM64SD %2,%2
- NOP
- CM64SI %2,%2
- NOP
- CM64SIA2 %2,%2,%2
- NOP
- CM64SS %2,%2,%2
- NOP
- CRC32 %2,%2,%2
- NOP
- CRC32B %2,%2,%2
- NOP
- CHKHDR %2,%2
- NOP
- AVAIL %1
- NOP
- FREE %1,%1
- NOP
- CMPHDR %1
- NOP
- MCID %1,%1
- NOP
- DBA %1
- NOP
- DBD %1,%1
- NOP
- DPWT %1,%1
- NOP
diff --git a/gas/testsuite/gas/iq2000/q10yield.exp b/gas/testsuite/gas/iq2000/q10yield.exp
deleted file mode 100644
index 9e9d9dc..0000000
--- a/gas/testsuite/gas/iq2000/q10yield.exp
+++ /dev/null
@@ -1,39 +0,0 @@
-# Test for warnings when placing yield instructions into IQ2000's
-# branch delay slot. Written by Ben Elliston (bje@redhat.com)
-
-# Run GAS and check that it emits the desired warning for the test case.
-# Arguments:
-# file -- name of the test case to assemble.
-# testname -- a string describing the test.
-# warnpattern -- a regular expression, suitable for use by the Tcl
-# regexp command, to decide if the warning string was emitted by
-# the assembler to stderr.
-
-proc iq2000_warning_test { file testname {warnpattern ""} } {
- global comp_output
-
- gas_run $file "-m10" ">/dev/null"
- verbose "output was $comp_output" 2
-
- if {$warnpattern == ""} {
- if {$comp_output == ""} { pass $testname } else { fail $testname }
- return
- }
-
- if {[regexp "Warning: $warnpattern" $comp_output]} {
- pass $testname
- } else {
- fail $testname
- }
-}
-
-if [istarget iq2000*-*-*] {
- foreach file [glob -nocomplain -- $srcdir/$subdir/q10yield*.s] {
- set file [file tail $file]
- iq2000_warning_test $file \
- "assembler emits yield instruction in delay slot warning for $file" \
- "instruction \[a-zA-Z0-9\]+ may not follow a branch/jump"
- }
- set testname "assembler emits no warnings for non-yield instruction in delay slot"
- iq2000_warning_test q10noyield.s $testname
-}
diff --git a/gas/testsuite/gas/iq2000/test.exp b/gas/testsuite/gas/iq2000/test.exp
deleted file mode 100644
index 3f1553c..0000000
--- a/gas/testsuite/gas/iq2000/test.exp
+++ /dev/null
@@ -1,17 +0,0 @@
-# IQ2000 assembler testsuite.
-
-if [istarget iq2000*-*-*] {
- run_dump_test "q10test0"
- run_dump_test "q10test1"
- run_dump_test "q10test2"
- run_dump_test "q10test3"
- run_dump_test "q10test4"
- run_dump_test "q10test5"
- run_dump_test "q10test6"
- run_dump_test "q10test7"
- run_dump_test "q10test8"
- run_dump_test "q10test9"
- run_dump_test "q10test10"
- run_dump_test "q10test11"
- run_dump_test "q10test12"
-}