aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/ukaddh.h
blob: 30c970a3fd041232ae47736ac273c1069327719f (plain)
1
2
3
4
5
require_vector_vs;
require_extension(EXT_ZPN);
sreg_t res = (sreg_t)P_H(RS1, 0) + (sreg_t)P_H(RS2, 0);
P_SATU(res, 16);
WRITE_RD(sext_xlen((int16_t)res));