aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/ksubh.h
blob: 2455c16120640dab2fdcd2651eaae77290d81b69 (plain)
1
2
3
4
5
require_vector_vs;
require_extension(EXT_ZPN);
sreg_t res = (sreg_t)P_SH(RS1, 0) - (sreg_t)P_SH(RS2, 0);
P_SAT(res, 16);
WRITE_RD(sext_xlen((int16_t)res));