aboutsummaryrefslogtreecommitdiff
path: root/riscv/insns/flw.h
blob: 335fd7d23e3e4b118403e8a83055d1a86b3d3f1b (plain)
1
2
require_fp;
FRD = mmu.load_int32(RS1+SIMM);