From cd93d625fd751d55c729c78b10f82109d56a5f1d Mon Sep 17 00:00:00 2001 From: Simon Glass Date: Sun, 10 May 2020 11:40:13 -0600 Subject: common: Drop linux/bitops.h from common header Move this uncommon header out of the common header. Signed-off-by: Simon Glass --- arch/arc/include/asm/arcregs.h | 2 ++ arch/arc/lib/cache.c | 1 + arch/arc/lib/cpu.c | 1 + arch/arm/cpu/armv7/mpu_v7r.c | 1 + arch/arm/cpu/armv7m/cache.c | 1 + arch/arm/cpu/armv7m/systick-timer.c | 1 + arch/arm/cpu/armv8/fsl-layerscape/fsl_lsch3_serdes.c | 1 + arch/arm/cpu/armv8/generic_timer.c | 1 + arch/arm/cpu/armv8/hisilicon/pinmux.c | 1 + arch/arm/cpu/armv8/s32v234/cpu.c | 1 + arch/arm/include/asm/arch-am33xx/cpu.h | 1 + arch/arm/include/asm/arch-am33xx/hardware_am43xx.h | 4 ++++ arch/arm/include/asm/arch-fsl-layerscape/config.h | 4 ++++ arch/arm/include/asm/arch-fsl-layerscape/immap_lsch2.h | 3 +++ arch/arm/include/asm/arch-hi3660/hi3660.h | 4 ++++ arch/arm/include/asm/arch-imx8/iomux.h | 4 ++++ arch/arm/include/asm/arch-imx8m/clock_imx8mm.h | 4 ++++ arch/arm/include/asm/arch-imx8m/clock_imx8mq.h | 4 ++++ arch/arm/include/asm/arch-meson/axg.h | 4 ++++ arch/arm/include/asm/arch-meson/g12a.h | 4 ++++ arch/arm/include/asm/arch-meson/gx.h | 4 ++++ arch/arm/include/asm/arch-meson/sd_emmc.h | 4 ++++ arch/arm/include/asm/arch-mx6/imx-regs.h | 1 + arch/arm/include/asm/arch-mx7/imx-regs.h | 1 + arch/arm/include/asm/arch-mx7/mx7-ddr.h | 4 ++++ arch/arm/include/asm/arch-rockchip/cru.h | 4 ++++ arch/arm/include/asm/arch-rockchip/cru_rk3128.h | 4 ++++ arch/arm/include/asm/arch-rockchip/cru_rk3368.h | 4 ++++ arch/arm/include/asm/arch-rockchip/cru_rv1108.h | 4 ++++ arch/arm/include/asm/arch-rockchip/ddr_rk3368.h | 4 ++++ arch/arm/include/asm/arch-rockchip/grf_rk3368.h | 4 ++++ arch/arm/include/asm/arch-rockchip/lvds_rk3288.h | 4 ++++ arch/arm/include/asm/arch-rockchip/sdram_pctl_px30.h | 4 ++++ arch/arm/include/asm/arch-rockchip/sdram_phy_px30.h | 4 ++++ arch/arm/include/asm/arch-rockchip/sdram_rk322x.h | 4 ++++ arch/arm/include/asm/arch-rockchip/sdram_rk3399.h | 3 +++ arch/arm/include/asm/arch-stih410/sdhci.h | 4 ++++ arch/arm/include/asm/arch-stm32f4/stm32_pwr.h | 5 +++++ arch/arm/include/asm/arch-stm32f7/stm32_pwr.h | 5 +++++ arch/arm/include/asm/arch-stm32f7/syscfg.h | 4 ++++ arch/arm/include/asm/arch-sunxi/ccu.h | 4 ++++ arch/arm/include/asm/arch-sunxi/clock_sun50i_h6.h | 4 ++++ arch/arm/include/asm/arch-sunxi/clock_sun9i.h | 4 ++++ arch/arm/include/asm/arch-sunxi/dram_sun50i_h6.h | 3 +++ arch/arm/include/asm/arch-sunxi/dram_sun6i.h | 4 ++++ arch/arm/include/asm/arch-sunxi/dram_sun8i_a33.h | 4 ++++ arch/arm/include/asm/arch-sunxi/dram_sun8i_a83t.h | 4 ++++ arch/arm/include/asm/arch-sunxi/dram_sun9i.h | 4 ++++ arch/arm/include/asm/arch-sunxi/pwm.h | 4 ++++ arch/arm/include/asm/arch-tegra/dc.h | 4 ++++ arch/arm/include/asm/arch-tegra/pmc.h | 4 ++++ arch/arm/include/asm/arch-tegra124/flow.h | 4 ++++ arch/arm/include/asm/arch-vf610/imx-regs.h | 1 + arch/arm/include/asm/armv7_mpu.h | 4 ++++ arch/arm/include/asm/bitops.h | 10 ++++++---- arch/arm/include/asm/gic-v3.h | 4 ++++ arch/arm/include/asm/omap_mmc.h | 3 +++ arch/arm/include/asm/psci.h | 1 + arch/arm/include/asm/ti-common/keystone_net.h | 3 +++ arch/arm/include/asm/ti-common/omap_wdt.h | 4 ++++ arch/arm/include/asm/ti-common/ti-edma3.h | 3 +++ arch/arm/lib/gic-v3-its.c | 1 + arch/arm/lib/image.c | 1 + arch/arm/mach-at91/include/mach/at91_pmc.h | 1 + arch/arm/mach-at91/include/mach/at91_sfr.h | 1 + arch/arm/mach-at91/include/mach/atmel_pio4.h | 1 + arch/arm/mach-exynos/include/mach/clock.h | 1 + arch/arm/mach-imx/imx8m/clock_imx8mm.c | 1 + arch/arm/mach-imx/imx8m/soc.c | 1 + arch/arm/mach-imx/imxrt/soc.c | 1 + arch/arm/mach-imx/mx7ulp/soc.c | 1 + arch/arm/mach-k3/include/mach/am6_hardware.h | 3 +++ arch/arm/mach-k3/include/mach/j721e_hardware.h | 3 +++ arch/arm/mach-k3/include/mach/j721e_spl.h | 1 + arch/arm/mach-keystone/clock.c | 1 + arch/arm/mach-keystone/include/mach/clock_defs.h | 3 +++ arch/arm/mach-keystone/include/mach/hardware-k2hk.h | 4 ++++ arch/arm/mach-keystone/include/mach/hardware-k2l.h | 4 ++++ arch/arm/mach-keystone/include/mach/hardware.h | 1 + arch/arm/mach-keystone/include/mach/xhci-keystone.h | 4 ++++ arch/arm/mach-keystone/init.c | 1 + arch/arm/mach-mediatek/mt7629/init.c | 1 + arch/arm/mach-meson/board-info.c | 1 + arch/arm/mach-meson/sm.c | 1 + arch/arm/mach-mvebu/armada3700/cpu.c | 1 + arch/arm/mach-mvebu/cpu.c | 1 + arch/arm/mach-mvebu/efuse.c | 1 + arch/arm/mach-mvebu/include/mach/soc.h | 4 ++++ arch/arm/mach-mvebu/mbus.c | 1 + arch/arm/mach-mvebu/serdes/a38x/ctrl_pex.c | 1 + arch/arm/mach-mvebu/timer.c | 1 + arch/arm/mach-omap2/abb.c | 1 + arch/arm/mach-omap2/am33xx/clock_ti816x.c | 1 + arch/arm/mach-omap2/omap5/abb.c | 1 + arch/arm/mach-omap2/pipe3-phy.c | 1 + arch/arm/mach-rmobile/include/mach/rcar-gen3-base.h | 1 + arch/arm/mach-rmobile/include/mach/sh_sdhi.h | 1 + arch/arm/mach-rockchip/rk3308/rk3308.c | 1 + arch/arm/mach-rockchip/rk3368/rk3368.c | 1 + arch/arm/mach-rockchip/rk3399/rk3399.c | 1 + arch/arm/mach-rockchip/spl.c | 1 + arch/arm/mach-rockchip/tpl.c | 1 + arch/arm/mach-snapdragon/pinctrl-snapdragon.c | 1 + arch/arm/mach-socfpga/include/mach/clock_manager_arria10.h | 3 +++ arch/arm/mach-socfpga/include/mach/clock_manager_gen5.h | 3 +++ arch/arm/mach-socfpga/include/mach/clock_manager_s10.h | 1 + arch/arm/mach-socfpga/include/mach/firewall.h | 2 ++ arch/arm/mach-socfpga/include/mach/fpga_manager_arria10.h | 1 + arch/arm/mach-socfpga/include/mach/fpga_manager_gen5.h | 1 + arch/arm/mach-socfpga/include/mach/mailbox_s10.h | 1 + arch/arm/mach-socfpga/include/mach/reset_manager_arria10.h | 1 + arch/arm/mach-socfpga/include/mach/sdram_arria10.h | 1 + arch/arm/mach-socfpga/include/mach/system_manager.h | 1 + arch/arm/mach-socfpga/include/mach/system_manager_soc64.h | 1 + arch/arm/mach-socfpga/misc_gen5.c | 1 + arch/arm/mach-socfpga/reset_manager_gen5.c | 1 + arch/arm/mach-socfpga/spl_gen5.c | 1 + arch/arm/mach-stm32mp/cpu.c | 1 + arch/arm/mach-stm32mp/include/mach/stm32.h | 4 ++++ arch/arm/mach-stm32mp/psci.c | 1 + arch/arm/mach-stm32mp/pwr_regulator.c | 1 + arch/arm/mach-sunxi/clock_sun6i.c | 1 + arch/arm/mach-sunxi/spl_spi_sunxi.c | 1 + arch/arm/mach-tegra/tegra210/clock.c | 1 + arch/arm/mach-uniphier/boot-device/boot-device-pxs3.c | 1 + arch/arm/mach-uniphier/boot-device/boot-device.c | 1 + arch/arm/mach-uniphier/dram/ddrphy-regs.h | 1 + arch/arm/mach-uniphier/dram/umc-pxs2.c | 1 + arch/arm/mach-uniphier/init.h | 1 + arch/arm/mach-versal/include/mach/hardware.h | 4 ++++ arch/arm/mach-zynqmp/include/mach/hardware.h | 4 ++++ arch/mips/include/asm/cm.h | 1 + arch/mips/include/asm/mipsregs.h | 1 + arch/mips/lib/reloc.c | 1 + arch/mips/mach-ath79/ar933x/ddr.c | 1 + arch/mips/mach-ath79/ar934x/clk.c | 1 + arch/mips/mach-ath79/ar934x/ddr.c | 1 + arch/mips/mach-ath79/qca953x/ddr.c | 1 + arch/mips/mach-ath79/reset.c | 1 + arch/mips/mach-jz47xx/include/mach/jz4780_dram.h | 1 + arch/mips/mach-jz47xx/jz4780/gpio.c | 1 + arch/mips/mach-jz47xx/jz4780/pll.c | 1 + arch/mips/mach-jz47xx/jz4780/reset.c | 1 + arch/mips/mach-jz47xx/jz4780/sdram.c | 1 + arch/mips/mach-jz47xx/jz4780/timer.c | 1 + arch/mips/mach-mscc/cpu.c | 1 + arch/mips/mach-mscc/gpio.c | 1 + arch/mips/mach-mscc/include/mach/ddr.h | 1 + arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb.h | 2 ++ .../mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb_miim_regs.h | 2 ++ arch/mips/mach-mscc/include/mach/jr2/jr2_icpu_cfg.h | 2 ++ arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb.h | 1 + .../mach-mscc/include/mach/luton/luton_devcpu_gcb_miim_regs.h | 1 + arch/mips/mach-mscc/include/mach/luton/luton_icpu_cfg.h | 1 + arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb.h | 1 + .../include/mach/ocelot/ocelot_devcpu_gcb_miim_regs.h | 1 + arch/mips/mach-mscc/include/mach/ocelot/ocelot_icpu_cfg.h | 1 + arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb.h | 1 + .../include/mach/serval/serval_devcpu_gcb_miim_regs.h | 1 + arch/mips/mach-mscc/include/mach/serval/serval_icpu_cfg.h | 1 + arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb.h | 1 + .../include/mach/servalt/servalt_devcpu_gcb_miim_regs.h | 1 + arch/mips/mach-mscc/include/mach/servalt/servalt_icpu_cfg.h | 1 + arch/mips/mach-mscc/include/mach/tlb.h | 1 + arch/mips/mach-mtmips/cpu.c | 1 + arch/nios2/cpu/cpu.c | 1 + arch/powerpc/cpu/mpc83xx/pci.c | 1 + arch/powerpc/cpu/mpc83xx/spd_sdram.c | 1 + arch/powerpc/cpu/mpc85xx/pci.c | 1 + arch/powerpc/cpu/mpc85xx/tlb.c | 1 + arch/powerpc/cpu/mpc8xxx/fsl_pamu.c | 1 + arch/powerpc/cpu/mpc8xxx/law.c | 1 + arch/powerpc/include/asm/mmu.h | 1 + arch/powerpc/include/asm/u-boot.h | 2 ++ arch/riscv/cpu/cpu.c | 1 + arch/riscv/include/asm/encoding.h | 3 +++ arch/sh/lib/time.c | 1 + arch/x86/cpu/apollolake/fsp_s.c | 1 + arch/x86/cpu/apollolake/pmc.c | 1 + arch/x86/cpu/baytrail/valleyview.c | 1 + arch/x86/cpu/intel_common/lpc.c | 1 + arch/x86/cpu/intel_common/p2sb.c | 1 + arch/x86/cpu/ivybridge/bd82x6x.c | 1 + arch/x86/include/asm/arch-apollolake/lpc.h | 1 + arch/x86/include/asm/arch-apollolake/systemagent.h | 1 + arch/x86/include/asm/arch-broadwell/adsp.h | 1 + arch/x86/include/asm/arch-broadwell/serialio.h | 1 + arch/x86/include/asm/atomic.h | 1 + arch/x86/include/asm/fast_spi.h | 1 + arch/x86/include/asm/intel_pinctrl.h | 1 + arch/x86/include/asm/msr-index.h | 4 ++++ arch/x86/lib/scu.c | 1 + board/CZ.NIC/turris_mox/mox_sp.c | 1 + board/CZ.NIC/turris_omnia/turris_omnia.c | 1 + board/Marvell/db-88f6281-bp/db-88f6281-bp.c | 1 + board/Marvell/db-88f6720/db-88f6720.c | 1 + board/Marvell/db-88f6820-amc/db-88f6820-amc.c | 1 + board/Marvell/db-88f6820-gp/db-88f6820-gp.c | 1 + board/Marvell/db-mv784mp-gp/db-mv784mp-gp.c | 1 + board/Marvell/db-xc3-24g4xg/db-xc3-24g4xg.c | 1 + board/Synology/ds414/ds414.c | 1 + board/alliedtelesis/SBx81LIFKW/sbx81lifkw.c | 1 + board/alliedtelesis/SBx81LIFXCAT/sbx81lifxcat.c | 1 + board/alliedtelesis/x530/x530.c | 1 + board/cortina/presidio-asic/presidio.c | 1 + board/dhelectronics/dh_stm32mp1/board.c | 1 + board/firefly/firefly-rk3308/roc_cc_rk3308.c | 1 + board/freescale/imx8mq_evk/imx8mq_evk.c | 1 + board/freescale/lx2160a/lx2160a.c | 1 + board/freescale/mpc8349emds/mpc8349emds.c | 1 + board/freescale/mpc8349itx/mpc8349itx.c | 1 + board/freescale/mpc837xemds/mpc837xemds.c | 1 + board/freescale/mpc837xerdb/mpc837xerdb.c | 1 + board/gdsys/a38x/ihs_phys.c | 1 + board/gdsys/common/cmd_ioloop.c | 1 + board/gdsys/common/ioep-fpga.c | 2 ++ board/gdsys/mpc8308/hrcon.c | 1 + board/gdsys/mpc8308/strider.c | 1 + board/hisilicon/poplar/poplar.c | 1 + board/ids/ids8313/ids8313.c | 1 + board/imgtec/ci20/ci20.c | 1 + board/keymile/common/qrio.c | 1 + board/menlo/m53menlo/m53menlo.c | 1 + board/mikrotik/crs305-1g-4s/crs305-1g-4s.c | 1 + board/mscc/common/spi.c | 1 + board/mscc/jr2/jr2.c | 1 + board/mscc/ocelot/ocelot.c | 1 + board/netgear/dgnd3700v2/dgnd3700v2.c | 1 + board/nvidia/p2371-2180/p2371-2180.c | 1 + board/nvidia/p3450-0000/p3450-0000.c | 1 + board/phytec/pcm052/pcm052.c | 1 + board/phytec/phycore_rk3288/phycore-rk3288.c | 1 + board/renesas/alt/alt.c | 1 + board/renesas/alt/alt_spl.c | 1 + board/renesas/blanche/blanche.c | 1 + board/renesas/draak/draak.c | 1 + board/renesas/gose/gose.c | 1 + board/renesas/gose/gose_spl.c | 1 + board/renesas/koelsch/koelsch.c | 1 + board/renesas/koelsch/koelsch_spl.c | 1 + board/renesas/lager/lager.c | 1 + board/renesas/lager/lager_spl.c | 1 + board/renesas/porter/porter.c | 1 + board/renesas/porter/porter_spl.c | 1 + board/renesas/rcar-common/gen3-spl.c | 1 + board/renesas/salvator-x/salvator-x.c | 1 + board/renesas/silk/silk.c | 1 + board/renesas/silk/silk_spl.c | 1 + board/renesas/stout/stout.c | 1 + board/renesas/stout/stout_spl.c | 1 + board/renesas/ulcb/ulcb.c | 1 + board/sbc8349/sbc8349.c | 1 + board/seeed/linkit-smart-7688/board.c | 1 + board/softing/vining_2000/vining_2000.c | 1 + board/solidrun/clearfog/clearfog.c | 1 + board/st/stm32mp1/board.c | 1 + board/st/stm32mp1/stm32mp1.c | 1 + board/synopsys/emsdp/emsdp.c | 1 + board/synopsys/hsdk/clk-lib.h | 1 + board/synopsys/hsdk/hsdk.c | 1 + board/synopsys/iot_devkit/iot_devkit.c | 1 + board/ti/am335x/board.c | 1 + board/ti/common/board_detect.h | 1 + board/ti/ks2_evm/board_k2g.c | 1 + board/toradex/apalis_imx6/apalis_imx6.c | 1 + board/toradex/colibri_imx6/colibri_imx6.c | 1 + board/tplink/wdr4300/wdr4300.c | 1 + board/xilinx/zynq/cmds.c | 1 + board/xilinx/zynqmp/zynqmp.c | 1 + cmd/mem.c | 1 + cmd/nvedit.c | 1 + common/image-cipher.c | 2 +- drivers/adc/meson-saradc.c | 1 + drivers/adc/rockchip-saradc.c | 1 + drivers/adc/stm32-adc-core.c | 1 + drivers/adc/stm32-adc.c | 1 + drivers/ata/ahci.c | 1 + drivers/ata/sata_mv.c | 1 + drivers/axi/ihs_axi.c | 1 + drivers/cache/cache-v5l2.c | 1 + drivers/clk/altera/clk-agilex.c | 1 + drivers/clk/altera/clk-agilex.h | 4 ++++ drivers/clk/altera/clk-arria10.c | 1 + drivers/clk/at91/clk-system.c | 1 + drivers/clk/clk-cdce9xx.c | 1 + drivers/clk/clk-gate.c | 1 + drivers/clk/clk-hsdk-cgu.c | 1 + drivers/clk/clk-mux.c | 1 + drivers/clk/clk_bcm6345.c | 1 + drivers/clk/clk_boston.c | 1 + drivers/clk/clk_pic32.c | 1 + drivers/clk/clk_sandbox_ccf.c | 1 + drivers/clk/clk_stm32f.c | 1 + drivers/clk/clk_stm32h7.c | 1 + drivers/clk/clk_stm32mp1.c | 1 + drivers/clk/clk_vexpress_osc.c | 1 + drivers/clk/imx/clk-pll14xx.c | 1 + drivers/clk/mediatek/clk-mt7622.c | 1 + drivers/clk/mediatek/clk-mt7623.c | 1 + drivers/clk/mediatek/clk-mt7629.c | 1 + drivers/clk/mediatek/clk-mt8512.c | 1 + drivers/clk/mediatek/clk-mt8516.c | 1 + drivers/clk/mediatek/clk-mt8518.c | 1 + drivers/clk/mediatek/clk-mtk.c | 1 + drivers/clk/mediatek/clk-mtk.h | 1 + drivers/clk/meson/axg.c | 1 + drivers/clk/meson/clk_meson.h | 1 + drivers/clk/meson/g12a.c | 1 + drivers/clk/meson/gxbb.c | 1 + drivers/clk/mpc83xx_clk.c | 1 + drivers/clk/mpc83xx_clk.h | 1 + drivers/clk/mvebu/armada-37xx-periph.c | 1 + drivers/clk/owl/clk_owl.c | 1 + drivers/clk/owl/clk_owl.h | 3 +++ drivers/clk/renesas/clk-rcar-gen3.c | 1 + drivers/clk/renesas/r8a7790-cpg-mssr.c | 1 + drivers/clk/renesas/r8a7791-cpg-mssr.c | 1 + drivers/clk/renesas/r8a7792-cpg-mssr.c | 1 + drivers/clk/renesas/r8a7794-cpg-mssr.c | 1 + drivers/clk/renesas/r8a7795-cpg-mssr.c | 1 + drivers/clk/renesas/r8a7796-cpg-mssr.c | 1 + drivers/clk/renesas/r8a77965-cpg-mssr.c | 1 + drivers/clk/renesas/r8a77970-cpg-mssr.c | 1 + drivers/clk/renesas/r8a77980-cpg-mssr.c | 1 + drivers/clk/renesas/r8a77990-cpg-mssr.c | 1 + drivers/clk/renesas/r8a77995-cpg-mssr.c | 1 + drivers/clk/renesas/renesas-cpg-mssr.c | 1 + drivers/clk/renesas/renesas-cpg-mssr.h | 1 + drivers/clk/rockchip/clk_px30.c | 1 + drivers/clk/rockchip/clk_rk322x.c | 1 + drivers/clk/rockchip/clk_rk3288.c | 1 + drivers/clk/rockchip/clk_rk3308.c | 1 + drivers/clk/rockchip/clk_rk3328.c | 1 + drivers/clk/rockchip/clk_rk3399.c | 1 + drivers/clk/sunxi/clk_a10.c | 1 + drivers/clk/sunxi/clk_a10s.c | 1 + drivers/clk/sunxi/clk_a23.c | 1 + drivers/clk/sunxi/clk_a31.c | 1 + drivers/clk/sunxi/clk_a64.c | 1 + drivers/clk/sunxi/clk_a80.c | 1 + drivers/clk/sunxi/clk_a83t.c | 1 + drivers/clk/sunxi/clk_h3.c | 1 + drivers/clk/sunxi/clk_h6.c | 1 + drivers/clk/sunxi/clk_r40.c | 1 + drivers/clk/sunxi/clk_sunxi.c | 1 + drivers/clk/sunxi/clk_v3s.c | 1 + drivers/cpu/bmips_cpu.c | 1 + drivers/cpu/imx8_cpu.c | 1 + drivers/cpu/mpc83xx_cpu.c | 1 + drivers/cpu/riscv_cpu.c | 1 + drivers/ddr/altera/sdram_arria10.c | 1 + drivers/ddr/altera/sdram_gen5.c | 1 + drivers/ddr/fsl/ctrl_regs.c | 1 + drivers/ddr/fsl/ddr1_dimm_params.c | 1 + drivers/ddr/fsl/ddr2_dimm_params.c | 1 + drivers/ddr/fsl/interactive.c | 1 + drivers/ddr/fsl/lc_common_dimm_params.c | 1 + drivers/ddr/fsl/main.c | 1 + drivers/dma/bcm6348-iudma.c | 1 + drivers/dma/lpc32xx_dma.c | 1 + drivers/dma/ti/k3-udma-hwdef.h | 1 + drivers/dma/ti/k3-udma.c | 1 + drivers/firmware/ti_sci.c | 1 + drivers/firmware/ti_sci.h | 1 + drivers/fpga/socfpga_arria10.c | 1 + drivers/fpga/zynqmppl.c | 1 + drivers/gpio/atmel_pio4.c | 1 + drivers/gpio/bcm6345_gpio.c | 1 + drivers/gpio/cortina_gpio.c | 1 + drivers/gpio/dwapb_gpio.c | 1 + drivers/gpio/gpio-rcar.c | 1 + drivers/gpio/gpio-rza1.c | 1 + drivers/gpio/hi6220_gpio.c | 1 + drivers/gpio/hsdk-creg-gpio.c | 1 + drivers/gpio/mscc_sgpio.c | 1 + drivers/gpio/mt7621_gpio.c | 1 + drivers/gpio/mvebu_gpio.c | 1 + drivers/gpio/mxs_gpio.c | 1 + drivers/gpio/pca953x_gpio.c | 1 + drivers/gpio/pcf8575_gpio.c | 1 + drivers/gpio/pic32_gpio.c | 1 + drivers/gpio/sh_pfc.c | 1 + drivers/gpio/sifive-gpio.c | 1 + drivers/gpio/stm32_gpio.c | 1 + drivers/gpio/tegra186_gpio_priv.h | 1 + drivers/gpio/zynq_gpio.c | 1 + drivers/hwspinlock/stm32_hwspinlock.c | 1 + drivers/i2c/at91_i2c.h | 1 + drivers/i2c/designware_i2c.h | 1 + drivers/i2c/i2c-cdns.c | 1 + drivers/i2c/i2c-versatile.c | 1 + drivers/i2c/ihs_i2c.c | 1 + drivers/i2c/meson_i2c.c | 1 + drivers/i2c/rcar_i2c.c | 1 + drivers/i2c/rcar_iic.c | 1 + drivers/i2c/stm32f7_i2c.c | 1 + drivers/i2c/tegra186_bpmp_i2c.c | 1 + drivers/led/led_bcm6858.c | 1 + drivers/mailbox/stm32-ipcc.c | 1 + drivers/mailbox/tegra-hsp.c | 1 + drivers/misc/esm_pmic.c | 1 + drivers/misc/gdsys_ioep.h | 1 + drivers/misc/ihs_fpga.c | 1 + drivers/misc/imx8/scu.c | 1 + drivers/misc/jz4780_efuse.c | 1 + drivers/misc/k3_avs.c | 1 + drivers/misc/k3_esm.c | 1 + drivers/misc/mpc83xx_serdes.h | 1 + drivers/misc/tegra186_bpmp.c | 1 + drivers/misc/vexpress_config.c | 1 + drivers/mmc/am654_sdhci.c | 1 + drivers/mmc/bcm2835_sdhost.c | 1 + drivers/mmc/fsl_esdhc.c | 1 + drivers/mmc/fsl_esdhc_imx.c | 1 + drivers/mmc/ftsdc010_mci.c | 1 + drivers/mmc/jz_mmc.c | 1 + drivers/mmc/mmc.c | 1 + drivers/mmc/mmc_spi.c | 1 + drivers/mmc/mxsmmc.c | 1 + drivers/mmc/omap_hsmmc.c | 1 + drivers/mmc/renesas-sdhi.c | 1 + drivers/mmc/sdhci-cadence.c | 1 + drivers/mmc/sdhci.c | 1 + drivers/mmc/sh_mmcif.c | 1 + drivers/mmc/sh_sdhi.c | 1 + drivers/mmc/stm32_sdmmc2.c | 2 ++ drivers/mmc/tegra_mmc.c | 1 + drivers/mmc/tmio-common.h | 1 + drivers/mmc/xenon_sdhci.c | 1 + drivers/mtd/altera_qspi.c | 1 + drivers/mtd/mtdcore.c | 1 + drivers/mtd/nand/bbt.c | 1 + drivers/mtd/nand/core.c | 1 + drivers/mtd/nand/raw/atmel_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/bcm63158_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/bcm6368_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/bcm68360_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/bcm6838_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/bcm6858_nand.c | 1 + drivers/mtd/nand/raw/brcmnand/brcmnand.c | 1 + drivers/mtd/nand/raw/denali.c | 1 + drivers/mtd/nand/raw/mxs_nand_spl.c | 1 + drivers/mtd/nand/raw/nand_base.c | 1 + drivers/mtd/nand/raw/pxa3xx_nand.c | 1 + drivers/mtd/nand/raw/stm32_fmc2_nand.c | 1 + drivers/mtd/nand/raw/sunxi_nand.c | 1 + drivers/mtd/nand/raw/sunxi_nand_spl.c | 1 + drivers/mtd/nand/spi/core.c | 1 + drivers/mtd/nand/spi/micron.c | 1 + drivers/mtd/nand/spi/winbond.c | 1 + drivers/mtd/onenand/onenand_base.c | 1 + drivers/mtd/onenand/onenand_spl.c | 1 + drivers/mtd/pic32_flash.c | 1 + drivers/mtd/renesas_rpc_hf.c | 1 + drivers/mtd/spi/sf_internal.h | 1 + drivers/mtd/spi/spi-nor-core.c | 1 + drivers/mtd/ubispl/ubispl.c | 1 + drivers/net/ag7xxx.c | 1 + drivers/net/altera_tse.h | 1 + drivers/net/bcm-sf2-eth-gmac.c | 1 + drivers/net/bcmgenet.c | 1 + drivers/net/dc2114x.c | 1 + drivers/net/dwc_eth_qos.c | 1 + drivers/net/fsl_enetc.h | 1 + drivers/net/ftgmac100.c | 1 + drivers/net/ftgmac100.h | 1 + drivers/net/gmac_rockchip.c | 1 + drivers/net/higmacv300.c | 1 + drivers/net/mscc_eswitch/jr2_switch.c | 1 + drivers/net/mscc_eswitch/luton_switch.c | 1 + drivers/net/mscc_eswitch/mscc_mac_table.c | 1 + drivers/net/mscc_eswitch/mscc_miim.c | 1 + drivers/net/mscc_eswitch/mscc_xfer.c | 1 + drivers/net/mscc_eswitch/ocelot_switch.c | 1 + drivers/net/mscc_eswitch/serval_switch.c | 1 + drivers/net/mscc_eswitch/servalt_switch.c | 1 + drivers/net/mt7628-eth.c | 1 + drivers/net/mtk_eth.h | 1 + drivers/net/mvmdio.c | 1 + drivers/net/mvneta.c | 1 + drivers/net/mvpp2.c | 1 + drivers/net/pfe_eth/pfe_firmware.c | 1 + drivers/net/pfe_eth/pfe_hw.c | 1 + drivers/net/phy/aquantia.c | 1 + drivers/net/phy/atheros.c | 1 + drivers/net/phy/b53.c | 1 + drivers/net/phy/dp83867.c | 1 + drivers/net/phy/marvell.c | 1 + drivers/net/phy/micrel_ksz8xxx.c | 1 + drivers/net/phy/mscc.c | 1 + drivers/net/phy/mv88e61xx.c | 1 + drivers/net/phy/phy.c | 1 + drivers/net/pic32_eth.h | 1 + drivers/net/ravb.c | 1 + drivers/net/rtl8139.c | 1 + drivers/net/sni_ave.c | 1 + drivers/net/sun8i_emac.c | 1 + drivers/net/ti/am65-cpsw-nuss.c | 1 + drivers/net/ti/cpsw.c | 1 + drivers/net/ti/cpsw_mdio.c | 1 + drivers/net/tsec.c | 1 + drivers/net/zynq_gem.c | 1 + drivers/pci/pci-aardvark.c | 1 + drivers/pci/pci-rcar-gen2.c | 1 + drivers/pci/pci-rcar-gen3.c | 1 + drivers/pci/pci_mpc85xx.c | 1 + drivers/pci/pci_mvebu.c | 1 + drivers/pci/pci_sh7751.c | 1 + drivers/pci/pcie_dw_ti.c | 1 + drivers/pci/pcie_intel_fpga.c | 1 + drivers/pci/pcie_layerscape_gen4.h | 1 + drivers/pci/pcie_mediatek.c | 1 + drivers/pci/pcie_xilinx.c | 1 + drivers/pci_endpoint/pcie-cadence.h | 1 + drivers/phy/allwinner/phy-sun4i-usb.c | 1 + drivers/phy/bcm6318-usbh-phy.c | 1 + drivers/phy/bcm6348-usbh-phy.c | 1 + drivers/phy/bcm6358-usbh-phy.c | 1 + drivers/phy/bcm6368-usbh-phy.c | 1 + drivers/phy/keystone-usb-phy.c | 1 + drivers/phy/meson-gxbb-usb2.c | 1 + drivers/phy/msm8916-usbh-phy.c | 1 + drivers/phy/omap-usb2-phy.c | 1 + drivers/phy/phy-mtk-tphy.c | 1 + drivers/phy/phy-ti-am654.c | 1 + drivers/phy/ti-pipe3-phy.c | 1 + drivers/pinctrl/mediatek/pinctrl-mtk-common.c | 1 + drivers/pinctrl/meson/pinctrl-meson-gx-pmx.c | 1 + drivers/pinctrl/meson/pinctrl-meson.c | 1 + drivers/pinctrl/mscc/mscc-common.c | 1 + drivers/pinctrl/mvebu/pinctrl-armada-37xx.c | 1 + drivers/pinctrl/mvebu/pinctrl-mvebu.c | 1 + drivers/pinctrl/nxp/pinctrl-imx.c | 1 + drivers/pinctrl/pinctrl-at91-pio4.c | 1 + drivers/pinctrl/pinctrl-at91.c | 1 + drivers/pinctrl/pinctrl-sandbox.c | 1 + drivers/pinctrl/pinctrl-stmfx.c | 1 + drivers/pinctrl/pinctrl_pic32.c | 1 + drivers/pinctrl/pinctrl_stm32.c | 1 + drivers/pinctrl/renesas/pfc-r7s72100.c | 1 + drivers/pinctrl/renesas/pfc-r8a77990.c | 1 + drivers/pinctrl/renesas/pfc.c | 1 + drivers/pinctrl/rockchip/pinctrl-px30.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3036.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3128.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk322x.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3288.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3308.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3328.c | 1 + drivers/pinctrl/rockchip/pinctrl-rk3399.c | 1 + drivers/pinctrl/rockchip/pinctrl-rockchip-core.c | 1 + drivers/pinctrl/rockchip/pinctrl-rockchip.h | 1 + drivers/pinctrl/rockchip/pinctrl-rv1108.c | 1 + drivers/pinctrl/uniphier/pinctrl-uniphier-core.c | 1 + drivers/power/domain/bcm6328-power-domain.c | 1 + drivers/power/domain/meson-ee-pwrc.c | 1 + drivers/power/domain/meson-gx-pwrc-vpu.c | 1 + drivers/power/domain/mtk-power-domain.c | 1 + drivers/power/domain/tegra186-power-domain.c | 1 + drivers/power/regulator/bd71837.c | 1 + drivers/power/regulator/da9063.c | 1 + drivers/power/regulator/fan53555.c | 1 + drivers/power/regulator/stm32-vrefbuf.c | 1 + drivers/pwm/rk_pwm.c | 1 + drivers/ram/imxrt_sdram.c | 1 + drivers/ram/k3-am654-ddrss.h | 1 + drivers/ram/mediatek/ddr3-mt7629.c | 1 + drivers/ram/mpc83xx_sdram.c | 1 + drivers/ram/rockchip/dmc-rk3368.c | 1 + drivers/ram/stm32_sdram.c | 1 + drivers/ram/stm32mp1/stm32mp1_ddr.c | 1 + drivers/ram/stm32mp1/stm32mp1_ddr_regs.h | 1 + drivers/reset/reset-bcm6345.c | 1 + drivers/reset/reset-hisilicon.c | 1 + drivers/reset/reset-hsdk.c | 1 + drivers/reset/reset-imx7.c | 1 + drivers/reset/reset-mediatek.c | 1 + drivers/reset/reset-meson.c | 1 + drivers/reset/reset-mtmips.c | 1 + drivers/reset/reset-rockchip.c | 1 + drivers/reset/reset-sunxi.c | 1 + drivers/reset/sti-reset.c | 1 + drivers/reset/stm32-reset.c | 1 + drivers/rng/rockchip_rng.c | 1 + drivers/rng/stm32mp1_rng.c | 1 + drivers/rtc/ds3232.c | 1 + drivers/rtc/rv3029.c | 1 + drivers/rtc/rv8803.c | 1 + drivers/rtc/rx8010sj.c | 1 + drivers/rtc/stm32_rtc.c | 1 + drivers/serial/altera_jtag_uart.c | 1 + drivers/serial/altera_uart.c | 1 + drivers/serial/serial_ar933x.c | 1 + drivers/serial/serial_bcm283x_mu.c | 1 + drivers/serial/serial_cortina.c | 1 + drivers/serial/serial_lpuart.c | 1 + drivers/serial/serial_meson.c | 1 + drivers/serial/serial_owl.c | 1 + drivers/serial/serial_pic32.c | 1 + drivers/serial/serial_sti_asc.c | 1 + drivers/serial/serial_stm32.c | 1 + drivers/serial/serial_stm32.h | 1 + drivers/serial/serial_xuartlite.c | 1 + drivers/serial/serial_zynq.c | 1 + drivers/soc/ti/k3-navss-ringacc.c | 1 + drivers/soc/ti/keystone_serdes.c | 1 + drivers/sound/hda_codec.c | 1 + drivers/sound/ivybridge_sound.c | 1 + drivers/sound/max98088.h | 1 + drivers/sound/rockchip_i2s.c | 1 + drivers/spi/altera_spi.c | 1 + drivers/spi/atmel-quadspi.c | 1 + drivers/spi/atmel_spi.h | 1 + drivers/spi/bcm63xx_hsspi.c | 1 + drivers/spi/cadence_qspi_apb.c | 1 + drivers/spi/davinci_spi.c | 1 + drivers/spi/designware_spi.c | 1 + drivers/spi/fsl_dspi.c | 1 + drivers/spi/fsl_espi.c | 1 + drivers/spi/fsl_qspi.c | 1 + drivers/spi/ich.c | 1 + drivers/spi/ich.h | 1 + drivers/spi/meson_spifc.c | 1 + drivers/spi/mpc8xxx_spi.c | 1 + drivers/spi/mscc_bb_spi.c | 1 + drivers/spi/mt7621_spi.c | 1 + drivers/spi/mvebu_a3700_spi.c | 1 + drivers/spi/mxc_spi.c | 1 + drivers/spi/mxs_spi.c | 1 + drivers/spi/nxp_fspi.c | 1 + drivers/spi/omap3_spi.c | 1 + drivers/spi/pic32_spi.c | 1 + drivers/spi/renesas_rpc_spi.c | 1 + drivers/spi/sh_qspi.c | 1 + drivers/spi/soft_spi.c | 1 + drivers/spi/spi-sifive.c | 1 + drivers/spi/spi-sunxi.c | 1 + drivers/spi/stm32_qspi.c | 1 + drivers/spi/stm32_spi.c | 1 + drivers/spi/tegra114_spi.c | 1 + drivers/spi/tegra20_sflash.c | 1 + drivers/spi/tegra20_slink.c | 1 + drivers/spi/tegra210_qspi.c | 1 + drivers/spi/ti_qspi.c | 1 + drivers/spi/uniphier_spi.c | 1 + drivers/spi/xilinx_spi.c | 1 + drivers/spi/zynq_qspi.c | 1 + drivers/spi/zynq_spi.c | 1 + drivers/spi/zynqmp_gqspi.c | 1 + drivers/sysreset/sysreset_socfpga.c | 1 + drivers/sysreset/sysreset_sti.c | 1 + drivers/timer/altera_timer.c | 1 + drivers/timer/atmel_pit_timer.c | 1 + drivers/timer/cadence-ttc.c | 1 + drivers/timer/mpc83xx_timer.c | 1 + drivers/timer/mtk_timer.c | 1 + drivers/timer/nomadik-mtu-timer.c | 1 + drivers/timer/omap-timer.c | 1 + drivers/timer/ostm_timer.c | 1 + drivers/timer/stm32_timer.c | 1 + drivers/tpm/tpm2_tis_sandbox.c | 1 + drivers/tpm/tpm2_tis_spi.c | 1 + drivers/ufs/cdns-platform.c | 1 + drivers/ufs/ti-j721e-ufs.c | 1 + drivers/ufs/ufs.c | 1 + drivers/usb/cdns3/cdns3-ti.c | 1 + drivers/usb/cdns3/drd.h | 1 + drivers/usb/cdns3/ep0.c | 1 + drivers/usb/cdns3/gadget.c | 1 + drivers/usb/cdns3/gadget.h | 1 + drivers/usb/dwc3/core.h | 1 + drivers/usb/dwc3/dwc3-generic.c | 1 + drivers/usb/dwc3/ti_usb_phy.c | 1 + drivers/usb/eth/lan75xx.c | 1 + drivers/usb/eth/lan78xx.c | 1 + drivers/usb/eth/lan7x.h | 1 + drivers/usb/eth/r8152.h | 1 + drivers/usb/eth/r8152_fw.c | 1 + drivers/usb/gadget/atmel_usba_udc.c | 1 + drivers/usb/gadget/dwc2_udc_otg_regs.h | 1 + drivers/usb/host/ehci.h | 1 + drivers/usb/host/r8a66597.h | 1 + drivers/usb/host/xhci-rcar.c | 1 + drivers/usb/host/xhci.c | 1 + drivers/usb/musb-new/musb_core.c | 1 + drivers/usb/musb-new/pic32.c | 1 + drivers/usb/musb-new/sunxi.c | 1 + drivers/usb/musb/musb_core.c | 1 + drivers/usb/phy/rockchip_usb2_phy.c | 1 + drivers/video/anx98xx-edp.h | 1 + drivers/video/dw_mipi_dsi.c | 1 + drivers/video/meson/meson_dw_hdmi.c | 1 + drivers/video/meson/meson_dw_hdmi.h | 1 + drivers/video/meson/meson_plane.c | 1 + drivers/video/meson/meson_registers.h | 1 + drivers/video/meson/meson_vclk.c | 1 + drivers/video/meson/meson_vpu_init.c | 1 + drivers/video/rockchip/rk_vop.c | 1 + drivers/video/stm32/stm32_dsi.c | 1 + drivers/video/stm32/stm32_ltdc.c | 1 + drivers/video/sunxi/sunxi_de2.c | 1 + drivers/video/sunxi/sunxi_dw_hdmi.c | 1 + drivers/video/tda19988.c | 1 + drivers/virtio/virtio_mmio.h | 1 + drivers/w1/mxc_w1.c | 1 + drivers/watchdog/designware_wdt.c | 1 + drivers/watchdog/mt7621_wdt.c | 1 + drivers/watchdog/mtk_wdt.c | 1 + drivers/watchdog/orion_wdt.c | 1 + drivers/watchdog/stm32mp_wdt.c | 1 + env/env.c | 1 + fs/ubifs/lpt_commit.c | 1 + fs/ubifs/super.c | 1 + fs/ubifs/tnc.c | 1 + include/acpi/acpi_table.h | 2 ++ include/asm-generic/gpio.h | 1 + include/bitfield.h | 1 + include/common.h | 1 - include/configs/gardena-smart-gateway-at91sam.h | 4 ++++ include/dma.h | 1 + include/dwc3-sti-glue.h | 1 + include/dwmmc.h | 1 + include/faraday/ftpci100.h | 1 + include/faraday/ftsdc010.h | 1 + include/faraday/ftsdmc021.h | 1 + include/fsl-mc/fsl_mc.h | 1 + include/linux/bitops.h | 4 ++++ include/linux/soc/ti/k3-navss-ringacc.h | 1 + include/linux/soc/ti/ti_sci_protocol.h | 1 + include/mipi_dsi.h | 1 + include/mmc.h | 1 + include/net/pfe_eth/pfe/cbus/class_csr.h | 1 + include/net/pfe_eth/pfe/cbus/emac.h | 1 + include/net/pfe_eth/pfe/cbus/hif.h | 1 + include/net/pfe_eth/pfe/cbus/tmu_csr.h | 1 + include/net/pfe_eth/pfe/pfe_hw.h | 1 + include/net/pfe_eth/pfe_eth.h | 1 + include/pch.h | 1 + include/power/stpmic1.h | 1 + include/sdhci.h | 1 + include/spi.h | 1 + include/tee.h | 1 + include/tpm-v1.h | 1 + include/virtio.h | 1 + include/vsc9953.h | 1 + include/zynqmppl.h | 1 + lib/div64.c | 1 + lib/efi_loader/efi_variable.c | 1 + lib/tpm-v2.c | 1 + 749 files changed, 940 insertions(+), 6 deletions(-) diff --git a/arch/arc/include/asm/arcregs.h b/arch/arc/include/asm/arcregs.h index 516c14e..a9f54f6 100644 --- a/arch/arc/include/asm/arcregs.h +++ b/arch/arc/include/asm/arcregs.h @@ -109,6 +109,8 @@ #define ARC_AUX_SUBSYS_BUILD 0xF0 #ifndef __ASSEMBLY__ +#include + /* Accessors for auxiliary registers */ #define read_aux_reg(reg) __builtin_arc_lr(reg) diff --git a/arch/arc/lib/cache.c b/arch/arc/lib/cache.c index 8a1d678..85651b2 100644 --- a/arch/arc/lib/cache.c +++ b/arch/arc/lib/cache.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arc/lib/cpu.c b/arch/arc/lib/cpu.c index f032f3e..27b5832 100644 --- a/arch/arc/lib/cpu.c +++ b/arch/arc/lib/cpu.c @@ -9,6 +9,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/cpu/armv7/mpu_v7r.c b/arch/arm/cpu/armv7/mpu_v7r.c index 6deecfd..57ab640 100644 --- a/arch/arm/cpu/armv7/mpu_v7r.c +++ b/arch/arm/cpu/armv7/mpu_v7r.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/arch/arm/cpu/armv7m/cache.c b/arch/arm/cpu/armv7m/cache.c index 72fc34d..d1aecf6 100644 --- a/arch/arm/cpu/armv7m/cache.c +++ b/arch/arm/cpu/armv7m/cache.c @@ -11,6 +11,7 @@ #include #include #include +#include /* Cache maintenance operation registers */ diff --git a/arch/arm/cpu/armv7m/systick-timer.c b/arch/arm/cpu/armv7m/systick-timer.c index dbe1b61..d2672fd 100644 --- a/arch/arm/cpu/armv7m/systick-timer.c +++ b/arch/arm/cpu/armv7m/systick-timer.c @@ -25,6 +25,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/cpu/armv8/fsl-layerscape/fsl_lsch3_serdes.c b/arch/arm/cpu/armv8/fsl-layerscape/fsl_lsch3_serdes.c index 3f1da43..aa6fd6b 100644 --- a/arch/arm/cpu/armv8/fsl-layerscape/fsl_lsch3_serdes.c +++ b/arch/arm/cpu/armv8/fsl-layerscape/fsl_lsch3_serdes.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/cpu/armv8/generic_timer.c b/arch/arm/cpu/armv8/generic_timer.c index 6fe3ede..e23629a 100644 --- a/arch/arm/cpu/armv8/generic_timer.c +++ b/arch/arm/cpu/armv8/generic_timer.c @@ -9,6 +9,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/cpu/armv8/hisilicon/pinmux.c b/arch/arm/cpu/armv8/hisilicon/pinmux.c index 6b6ad02..5183e00 100644 --- a/arch/arm/cpu/armv8/hisilicon/pinmux.c +++ b/arch/arm/cpu/armv8/hisilicon/pinmux.c @@ -10,6 +10,7 @@ #include #include #include +#include struct hi6220_pinmux0_regs *pmx0 = (struct hi6220_pinmux0_regs *)HI6220_PINMUX0_BASE; diff --git a/arch/arm/cpu/armv8/s32v234/cpu.c b/arch/arm/cpu/armv8/s32v234/cpu.c index 5394a66..8ee3adc 100644 --- a/arch/arm/cpu/armv8/s32v234/cpu.c +++ b/arch/arm/cpu/armv8/s32v234/cpu.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "cpu.h" u32 cpu_mask(void) diff --git a/arch/arm/include/asm/arch-am33xx/cpu.h b/arch/arm/include/asm/arch-am33xx/cpu.h index 9b819b0..79081de 100644 --- a/arch/arm/include/asm/arch-am33xx/cpu.h +++ b/arch/arm/include/asm/arch-am33xx/cpu.h @@ -12,6 +12,7 @@ #if !(defined(__KERNEL_STRICT_NAMES) || defined(__ASSEMBLY__)) #include +#include #endif /* !(__KERNEL_STRICT_NAMES || __ASSEMBLY__) */ #include diff --git a/arch/arm/include/asm/arch-am33xx/hardware_am43xx.h b/arch/arm/include/asm/arch-am33xx/hardware_am43xx.h index 64809d5..0b73ebd 100644 --- a/arch/arm/include/asm/arch-am33xx/hardware_am43xx.h +++ b/arch/arm/include/asm/arch-am33xx/hardware_am43xx.h @@ -10,6 +10,10 @@ #ifndef __AM43XX_HARDWARE_AM43XX_H #define __AM43XX_HARDWARE_AM43XX_H +#ifndef __ASSEMBLY__ +#include +#endif + /* Module base addresses */ /* L3 Fast Configuration Bandwidth Limiter Base Address */ diff --git a/arch/arm/include/asm/arch-fsl-layerscape/config.h b/arch/arm/include/asm/arch-fsl-layerscape/config.h index ddd9390..908d860 100644 --- a/arch/arm/include/asm/arch-fsl-layerscape/config.h +++ b/arch/arm/include/asm/arch-fsl-layerscape/config.h @@ -10,6 +10,10 @@ #include #include +#ifndef __ASSEMBLY__ +#include +#endif + #define CONFIG_STANDALONE_LOAD_ADDR 0x80300000 /* diff --git a/arch/arm/include/asm/arch-fsl-layerscape/immap_lsch2.h b/arch/arm/include/asm/arch-fsl-layerscape/immap_lsch2.h index 862ec2e..c9be076 100644 --- a/arch/arm/include/asm/arch-fsl-layerscape/immap_lsch2.h +++ b/arch/arm/include/asm/arch-fsl-layerscape/immap_lsch2.h @@ -7,6 +7,9 @@ #define __ARCH_FSL_LSCH2_IMMAP_H__ #include +#ifndef __ASSEMBLY__ +#include +#endif #define CONFIG_SYS_IMMR 0x01000000 #define CONFIG_SYS_DCSRBAR 0x20000000 diff --git a/arch/arm/include/asm/arch-hi3660/hi3660.h b/arch/arm/include/asm/arch-hi3660/hi3660.h index 3ca0951..882262d 100644 --- a/arch/arm/include/asm/arch-hi3660/hi3660.h +++ b/arch/arm/include/asm/arch-hi3660/hi3660.h @@ -7,6 +7,10 @@ #ifndef __HI3660_H__ #define __HI3660_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define HI3660_UART6_BASE 0xfff32000 #define PMU_REG_BASE 0xfff34000 diff --git a/arch/arm/include/asm/arch-imx8/iomux.h b/arch/arm/include/asm/arch-imx8/iomux.h index bedd01b..3acef1e 100644 --- a/arch/arm/include/asm/arch-imx8/iomux.h +++ b/arch/arm/include/asm/arch-imx8/iomux.h @@ -6,6 +6,10 @@ #ifndef __ASM_ARCH_IMX8_IOMUX_H__ #define __ASM_ARCH_IMX8_IOMUX_H__ +#ifndef __ASSEMBLY__ +#include +#endif + /* * We use 64bits value for iomux settings. * High 32bits are used for padring register value, diff --git a/arch/arm/include/asm/arch-imx8m/clock_imx8mm.h b/arch/arm/include/asm/arch-imx8m/clock_imx8mm.h index 140e8bb..63b14b9 100644 --- a/arch/arm/include/asm/arch-imx8m/clock_imx8mm.h +++ b/arch/arm/include/asm/arch-imx8m/clock_imx8mm.h @@ -8,6 +8,10 @@ #ifndef _ASM_ARCH_IMX8MM_CLOCK_H #define _ASM_ARCH_IMX8MM_CLOCK_H +#ifndef __ASSEMBLY__ +#include +#endif + #define PLL_1443X_RATE(_rate, _m, _p, _s, _k) \ { \ .rate = (_rate), \ diff --git a/arch/arm/include/asm/arch-imx8m/clock_imx8mq.h b/arch/arm/include/asm/arch-imx8m/clock_imx8mq.h index 9dda6dd..742cbf3 100644 --- a/arch/arm/include/asm/arch-imx8m/clock_imx8mq.h +++ b/arch/arm/include/asm/arch-imx8m/clock_imx8mq.h @@ -8,6 +8,10 @@ #ifndef _ASM_ARCH_IMX8M_CLOCK_H #define _ASM_ARCH_IMX8M_CLOCK_H +#ifndef __ASSEMBLY__ +#include +#endif + enum pll_clocks { ANATOP_ARM_PLL, ANATOP_GPU_PLL, diff --git a/arch/arm/include/asm/arch-meson/axg.h b/arch/arm/include/asm/arch-meson/axg.h index d293f2a..91c8769 100644 --- a/arch/arm/include/asm/arch-meson/axg.h +++ b/arch/arm/include/asm/arch-meson/axg.h @@ -7,6 +7,10 @@ #ifndef __AXG_H__ #define __AXG_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define AXG_AOBUS_BASE 0xff800000 #define AXG_PERIPHS_BASE 0xff634400 #define AXG_HIU_BASE 0xff63c000 diff --git a/arch/arm/include/asm/arch-meson/g12a.h b/arch/arm/include/asm/arch-meson/g12a.h index b806667..db29cc3 100644 --- a/arch/arm/include/asm/arch-meson/g12a.h +++ b/arch/arm/include/asm/arch-meson/g12a.h @@ -7,6 +7,10 @@ #ifndef __G12A_H__ #define __G12A_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define G12A_AOBUS_BASE 0xff800000 #define G12A_PERIPHS_BASE 0xff634400 #define G12A_HIU_BASE 0xff63c000 diff --git a/arch/arm/include/asm/arch-meson/gx.h b/arch/arm/include/asm/arch-meson/gx.h index b781ba9..743d2e8 100644 --- a/arch/arm/include/asm/arch-meson/gx.h +++ b/arch/arm/include/asm/arch-meson/gx.h @@ -6,6 +6,10 @@ #ifndef __GX_H__ #define __GX_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define GX_FIRMWARE_MEM_SIZE 0x1000000 #define GX_AOBUS_BASE 0xc8100000 diff --git a/arch/arm/include/asm/arch-meson/sd_emmc.h b/arch/arm/include/asm/arch-meson/sd_emmc.h index e3a72c8..1e9f8cf 100644 --- a/arch/arm/include/asm/arch-meson/sd_emmc.h +++ b/arch/arm/include/asm/arch-meson/sd_emmc.h @@ -7,6 +7,10 @@ #define __SD_EMMC_H__ #include +#ifndef __ASSEMBLY__ +#include +#endif + #define SDIO_PORT_A 0 #define SDIO_PORT_B 1 diff --git a/arch/arm/include/asm/arch-mx6/imx-regs.h b/arch/arm/include/asm/arch-mx6/imx-regs.h index 5b41a7a..ccd48e8 100644 --- a/arch/arm/include/asm/arch-mx6/imx-regs.h +++ b/arch/arm/include/asm/arch-mx6/imx-regs.h @@ -372,6 +372,7 @@ #include #if !(defined(__KERNEL_STRICT_NAMES) || defined(__ASSEMBLY__)) #include +#include /* only for i.MX6SX/UL */ #define WDOG3_BASE_ADDR (((is_mx6ul() || is_mx6ull()) ? \ diff --git a/arch/arm/include/asm/arch-mx7/imx-regs.h b/arch/arm/include/asm/arch-mx7/imx-regs.h index 7b23abb..95238dc 100644 --- a/arch/arm/include/asm/arch-mx7/imx-regs.h +++ b/arch/arm/include/asm/arch-mx7/imx-regs.h @@ -225,6 +225,7 @@ #if !(defined(__KERNEL_STRICT_NAMES) || defined(__ASSEMBLY__)) #include #include +#include extern void imx_get_mac_from_fuse(int dev_id, unsigned char *mac); diff --git a/arch/arm/include/asm/arch-mx7/mx7-ddr.h b/arch/arm/include/asm/arch-mx7/mx7-ddr.h index 3c07904..37aaee0 100644 --- a/arch/arm/include/asm/arch-mx7/mx7-ddr.h +++ b/arch/arm/include/asm/arch-mx7/mx7-ddr.h @@ -10,6 +10,10 @@ #ifndef __ASM_ARCH_MX7_DDR_H__ #define __ASM_ARCH_MX7_DDR_H__ +#ifndef __ASSEMBLY__ +#include +#endif + /* DDRC Registers (DDRC_IPS_BASE_ADDR) */ struct ddrc { u32 mstr; /* 0x0000 */ diff --git a/arch/arm/include/asm/arch-rockchip/cru.h b/arch/arm/include/asm/arch-rockchip/cru.h index 5cf2aec..5eb17f9 100644 --- a/arch/arm/include/asm/arch-rockchip/cru.h +++ b/arch/arm/include/asm/arch-rockchip/cru.h @@ -7,6 +7,10 @@ #ifndef _ROCKCHIP_CLOCK_H #define _ROCKCHIP_CLOCK_H +#ifndef __ASSEMBLY__ +#include +#endif + #if defined(CONFIG_ROCKCHIP_RK3288) # include #elif defined(CONFIG_ROCKCHIP_RK3399) diff --git a/arch/arm/include/asm/arch-rockchip/cru_rk3128.h b/arch/arm/include/asm/arch-rockchip/cru_rk3128.h index 40a5ca7..cca812d 100644 --- a/arch/arm/include/asm/arch-rockchip/cru_rk3128.h +++ b/arch/arm/include/asm/arch-rockchip/cru_rk3128.h @@ -6,6 +6,10 @@ #ifndef _ASM_ARCH_CRU_RK3128_H #define _ASM_ARCH_CRU_RK3128_H +#ifndef __ASSEMBLY__ +#include +#endif + #define MHz 1000000 #define OSC_HZ (24 * MHz) diff --git a/arch/arm/include/asm/arch-rockchip/cru_rk3368.h b/arch/arm/include/asm/arch-rockchip/cru_rk3368.h index 714cea8..316c67c 100644 --- a/arch/arm/include/asm/arch-rockchip/cru_rk3368.h +++ b/arch/arm/include/asm/arch-rockchip/cru_rk3368.h @@ -6,6 +6,10 @@ #ifndef _ASM_ARCH_CRU_RK3368_H #define _ASM_ARCH_CRU_RK3368_H +#ifndef __ASSEMBLY__ +#include +#endif + /* RK3368 clock numbers */ enum rk3368_pll_id { APLLB, diff --git a/arch/arm/include/asm/arch-rockchip/cru_rv1108.h b/arch/arm/include/asm/arch-rockchip/cru_rv1108.h index 1db25af..0ba5ff6 100644 --- a/arch/arm/include/asm/arch-rockchip/cru_rv1108.h +++ b/arch/arm/include/asm/arch-rockchip/cru_rv1108.h @@ -6,6 +6,10 @@ #ifndef _ASM_ARCH_CRU_RV1108_H #define _ASM_ARCH_CRU_RV1108_H +#ifndef __ASSEMBLY__ +#include +#endif + #define OSC_HZ (24 * 1000 * 1000) #define APLL_HZ (600 * 1000000) diff --git a/arch/arm/include/asm/arch-rockchip/ddr_rk3368.h b/arch/arm/include/asm/arch-rockchip/ddr_rk3368.h index 82234cf..40cdcf4 100644 --- a/arch/arm/include/asm/arch-rockchip/ddr_rk3368.h +++ b/arch/arm/include/asm/arch-rockchip/ddr_rk3368.h @@ -6,6 +6,10 @@ #ifndef __ASM_ARCH_DDR_RK3368_H__ #define __ASM_ARCH_DDR_RK3368_H__ +#ifndef __ASSEMBLY__ +#include +#endif + /* * The RK3368 DDR PCTL differs from the incarnation in the RK3288 only * in a few details. Most notably, it has an additional field to track diff --git a/arch/arm/include/asm/arch-rockchip/grf_rk3368.h b/arch/arm/include/asm/arch-rockchip/grf_rk3368.h index 45e882b..0079d20 100644 --- a/arch/arm/include/asm/arch-rockchip/grf_rk3368.h +++ b/arch/arm/include/asm/arch-rockchip/grf_rk3368.h @@ -6,6 +6,10 @@ #ifndef _ASM_ARCH_GRF_RK3368_H #define _ASM_ARCH_GRF_RK3368_H +#ifndef __ASSEMBLY__ +#include +#endif + struct rk3368_grf { u32 gpio1a_iomux; u32 gpio1b_iomux; diff --git a/arch/arm/include/asm/arch-rockchip/lvds_rk3288.h b/arch/arm/include/asm/arch-rockchip/lvds_rk3288.h index 0f00df6..fb25504 100644 --- a/arch/arm/include/asm/arch-rockchip/lvds_rk3288.h +++ b/arch/arm/include/asm/arch-rockchip/lvds_rk3288.h @@ -6,6 +6,10 @@ #ifndef _ASM_ARCH_LVDS_RK3288_H #define _ASM_ARCH_LVDS_RK3288_H +#ifndef __ASSEMBLY__ +#include +#endif + #define RK3288_LVDS_CH0_REG0 0x00 #define RK3288_LVDS_CH0_REG0_LVDS_EN BIT(7) #define RK3288_LVDS_CH0_REG0_TTL_EN BIT(6) diff --git a/arch/arm/include/asm/arch-rockchip/sdram_pctl_px30.h b/arch/arm/include/asm/arch-rockchip/sdram_pctl_px30.h index 9781881..3a36577 100644 --- a/arch/arm/include/asm/arch-rockchip/sdram_pctl_px30.h +++ b/arch/arm/include/asm/arch-rockchip/sdram_pctl_px30.h @@ -7,6 +7,10 @@ #define _ASM_ARCH_SDRAM_PCTL_PX30_H #include +#ifndef __ASSEMBLY__ +#include +#endif + struct ddr_pctl_regs { u32 pctl[30][2]; }; diff --git a/arch/arm/include/asm/arch-rockchip/sdram_phy_px30.h b/arch/arm/include/asm/arch-rockchip/sdram_phy_px30.h index c75a633..a033a18 100644 --- a/arch/arm/include/asm/arch-rockchip/sdram_phy_px30.h +++ b/arch/arm/include/asm/arch-rockchip/sdram_phy_px30.h @@ -8,6 +8,10 @@ #include #include +#ifndef __ASSEMBLY__ +#include +#endif + struct ddr_phy_regs { u32 phy[5][2]; }; diff --git a/arch/arm/include/asm/arch-rockchip/sdram_rk322x.h b/arch/arm/include/asm/arch-rockchip/sdram_rk322x.h index 99942e6..6f6c5c9 100644 --- a/arch/arm/include/asm/arch-rockchip/sdram_rk322x.h +++ b/arch/arm/include/asm/arch-rockchip/sdram_rk322x.h @@ -5,6 +5,10 @@ #ifndef _ASM_ARCH_SDRAM_RK322X_H #define _ASM_ARCH_SDRAM_RK322X_H +#ifndef __ASSEMBLY__ +#include +#endif + struct rk322x_sdram_channel { /* * bit width in address, eg: diff --git a/arch/arm/include/asm/arch-rockchip/sdram_rk3399.h b/arch/arm/include/asm/arch-rockchip/sdram_rk3399.h index 267649f..c76372e 100644 --- a/arch/arm/include/asm/arch-rockchip/sdram_rk3399.h +++ b/arch/arm/include/asm/arch-rockchip/sdram_rk3399.h @@ -7,6 +7,9 @@ #define _ASM_ARCH_SDRAM_RK3399_H #include #include +#ifndef __ASSEMBLY__ +#include +#endif struct rk3399_ddr_pctl_regs { u32 denali_ctl[332]; diff --git a/arch/arm/include/asm/arch-stih410/sdhci.h b/arch/arm/include/asm/arch-stih410/sdhci.h index 105d358..d5557b8 100644 --- a/arch/arm/include/asm/arch-stih410/sdhci.h +++ b/arch/arm/include/asm/arch-stih410/sdhci.h @@ -7,6 +7,10 @@ #ifndef __STI_SDHCI_H__ #define __STI_SDHCI_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define FLASHSS_MMC_CORE_CONFIG_1 0x400 #define FLASHSS_MMC_CORECFG_TIMEOUT_CLK_UNIT_MHZ BIT(24) #define FLASHSS_MMC_CORECFG_TIMEOUT_CLK_FREQ_MIN BIT(12) diff --git a/arch/arm/include/asm/arch-stm32f4/stm32_pwr.h b/arch/arm/include/asm/arch-stm32f4/stm32_pwr.h index de42996..8af6de2 100644 --- a/arch/arm/include/asm/arch-stm32f4/stm32_pwr.h +++ b/arch/arm/include/asm/arch-stm32f4/stm32_pwr.h @@ -5,6 +5,11 @@ */ #ifndef __STM32_PWR_H_ +#define __STM32_PWR_H_ + +#ifndef __ASSEMBLY__ +#include +#endif /* * Offsets of some PWR registers diff --git a/arch/arm/include/asm/arch-stm32f7/stm32_pwr.h b/arch/arm/include/asm/arch-stm32f7/stm32_pwr.h index c93fc5a..02faaeb 100644 --- a/arch/arm/include/asm/arch-stm32f7/stm32_pwr.h +++ b/arch/arm/include/asm/arch-stm32f7/stm32_pwr.h @@ -5,6 +5,11 @@ */ #ifndef __STM32_PWR_H_ +#define __STM32_PWR_H_ + +#ifndef __ASSEMBLY__ +#include +#endif /* * Offsets of some PWR registers diff --git a/arch/arm/include/asm/arch-stm32f7/syscfg.h b/arch/arm/include/asm/arch-stm32f7/syscfg.h index ce2a952..985ae25 100644 --- a/arch/arm/include/asm/arch-stm32f7/syscfg.h +++ b/arch/arm/include/asm/arch-stm32f7/syscfg.h @@ -7,6 +7,10 @@ #ifndef _STM32_SYSCFG_H #define _STM32_SYSCFG_H +#ifndef __ASSEMBLY__ +#include +#endif + struct stm32_syscfg_regs { u32 memrmp; u32 pmc; diff --git a/arch/arm/include/asm/arch-sunxi/ccu.h b/arch/arm/include/asm/arch-sunxi/ccu.h index 5dd97ab..cac5c5f 100644 --- a/arch/arm/include/asm/arch-sunxi/ccu.h +++ b/arch/arm/include/asm/arch-sunxi/ccu.h @@ -7,6 +7,10 @@ #ifndef _ASM_ARCH_CCU_H #define _ASM_ARCH_CCU_H +#ifndef __ASSEMBLY__ +#include +#endif + /** * enum ccu_flags - ccu clock/reset flags * diff --git a/arch/arm/include/asm/arch-sunxi/clock_sun50i_h6.h b/arch/arm/include/asm/arch-sunxi/clock_sun50i_h6.h index e369370..426069f 100644 --- a/arch/arm/include/asm/arch-sunxi/clock_sun50i_h6.h +++ b/arch/arm/include/asm/arch-sunxi/clock_sun50i_h6.h @@ -9,6 +9,10 @@ #ifndef _SUNXI_CLOCK_SUN50I_H6_H #define _SUNXI_CLOCK_SUN50I_H6_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_ccm_reg { u32 pll1_cfg; /* 0x000 pll1 (cpux) control */ u8 reserved_0x004[12]; diff --git a/arch/arm/include/asm/arch-sunxi/clock_sun9i.h b/arch/arm/include/asm/arch-sunxi/clock_sun9i.h index 530e0dd..fe6b8ba 100644 --- a/arch/arm/include/asm/arch-sunxi/clock_sun9i.h +++ b/arch/arm/include/asm/arch-sunxi/clock_sun9i.h @@ -8,6 +8,10 @@ #ifndef _SUNXI_CLOCK_SUN9I_H #define _SUNXI_CLOCK_SUN9I_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_ccm_reg { u32 pll1_c0_cfg; /* 0x00 c0cpu# pll configuration */ u32 pll2_c1_cfg; /* 0x04 c1cpu# pll configuration */ diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun50i_h6.h b/arch/arm/include/asm/arch-sunxi/dram_sun50i_h6.h index 49a8a66..be02655 100644 --- a/arch/arm/include/asm/arch-sunxi/dram_sun50i_h6.h +++ b/arch/arm/include/asm/arch-sunxi/dram_sun50i_h6.h @@ -10,6 +10,9 @@ #define _SUNXI_DRAM_SUN50I_H6_H #include +#ifndef __ASSEMBLY__ +#include +#endif enum sunxi_dram_type { SUNXI_DRAM_TYPE_DDR3 = 3, diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun6i.h b/arch/arm/include/asm/arch-sunxi/dram_sun6i.h index 929450f..b66d58c 100644 --- a/arch/arm/include/asm/arch-sunxi/dram_sun6i.h +++ b/arch/arm/include/asm/arch-sunxi/dram_sun6i.h @@ -13,6 +13,10 @@ #ifndef _SUNXI_DRAM_SUN6I_H #define _SUNXI_DRAM_SUN6I_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_mctl_com_reg { u32 cr; /* 0x00 */ u32 ccr; /* 0x04 controller configuration register */ diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun8i_a33.h b/arch/arm/include/asm/arch-sunxi/dram_sun8i_a33.h index 49a6108..3f65306 100644 --- a/arch/arm/include/asm/arch-sunxi/dram_sun8i_a33.h +++ b/arch/arm/include/asm/arch-sunxi/dram_sun8i_a33.h @@ -11,6 +11,10 @@ #ifndef _SUNXI_DRAM_SUN8I_A33_H #define _SUNXI_DRAM_SUN8I_A33_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_mctl_com_reg { u32 cr; /* 0x00 */ u32 ccr; /* 0x04 controller configuration register */ diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun8i_a83t.h b/arch/arm/include/asm/arch-sunxi/dram_sun8i_a83t.h index d4634e5..2a87996 100644 --- a/arch/arm/include/asm/arch-sunxi/dram_sun8i_a83t.h +++ b/arch/arm/include/asm/arch-sunxi/dram_sun8i_a83t.h @@ -11,6 +11,10 @@ #ifndef _SUNXI_DRAM_SUN8I_A83T_H #define _SUNXI_DRAM_SUN8I_A83T_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_mctl_com_reg { u32 cr; /* 0x00 */ u32 ccr; /* 0x04 controller configuration register */ diff --git a/arch/arm/include/asm/arch-sunxi/dram_sun9i.h b/arch/arm/include/asm/arch-sunxi/dram_sun9i.h index 603850b..41df5fe 100644 --- a/arch/arm/include/asm/arch-sunxi/dram_sun9i.h +++ b/arch/arm/include/asm/arch-sunxi/dram_sun9i.h @@ -11,6 +11,10 @@ #ifndef _SUNXI_DRAM_SUN9I_H #define _SUNXI_DRAM_SUN9I_H +#ifndef __ASSEMBLY__ +#include +#endif + struct sunxi_mctl_com_reg { u32 cr; /* 0x00 */ u32 ccr; /* 0x04 controller configuration register */ diff --git a/arch/arm/include/asm/arch-sunxi/pwm.h b/arch/arm/include/asm/arch-sunxi/pwm.h index dca283c..b89bddd 100644 --- a/arch/arm/include/asm/arch-sunxi/pwm.h +++ b/arch/arm/include/asm/arch-sunxi/pwm.h @@ -6,6 +6,10 @@ #ifndef _SUNXI_PWM_H #define _SUNXI_PWM_H +#ifndef __ASSEMBLY__ +#include +#endif + #define SUNXI_PWM_CTRL_REG (SUNXI_PWM_BASE + 0) #define SUNXI_PWM_CH0_PERIOD (SUNXI_PWM_BASE + 4) diff --git a/arch/arm/include/asm/arch-tegra/dc.h b/arch/arm/include/asm/arch-tegra/dc.h index 59347dd..6444af2 100644 --- a/arch/arm/include/asm/arch-tegra/dc.h +++ b/arch/arm/include/asm/arch-tegra/dc.h @@ -7,6 +7,10 @@ #ifndef __ASM_ARCH_TEGRA_DC_H #define __ASM_ARCH_TEGRA_DC_H +#ifndef __ASSEMBLY__ +#include +#endif + /* Register definitions for the Tegra display controller */ /* CMD register 0x000 ~ 0x43 */ diff --git a/arch/arm/include/asm/arch-tegra/pmc.h b/arch/arm/include/asm/arch-tegra/pmc.h index 1524bf2..e1f5a73 100644 --- a/arch/arm/include/asm/arch-tegra/pmc.h +++ b/arch/arm/include/asm/arch-tegra/pmc.h @@ -7,6 +7,10 @@ #ifndef _PMC_H_ #define _PMC_H_ +#ifndef __ASSEMBLY__ +#include +#endif + /* Power Management Controller (APBDEV_PMC_) registers */ struct pmc_ctlr { uint pmc_cntrl; /* _CNTRL_0, offset 00 */ diff --git a/arch/arm/include/asm/arch-tegra124/flow.h b/arch/arm/include/asm/arch-tegra124/flow.h index 62947bf..ebcb22f 100644 --- a/arch/arm/include/asm/arch-tegra124/flow.h +++ b/arch/arm/include/asm/arch-tegra124/flow.h @@ -7,6 +7,10 @@ #ifndef _TEGRA124_FLOW_H_ #define _TEGRA124_FLOW_H_ +#ifndef __ASSEMBLY__ +#include +#endif + struct flow_ctlr { u32 halt_cpu_events; /* offset 0x00 */ u32 halt_cop_events; /* offset 0x04 */ diff --git a/arch/arm/include/asm/arch-vf610/imx-regs.h b/arch/arm/include/asm/arch-vf610/imx-regs.h index 03def8e..97211f4 100644 --- a/arch/arm/include/asm/arch-vf610/imx-regs.h +++ b/arch/arm/include/asm/arch-vf610/imx-regs.h @@ -302,6 +302,7 @@ #if !(defined(__KERNEL_STRICT_NAMES) || defined(__ASSEMBLY__)) #include +#include /* System Reset Controller (SRC) */ struct src { diff --git a/arch/arm/include/asm/armv7_mpu.h b/arch/arm/include/asm/armv7_mpu.h index 8f77ec4..16b9d0d 100644 --- a/arch/arm/include/asm/armv7_mpu.h +++ b/arch/arm/include/asm/armv7_mpu.h @@ -7,6 +7,10 @@ #ifndef _ASM_ARMV7_MPU_H #define _ASM_ARMV7_MPU_H +#ifndef __ASSEMBLY__ +#include +#endif + #ifdef CONFIG_CPU_V7M #define AP_SHIFT 24 #define XN_SHIFT 28 diff --git a/arch/arm/include/asm/bitops.h b/arch/arm/include/asm/bitops.h index b02c454..fa85486 100644 --- a/arch/arm/include/asm/bitops.h +++ b/arch/arm/include/asm/bitops.h @@ -16,9 +16,15 @@ #define __ASM_ARM_BITOPS_H #include +#include +#include +#include #ifdef __KERNEL__ +#ifndef __ASSEMBLY__ +#include +#endif #include #define smp_mb__before_clear_bit() do { } while (0) @@ -176,8 +182,4 @@ found_middle: #endif /* __KERNEL__ */ -#include -#include -#include - #endif /* _ARM_BITOPS_H */ diff --git a/arch/arm/include/asm/gic-v3.h b/arch/arm/include/asm/gic-v3.h index ac6c9e7..5131fab 100644 --- a/arch/arm/include/asm/gic-v3.h +++ b/arch/arm/include/asm/gic-v3.h @@ -6,6 +6,10 @@ #ifndef __GIC_V3_H__ #define __GIC_V3_H__ +#ifndef __ASSEMBLY__ +#include +#endif + #define GICR_CTLR_ENABLE_LPIS BIT(0) #define GICR_CTLR_RWP BIT(3) diff --git a/arch/arm/include/asm/omap_mmc.h b/arch/arm/include/asm/omap_mmc.h index 6d31cc4..7933ad5 100644 --- a/arch/arm/include/asm/omap_mmc.h +++ b/arch/arm/include/asm/omap_mmc.h @@ -26,6 +26,9 @@ #define OMAP_MMC_H_ #include +#ifndef __ASSEMBLY__ +#include +#endif struct hsmmc { #ifndef CONFIG_OMAP34XX diff --git a/arch/arm/include/asm/psci.h b/arch/arm/include/asm/psci.h index ac0ab62..67e9234 100644 --- a/arch/arm/include/asm/psci.h +++ b/arch/arm/include/asm/psci.h @@ -100,6 +100,7 @@ #ifndef __ASSEMBLY__ #include +#include /* These 3 helper functions assume cpu < CONFIG_ARMV7_PSCI_NR_CPUS */ u32 psci_get_target_pc(int cpu); diff --git a/arch/arm/include/asm/ti-common/keystone_net.h b/arch/arm/include/asm/ti-common/keystone_net.h index bba1048..0852ce8 100644 --- a/arch/arm/include/asm/ti-common/keystone_net.h +++ b/arch/arm/include/asm/ti-common/keystone_net.h @@ -11,6 +11,9 @@ #include #include +#ifndef __ASSEMBLY__ +#include +#endif /* EMAC */ #ifdef CONFIG_KSNET_NETCP_V1_0 diff --git a/arch/arm/include/asm/ti-common/omap_wdt.h b/arch/arm/include/asm/ti-common/omap_wdt.h index fbc421b..42d7e4c 100644 --- a/arch/arm/include/asm/ti-common/omap_wdt.h +++ b/arch/arm/include/asm/ti-common/omap_wdt.h @@ -10,6 +10,10 @@ #ifndef __OMAP_WDT_H__ #define __OMAP_WDT_H__ +#ifndef __ASSEMBLY__ +#include +#endif + /* * Watchdog: * Using the prescaler, the OMAP watchdog could go for many diff --git a/arch/arm/include/asm/ti-common/ti-edma3.h b/arch/arm/include/asm/ti-common/ti-edma3.h index 96c8a31..9abdbc8 100644 --- a/arch/arm/include/asm/ti-common/ti-edma3.h +++ b/arch/arm/include/asm/ti-common/ti-edma3.h @@ -9,6 +9,9 @@ #ifndef _EDMA3_H_ #define _EDMA3_H_ +#ifndef __ASSEMBLY__ +#include +#endif #include #define EDMA3_PARSET_NULL_LINK 0xffff diff --git a/arch/arm/lib/gic-v3-its.c b/arch/arm/lib/gic-v3-its.c index 6ee22d2..90f37a1 100644 --- a/arch/arm/lib/gic-v3-its.c +++ b/arch/arm/lib/gic-v3-its.c @@ -6,6 +6,7 @@ #include #include #include +#include #include static u32 lpi_id_bits; diff --git a/arch/arm/lib/image.c b/arch/arm/lib/image.c index 9cf4a67..94590d3 100644 --- a/arch/arm/lib/image.c +++ b/arch/arm/lib/image.c @@ -7,6 +7,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-at91/include/mach/at91_pmc.h b/arch/arm/mach-at91/include/mach/at91_pmc.h index f423afd..2ce99d9 100644 --- a/arch/arm/mach-at91/include/mach/at91_pmc.h +++ b/arch/arm/mach-at91/include/mach/at91_pmc.h @@ -24,6 +24,7 @@ #else #include +#include typedef struct at91_pmc { u32 scer; /* 0x00 System Clock Enable Register */ diff --git a/arch/arm/mach-at91/include/mach/at91_sfr.h b/arch/arm/mach-at91/include/mach/at91_sfr.h index 0300c33..4517df4 100644 --- a/arch/arm/mach-at91/include/mach/at91_sfr.h +++ b/arch/arm/mach-at91/include/mach/at91_sfr.h @@ -9,6 +9,7 @@ #ifndef __AT91_SFR_H #define __AT91_SFR_H +#include struct atmel_sfr { u32 reserved1; /* 0x00 */ union { diff --git a/arch/arm/mach-at91/include/mach/atmel_pio4.h b/arch/arm/mach-at91/include/mach/atmel_pio4.h index 7a03d6d..f348b05 100644 --- a/arch/arm/mach-at91/include/mach/atmel_pio4.h +++ b/arch/arm/mach-at91/include/mach/atmel_pio4.h @@ -9,6 +9,7 @@ #ifndef __ASSEMBLY__ +#include struct atmel_pio4_port { u32 mskr; /* 0x00 PIO Mask Register */ u32 cfgr; /* 0x04 PIO Configuration Register */ diff --git a/arch/arm/mach-exynos/include/mach/clock.h b/arch/arm/mach-exynos/include/mach/clock.h index e4c706a..9d23c47 100644 --- a/arch/arm/mach-exynos/include/mach/clock.h +++ b/arch/arm/mach-exynos/include/mach/clock.h @@ -8,6 +8,7 @@ #define __ASM_ARM_ARCH_CLOCK_H_ #ifndef __ASSEMBLY__ +#include struct exynos4_clock { unsigned char res1[0x4200]; unsigned int src_leftbus; diff --git a/arch/arm/mach-imx/imx8m/clock_imx8mm.c b/arch/arm/mach-imx/imx8m/clock_imx8mm.c index 8ea7f01..aafe2ed 100644 --- a/arch/arm/mach-imx/imx8m/clock_imx8mm.c +++ b/arch/arm/mach-imx/imx8m/clock_imx8mm.c @@ -12,6 +12,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-imx/imx8m/soc.c b/arch/arm/mach-imx/imx8m/soc.c index 137b9f3..1d2c8e6 100644 --- a/arch/arm/mach-imx/imx8m/soc.c +++ b/arch/arm/mach-imx/imx8m/soc.c @@ -22,6 +22,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-imx/imxrt/soc.c b/arch/arm/mach-imx/imxrt/soc.c index 8d5fae9..8e7d151 100644 --- a/arch/arm/mach-imx/imxrt/soc.c +++ b/arch/arm/mach-imx/imxrt/soc.c @@ -8,6 +8,7 @@ #include #include #include +#include int arch_cpu_init(void) { diff --git a/arch/arm/mach-imx/mx7ulp/soc.c b/arch/arm/mach-imx/mx7ulp/soc.c index 0936522..8dd6b4d 100644 --- a/arch/arm/mach-imx/mx7ulp/soc.c +++ b/arch/arm/mach-imx/mx7ulp/soc.c @@ -13,6 +13,7 @@ #include #include #include +#include #define PMC0_BASE_ADDR 0x410a1000 #define PMC0_CTRL 0x28 diff --git a/arch/arm/mach-k3/include/mach/am6_hardware.h b/arch/arm/mach-k3/include/mach/am6_hardware.h index 6df7631..a91ef5f 100644 --- a/arch/arm/mach-k3/include/mach/am6_hardware.h +++ b/arch/arm/mach-k3/include/mach/am6_hardware.h @@ -8,6 +8,9 @@ #define __ASM_ARCH_AM6_HARDWARE_H #include +#ifndef __ASSEMBLY__ +#include +#endif #define CTRL_MMR0_BASE 0x00100000 #define CTRLMMR_MAIN_DEVSTAT (CTRL_MMR0_BASE + 0x30) diff --git a/arch/arm/mach-k3/include/mach/j721e_hardware.h b/arch/arm/mach-k3/include/mach/j721e_hardware.h index ead136e..0deed66 100644 --- a/arch/arm/mach-k3/include/mach/j721e_hardware.h +++ b/arch/arm/mach-k3/include/mach/j721e_hardware.h @@ -8,6 +8,9 @@ #define __ASM_ARCH_J721E_HARDWARE_H #include +#ifndef __ASSEMBLY__ +#include +#endif #define CTRL_MMR0_BASE 0x00100000 #define CTRLMMR_MAIN_DEVSTAT (CTRL_MMR0_BASE + 0x30) diff --git a/arch/arm/mach-k3/include/mach/j721e_spl.h b/arch/arm/mach-k3/include/mach/j721e_spl.h index 475278b..959bdd4 100644 --- a/arch/arm/mach-k3/include/mach/j721e_spl.h +++ b/arch/arm/mach-k3/include/mach/j721e_spl.h @@ -7,6 +7,7 @@ #define _ASM_ARCH_J721E_SPL_H_ /* With BootMode B = 0 */ +#include #define BOOT_DEVICE_HYPERFLASH 0x00 #define BOOT_DEVICE_OSPI 0x01 #define BOOT_DEVICE_QSPI 0x02 diff --git a/arch/arm/mach-keystone/clock.c b/arch/arm/mach-keystone/clock.c index 3c46824..0c59515 100644 --- a/arch/arm/mach-keystone/clock.c +++ b/arch/arm/mach-keystone/clock.c @@ -9,6 +9,7 @@ #include #include #include +#include /* DEV and ARM speed definitions as specified in DEVSPEED register */ int __weak speeds[DEVSPEED_NUMSPDS] = { diff --git a/arch/arm/mach-keystone/include/mach/clock_defs.h b/arch/arm/mach-keystone/include/mach/clock_defs.h index 42bd0ac..336b103 100644 --- a/arch/arm/mach-keystone/include/mach/clock_defs.h +++ b/arch/arm/mach-keystone/include/mach/clock_defs.h @@ -9,6 +9,9 @@ #define _CLOCK_DEFS_H_ #include +#ifndef __ASSEMBLY__ +#include +#endif /* PLL Control Registers */ struct pllctl_regs { diff --git a/arch/arm/mach-keystone/include/mach/hardware-k2hk.h b/arch/arm/mach-keystone/include/mach/hardware-k2hk.h index 7793cf1..3d078be 100644 --- a/arch/arm/mach-keystone/include/mach/hardware-k2hk.h +++ b/arch/arm/mach-keystone/include/mach/hardware-k2hk.h @@ -9,6 +9,10 @@ #ifndef __ASM_ARCH_HARDWARE_K2HK_H #define __ASM_ARCH_HARDWARE_K2HK_H +#ifndef __ASSEMBLY__ +#include +#endif + #define KS2_ARM_PLL_EN BIT(13) /* PA SS Registers */ diff --git a/arch/arm/mach-keystone/include/mach/hardware-k2l.h b/arch/arm/mach-keystone/include/mach/hardware-k2l.h index f46a98c..0e710a3 100644 --- a/arch/arm/mach-keystone/include/mach/hardware-k2l.h +++ b/arch/arm/mach-keystone/include/mach/hardware-k2l.h @@ -9,6 +9,10 @@ #ifndef __ASM_ARCH_HARDWARE_K2L_H #define __ASM_ARCH_HARDWARE_K2L_H +#ifndef __ASSEMBLY__ +#include +#endif + #define KS2_ARM_PLL_EN BIT(13) /* PA SS Registers */ diff --git a/arch/arm/mach-keystone/include/mach/hardware.h b/arch/arm/mach-keystone/include/mach/hardware.h index d2234dc..0c5dc6a 100644 --- a/arch/arm/mach-keystone/include/mach/hardware.h +++ b/arch/arm/mach-keystone/include/mach/hardware.h @@ -11,6 +11,7 @@ #include #ifndef __ASSEMBLY__ +#include #include #include diff --git a/arch/arm/mach-keystone/include/mach/xhci-keystone.h b/arch/arm/mach-keystone/include/mach/xhci-keystone.h index a053512..989b0c3 100644 --- a/arch/arm/mach-keystone/include/mach/xhci-keystone.h +++ b/arch/arm/mach-keystone/include/mach/xhci-keystone.h @@ -6,6 +6,10 @@ * Texas Instruments Incorporated, */ +#ifndef __ASSEMBLY__ +#include +#endif + #define USB3_PHY_REF_SSP_EN BIT(29) #define USB3_PHY_OTG_VBUSVLDECTSEL BIT(16) diff --git a/arch/arm/mach-keystone/init.c b/arch/arm/mach-keystone/init.c index d947d40..88e8912 100644 --- a/arch/arm/mach-keystone/init.c +++ b/arch/arm/mach-keystone/init.c @@ -16,6 +16,7 @@ #include #include #include +#include #define MAX_PCI_PORTS 2 enum pci_mode { diff --git a/arch/arm/mach-mediatek/mt7629/init.c b/arch/arm/mach-mediatek/mt7629/init.c index aa9ff2b..c260413 100644 --- a/arch/arm/mach-mediatek/mt7629/init.c +++ b/arch/arm/mach-mediatek/mt7629/init.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include diff --git a/arch/arm/mach-meson/board-info.c b/arch/arm/mach-meson/board-info.c index bfae0c3..3abb27e 100644 --- a/arch/arm/mach-meson/board-info.c +++ b/arch/arm/mach-meson/board-info.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #define AO_SEC_SD_CFG8 0xe0 diff --git a/arch/arm/mach-meson/sm.c b/arch/arm/mach-meson/sm.c index dd66076..ebbb880 100644 --- a/arch/arm/mach-meson/sm.c +++ b/arch/arm/mach-meson/sm.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-mvebu/armada3700/cpu.c b/arch/arm/mach-mvebu/armada3700/cpu.c index d6e84f1..e438b49 100644 --- a/arch/arm/mach-mvebu/armada3700/cpu.c +++ b/arch/arm/mach-mvebu/armada3700/cpu.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-mvebu/cpu.c b/arch/arm/mach-mvebu/cpu.c index c56b0ae..3a63daf 100644 --- a/arch/arm/mach-mvebu/cpu.c +++ b/arch/arm/mach-mvebu/cpu.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-mvebu/efuse.c b/arch/arm/mach-mvebu/efuse.c index 304be95..c79eee9 100644 --- a/arch/arm/mach-mvebu/efuse.c +++ b/arch/arm/mach-mvebu/efuse.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/arch/arm/mach-mvebu/include/mach/soc.h b/arch/arm/mach-mvebu/include/mach/soc.h index acb9257..3f3b15a 100644 --- a/arch/arm/mach-mvebu/include/mach/soc.h +++ b/arch/arm/mach-mvebu/include/mach/soc.h @@ -10,6 +10,10 @@ #ifndef _MVEBU_SOC_H #define _MVEBU_SOC_H +#ifndef __ASSEMBLY__ +#include +#endif + #define SOC_MV78230_ID 0x7823 #define SOC_MV78260_ID 0x7826 #define SOC_MV78460_ID 0x7846 diff --git a/arch/arm/mach-mvebu/mbus.c b/arch/arm/mach-mvebu/mbus.c index 39bd200..f29abe5 100644 --- a/arch/arm/mach-mvebu/mbus.c +++ b/arch/arm/mach-mvebu/mbus.c @@ -48,6 +48,7 @@ #include #include +#include #include #include #include diff --git a/arch/arm/mach-mvebu/serdes/a38x/ctrl_pex.c b/arch/arm/mach-mvebu/serdes/a38x/ctrl_pex.c index af50cbc..adef333 100644 --- a/arch/arm/mach-mvebu/serdes/a38x/ctrl_pex.c +++ b/arch/arm/mach-mvebu/serdes/a38x/ctrl_pex.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include "ctrl_pex.h" diff --git a/arch/arm/mach-mvebu/timer.c b/arch/arm/mach-mvebu/timer.c index 50b78cb..43b3ed1 100644 --- a/arch/arm/mach-mvebu/timer.c +++ b/arch/arm/mach-mvebu/timer.c @@ -10,6 +10,7 @@ #include #include #include +#include #define TIMER_LOAD_VAL 0xffffffff diff --git a/arch/arm/mach-omap2/abb.c b/arch/arm/mach-omap2/abb.c index 108c935..722e6db 100644 --- a/arch/arm/mach-omap2/abb.c +++ b/arch/arm/mach-omap2/abb.c @@ -13,6 +13,7 @@ #include #include #include +#include __weak s8 abb_setup_ldovbb(u32 fuse, u32 ldovbb) { diff --git a/arch/arm/mach-omap2/am33xx/clock_ti816x.c b/arch/arm/mach-omap2/am33xx/clock_ti816x.c index e9c7b2d..ec4cc75 100644 --- a/arch/arm/mach-omap2/am33xx/clock_ti816x.c +++ b/arch/arm/mach-omap2/am33xx/clock_ti816x.c @@ -27,6 +27,7 @@ #include #include #include +#include #include diff --git a/arch/arm/mach-omap2/omap5/abb.c b/arch/arm/mach-omap2/omap5/abb.c index 446f99f..2f9f8e6 100644 --- a/arch/arm/mach-omap2/omap5/abb.c +++ b/arch/arm/mach-omap2/omap5/abb.c @@ -11,6 +11,7 @@ #include #include #include +#include /* * Setup LDOVBB for OMAP5. diff --git a/arch/arm/mach-omap2/pipe3-phy.c b/arch/arm/mach-omap2/pipe3-phy.c index 94332b7..35ec81d 100644 --- a/arch/arm/mach-omap2/pipe3-phy.c +++ b/arch/arm/mach-omap2/pipe3-phy.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include "pipe3-phy.h" diff --git a/arch/arm/mach-rmobile/include/mach/rcar-gen3-base.h b/arch/arm/mach-rmobile/include/mach/rcar-gen3-base.h index ecd02b9..5cd8a8c 100644 --- a/arch/arm/mach-rmobile/include/mach/rcar-gen3-base.h +++ b/arch/arm/mach-rmobile/include/mach/rcar-gen3-base.h @@ -79,6 +79,7 @@ #ifndef __ASSEMBLY__ #include +#include /* RWDT */ struct rcar_rwdt { diff --git a/arch/arm/mach-rmobile/include/mach/sh_sdhi.h b/arch/arm/mach-rmobile/include/mach/sh_sdhi.h index be9b233..7741718 100644 --- a/arch/arm/mach-rmobile/include/mach/sh_sdhi.h +++ b/arch/arm/mach-rmobile/include/mach/sh_sdhi.h @@ -11,6 +11,7 @@ #ifndef _SH_SDHI_H #define _SH_SDHI_H +#include #define SDHI_CMD (0x0000 >> 1) #define SDHI_PORTSEL (0x0004 >> 1) #define SDHI_ARG0 (0x0008 >> 1) diff --git a/arch/arm/mach-rockchip/rk3308/rk3308.c b/arch/arm/mach-rockchip/rk3308/rk3308.c index 35a5c79..8e8214c 100644 --- a/arch/arm/mach-rockchip/rk3308/rk3308.c +++ b/arch/arm/mach-rockchip/rk3308/rk3308.c @@ -10,6 +10,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-rockchip/rk3368/rk3368.c b/arch/arm/mach-rockchip/rk3368/rk3368.c index c865afa..1c6d65a 100644 --- a/arch/arm/mach-rockchip/rk3368/rk3368.c +++ b/arch/arm/mach-rockchip/rk3368/rk3368.c @@ -14,6 +14,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-rockchip/rk3399/rk3399.c b/arch/arm/mach-rockchip/rk3399/rk3399.c index f263f7e..09b0d6e 100644 --- a/arch/arm/mach-rockchip/rk3399/rk3399.c +++ b/arch/arm/mach-rockchip/rk3399/rk3399.c @@ -17,6 +17,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-rockchip/spl.c b/arch/arm/mach-rockchip/spl.c index f5a0ac4..ec2f66d 100644 --- a/arch/arm/mach-rockchip/spl.c +++ b/arch/arm/mach-rockchip/spl.c @@ -14,6 +14,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-rockchip/tpl.c b/arch/arm/mach-rockchip/tpl.c index 74f185c..88f80b0 100644 --- a/arch/arm/mach-rockchip/tpl.c +++ b/arch/arm/mach-rockchip/tpl.c @@ -14,6 +14,7 @@ #include #include #include +#include #define TIMER_LOAD_COUNT_L 0x00 #define TIMER_LOAD_COUNT_H 0x04 diff --git a/arch/arm/mach-snapdragon/pinctrl-snapdragon.c b/arch/arm/mach-snapdragon/pinctrl-snapdragon.c index 9ba8fdd..442d236 100644 --- a/arch/arm/mach-snapdragon/pinctrl-snapdragon.c +++ b/arch/arm/mach-snapdragon/pinctrl-snapdragon.c @@ -11,6 +11,7 @@ #include #include #include +#include #include "pinctrl-snapdragon.h" struct msm_pinctrl_priv { diff --git a/arch/arm/mach-socfpga/include/mach/clock_manager_arria10.h b/arch/arm/mach-socfpga/include/mach/clock_manager_arria10.h index 8d62d75..11ddee5 100644 --- a/arch/arm/mach-socfpga/include/mach/clock_manager_arria10.h +++ b/arch/arm/mach-socfpga/include/mach/clock_manager_arria10.h @@ -8,6 +8,8 @@ #ifndef __ASSEMBLY__ +#include + /* Clock manager group */ #define CLKMGR_A10_CTRL 0x00 #define CLKMGR_A10_INTR 0x04 @@ -64,6 +66,7 @@ int cm_basic_init(const void *blob); #endif +#include unsigned int cm_get_l4_sp_clk_hz(void); unsigned long cm_get_mpu_clk_hz(void); diff --git a/arch/arm/mach-socfpga/include/mach/clock_manager_gen5.h b/arch/arm/mach-socfpga/include/mach/clock_manager_gen5.h index fc6d2301..5c9abe6 100644 --- a/arch/arm/mach-socfpga/include/mach/clock_manager_gen5.h +++ b/arch/arm/mach-socfpga/include/mach/clock_manager_gen5.h @@ -8,6 +8,8 @@ #ifndef __ASSEMBLY__ +#include + struct cm_config { /* main group */ u32 main_vco_base; @@ -109,6 +111,7 @@ int cm_basic_init(const struct cm_config * const cfg); const struct cm_config * const cm_get_default_config(void); #endif /* __ASSEMBLY__ */ +#include #define LOCKED_MASK \ (CLKMGR_INTER_SDRPLLLOCKED_MASK | \ CLKMGR_INTER_PERPLLLOCKED_MASK | \ diff --git a/arch/arm/mach-socfpga/include/mach/clock_manager_s10.h b/arch/arm/mach-socfpga/include/mach/clock_manager_s10.h index 9d2b3ba..cb7923b 100644 --- a/arch/arm/mach-socfpga/include/mach/clock_manager_s10.h +++ b/arch/arm/mach-socfpga/include/mach/clock_manager_s10.h @@ -8,6 +8,7 @@ #define _CLOCK_MANAGER_S10_ #include +#include /* Clock speed accessors */ unsigned long cm_get_mpu_clk_hz(void); diff --git a/arch/arm/mach-socfpga/include/mach/firewall.h b/arch/arm/mach-socfpga/include/mach/firewall.h index 430341b..adab65b 100644 --- a/arch/arm/mach-socfpga/include/mach/firewall.h +++ b/arch/arm/mach-socfpga/include/mach/firewall.h @@ -7,6 +7,8 @@ #ifndef _FIREWALL_H_ #define _FIREWALL_H_ +#include + struct socfpga_firwall_l4_per { u32 nand; /* 0x00 */ u32 nand_data; diff --git a/arch/arm/mach-socfpga/include/mach/fpga_manager_arria10.h b/arch/arm/mach-socfpga/include/mach/fpga_manager_arria10.h index 62249b3..0487082 100644 --- a/arch/arm/mach-socfpga/include/mach/fpga_manager_arria10.h +++ b/arch/arm/mach-socfpga/include/mach/fpga_manager_arria10.h @@ -7,6 +7,7 @@ #include #include #include +#include #ifndef _FPGA_MANAGER_ARRIA10_H_ #define _FPGA_MANAGER_ARRIA10_H_ diff --git a/arch/arm/mach-socfpga/include/mach/fpga_manager_gen5.h b/arch/arm/mach-socfpga/include/mach/fpga_manager_gen5.h index c8ec5d4..e08c005 100644 --- a/arch/arm/mach-socfpga/include/mach/fpga_manager_gen5.h +++ b/arch/arm/mach-socfpga/include/mach/fpga_manager_gen5.h @@ -7,6 +7,7 @@ #ifndef _FPGA_MANAGER_GEN5_H_ #define _FPGA_MANAGER_GEN5_H_ +#include #define FPGAMGRREGS_STAT_MODE_MASK 0x7 #define FPGAMGRREGS_STAT_MSEL_MASK 0xf8 #define FPGAMGRREGS_STAT_MSEL_LSB 3 diff --git a/arch/arm/mach-socfpga/include/mach/mailbox_s10.h b/arch/arm/mach-socfpga/include/mach/mailbox_s10.h index ae728a5..55707ab 100644 --- a/arch/arm/mach-socfpga/include/mach/mailbox_s10.h +++ b/arch/arm/mach-socfpga/include/mach/mailbox_s10.h @@ -8,6 +8,7 @@ #define _MAILBOX_S10_H_ /* user define Uboot ID */ +#include #define MBOX_CLIENT_ID_UBOOT 0xB #define MBOX_ID_UBOOT 0x1 diff --git a/arch/arm/mach-socfpga/include/mach/reset_manager_arria10.h b/arch/arm/mach-socfpga/include/mach/reset_manager_arria10.h index 22e4eb3..19507c2 100644 --- a/arch/arm/mach-socfpga/include/mach/reset_manager_arria10.h +++ b/arch/arm/mach-socfpga/include/mach/reset_manager_arria10.h @@ -7,6 +7,7 @@ #define _RESET_MANAGER_ARRIA10_H_ #include +#include void socfpga_watchdog_disable(void); void socfpga_reset_deassert_noc_ddr_scheduler(void); diff --git a/arch/arm/mach-socfpga/include/mach/sdram_arria10.h b/arch/arm/mach-socfpga/include/mach/sdram_arria10.h index f277388..ff05994 100644 --- a/arch/arm/mach-socfpga/include/mach/sdram_arria10.h +++ b/arch/arm/mach-socfpga/include/mach/sdram_arria10.h @@ -7,6 +7,7 @@ #define _SOCFPGA_SDRAM_ARRIA10_H_ #ifndef __ASSEMBLY__ +#include int ddr_calibration_sequence(void); struct socfpga_ecc_hmc { diff --git a/arch/arm/mach-socfpga/include/mach/system_manager.h b/arch/arm/mach-socfpga/include/mach/system_manager.h index 6de0a08..f816954 100644 --- a/arch/arm/mach-socfpga/include/mach/system_manager.h +++ b/arch/arm/mach-socfpga/include/mach/system_manager.h @@ -94,5 +94,6 @@ phys_addr_t socfpga_get_sysmgr_addr(void); #define SYSMGR_GET_BOOTINFO_BSEL(bsel) \ (((bsel) >> SYSMGR_BOOTINFO_BSEL_SHIFT) & 7) +#include #endif #endif /* _SYSTEM_MANAGER_H_ */ diff --git a/arch/arm/mach-socfpga/include/mach/system_manager_soc64.h b/arch/arm/mach-socfpga/include/mach/system_manager_soc64.h index 3a6c951..c90f63a 100644 --- a/arch/arm/mach-socfpga/include/mach/system_manager_soc64.h +++ b/arch/arm/mach-socfpga/include/mach/system_manager_soc64.h @@ -6,6 +6,7 @@ #ifndef _SYSTEM_MANAGER_SOC64_H_ #define _SYSTEM_MANAGER_SOC64_H_ +#include void sysmgr_pinmux_init(void); void populate_sysmgr_fpgaintf_module(void); void populate_sysmgr_pinmux(void); diff --git a/arch/arm/mach-socfpga/misc_gen5.c b/arch/arm/mach-socfpga/misc_gen5.c index 39acc8c..7209e8d 100644 --- a/arch/arm/mach-socfpga/misc_gen5.c +++ b/arch/arm/mach-socfpga/misc_gen5.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-socfpga/reset_manager_gen5.c b/arch/arm/mach-socfpga/reset_manager_gen5.c index 1008a78..a65860e 100644 --- a/arch/arm/mach-socfpga/reset_manager_gen5.c +++ b/arch/arm/mach-socfpga/reset_manager_gen5.c @@ -9,6 +9,7 @@ #include #include #include +#include /* Assert or de-assert SoCFPGA reset manager reset. */ void socfpga_per_reset(u32 reset, int set) diff --git a/arch/arm/mach-socfpga/spl_gen5.c b/arch/arm/mach-socfpga/spl_gen5.c index 91bc9df..5a7c5ef 100644 --- a/arch/arm/mach-socfpga/spl_gen5.c +++ b/arch/arm/mach-socfpga/spl_gen5.c @@ -24,6 +24,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/arm/mach-stm32mp/cpu.c b/arch/arm/mach-stm32mp/cpu.c index 82f9419..472b140 100644 --- a/arch/arm/mach-stm32mp/cpu.c +++ b/arch/arm/mach-stm32mp/cpu.c @@ -16,6 +16,7 @@ #include #include #include +#include /* RCC register */ #define RCC_TZCR (STM32_RCC_BASE + 0x00) diff --git a/arch/arm/mach-stm32mp/include/mach/stm32.h b/arch/arm/mach-stm32mp/include/mach/stm32.h index efa5ac3..5fdb893 100644 --- a/arch/arm/mach-stm32mp/include/mach/stm32.h +++ b/arch/arm/mach-stm32mp/include/mach/stm32.h @@ -6,6 +6,10 @@ #ifndef _MACH_STM32_H_ #define _MACH_STM32_H_ +#ifndef __ASSEMBLY__ +#include +#endif + /* * Peripheral memory map * only address used before device tree parsing diff --git a/arch/arm/mach-stm32mp/psci.c b/arch/arm/mach-stm32mp/psci.c index 19f1acf..155aa79 100644 --- a/arch/arm/mach-stm32mp/psci.c +++ b/arch/arm/mach-stm32mp/psci.c @@ -11,6 +11,7 @@ #include #include #include +#include #define BOOT_API_A7_CORE0_MAGIC_NUMBER 0xCA7FACE0 #define BOOT_API_A7_CORE1_MAGIC_NUMBER 0xCA7FACE1 diff --git a/arch/arm/mach-stm32mp/pwr_regulator.c b/arch/arm/mach-stm32mp/pwr_regulator.c index b52e1e8..900dee4 100644 --- a/arch/arm/mach-stm32mp/pwr_regulator.c +++ b/arch/arm/mach-stm32mp/pwr_regulator.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-sunxi/clock_sun6i.c b/arch/arm/mach-sunxi/clock_sun6i.c index b46083e..8e84062 100644 --- a/arch/arm/mach-sunxi/clock_sun6i.c +++ b/arch/arm/mach-sunxi/clock_sun6i.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #ifdef CONFIG_SPL_BUILD diff --git a/arch/arm/mach-sunxi/spl_spi_sunxi.c b/arch/arm/mach-sunxi/spl_spi_sunxi.c index d369df9..15e86cb 100644 --- a/arch/arm/mach-sunxi/spl_spi_sunxi.c +++ b/arch/arm/mach-sunxi/spl_spi_sunxi.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/arch/arm/mach-tegra/tegra210/clock.c b/arch/arm/mach-tegra/tegra210/clock.c index 4fe6df4..ccc64eb 100644 --- a/arch/arm/mach-tegra/tegra210/clock.c +++ b/arch/arm/mach-tegra/tegra210/clock.c @@ -19,6 +19,7 @@ #include #include #include +#include #include /* diff --git a/arch/arm/mach-uniphier/boot-device/boot-device-pxs3.c b/arch/arm/mach-uniphier/boot-device/boot-device-pxs3.c index 2edf66d..4aee50f 100644 --- a/arch/arm/mach-uniphier/boot-device/boot-device-pxs3.c +++ b/arch/arm/mach-uniphier/boot-device/boot-device-pxs3.c @@ -6,6 +6,7 @@ #include #include +#include #include #include diff --git a/arch/arm/mach-uniphier/boot-device/boot-device.c b/arch/arm/mach-uniphier/boot-device/boot-device.c index 43b28f8..2fb6255 100644 --- a/arch/arm/mach-uniphier/boot-device/boot-device.c +++ b/arch/arm/mach-uniphier/boot-device/boot-device.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/arm/mach-uniphier/dram/ddrphy-regs.h b/arch/arm/mach-uniphier/dram/ddrphy-regs.h index 6a041c5..8b34292 100644 --- a/arch/arm/mach-uniphier/dram/ddrphy-regs.h +++ b/arch/arm/mach-uniphier/dram/ddrphy-regs.h @@ -9,6 +9,7 @@ #ifndef ARCH_DDRPHY_REGS_H #define ARCH_DDRPHY_REGS_H +#include #define PHY_REG_SHIFT 2 #define PHY_RIDR (0x000 << PHY_REG_SHIFT) diff --git a/arch/arm/mach-uniphier/dram/umc-pxs2.c b/arch/arm/mach-uniphier/dram/umc-pxs2.c index 7de6b7f..3f7e5f3 100644 --- a/arch/arm/mach-uniphier/dram/umc-pxs2.c +++ b/arch/arm/mach-uniphier/dram/umc-pxs2.c @@ -9,6 +9,7 @@ #include #include +#include #include #include #include diff --git a/arch/arm/mach-uniphier/init.h b/arch/arm/mach-uniphier/init.h index 3c77f48..6223037 100644 --- a/arch/arm/mach-uniphier/init.h +++ b/arch/arm/mach-uniphier/init.h @@ -7,6 +7,7 @@ #ifndef __MACH_INIT_H #define __MACH_INIT_H +#include #include #define UNIPHIER_MAX_NR_DRAM_CH 3 diff --git a/arch/arm/mach-versal/include/mach/hardware.h b/arch/arm/mach-versal/include/mach/hardware.h index e26beab..9af5afd 100644 --- a/arch/arm/mach-versal/include/mach/hardware.h +++ b/arch/arm/mach-versal/include/mach/hardware.h @@ -3,6 +3,10 @@ * Copyright 2016 - 2018 Xilinx, Inc. */ +#ifndef __ASSEMBLY__ +#include +#endif + #define VERSAL_CRL_APB_BASEADDR 0xFF5E0000 #define CRL_APB_TIMESTAMP_REF_CTRL_CLKACT_BIT BIT(25) diff --git a/arch/arm/mach-zynqmp/include/mach/hardware.h b/arch/arm/mach-zynqmp/include/mach/hardware.h index a0acfa2..c5ba421 100644 --- a/arch/arm/mach-zynqmp/include/mach/hardware.h +++ b/arch/arm/mach-zynqmp/include/mach/hardware.h @@ -7,6 +7,10 @@ #ifndef _ASM_ARCH_HARDWARE_H #define _ASM_ARCH_HARDWARE_H +#ifndef __ASSEMBLY__ +#include +#endif + #define ZYNQMP_TCM_BASE_ADDR 0xFFE00000 #define ZYNQMP_TCM_SIZE 0x40000 diff --git a/arch/mips/include/asm/cm.h b/arch/mips/include/asm/cm.h index 8f37471..3878171 100644 --- a/arch/mips/include/asm/cm.h +++ b/arch/mips/include/asm/cm.h @@ -39,6 +39,7 @@ #ifndef __ASSEMBLY__ #include +#include static inline void *mips_cm_base(void) { diff --git a/arch/mips/include/asm/mipsregs.h b/arch/mips/include/asm/mipsregs.h index f80311e..7538e6b 100644 --- a/arch/mips/include/asm/mipsregs.h +++ b/arch/mips/include/asm/mipsregs.h @@ -27,6 +27,7 @@ #ifdef __ASSEMBLY__ #define _ULCAST_ #else +#include #define _ULCAST_ (unsigned long) #endif diff --git a/arch/mips/lib/reloc.c b/arch/mips/lib/reloc.c index 1e3cfad..ffc8c7a 100644 --- a/arch/mips/lib/reloc.c +++ b/arch/mips/lib/reloc.c @@ -31,6 +31,7 @@ #include #include #include +#include /** * read_uint() - Read an unsigned integer from the buffer diff --git a/arch/mips/mach-ath79/ar933x/ddr.c b/arch/mips/mach-ath79/ar933x/ddr.c index 2cf0b2c..09166ec 100644 --- a/arch/mips/mach-ath79/ar933x/ddr.c +++ b/arch/mips/mach-ath79/ar933x/ddr.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include diff --git a/arch/mips/mach-ath79/ar934x/clk.c b/arch/mips/mach-ath79/ar934x/clk.c index bb972b3..9fa2225 100644 --- a/arch/mips/mach-ath79/ar934x/clk.c +++ b/arch/mips/mach-ath79/ar934x/clk.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/mips/mach-ath79/ar934x/ddr.c b/arch/mips/mach-ath79/ar934x/ddr.c index 9c5a6b6..218f60a 100644 --- a/arch/mips/mach-ath79/ar934x/ddr.c +++ b/arch/mips/mach-ath79/ar934x/ddr.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/mips/mach-ath79/qca953x/ddr.c b/arch/mips/mach-ath79/qca953x/ddr.c index 3a009bb..78f2370 100644 --- a/arch/mips/mach-ath79/qca953x/ddr.c +++ b/arch/mips/mach-ath79/qca953x/ddr.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/mips/mach-ath79/reset.c b/arch/mips/mach-ath79/reset.c index 62330a6..6cd5e77 100644 --- a/arch/mips/mach-ath79/reset.c +++ b/arch/mips/mach-ath79/reset.c @@ -5,6 +5,7 @@ */ #include +#include #include #include #include diff --git a/arch/mips/mach-jz47xx/include/mach/jz4780_dram.h b/arch/mips/mach-jz47xx/include/mach/jz4780_dram.h index 92d431b..61cc148 100644 --- a/arch/mips/mach-jz47xx/include/mach/jz4780_dram.h +++ b/arch/mips/mach-jz47xx/include/mach/jz4780_dram.h @@ -12,6 +12,7 @@ /* * DDR */ +#include #define DDRC_ST 0x0 #define DDRC_CFG 0x4 #define DDRC_CTRL 0x8 diff --git a/arch/mips/mach-jz47xx/jz4780/gpio.c b/arch/mips/mach-jz47xx/jz4780/gpio.c index cee2328..d4884e7 100644 --- a/arch/mips/mach-jz47xx/jz4780/gpio.c +++ b/arch/mips/mach-jz47xx/jz4780/gpio.c @@ -3,6 +3,7 @@ #include #include #include +#include #include int jz47xx_gpio_get_value(unsigned int gpio) diff --git a/arch/mips/mach-jz47xx/jz4780/pll.c b/arch/mips/mach-jz47xx/jz4780/pll.c index 43827d1..323c634 100644 --- a/arch/mips/mach-jz47xx/jz4780/pll.c +++ b/arch/mips/mach-jz47xx/jz4780/pll.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/arch/mips/mach-jz47xx/jz4780/reset.c b/arch/mips/mach-jz47xx/jz4780/reset.c index 73af347..bf6addc 100644 --- a/arch/mips/mach-jz47xx/jz4780/reset.c +++ b/arch/mips/mach-jz47xx/jz4780/reset.c @@ -9,6 +9,7 @@ #include #include #include +#include #include /* WDT */ diff --git a/arch/mips/mach-jz47xx/jz4780/sdram.c b/arch/mips/mach-jz47xx/jz4780/sdram.c index efbb82f..690f3c5 100644 --- a/arch/mips/mach-jz47xx/jz4780/sdram.c +++ b/arch/mips/mach-jz47xx/jz4780/sdram.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/arch/mips/mach-jz47xx/jz4780/timer.c b/arch/mips/mach-jz47xx/jz4780/timer.c index 70db74d..82bb9e8 100644 --- a/arch/mips/mach-jz47xx/jz4780/timer.c +++ b/arch/mips/mach-jz47xx/jz4780/timer.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include diff --git a/arch/mips/mach-mscc/cpu.c b/arch/mips/mach-mscc/cpu.c index 8273a0f5..b4ffd44 100644 --- a/arch/mips/mach-mscc/cpu.c +++ b/arch/mips/mach-mscc/cpu.c @@ -5,6 +5,7 @@ #include #include +#include #include #include diff --git a/arch/mips/mach-mscc/gpio.c b/arch/mips/mach-mscc/gpio.c index 5e3a533..d6b4c5d 100644 --- a/arch/mips/mach-mscc/gpio.c +++ b/arch/mips/mach-mscc/gpio.c @@ -5,6 +5,7 @@ #include #include +#include void mscc_gpio_set_alternate(int gpio, int mode) { diff --git a/arch/mips/mach-mscc/include/mach/ddr.h b/arch/mips/mach-mscc/include/mach/ddr.h index bf75e52..d52eabb 100644 --- a/arch/mips/mach-mscc/include/mach/ddr.h +++ b/arch/mips/mach-mscc/include/mach/ddr.h @@ -9,6 +9,7 @@ #include #include #include +#include #include #define MIPS_VCOREIII_MEMORY_DDR3 diff --git a/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb.h b/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb.h index 4a1228d..8d1d21b 100644 --- a/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb.h +++ b/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb.h @@ -6,6 +6,8 @@ #ifndef _MSCC_JR2_DEVCPU_GCB_H_ #define _MSCC_JR2_DEVCPU_GCB_H_ +#include + #define PERF_GPR 0x4 #define PERF_SOFT_RST 0x8 diff --git a/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb_miim_regs.h b/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb_miim_regs.h index 3c84edc..e11ad87 100644 --- a/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb_miim_regs.h +++ b/arch/mips/mach-mscc/include/mach/jr2/jr2_devcpu_gcb_miim_regs.h @@ -6,6 +6,8 @@ #ifndef _MSCC_JR2_DEVCPU_GCB_MIIM_REGS_H_ #define _MSCC_JR2_DEVCPU_GCB_MIIM_REGS_H_ +#include + #define MIIM_MII_STATUS(gi) (0xc8 + (gi * 36)) #define MIIM_MII_CMD(gi) (0xd0 + (gi * 36)) #define MIIM_MII_DATA(gi) (0xd4 + (gi * 36)) diff --git a/arch/mips/mach-mscc/include/mach/jr2/jr2_icpu_cfg.h b/arch/mips/mach-mscc/include/mach/jr2/jr2_icpu_cfg.h index 6e0bbe2..151bb3e 100644 --- a/arch/mips/mach-mscc/include/mach/jr2/jr2_icpu_cfg.h +++ b/arch/mips/mach-mscc/include/mach/jr2/jr2_icpu_cfg.h @@ -6,6 +6,8 @@ #ifndef _MSCC_JR2_ICPU_CFG_H_ #define _MSCC_JR2_ICPU_CFG_H_ +#include + #define ICPU_GPR(x) (0x4 * (x)) #define ICPU_GPR_RSZ 0x4 diff --git a/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb.h b/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb.h index a74a685..750a801 100644 --- a/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb.h +++ b/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb.h @@ -6,6 +6,7 @@ #ifndef _MSCC_OCELOT_DEVCPU_GCB_H_ #define _MSCC_OCELOT_DEVCPU_GCB_H_ +#include #define PERF_SOFT_RST 0x90 #define PERF_SOFT_RST_SOFT_SWC_RST BIT(1) diff --git a/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb_miim_regs.h b/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb_miim_regs.h index 2303734..07c4f9a 100644 --- a/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb_miim_regs.h +++ b/arch/mips/mach-mscc/include/mach/luton/luton_devcpu_gcb_miim_regs.h @@ -8,6 +8,7 @@ #ifndef _MSCC_LUTON_MIIM_REGS_H_ #define _MSCC_LUTON_MIIM_REGS_H_ +#include #define MIIM_MII_STATUS(gi) (0xa0 + (gi * 36)) #define MIIM_MII_CMD(gi) (0xa8 + (gi * 36)) #define MIIM_MII_DATA(gi) (0xac + (gi * 36)) diff --git a/arch/mips/mach-mscc/include/mach/luton/luton_icpu_cfg.h b/arch/mips/mach-mscc/include/mach/luton/luton_icpu_cfg.h index 9233f03..ded7c5f 100644 --- a/arch/mips/mach-mscc/include/mach/luton/luton_icpu_cfg.h +++ b/arch/mips/mach-mscc/include/mach/luton/luton_icpu_cfg.h @@ -6,6 +6,7 @@ #ifndef _MSCC_OCELOT_ICPU_CFG_H_ #define _MSCC_OCELOT_ICPU_CFG_H_ +#include #define ICPU_GPR(x) (0x4 * (x)) #define ICPU_GPR_RSZ 0x4 diff --git a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb.h b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb.h index b2a4203..5715ec1 100644 --- a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb.h +++ b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb.h @@ -6,6 +6,7 @@ #ifndef _MSCC_OCELOT_DEVCPU_GCB_H_ #define _MSCC_OCELOT_DEVCPU_GCB_H_ +#include #define PERF_SOFT_RST 0x8 #define PERF_SOFT_RST_SOFT_NON_CFG_RST BIT(2) diff --git a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb_miim_regs.h b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb_miim_regs.h index 4ad9221..50cf073 100644 --- a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb_miim_regs.h +++ b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_devcpu_gcb_miim_regs.h @@ -6,6 +6,7 @@ #ifndef _MSCC_OCELOT_DEVCPU_GCB_MIIM_REGS_H_ #define _MSCC_OCELOT_DEVCPU_GCB_MIIM_REGS_H_ +#include #define MIIM_MII_STATUS(gi) (0x9c + (gi * 36)) #define MIIM_MII_CMD(gi) (0xa4 + (gi * 36)) #define MIIM_MII_DATA(gi) (0xa8 + (gi * 36)) diff --git a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_icpu_cfg.h b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_icpu_cfg.h index 04cf70b..fb10bf2 100644 --- a/arch/mips/mach-mscc/include/mach/ocelot/ocelot_icpu_cfg.h +++ b/arch/mips/mach-mscc/include/mach/ocelot/ocelot_icpu_cfg.h @@ -6,6 +6,7 @@ #ifndef _MSCC_OCELOT_ICPU_CFG_H_ #define _MSCC_OCELOT_ICPU_CFG_H_ +#include #define ICPU_GPR(x) (0x4 * (x)) #define ICPU_GPR_RSZ 0x4 diff --git a/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb.h b/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb.h index 9b80fdb..43d40be 100644 --- a/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb.h +++ b/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVAL_DEVCPU_GCB_H_ #define _MSCC_SERVAL_DEVCPU_GCB_H_ +#include #define CHIP_ID 0x0 #define PERF_GPR 0x4 diff --git a/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb_miim_regs.h b/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb_miim_regs.h index a3abbc40..e8cb1dc 100644 --- a/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb_miim_regs.h +++ b/arch/mips/mach-mscc/include/mach/serval/serval_devcpu_gcb_miim_regs.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVAL_DEVCPU_GCB_MIIM_REGS_H_ #define _MSCC_SERVAL_DEVCPU_GCB_MIIM_REGS_H_ +#include #define MIIM_MII_STATUS(gi) (0x5c + (gi * 36)) #define MIIM_MII_CMD(gi) (0x64 + (gi * 36)) #define MIIM_MII_DATA(gi) (0x68 + (gi * 36)) diff --git a/arch/mips/mach-mscc/include/mach/serval/serval_icpu_cfg.h b/arch/mips/mach-mscc/include/mach/serval/serval_icpu_cfg.h index b8c9d5c..4d4151b 100644 --- a/arch/mips/mach-mscc/include/mach/serval/serval_icpu_cfg.h +++ b/arch/mips/mach-mscc/include/mach/serval/serval_icpu_cfg.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVAL_ICPU_CFG_H_ #define _MSCC_SERVAL_ICPU_CFG_H_ +#include #define ICPU_GPR(x) (0x4 * (x)) #define ICPU_GPR_RSZ 0x8 diff --git a/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb.h b/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb.h index 493eaad..7d6c64f 100644 --- a/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb.h +++ b/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVALT_DEVCPU_GCB_H_ #define _MSCC_SERVALT_DEVCPU_GCB_H_ +#include #define PERF_GPR 0x4 #define PERF_SOFT_RST 0x8 diff --git a/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb_miim_regs.h b/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb_miim_regs.h index 8c67190..72d7c4d 100644 --- a/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb_miim_regs.h +++ b/arch/mips/mach-mscc/include/mach/servalt/servalt_devcpu_gcb_miim_regs.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVALT_DEVCPU_GCB_MIIM_REGS_H_ #define _MSCC_SERVALT_DEVCPU_GCB_MIIM_REGS_H_ +#include #define MIIM_MII_STATUS(gi) (0xc4 + (gi * 36)) #define MIIM_MII_CMD(gi) (0xcc + (gi * 36)) #define MIIM_MII_DATA(gi) (0xd0 + (gi * 36)) diff --git a/arch/mips/mach-mscc/include/mach/servalt/servalt_icpu_cfg.h b/arch/mips/mach-mscc/include/mach/servalt/servalt_icpu_cfg.h index 491ead1..13967f6 100644 --- a/arch/mips/mach-mscc/include/mach/servalt/servalt_icpu_cfg.h +++ b/arch/mips/mach-mscc/include/mach/servalt/servalt_icpu_cfg.h @@ -6,6 +6,7 @@ #ifndef _MSCC_SERVALT_ICPU_CFG_H_ #define _MSCC_SERVALT_ICPU_CFG_H_ +#include #define ICPU_GPR(x) (0x4 * (x)) #define ICPU_GPR_RSZ 0x8 diff --git a/arch/mips/mach-mscc/include/mach/tlb.h b/arch/mips/mach-mscc/include/mach/tlb.h index fdb554f..ebd8ad0 100644 --- a/arch/mips/mach-mscc/include/mach/tlb.h +++ b/arch/mips/mach-mscc/include/mach/tlb.h @@ -7,6 +7,7 @@ #define __ASM_MACH_TLB_H #include +#include #include #include diff --git a/arch/mips/mach-mtmips/cpu.c b/arch/mips/mach-mtmips/cpu.c index 9ee5c7f..2ddf8cb 100644 --- a/arch/mips/mach-mtmips/cpu.c +++ b/arch/mips/mach-mtmips/cpu.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include diff --git a/arch/nios2/cpu/cpu.c b/arch/nios2/cpu/cpu.c index 756535a..7f5e731 100644 --- a/arch/nios2/cpu/cpu.c +++ b/arch/nios2/cpu/cpu.c @@ -13,6 +13,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/powerpc/cpu/mpc83xx/pci.c b/arch/powerpc/cpu/mpc83xx/pci.c index 74f824d..f017985 100644 --- a/arch/powerpc/cpu/mpc83xx/pci.c +++ b/arch/powerpc/cpu/mpc83xx/pci.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #if defined(CONFIG_OF_LIBFDT) diff --git a/arch/powerpc/cpu/mpc83xx/spd_sdram.c b/arch/powerpc/cpu/mpc83xx/spd_sdram.c index c28e442..aeff007 100644 --- a/arch/powerpc/cpu/mpc83xx/spd_sdram.c +++ b/arch/powerpc/cpu/mpc83xx/spd_sdram.c @@ -23,6 +23,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/powerpc/cpu/mpc85xx/pci.c b/arch/powerpc/cpu/mpc85xx/pci.c index 1d0213a..9a6fc13 100644 --- a/arch/powerpc/cpu/mpc85xx/pci.c +++ b/arch/powerpc/cpu/mpc85xx/pci.c @@ -9,6 +9,7 @@ * PCI Configuration space access support for MPC85xx PCI Bridge */ #include +#include #include #include diff --git a/arch/powerpc/cpu/mpc85xx/tlb.c b/arch/powerpc/cpu/mpc85xx/tlb.c index 808d953..4465ae7 100644 --- a/arch/powerpc/cpu/mpc85xx/tlb.c +++ b/arch/powerpc/cpu/mpc85xx/tlb.c @@ -7,6 +7,7 @@ */ #include +#include #include #include #ifdef CONFIG_ADDR_MAP diff --git a/arch/powerpc/cpu/mpc8xxx/fsl_pamu.c b/arch/powerpc/cpu/mpc8xxx/fsl_pamu.c index 3d00de3..2c378b7 100644 --- a/arch/powerpc/cpu/mpc8xxx/fsl_pamu.c +++ b/arch/powerpc/cpu/mpc8xxx/fsl_pamu.c @@ -7,6 +7,7 @@ #include #include +#include #include #include #include diff --git a/arch/powerpc/cpu/mpc8xxx/law.c b/arch/powerpc/cpu/mpc8xxx/law.c index ca9e6aa..e388386 100644 --- a/arch/powerpc/cpu/mpc8xxx/law.c +++ b/arch/powerpc/cpu/mpc8xxx/law.c @@ -7,6 +7,7 @@ */ #include +#include #include #include #include diff --git a/arch/powerpc/include/asm/mmu.h b/arch/powerpc/include/asm/mmu.h index 82e5f9f..353dc4e 100644 --- a/arch/powerpc/include/asm/mmu.h +++ b/arch/powerpc/include/asm/mmu.h @@ -7,6 +7,7 @@ #ifndef __ASSEMBLY__ /* Hardware Page Table Entry */ +#include typedef struct _PTE { #ifdef CONFIG_PPC64BRIDGE unsigned long long vsid:52; diff --git a/arch/powerpc/include/asm/u-boot.h b/arch/powerpc/include/asm/u-boot.h index 1841565..19b3c0d 100644 --- a/arch/powerpc/include/asm/u-boot.h +++ b/arch/powerpc/include/asm/u-boot.h @@ -13,6 +13,8 @@ #ifndef __U_BOOT_H__ #define __U_BOOT_H__ +#include + /* For image.h:image_check_target_arch() */ #define IH_ARCH_DEFAULT IH_ARCH_PPC diff --git a/arch/riscv/cpu/cpu.c b/arch/riscv/cpu/cpu.c index 1cebb03..5804aa8 100644 --- a/arch/riscv/cpu/cpu.c +++ b/arch/riscv/cpu/cpu.c @@ -10,6 +10,7 @@ #include #include #include +#include /* * The variables here must be stored in the data section since they are used diff --git a/arch/riscv/include/asm/encoding.h b/arch/riscv/include/asm/encoding.h index a0695da..edafad3 100644 --- a/arch/riscv/include/asm/encoding.h +++ b/arch/riscv/include/asm/encoding.h @@ -8,6 +8,9 @@ #define RISCV_CSR_ENCODING_H #include +#ifndef __ASSEMBLY__ +#include +#endif #if CONFIG_IS_ENABLED(RISCV_SMODE) #define MODE_PREFIX(__suffix) s##__suffix diff --git a/arch/sh/lib/time.c b/arch/sh/lib/time.c index d09f782..f951544 100644 --- a/arch/sh/lib/time.c +++ b/arch/sh/lib/time.c @@ -14,6 +14,7 @@ #include #include #include +#include #if defined(CONFIG_CPU_SH4) || defined(CONFIG_ARCH_RMOBILE) #define TSTR 0x4 diff --git a/arch/x86/cpu/apollolake/fsp_s.c b/arch/x86/cpu/apollolake/fsp_s.c index 7d62af9..3e303f8 100644 --- a/arch/x86/cpu/apollolake/fsp_s.c +++ b/arch/x86/cpu/apollolake/fsp_s.c @@ -23,6 +23,7 @@ #include #include #include +#include #define PCH_P2SB_E0 0xe0 #define HIDE_BIT BIT(0) diff --git a/arch/x86/cpu/apollolake/pmc.c b/arch/x86/cpu/apollolake/pmc.c index c82cbdc..192dec7 100644 --- a/arch/x86/cpu/apollolake/pmc.c +++ b/arch/x86/cpu/apollolake/pmc.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #define GPIO_GPE_CFG 0x1050 diff --git a/arch/x86/cpu/baytrail/valleyview.c b/arch/x86/cpu/baytrail/valleyview.c index f2e48f4..f73738c 100644 --- a/arch/x86/cpu/baytrail/valleyview.c +++ b/arch/x86/cpu/baytrail/valleyview.c @@ -11,6 +11,7 @@ #include #include #include +#include /* GPIO SUS */ #define GPIO_SUS_PAD_BASE (IO_BASE_ADDRESS + IO_BASE_OFFSET_GPSSUS) diff --git a/arch/x86/cpu/intel_common/lpc.c b/arch/x86/cpu/intel_common/lpc.c index 2a538cf..3420f03 100644 --- a/arch/x86/cpu/intel_common/lpc.c +++ b/arch/x86/cpu/intel_common/lpc.c @@ -12,6 +12,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/x86/cpu/intel_common/p2sb.c b/arch/x86/cpu/intel_common/p2sb.c index 860eaf9..ec35d04 100644 --- a/arch/x86/cpu/intel_common/p2sb.c +++ b/arch/x86/cpu/intel_common/p2sb.c @@ -14,6 +14,7 @@ #include #include #include +#include struct p2sb_platdata { #if CONFIG_IS_ENABLED(OF_PLATDATA) diff --git a/arch/x86/cpu/ivybridge/bd82x6x.c b/arch/x86/cpu/ivybridge/bd82x6x.c index d72c0d6..5448f06 100644 --- a/arch/x86/cpu/ivybridge/bd82x6x.c +++ b/arch/x86/cpu/ivybridge/bd82x6x.c @@ -18,6 +18,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/arch/x86/include/asm/arch-apollolake/lpc.h b/arch/x86/include/asm/arch-apollolake/lpc.h index 5d2adad..977b7ec 100644 --- a/arch/x86/include/asm/arch-apollolake/lpc.h +++ b/arch/x86/include/asm/arch-apollolake/lpc.h @@ -7,6 +7,7 @@ #ifndef _ASM_ARCH_LPC_H #define _ASM_ARCH_LPC_H +#include #define LPC_SERIRQ_CTL 0x64 #define LPC_SCNT_EN BIT(7) #define LPC_SCNT_MODE BIT(6) diff --git a/arch/x86/include/asm/arch-apollolake/systemagent.h b/arch/x86/include/asm/arch-apollolake/systemagent.h index 206d890..9e7bd62 100644 --- a/arch/x86/include/asm/arch-apollolake/systemagent.h +++ b/arch/x86/include/asm/arch-apollolake/systemagent.h @@ -8,6 +8,7 @@ #define _ASM_ARCH_SYSTEMAGENT_H /* Device 0:0.0 PCI configuration space */ +#include #define MCHBAR 0x48 /* RAPL Package Power Limit register under MCHBAR */ diff --git a/arch/x86/include/asm/arch-broadwell/adsp.h b/arch/x86/include/asm/arch-broadwell/adsp.h index eb825ce..a5a1f73 100644 --- a/arch/x86/include/asm/arch-broadwell/adsp.h +++ b/arch/x86/include/asm/arch-broadwell/adsp.h @@ -10,6 +10,7 @@ #ifndef __ASM_ARCH_BROADWELL_ADSP_H #define __ASM_ARCH_BROADWELL_ADSP_H +#include #define ADSP_PCI_IRQ 23 #define ADSP_ACPI_IRQ 3 #define ADSP_ACPI_IRQEN BIT(3) diff --git a/arch/x86/include/asm/arch-broadwell/serialio.h b/arch/x86/include/asm/arch-broadwell/serialio.h index 5e98eaf..ff09278 100644 --- a/arch/x86/include/asm/arch-broadwell/serialio.h +++ b/arch/x86/include/asm/arch-broadwell/serialio.h @@ -9,6 +9,7 @@ #define __ARCH_BROADWELL_SERIALIO_H_ /* Serial IO IOBP Registers */ +#include #define SIO_IOBP_PORTCTRL0 0xcb000000 /* SDIO D23:F0 */ #define SIO_IOBP_PORTCTRL0_ACPI_IRQ_EN BIT(5) #define SIO_IOBP_PORTCTRL0_PCI_CONF_DIS BIT(4) diff --git a/arch/x86/include/asm/atomic.h b/arch/x86/include/asm/atomic.h index 806f787..4ca0f79 100644 --- a/arch/x86/include/asm/atomic.h +++ b/arch/x86/include/asm/atomic.h @@ -1,6 +1,7 @@ #ifndef _ASM_X86_ATOMIC_H #define _ASM_X86_ATOMIC_H +#include #include #include #include diff --git a/arch/x86/include/asm/fast_spi.h b/arch/x86/include/asm/fast_spi.h index 6894298..47c1da8 100644 --- a/arch/x86/include/asm/fast_spi.h +++ b/arch/x86/include/asm/fast_spi.h @@ -7,6 +7,7 @@ #define ASM_FAST_SPI_H /* Register offsets from the MMIO region base (PCI_BASE_ADDRESS_0) */ +#include struct fast_spi_regs { u32 bfp; u32 hsfsts_ctl; diff --git a/arch/x86/include/asm/intel_pinctrl.h b/arch/x86/include/asm/intel_pinctrl.h index 72fd924..e2524b0 100644 --- a/arch/x86/include/asm/intel_pinctrl.h +++ b/arch/x86/include/asm/intel_pinctrl.h @@ -10,6 +10,7 @@ #define __ASM_INTEL_PINCTRL_H #include +#include /** * struct pad_config - config for a pad diff --git a/arch/x86/include/asm/msr-index.h b/arch/x86/include/asm/msr-index.h index 246c14f..94e6b18 100644 --- a/arch/x86/include/asm/msr-index.h +++ b/arch/x86/include/asm/msr-index.h @@ -9,6 +9,10 @@ #ifndef _ASM_X86_MSR_INDEX_H #define _ASM_X86_MSR_INDEX_H +#ifndef __ASSEMBLY__ +#include +#endif + /* CPU model specific register (MSR) numbers */ /* x86-64 specific MSRs */ diff --git a/arch/x86/lib/scu.c b/arch/x86/lib/scu.c index 41e6739..d29d701 100644 --- a/arch/x86/lib/scu.c +++ b/arch/x86/lib/scu.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/board/CZ.NIC/turris_mox/mox_sp.c b/board/CZ.NIC/turris_mox/mox_sp.c index 8139345..cc57b9f 100644 --- a/board/CZ.NIC/turris_mox/mox_sp.c +++ b/board/CZ.NIC/turris_mox/mox_sp.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #define RWTM_BASE (MVEBU_REGISTER(0xb0000)) diff --git a/board/CZ.NIC/turris_omnia/turris_omnia.c b/board/CZ.NIC/turris_omnia/turris_omnia.c index 25759e0..2da878d 100644 --- a/board/CZ.NIC/turris_omnia/turris_omnia.c +++ b/board/CZ.NIC/turris_omnia/turris_omnia.c @@ -21,6 +21,7 @@ #include #include #include +#include #include # include diff --git a/board/Marvell/db-88f6281-bp/db-88f6281-bp.c b/board/Marvell/db-88f6281-bp/db-88f6281-bp.c index a6f1167..62027bd 100644 --- a/board/Marvell/db-88f6281-bp/db-88f6281-bp.c +++ b/board/Marvell/db-88f6281-bp/db-88f6281-bp.c @@ -3,6 +3,7 @@ #include #include #include +#include #include #include #include diff --git a/board/Marvell/db-88f6720/db-88f6720.c b/board/Marvell/db-88f6720/db-88f6720.c index ba0a944..e9897b3 100644 --- a/board/Marvell/db-88f6720/db-88f6720.c +++ b/board/Marvell/db-88f6720/db-88f6720.c @@ -11,6 +11,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/board/Marvell/db-88f6820-amc/db-88f6820-amc.c b/board/Marvell/db-88f6820-amc/db-88f6820-amc.c index f225f46..60ce940 100644 --- a/board/Marvell/db-88f6820-amc/db-88f6820-amc.c +++ b/board/Marvell/db-88f6820-amc/db-88f6820-amc.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "../drivers/ddr/marvell/a38x/ddr3_init.h" #include <../serdes/a38x/high_speed_env_spec.h> diff --git a/board/Marvell/db-88f6820-gp/db-88f6820-gp.c b/board/Marvell/db-88f6820-gp/db-88f6820-gp.c index 53a80c9..08a3c1c 100644 --- a/board/Marvell/db-88f6820-gp/db-88f6820-gp.c +++ b/board/Marvell/db-88f6820-gp/db-88f6820-gp.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "../drivers/ddr/marvell/a38x/ddr3_init.h" #include <../serdes/a38x/high_speed_env_spec.h> diff --git a/board/Marvell/db-mv784mp-gp/db-mv784mp-gp.c b/board/Marvell/db-mv784mp-gp/db-mv784mp-gp.c index cb65357..63bb771 100644 --- a/board/Marvell/db-mv784mp-gp/db-mv784mp-gp.c +++ b/board/Marvell/db-mv784mp-gp/db-mv784mp-gp.c @@ -11,6 +11,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/board/Marvell/db-xc3-24g4xg/db-xc3-24g4xg.c b/board/Marvell/db-xc3-24g4xg/db-xc3-24g4xg.c index 1153b18..5f12a27 100644 --- a/board/Marvell/db-xc3-24g4xg/db-xc3-24g4xg.c +++ b/board/Marvell/db-xc3-24g4xg/db-xc3-24g4xg.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/board/Synology/ds414/ds414.c b/board/Synology/ds414/ds414.c index deb530f..6322051 100644 --- a/board/Synology/ds414/ds414.c +++ b/board/Synology/ds414/ds414.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include "../drivers/ddr/marvell/axp/ddr3_hw_training.h" diff --git a/board/alliedtelesis/SBx81LIFKW/sbx81lifkw.c b/board/alliedtelesis/SBx81LIFKW/sbx81lifkw.c index 982cb15..668484b 100644 --- a/board/alliedtelesis/SBx81LIFKW/sbx81lifkw.c +++ b/board/alliedtelesis/SBx81LIFKW/sbx81lifkw.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/board/alliedtelesis/SBx81LIFXCAT/sbx81lifxcat.c b/board/alliedtelesis/SBx81LIFXCAT/sbx81lifxcat.c index 5c9124f..e4bf507 100644 --- a/board/alliedtelesis/SBx81LIFXCAT/sbx81lifxcat.c +++ b/board/alliedtelesis/SBx81LIFXCAT/sbx81lifxcat.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/board/alliedtelesis/x530/x530.c b/board/alliedtelesis/x530/x530.c index 1a6dec0..c7438ae 100644 --- a/board/alliedtelesis/x530/x530.c +++ b/board/alliedtelesis/x530/x530.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/board/cortina/presidio-asic/presidio.c b/board/cortina/presidio-asic/presidio.c index 427151c..3c132f1 100644 --- a/board/cortina/presidio-asic/presidio.c +++ b/board/cortina/presidio-asic/presidio.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/board/dhelectronics/dh_stm32mp1/board.c b/board/dhelectronics/dh_stm32mp1/board.c index c7d316e..26e827b 100644 --- a/board/dhelectronics/dh_stm32mp1/board.c +++ b/board/dhelectronics/dh_stm32mp1/board.c @@ -32,6 +32,7 @@ #include #include #include +#include #include #include #include diff --git a/board/firefly/firefly-rk3308/roc_cc_rk3308.c b/board/firefly/firefly-rk3308/roc_cc_rk3308.c index 5f0a659..28dcc2a 100644 --- a/board/firefly/firefly-rk3308/roc_cc_rk3308.c +++ b/board/firefly/firefly-rk3308/roc_cc_rk3308.c @@ -8,6 +8,7 @@ #include #include #include +#include #if defined(CONFIG_DEBUG_UART) #define GRF_BASE 0xff000000 diff --git a/board/freescale/imx8mq_evk/imx8mq_evk.c b/board/freescale/imx8mq_evk/imx8mq_evk.c index b2f464a..ae3be57 100644 --- a/board/freescale/imx8mq_evk/imx8mq_evk.c +++ b/board/freescale/imx8mq_evk/imx8mq_evk.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include #include "../common/pfuze.h" diff --git a/board/freescale/lx2160a/lx2160a.c b/board/freescale/lx2160a/lx2160a.c index fba068c..88eb66b 100644 --- a/board/freescale/lx2160a/lx2160a.c +++ b/board/freescale/lx2160a/lx2160a.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/board/freescale/mpc8349emds/mpc8349emds.c b/board/freescale/mpc8349emds/mpc8349emds.c index 688bffa..576f04c 100644 --- a/board/freescale/mpc8349emds/mpc8349emds.c +++ b/board/freescale/mpc8349emds/mpc8349emds.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/board/freescale/mpc8349itx/mpc8349itx.c b/board/freescale/mpc8349itx/mpc8349itx.c index 074f3d2..3993d58 100644 --- a/board/freescale/mpc8349itx/mpc8349itx.c +++ b/board/freescale/mpc8349itx/mpc8349itx.c @@ -17,6 +17,7 @@ #include #endif #include +#include #include #if defined(CONFIG_OF_LIBFDT) #include diff --git a/board/freescale/mpc837xemds/mpc837xemds.c b/board/freescale/mpc837xemds/mpc837xemds.c index d53c861..182391c 100644 --- a/board/freescale/mpc837xemds/mpc837xemds.c +++ b/board/freescale/mpc837xemds/mpc837xemds.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/board/freescale/mpc837xerdb/mpc837xerdb.c b/board/freescale/mpc837xerdb/mpc837xerdb.c index 3fd2c5e..94cb93a 100644 --- a/board/freescale/mpc837xerdb/mpc837xerdb.c +++ b/board/freescale/mpc837xerdb/mpc837xerdb.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/board/gdsys/a38x/ihs_phys.c b/board/gdsys/a38x/ihs_phys.c index 2ef3b3a..c23d150 100644 --- a/board/gdsys/a38x/ihs_phys.c +++ b/board/gdsys/a38x/ihs_phys.c @@ -2,6 +2,7 @@ #include #include #include +#include #include #include "ihs_phys.h" diff --git a/board/gdsys/common/cmd_ioloop.c b/board/gdsys/common/cmd_ioloop.c index 3b4494c..3ea2bec 100644 --- a/board/gdsys/common/cmd_ioloop.c +++ b/board/gdsys/common/cmd_ioloop.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include diff --git a/board/gdsys/common/ioep-fpga.c b/board/gdsys/common/ioep-fpga.c index 066222c..7292d7a 100644 --- a/board/gdsys/common/ioep-fpga.c +++ b/board/gdsys/common/ioep-fpga.c @@ -9,6 +9,7 @@ #include #include +#include enum pcb_video_type { PCB_DVI_SL, @@ -443,6 +444,7 @@ static int get_features(unsigned int fpga, struct fpga_features *features) return 0; } +#include #endif bool ioep_fpga_has_osd(unsigned int fpga) diff --git a/board/gdsys/mpc8308/hrcon.c b/board/gdsys/mpc8308/hrcon.c index 90946cc..d206f8f 100644 --- a/board/gdsys/mpc8308/hrcon.c +++ b/board/gdsys/mpc8308/hrcon.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/board/gdsys/mpc8308/strider.c b/board/gdsys/mpc8308/strider.c index 9b82c94..b3af8fe 100644 --- a/board/gdsys/mpc8308/strider.c +++ b/board/gdsys/mpc8308/strider.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/board/hisilicon/poplar/poplar.c b/board/hisilicon/poplar/poplar.c index 8e2dd5f..371c3c3 100644 --- a/board/hisilicon/poplar/poplar.c +++ b/board/hisilicon/poplar/poplar.c @@ -13,6 +13,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/board/ids/ids8313/ids8313.c b/board/ids/ids8313/ids8313.c index 2065a5a..d0d3401 100644 --- a/board/ids/ids8313/ids8313.c +++ b/board/ids/ids8313/ids8313.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include diff --git a/board/imgtec/ci20/ci20.c b/board/imgtec/ci20/ci20.c index e87ea0a..5339b9e 100644 --- a/board/imgtec/ci20/ci20.c +++ b/board/imgtec/ci20/ci20.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/keymile/common/qrio.c b/board/keymile/common/qrio.c index 0cb3366..06a4e67 100644 --- a/board/keymile/common/qrio.c +++ b/board/keymile/common/qrio.c @@ -5,6 +5,7 @@ */ #include +#include #include "common.h" #include "qrio.h" diff --git a/board/menlo/m53menlo/m53menlo.c b/board/menlo/m53menlo/m53menlo.c index 70a13aa..58a564a 100644 --- a/board/menlo/m53menlo/m53menlo.c +++ b/board/menlo/m53menlo/m53menlo.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include #include diff --git a/board/mikrotik/crs305-1g-4s/crs305-1g-4s.c b/board/mikrotik/crs305-1g-4s/crs305-1g-4s.c index 4b67a4b..8b419ef 100644 --- a/board/mikrotik/crs305-1g-4s/crs305-1g-4s.c +++ b/board/mikrotik/crs305-1g-4s/crs305-1g-4s.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/board/mscc/common/spi.c b/board/mscc/common/spi.c index 0566fcb..45b9649 100644 --- a/board/mscc/common/spi.c +++ b/board/mscc/common/spi.c @@ -6,6 +6,7 @@ #include #include #include +#include void external_cs_manage(struct udevice *dev, bool enable) { diff --git a/board/mscc/jr2/jr2.c b/board/mscc/jr2/jr2.c index f806576..51700f4 100644 --- a/board/mscc/jr2/jr2.c +++ b/board/mscc/jr2/jr2.c @@ -9,6 +9,7 @@ #include #include #include +#include #include enum { diff --git a/board/mscc/ocelot/ocelot.c b/board/mscc/ocelot/ocelot.c index a534536..74e8bfc 100644 --- a/board/mscc/ocelot/ocelot.c +++ b/board/mscc/ocelot/ocelot.c @@ -14,6 +14,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/board/netgear/dgnd3700v2/dgnd3700v2.c b/board/netgear/dgnd3700v2/dgnd3700v2.c index d9c0200..cfc3529 100644 --- a/board/netgear/dgnd3700v2/dgnd3700v2.c +++ b/board/netgear/dgnd3700v2/dgnd3700v2.c @@ -6,6 +6,7 @@ #include #include #include +#include #define GPIO_BASE_6362 0x10000080 diff --git a/board/nvidia/p2371-2180/p2371-2180.c b/board/nvidia/p2371-2180/p2371-2180.c index 0a988cb..4aeec47 100644 --- a/board/nvidia/p2371-2180/p2371-2180.c +++ b/board/nvidia/p2371-2180/p2371-2180.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/board/nvidia/p3450-0000/p3450-0000.c b/board/nvidia/p3450-0000/p3450-0000.c index f4212ab..45f9bac 100644 --- a/board/nvidia/p3450-0000/p3450-0000.c +++ b/board/nvidia/p3450-0000/p3450-0000.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/board/phytec/pcm052/pcm052.c b/board/phytec/pcm052/pcm052.c index c40dc05..b0c56a2 100644 --- a/board/phytec/pcm052/pcm052.c +++ b/board/phytec/pcm052/pcm052.c @@ -17,6 +17,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/board/phytec/phycore_rk3288/phycore-rk3288.c b/board/phytec/phycore_rk3288/phycore-rk3288.c index ac7c5b0..ecc7322 100644 --- a/board/phytec/phycore_rk3288/phycore-rk3288.c +++ b/board/phytec/phycore_rk3288/phycore-rk3288.c @@ -16,6 +16,7 @@ #include #include #include +#include #include "som.h" #include #include diff --git a/board/renesas/alt/alt.c b/board/renesas/alt/alt.c index 600a355..279ed48 100644 --- a/board/renesas/alt/alt.c +++ b/board/renesas/alt/alt.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/alt/alt_spl.c b/board/renesas/alt/alt_spl.c index a9c2894..2de236f 100644 --- a/board/renesas/alt/alt_spl.c +++ b/board/renesas/alt/alt_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/blanche/blanche.c b/board/renesas/blanche/blanche.c index 400c166..5fa1087 100644 --- a/board/renesas/blanche/blanche.c +++ b/board/renesas/blanche/blanche.c @@ -24,6 +24,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/draak/draak.c b/board/renesas/draak/draak.c index 5f37a19..c8f4b21 100644 --- a/board/renesas/draak/draak.c +++ b/board/renesas/draak/draak.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/gose/gose.c b/board/renesas/gose/gose.c index 686ad28..c6a93c2 100644 --- a/board/renesas/gose/gose.c +++ b/board/renesas/gose/gose.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/gose/gose_spl.c b/board/renesas/gose/gose_spl.c index b1b2ceb..624ba5d 100644 --- a/board/renesas/gose/gose_spl.c +++ b/board/renesas/gose/gose_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/koelsch/koelsch.c b/board/renesas/koelsch/koelsch.c index 0f21f8d..1b3acc8 100644 --- a/board/renesas/koelsch/koelsch.c +++ b/board/renesas/koelsch/koelsch.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/koelsch/koelsch_spl.c b/board/renesas/koelsch/koelsch_spl.c index a321678..449bbfa 100644 --- a/board/renesas/koelsch/koelsch_spl.c +++ b/board/renesas/koelsch/koelsch_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/lager/lager.c b/board/renesas/lager/lager.c index 8a94f21..36a35a9 100644 --- a/board/renesas/lager/lager.c +++ b/board/renesas/lager/lager.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/lager/lager_spl.c b/board/renesas/lager/lager_spl.c index ceaf164..1ca857c 100644 --- a/board/renesas/lager/lager_spl.c +++ b/board/renesas/lager/lager_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/porter/porter.c b/board/renesas/porter/porter.c index f311a72..793e02c 100644 --- a/board/renesas/porter/porter.c +++ b/board/renesas/porter/porter.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/porter/porter_spl.c b/board/renesas/porter/porter_spl.c index 5b1f03c..f10c6cf 100644 --- a/board/renesas/porter/porter_spl.c +++ b/board/renesas/porter/porter_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/rcar-common/gen3-spl.c b/board/renesas/rcar-common/gen3-spl.c index e006774..fd6e505 100644 --- a/board/renesas/rcar-common/gen3-spl.c +++ b/board/renesas/rcar-common/gen3-spl.c @@ -12,6 +12,7 @@ #include #include #include +#include #define RCAR_CNTC_BASE 0xE6080000 #define CNTCR_EN BIT(0) diff --git a/board/renesas/salvator-x/salvator-x.c b/board/renesas/salvator-x/salvator-x.c index ff0409b..947bdae 100644 --- a/board/renesas/salvator-x/salvator-x.c +++ b/board/renesas/salvator-x/salvator-x.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/silk/silk.c b/board/renesas/silk/silk.c index fe753a7..171d06f 100644 --- a/board/renesas/silk/silk.c +++ b/board/renesas/silk/silk.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/silk/silk_spl.c b/board/renesas/silk/silk_spl.c index 9859977..f10f84a 100644 --- a/board/renesas/silk/silk_spl.c +++ b/board/renesas/silk/silk_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/stout/stout.c b/board/renesas/stout/stout.c index b32e11a1..babcce9 100644 --- a/board/renesas/stout/stout.c +++ b/board/renesas/stout/stout.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/stout/stout_spl.c b/board/renesas/stout/stout_spl.c index a10752d..57c1fab 100644 --- a/board/renesas/stout/stout_spl.c +++ b/board/renesas/stout/stout_spl.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/board/renesas/ulcb/ulcb.c b/board/renesas/ulcb/ulcb.c index ebb379a..07bc1ec 100644 --- a/board/renesas/ulcb/ulcb.c +++ b/board/renesas/ulcb/ulcb.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/board/sbc8349/sbc8349.c b/board/sbc8349/sbc8349.c index c84146a..1e3529f 100644 --- a/board/sbc8349/sbc8349.c +++ b/board/sbc8349/sbc8349.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/board/seeed/linkit-smart-7688/board.c b/board/seeed/linkit-smart-7688/board.c index 492530e..bf7c69e 100644 --- a/board/seeed/linkit-smart-7688/board.c +++ b/board/seeed/linkit-smart-7688/board.c @@ -6,6 +6,7 @@ #include #include #include +#include #define MT76XX_GPIO1_MODE 0x10000060 diff --git a/board/softing/vining_2000/vining_2000.c b/board/softing/vining_2000/vining_2000.c index 19fb85f..e5e33dc 100644 --- a/board/softing/vining_2000/vining_2000.c +++ b/board/softing/vining_2000/vining_2000.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/board/solidrun/clearfog/clearfog.c b/board/solidrun/clearfog/clearfog.c index df23c0d..4184754 100644 --- a/board/solidrun/clearfog/clearfog.c +++ b/board/solidrun/clearfog/clearfog.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include "../common/tlv_data.h" diff --git a/board/st/stm32mp1/board.c b/board/st/stm32mp1/board.c index b0d1650..c218d37 100644 --- a/board/st/stm32mp1/board.c +++ b/board/st/stm32mp1/board.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/board/st/stm32mp1/stm32mp1.c b/board/st/stm32mp1/stm32mp1.c index 929302c..4553329 100644 --- a/board/st/stm32mp1/stm32mp1.c +++ b/board/st/stm32mp1/stm32mp1.c @@ -34,6 +34,7 @@ #include #include #include +#include #include #include #include diff --git a/board/synopsys/emsdp/emsdp.c b/board/synopsys/emsdp/emsdp.c index c1746b6..91fa94a 100644 --- a/board/synopsys/emsdp/emsdp.c +++ b/board/synopsys/emsdp/emsdp.c @@ -9,6 +9,7 @@ #include #include #include +#include #include diff --git a/board/synopsys/hsdk/clk-lib.h b/board/synopsys/hsdk/clk-lib.h index c1c105a..970bcd4 100644 --- a/board/synopsys/hsdk/clk-lib.h +++ b/board/synopsys/hsdk/clk-lib.h @@ -8,6 +8,7 @@ #define __BOARD_CLK_LIB_H #include +#include enum clk_ctl_ops { CLK_SET = BIT(0), /* set frequency */ diff --git a/board/synopsys/hsdk/hsdk.c b/board/synopsys/hsdk/hsdk.c index a421954..cd11f9d 100644 --- a/board/synopsys/hsdk/hsdk.c +++ b/board/synopsys/hsdk/hsdk.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/board/synopsys/iot_devkit/iot_devkit.c b/board/synopsys/iot_devkit/iot_devkit.c index 05b63e4..497ea18 100644 --- a/board/synopsys/iot_devkit/iot_devkit.c +++ b/board/synopsys/iot_devkit/iot_devkit.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include diff --git a/board/ti/am335x/board.c b/board/ti/am335x/board.c index 474495a..4199bee 100644 --- a/board/ti/am335x/board.c +++ b/board/ti/am335x/board.c @@ -36,6 +36,7 @@ #include #include #include +#include #include #include #include diff --git a/board/ti/common/board_detect.h b/board/ti/common/board_detect.h index 5835af5..9f75b5c 100644 --- a/board/ti/common/board_detect.h +++ b/board/ti/common/board_detect.h @@ -9,6 +9,7 @@ #define __BOARD_DETECT_H /* TI EEPROM MAGIC Header identifier */ +#include #define TI_EEPROM_HEADER_MAGIC 0xEE3355AA #define TI_DEAD_EEPROM_MAGIC 0xADEAD12C diff --git a/board/ti/ks2_evm/board_k2g.c b/board/ti/ks2_evm/board_k2g.c index 450ccc4..ece7532 100644 --- a/board/ti/ks2_evm/board_k2g.c +++ b/board/ti/ks2_evm/board_k2g.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include "mux-k2g.h" #include "../common/board_detect.h" diff --git a/board/toradex/apalis_imx6/apalis_imx6.c b/board/toradex/apalis_imx6/apalis_imx6.c index f7b79c5..40c71ad 100644 --- a/board/toradex/apalis_imx6/apalis_imx6.c +++ b/board/toradex/apalis_imx6/apalis_imx6.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/board/toradex/colibri_imx6/colibri_imx6.c b/board/toradex/colibri_imx6/colibri_imx6.c index bdb4ac6..6522af4 100644 --- a/board/toradex/colibri_imx6/colibri_imx6.c +++ b/board/toradex/colibri_imx6/colibri_imx6.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/board/tplink/wdr4300/wdr4300.c b/board/tplink/wdr4300/wdr4300.c index 287132e..9134d6b 100644 --- a/board/tplink/wdr4300/wdr4300.c +++ b/board/tplink/wdr4300/wdr4300.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/board/xilinx/zynq/cmds.c b/board/xilinx/zynq/cmds.c index 1098466..0c46de7 100644 --- a/board/xilinx/zynq/cmds.c +++ b/board/xilinx/zynq/cmds.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/board/xilinx/zynqmp/zynqmp.c b/board/xilinx/zynqmp/zynqmp.c index 35ffac9..cb72914 100644 --- a/board/xilinx/zynqmp/zynqmp.c +++ b/board/xilinx/zynqmp/zynqmp.c @@ -31,6 +31,7 @@ #include #include #include +#include #include #include #include "../common/board.h" diff --git a/cmd/mem.c b/cmd/mem.c index 4d88254..9b97f7b 100644 --- a/cmd/mem.c +++ b/cmd/mem.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include diff --git a/cmd/nvedit.c b/cmd/nvedit.c index 3784abc..08d49df 100644 --- a/cmd/nvedit.c +++ b/cmd/nvedit.c @@ -35,6 +35,7 @@ #include #include #include +#include #include #include #include diff --git a/common/image-cipher.c b/common/image-cipher.c index f50c3d3..09869f7 100644 --- a/common/image-cipher.c +++ b/common/image-cipher.c @@ -10,7 +10,7 @@ #include #include DECLARE_GLOBAL_DATA_PTR; -#endif /* !USE_HOSTCC*/ +#endif /* !USE_HOSdTCC*/ #include #include #include diff --git a/drivers/adc/meson-saradc.c b/drivers/adc/meson-saradc.c index 1e1515f..72b0cc4 100644 --- a/drivers/adc/meson-saradc.c +++ b/drivers/adc/meson-saradc.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/adc/rockchip-saradc.c b/drivers/adc/rockchip-saradc.c index 850142c..561740f6 100644 --- a/drivers/adc/rockchip-saradc.c +++ b/drivers/adc/rockchip-saradc.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #define SARADC_CTRL_CHN_MASK GENMASK(2, 0) diff --git a/drivers/adc/stm32-adc-core.c b/drivers/adc/stm32-adc-core.c index 2ca0fb4..31bbb6f 100644 --- a/drivers/adc/stm32-adc-core.c +++ b/drivers/adc/stm32-adc-core.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include "stm32-adc-core.h" diff --git a/drivers/adc/stm32-adc.c b/drivers/adc/stm32-adc.c index 140ddce..b12f894 100644 --- a/drivers/adc/stm32-adc.c +++ b/drivers/adc/stm32-adc.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include "stm32-adc-core.h" diff --git a/drivers/ata/ahci.c b/drivers/ata/ahci.c index dda3586..47cdea1 100644 --- a/drivers/ata/ahci.c +++ b/drivers/ata/ahci.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/drivers/ata/sata_mv.c b/drivers/ata/sata_mv.c index 647d8c7..b2b5c69 100644 --- a/drivers/ata/sata_mv.c +++ b/drivers/ata/sata_mv.c @@ -44,6 +44,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/axi/ihs_axi.c b/drivers/axi/ihs_axi.c index a4aee8b..3945511 100644 --- a/drivers/axi/ihs_axi.c +++ b/drivers/axi/ihs_axi.c @@ -12,6 +12,7 @@ #include #include #include +#include #include /** diff --git a/drivers/cache/cache-v5l2.c b/drivers/cache/cache-v5l2.c index 1373e7c..51c074b 100644 --- a/drivers/cache/cache-v5l2.c +++ b/drivers/cache/cache-v5l2.c @@ -11,6 +11,7 @@ #include #include #include +#include struct l2cache { volatile u64 configure; diff --git a/drivers/clk/altera/clk-agilex.c b/drivers/clk/altera/clk-agilex.c index 63153fa..0042958 100644 --- a/drivers/clk/altera/clk-agilex.c +++ b/drivers/clk/altera/clk-agilex.c @@ -11,6 +11,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/altera/clk-agilex.h b/drivers/clk/altera/clk-agilex.h index d93328e..cd68ebc 100644 --- a/drivers/clk/altera/clk-agilex.h +++ b/drivers/clk/altera/clk-agilex.h @@ -6,6 +6,10 @@ #ifndef _CLK_AGILEX_ #define _CLK_AGILEX_ +#ifndef __ASSEMBLY__ +#include +#endif + #define CM_REG_READL(plat, reg) \ readl((plat)->regs + (reg)) diff --git a/drivers/clk/altera/clk-arria10.c b/drivers/clk/altera/clk-arria10.c index 694a942..ede0be2 100644 --- a/drivers/clk/altera/clk-arria10.c +++ b/drivers/clk/altera/clk-arria10.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/at91/clk-system.c b/drivers/clk/at91/clk-system.c index f9adef2..76b1958 100644 --- a/drivers/clk/at91/clk-system.c +++ b/drivers/clk/at91/clk-system.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include "pmc.h" diff --git a/drivers/clk/clk-cdce9xx.c b/drivers/clk/clk-cdce9xx.c index f1f76b0..fd47872 100644 --- a/drivers/clk/clk-cdce9xx.c +++ b/drivers/clk/clk-cdce9xx.c @@ -14,6 +14,7 @@ #include #include #include +#include #define MAX_NUMBER_OF_PLLS 4 #define MAX_NUMER_OF_OUTPUTS 9 diff --git a/drivers/clk/clk-gate.c b/drivers/clk/clk-gate.c index 6415c2f..23c1f2c 100644 --- a/drivers/clk/clk-gate.c +++ b/drivers/clk/clk-gate.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include "clk.h" diff --git a/drivers/clk/clk-hsdk-cgu.c b/drivers/clk/clk-hsdk-cgu.c index 42844d4..3eb93a5 100644 --- a/drivers/clk/clk-hsdk-cgu.c +++ b/drivers/clk/clk-hsdk-cgu.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/clk-mux.c b/drivers/clk/clk-mux.c index b9d2ae6..c69cce0 100644 --- a/drivers/clk/clk-mux.c +++ b/drivers/clk/clk-mux.c @@ -27,6 +27,7 @@ #include #include #include +#include #include #include #include "clk.h" diff --git a/drivers/clk/clk_bcm6345.c b/drivers/clk/clk_bcm6345.c index f01ec9a..b850b9f 100644 --- a/drivers/clk/clk_bcm6345.c +++ b/drivers/clk/clk_bcm6345.c @@ -11,6 +11,7 @@ #include #include #include +#include #define MAX_CLKS 32 diff --git a/drivers/clk/clk_boston.c b/drivers/clk/clk_boston.c index 0c49ee0..2318dcf 100644 --- a/drivers/clk/clk_boston.c +++ b/drivers/clk/clk_boston.c @@ -9,6 +9,7 @@ #include #include #include +#include struct clk_boston { struct regmap *regmap; diff --git a/drivers/clk/clk_pic32.c b/drivers/clk/clk_pic32.c index 7ddff2f..dc46de2 100644 --- a/drivers/clk/clk_pic32.c +++ b/drivers/clk/clk_pic32.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/clk_sandbox_ccf.c b/drivers/clk/clk_sandbox_ccf.c index 3543bea..0619d04 100644 --- a/drivers/clk/clk_sandbox_ccf.c +++ b/drivers/clk/clk_sandbox_ccf.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/clk_stm32f.c b/drivers/clk/clk_stm32f.c index 6d604cd..93722f7 100644 --- a/drivers/clk/clk_stm32f.c +++ b/drivers/clk/clk_stm32f.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/clk/clk_stm32h7.c b/drivers/clk/clk_stm32h7.c index f147392..5e6abca 100644 --- a/drivers/clk/clk_stm32h7.c +++ b/drivers/clk/clk_stm32h7.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/clk_stm32mp1.c b/drivers/clk/clk_stm32mp1.c index 767edb3..6c5eddb 100644 --- a/drivers/clk/clk_stm32mp1.c +++ b/drivers/clk/clk_stm32mp1.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/clk_vexpress_osc.c b/drivers/clk/clk_vexpress_osc.c index ddfc5f6..b48319b 100644 --- a/drivers/clk/clk_vexpress_osc.c +++ b/drivers/clk/clk_vexpress_osc.c @@ -13,6 +13,7 @@ #include #include #include +#include #define CLK_FUNCTION BIT(20) diff --git a/drivers/clk/imx/clk-pll14xx.c b/drivers/clk/imx/clk-pll14xx.c index c526fc1..b0ccb6c 100644 --- a/drivers/clk/imx/clk-pll14xx.c +++ b/drivers/clk/imx/clk-pll14xx.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/mediatek/clk-mt7622.c b/drivers/clk/mediatek/clk-mt7622.c index 0a1495a..dc0ba71 100644 --- a/drivers/clk/mediatek/clk-mt7622.c +++ b/drivers/clk/mediatek/clk-mt7622.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mt7623.c b/drivers/clk/mediatek/clk-mt7623.c index c44969a..a15fb45 100644 --- a/drivers/clk/mediatek/clk-mt7623.c +++ b/drivers/clk/mediatek/clk-mt7623.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mt7629.c b/drivers/clk/mediatek/clk-mt7629.c index d683752..5b2aa5f 100644 --- a/drivers/clk/mediatek/clk-mt7629.c +++ b/drivers/clk/mediatek/clk-mt7629.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mt8512.c b/drivers/clk/mediatek/clk-mt8512.c index cb168f1..ad254d1 100644 --- a/drivers/clk/mediatek/clk-mt8512.c +++ b/drivers/clk/mediatek/clk-mt8512.c @@ -10,6 +10,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mt8516.c b/drivers/clk/mediatek/clk-mt8516.c index 071bf69..cd1db25 100644 --- a/drivers/clk/mediatek/clk-mt8516.c +++ b/drivers/clk/mediatek/clk-mt8516.c @@ -10,6 +10,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mt8518.c b/drivers/clk/mediatek/clk-mt8518.c index 76f7b3b..985a0c1 100644 --- a/drivers/clk/mediatek/clk-mt8518.c +++ b/drivers/clk/mediatek/clk-mt8518.c @@ -10,6 +10,7 @@ #include #include #include +#include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mtk.c b/drivers/clk/mediatek/clk-mtk.c index 671d4b3..388471b 100644 --- a/drivers/clk/mediatek/clk-mtk.c +++ b/drivers/clk/mediatek/clk-mtk.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include "clk-mtk.h" diff --git a/drivers/clk/mediatek/clk-mtk.h b/drivers/clk/mediatek/clk-mtk.h index c7dc980..95a23d1 100644 --- a/drivers/clk/mediatek/clk-mtk.h +++ b/drivers/clk/mediatek/clk-mtk.h @@ -7,6 +7,7 @@ #ifndef __DRV_CLK_MTK_H #define __DRV_CLK_MTK_H +#include #define CLK_XTAL 0 #define MHZ (1000 * 1000) diff --git a/drivers/clk/meson/axg.c b/drivers/clk/meson/axg.c index d844472..6ef8b41 100644 --- a/drivers/clk/meson/axg.c +++ b/drivers/clk/meson/axg.c @@ -15,6 +15,7 @@ #include #include #include +#include #include "clk_meson.h" #include diff --git a/drivers/clk/meson/clk_meson.h b/drivers/clk/meson/clk_meson.h index 7adc55a..ef72a41 100644 --- a/drivers/clk/meson/clk_meson.h +++ b/drivers/clk/meson/clk_meson.h @@ -10,6 +10,7 @@ /* Gate Structure */ +#include struct meson_gate { unsigned int reg; unsigned int bit; diff --git a/drivers/clk/meson/g12a.c b/drivers/clk/meson/g12a.c index c540bb0..bf2f357 100644 --- a/drivers/clk/meson/g12a.c +++ b/drivers/clk/meson/g12a.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/meson/gxbb.c b/drivers/clk/meson/gxbb.c index 0ed1d03..b9353c0 100644 --- a/drivers/clk/meson/gxbb.c +++ b/drivers/clk/meson/gxbb.c @@ -15,6 +15,7 @@ #include #include #include +#include #include "clk_meson.h" #include diff --git a/drivers/clk/mpc83xx_clk.c b/drivers/clk/mpc83xx_clk.c index 4c77c8d..8d96ec7 100644 --- a/drivers/clk/mpc83xx_clk.c +++ b/drivers/clk/mpc83xx_clk.c @@ -14,6 +14,7 @@ #include #include #include +#include #include "mpc83xx_clk.h" diff --git a/drivers/clk/mpc83xx_clk.h b/drivers/clk/mpc83xx_clk.h index 7fb8802..8a31a4c 100644 --- a/drivers/clk/mpc83xx_clk.h +++ b/drivers/clk/mpc83xx_clk.h @@ -17,6 +17,7 @@ * @RAT_2_5_TO_1: Ratio 2.5:1 * @RAT_3_TO_1: Ratio 3:1 */ +#include enum ratio { RAT_UNK, RAT_BYP, diff --git a/drivers/clk/mvebu/armada-37xx-periph.c b/drivers/clk/mvebu/armada-37xx-periph.c index 855f979..223da22 100644 --- a/drivers/clk/mvebu/armada-37xx-periph.c +++ b/drivers/clk/mvebu/armada-37xx-periph.c @@ -16,6 +16,7 @@ #include #include #include +#include #define TBG_SEL 0x0 #define DIV_SEL0 0x4 diff --git a/drivers/clk/owl/clk_owl.c b/drivers/clk/owl/clk_owl.c index 11b8da1..9715fce 100644 --- a/drivers/clk/owl/clk_owl.c +++ b/drivers/clk/owl/clk_owl.c @@ -17,6 +17,7 @@ #include #include #endif +#include #include void owl_clk_init(struct owl_clk_priv *priv) diff --git a/drivers/clk/owl/clk_owl.h b/drivers/clk/owl/clk_owl.h index b8d3362..cf896bd 100644 --- a/drivers/clk/owl/clk_owl.h +++ b/drivers/clk/owl/clk_owl.h @@ -11,6 +11,9 @@ #define _OWL_CLK_H_ #include +#ifndef __ASSEMBLY__ +#include +#endif enum owl_soc { S700, diff --git a/drivers/clk/renesas/clk-rcar-gen3.c b/drivers/clk/renesas/clk-rcar-gen3.c index 8e1af1c..15e3833 100644 --- a/drivers/clk/renesas/clk-rcar-gen3.c +++ b/drivers/clk/renesas/clk-rcar-gen3.c @@ -17,6 +17,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7790-cpg-mssr.c b/drivers/clk/renesas/r8a7790-cpg-mssr.c index b62b875..7451f53 100644 --- a/drivers/clk/renesas/r8a7790-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7790-cpg-mssr.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7791-cpg-mssr.c b/drivers/clk/renesas/r8a7791-cpg-mssr.c index e11c02e..25fd489 100644 --- a/drivers/clk/renesas/r8a7791-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7791-cpg-mssr.c @@ -17,6 +17,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7792-cpg-mssr.c b/drivers/clk/renesas/r8a7792-cpg-mssr.c index fb18ee7..d47ab99 100644 --- a/drivers/clk/renesas/r8a7792-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7792-cpg-mssr.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7794-cpg-mssr.c b/drivers/clk/renesas/r8a7794-cpg-mssr.c index b6be1bc..7093e0d 100644 --- a/drivers/clk/renesas/r8a7794-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7794-cpg-mssr.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7795-cpg-mssr.c b/drivers/clk/renesas/r8a7795-cpg-mssr.c index ab4747e..dcd96ad 100644 --- a/drivers/clk/renesas/r8a7795-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7795-cpg-mssr.c @@ -12,6 +12,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a7796-cpg-mssr.c b/drivers/clk/renesas/r8a7796-cpg-mssr.c index 253a914..89dc141 100644 --- a/drivers/clk/renesas/r8a7796-cpg-mssr.c +++ b/drivers/clk/renesas/r8a7796-cpg-mssr.c @@ -18,6 +18,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a77965-cpg-mssr.c b/drivers/clk/renesas/r8a77965-cpg-mssr.c index bd36ea3..6ed8829 100644 --- a/drivers/clk/renesas/r8a77965-cpg-mssr.c +++ b/drivers/clk/renesas/r8a77965-cpg-mssr.c @@ -13,6 +13,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a77970-cpg-mssr.c b/drivers/clk/renesas/r8a77970-cpg-mssr.c index 961eb7f..df07120 100644 --- a/drivers/clk/renesas/r8a77970-cpg-mssr.c +++ b/drivers/clk/renesas/r8a77970-cpg-mssr.c @@ -13,6 +13,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a77980-cpg-mssr.c b/drivers/clk/renesas/r8a77980-cpg-mssr.c index c076ac7..c8d7a94 100644 --- a/drivers/clk/renesas/r8a77980-cpg-mssr.c +++ b/drivers/clk/renesas/r8a77980-cpg-mssr.c @@ -13,6 +13,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a77990-cpg-mssr.c b/drivers/clk/renesas/r8a77990-cpg-mssr.c index 3168de2..357e7b5 100644 --- a/drivers/clk/renesas/r8a77990-cpg-mssr.c +++ b/drivers/clk/renesas/r8a77990-cpg-mssr.c @@ -13,6 +13,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/r8a77995-cpg-mssr.c b/drivers/clk/renesas/r8a77995-cpg-mssr.c index 1c79370..d62aeba 100644 --- a/drivers/clk/renesas/r8a77995-cpg-mssr.c +++ b/drivers/clk/renesas/r8a77995-cpg-mssr.c @@ -13,6 +13,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/renesas-cpg-mssr.c b/drivers/clk/renesas/renesas-cpg-mssr.c index 6ad684c..7c1222f 100644 --- a/drivers/clk/renesas/renesas-cpg-mssr.c +++ b/drivers/clk/renesas/renesas-cpg-mssr.c @@ -16,6 +16,7 @@ #include #include #include +#include #include diff --git a/drivers/clk/renesas/renesas-cpg-mssr.h b/drivers/clk/renesas/renesas-cpg-mssr.h index 5b7012d..b669dec 100644 --- a/drivers/clk/renesas/renesas-cpg-mssr.h +++ b/drivers/clk/renesas/renesas-cpg-mssr.h @@ -13,6 +13,7 @@ #ifndef __DRIVERS_CLK_RENESAS_CPG_MSSR__ #define __DRIVERS_CLK_RENESAS_CPG_MSSR__ +#include struct cpg_mssr_info { const struct cpg_core_clk *core_clk; unsigned int core_clk_size; diff --git a/drivers/clk/rockchip/clk_px30.c b/drivers/clk/rockchip/clk_px30.c index b5b46e3..71916db 100644 --- a/drivers/clk/rockchip/clk_px30.c +++ b/drivers/clk/rockchip/clk_px30.c @@ -17,6 +17,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/clk/rockchip/clk_rk322x.c b/drivers/clk/rockchip/clk_rk322x.c index 912e1f6..054b2fd 100644 --- a/drivers/clk/rockchip/clk_rk322x.c +++ b/drivers/clk/rockchip/clk_rk322x.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/rockchip/clk_rk3288.c b/drivers/clk/rockchip/clk_rk3288.c index 3b199bb..a1dd642 100644 --- a/drivers/clk/rockchip/clk_rk3288.c +++ b/drivers/clk/rockchip/clk_rk3288.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/clk/rockchip/clk_rk3308.c b/drivers/clk/rockchip/clk_rk3308.c index 5889cf8..d3633b6 100644 --- a/drivers/clk/rockchip/clk_rk3308.c +++ b/drivers/clk/rockchip/clk_rk3308.c @@ -17,6 +17,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/clk/rockchip/clk_rk3328.c b/drivers/clk/rockchip/clk_rk3328.c index 6d692ec..02d3b08 100644 --- a/drivers/clk/rockchip/clk_rk3328.c +++ b/drivers/clk/rockchip/clk_rk3328.c @@ -18,6 +18,7 @@ #include #include #include +#include #include struct pll_div { diff --git a/drivers/clk/rockchip/clk_rk3399.c b/drivers/clk/rockchip/clk_rk3399.c index 2c58814..e009f1c 100644 --- a/drivers/clk/rockchip/clk_rk3399.c +++ b/drivers/clk/rockchip/clk_rk3399.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #if CONFIG_IS_ENABLED(OF_PLATDATA) diff --git a/drivers/clk/sunxi/clk_a10.c b/drivers/clk/sunxi/clk_a10.c index 7a96d17..67507c5 100644 --- a/drivers/clk/sunxi/clk_a10.c +++ b/drivers/clk/sunxi/clk_a10.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate a10_gates[] = { [CLK_AHB_OTG] = GATE(0x060, BIT(0)), diff --git a/drivers/clk/sunxi/clk_a10s.c b/drivers/clk/sunxi/clk_a10s.c index 33d41d4..d11a4b5 100644 --- a/drivers/clk/sunxi/clk_a10s.c +++ b/drivers/clk/sunxi/clk_a10s.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate a10s_gates[] = { [CLK_AHB_OTG] = GATE(0x060, BIT(0)), diff --git a/drivers/clk/sunxi/clk_a23.c b/drivers/clk/sunxi/clk_a23.c index c160192..4d562bf 100644 --- a/drivers/clk/sunxi/clk_a23.c +++ b/drivers/clk/sunxi/clk_a23.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate a23_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_a31.c b/drivers/clk/sunxi/clk_a31.c index 4ec3c2a..4a9454e 100644 --- a/drivers/clk/sunxi/clk_a31.c +++ b/drivers/clk/sunxi/clk_a31.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate a31_gates[] = { [CLK_AHB1_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_a64.c b/drivers/clk/sunxi/clk_a64.c index f94e8aa..d96cb1a 100644 --- a/drivers/clk/sunxi/clk_a64.c +++ b/drivers/clk/sunxi/clk_a64.c @@ -11,6 +11,7 @@ #include #include #include +#include static const struct ccu_clk_gate a64_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_a80.c b/drivers/clk/sunxi/clk_a80.c index fb76aad..80d7407 100644 --- a/drivers/clk/sunxi/clk_a80.c +++ b/drivers/clk/sunxi/clk_a80.c @@ -11,6 +11,7 @@ #include #include #include +#include static const struct ccu_clk_gate a80_gates[] = { [CLK_SPI0] = GATE(0x430, BIT(31)), diff --git a/drivers/clk/sunxi/clk_a83t.c b/drivers/clk/sunxi/clk_a83t.c index 2be87a3..d6f23dd 100644 --- a/drivers/clk/sunxi/clk_a83t.c +++ b/drivers/clk/sunxi/clk_a83t.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate a83t_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_h3.c b/drivers/clk/sunxi/clk_h3.c index 6111a13..7e844f4 100644 --- a/drivers/clk/sunxi/clk_h3.c +++ b/drivers/clk/sunxi/clk_h3.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate h3_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_h6.c b/drivers/clk/sunxi/clk_h6.c index 105c15d..a1cb895 100644 --- a/drivers/clk/sunxi/clk_h6.c +++ b/drivers/clk/sunxi/clk_h6.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate h6_gates[] = { [CLK_BUS_MMC0] = GATE(0x84c, BIT(0)), diff --git a/drivers/clk/sunxi/clk_r40.c b/drivers/clk/sunxi/clk_r40.c index 44abc4f..ac360b2 100644 --- a/drivers/clk/sunxi/clk_r40.c +++ b/drivers/clk/sunxi/clk_r40.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate r40_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/clk/sunxi/clk_sunxi.c b/drivers/clk/sunxi/clk_sunxi.c index 77fba53..41934cd 100644 --- a/drivers/clk/sunxi/clk_sunxi.c +++ b/drivers/clk/sunxi/clk_sunxi.c @@ -12,6 +12,7 @@ #include #include #include +#include #include static const struct ccu_clk_gate *priv_to_gate(struct ccu_priv *priv, diff --git a/drivers/clk/sunxi/clk_v3s.c b/drivers/clk/sunxi/clk_v3s.c index 789ac72..b79446c 100644 --- a/drivers/clk/sunxi/clk_v3s.c +++ b/drivers/clk/sunxi/clk_v3s.c @@ -11,6 +11,7 @@ #include #include #include +#include static struct ccu_clk_gate v3s_gates[] = { [CLK_BUS_MMC0] = GATE(0x060, BIT(8)), diff --git a/drivers/cpu/bmips_cpu.c b/drivers/cpu/bmips_cpu.c index 613c836..2649c5c 100644 --- a/drivers/cpu/bmips_cpu.c +++ b/drivers/cpu/bmips_cpu.c @@ -13,6 +13,7 @@ #include #include #include +#include #define REV_CHIPID_SHIFT 16 #define REV_CHIPID_MASK (0xffff << REV_CHIPID_SHIFT) diff --git a/drivers/cpu/imx8_cpu.c b/drivers/cpu/imx8_cpu.c index 9d1d8d7..dddd12d 100644 --- a/drivers/cpu/imx8_cpu.c +++ b/drivers/cpu/imx8_cpu.c @@ -12,6 +12,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/cpu/mpc83xx_cpu.c b/drivers/cpu/mpc83xx_cpu.c index c1a7a55..f8a84ba 100644 --- a/drivers/cpu/mpc83xx_cpu.c +++ b/drivers/cpu/mpc83xx_cpu.c @@ -11,6 +11,7 @@ #include #include #include +#include #include "mpc83xx_cpu.h" diff --git a/drivers/cpu/riscv_cpu.c b/drivers/cpu/riscv_cpu.c index 1dc7ee9..cb04f56 100644 --- a/drivers/cpu/riscv_cpu.c +++ b/drivers/cpu/riscv_cpu.c @@ -10,6 +10,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/ddr/altera/sdram_arria10.c b/drivers/ddr/altera/sdram_arria10.c index 19d5724..3c58f59 100644 --- a/drivers/ddr/altera/sdram_arria10.c +++ b/drivers/ddr/altera/sdram_arria10.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #include diff --git a/drivers/ddr/altera/sdram_gen5.c b/drivers/ddr/altera/sdram_gen5.c index ba588e9..1360bd0 100644 --- a/drivers/ddr/altera/sdram_gen5.c +++ b/drivers/ddr/altera/sdram_gen5.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include diff --git a/drivers/ddr/fsl/ctrl_regs.c b/drivers/ddr/fsl/ctrl_regs.c index ed60b22..2d3fb19 100644 --- a/drivers/ddr/fsl/ctrl_regs.c +++ b/drivers/ddr/fsl/ctrl_regs.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #if defined(CONFIG_FSL_LSCH2) || defined(CONFIG_FSL_LSCH3) || \ defined(CONFIG_ARM) diff --git a/drivers/ddr/fsl/ddr1_dimm_params.c b/drivers/ddr/fsl/ddr1_dimm_params.c index ef430ae..e5481ea 100644 --- a/drivers/ddr/fsl/ddr1_dimm_params.c +++ b/drivers/ddr/fsl/ddr1_dimm_params.c @@ -6,6 +6,7 @@ #include #include #include +#include #include diff --git a/drivers/ddr/fsl/ddr2_dimm_params.c b/drivers/ddr/fsl/ddr2_dimm_params.c index 442f72c..3b78118 100644 --- a/drivers/ddr/fsl/ddr2_dimm_params.c +++ b/drivers/ddr/fsl/ddr2_dimm_params.c @@ -6,6 +6,7 @@ #include #include #include +#include #include /* diff --git a/drivers/ddr/fsl/interactive.c b/drivers/ddr/fsl/interactive.c index ea8bcbe..2f76beb 100644 --- a/drivers/ddr/fsl/interactive.c +++ b/drivers/ddr/fsl/interactive.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/ddr/fsl/lc_common_dimm_params.c b/drivers/ddr/fsl/lc_common_dimm_params.c index 16ff6ee..d299d76 100644 --- a/drivers/ddr/fsl/lc_common_dimm_params.c +++ b/drivers/ddr/fsl/lc_common_dimm_params.c @@ -7,6 +7,7 @@ #include #include #include +#include #include diff --git a/drivers/ddr/fsl/main.c b/drivers/ddr/fsl/main.c index 8533b28..84139b8 100644 --- a/drivers/ddr/fsl/main.c +++ b/drivers/ddr/fsl/main.c @@ -16,6 +16,7 @@ #include #include #include +#include /* * CONFIG_SYS_FSL_DDR_SDRAM_BASE_PHY is the physical address from the view diff --git a/drivers/dma/bcm6348-iudma.c b/drivers/dma/bcm6348-iudma.c index 9e0e825..91172d4 100644 --- a/drivers/dma/bcm6348-iudma.c +++ b/drivers/dma/bcm6348-iudma.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #define DMA_RX_DESC 6 diff --git a/drivers/dma/lpc32xx_dma.c b/drivers/dma/lpc32xx_dma.c index de96334..ab58e97 100644 --- a/drivers/dma/lpc32xx_dma.c +++ b/drivers/dma/lpc32xx_dma.c @@ -15,6 +15,7 @@ #include #include #include +#include #include /* DMA controller channel register structure */ diff --git a/drivers/dma/ti/k3-udma-hwdef.h b/drivers/dma/ti/k3-udma-hwdef.h index c88399a..5d50bbc 100644 --- a/drivers/dma/ti/k3-udma-hwdef.h +++ b/drivers/dma/ti/k3-udma-hwdef.h @@ -12,6 +12,7 @@ #ifndef K3_NAVSS_UDMA_HWDEF_H_ #define K3_NAVSS_UDMA_HWDEF_H_ +#include #define UDMA_PSIL_DST_THREAD_ID_OFFSET 0x8000 /* Global registers */ diff --git a/drivers/dma/ti/k3-udma.c b/drivers/dma/ti/k3-udma.c index 299e707..2ce16c8 100644 --- a/drivers/dma/ti/k3-udma.c +++ b/drivers/dma/ti/k3-udma.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/firmware/ti_sci.c b/drivers/firmware/ti_sci.c index de9a2ea..15f5b0b 100644 --- a/drivers/firmware/ti_sci.c +++ b/drivers/firmware/ti_sci.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/firmware/ti_sci.h b/drivers/firmware/ti_sci.h index 24b4d1c..327bb82 100644 --- a/drivers/firmware/ti_sci.h +++ b/drivers/firmware/ti_sci.h @@ -15,6 +15,7 @@ #define __TI_SCI_H /* Generic Messages */ +#include #define TI_SCI_MSG_ENABLE_WDT 0x0000 #define TI_SCI_MSG_WAKE_RESET 0x0001 #define TI_SCI_MSG_VERSION 0x0002 diff --git a/drivers/fpga/socfpga_arria10.c b/drivers/fpga/socfpga_arria10.c index e142046..dfd3cbb 100644 --- a/drivers/fpga/socfpga_arria10.c +++ b/drivers/fpga/socfpga_arria10.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #define CFGWDTH_32 1 diff --git a/drivers/fpga/zynqmppl.c b/drivers/fpga/zynqmppl.c index b995bcc..2ac4e38 100644 --- a/drivers/fpga/zynqmppl.c +++ b/drivers/fpga/zynqmppl.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/gpio/atmel_pio4.c b/drivers/gpio/atmel_pio4.c index a3f5e7a..455944d 100644 --- a/drivers/gpio/atmel_pio4.c +++ b/drivers/gpio/atmel_pio4.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/drivers/gpio/bcm6345_gpio.c b/drivers/gpio/bcm6345_gpio.c index 71a978c..5da11d9 100644 --- a/drivers/gpio/bcm6345_gpio.c +++ b/drivers/gpio/bcm6345_gpio.c @@ -12,6 +12,7 @@ #include #include #include +#include struct bcm6345_gpio_priv { void __iomem *reg_dirout; diff --git a/drivers/gpio/cortina_gpio.c b/drivers/gpio/cortina_gpio.c index c6266b8..055907c 100644 --- a/drivers/gpio/cortina_gpio.c +++ b/drivers/gpio/cortina_gpio.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/drivers/gpio/dwapb_gpio.c b/drivers/gpio/dwapb_gpio.c index 7b50a34..e5e3518 100644 --- a/drivers/gpio/dwapb_gpio.c +++ b/drivers/gpio/dwapb_gpio.c @@ -19,6 +19,7 @@ #include #include #include +#include #define GPIO_SWPORT_DR(p) (0x00 + (p) * 0xc) #define GPIO_SWPORT_DDR(p) (0x04 + (p) * 0xc) diff --git a/drivers/gpio/gpio-rcar.c b/drivers/gpio/gpio-rcar.c index 9dc4cd6..c49a041 100644 --- a/drivers/gpio/gpio-rcar.c +++ b/drivers/gpio/gpio-rcar.c @@ -12,6 +12,7 @@ #include #include #include +#include #include "../pinctrl/renesas/sh_pfc.h" #define GPIO_IOINTSEL 0x00 /* General IO/Interrupt Switching Register */ diff --git a/drivers/gpio/gpio-rza1.c b/drivers/gpio/gpio-rza1.c index ce2453e..21a87d6 100644 --- a/drivers/gpio/gpio-rza1.c +++ b/drivers/gpio/gpio-rza1.c @@ -9,6 +9,7 @@ #include #include #include +#include #define P(bank) (0x0000 + (bank) * 4) #define PSR(bank) (0x0100 + (bank) * 4) diff --git a/drivers/gpio/hi6220_gpio.c b/drivers/gpio/hi6220_gpio.c index 97a0417..95de2ae 100644 --- a/drivers/gpio/hi6220_gpio.c +++ b/drivers/gpio/hi6220_gpio.c @@ -9,6 +9,7 @@ #include #include #include +#include static int hi6220_gpio_direction_input(struct udevice *dev, unsigned int gpio) { diff --git a/drivers/gpio/hsdk-creg-gpio.c b/drivers/gpio/hsdk-creg-gpio.c index 8eeb384..d9df804 100644 --- a/drivers/gpio/hsdk-creg-gpio.c +++ b/drivers/gpio/hsdk-creg-gpio.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #define DRV_NAME "gpio_creg" diff --git a/drivers/gpio/mscc_sgpio.c b/drivers/gpio/mscc_sgpio.c index 1bbd212..780d77b 100644 --- a/drivers/gpio/mscc_sgpio.c +++ b/drivers/gpio/mscc_sgpio.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #define MSCC_SGPIOS_PER_BANK 32 diff --git a/drivers/gpio/mt7621_gpio.c b/drivers/gpio/mt7621_gpio.c index 54d313d..612413e 100644 --- a/drivers/gpio/mt7621_gpio.c +++ b/drivers/gpio/mt7621_gpio.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/gpio/mvebu_gpio.c b/drivers/gpio/mvebu_gpio.c index e8b1c59..770cbf6 100644 --- a/drivers/gpio/mvebu_gpio.c +++ b/drivers/gpio/mvebu_gpio.c @@ -8,6 +8,7 @@ #include #include #include +#include #define MVEBU_GPIOS_PER_BANK 32 diff --git a/drivers/gpio/mxs_gpio.c b/drivers/gpio/mxs_gpio.c index 3094f1c..815339a 100644 --- a/drivers/gpio/mxs_gpio.c +++ b/drivers/gpio/mxs_gpio.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/gpio/pca953x_gpio.c b/drivers/gpio/pca953x_gpio.c index d06b834..fd17d1a 100644 --- a/drivers/gpio/pca953x_gpio.c +++ b/drivers/gpio/pca953x_gpio.c @@ -28,6 +28,7 @@ #include #include #include +#include #define PCA953X_INPUT 0 #define PCA953X_OUTPUT 1 diff --git a/drivers/gpio/pcf8575_gpio.c b/drivers/gpio/pcf8575_gpio.c index 7c790bb..1b9a18f 100644 --- a/drivers/gpio/pcf8575_gpio.c +++ b/drivers/gpio/pcf8575_gpio.c @@ -28,6 +28,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/gpio/pic32_gpio.c b/drivers/gpio/pic32_gpio.c index d8789e7..bb1ad25 100644 --- a/drivers/gpio/pic32_gpio.c +++ b/drivers/gpio/pic32_gpio.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/drivers/gpio/sh_pfc.c b/drivers/gpio/sh_pfc.c index 00b806e..0653171 100644 --- a/drivers/gpio/sh_pfc.c +++ b/drivers/gpio/sh_pfc.c @@ -15,6 +15,7 @@ #include #include #include +#include #include static struct pinmux_info *gpioc; diff --git a/drivers/gpio/sifive-gpio.c b/drivers/gpio/sifive-gpio.c index 76d5a1d3..24da3b3 100644 --- a/drivers/gpio/sifive-gpio.c +++ b/drivers/gpio/sifive-gpio.c @@ -11,6 +11,7 @@ #include #include #include +#include static int sifive_gpio_probe(struct udevice *dev) { diff --git a/drivers/gpio/stm32_gpio.c b/drivers/gpio/stm32_gpio.c index 451724e..4f710b6 100644 --- a/drivers/gpio/stm32_gpio.c +++ b/drivers/gpio/stm32_gpio.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include diff --git a/drivers/gpio/tegra186_gpio_priv.h b/drivers/gpio/tegra186_gpio_priv.h index ac8df27..3e686be 100644 --- a/drivers/gpio/tegra186_gpio_priv.h +++ b/drivers/gpio/tegra186_gpio_priv.h @@ -10,6 +10,7 @@ * For each GPIO, there are a set of registers than affect it, all packed * back-to-back. */ +#include #define TEGRA186_GPIO_ENABLE_CONFIG 0x00 #define TEGRA186_GPIO_ENABLE_CONFIG_ENABLE BIT(0) #define TEGRA186_GPIO_ENABLE_CONFIG_OUT BIT(1) diff --git a/drivers/gpio/zynq_gpio.c b/drivers/gpio/zynq_gpio.c index fe3b2c3..d0e90b8 100644 --- a/drivers/gpio/zynq_gpio.c +++ b/drivers/gpio/zynq_gpio.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/hwspinlock/stm32_hwspinlock.c b/drivers/hwspinlock/stm32_hwspinlock.c index 74afb4a..8be585a 100644 --- a/drivers/hwspinlock/stm32_hwspinlock.c +++ b/drivers/hwspinlock/stm32_hwspinlock.c @@ -9,6 +9,7 @@ #include #include #include +#include #define STM32_MUTEX_COREID BIT(8) #define STM32_MUTEX_LOCK_BIT BIT(31) diff --git a/drivers/i2c/at91_i2c.h b/drivers/i2c/at91_i2c.h index 87f02bf..3915af8 100644 --- a/drivers/i2c/at91_i2c.h +++ b/drivers/i2c/at91_i2c.h @@ -1,6 +1,7 @@ #ifndef _AT91_I2C_H #define _AT91_I2C_H +#include #define TWI_CR_START BIT(0) /* Send a Start Condition */ #define TWI_CR_MSEN BIT(2) /* Master Transfer Enable */ #define TWI_CR_STOP BIT(1) /* Send a Stop Condition */ diff --git a/drivers/i2c/designware_i2c.h b/drivers/i2c/designware_i2c.h index 7ee2361..dc9a6cc 100644 --- a/drivers/i2c/designware_i2c.h +++ b/drivers/i2c/designware_i2c.h @@ -10,6 +10,7 @@ #include #include #include +#include struct i2c_regs { u32 ic_con; /* 0x00 */ diff --git a/drivers/i2c/i2c-cdns.c b/drivers/i2c/i2c-cdns.c index 402a664..024c63c 100644 --- a/drivers/i2c/i2c-cdns.c +++ b/drivers/i2c/i2c-cdns.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/i2c/i2c-versatile.c b/drivers/i2c/i2c-versatile.c index 46eb017..6283152 100644 --- a/drivers/i2c/i2c-versatile.c +++ b/drivers/i2c/i2c-versatile.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include diff --git a/drivers/i2c/ihs_i2c.c b/drivers/i2c/ihs_i2c.c index 296e01b..cec3853 100644 --- a/drivers/i2c/ihs_i2c.c +++ b/drivers/i2c/ihs_i2c.c @@ -14,6 +14,7 @@ #endif #include #include +#include #include #ifdef CONFIG_DM_I2C diff --git a/drivers/i2c/meson_i2c.c b/drivers/i2c/meson_i2c.c index 0a647b8..e070caf 100644 --- a/drivers/i2c/meson_i2c.c +++ b/drivers/i2c/meson_i2c.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include diff --git a/drivers/i2c/rcar_i2c.c b/drivers/i2c/rcar_i2c.c index 5a90204..4267bbf 100644 --- a/drivers/i2c/rcar_i2c.c +++ b/drivers/i2c/rcar_i2c.c @@ -18,6 +18,7 @@ #include #include #include +#include #include #define RCAR_I2C_ICSCR 0x00 /* slave ctrl */ diff --git a/drivers/i2c/rcar_iic.c b/drivers/i2c/rcar_iic.c index 6b21f6a..cad0a62 100644 --- a/drivers/i2c/rcar_iic.c +++ b/drivers/i2c/rcar_iic.c @@ -14,6 +14,7 @@ #include #include #include +#include #include struct rcar_iic_priv { diff --git a/drivers/i2c/stm32f7_i2c.c b/drivers/i2c/stm32f7_i2c.c index 18affd0..ada8f40 100644 --- a/drivers/i2c/stm32f7_i2c.c +++ b/drivers/i2c/stm32f7_i2c.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/i2c/tegra186_bpmp_i2c.c b/drivers/i2c/tegra186_bpmp_i2c.c index ac41a7f..3720471 100644 --- a/drivers/i2c/tegra186_bpmp_i2c.c +++ b/drivers/i2c/tegra186_bpmp_i2c.c @@ -9,6 +9,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/led/led_bcm6858.c b/drivers/led/led_bcm6858.c index 2be4279..b415d8b 100644 --- a/drivers/led/led_bcm6858.c +++ b/drivers/led/led_bcm6858.c @@ -14,6 +14,7 @@ #include #include #include +#include #define LEDS_MAX 32 #define LEDS_WAIT 100 diff --git a/drivers/mailbox/stm32-ipcc.c b/drivers/mailbox/stm32-ipcc.c index 0778eb5..b8bf356 100644 --- a/drivers/mailbox/stm32-ipcc.c +++ b/drivers/mailbox/stm32-ipcc.c @@ -11,6 +11,7 @@ #include #include #include +#include /* * IPCC has one set of registers per CPU diff --git a/drivers/mailbox/tegra-hsp.c b/drivers/mailbox/tegra-hsp.c index 1d2c979..f82e6d3 100644 --- a/drivers/mailbox/tegra-hsp.c +++ b/drivers/mailbox/tegra-hsp.c @@ -10,6 +10,7 @@ #include #include #include +#include #define TEGRA_HSP_INT_DIMENSIONING 0x380 #define TEGRA_HSP_INT_DIMENSIONING_NSI_SHIFT 16 diff --git a/drivers/misc/esm_pmic.c b/drivers/misc/esm_pmic.c index 92c8d68..a195dc5 100644 --- a/drivers/misc/esm_pmic.c +++ b/drivers/misc/esm_pmic.c @@ -12,6 +12,7 @@ #include #include #include +#include #define INT_ESM_REG 0x6c #define INT_ESM_MASK 0x3f diff --git a/drivers/misc/gdsys_ioep.h b/drivers/misc/gdsys_ioep.h index 4d9524b..f195388 100644 --- a/drivers/misc/gdsys_ioep.h +++ b/drivers/misc/gdsys_ioep.h @@ -15,6 +15,7 @@ * @bc: Block counter (filled in by FPGA). * @packet_length: Length of the packet's payload bytes. */ +#include struct io_generic_packet { u16 target_address; u16 source_address; diff --git a/drivers/misc/ihs_fpga.c b/drivers/misc/ihs_fpga.c index 4923c81..00d34a3 100644 --- a/drivers/misc/ihs_fpga.c +++ b/drivers/misc/ihs_fpga.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include "ihs_fpga.h" diff --git a/drivers/misc/imx8/scu.c b/drivers/misc/imx8/scu.c index babcb09..ee635eb 100644 --- a/drivers/misc/imx8/scu.c +++ b/drivers/misc/imx8/scu.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include diff --git a/drivers/misc/jz4780_efuse.c b/drivers/misc/jz4780_efuse.c index bc3dc93..1fba327 100644 --- a/drivers/misc/jz4780_efuse.c +++ b/drivers/misc/jz4780_efuse.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/drivers/misc/k3_avs.c b/drivers/misc/k3_avs.c index d8048e1..408c893 100644 --- a/drivers/misc/k3_avs.c +++ b/drivers/misc/k3_avs.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #define AM6_VTM_DEVINFO(i) (priv->base + 0x100 + 0x20 * (i)) diff --git a/drivers/misc/k3_esm.c b/drivers/misc/k3_esm.c index 8f270f3..cc2a23d 100644 --- a/drivers/misc/k3_esm.c +++ b/drivers/misc/k3_esm.c @@ -12,6 +12,7 @@ #include #include #include +#include #define ESM_SFT_RST 0x0c #define ESM_SFT_RST_KEY 0x0f diff --git a/drivers/misc/mpc83xx_serdes.h b/drivers/misc/mpc83xx_serdes.h index 89ea1db..2a13c58 100644 --- a/drivers/misc/mpc83xx_serdes.h +++ b/drivers/misc/mpc83xx_serdes.h @@ -18,6 +18,7 @@ * lane E) field of the SRCSCR0 * @SRDSCR0_TXEQE_SATA: Bitmask to set the TXEQE to the value used for SATA */ +#include enum srdscr0_mask { SRDSCR0_DPPA = BIT(31 - 16), SRDSCR0_DPPE = BIT(31 - 20), diff --git a/drivers/misc/tegra186_bpmp.c b/drivers/misc/tegra186_bpmp.c index 4077c46..18da138 100644 --- a/drivers/misc/tegra186_bpmp.c +++ b/drivers/misc/tegra186_bpmp.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #define BPMP_IVC_FRAME_COUNT 1 diff --git a/drivers/misc/vexpress_config.c b/drivers/misc/vexpress_config.c index 53d7e1d..02e5b58 100644 --- a/drivers/misc/vexpress_config.c +++ b/drivers/misc/vexpress_config.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mmc/am654_sdhci.c b/drivers/mmc/am654_sdhci.c index ca76e1f..cbc531d 100644 --- a/drivers/mmc/am654_sdhci.c +++ b/drivers/mmc/am654_sdhci.c @@ -13,6 +13,7 @@ #include #include #include +#include #include /* CTL_CFG Registers */ diff --git a/drivers/mmc/bcm2835_sdhost.c b/drivers/mmc/bcm2835_sdhost.c index 52bb39a..c4876e8 100644 --- a/drivers/mmc/bcm2835_sdhost.c +++ b/drivers/mmc/bcm2835_sdhost.c @@ -37,6 +37,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/fsl_esdhc.c b/drivers/mmc/fsl_esdhc.c index 665eb9a..d5d9558 100644 --- a/drivers/mmc/fsl_esdhc.c +++ b/drivers/mmc/fsl_esdhc.c @@ -24,6 +24,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/mmc/fsl_esdhc_imx.c b/drivers/mmc/fsl_esdhc_imx.c index 1d040f6..588d6a9 100644 --- a/drivers/mmc/fsl_esdhc_imx.c +++ b/drivers/mmc/fsl_esdhc_imx.c @@ -22,6 +22,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/ftsdc010_mci.c b/drivers/mmc/ftsdc010_mci.c index 5b84168..b37523e 100644 --- a/drivers/mmc/ftsdc010_mci.c +++ b/drivers/mmc/ftsdc010_mci.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/jz_mmc.c b/drivers/mmc/jz_mmc.c index 83ae1e5..d3f1edd 100644 --- a/drivers/mmc/jz_mmc.c +++ b/drivers/mmc/jz_mmc.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/mmc.c b/drivers/mmc/mmc.c index 02494db..620bb93 100644 --- a/drivers/mmc/mmc.c +++ b/drivers/mmc/mmc.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/mmc_spi.c b/drivers/mmc/mmc_spi.c index 0b690ec..e76ab54 100644 --- a/drivers/mmc/mmc_spi.c +++ b/drivers/mmc/mmc_spi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/mxsmmc.c b/drivers/mmc/mxsmmc.c index ad2c0e0..e3c352b 100644 --- a/drivers/mmc/mxsmmc.c +++ b/drivers/mmc/mxsmmc.c @@ -24,6 +24,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/omap_hsmmc.c b/drivers/mmc/omap_hsmmc.c index 0c21ec7..8636cd7 100644 --- a/drivers/mmc/omap_hsmmc.c +++ b/drivers/mmc/omap_hsmmc.c @@ -50,6 +50,7 @@ #endif #include #include +#include #include #include #include diff --git a/drivers/mmc/renesas-sdhi.c b/drivers/mmc/renesas-sdhi.c index 6682229..d6ea99d 100644 --- a/drivers/mmc/renesas-sdhi.c +++ b/drivers/mmc/renesas-sdhi.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/sdhci-cadence.c b/drivers/mmc/sdhci-cadence.c index 86408d5..7b5010b 100644 --- a/drivers/mmc/sdhci-cadence.c +++ b/drivers/mmc/sdhci-cadence.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/sdhci.c b/drivers/mmc/sdhci.c index 73142db..92cc843 100644 --- a/drivers/mmc/sdhci.c +++ b/drivers/mmc/sdhci.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/sh_mmcif.c b/drivers/mmc/sh_mmcif.c index 1a01fe5..2e994d0 100644 --- a/drivers/mmc/sh_mmcif.c +++ b/drivers/mmc/sh_mmcif.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/sh_sdhi.c b/drivers/mmc/sh_sdhi.c index 22a0f78..772fe94 100644 --- a/drivers/mmc/sh_sdhi.c +++ b/drivers/mmc/sh_sdhi.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mmc/stm32_sdmmc2.c b/drivers/mmc/stm32_sdmmc2.c index b802316..6d50356 100644 --- a/drivers/mmc/stm32_sdmmc2.c +++ b/drivers/mmc/stm32_sdmmc2.c @@ -11,7 +11,9 @@ #include #include #include +#include #include +#include #include #include #include diff --git a/drivers/mmc/tegra_mmc.c b/drivers/mmc/tegra_mmc.c index 7883868..cc78a2f 100644 --- a/drivers/mmc/tegra_mmc.c +++ b/drivers/mmc/tegra_mmc.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #if defined(CONFIG_TEGRA30) || defined(CONFIG_TEGRA210) diff --git a/drivers/mmc/tmio-common.h b/drivers/mmc/tmio-common.h index 2f671df..9062300 100644 --- a/drivers/mmc/tmio-common.h +++ b/drivers/mmc/tmio-common.h @@ -7,6 +7,7 @@ #ifndef __TMIO_COMMON_H__ #define __TMIO_COMMON_H__ +#include #define TMIO_SD_CMD 0x000 /* command */ #define TMIO_SD_CMD_NOSTOP BIT(14) /* No automatic CMD12 issue */ #define TMIO_SD_CMD_MULTI BIT(13) /* multiple block transfer */ diff --git a/drivers/mmc/xenon_sdhci.c b/drivers/mmc/xenon_sdhci.c index 7dc6000..e765dd3 100644 --- a/drivers/mmc/xenon_sdhci.c +++ b/drivers/mmc/xenon_sdhci.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/altera_qspi.c b/drivers/mtd/altera_qspi.c index 6896351..cd4d329 100644 --- a/drivers/mtd/altera_qspi.c +++ b/drivers/mtd/altera_qspi.c @@ -12,6 +12,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/mtd/mtdcore.c b/drivers/mtd/mtdcore.c index 97e88b7..1a4dec3 100644 --- a/drivers/mtd/mtdcore.c +++ b/drivers/mtd/mtdcore.c @@ -28,6 +28,7 @@ #include #include #else +#include #include #include #include diff --git a/drivers/mtd/nand/bbt.c b/drivers/mtd/nand/bbt.c index 133670c..84d60b8 100644 --- a/drivers/mtd/nand/bbt.c +++ b/drivers/mtd/nand/bbt.c @@ -11,6 +11,7 @@ #include #include +#include #include #ifndef __UBOOT__ #include diff --git a/drivers/mtd/nand/core.c b/drivers/mtd/nand/core.c index bc0accf..6fbd24b 100644 --- a/drivers/mtd/nand/core.c +++ b/drivers/mtd/nand/core.c @@ -14,6 +14,7 @@ #include #include #endif +#include #include /** diff --git a/drivers/mtd/nand/raw/atmel_nand.c b/drivers/mtd/nand/raw/atmel_nand.c index 4a017ea..5e95901 100644 --- a/drivers/mtd/nand/raw/atmel_nand.c +++ b/drivers/mtd/nand/raw/atmel_nand.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/bcm63158_nand.c b/drivers/mtd/nand/raw/brcmnand/bcm63158_nand.c index ea7c65a..6313403 100644 --- a/drivers/mtd/nand/raw/brcmnand/bcm63158_nand.c +++ b/drivers/mtd/nand/raw/brcmnand/bcm63158_nand.c @@ -4,6 +4,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/bcm6368_nand.c b/drivers/mtd/nand/raw/brcmnand/bcm6368_nand.c index e2f5452..cd4b24a 100644 --- a/drivers/mtd/nand/raw/brcmnand/bcm6368_nand.c +++ b/drivers/mtd/nand/raw/brcmnand/bcm6368_nand.c @@ -4,6 +4,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/bcm68360_nand.c b/drivers/mtd/nand/raw/brcmnand/bcm68360_nand.c index 0f1a28e..aa042be 100644 --- a/drivers/mtd/nand/raw/brcmnand/bcm68360_nand.c +++ b/drivers/mtd/nand/raw/brcmnand/bcm68360_nand.c @@ -4,6 +4,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/bcm6838_nand.c b/drivers/mtd/nand/raw/brcmnand/bcm6838_nand.c index 3a13615..0788455 100644 --- a/drivers/mtd/nand/raw/brcmnand/bcm6838_nand.c +++ b/drivers/mtd/nand/raw/brcmnand/bcm6838_nand.c @@ -4,6 +4,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/bcm6858_nand.c b/drivers/mtd/nand/raw/brcmnand/bcm6858_nand.c index 6aca011..818eae8 100644 --- a/drivers/mtd/nand/raw/brcmnand/bcm6858_nand.c +++ b/drivers/mtd/nand/raw/brcmnand/bcm6858_nand.c @@ -4,6 +4,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/brcmnand/brcmnand.c b/drivers/mtd/nand/raw/brcmnand/brcmnand.c index 5d77d53..48c0ca6 100644 --- a/drivers/mtd/nand/raw/brcmnand/brcmnand.c +++ b/drivers/mtd/nand/raw/brcmnand/brcmnand.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/denali.c b/drivers/mtd/nand/raw/denali.c index a58d7ce..5bae7f1 100644 --- a/drivers/mtd/nand/raw/denali.c +++ b/drivers/mtd/nand/raw/denali.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/mxs_nand_spl.c b/drivers/mtd/nand/raw/mxs_nand_spl.c index ffe9860..46dc29d 100644 --- a/drivers/mtd/nand/raw/mxs_nand_spl.c +++ b/drivers/mtd/nand/raw/mxs_nand_spl.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mtd/nand/raw/nand_base.c b/drivers/mtd/nand/raw/nand_base.c index 2665038..698968b 100644 --- a/drivers/mtd/nand/raw/nand_base.c +++ b/drivers/mtd/nand/raw/nand_base.c @@ -36,6 +36,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/pxa3xx_nand.c b/drivers/mtd/nand/raw/pxa3xx_nand.c index 244b0fe..a30e821 100644 --- a/drivers/mtd/nand/raw/pxa3xx_nand.c +++ b/drivers/mtd/nand/raw/pxa3xx_nand.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/stm32_fmc2_nand.c b/drivers/mtd/nand/raw/stm32_fmc2_nand.c index 97188b2..3306bd8 100644 --- a/drivers/mtd/nand/raw/stm32_fmc2_nand.c +++ b/drivers/mtd/nand/raw/stm32_fmc2_nand.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/nand/raw/sunxi_nand.c b/drivers/mtd/nand/raw/sunxi_nand.c index 5331e73..004b6f1 100644 --- a/drivers/mtd/nand/raw/sunxi_nand.c +++ b/drivers/mtd/nand/raw/sunxi_nand.c @@ -31,6 +31,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mtd/nand/raw/sunxi_nand_spl.c b/drivers/mtd/nand/raw/sunxi_nand_spl.c index 8b2f7ea..85d8013 100644 --- a/drivers/mtd/nand/raw/sunxi_nand_spl.c +++ b/drivers/mtd/nand/raw/sunxi_nand_spl.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mtd/nand/spi/core.c b/drivers/mtd/nand/spi/core.c index f76b123..93371fd 100644 --- a/drivers/mtd/nand/spi/core.c +++ b/drivers/mtd/nand/spi/core.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include #endif diff --git a/drivers/mtd/nand/spi/micron.c b/drivers/mtd/nand/spi/micron.c index 687306e..04de51c 100644 --- a/drivers/mtd/nand/spi/micron.c +++ b/drivers/mtd/nand/spi/micron.c @@ -11,6 +11,7 @@ #include #include #endif +#include #include #define SPINAND_MFR_MICRON 0x2c diff --git a/drivers/mtd/nand/spi/winbond.c b/drivers/mtd/nand/spi/winbond.c index 6ede98c..c119486 100644 --- a/drivers/mtd/nand/spi/winbond.c +++ b/drivers/mtd/nand/spi/winbond.c @@ -12,6 +12,7 @@ #include #include #endif +#include #include #define SPINAND_MFR_WINBOND 0xEF diff --git a/drivers/mtd/onenand/onenand_base.c b/drivers/mtd/onenand/onenand_base.c index 0580b50..36daef0 100644 --- a/drivers/mtd/onenand/onenand_base.c +++ b/drivers/mtd/onenand/onenand_base.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include #include "linux/mtd/flashchip.h" diff --git a/drivers/mtd/onenand/onenand_spl.c b/drivers/mtd/onenand/onenand_spl.c index c4983d1..ab6f1a8 100644 --- a/drivers/mtd/onenand/onenand_spl.c +++ b/drivers/mtd/onenand/onenand_spl.c @@ -9,6 +9,7 @@ #include #include +#include #include #include diff --git a/drivers/mtd/pic32_flash.c b/drivers/mtd/pic32_flash.c index 1766ba4..a58a2c4 100644 --- a/drivers/mtd/pic32_flash.c +++ b/drivers/mtd/pic32_flash.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/drivers/mtd/renesas_rpc_hf.c b/drivers/mtd/renesas_rpc_hf.c index fc2aa22..65320c7 100644 --- a/drivers/mtd/renesas_rpc_hf.c +++ b/drivers/mtd/renesas_rpc_hf.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #define RPC_CMNCR 0x0000 /* R/W */ diff --git a/drivers/mtd/spi/sf_internal.h b/drivers/mtd/spi/sf_internal.h index 940b2e4..ce0cf4c 100644 --- a/drivers/mtd/spi/sf_internal.h +++ b/drivers/mtd/spi/sf_internal.h @@ -9,6 +9,7 @@ #ifndef _SF_INTERNAL_H_ #define _SF_INTERNAL_H_ +#include #include #include diff --git a/drivers/mtd/spi/spi-nor-core.c b/drivers/mtd/spi/spi-nor-core.c index 76511f5..56b44eb 100644 --- a/drivers/mtd/spi/spi-nor-core.c +++ b/drivers/mtd/spi/spi-nor-core.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/mtd/ubispl/ubispl.c b/drivers/mtd/ubispl/ubispl.c index ee2df11..03b31f0 100644 --- a/drivers/mtd/ubispl/ubispl.c +++ b/drivers/mtd/ubispl/ubispl.c @@ -13,6 +13,7 @@ #include #include +#include #include #include "ubispl.h" diff --git a/drivers/net/ag7xxx.c b/drivers/net/ag7xxx.c index 0fe140c..3b5d11f 100644 --- a/drivers/net/ag7xxx.c +++ b/drivers/net/ag7xxx.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/altera_tse.h b/drivers/net/altera_tse.h index 2b1af81..79509b8 100644 --- a/drivers/net/altera_tse.h +++ b/drivers/net/altera_tse.h @@ -11,6 +11,7 @@ #ifndef _ALTERA_TSE_H_ #define _ALTERA_TSE_H_ +#include #define __packed_1_ __packed __aligned(1) /* dma type */ diff --git a/drivers/net/bcm-sf2-eth-gmac.c b/drivers/net/bcm-sf2-eth-gmac.c index f57861e..3caf0f3 100644 --- a/drivers/net/bcm-sf2-eth-gmac.c +++ b/drivers/net/bcm-sf2-eth-gmac.c @@ -19,6 +19,7 @@ #include #include #include +#include #include "bcm-sf2-eth.h" #include "bcm-sf2-eth-gmac.h" diff --git a/drivers/net/bcmgenet.c b/drivers/net/bcmgenet.c index d09f17d..11b6148 100644 --- a/drivers/net/bcmgenet.c +++ b/drivers/net/bcmgenet.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/dc2114x.c b/drivers/net/dc2114x.c index 611a8c4..c55358e 100644 --- a/drivers/net/dc2114x.c +++ b/drivers/net/dc2114x.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #define SROM_DLEVEL 0 diff --git a/drivers/net/dwc_eth_qos.c b/drivers/net/dwc_eth_qos.c index 789ac2e..3f44370 100644 --- a/drivers/net/dwc_eth_qos.c +++ b/drivers/net/dwc_eth_qos.c @@ -48,6 +48,7 @@ #include #include #endif +#include #include /* Core registers */ diff --git a/drivers/net/fsl_enetc.h b/drivers/net/fsl_enetc.h index 48c3005..37e7e85 100644 --- a/drivers/net/fsl_enetc.h +++ b/drivers/net/fsl_enetc.h @@ -7,6 +7,7 @@ #ifndef _ENETC_H #define _ENETC_H +#include #define enetc_dbg(dev, fmt, args...) debug("%s:" fmt, dev->name, ##args) /* PCI function IDs */ diff --git a/drivers/net/ftgmac100.c b/drivers/net/ftgmac100.c index 3322dcf..e4d08f2 100644 --- a/drivers/net/ftgmac100.c +++ b/drivers/net/ftgmac100.c @@ -22,6 +22,7 @@ #include #include #include +#include #include #include diff --git a/drivers/net/ftgmac100.h b/drivers/net/ftgmac100.h index 9a789e4..f7874ae 100644 --- a/drivers/net/ftgmac100.h +++ b/drivers/net/ftgmac100.h @@ -13,6 +13,7 @@ #define __FTGMAC100_H /* The registers offset table of ftgmac100 */ +#include struct ftgmac100 { unsigned int isr; /* 0x00 */ unsigned int ier; /* 0x04 */ diff --git a/drivers/net/gmac_rockchip.c b/drivers/net/gmac_rockchip.c index 52a637b..8d95ee1 100644 --- a/drivers/net/gmac_rockchip.c +++ b/drivers/net/gmac_rockchip.c @@ -26,6 +26,7 @@ #include #include #include +#include #include "designware.h" DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/net/higmacv300.c b/drivers/net/higmacv300.c index 1ec9864..2aae7f4 100644 --- a/drivers/net/higmacv300.c +++ b/drivers/net/higmacv300.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mscc_eswitch/jr2_switch.c b/drivers/net/mscc_eswitch/jr2_switch.c index 76823c6..1c9a401 100644 --- a/drivers/net/mscc_eswitch/jr2_switch.c +++ b/drivers/net/mscc_eswitch/jr2_switch.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mscc_eswitch/luton_switch.c b/drivers/net/mscc_eswitch/luton_switch.c index 9d24c00..1d46d0e 100644 --- a/drivers/net/mscc_eswitch/luton_switch.c +++ b/drivers/net/mscc_eswitch/luton_switch.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mscc_eswitch/mscc_mac_table.c b/drivers/net/mscc_eswitch/mscc_mac_table.c index 833e233..25b9cad 100644 --- a/drivers/net/mscc_eswitch/mscc_mac_table.c +++ b/drivers/net/mscc_eswitch/mscc_mac_table.c @@ -3,6 +3,7 @@ * Copyright (c) 2018 Microsemi Corporation */ +#include #include #include "mscc_mac_table.h" diff --git a/drivers/net/mscc_eswitch/mscc_miim.c b/drivers/net/mscc_eswitch/mscc_miim.c index d8ee8df..6687787 100644 --- a/drivers/net/mscc_eswitch/mscc_miim.c +++ b/drivers/net/mscc_eswitch/mscc_miim.c @@ -5,6 +5,7 @@ #include #include +#include #include "mscc_miim.h" #define MIIM_STATUS 0x0 diff --git a/drivers/net/mscc_eswitch/mscc_xfer.c b/drivers/net/mscc_eswitch/mscc_xfer.c index 01eb204..6f74746 100644 --- a/drivers/net/mscc_eswitch/mscc_xfer.c +++ b/drivers/net/mscc_eswitch/mscc_xfer.c @@ -4,6 +4,7 @@ */ #include +#include #include #include #include "mscc_xfer.h" diff --git a/drivers/net/mscc_eswitch/ocelot_switch.c b/drivers/net/mscc_eswitch/ocelot_switch.c index cae31e2..2a48dc6 100644 --- a/drivers/net/mscc_eswitch/ocelot_switch.c +++ b/drivers/net/mscc_eswitch/ocelot_switch.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mscc_eswitch/serval_switch.c b/drivers/net/mscc_eswitch/serval_switch.c index cf8bf9c..d8ee1a7 100644 --- a/drivers/net/mscc_eswitch/serval_switch.c +++ b/drivers/net/mscc_eswitch/serval_switch.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mscc_eswitch/servalt_switch.c b/drivers/net/mscc_eswitch/servalt_switch.c index bf95a38..1cf2273 100644 --- a/drivers/net/mscc_eswitch/servalt_switch.c +++ b/drivers/net/mscc_eswitch/servalt_switch.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mt7628-eth.c b/drivers/net/mt7628-eth.c index a2e022d..64f1c8c 100644 --- a/drivers/net/mt7628-eth.c +++ b/drivers/net/mt7628-eth.c @@ -25,6 +25,7 @@ #include #include #include +#include #include #include diff --git a/drivers/net/mtk_eth.h b/drivers/net/mtk_eth.h index f2940c9..be74ac2 100644 --- a/drivers/net/mtk_eth.h +++ b/drivers/net/mtk_eth.h @@ -10,6 +10,7 @@ #define _MTK_ETH_H_ /* Frame Engine Register Bases */ +#include #define PDMA_BASE 0x0800 #define GDMA1_BASE 0x0500 #define GDMA2_BASE 0x1500 diff --git a/drivers/net/mvmdio.c b/drivers/net/mvmdio.c index ec6805e..a8e93e8 100644 --- a/drivers/net/mvmdio.c +++ b/drivers/net/mvmdio.c @@ -12,6 +12,7 @@ #include #include #include +#include #define MVMDIO_SMI_DATA_SHIFT 0 #define MVMDIO_SMI_PHY_ADDR_SHIFT 16 diff --git a/drivers/net/mvneta.c b/drivers/net/mvneta.c index f9aef17..092f619 100644 --- a/drivers/net/mvneta.c +++ b/drivers/net/mvneta.c @@ -24,6 +24,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/mvpp2.c b/drivers/net/mvpp2.c index ebe67e4..19b9375 100644 --- a/drivers/net/mvpp2.c +++ b/drivers/net/mvpp2.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/pfe_eth/pfe_firmware.c b/drivers/net/pfe_eth/pfe_firmware.c index 0ad8c63..0493cfe 100644 --- a/drivers/net/pfe_eth/pfe_firmware.c +++ b/drivers/net/pfe_eth/pfe_firmware.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #ifdef CONFIG_CHAIN_OF_TRUST diff --git a/drivers/net/pfe_eth/pfe_hw.c b/drivers/net/pfe_eth/pfe_hw.c index caba871..722f5c2 100644 --- a/drivers/net/pfe_eth/pfe_hw.c +++ b/drivers/net/pfe_eth/pfe_hw.c @@ -4,6 +4,7 @@ * Copyright 2017 NXP */ #include +#include #include #include diff --git a/drivers/net/phy/aquantia.c b/drivers/net/phy/aquantia.c index 8b1cad8..68cd3d0 100644 --- a/drivers/net/phy/aquantia.c +++ b/drivers/net/phy/aquantia.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/phy/atheros.c b/drivers/net/phy/atheros.c index 47ff9f8..13f7275 100644 --- a/drivers/net/phy/atheros.c +++ b/drivers/net/phy/atheros.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #define AR803x_PHY_DEBUG_ADDR_REG 0x1d diff --git a/drivers/net/phy/b53.c b/drivers/net/phy/b53.c index 25dbf21..21da53c 100644 --- a/drivers/net/phy/b53.c +++ b/drivers/net/phy/b53.c @@ -24,6 +24,7 @@ #include #include +#include #include #include diff --git a/drivers/net/phy/dp83867.c b/drivers/net/phy/dp83867.c index 6fac0d5..d435cc1 100644 --- a/drivers/net/phy/dp83867.c +++ b/drivers/net/phy/dp83867.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include diff --git a/drivers/net/phy/marvell.c b/drivers/net/phy/marvell.c index be5e3dc..a62c695 100644 --- a/drivers/net/phy/marvell.c +++ b/drivers/net/phy/marvell.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #define PHY_AUTONEGOTIATE_TIMEOUT 5000 diff --git a/drivers/net/phy/micrel_ksz8xxx.c b/drivers/net/phy/micrel_ksz8xxx.c index e27fc45..98a0c83 100644 --- a/drivers/net/phy/micrel_ksz8xxx.c +++ b/drivers/net/phy/micrel_ksz8xxx.c @@ -12,6 +12,7 @@ #include #include #include +#include static struct phy_driver KSZ804_driver = { .name = "Micrel KSZ804", diff --git a/drivers/net/phy/mscc.c b/drivers/net/phy/mscc.c index 9fd5ca7..709979f 100644 --- a/drivers/net/phy/mscc.c +++ b/drivers/net/phy/mscc.c @@ -13,6 +13,7 @@ #include #include #include +#include #include /* Microsemi PHY ID's */ diff --git a/drivers/net/phy/mv88e61xx.c b/drivers/net/phy/mv88e61xx.c index 3f8aa7c..7eff37b 100644 --- a/drivers/net/phy/mv88e61xx.c +++ b/drivers/net/phy/mv88e61xx.c @@ -31,6 +31,7 @@ #include #include +#include #include #include diff --git a/drivers/net/phy/phy.c b/drivers/net/phy/phy.c index 6b6497c..cce09c4 100644 --- a/drivers/net/phy/phy.c +++ b/drivers/net/phy/phy.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/pic32_eth.h b/drivers/net/pic32_eth.h index 823c565..7b873bc 100644 --- a/drivers/net/pic32_eth.h +++ b/drivers/net/pic32_eth.h @@ -7,6 +7,7 @@ #ifndef __MICROCHIP_PIC32_ETH_H_ #define __MICROCHIP_PIC32_ETH_H_ +#include #include /* Ethernet */ diff --git a/drivers/net/ravb.c b/drivers/net/ravb.c index 3dab91b..393ee9b 100644 --- a/drivers/net/ravb.c +++ b/drivers/net/ravb.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/rtl8139.c b/drivers/net/rtl8139.c index fd2454b..0daeefa 100644 --- a/drivers/net/rtl8139.c +++ b/drivers/net/rtl8139.c @@ -76,6 +76,7 @@ #include #include #include +#include #include #include diff --git a/drivers/net/sni_ave.c b/drivers/net/sni_ave.c index 92b4a65..0784635 100644 --- a/drivers/net/sni_ave.c +++ b/drivers/net/sni_ave.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/sun8i_emac.c b/drivers/net/sun8i_emac.c index 45ac118..99e24c6 100644 --- a/drivers/net/sun8i_emac.c +++ b/drivers/net/sun8i_emac.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/ti/am65-cpsw-nuss.c b/drivers/net/ti/am65-cpsw-nuss.c index 220b461..85f3e49 100644 --- a/drivers/net/ti/am65-cpsw-nuss.c +++ b/drivers/net/ti/am65-cpsw-nuss.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include "cpsw_mdio.h" diff --git a/drivers/net/ti/cpsw.c b/drivers/net/ti/cpsw.c index a927ea0..95761ff 100644 --- a/drivers/net/ti/cpsw.c +++ b/drivers/net/ti/cpsw.c @@ -16,6 +16,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/ti/cpsw_mdio.c b/drivers/net/ti/cpsw_mdio.c index e77a2ff..f4cb86d 100644 --- a/drivers/net/ti/cpsw_mdio.c +++ b/drivers/net/ti/cpsw_mdio.c @@ -11,6 +11,7 @@ #include #include #include +#include #include struct cpsw_mdio_regs { diff --git a/drivers/net/tsec.c b/drivers/net/tsec.c index 15701ee..c2e755f 100644 --- a/drivers/net/tsec.c +++ b/drivers/net/tsec.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/net/zynq_gem.c b/drivers/net/zynq_gem.c index 28cef3b..412daf7 100644 --- a/drivers/net/zynq_gem.c +++ b/drivers/net/zynq_gem.c @@ -28,6 +28,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pci/pci-aardvark.c b/drivers/pci/pci-aardvark.c index 1586791..711b930 100644 --- a/drivers/pci/pci-aardvark.c +++ b/drivers/pci/pci-aardvark.c @@ -30,6 +30,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pci/pci-rcar-gen2.c b/drivers/pci/pci-rcar-gen2.c index 014d870..e76abc1 100644 --- a/drivers/pci/pci-rcar-gen2.c +++ b/drivers/pci/pci-rcar-gen2.c @@ -11,6 +11,7 @@ #include #include #include +#include /* AHB-PCI Bridge PCI communication registers */ #define RCAR_AHBPCI_PCICOM_OFFSET 0x800 diff --git a/drivers/pci/pci-rcar-gen3.c b/drivers/pci/pci-rcar-gen3.c index 30eff67..df7b37a 100644 --- a/drivers/pci/pci-rcar-gen3.c +++ b/drivers/pci/pci-rcar-gen3.c @@ -22,6 +22,7 @@ #include #include #include +#include #define PCIECAR 0x000010 #define PCIECCTLR 0x000018 diff --git a/drivers/pci/pci_mpc85xx.c b/drivers/pci/pci_mpc85xx.c index 8dff68d..6576f53 100644 --- a/drivers/pci/pci_mpc85xx.c +++ b/drivers/pci/pci_mpc85xx.c @@ -5,6 +5,7 @@ * */ #include +#include #include #include #include diff --git a/drivers/pci/pci_mvebu.c b/drivers/pci/pci_mvebu.c index 8bc04c9..c9afe75 100644 --- a/drivers/pci/pci_mvebu.c +++ b/drivers/pci/pci_mvebu.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pci/pci_sh7751.c b/drivers/pci/pci_sh7751.c index 1f23bbc..e110550 100644 --- a/drivers/pci/pci_sh7751.c +++ b/drivers/pci/pci_sh7751.c @@ -11,6 +11,7 @@ #include #include #include +#include #include /* Register addresses and such */ diff --git a/drivers/pci/pcie_dw_ti.c b/drivers/pci/pcie_dw_ti.c index e49e39e..742dd93 100644 --- a/drivers/pci/pcie_dw_ti.c +++ b/drivers/pci/pcie_dw_ti.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pci/pcie_intel_fpga.c b/drivers/pci/pcie_intel_fpga.c index 8249c62..aa1903e 100644 --- a/drivers/pci/pcie_intel_fpga.c +++ b/drivers/pci/pcie_intel_fpga.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #define RP_TX_REG0 0x2000 diff --git a/drivers/pci/pcie_layerscape_gen4.h b/drivers/pci/pcie_layerscape_gen4.h index 27c2d09..d298a2b 100644 --- a/drivers/pci/pcie_layerscape_gen4.h +++ b/drivers/pci/pcie_layerscape_gen4.h @@ -10,6 +10,7 @@ #define _PCIE_LAYERSCAPE_GEN4_H_ #include #include +#include #ifndef CONFIG_SYS_PCI_MEMORY_SIZE #define CONFIG_SYS_PCI_MEMORY_SIZE (4 * 1024 * 1024 * 1024ULL) diff --git a/drivers/pci/pcie_mediatek.c b/drivers/pci/pcie_mediatek.c index c7cd2f5..6e6375c 100644 --- a/drivers/pci/pcie_mediatek.c +++ b/drivers/pci/pcie_mediatek.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pci/pcie_xilinx.c b/drivers/pci/pcie_xilinx.c index 05787ae..713ad49 100644 --- a/drivers/pci/pcie_xilinx.c +++ b/drivers/pci/pcie_xilinx.c @@ -8,6 +8,7 @@ #include #include #include +#include #include diff --git a/drivers/pci_endpoint/pcie-cadence.h b/drivers/pci_endpoint/pcie-cadence.h index 91630d3..8a659c3 100644 --- a/drivers/pci_endpoint/pcie-cadence.h +++ b/drivers/pci_endpoint/pcie-cadence.h @@ -14,6 +14,7 @@ #include #include #include +#include /* * Local Management Registers diff --git a/drivers/phy/allwinner/phy-sun4i-usb.c b/drivers/phy/allwinner/phy-sun4i-usb.c index af974cd..b4bae22 100644 --- a/drivers/phy/allwinner/phy-sun4i-usb.c +++ b/drivers/phy/allwinner/phy-sun4i-usb.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include diff --git a/drivers/phy/bcm6318-usbh-phy.c b/drivers/phy/bcm6318-usbh-phy.c index fe0e43d..d29344d 100644 --- a/drivers/phy/bcm6318-usbh-phy.c +++ b/drivers/phy/bcm6318-usbh-phy.c @@ -17,6 +17,7 @@ #include #include #include +#include #include /* USBH Setup register */ diff --git a/drivers/phy/bcm6348-usbh-phy.c b/drivers/phy/bcm6348-usbh-phy.c index a486152..8d28292 100644 --- a/drivers/phy/bcm6348-usbh-phy.c +++ b/drivers/phy/bcm6348-usbh-phy.c @@ -16,6 +16,7 @@ #include #include #include +#include #define USBH_SETUP_PORT1_EN BIT(0) diff --git a/drivers/phy/bcm6358-usbh-phy.c b/drivers/phy/bcm6358-usbh-phy.c index 13e0e7b..501b97f 100644 --- a/drivers/phy/bcm6358-usbh-phy.c +++ b/drivers/phy/bcm6358-usbh-phy.c @@ -15,6 +15,7 @@ #include #include #include +#include /* USBH Swap Control register */ #define USBH_SWAP_REG 0x00 diff --git a/drivers/phy/bcm6368-usbh-phy.c b/drivers/phy/bcm6368-usbh-phy.c index 8b918b0..99fdd33 100644 --- a/drivers/phy/bcm6368-usbh-phy.c +++ b/drivers/phy/bcm6368-usbh-phy.c @@ -17,6 +17,7 @@ #include #include #include +#include #include /* USBH PLL Control register */ diff --git a/drivers/phy/keystone-usb-phy.c b/drivers/phy/keystone-usb-phy.c index 7624f10..9faf501 100644 --- a/drivers/phy/keystone-usb-phy.c +++ b/drivers/phy/keystone-usb-phy.c @@ -11,6 +11,7 @@ #include #include #include +#include #include /* USB PHY control register offsets */ diff --git a/drivers/phy/meson-gxbb-usb2.c b/drivers/phy/meson-gxbb-usb2.c index 88c2ec6..d1beebe 100644 --- a/drivers/phy/meson-gxbb-usb2.c +++ b/drivers/phy/meson-gxbb-usb2.c @@ -15,6 +15,7 @@ #include #include #include +#include #define REG_CONFIG 0x00 #define REG_CONFIG_CLK_EN BIT(0) diff --git a/drivers/phy/msm8916-usbh-phy.c b/drivers/phy/msm8916-usbh-phy.c index 2c90738..4efaddd 100644 --- a/drivers/phy/msm8916-usbh-phy.c +++ b/drivers/phy/msm8916-usbh-phy.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/phy/omap-usb2-phy.c b/drivers/phy/omap-usb2-phy.c index 160a386..0793b97 100644 --- a/drivers/phy/omap-usb2-phy.c +++ b/drivers/phy/omap-usb2-phy.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #define OMAP_USB2_CALIBRATE_FALSE_DISCONNECT BIT(0) diff --git a/drivers/phy/phy-mtk-tphy.c b/drivers/phy/phy-mtk-tphy.c index 048e2b1..1e65c24 100644 --- a/drivers/phy/phy-mtk-tphy.c +++ b/drivers/phy/phy-mtk-tphy.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include diff --git a/drivers/phy/phy-ti-am654.c b/drivers/phy/phy-ti-am654.c index 5220f01..6907c1a 100644 --- a/drivers/phy/phy-ti-am654.c +++ b/drivers/phy/phy-ti-am654.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include diff --git a/drivers/phy/ti-pipe3-phy.c b/drivers/phy/ti-pipe3-phy.c index d247a98..3f49851 100644 --- a/drivers/phy/ti-pipe3-phy.c +++ b/drivers/phy/ti-pipe3-phy.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pinctrl/mediatek/pinctrl-mtk-common.c b/drivers/pinctrl/mediatek/pinctrl-mtk-common.c index c7351f3..5fdc150 100644 --- a/drivers/pinctrl/mediatek/pinctrl-mtk-common.c +++ b/drivers/pinctrl/mediatek/pinctrl-mtk-common.c @@ -11,6 +11,7 @@ #include #include #include +#include #include "pinctrl-mtk-common.h" diff --git a/drivers/pinctrl/meson/pinctrl-meson-gx-pmx.c b/drivers/pinctrl/meson/pinctrl-meson-gx-pmx.c index adfc9ae..159f340 100644 --- a/drivers/pinctrl/meson/pinctrl-meson-gx-pmx.c +++ b/drivers/pinctrl/meson/pinctrl-meson-gx-pmx.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include "pinctrl-meson-gx.h" diff --git a/drivers/pinctrl/meson/pinctrl-meson.c b/drivers/pinctrl/meson/pinctrl-meson.c index 18b8eee..d4539b0 100644 --- a/drivers/pinctrl/meson/pinctrl-meson.c +++ b/drivers/pinctrl/meson/pinctrl-meson.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pinctrl/mscc/mscc-common.c b/drivers/pinctrl/mscc/mscc-common.c index 90c54b4..307ed1d 100644 --- a/drivers/pinctrl/mscc/mscc-common.c +++ b/drivers/pinctrl/mscc/mscc-common.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include "mscc-common.h" diff --git a/drivers/pinctrl/mvebu/pinctrl-armada-37xx.c b/drivers/pinctrl/mvebu/pinctrl-armada-37xx.c index b9fb468..2dee79a 100644 --- a/drivers/pinctrl/mvebu/pinctrl-armada-37xx.c +++ b/drivers/pinctrl/mvebu/pinctrl-armada-37xx.c @@ -32,6 +32,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/pinctrl/mvebu/pinctrl-mvebu.c b/drivers/pinctrl/mvebu/pinctrl-mvebu.c index 550de38..2206e95 100644 --- a/drivers/pinctrl/mvebu/pinctrl-mvebu.c +++ b/drivers/pinctrl/mvebu/pinctrl-mvebu.c @@ -15,6 +15,7 @@ #include #include #include +#include #include "pinctrl-mvebu.h" #define AP_EMMC_PHY_CTRL_REG 0x100 diff --git a/drivers/pinctrl/nxp/pinctrl-imx.c b/drivers/pinctrl/nxp/pinctrl-imx.c index 474c38a..48c7bde 100644 --- a/drivers/pinctrl/nxp/pinctrl-imx.c +++ b/drivers/pinctrl/nxp/pinctrl-imx.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pinctrl/pinctrl-at91-pio4.c b/drivers/pinctrl/pinctrl-at91-pio4.c index 0c43686..801d142 100644 --- a/drivers/pinctrl/pinctrl-at91-pio4.c +++ b/drivers/pinctrl/pinctrl-at91-pio4.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pinctrl/pinctrl-at91.c b/drivers/pinctrl/pinctrl-at91.c index ff663ab..b3fa124 100644 --- a/drivers/pinctrl/pinctrl-at91.c +++ b/drivers/pinctrl/pinctrl-at91.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pinctrl/pinctrl-sandbox.c b/drivers/pinctrl/pinctrl-sandbox.c index dcef38e..ac0119d 100644 --- a/drivers/pinctrl/pinctrl-sandbox.c +++ b/drivers/pinctrl/pinctrl-sandbox.c @@ -9,6 +9,7 @@ #include #include #include +#include static const char * const sandbox_pins[] = { "SCL", diff --git a/drivers/pinctrl/pinctrl-stmfx.c b/drivers/pinctrl/pinctrl-stmfx.c index 9b6a7fa..a3240cc 100644 --- a/drivers/pinctrl/pinctrl-stmfx.c +++ b/drivers/pinctrl/pinctrl-stmfx.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pinctrl/pinctrl_pic32.c b/drivers/pinctrl/pinctrl_pic32.c index ce02d28..911af12 100644 --- a/drivers/pinctrl/pinctrl_pic32.c +++ b/drivers/pinctrl/pinctrl_pic32.c @@ -10,6 +10,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/pinctrl/pinctrl_stm32.c b/drivers/pinctrl/pinctrl_stm32.c index 14fcacd..fc241fd 100644 --- a/drivers/pinctrl/pinctrl_stm32.c +++ b/drivers/pinctrl/pinctrl_stm32.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pinctrl/renesas/pfc-r7s72100.c b/drivers/pinctrl/renesas/pfc-r7s72100.c index 7e4530d..5055780 100644 --- a/drivers/pinctrl/renesas/pfc-r7s72100.c +++ b/drivers/pinctrl/renesas/pfc-r7s72100.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/pinctrl/renesas/pfc-r8a77990.c b/drivers/pinctrl/renesas/pfc-r8a77990.c index 5313f2f..de22e49 100644 --- a/drivers/pinctrl/renesas/pfc-r8a77990.c +++ b/drivers/pinctrl/renesas/pfc-r8a77990.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include "sh_pfc.h" diff --git a/drivers/pinctrl/renesas/pfc.c b/drivers/pinctrl/renesas/pfc.c index efbff49..1179afd 100644 --- a/drivers/pinctrl/renesas/pfc.c +++ b/drivers/pinctrl/renesas/pfc.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pinctrl/rockchip/pinctrl-px30.c b/drivers/pinctrl/rockchip/pinctrl-px30.c index 20ffd24..617721a 100644 --- a/drivers/pinctrl/rockchip/pinctrl-px30.c +++ b/drivers/pinctrl/rockchip/pinctrl-px30.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3036.c b/drivers/pinctrl/rockchip/pinctrl-rk3036.c index 28c9051..d49e300 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3036.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3036.c @@ -8,6 +8,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3128.c b/drivers/pinctrl/rockchip/pinctrl-rk3128.c index 3eb4d95..a175d6c 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3128.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3128.c @@ -8,6 +8,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk322x.c b/drivers/pinctrl/rockchip/pinctrl-rk322x.c index e082eb6..85bc0ef 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk322x.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk322x.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3288.c b/drivers/pinctrl/rockchip/pinctrl-rk3288.c index 2780302..faaa2ce 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3288.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3288.c @@ -8,6 +8,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3308.c b/drivers/pinctrl/rockchip/pinctrl-rk3308.c index 0817f71..db2b10a 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3308.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3308.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3328.c b/drivers/pinctrl/rockchip/pinctrl-rk3328.c index 990f681..f9160f2 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3328.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3328.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rk3399.c b/drivers/pinctrl/rockchip/pinctrl-rk3399.c index 7647d90..d04c1af 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rk3399.c +++ b/drivers/pinctrl/rockchip/pinctrl-rk3399.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rockchip-core.c b/drivers/pinctrl/rockchip/pinctrl-rockchip-core.c index 63aebdb..ec1cb9b 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rockchip-core.c +++ b/drivers/pinctrl/rockchip/pinctrl-rockchip-core.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/rockchip/pinctrl-rockchip.h b/drivers/pinctrl/rockchip/pinctrl-rockchip.h index 5edc7cb..d969c20 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rockchip.h +++ b/drivers/pinctrl/rockchip/pinctrl-rockchip.h @@ -6,6 +6,7 @@ #ifndef __DRIVERS_PINCTRL_ROCKCHIP_H #define __DRIVERS_PINCTRL_ROCKCHIP_H +#include #include /** diff --git a/drivers/pinctrl/rockchip/pinctrl-rv1108.c b/drivers/pinctrl/rockchip/pinctrl-rv1108.c index 653e40b..2233432 100644 --- a/drivers/pinctrl/rockchip/pinctrl-rv1108.c +++ b/drivers/pinctrl/rockchip/pinctrl-rv1108.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "pinctrl-rockchip.h" diff --git a/drivers/pinctrl/uniphier/pinctrl-uniphier-core.c b/drivers/pinctrl/uniphier/pinctrl-uniphier-core.c index 25231c1..8545b9d 100644 --- a/drivers/pinctrl/uniphier/pinctrl-uniphier-core.c +++ b/drivers/pinctrl/uniphier/pinctrl-uniphier-core.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/power/domain/bcm6328-power-domain.c b/drivers/power/domain/bcm6328-power-domain.c index a6426be..a494bfa 100644 --- a/drivers/power/domain/bcm6328-power-domain.c +++ b/drivers/power/domain/bcm6328-power-domain.c @@ -8,6 +8,7 @@ #include #include #include +#include #define MAX_DOMAINS 32 diff --git a/drivers/power/domain/meson-ee-pwrc.c b/drivers/power/domain/meson-ee-pwrc.c index b668d94..8349a9c 100644 --- a/drivers/power/domain/meson-ee-pwrc.c +++ b/drivers/power/domain/meson-ee-pwrc.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include diff --git a/drivers/power/domain/meson-gx-pwrc-vpu.c b/drivers/power/domain/meson-gx-pwrc-vpu.c index d43383b..a41b230 100644 --- a/drivers/power/domain/meson-gx-pwrc-vpu.c +++ b/drivers/power/domain/meson-gx-pwrc-vpu.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include diff --git a/drivers/power/domain/mtk-power-domain.c b/drivers/power/domain/mtk-power-domain.c index 3ff7ca1..fae4749 100644 --- a/drivers/power/domain/mtk-power-domain.c +++ b/drivers/power/domain/mtk-power-domain.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include diff --git a/drivers/power/domain/tegra186-power-domain.c b/drivers/power/domain/tegra186-power-domain.c index 9cebc7f..707735c 100644 --- a/drivers/power/domain/tegra186-power-domain.c +++ b/drivers/power/domain/tegra186-power-domain.c @@ -10,6 +10,7 @@ #include #include #include +#include #define UPDATE BIT(0) #define ON BIT(1) diff --git a/drivers/power/regulator/bd71837.c b/drivers/power/regulator/bd71837.c index 850b533..931d753 100644 --- a/drivers/power/regulator/bd71837.c +++ b/drivers/power/regulator/bd71837.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/power/regulator/da9063.c b/drivers/power/regulator/da9063.c index 8990be1..5055e39 100644 --- a/drivers/power/regulator/da9063.c +++ b/drivers/power/regulator/da9063.c @@ -6,6 +6,7 @@ #include #include +#include #include #include #include diff --git a/drivers/power/regulator/fan53555.c b/drivers/power/regulator/fan53555.c index fa92fd8..b407f55 100644 --- a/drivers/power/regulator/fan53555.c +++ b/drivers/power/regulator/fan53555.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/power/regulator/stm32-vrefbuf.c b/drivers/power/regulator/stm32-vrefbuf.c index 08a10f0..2507735 100644 --- a/drivers/power/regulator/stm32-vrefbuf.c +++ b/drivers/power/regulator/stm32-vrefbuf.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/pwm/rk_pwm.c b/drivers/pwm/rk_pwm.c index 66eb0e7..911da1d 100644 --- a/drivers/pwm/rk_pwm.c +++ b/drivers/pwm/rk_pwm.c @@ -14,6 +14,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/ram/imxrt_sdram.c b/drivers/ram/imxrt_sdram.c index 80fcb7e..765a214 100644 --- a/drivers/ram/imxrt_sdram.c +++ b/drivers/ram/imxrt_sdram.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include diff --git a/drivers/ram/k3-am654-ddrss.h b/drivers/ram/k3-am654-ddrss.h index 94a7c91..c87f186 100644 --- a/drivers/ram/k3-am654-ddrss.h +++ b/drivers/ram/k3-am654-ddrss.h @@ -11,6 +11,7 @@ #define __K3_AM654_DDRSS_H /* DDRSS subsystem wrapper logic registers */ +#include #define DDRSS_SS_ID_REV_REG 0x00000000 #define DDRSS_SS_CTL_REG 0x00000004 #define DDRSS_V2H_CTL_REG 0x00000020 diff --git a/drivers/ram/mediatek/ddr3-mt7629.c b/drivers/ram/mediatek/ddr3-mt7629.c index 6b4296d..b3a68cb 100644 --- a/drivers/ram/mediatek/ddr3-mt7629.c +++ b/drivers/ram/mediatek/ddr3-mt7629.c @@ -12,6 +12,7 @@ #include #include #include +#include #include /* EMI */ diff --git a/drivers/ram/mpc83xx_sdram.c b/drivers/ram/mpc83xx_sdram.c index e974670..a226bac 100644 --- a/drivers/ram/mpc83xx_sdram.c +++ b/drivers/ram/mpc83xx_sdram.c @@ -9,6 +9,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/ram/rockchip/dmc-rk3368.c b/drivers/ram/rockchip/dmc-rk3368.c index 31a3c83..92457a1 100644 --- a/drivers/ram/rockchip/dmc-rk3368.c +++ b/drivers/ram/rockchip/dmc-rk3368.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include diff --git a/drivers/ram/stm32_sdram.c b/drivers/ram/stm32_sdram.c index 1894a60..3fddf4d 100644 --- a/drivers/ram/stm32_sdram.c +++ b/drivers/ram/stm32_sdram.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #define MEM_MODE_MASK GENMASK(2, 0) diff --git a/drivers/ram/stm32mp1/stm32mp1_ddr.c b/drivers/ram/stm32mp1/stm32mp1_ddr.c index f523dc8..bf3a4c9 100644 --- a/drivers/ram/stm32mp1/stm32mp1_ddr.c +++ b/drivers/ram/stm32mp1/stm32mp1_ddr.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include "stm32mp1_ddr.h" diff --git a/drivers/ram/stm32mp1/stm32mp1_ddr_regs.h b/drivers/ram/stm32mp1/stm32mp1_ddr_regs.h index afd93c5..3c8885a 100644 --- a/drivers/ram/stm32mp1/stm32mp1_ddr_regs.h +++ b/drivers/ram/stm32mp1/stm32mp1_ddr_regs.h @@ -7,6 +7,7 @@ #define _RAM_STM32MP1_DDR_REGS_H /* DDR3/LPDDR2/LPDDR3 Controller (DDRCTRL) registers */ +#include struct stm32mp1_ddrctl { u32 mstr ; /* 0x0 Master*/ u32 stat; /* 0x4 Operating Mode Status*/ diff --git a/drivers/reset/reset-bcm6345.c b/drivers/reset/reset-bcm6345.c index 0511a0c..156703e 100644 --- a/drivers/reset/reset-bcm6345.c +++ b/drivers/reset/reset-bcm6345.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #define MAX_RESETS 32 diff --git a/drivers/reset/reset-hisilicon.c b/drivers/reset/reset-hisilicon.c index e7bec9c..139bc5d 100644 --- a/drivers/reset/reset-hisilicon.c +++ b/drivers/reset/reset-hisilicon.c @@ -10,6 +10,7 @@ #include #include #include +#include struct hisi_reset_priv { void __iomem *base; diff --git a/drivers/reset/reset-hsdk.c b/drivers/reset/reset-hsdk.c index 149eaef..0c8f276 100644 --- a/drivers/reset/reset-hsdk.c +++ b/drivers/reset/reset-hsdk.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include diff --git a/drivers/reset/reset-imx7.c b/drivers/reset/reset-imx7.c index ce7e1c4..96916b6 100644 --- a/drivers/reset/reset-imx7.c +++ b/drivers/reset/reset-imx7.c @@ -11,6 +11,7 @@ #include #include #include +#include #include struct imx7_reset_priv { diff --git a/drivers/reset/reset-mediatek.c b/drivers/reset/reset-mediatek.c index 9f2e809..97d3d57 100644 --- a/drivers/reset/reset-mediatek.c +++ b/drivers/reset/reset-mediatek.c @@ -14,6 +14,7 @@ #include #include #include +#include #include struct mediatek_reset_priv { diff --git a/drivers/reset/reset-meson.c b/drivers/reset/reset-meson.c index 461c0cc..4e33278 100644 --- a/drivers/reset/reset-meson.c +++ b/drivers/reset/reset-meson.c @@ -12,6 +12,7 @@ #include #include #include +#include #define REG_COUNT 8 #define BITS_PER_REG 32 diff --git a/drivers/reset/reset-mtmips.c b/drivers/reset/reset-mtmips.c index a79a4ac..bc20e33 100644 --- a/drivers/reset/reset-mtmips.c +++ b/drivers/reset/reset-mtmips.c @@ -11,6 +11,7 @@ #include #include #include +#include #include struct mtmips_reset_priv { diff --git a/drivers/reset/reset-rockchip.c b/drivers/reset/reset-rockchip.c index 7c30919..8092555 100644 --- a/drivers/reset/reset-rockchip.c +++ b/drivers/reset/reset-rockchip.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/reset/reset-sunxi.c b/drivers/reset/reset-sunxi.c index 48c24ed..05f7f59 100644 --- a/drivers/reset/reset-sunxi.c +++ b/drivers/reset/reset-sunxi.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include diff --git a/drivers/reset/sti-reset.c b/drivers/reset/sti-reset.c index ab67bc0..ac3a99f 100644 --- a/drivers/reset/sti-reset.c +++ b/drivers/reset/sti-reset.c @@ -14,6 +14,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/reset/stm32-reset.c b/drivers/reset/stm32-reset.c index 47ed140..64a11cf 100644 --- a/drivers/reset/stm32-reset.c +++ b/drivers/reset/stm32-reset.c @@ -12,6 +12,7 @@ #include #include #include +#include /* reset clear offset for STM32MP RCC */ #define RCC_CL 0x4 diff --git a/drivers/rng/rockchip_rng.c b/drivers/rng/rockchip_rng.c index 47fb140..c6a834b 100644 --- a/drivers/rng/rockchip_rng.c +++ b/drivers/rng/rockchip_rng.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/rng/stm32mp1_rng.c b/drivers/rng/stm32mp1_rng.c index a9a9273..7ef7ff9 100644 --- a/drivers/rng/stm32mp1_rng.c +++ b/drivers/rng/stm32mp1_rng.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/rtc/ds3232.c b/drivers/rtc/ds3232.c index e3b3579..0a30e0e 100644 --- a/drivers/rtc/ds3232.c +++ b/drivers/rtc/ds3232.c @@ -9,6 +9,7 @@ #include #include #include +#include /* * RTC register addresses diff --git a/drivers/rtc/rv3029.c b/drivers/rtc/rv3029.c index 9be5842..3afe5b2 100644 --- a/drivers/rtc/rv3029.c +++ b/drivers/rtc/rv3029.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #define RTC_RV3029_PAGE_LEN 7 diff --git a/drivers/rtc/rv8803.c b/drivers/rtc/rv8803.c index 7f56b15..acd50c6 100644 --- a/drivers/rtc/rv8803.c +++ b/drivers/rtc/rv8803.c @@ -16,6 +16,7 @@ #include #include #include +#include /* * RTC register addresses diff --git a/drivers/rtc/rx8010sj.c b/drivers/rtc/rx8010sj.c index 82c5185..d513561 100644 --- a/drivers/rtc/rx8010sj.c +++ b/drivers/rtc/rx8010sj.c @@ -21,6 +21,7 @@ #include #include #include +#include /*---------------------------------------------------------------------*/ /* #undef DEBUG_RTC */ diff --git a/drivers/rtc/stm32_rtc.c b/drivers/rtc/stm32_rtc.c index 3e12f57..f9db318 100644 --- a/drivers/rtc/stm32_rtc.c +++ b/drivers/rtc/stm32_rtc.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #define STM32_RTC_TR 0x00 diff --git a/drivers/serial/altera_jtag_uart.c b/drivers/serial/altera_jtag_uart.c index 86c3de4..7a86161 100644 --- a/drivers/serial/altera_jtag_uart.c +++ b/drivers/serial/altera_jtag_uart.c @@ -9,6 +9,7 @@ #include #include #include +#include /* data register */ #define ALTERA_JTAG_RVALID BIT(15) /* Read valid */ diff --git a/drivers/serial/altera_uart.c b/drivers/serial/altera_uart.c index 436cf23..f88a293 100644 --- a/drivers/serial/altera_uart.c +++ b/drivers/serial/altera_uart.c @@ -9,6 +9,7 @@ #include #include #include +#include /* status register */ #define ALTERA_UART_TMT BIT(5) /* tx empty */ diff --git a/drivers/serial/serial_ar933x.c b/drivers/serial/serial_ar933x.c index 897ea5d..382c3b3 100644 --- a/drivers/serial/serial_ar933x.c +++ b/drivers/serial/serial_ar933x.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #define AR933X_UART_DATA_REG 0x00 diff --git a/drivers/serial/serial_bcm283x_mu.c b/drivers/serial/serial_bcm283x_mu.c index febb5ce..0102b10 100644 --- a/drivers/serial/serial_bcm283x_mu.c +++ b/drivers/serial/serial_bcm283x_mu.c @@ -23,6 +23,7 @@ #include #include #include +#include #include struct bcm283x_mu_regs { diff --git a/drivers/serial/serial_cortina.c b/drivers/serial/serial_cortina.c index 4f227bf..cc20673 100644 --- a/drivers/serial/serial_cortina.c +++ b/drivers/serial/serial_cortina.c @@ -11,6 +11,7 @@ #include #include #include +#include #include /* Register definitions */ diff --git a/drivers/serial/serial_lpuart.c b/drivers/serial/serial_lpuart.c index 9d7ed5e..0c63c41 100644 --- a/drivers/serial/serial_lpuart.c +++ b/drivers/serial/serial_lpuart.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/serial/serial_meson.c b/drivers/serial/serial_meson.c index b3dad77..439057b 100644 --- a/drivers/serial/serial_meson.c +++ b/drivers/serial/serial_meson.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include diff --git a/drivers/serial/serial_owl.c b/drivers/serial/serial_owl.c index bb60ca2..2651a45 100644 --- a/drivers/serial/serial_owl.c +++ b/drivers/serial/serial_owl.c @@ -14,6 +14,7 @@ #include #include #include +#include /* UART Registers */ #define OWL_UART_CTL (0x0000) diff --git a/drivers/serial/serial_pic32.c b/drivers/serial/serial_pic32.c index bac506e..a492063 100644 --- a/drivers/serial/serial_pic32.c +++ b/drivers/serial/serial_pic32.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include diff --git a/drivers/serial/serial_sti_asc.c b/drivers/serial/serial_sti_asc.c index 27d3ec9..5fbbfac 100644 --- a/drivers/serial/serial_sti_asc.c +++ b/drivers/serial/serial_sti_asc.c @@ -11,6 +11,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/serial/serial_stm32.c b/drivers/serial/serial_stm32.c index 5c1cf81..e77b906 100644 --- a/drivers/serial/serial_stm32.c +++ b/drivers/serial/serial_stm32.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include "serial_stm32.h" #include diff --git a/drivers/serial/serial_stm32.h b/drivers/serial/serial_stm32.h index 7b0c531..5737651 100644 --- a/drivers/serial/serial_stm32.h +++ b/drivers/serial/serial_stm32.h @@ -7,6 +7,7 @@ #ifndef _SERIAL_STM32_ #define _SERIAL_STM32_ +#include #define CR1_OFFSET(x) (x ? 0x0c : 0x00) #define CR3_OFFSET(x) (x ? 0x14 : 0x08) #define BRR_OFFSET(x) (x ? 0x08 : 0x0c) diff --git a/drivers/serial/serial_xuartlite.c b/drivers/serial/serial_xuartlite.c index 1be777b..f29a9a0 100644 --- a/drivers/serial/serial_xuartlite.c +++ b/drivers/serial/serial_xuartlite.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include diff --git a/drivers/serial/serial_zynq.c b/drivers/serial/serial_zynq.c index 5f2dcd6..0e71cad 100644 --- a/drivers/serial/serial_zynq.c +++ b/drivers/serial/serial_zynq.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/soc/ti/k3-navss-ringacc.c b/drivers/soc/ti/k3-navss-ringacc.c index c3953fd..ecc4b8b 100644 --- a/drivers/soc/ti/k3-navss-ringacc.c +++ b/drivers/soc/ti/k3-navss-ringacc.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/soc/ti/keystone_serdes.c b/drivers/soc/ti/keystone_serdes.c index 7907e6f..2ece1a8 100644 --- a/drivers/soc/ti/keystone_serdes.c +++ b/drivers/soc/ti/keystone_serdes.c @@ -9,6 +9,7 @@ #include #include #include +#include #define SERDES_CMU_REGS(x) (0x0000 + (0x0c00 * (x))) #define SERDES_LANE_REGS(x) (0x0200 + (0x200 * (x))) diff --git a/drivers/sound/hda_codec.c b/drivers/sound/hda_codec.c index b59c63e..4154d29 100644 --- a/drivers/sound/hda_codec.c +++ b/drivers/sound/hda_codec.c @@ -15,6 +15,7 @@ #include #include #include +#include #include /** diff --git a/drivers/sound/ivybridge_sound.c b/drivers/sound/ivybridge_sound.c index f446a14..bc3c1e8 100644 --- a/drivers/sound/ivybridge_sound.c +++ b/drivers/sound/ivybridge_sound.c @@ -18,6 +18,7 @@ #include #include #include +#include static int bd82x6x_azalia_probe(struct udevice *dev) { diff --git a/drivers/sound/max98088.h b/drivers/sound/max98088.h index 127d2bd..b1307a7 100644 --- a/drivers/sound/max98088.h +++ b/drivers/sound/max98088.h @@ -9,6 +9,7 @@ #define _MAX98088_H /* MAX98088 Registers Definition */ +#include #define M98088_REG_IRQ_STATUS 0x00 #define M98088_REG_MIC_STATUS 0x01 #define M98088_REG_JACK_STAUS 0x02 diff --git a/drivers/sound/rockchip_i2s.c b/drivers/sound/rockchip_i2s.c index 5e8ed6d..4e9e68a 100644 --- a/drivers/sound/rockchip_i2s.c +++ b/drivers/sound/rockchip_i2s.c @@ -13,6 +13,7 @@ #include #include #include +#include struct rk_i2s_regs { u32 txcr; /* I2S_TXCR, 0x00 */ diff --git a/drivers/spi/altera_spi.c b/drivers/spi/altera_spi.c index 11d745f..3aa7a40 100644 --- a/drivers/spi/altera_spi.c +++ b/drivers/spi/altera_spi.c @@ -14,6 +14,7 @@ #include #include #include +#include #define ALTERA_SPI_STATUS_RRDY_MSK BIT(7) #define ALTERA_SPI_CONTROL_SSO_MSK BIT(10) diff --git a/drivers/spi/atmel-quadspi.c b/drivers/spi/atmel-quadspi.c index a6c3939..9320a8b 100644 --- a/drivers/spi/atmel-quadspi.c +++ b/drivers/spi/atmel-quadspi.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/atmel_spi.h b/drivers/spi/atmel_spi.h index 76b8556..6167bd1 100644 --- a/drivers/spi/atmel_spi.h +++ b/drivers/spi/atmel_spi.h @@ -3,6 +3,7 @@ */ /* Register offsets */ +#include #define ATMEL_SPI_CR 0x0000 #define ATMEL_SPI_MR 0x0004 #define ATMEL_SPI_RDR 0x0008 diff --git a/drivers/spi/bcm63xx_hsspi.c b/drivers/spi/bcm63xx_hsspi.c index afc7be0..f30a8a4 100644 --- a/drivers/spi/bcm63xx_hsspi.c +++ b/drivers/spi/bcm63xx_hsspi.c @@ -16,6 +16,7 @@ #include #include #include +#include #define HSSPI_PP 0 diff --git a/drivers/spi/cadence_qspi_apb.c b/drivers/spi/cadence_qspi_apb.c index 6362869..f9675f7 100644 --- a/drivers/spi/cadence_qspi_apb.c +++ b/drivers/spi/cadence_qspi_apb.c @@ -29,6 +29,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/davinci_spi.c b/drivers/spi/davinci_spi.c index c00a05a..97ac97b 100644 --- a/drivers/spi/davinci_spi.c +++ b/drivers/spi/davinci_spi.c @@ -16,6 +16,7 @@ #include #include #include +#include #include /* SPIGCR0 */ diff --git a/drivers/spi/designware_spi.c b/drivers/spi/designware_spi.c index 09044bd..c9b14f9 100644 --- a/drivers/spi/designware_spi.c +++ b/drivers/spi/designware_spi.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/fsl_dspi.c b/drivers/spi/fsl_dspi.c index cb3d44c..1cdb233 100644 --- a/drivers/spi/fsl_dspi.c +++ b/drivers/spi/fsl_dspi.c @@ -22,6 +22,7 @@ #include #endif #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/fsl_espi.c b/drivers/spi/fsl_espi.c index ba49839..50d194f 100644 --- a/drivers/spi/fsl_espi.c +++ b/drivers/spi/fsl_espi.c @@ -8,6 +8,7 @@ #include #include +#include #include #include diff --git a/drivers/spi/fsl_qspi.c b/drivers/spi/fsl_qspi.c index de93cbd..e715f98 100644 --- a/drivers/spi/fsl_qspi.c +++ b/drivers/spi/fsl_qspi.c @@ -26,6 +26,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/ich.c b/drivers/spi/ich.c index 9c42755..7405062 100644 --- a/drivers/spi/ich.c +++ b/drivers/spi/ich.c @@ -25,6 +25,7 @@ #include #include #include +#include #include #include diff --git a/drivers/spi/ich.h b/drivers/spi/ich.h index d7f1ffd..23c7827 100644 --- a/drivers/spi/ich.h +++ b/drivers/spi/ich.h @@ -8,6 +8,7 @@ #ifndef _ICH_H_ #define _ICH_H_ +#include struct ich7_spi_regs { uint16_t spis; uint16_t spic; diff --git a/drivers/spi/meson_spifc.c b/drivers/spi/meson_spifc.c index ad15995..2cdc018 100644 --- a/drivers/spi/meson_spifc.c +++ b/drivers/spi/meson_spifc.c @@ -16,6 +16,7 @@ #include #include #include +#include /* register map */ #define REG_CMD 0x00 diff --git a/drivers/spi/mpc8xxx_spi.c b/drivers/spi/mpc8xxx_spi.c index 8491eac..811b5d4 100644 --- a/drivers/spi/mpc8xxx_spi.c +++ b/drivers/spi/mpc8xxx_spi.c @@ -14,6 +14,7 @@ #include #include #include +#include #include enum { diff --git a/drivers/spi/mscc_bb_spi.c b/drivers/spi/mscc_bb_spi.c index 37327ec..0454410 100644 --- a/drivers/spi/mscc_bb_spi.c +++ b/drivers/spi/mscc_bb_spi.c @@ -14,6 +14,7 @@ #include #include #include +#include #include struct mscc_bb_priv { diff --git a/drivers/spi/mt7621_spi.c b/drivers/spi/mt7621_spi.c index df9d9da..3a0738e 100644 --- a/drivers/spi/mt7621_spi.c +++ b/drivers/spi/mt7621_spi.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #define MT7621_RX_FIFO_LEN 32 diff --git a/drivers/spi/mvebu_a3700_spi.c b/drivers/spi/mvebu_a3700_spi.c index 73a3f91..2302e62 100644 --- a/drivers/spi/mvebu_a3700_spi.c +++ b/drivers/spi/mvebu_a3700_spi.c @@ -14,6 +14,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/mxc_spi.c b/drivers/spi/mxc_spi.c index e35c6f9..f52ebf4 100644 --- a/drivers/spi/mxc_spi.c +++ b/drivers/spi/mxc_spi.c @@ -9,6 +9,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/mxs_spi.c b/drivers/spi/mxs_spi.c index 635955e..0da4a80 100644 --- a/drivers/spi/mxs_spi.c +++ b/drivers/spi/mxs_spi.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/nxp_fspi.c b/drivers/spi/nxp_fspi.c index 22a5c0e..c507437 100644 --- a/drivers/spi/nxp_fspi.c +++ b/drivers/spi/nxp_fspi.c @@ -40,6 +40,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/omap3_spi.c b/drivers/spi/omap3_spi.c index ff4c700..6a615d1 100644 --- a/drivers/spi/omap3_spi.c +++ b/drivers/spi/omap3_spi.c @@ -21,6 +21,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/pic32_spi.c b/drivers/spi/pic32_spi.c index 88dc144..52e9eff 100644 --- a/drivers/spi/pic32_spi.c +++ b/drivers/spi/pic32_spi.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/renesas_rpc_spi.c b/drivers/spi/renesas_rpc_spi.c index 61ae92d..3ea59b8 100644 --- a/drivers/spi/renesas_rpc_spi.c +++ b/drivers/spi/renesas_rpc_spi.c @@ -12,6 +12,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/sh_qspi.c b/drivers/spi/sh_qspi.c index 5ae203d..2839dd1 100644 --- a/drivers/spi/sh_qspi.c +++ b/drivers/spi/sh_qspi.c @@ -13,6 +13,7 @@ #include #include #include +#include /* SH QSPI register bit masks _ */ #define SPCR_MSTR 0x08 diff --git a/drivers/spi/soft_spi.c b/drivers/spi/soft_spi.c index e5f9f49..e8d7758 100644 --- a/drivers/spi/soft_spi.c +++ b/drivers/spi/soft_spi.c @@ -17,6 +17,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/spi-sifive.c b/drivers/spi/spi-sifive.c index 4cab039..0e0ce25 100644 --- a/drivers/spi/spi-sifive.c +++ b/drivers/spi/spi-sifive.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include diff --git a/drivers/spi/spi-sunxi.c b/drivers/spi/spi-sunxi.c index 85b662b..d2dccd6 100644 --- a/drivers/spi/spi-sunxi.c +++ b/drivers/spi/spi-sunxi.c @@ -28,6 +28,7 @@ #include #include #include +#include #include #include diff --git a/drivers/spi/stm32_qspi.c b/drivers/spi/stm32_qspi.c index 9fc5f6e..001f070 100644 --- a/drivers/spi/stm32_qspi.c +++ b/drivers/spi/stm32_qspi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/stm32_spi.c b/drivers/spi/stm32_spi.c index 8071de0..4a0833b 100644 --- a/drivers/spi/stm32_spi.c +++ b/drivers/spi/stm32_spi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include diff --git a/drivers/spi/tegra114_spi.c b/drivers/spi/tegra114_spi.c index bf02bdb..0e8198c 100644 --- a/drivers/spi/tegra114_spi.c +++ b/drivers/spi/tegra114_spi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include "tegra_spi.h" diff --git a/drivers/spi/tegra20_sflash.c b/drivers/spi/tegra20_sflash.c index 1461f09..22a0304 100644 --- a/drivers/spi/tegra20_sflash.c +++ b/drivers/spi/tegra20_sflash.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include "tegra_spi.h" diff --git a/drivers/spi/tegra20_slink.c b/drivers/spi/tegra20_slink.c index dcd0950..3679cf0 100644 --- a/drivers/spi/tegra20_slink.c +++ b/drivers/spi/tegra20_slink.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include "tegra_spi.h" diff --git a/drivers/spi/tegra210_qspi.c b/drivers/spi/tegra210_qspi.c index 96ab650..ae16b45 100644 --- a/drivers/spi/tegra210_qspi.c +++ b/drivers/spi/tegra210_qspi.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include "tegra_spi.h" diff --git a/drivers/spi/ti_qspi.c b/drivers/spi/ti_qspi.c index f25fc36..0db0de8 100644 --- a/drivers/spi/ti_qspi.c +++ b/drivers/spi/ti_qspi.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/uniphier_spi.c b/drivers/spi/uniphier_spi.c index 42ed1ba..114bd8a 100644 --- a/drivers/spi/uniphier_spi.c +++ b/drivers/spi/uniphier_spi.c @@ -11,6 +11,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/spi/xilinx_spi.c b/drivers/spi/xilinx_spi.c index 4a568cb..05768ee 100644 --- a/drivers/spi/xilinx_spi.c +++ b/drivers/spi/xilinx_spi.c @@ -21,6 +21,7 @@ #include #include #include +#include /* * [0]: http://www.xilinx.com/support/documentation diff --git a/drivers/spi/zynq_qspi.c b/drivers/spi/zynq_qspi.c index 8451f83..db473da 100644 --- a/drivers/spi/zynq_qspi.c +++ b/drivers/spi/zynq_qspi.c @@ -12,6 +12,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/zynq_spi.c b/drivers/spi/zynq_spi.c index e3bad55..3e66b34 100644 --- a/drivers/spi/zynq_spi.c +++ b/drivers/spi/zynq_spi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/spi/zynqmp_gqspi.c b/drivers/spi/zynqmp_gqspi.c index f12c6f7..c3a5b3e 100644 --- a/drivers/spi/zynqmp_gqspi.c +++ b/drivers/spi/zynqmp_gqspi.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #define GQSPI_GFIFO_STRT_MODE_MASK BIT(29) diff --git a/drivers/sysreset/sysreset_socfpga.c b/drivers/sysreset/sysreset_socfpga.c index 3390b7b..178bcb2 100644 --- a/drivers/sysreset/sysreset_socfpga.c +++ b/drivers/sysreset/sysreset_socfpga.c @@ -10,6 +10,7 @@ #include #include #include +#include struct socfpga_sysreset_data { void __iomem *rstmgr_base; diff --git a/drivers/sysreset/sysreset_sti.c b/drivers/sysreset/sysreset_sti.c index 9996716..3482d2a 100644 --- a/drivers/sysreset/sysreset_sti.c +++ b/drivers/sysreset/sysreset_sti.c @@ -10,6 +10,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/timer/altera_timer.c b/drivers/timer/altera_timer.c index 6f504f7..6ca9501 100644 --- a/drivers/timer/altera_timer.c +++ b/drivers/timer/altera_timer.c @@ -12,6 +12,7 @@ #include #include #include +#include /* control register */ #define ALTERA_TIMER_CONT BIT(1) /* Continuous mode */ diff --git a/drivers/timer/atmel_pit_timer.c b/drivers/timer/atmel_pit_timer.c index 009af2f..7051169 100644 --- a/drivers/timer/atmel_pit_timer.c +++ b/drivers/timer/atmel_pit_timer.c @@ -9,6 +9,7 @@ #include #include #include +#include #define AT91_PIT_VALUE 0xfffff #define AT91_PIT_PITEN BIT(24) /* Timer Enabled */ diff --git a/drivers/timer/cadence-ttc.c b/drivers/timer/cadence-ttc.c index f4942a5..e6b6dfe 100644 --- a/drivers/timer/cadence-ttc.c +++ b/drivers/timer/cadence-ttc.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #define CNT_CNTRL_RESET BIT(4) diff --git a/drivers/timer/mpc83xx_timer.c b/drivers/timer/mpc83xx_timer.c index 186fe2b..ad8bb28 100644 --- a/drivers/timer/mpc83xx_timer.c +++ b/drivers/timer/mpc83xx_timer.c @@ -15,6 +15,7 @@ #include #include #include +#include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/timer/mtk_timer.c b/drivers/timer/mtk_timer.c index e99135e..69ed521 100644 --- a/drivers/timer/mtk_timer.c +++ b/drivers/timer/mtk_timer.c @@ -11,6 +11,7 @@ #include #include #include +#include #define MTK_GPT4_CTRL 0x40 #define MTK_GPT4_CLK 0x44 diff --git a/drivers/timer/nomadik-mtu-timer.c b/drivers/timer/nomadik-mtu-timer.c index 8648f1f..7ff9213 100644 --- a/drivers/timer/nomadik-mtu-timer.c +++ b/drivers/timer/nomadik-mtu-timer.c @@ -16,6 +16,7 @@ #include #include #include +#include #define MTU_NUM_TIMERS 4 diff --git a/drivers/timer/omap-timer.c b/drivers/timer/omap-timer.c index a13fb71..700c349 100644 --- a/drivers/timer/omap-timer.c +++ b/drivers/timer/omap-timer.c @@ -11,6 +11,7 @@ #include #include #include +#include /* Timer register bits */ #define TCLR_START BIT(0) /* Start=1 */ diff --git a/drivers/timer/ostm_timer.c b/drivers/timer/ostm_timer.c index 48a5055..bea9715 100644 --- a/drivers/timer/ostm_timer.c +++ b/drivers/timer/ostm_timer.c @@ -11,6 +11,7 @@ #include #include #include +#include #define OSTM_CMP 0x00 #define OSTM_CNT 0x04 diff --git a/drivers/timer/stm32_timer.c b/drivers/timer/stm32_timer.c index 76d99a2..c57fa3f 100644 --- a/drivers/timer/stm32_timer.c +++ b/drivers/timer/stm32_timer.c @@ -10,6 +10,7 @@ #include #include #include +#include #include diff --git a/drivers/tpm/tpm2_tis_sandbox.c b/drivers/tpm/tpm2_tis_sandbox.c index 5229887..41a15bd 100644 --- a/drivers/tpm/tpm2_tis_sandbox.c +++ b/drivers/tpm/tpm2_tis_sandbox.c @@ -9,6 +9,7 @@ #include #include #include +#include #include /* Hierarchies */ diff --git a/drivers/tpm/tpm2_tis_spi.c b/drivers/tpm/tpm2_tis_spi.c index 03918ed..36016de 100644 --- a/drivers/tpm/tpm2_tis_spi.c +++ b/drivers/tpm/tpm2_tis_spi.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/ufs/cdns-platform.c b/drivers/ufs/cdns-platform.c index 41ee6a6..1a7bb7b 100644 --- a/drivers/ufs/cdns-platform.c +++ b/drivers/ufs/cdns-platform.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include "ufs.h" diff --git a/drivers/ufs/ti-j721e-ufs.c b/drivers/ufs/ti-j721e-ufs.c index 4990fba..d875269 100644 --- a/drivers/ufs/ti-j721e-ufs.c +++ b/drivers/ufs/ti-j721e-ufs.c @@ -8,6 +8,7 @@ #include #include #include +#include #include #define UFS_SS_CTRL 0x4 diff --git a/drivers/ufs/ufs.c b/drivers/ufs/ufs.c index 136dab5..87b4e5f 100644 --- a/drivers/ufs/ufs.c +++ b/drivers/ufs/ufs.c @@ -19,6 +19,7 @@ #include #include #include +#include #include #include diff --git a/drivers/usb/cdns3/cdns3-ti.c b/drivers/usb/cdns3/cdns3-ti.c index 652cd5c..cd7b211 100644 --- a/drivers/usb/cdns3/cdns3-ti.c +++ b/drivers/usb/cdns3/cdns3-ti.c @@ -10,6 +10,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/cdns3/drd.h b/drivers/usb/cdns3/drd.h index 815b93f..fffda7b 100644 --- a/drivers/usb/cdns3/drd.h +++ b/drivers/usb/cdns3/drd.h @@ -9,6 +9,7 @@ #ifndef __LINUX_CDNS3_DRD #define __LINUX_CDNS3_DRD +#include #include #include #include "core.h" diff --git a/drivers/usb/cdns3/ep0.c b/drivers/usb/cdns3/ep0.c index 4a6374c..a08c6945 100644 --- a/drivers/usb/cdns3/ep0.c +++ b/drivers/usb/cdns3/ep0.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/cdns3/gadget.c b/drivers/usb/cdns3/gadget.c index f5b6e5b..8f81d17 100644 --- a/drivers/usb/cdns3/gadget.c +++ b/drivers/usb/cdns3/gadget.c @@ -59,6 +59,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/cdns3/gadget.h b/drivers/usb/cdns3/gadget.h index 3d5242b..8803fa4 100644 --- a/drivers/usb/cdns3/gadget.h +++ b/drivers/usb/cdns3/gadget.h @@ -11,6 +11,7 @@ */ #ifndef __LINUX_CDNS3_GADGET #define __LINUX_CDNS3_GADGET +#include #include /* diff --git a/drivers/usb/dwc3/core.h b/drivers/usb/dwc3/core.h index 7f45a9c..2b4c51a 100644 --- a/drivers/usb/dwc3/core.h +++ b/drivers/usb/dwc3/core.h @@ -17,6 +17,7 @@ #ifndef __DRIVERS_USB_DWC3_CORE_H #define __DRIVERS_USB_DWC3_CORE_H +#include #include #include diff --git a/drivers/usb/dwc3/dwc3-generic.c b/drivers/usb/dwc3/dwc3-generic.c index e172e36..484e7a7 100644 --- a/drivers/usb/dwc3/dwc3-generic.c +++ b/drivers/usb/dwc3/dwc3-generic.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/dwc3/ti_usb_phy.c b/drivers/usb/dwc3/ti_usb_phy.c index ade3a7f..f8ab064 100644 --- a/drivers/usb/dwc3/ti_usb_phy.c +++ b/drivers/usb/dwc3/ti_usb_phy.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/eth/lan75xx.c b/drivers/usb/eth/lan75xx.c index 94c6522..46b92e8 100644 --- a/drivers/usb/eth/lan75xx.c +++ b/drivers/usb/eth/lan75xx.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include "usb_ether.h" #include "lan7x.h" diff --git a/drivers/usb/eth/lan78xx.c b/drivers/usb/eth/lan78xx.c index 1d1b64e..e34ad26 100644 --- a/drivers/usb/eth/lan78xx.c +++ b/drivers/usb/eth/lan78xx.c @@ -7,6 +7,7 @@ #include #include #include +#include #include "usb_ether.h" #include "lan7x.h" diff --git a/drivers/usb/eth/lan7x.h b/drivers/usb/eth/lan7x.h index 35965e9..f71e8c7 100644 --- a/drivers/usb/eth/lan7x.h +++ b/drivers/usb/eth/lan7x.h @@ -6,6 +6,7 @@ #include #include #include +#include #include #include diff --git a/drivers/usb/eth/r8152.h b/drivers/usb/eth/r8152.h index b6df535..09f1c61 100644 --- a/drivers/usb/eth/r8152.h +++ b/drivers/usb/eth/r8152.h @@ -7,6 +7,7 @@ #ifndef _RTL8152_ETH_H #define _RTL8152_ETH_H +#include #define R8152_BASE_NAME "r8152" #define PLA_IDR 0xc000 diff --git a/drivers/usb/eth/r8152_fw.c b/drivers/usb/eth/r8152_fw.c index d564de2..3ebbd53 100644 --- a/drivers/usb/eth/r8152_fw.c +++ b/drivers/usb/eth/r8152_fw.c @@ -6,6 +6,7 @@ #include #include #include +#include #include #include "usb_ether.h" #include "r8152.h" diff --git a/drivers/usb/gadget/atmel_usba_udc.c b/drivers/usb/gadget/atmel_usba_udc.c index dffa511..7d51821 100644 --- a/drivers/usb/gadget/atmel_usba_udc.c +++ b/drivers/usb/gadget/atmel_usba_udc.c @@ -8,6 +8,7 @@ */ #include +#include #include #include #include diff --git a/drivers/usb/gadget/dwc2_udc_otg_regs.h b/drivers/usb/gadget/dwc2_udc_otg_regs.h index 434db5b..2eda5c3 100644 --- a/drivers/usb/gadget/dwc2_udc_otg_regs.h +++ b/drivers/usb/gadget/dwc2_udc_otg_regs.h @@ -11,6 +11,7 @@ #define __ASM_ARCH_REGS_USB_OTG_HS_H /* USB2.0 OTG Controller register */ +#include struct dwc2_usbotg_phy { u32 phypwr; u32 phyclk; diff --git a/drivers/usb/host/ehci.h b/drivers/usb/host/ehci.h index 66c1d61..8e07554 100644 --- a/drivers/usb/host/ehci.h +++ b/drivers/usb/host/ehci.h @@ -298,4 +298,5 @@ extern struct dm_usb_ops ehci_usb_ops; int ehci_setup_phy(struct udevice *dev, struct phy *phy, int index); int ehci_shutdown_phy(struct udevice *dev, struct phy *phy); +#include #endif /* USB_EHCI_H */ diff --git a/drivers/usb/host/r8a66597.h b/drivers/usb/host/r8a66597.h index b6110d6..625d493 100644 --- a/drivers/usb/host/r8a66597.h +++ b/drivers/usb/host/r8a66597.h @@ -8,6 +8,7 @@ #ifndef __R8A66597_H__ #define __R8A66597_H__ +#include #define SYSCFG0 0x00 #define SYSCFG1 0x02 #define SYSSTS0 0x04 diff --git a/drivers/usb/host/xhci-rcar.c b/drivers/usb/host/xhci-rcar.c index b3675bb..4964697 100644 --- a/drivers/usb/host/xhci-rcar.c +++ b/drivers/usb/host/xhci-rcar.c @@ -14,6 +14,7 @@ #include #include #include +#include #include #include "xhci-rcar-r8a779x_usb3_v3.h" diff --git a/drivers/usb/host/xhci.c b/drivers/usb/host/xhci.c index 963cc3c..ebd2954 100644 --- a/drivers/usb/host/xhci.c +++ b/drivers/usb/host/xhci.c @@ -29,6 +29,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/usb/musb-new/musb_core.c b/drivers/usb/musb-new/musb_core.c index 8e0e57c..961de99 100644 --- a/drivers/usb/musb-new/musb_core.c +++ b/drivers/usb/musb-new/musb_core.c @@ -81,6 +81,7 @@ #else #include #include +#include #include #include #include diff --git a/drivers/usb/musb-new/pic32.c b/drivers/usb/musb-new/pic32.c index 66e8e9c..74a841a 100644 --- a/drivers/usb/musb-new/pic32.c +++ b/drivers/usb/musb-new/pic32.c @@ -11,6 +11,7 @@ #include #include +#include #include #include #include "linux-compat.h" diff --git a/drivers/usb/musb-new/sunxi.c b/drivers/usb/musb-new/sunxi.c index a29d10d..53c336f 100644 --- a/drivers/usb/musb-new/sunxi.c +++ b/drivers/usb/musb-new/sunxi.c @@ -30,6 +30,7 @@ #include #include #include +#include #include #include #include "linux-compat.h" diff --git a/drivers/usb/musb/musb_core.c b/drivers/usb/musb/musb_core.c index c6d47be..147b2eb 100644 --- a/drivers/usb/musb/musb_core.c +++ b/drivers/usb/musb/musb_core.c @@ -9,6 +9,7 @@ */ #include +#include #include "musb_core.h" struct musb_regs *musbr; diff --git a/drivers/usb/phy/rockchip_usb2_phy.c b/drivers/usb/phy/rockchip_usb2_phy.c index 6a60523..f1cf916 100644 --- a/drivers/usb/phy/rockchip_usb2_phy.c +++ b/drivers/usb/phy/rockchip_usb2_phy.c @@ -7,6 +7,7 @@ #include #include #include +#include #include #include "../gadget/dwc2_udc_otg_priv.h" diff --git a/drivers/video/anx98xx-edp.h b/drivers/video/anx98xx-edp.h index 64269c3..ece36d4 100644 --- a/drivers/video/anx98xx-edp.h +++ b/drivers/video/anx98xx-edp.h @@ -6,6 +6,7 @@ /* Registers at i2c address 0x38 */ +#include #define ANX9804_HDCP_CONTROL_0_REG 0x01 #define ANX9804_SYS_CTRL1_REG 0x80 diff --git a/drivers/video/dw_mipi_dsi.c b/drivers/video/dw_mipi_dsi.c index e8a3af7..b7bfbb5 100644 --- a/drivers/video/dw_mipi_dsi.c +++ b/drivers/video/dw_mipi_dsi.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/video/meson/meson_dw_hdmi.c b/drivers/video/meson/meson_dw_hdmi.c index 288b906..7b2ff94 100644 --- a/drivers/video/meson/meson_dw_hdmi.c +++ b/drivers/video/meson/meson_dw_hdmi.c @@ -13,6 +13,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/video/meson/meson_dw_hdmi.h b/drivers/video/meson/meson_dw_hdmi.h index b13beb1..d507e59 100644 --- a/drivers/video/meson/meson_dw_hdmi.h +++ b/drivers/video/meson/meson_dw_hdmi.h @@ -24,6 +24,7 @@ * Bit 0 RW sw_reset_core: connects to IP's ~irstz. 1=Apply reset; * 0=Release from reset. Default 1. */ +#include #define HDMITX_TOP_SW_RESET (0x000) /* diff --git a/drivers/video/meson/meson_plane.c b/drivers/video/meson/meson_plane.c index 8edf451..b367276 100644 --- a/drivers/video/meson/meson_plane.c +++ b/drivers/video/meson/meson_plane.c @@ -10,6 +10,7 @@ #include #include #include +#include #include "meson_vpu.h" diff --git a/drivers/video/meson/meson_registers.h b/drivers/video/meson/meson_registers.h index 39e8ec8..f6a5d1a 100644 --- a/drivers/video/meson/meson_registers.h +++ b/drivers/video/meson/meson_registers.h @@ -7,6 +7,7 @@ #define __MESON_REGISTERS_H /* Shift all registers by 2 */ +#include #define _REG(reg) ((reg) << 2) #define writel_bits(mask, val, addr) \ diff --git a/drivers/video/meson/meson_vclk.c b/drivers/video/meson/meson_vclk.c index 3b5b73b..cd1e690 100644 --- a/drivers/video/meson/meson_vclk.c +++ b/drivers/video/meson/meson_vclk.c @@ -9,6 +9,7 @@ #include #include #include +#include #include "meson_vpu.h" #include #include diff --git a/drivers/video/meson/meson_vpu_init.c b/drivers/video/meson/meson_vpu_init.c index 8408c59..c9808e1 100644 --- a/drivers/video/meson/meson_vpu_init.c +++ b/drivers/video/meson/meson_vpu_init.c @@ -11,6 +11,7 @@ #include #include #include +#include #include "meson_vpu.h" diff --git a/drivers/video/rockchip/rk_vop.c b/drivers/video/rockchip/rk_vop.c index 45385b1..9032eb4 100644 --- a/drivers/video/rockchip/rk_vop.c +++ b/drivers/video/rockchip/rk_vop.c @@ -20,6 +20,7 @@ #include #include #include +#include #include #include #include "rk_vop.h" diff --git a/drivers/video/stm32/stm32_dsi.c b/drivers/video/stm32/stm32_dsi.c index fa4efdb..0479643 100644 --- a/drivers/video/stm32/stm32_dsi.c +++ b/drivers/video/stm32/stm32_dsi.c @@ -23,6 +23,7 @@ #include #include #include +#include #include #include diff --git a/drivers/video/stm32/stm32_ltdc.c b/drivers/video/stm32/stm32_ltdc.c index ff48fd7..2f3427a 100644 --- a/drivers/video/stm32/stm32_ltdc.c +++ b/drivers/video/stm32/stm32_ltdc.c @@ -18,6 +18,7 @@ #include #include #include +#include struct stm32_ltdc_priv { void __iomem *regs; diff --git a/drivers/video/sunxi/sunxi_de2.c b/drivers/video/sunxi/sunxi_de2.c index adc8a98..b657e16 100644 --- a/drivers/video/sunxi/sunxi_de2.c +++ b/drivers/video/sunxi/sunxi_de2.c @@ -21,6 +21,7 @@ #include #include #include +#include #include "simplefb_common.h" DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/video/sunxi/sunxi_dw_hdmi.c b/drivers/video/sunxi/sunxi_dw_hdmi.c index cf2d6a7..01d4b7a 100644 --- a/drivers/video/sunxi/sunxi_dw_hdmi.c +++ b/drivers/video/sunxi/sunxi_dw_hdmi.c @@ -15,6 +15,7 @@ #include #include #include +#include #include struct sunxi_dw_hdmi_priv { diff --git a/drivers/video/tda19988.c b/drivers/video/tda19988.c index 4223d5f..191cda3 100644 --- a/drivers/video/tda19988.c +++ b/drivers/video/tda19988.c @@ -9,6 +9,7 @@ #include #include #include +#include #include /* diff --git a/drivers/virtio/virtio_mmio.h b/drivers/virtio/virtio_mmio.h index b340882..b446674 100644 --- a/drivers/virtio/virtio_mmio.h +++ b/drivers/virtio/virtio_mmio.h @@ -12,6 +12,7 @@ /* Control registers */ /* Magic value ("virt" string) - Read Only */ +#include #define VIRTIO_MMIO_MAGIC_VALUE 0x000 /* Virtio device version - Read Only */ diff --git a/drivers/w1/mxc_w1.c b/drivers/w1/mxc_w1.c index 1881a3b..5bf0865 100644 --- a/drivers/w1/mxc_w1.c +++ b/drivers/w1/mxc_w1.c @@ -21,6 +21,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/watchdog/designware_wdt.c b/drivers/watchdog/designware_wdt.c index 1024a04..12f09a7 100644 --- a/drivers/watchdog/designware_wdt.c +++ b/drivers/watchdog/designware_wdt.c @@ -10,6 +10,7 @@ #include #include #include +#include #define DW_WDT_CR 0x00 #define DW_WDT_TORR 0x04 diff --git a/drivers/watchdog/mt7621_wdt.c b/drivers/watchdog/mt7621_wdt.c index 3886614..1cd8866 100644 --- a/drivers/watchdog/mt7621_wdt.c +++ b/drivers/watchdog/mt7621_wdt.c @@ -12,6 +12,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/drivers/watchdog/mtk_wdt.c b/drivers/watchdog/mtk_wdt.c index b3c597e..a636cff 100644 --- a/drivers/watchdog/mtk_wdt.c +++ b/drivers/watchdog/mtk_wdt.c @@ -11,6 +11,7 @@ #include #include #include +#include #define MTK_WDT_MODE 0x00 #define MTK_WDT_LENGTH 0x04 diff --git a/drivers/watchdog/orion_wdt.c b/drivers/watchdog/orion_wdt.c index 581e1f1..d33e2ac 100644 --- a/drivers/watchdog/orion_wdt.c +++ b/drivers/watchdog/orion_wdt.c @@ -17,6 +17,7 @@ #include #include #include +#include #include #include #include diff --git a/drivers/watchdog/stm32mp_wdt.c b/drivers/watchdog/stm32mp_wdt.c index 2d509a0..2d8bfc0 100644 --- a/drivers/watchdog/stm32mp_wdt.c +++ b/drivers/watchdog/stm32mp_wdt.c @@ -10,6 +10,7 @@ #include #include #include +#include #include /* IWDG registers */ diff --git a/env/env.c b/env/env.c index 2084538..dcc25c0 100644 --- a/env/env.c +++ b/env/env.c @@ -8,6 +8,7 @@ #include #include #include +#include #include DECLARE_GLOBAL_DATA_PTR; diff --git a/fs/ubifs/lpt_commit.c b/fs/ubifs/lpt_commit.c index 32938a8..897d001 100644 --- a/fs/ubifs/lpt_commit.c +++ b/fs/ubifs/lpt_commit.c @@ -20,6 +20,7 @@ #include #include #else +#include #include #include #include "crc16.h" diff --git a/fs/ubifs/super.c b/fs/ubifs/super.c index 2f6b25d..e3a4c0b 100644 --- a/fs/ubifs/super.c +++ b/fs/ubifs/super.c @@ -32,6 +32,7 @@ #include #include #include +#include #include #include #include diff --git a/fs/ubifs/tnc.c b/fs/ubifs/tnc.c index 41c7d56..e8b8f16 100644 --- a/fs/ubifs/tnc.c +++ b/fs/ubifs/tnc.c @@ -25,6 +25,7 @@ #include #include #else +#include #include #include #include diff --git a/include/acpi/acpi_table.h b/include/acpi/acpi_table.h index 3681c5c..fe9b29f 100644 --- a/include/acpi/acpi_table.h +++ b/include/acpi/acpi_table.h @@ -13,6 +13,8 @@ #ifndef __ACPI_TABLE_H__ #define __ACPI_TABLE_H__ +#include + #define RSDP_SIG "RSD PTR " /* RSDP pointer signature */ #define OEM_ID "U-BOOT" /* U-Boot */ #define OEM_TABLE_ID "U-BOOTBL" /* U-Boot Table */ diff --git a/include/asm-generic/gpio.h b/include/asm-generic/gpio.h index 859f41a..e16c2f3 100644 --- a/include/asm-generic/gpio.h +++ b/include/asm-generic/gpio.h @@ -8,6 +8,7 @@ #define _ASM_GENERIC_GPIO_H_ #include +#include struct ofnode_phandle_args; diff --git a/include/bitfield.h b/include/bitfield.h index 579a69c..d3ceeed 100644 --- a/include/bitfield.h +++ b/include/bitfield.h @@ -36,6 +36,7 @@ * tables which describe all bitfields in all registers. */ +#include #include /* Produces a mask of set bits covering a range of a uint value */ diff --git a/include/common.h b/include/common.h index f7c0f57..f0679ac 100644 --- a/include/common.h +++ b/include/common.h @@ -16,7 +16,6 @@ #include #include #include -#include #include #include #include diff --git a/include/configs/gardena-smart-gateway-at91sam.h b/include/configs/gardena-smart-gateway-at91sam.h index f5ee65c..78d2136 100644 --- a/include/configs/gardena-smart-gateway-at91sam.h +++ b/include/configs/gardena-smart-gateway-at91sam.h @@ -9,6 +9,10 @@ #ifndef __CONFIG_H__ #define __CONFIG_H__ +#ifndef __ASSEMBLY__ +#include +#endif + /* ARM asynchronous clock */ #define CONFIG_SYS_AT91_SLOW_CLOCK 32768 #define CONFIG_SYS_AT91_MAIN_CLOCK 12000000 /* 12 MHz crystal */ diff --git a/include/dma.h b/include/dma.h index 5b247b5..6ff5919 100644 --- a/include/dma.h +++ b/include/dma.h @@ -9,6 +9,7 @@ #ifndef _DMA_H_ #define _DMA_H_ +#include #include #include diff --git a/include/dwc3-sti-glue.h b/include/dwc3-sti-glue.h index e2856f1..3989a9b 100644 --- a/include/dwc3-sti-glue.h +++ b/include/dwc3-sti-glue.h @@ -8,6 +8,7 @@ #define __DWC3_STI_UBOOT_H_ /* glue registers */ +#include #define CLKRST_CTRL 0x00 #define AUX_CLK_EN BIT(0) #define SW_PIPEW_RESET_N BIT(4) diff --git a/include/dwmmc.h b/include/dwmmc.h index 58110aa..d8a8355 100644 --- a/include/dwmmc.h +++ b/include/dwmmc.h @@ -10,6 +10,7 @@ #include #include #include +#include #define DWMCI_CTRL 0x000 #define DWMCI_PWREN 0x004 diff --git a/include/faraday/ftpci100.h b/include/faraday/ftpci100.h index b4a43f8..8801bd1 100644 --- a/include/faraday/ftpci100.h +++ b/include/faraday/ftpci100.h @@ -11,6 +11,7 @@ #define __FTPCI100_H /* AHB Control Registers */ +#include struct ftpci100_ahbc { unsigned int iosize; /* 0x00 - I/O Space Size Signal */ unsigned int prot; /* 0x04 - AHB Protection */ diff --git a/include/faraday/ftsdc010.h b/include/faraday/ftsdc010.h index d3a359a..30726da 100644 --- a/include/faraday/ftsdc010.h +++ b/include/faraday/ftsdc010.h @@ -12,6 +12,7 @@ #ifndef __ASSEMBLY__ /* sd controller register */ +#include struct ftsdc010_mmc { unsigned int cmd; /* 0x00 - command reg */ unsigned int argu; /* 0x04 - argument reg */ diff --git a/include/faraday/ftsdmc021.h b/include/faraday/ftsdmc021.h index 3c979ce..e0e5eb3 100644 --- a/include/faraday/ftsdmc021.h +++ b/include/faraday/ftsdmc021.h @@ -14,6 +14,7 @@ #define __FTSDMC021_H #ifndef __ASSEMBLY__ +#include struct ftsdmc021 { unsigned int tp1; /* 0x00 - SDRAM Timing Parameter 1 */ unsigned int tp2; /* 0x04 - SDRAM Timing Parameter 2 */ diff --git a/include/fsl-mc/fsl_mc.h b/include/fsl-mc/fsl_mc.h index a4d7d85..6cbcd39 100644 --- a/include/fsl-mc/fsl_mc.h +++ b/include/fsl-mc/fsl_mc.h @@ -7,6 +7,7 @@ #define __FSL_MC_H__ #include +#include #define MC_CCSR_BASE_ADDR \ ((struct mc_ccsr_registers __iomem *)0x8340000) diff --git a/include/linux/bitops.h b/include/linux/bitops.h index a07c70f..6b509dc 100644 --- a/include/linux/bitops.h +++ b/include/linux/bitops.h @@ -1,6 +1,8 @@ #ifndef _LINUX_BITOPS_H #define _LINUX_BITOPS_H +#ifndef USE_HOSTCC + #include #include #include @@ -216,4 +218,6 @@ static inline void generic_clear_bit(int nr, volatile unsigned long *addr) *p &= ~mask; } +#endif /* !USE_HOSTCC */ + #endif diff --git a/include/linux/soc/ti/k3-navss-ringacc.h b/include/linux/soc/ti/k3-navss-ringacc.h index 487dfe9..7b027f8 100644 --- a/include/linux/soc/ti/k3-navss-ringacc.h +++ b/include/linux/soc/ti/k3-navss-ringacc.h @@ -9,6 +9,7 @@ #define __SOC_TI_K3_NAVSS_RINGACC_API_H_ #include +#include /** * enum k3_nav_ring_mode - &struct k3_nav_ring_cfg mode diff --git a/include/linux/soc/ti/ti_sci_protocol.h b/include/linux/soc/ti/ti_sci_protocol.h index 8c4863e..eb916ba 100644 --- a/include/linux/soc/ti/ti_sci_protocol.h +++ b/include/linux/soc/ti/ti_sci_protocol.h @@ -20,6 +20,7 @@ * @firmware_revision: Firmware revision (not usually used). * @firmware_description: Firmware description (not usually used). */ +#include struct ti_sci_version_info { u8 abi_major; u8 abi_minor; diff --git a/include/mipi_dsi.h b/include/mipi_dsi.h index f4a63b4..83c5163 100644 --- a/include/mipi_dsi.h +++ b/include/mipi_dsi.h @@ -16,6 +16,7 @@ #define MIPI_DSI_H #include +#include struct mipi_dsi_host; struct mipi_dsi_device; diff --git a/include/mmc.h b/include/mmc.h index 6a2e973..8256219 100644 --- a/include/mmc.h +++ b/include/mmc.h @@ -9,6 +9,7 @@ #ifndef _MMC_H_ #define _MMC_H_ +#include #include #include #include diff --git a/include/net/pfe_eth/pfe/cbus/class_csr.h b/include/net/pfe_eth/pfe/cbus/class_csr.h index e2fece7..80f1f96 100644 --- a/include/net/pfe_eth/pfe/cbus/class_csr.h +++ b/include/net/pfe_eth/pfe/cbus/class_csr.h @@ -12,6 +12,7 @@ * class_csr - block containing all the classifier control and status register. * Mapped on CBUS and accessible from all PE's and ARM. */ +#include #define CLASS_VERSION (CLASS_CSR_BASE_ADDR + 0x000) #define CLASS_TX_CTRL (CLASS_CSR_BASE_ADDR + 0x004) #define CLASS_INQ_PKTPTR (CLASS_CSR_BASE_ADDR + 0x010) diff --git a/include/net/pfe_eth/pfe/cbus/emac.h b/include/net/pfe_eth/pfe/cbus/emac.h index 53db8cc..5dc2113 100644 --- a/include/net/pfe_eth/pfe/cbus/emac.h +++ b/include/net/pfe_eth/pfe/cbus/emac.h @@ -7,6 +7,7 @@ #ifndef _EMAC_H_ #define _EMAC_H_ +#include #define EMAC_IEVENT_REG 0x004 #define EMAC_IMASK_REG 0x008 #define EMAC_R_DES_ACTIVE_REG 0x010 diff --git a/include/net/pfe_eth/pfe/cbus/hif.h b/include/net/pfe_eth/pfe/cbus/hif.h index 36722c5..aa4951e 100644 --- a/include/net/pfe_eth/pfe/cbus/hif.h +++ b/include/net/pfe_eth/pfe/cbus/hif.h @@ -12,6 +12,7 @@ * hif - PFE hif block control and status register. * Mapped on CBUS and accessible from all PE's and ARM. */ +#include #define HIF_VERSION (HIF_BASE_ADDR + 0x00) #define HIF_TX_CTRL (HIF_BASE_ADDR + 0x04) #define HIF_TX_CURR_BD_ADDR (HIF_BASE_ADDR + 0x08) diff --git a/include/net/pfe_eth/pfe/cbus/tmu_csr.h b/include/net/pfe_eth/pfe/cbus/tmu_csr.h index 1e1abe2..cfe8f8c 100644 --- a/include/net/pfe_eth/pfe/cbus/tmu_csr.h +++ b/include/net/pfe_eth/pfe/cbus/tmu_csr.h @@ -7,6 +7,7 @@ #ifndef _TMU_CSR_H_ #define _TMU_CSR_H_ +#include #define TMU_VERSION (TMU_CSR_BASE_ADDR + 0x000) #define TMU_INQ_WATERMARK (TMU_CSR_BASE_ADDR + 0x004) #define TMU_PHY_INQ_PKTPTR (TMU_CSR_BASE_ADDR + 0x008) diff --git a/include/net/pfe_eth/pfe/pfe_hw.h b/include/net/pfe_eth/pfe/pfe_hw.h index 5da676b..c69fc69 100644 --- a/include/net/pfe_eth/pfe/pfe_hw.h +++ b/include/net/pfe_eth/pfe/pfe_hw.h @@ -8,6 +8,7 @@ #define _PFE_H_ #include +#include #include "cbus.h" #define PFE_RESET_WA diff --git a/include/net/pfe_eth/pfe_eth.h b/include/net/pfe_eth/pfe_eth.h index 68b2e38..116a2b2 100644 --- a/include/net/pfe_eth/pfe_eth.h +++ b/include/net/pfe_eth/pfe_eth.h @@ -7,6 +7,7 @@ #ifndef __PFE_ETH_H__ #define __PFE_ETH_H__ +#include #include #include #include diff --git a/include/pch.h b/include/pch.h index 0b44b66..6205668 100644 --- a/include/pch.h +++ b/include/pch.h @@ -7,6 +7,7 @@ #ifndef __pch_h #define __pch_h +#include #define PCH_RCBA 0xf0 #define BIOS_CTRL_BIOSWE BIT(0) diff --git a/include/power/stpmic1.h b/include/power/stpmic1.h index 1493a67..d3567df 100644 --- a/include/power/stpmic1.h +++ b/include/power/stpmic1.h @@ -6,6 +6,7 @@ #ifndef __PMIC_STPMIC1_H_ #define __PMIC_STPMIC1_H_ +#include #define STPMIC1_MAIN_CR 0x10 #define STPMIC1_BUCKS_MRST_CR 0x18 #define STPMIC1_LDOS_MRST_CR 0x1a diff --git a/include/sdhci.h b/include/sdhci.h index 0ef8c2e..94fc3ed 100644 --- a/include/sdhci.h +++ b/include/sdhci.h @@ -9,6 +9,7 @@ #ifndef __SDHCI_HW_H #define __SDHCI_HW_H +#include #include #include #include diff --git a/include/spi.h b/include/spi.h index 2b4929f..5cc6d6e 100644 --- a/include/spi.h +++ b/include/spi.h @@ -10,6 +10,7 @@ #define _SPI_H_ #include +#include /* SPI mode flags */ #define SPI_CPHA BIT(0) /* clock phase */ diff --git a/include/tee.h b/include/tee.h index 02bcd9e..8207d0c 100644 --- a/include/tee.h +++ b/include/tee.h @@ -6,6 +6,7 @@ #ifndef __TEE_H #define __TEE_H +#include #define TEE_UUID_LEN 16 #define TEE_GEN_CAP_GP BIT(0) /* GlobalPlatform compliant TEE */ diff --git a/include/tpm-v1.h b/include/tpm-v1.h index 45b7a48..59ad4ae 100644 --- a/include/tpm-v1.h +++ b/include/tpm-v1.h @@ -8,6 +8,7 @@ #define __TPM_V1_H #include +#include /* Useful constants */ enum { diff --git a/include/virtio.h b/include/virtio.h index 46f9efb..10a9c07 100644 --- a/include/virtio.h +++ b/include/virtio.h @@ -20,6 +20,7 @@ #ifndef __VIRTIO_H__ #define __VIRTIO_H__ +#include #include #define VIRTIO_ID_NET 1 /* virtio net */ #define VIRTIO_ID_BLOCK 2 /* virtio block */ diff --git a/include/vsc9953.h b/include/vsc9953.h index fe072da..5d6fc77 100644 --- a/include/vsc9953.h +++ b/include/vsc9953.h @@ -11,6 +11,7 @@ #include #include #include +#include #define VSC9953_OFFSET (CONFIG_SYS_CCSRBAR_DEFAULT + 0x800000) diff --git a/include/zynqmppl.h b/include/zynqmppl.h index 5214db9..a0a52ec 100644 --- a/include/zynqmppl.h +++ b/include/zynqmppl.h @@ -8,6 +8,7 @@ #define _ZYNQMPPL_H_ #include +#include #define ZYNQMP_SIP_SVC_CSU_DMA_CHIPID 0xC2000018 #define ZYNQMP_SIP_SVC_PM_FPGA_LOAD 0xC2000016 diff --git a/lib/div64.c b/lib/div64.c index 62933c9..779d752 100644 --- a/lib/div64.c +++ b/lib/div64.c @@ -17,6 +17,7 @@ * or by defining a preprocessor macro in arch/include/asm/div64.h. */ +#include #include #include #include diff --git a/lib/efi_loader/efi_variable.c b/lib/efi_loader/efi_variable.c index 85db96b..fc7ae73 100644 --- a/lib/efi_loader/efi_variable.c +++ b/lib/efi_loader/efi_variable.c @@ -15,6 +15,7 @@ #include #include #include +#include #include #include diff --git a/lib/tpm-v2.c b/lib/tpm-v2.c index f89592d..5a039f6 100644 --- a/lib/tpm-v2.c +++ b/lib/tpm-v2.c @@ -8,6 +8,7 @@ #include #include #include +#include #include "tpm-utils.h" u32 tpm2_startup(struct udevice *dev, enum tpm2_startup_types mode) -- cgit v1.1