aboutsummaryrefslogtreecommitdiff
path: root/board
diff options
context:
space:
mode:
authorWolfgang Denk <wd@denx.de>2021-09-27 17:42:36 +0200
committerTom Rini <trini@konsulko.com>2021-09-30 08:08:56 -0400
commit66356b4c06c934021f6cb58d93877427162b369f (patch)
tree4d37c220f907db52286ed22adc92f3ffbda7350e /board
parent6eecaf5d0f6b9a500dd5798f1f2bc8296bcfe158 (diff)
downloadu-boot-66356b4c06c934021f6cb58d93877427162b369f.zip
u-boot-66356b4c06c934021f6cb58d93877427162b369f.tar.gz
u-boot-66356b4c06c934021f6cb58d93877427162b369f.tar.bz2
WS cleanup: remove trailing empty lines
Signed-off-by: Wolfgang Denk <wd@denx.de>
Diffstat (limited to 'board')
-rw-r--r--board/Marvell/octeontx/smc.c1
-rw-r--r--board/Marvell/octeontx2/soc-utils.c1
-rw-r--r--board/atmark-techno/armadillo-800eva/Makefile1
-rw-r--r--board/atmel/sama7g5ek/sama7g5ek.c1
-rw-r--r--board/beacon/imx8mn/README1
-rw-r--r--board/beacon/imx8mn/lpddr4_timing.c1
-rw-r--r--board/boundary/nitrogen6x/README.mx6qsabrelite1
-rw-r--r--board/cadence/xtfpga/README1
-rw-r--r--board/compulab/cm_t43/spl.c1
-rw-r--r--board/compulab/imx8mm-cl-iot-gate/ddr/lpddr4_timing_01061010.1_2.c1
-rw-r--r--board/freescale/common/zm7300.c1
-rw-r--r--board/freescale/imx8mn_evk/ddr4_timing.c1
-rw-r--r--board/freescale/imx8mn_evk/ddr4_timing_ld.c1
-rw-r--r--board/freescale/ls2080aqds/README1
-rw-r--r--board/freescale/ls2080ardb/README1
-rw-r--r--board/freescale/mx6memcal/mx6memcal.c1
-rw-r--r--board/freescale/t4rdb/cpld.h1
-rw-r--r--board/gateworks/gw_ventana/Makefile1
-rw-r--r--board/gateworks/gw_ventana/gsc.h1
-rw-r--r--board/gdsys/a38x/dt_helpers.c1
-rw-r--r--board/ge/common/ge_rtc.c1
-rw-r--r--board/ge/mx53ppd/mx53ppd_video.c1
-rw-r--r--board/hisilicon/poplar/poplar.c1
-rw-r--r--board/keymile/common/qrio.c1
-rw-r--r--board/logicpd/imx6/Makefile1
-rw-r--r--board/logicpd/imx6/README1
-rw-r--r--board/mediatek/mt7622/Makefile1
-rw-r--r--board/mqmaker/miqi_rk3288/miqi-rk3288.c1
-rw-r--r--board/mscc/jr2/Makefile1
-rw-r--r--board/mscc/ocelot/Makefile1
-rw-r--r--board/opalkelly/zynq/zynq-syzygy-hub/ps7_init_gpl.c1
-rw-r--r--board/phytium/durian/Makefile1
-rw-r--r--board/phytium/durian/cpu.h1
-rw-r--r--board/phytium/durian/durian.c1
-rw-r--r--board/rockchip/evb_rk3229/evb_rk3229.c1
-rw-r--r--board/rockchip/evb_rk3288/evb-rk3288.c1
-rw-r--r--board/rockchip/evb_rk3328/evb-rk3328.c1
-rw-r--r--board/synopsys/axs10x/axs10x.h1
-rw-r--r--board/technexion/pico-imx7d/pico-imx7d.c1
-rw-r--r--board/technexion/pico-imx8mq/lpddr4_timing_1gb.c1
-rw-r--r--board/technexion/pico-imx8mq/lpddr4_timing_2gb.c1
-rw-r--r--board/technexion/pico-imx8mq/lpddr4_timing_3gb.c1
-rw-r--r--board/technexion/pico-imx8mq/lpddr4_timing_4gb.c1
-rw-r--r--board/terasic/de0-nano-soc/qts/pll_config.h1
-rw-r--r--board/xen/xenguest_arm64/xenguest_arm64.c1
-rw-r--r--board/xilinx/versal/cmds.c1
-rw-r--r--board/xilinx/zynq/zynq-microzed/ps7_init_gpl.c4
-rw-r--r--board/xilinx/zynq/zynq-zc706/ps7_init_gpl.c4
-rw-r--r--board/xilinx/zynq/zynq-zed/ps7_init_gpl.c4
-rw-r--r--board/xilinx/zynq/zynq-zybo/ps7_init_gpl.c1
50 files changed, 0 insertions, 59 deletions
diff --git a/board/Marvell/octeontx/smc.c b/board/Marvell/octeontx/smc.c
index 5eeba23..8df3204 100644
--- a/board/Marvell/octeontx/smc.c
+++ b/board/Marvell/octeontx/smc.c
@@ -22,4 +22,3 @@ ssize_t smc_dram_size(unsigned int node)
return regs.regs[0];
}
-
diff --git a/board/Marvell/octeontx2/soc-utils.c b/board/Marvell/octeontx2/soc-utils.c
index 1cba7fb..43a19a9 100644
--- a/board/Marvell/octeontx2/soc-utils.c
+++ b/board/Marvell/octeontx2/soc-utils.c
@@ -46,4 +46,3 @@ const char *read_board_name(void)
{
return fdt_get_board_model();
}
-
diff --git a/board/atmark-techno/armadillo-800eva/Makefile b/board/atmark-techno/armadillo-800eva/Makefile
index 87e8ca5..7e01cb6 100644
--- a/board/atmark-techno/armadillo-800eva/Makefile
+++ b/board/atmark-techno/armadillo-800eva/Makefile
@@ -3,4 +3,3 @@
# Copyright (C) 2012 Nobuhiro Iwamatsu <nobuhiro.iwamatsu.yj@renesas.com>
obj-y += armadillo-800eva.o
-
diff --git a/board/atmel/sama7g5ek/sama7g5ek.c b/board/atmel/sama7g5ek/sama7g5ek.c
index 4df43d8..411b311 100644
--- a/board/atmel/sama7g5ek/sama7g5ek.c
+++ b/board/atmel/sama7g5ek/sama7g5ek.c
@@ -74,4 +74,3 @@ int dram_init(void)
CONFIG_SYS_SDRAM_SIZE);
return 0;
}
-
diff --git a/board/beacon/imx8mn/README b/board/beacon/imx8mn/README
index d3c90d3..a9eddd4 100644
--- a/board/beacon/imx8mn/README
+++ b/board/beacon/imx8mn/README
@@ -36,4 +36,3 @@ Boot
====
Set baseboard DIP switch:
S17: 1100XXXX
-
diff --git a/board/beacon/imx8mn/lpddr4_timing.c b/board/beacon/imx8mn/lpddr4_timing.c
index 3c1ad39..112e892 100644
--- a/board/beacon/imx8mn/lpddr4_timing.c
+++ b/board/beacon/imx8mn/lpddr4_timing.c
@@ -1431,4 +1431,3 @@ struct dram_timing_info dram_timing = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 3200, 400, 100, },
};
-
diff --git a/board/boundary/nitrogen6x/README.mx6qsabrelite b/board/boundary/nitrogen6x/README.mx6qsabrelite
index 6283c95..828eea2 100644
--- a/board/boundary/nitrogen6x/README.mx6qsabrelite
+++ b/board/boundary/nitrogen6x/README.mx6qsabrelite
@@ -116,4 +116,3 @@ Note: This will upload and run the U-Boot image in memory, the SPI will not be
5. Use one of previous descriptions to re-flash the SPI-NOR as required.
6. Ensure SW1 is returned to "00" to boot from the fuses once done.
-
diff --git a/board/cadence/xtfpga/README b/board/cadence/xtfpga/README
index 5f29e25..889da9e 100644
--- a/board/cadence/xtfpga/README
+++ b/board/cadence/xtfpga/README
@@ -122,4 +122,3 @@ variable to make.
Because this problem is easy to fall into and difficult to debug
if one doesn't expect it, the linker script provides a link-time
check and fatal error message if the image size exceeds 128 KB.
-
diff --git a/board/compulab/cm_t43/spl.c b/board/compulab/cm_t43/spl.c
index 9c6806c..e67bf81 100644
--- a/board/compulab/cm_t43/spl.c
+++ b/board/compulab/cm_t43/spl.c
@@ -133,4 +133,3 @@ void sdram_init(void)
hang();
}
-
diff --git a/board/compulab/imx8mm-cl-iot-gate/ddr/lpddr4_timing_01061010.1_2.c b/board/compulab/imx8mm-cl-iot-gate/ddr/lpddr4_timing_01061010.1_2.c
index 870a94a..9019a1f 100644
--- a/board/compulab/imx8mm-cl-iot-gate/ddr/lpddr4_timing_01061010.1_2.c
+++ b/board/compulab/imx8mm-cl-iot-gate/ddr/lpddr4_timing_01061010.1_2.c
@@ -1845,4 +1845,3 @@ struct dram_timing_info ucm_dram_timing_01061010 = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 2400, 400, 100, },
};
-
diff --git a/board/freescale/common/zm7300.c b/board/freescale/common/zm7300.c
index 03679e7..e8c2fc8 100644
--- a/board/freescale/common/zm7300.c
+++ b/board/freescale/common/zm7300.c
@@ -230,4 +230,3 @@ int zm_enable_wp()
}
return 0;
}
-
diff --git a/board/freescale/imx8mn_evk/ddr4_timing.c b/board/freescale/imx8mn_evk/ddr4_timing.c
index f1509e2..77611ea 100644
--- a/board/freescale/imx8mn_evk/ddr4_timing.c
+++ b/board/freescale/imx8mn_evk/ddr4_timing.c
@@ -1052,4 +1052,3 @@ struct dram_timing_info dram_timing = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 2400, 1066, },
};
-
diff --git a/board/freescale/imx8mn_evk/ddr4_timing_ld.c b/board/freescale/imx8mn_evk/ddr4_timing_ld.c
index 983fc7d..a3577ef 100644
--- a/board/freescale/imx8mn_evk/ddr4_timing_ld.c
+++ b/board/freescale/imx8mn_evk/ddr4_timing_ld.c
@@ -1054,4 +1054,3 @@ struct dram_timing_info dram_timing = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 1600, 1066, },
};
-
diff --git a/board/freescale/ls2080aqds/README b/board/freescale/ls2080aqds/README
index 04c1941..971633c 100644
--- a/board/freescale/ls2080aqds/README
+++ b/board/freescale/ls2080aqds/README
@@ -213,4 +213,3 @@ DPMAC13 -> PHY4-P0
DPMAC14 -> PHY4-P1
DPMAC15 -> PHY4-P2
DPMAC16 -> PHY4-P3
-
diff --git a/board/freescale/ls2080ardb/README b/board/freescale/ls2080ardb/README
index 75a633c..4c1c36e 100644
--- a/board/freescale/ls2080ardb/README
+++ b/board/freescale/ls2080ardb/README
@@ -132,4 +132,3 @@ below:
=> setenv bootargs 'console=ttyS1,115200 root=/dev/ram
earlycon=uart8250,mmio,0x21c0600,115200 default_hugepagesz=2m hugepagesz=2m
hugepages=16 mem=2048M'
-
diff --git a/board/freescale/mx6memcal/mx6memcal.c b/board/freescale/mx6memcal/mx6memcal.c
index 1cc744f..0dfd7de 100644
--- a/board/freescale/mx6memcal/mx6memcal.c
+++ b/board/freescale/mx6memcal/mx6memcal.c
@@ -30,4 +30,3 @@ int dram_init(void)
gd->ram_size = imx_ddr_size();
return 0;
}
-
diff --git a/board/freescale/t4rdb/cpld.h b/board/freescale/t4rdb/cpld.h
index dc3f9f3..fcac924 100644
--- a/board/freescale/t4rdb/cpld.h
+++ b/board/freescale/t4rdb/cpld.h
@@ -45,4 +45,3 @@ void cpld_write(unsigned int reg, u8 value);
#define CPLD_READ(reg) cpld_read(offsetof(struct cpld_data, reg))
#define CPLD_WRITE(reg, value) \
cpld_write(offsetof(struct cpld_data, reg), value)
-
diff --git a/board/gateworks/gw_ventana/Makefile b/board/gateworks/gw_ventana/Makefile
index 8fa691a..360d1d4 100644
--- a/board/gateworks/gw_ventana/Makefile
+++ b/board/gateworks/gw_ventana/Makefile
@@ -8,4 +8,3 @@
obj-y := gw_ventana.o gsc.o eeprom.o common.o
obj-$(CONFIG_SPL_BUILD) += gw_ventana_spl.o
-
diff --git a/board/gateworks/gw_ventana/gsc.h b/board/gateworks/gw_ventana/gsc.h
index 29d375b..5c34988 100644
--- a/board/gateworks/gw_ventana/gsc.h
+++ b/board/gateworks/gw_ventana/gsc.h
@@ -69,4 +69,3 @@ int gsc_info(int verbose);
int gsc_boot_wd_disable(void);
const char *gsc_get_dtb_name(int level, char *buf, int sz);
#endif
-
diff --git a/board/gdsys/a38x/dt_helpers.c b/board/gdsys/a38x/dt_helpers.c
index c859024..61d30c2 100644
--- a/board/gdsys/a38x/dt_helpers.c
+++ b/board/gdsys/a38x/dt_helpers.c
@@ -39,4 +39,3 @@ int request_gpio_by_name(struct gpio_desc *gpio, const char *gpio_dev_name,
return dm_gpio_request(gpio, gpio_name);
}
-
diff --git a/board/ge/common/ge_rtc.c b/board/ge/common/ge_rtc.c
index 48c3778..6437afc 100644
--- a/board/ge/common/ge_rtc.c
+++ b/board/ge/common/ge_rtc.c
@@ -53,4 +53,3 @@ void check_time(void)
else
env_set("rtc_status", "OK");
}
-
diff --git a/board/ge/mx53ppd/mx53ppd_video.c b/board/ge/mx53ppd/mx53ppd_video.c
index 3240ed6..4e2c6eb 100644
--- a/board/ge/mx53ppd/mx53ppd_video.c
+++ b/board/ge/mx53ppd/mx53ppd_video.c
@@ -92,4 +92,3 @@ struct display_info_t const displays[] = {
};
size_t display_count = ARRAY_SIZE(displays);
-
diff --git a/board/hisilicon/poplar/poplar.c b/board/hisilicon/poplar/poplar.c
index 6cc79d9..b89e7e8 100644
--- a/board/hisilicon/poplar/poplar.c
+++ b/board/hisilicon/poplar/poplar.c
@@ -195,4 +195,3 @@ int board_init(void)
return 0;
}
-
diff --git a/board/keymile/common/qrio.c b/board/keymile/common/qrio.c
index 25937ee..da51691 100644
--- a/board/keymile/common/qrio.c
+++ b/board/keymile/common/qrio.c
@@ -290,4 +290,3 @@ int get_scl(void)
return qrio_get_gpio(KM_I2C_DEBLOCK_PORT,
KM_I2C_DEBLOCK_SCL);
}
-
diff --git a/board/logicpd/imx6/Makefile b/board/logicpd/imx6/Makefile
index 337df92..dbaf18d 100644
--- a/board/logicpd/imx6/Makefile
+++ b/board/logicpd/imx6/Makefile
@@ -7,4 +7,3 @@
#
obj-y := imx6logic.o
-
diff --git a/board/logicpd/imx6/README b/board/logicpd/imx6/README
index 19f79c5..9d7b912 100644
--- a/board/logicpd/imx6/README
+++ b/board/logicpd/imx6/README
@@ -80,4 +80,3 @@ while starting.
Additional Support Documentation can be found at:
https://support.logicpd.com/
-
diff --git a/board/mediatek/mt7622/Makefile b/board/mediatek/mt7622/Makefile
index 2c54d86..64f1013 100644
--- a/board/mediatek/mt7622/Makefile
+++ b/board/mediatek/mt7622/Makefile
@@ -1,4 +1,3 @@
# SPDX-License-Identifier: GPL-2.0
obj-y += mt7622_rfb.o
-
diff --git a/board/mqmaker/miqi_rk3288/miqi-rk3288.c b/board/mqmaker/miqi_rk3288/miqi-rk3288.c
index 779bc64..1649bee 100644
--- a/board/mqmaker/miqi_rk3288/miqi-rk3288.c
+++ b/board/mqmaker/miqi_rk3288/miqi-rk3288.c
@@ -2,4 +2,3 @@
/*
* (C) Copyright 2016 Rockchip Electronics Co., Ltd
*/
-
diff --git a/board/mscc/jr2/Makefile b/board/mscc/jr2/Makefile
index c1db2a9..56fd8d9 100644
--- a/board/mscc/jr2/Makefile
+++ b/board/mscc/jr2/Makefile
@@ -1,4 +1,3 @@
# SPDX-License-Identifier: (GPL-2.0+ OR MIT)
obj-$(CONFIG_SOC_JR2) := jr2.o
-
diff --git a/board/mscc/ocelot/Makefile b/board/mscc/ocelot/Makefile
index 9f28c81..39ce960 100644
--- a/board/mscc/ocelot/Makefile
+++ b/board/mscc/ocelot/Makefile
@@ -1,4 +1,3 @@
# SPDX-License-Identifier: (GPL-2.0+ OR MIT)
obj-$(CONFIG_SOC_OCELOT) := ocelot.o
-
diff --git a/board/opalkelly/zynq/zynq-syzygy-hub/ps7_init_gpl.c b/board/opalkelly/zynq/zynq-syzygy-hub/ps7_init_gpl.c
index 80f2b83..0cbfc08 100644
--- a/board/opalkelly/zynq/zynq-syzygy-hub/ps7_init_gpl.c
+++ b/board/opalkelly/zynq/zynq-syzygy-hub/ps7_init_gpl.c
@@ -289,4 +289,3 @@ int ps7_init(void)
return ret;
return PS7_INIT_SUCCESS;
}
-
diff --git a/board/phytium/durian/Makefile b/board/phytium/durian/Makefile
index c2fbf19..8637ba4 100644
--- a/board/phytium/durian/Makefile
+++ b/board/phytium/durian/Makefile
@@ -6,4 +6,3 @@
#
obj-y += durian.o
-
diff --git a/board/phytium/durian/cpu.h b/board/phytium/durian/cpu.h
index a5a213d..696731e 100644
--- a/board/phytium/durian/cpu.h
+++ b/board/phytium/durian/cpu.h
@@ -20,4 +20,3 @@
#define HNF_BASE (unsigned long)(0x3A200000)
#endif /* _FT_DURIAN_H */
-
diff --git a/board/phytium/durian/durian.c b/board/phytium/durian/durian.c
index ef13f7c..ee48474 100644
--- a/board/phytium/durian/durian.c
+++ b/board/phytium/durian/durian.c
@@ -113,4 +113,3 @@ int last_stage_init(void)
}
return ret;
}
-
diff --git a/board/rockchip/evb_rk3229/evb_rk3229.c b/board/rockchip/evb_rk3229/evb_rk3229.c
index c64c62f..0b14b24 100644
--- a/board/rockchip/evb_rk3229/evb_rk3229.c
+++ b/board/rockchip/evb_rk3229/evb_rk3229.c
@@ -7,4 +7,3 @@
#include <dm.h>
#include <asm/io.h>
#include <asm/arch-rockchip/uart.h>
-
diff --git a/board/rockchip/evb_rk3288/evb-rk3288.c b/board/rockchip/evb_rk3288/evb-rk3288.c
index 779bc64..1649bee 100644
--- a/board/rockchip/evb_rk3288/evb-rk3288.c
+++ b/board/rockchip/evb_rk3288/evb-rk3288.c
@@ -2,4 +2,3 @@
/*
* (C) Copyright 2016 Rockchip Electronics Co., Ltd
*/
-
diff --git a/board/rockchip/evb_rk3328/evb-rk3328.c b/board/rockchip/evb_rk3328/evb-rk3328.c
index 779bc64..1649bee 100644
--- a/board/rockchip/evb_rk3328/evb-rk3328.c
+++ b/board/rockchip/evb_rk3328/evb-rk3328.c
@@ -2,4 +2,3 @@
/*
* (C) Copyright 2016 Rockchip Electronics Co., Ltd
*/
-
diff --git a/board/synopsys/axs10x/axs10x.h b/board/synopsys/axs10x/axs10x.h
index 69541cc..db5f062 100644
--- a/board/synopsys/axs10x/axs10x.h
+++ b/board/synopsys/axs10x/axs10x.h
@@ -12,4 +12,3 @@ enum {
};
#endif /* _BOARD_SYNOPSYS_AXS10X_H */
-
diff --git a/board/technexion/pico-imx7d/pico-imx7d.c b/board/technexion/pico-imx7d/pico-imx7d.c
index d97e13b..1c0cc23 100644
--- a/board/technexion/pico-imx7d/pico-imx7d.c
+++ b/board/technexion/pico-imx7d/pico-imx7d.c
@@ -244,4 +244,3 @@ int board_ehci_hcd_init(int port)
}
return 0;
}
-
diff --git a/board/technexion/pico-imx8mq/lpddr4_timing_1gb.c b/board/technexion/pico-imx8mq/lpddr4_timing_1gb.c
index bcb8526..97b9ee2 100644
--- a/board/technexion/pico-imx8mq/lpddr4_timing_1gb.c
+++ b/board/technexion/pico-imx8mq/lpddr4_timing_1gb.c
@@ -1731,4 +1731,3 @@ struct dram_timing_info dram_timing_1gb = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 3200, 667, },
};
-
diff --git a/board/technexion/pico-imx8mq/lpddr4_timing_2gb.c b/board/technexion/pico-imx8mq/lpddr4_timing_2gb.c
index 9a8a323..1572a50 100644
--- a/board/technexion/pico-imx8mq/lpddr4_timing_2gb.c
+++ b/board/technexion/pico-imx8mq/lpddr4_timing_2gb.c
@@ -1731,4 +1731,3 @@ struct dram_timing_info dram_timing_2gb = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 3200, 667, },
};
-
diff --git a/board/technexion/pico-imx8mq/lpddr4_timing_3gb.c b/board/technexion/pico-imx8mq/lpddr4_timing_3gb.c
index 0f74ce5..3fc60a3 100644
--- a/board/technexion/pico-imx8mq/lpddr4_timing_3gb.c
+++ b/board/technexion/pico-imx8mq/lpddr4_timing_3gb.c
@@ -1731,4 +1731,3 @@ struct dram_timing_info dram_timing_3gb = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 3200, 667, },
};
-
diff --git a/board/technexion/pico-imx8mq/lpddr4_timing_4gb.c b/board/technexion/pico-imx8mq/lpddr4_timing_4gb.c
index b1d1c52..93b3423 100644
--- a/board/technexion/pico-imx8mq/lpddr4_timing_4gb.c
+++ b/board/technexion/pico-imx8mq/lpddr4_timing_4gb.c
@@ -1731,4 +1731,3 @@ struct dram_timing_info dram_timing_4gb = {
.ddrphy_pie_num = ARRAY_SIZE(ddr_phy_pie),
.fsp_table = { 3200, 667, },
};
-
diff --git a/board/terasic/de0-nano-soc/qts/pll_config.h b/board/terasic/de0-nano-soc/qts/pll_config.h
index 68dc3bc..e439336 100644
--- a/board/terasic/de0-nano-soc/qts/pll_config.h
+++ b/board/terasic/de0-nano-soc/qts/pll_config.h
@@ -81,4 +81,3 @@
#define CONFIG_HPS_ALTERAGRP_DBGATCLK 4
#endif /* _PRELOADER_PLL_CONFIG_H_ */
-
diff --git a/board/xen/xenguest_arm64/xenguest_arm64.c b/board/xen/xenguest_arm64/xenguest_arm64.c
index 21363d8..da0ddee 100644
--- a/board/xen/xenguest_arm64/xenguest_arm64.c
+++ b/board/xen/xenguest_arm64/xenguest_arm64.c
@@ -195,4 +195,3 @@ void board_cleanup_before_linux(void)
{
xen_fini();
}
-
diff --git a/board/xilinx/versal/cmds.c b/board/xilinx/versal/cmds.c
index 04d4cdb..797c1a5 100644
--- a/board/xilinx/versal/cmds.c
+++ b/board/xilinx/versal/cmds.c
@@ -102,4 +102,3 @@ U_BOOT_CMD(versal, 4, 1, do_versal,
"versal sub-system",
versal_help_text
)
-
diff --git a/board/xilinx/zynq/zynq-microzed/ps7_init_gpl.c b/board/xilinx/zynq/zynq-microzed/ps7_init_gpl.c
index 337af2d..323835a 100644
--- a/board/xilinx/zynq/zynq-microzed/ps7_init_gpl.c
+++ b/board/xilinx/zynq/zynq-microzed/ps7_init_gpl.c
@@ -12485,7 +12485,3 @@ ps7_init()
//xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver);
return PS7_INIT_SUCCESS;
}
-
-
-
-
diff --git a/board/xilinx/zynq/zynq-zc706/ps7_init_gpl.c b/board/xilinx/zynq/zynq-zc706/ps7_init_gpl.c
index c84ee6b..cc90a4c 100644
--- a/board/xilinx/zynq/zynq-zc706/ps7_init_gpl.c
+++ b/board/xilinx/zynq/zynq-zc706/ps7_init_gpl.c
@@ -12725,7 +12725,3 @@ ps7_init()
//xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver);
return PS7_INIT_SUCCESS;
}
-
-
-
-
diff --git a/board/xilinx/zynq/zynq-zed/ps7_init_gpl.c b/board/xilinx/zynq/zynq-zed/ps7_init_gpl.c
index 7a15ea5..8fb3908 100644
--- a/board/xilinx/zynq/zynq-zed/ps7_init_gpl.c
+++ b/board/xilinx/zynq/zynq-zed/ps7_init_gpl.c
@@ -12383,7 +12383,3 @@ ps7_init()
//xil_printf ("\n PCW Silicon Version : %d.0", pcw_ver);
return PS7_INIT_SUCCESS;
}
-
-
-
-
diff --git a/board/xilinx/zynq/zynq-zybo/ps7_init_gpl.c b/board/xilinx/zynq/zynq-zybo/ps7_init_gpl.c
index fda6d18..04d2e5f 100644
--- a/board/xilinx/zynq/zynq-zybo/ps7_init_gpl.c
+++ b/board/xilinx/zynq/zynq-zybo/ps7_init_gpl.c
@@ -12565,4 +12565,3 @@ int ps7_init(void)
return ret;
return PS7_INIT_SUCCESS;
}
-