aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--board-js2x/llfw/u4mem.c6
-rw-r--r--board-js2x/slof/OF.fs2
-rw-r--r--board-js2x/slof/ht.fs2
-rw-r--r--board-qemu/virtio-net/vn-pci.c2
-rw-r--r--clients/net-snk/app/biosemu/biosemu.c2
-rw-r--r--clients/net-snk/app/biosemu/io.c12
-rw-r--r--clients/net-snk/app/biosemu/mem.c12
-rw-r--r--clients/net-snk/app/biosemu/vbe.c2
-rw-r--r--clients/net-snk/app/netapps/args.c12
-rw-r--r--clients/net-snk/app/netapps/netboot.c6
-rw-r--r--clients/net-snk/app/netlib/dhcp.c12
-rw-r--r--clients/net-snk/app/netlib/dns.c8
-rw-r--r--clients/net-snk/app/netlib/ipv4.c2
-rw-r--r--clients/net-snk/app/netlib/tftp.c2
-rw-r--r--clients/net-snk/kernel/modules.c2
-rw-r--r--clients/net-snk/kernel/systemcall.c2
-rw-r--r--clients/net-snk/kernel/timer.c4
-rw-r--r--drivers/bcm57xx/bcm57xx.c2
-rw-r--r--drivers/bcm57xx/bcm57xx.h4
-rw-r--r--drivers/e1k/e1k.c2
-rw-r--r--include/byteorder.h2
-rw-r--r--lib/libelf/elf.c2
-rw-r--r--llfw/nvramlog.S2
-rw-r--r--romfs/tools/build_ffs.c2
-rw-r--r--romfs/tools/create_crc.c2
-rw-r--r--slof/fs/base.fs4
-rw-r--r--slof/fs/debug.fs4
-rw-r--r--slof/fs/devices/pci-device_10de_0141.fs2
-rw-r--r--slof/fs/dictionary.fs2
-rw-r--r--slof/fs/envvar.fs2
-rw-r--r--slof/fs/exception.fs4
-rw-r--r--slof/fs/fcode/1275.fs2
-rw-r--r--slof/fs/ide.fs4
-rw-r--r--slof/fs/node.fs2
-rw-r--r--slof/fs/packages/disk-label.fs2
-rw-r--r--slof/fs/property.fs2
-rw-r--r--slof/fs/scsi-loader.fs2
-rw-r--r--slof/fs/scsi-support.fs6
-rw-r--r--slof/fs/usb/usb-ohci.fs8
-rw-r--r--slof/fs/usb/usb-storage.fs4
-rw-r--r--slof/fs/usb/usb-support.fs4
-rw-r--r--tools/gen_reloc_table.c2
42 files changed, 82 insertions, 82 deletions
diff --git a/board-js2x/llfw/u4mem.c b/board-js2x/llfw/u4mem.c
index 17fa857..68bba56 100644
--- a/board-js2x/llfw/u4mem.c
+++ b/board-js2x/llfw/u4mem.c
@@ -81,7 +81,7 @@ static const uint32_t SUBVER = 1;
#define CLK_DDR_CLK_MSK (IBIT(11) | IBIT(12) | IBIT(13))
/*
- * memory controler registers
+ * memory controller registers
*/
#define RASTimer0_R u4reg(0x2030)
#define RASTimer1_R u4reg(0x2040)
@@ -2210,7 +2210,7 @@ u4_auto_calib_MemBus( auto_calib_t *f_ac_pt )
l_Ver_u32 /= n;
/*
- * set appropiate vernier register for
+ * set appropriate vernier register for
* the current bytelane
*/
bidx = ( b >> 2 );
@@ -2384,7 +2384,7 @@ u4_InitialScrub( void )
/*
* RND: calculates Timer cycles from the given frequency
- * devided by the clock frequency. Values are rounded
+ * divided by the clock frequency. Values are rounded
* up to the nearest integer value if the division is not even.
*/
#define RND( tXXX ) ( ( ( tXXX ) + tCK - 1 ) / tCK )
diff --git a/board-js2x/slof/OF.fs b/board-js2x/slof/OF.fs
index 044a0c8..33a98b6 100644
--- a/board-js2x/slof/OF.fs
+++ b/board-js2x/slof/OF.fs
@@ -154,7 +154,7 @@ THEN
takeover? IF
- \ potentially comming from phype
+ \ potentially coming from phype
u4? IF
\ takeover on JS21 is using some nvram area
\ which might be available
diff --git a/board-js2x/slof/ht.fs b/board-js2x/slof/ht.fs
index e122a03..8f818a8 100644
--- a/board-js2x/slof/ht.fs
+++ b/board-js2x/slof/ht.fs
@@ -59,7 +59,7 @@ f2000000 CONSTANT my-puid
: config-dump ( addr size -- ) ['] config-l@ 4 (dump) ;
-\ 16MB of configuration space, seperate for type 0 and type 1.
+\ 16MB of configuration space, separate for type 0 and type 1.
00000000 encode-int f2000000 encode-int+
00000000 encode-int+ 02000000 encode-int+ s" reg" property
diff --git a/board-qemu/virtio-net/vn-pci.c b/board-qemu/virtio-net/vn-pci.c
index 0eb0091..bd20545 100644
--- a/board-qemu/virtio-net/vn-pci.c
+++ b/board-qemu/virtio-net/vn-pci.c
@@ -33,7 +33,7 @@
/**
* Module init for virtio via PCI.
- * Checks whether we're reponsible for the given device and set up
+ * Checks whether we're responsible for the given device and set up
* the virtqueue configuration.
*/
int
diff --git a/clients/net-snk/app/biosemu/biosemu.c b/clients/net-snk/app/biosemu/biosemu.c
index 8d36de6..82a763a 100644
--- a/clients/net-snk/app/biosemu/biosemu.c
+++ b/clients/net-snk/app/biosemu/biosemu.c
@@ -327,7 +327,7 @@ biosemu(char argc, char **argv)
// indicating that the initialization probably was successful
if ((pop_word() == 0xf4f4) && (M.x86.R_SS == STACK_SEGMENT)
&& (M.x86.R_SP == STACK_START_OFFSET)) {
- DEBUG_PRINTF("Stack is clean, initialization successfull!\n");
+ DEBUG_PRINTF("Stack is clean, initialization successful!\n");
} else {
DEBUG_PRINTF
("Stack unclean, initialization probably NOT COMPLETE!!!\n");
diff --git a/clients/net-snk/app/biosemu/io.c b/clients/net-snk/app/biosemu/io.c
index 5cc1ad7..3092c22 100644
--- a/clients/net-snk/app/biosemu/io.c
+++ b/clients/net-snk/app/biosemu/io.c
@@ -83,7 +83,7 @@ my_inb(X86EMU_pioAddr addr)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __FUNCTION__,
addr);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -132,7 +132,7 @@ my_inw(X86EMU_pioAddr addr)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __FUNCTION__,
addr);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -177,7 +177,7 @@ my_inl(X86EMU_pioAddr addr)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __FUNCTION__,
addr);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -223,7 +223,7 @@ my_outb(X86EMU_pioAddr addr, uint8_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -255,7 +255,7 @@ my_outw(X86EMU_pioAddr addr, uint16_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -296,7 +296,7 @@ my_outl(X86EMU_pioAddr addr, uint32_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access Device I/O (BAR or Legacy...)
+ //translation successful, access Device I/O (BAR or Legacy...)
DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
diff --git a/clients/net-snk/app/biosemu/mem.c b/clients/net-snk/app/biosemu/mem.c
index b214a96..3a62c96 100644
--- a/clients/net-snk/app/biosemu/mem.c
+++ b/clients/net-snk/app/biosemu/mem.c
@@ -177,7 +177,7 @@ my_rdb(uint32_t addr)
uint8_t translated = dev_translate_address(&translated_addr);
uint8_t rval;
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
__FUNCTION__, addr);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -209,7 +209,7 @@ my_rdw(uint32_t addr)
uint8_t translated = dev_translate_address(&translated_addr);
uint16_t rval;
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
__FUNCTION__, addr);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -260,7 +260,7 @@ my_rdl(uint32_t addr)
uint8_t translated = dev_translate_address(&translated_addr);
uint32_t rval;
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%x): access to VGA Memory\n",
__FUNCTION__, addr);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -322,7 +322,7 @@ my_wrb(uint32_t addr, uint8_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -347,7 +347,7 @@ my_wrw(uint32_t addr, uint16_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
@@ -392,7 +392,7 @@ my_wrl(uint32_t addr, uint32_t val)
uint64_t translated_addr = addr;
uint8_t translated = dev_translate_address(&translated_addr);
if (translated != 0) {
- //translation successfull, access VGA Memory (BAR or Legacy...)
+ //translation successful, access VGA Memory (BAR or Legacy...)
DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
__FUNCTION__, addr, val);
//DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __FUNCTION__, addr, translated_addr);
diff --git a/clients/net-snk/app/biosemu/vbe.c b/clients/net-snk/app/biosemu/vbe.c
index 61b7102..f9618b4 100644
--- a/clients/net-snk/app/biosemu/vbe.c
+++ b/clients/net-snk/app/biosemu/vbe.c
@@ -111,7 +111,7 @@ vbe_prepare()
M.x86.R_EDI = 0x0;
M.x86.R_ES = VBE_SEGMENT;
- return 0; // successfull init
+ return 0; // successful init
}
// VBE Function 00h
diff --git a/clients/net-snk/app/netapps/args.c b/clients/net-snk/app/netapps/args.c
index 72cf0ea..52215e6 100644
--- a/clients/net-snk/app/netapps/args.c
+++ b/clients/net-snk/app/netapps/args.c
@@ -19,8 +19,8 @@
/**
* Returns pointer of the n'th argument within a string.
*
- * @param arg_str string with arguments, seperated with ','
- * @param index index of the requested arguments whithin arg_str
+ * @param arg_str string with arguments, separated with ','
+ * @param index index of the requested arguments within arg_str
* @return pointer of argument[index] on success
* NULL if index is out of range
*/
@@ -41,7 +41,7 @@ get_arg_ptr(const char *arg_str, unsigned int index)
/**
* Returns number of arguments within a string.
*
- * @param arg_str string with arguments, seperated with ','
+ * @param arg_str string with arguments, separated with ','
* @return number of arguments
*/
unsigned int
@@ -57,7 +57,7 @@ get_args_count(const char *arg_str)
/**
* Returns the length of the first argument.
*
- * @param arg_str string with arguments, seperated with ','
+ * @param arg_str string with arguments, separated with ','
* @return length of first argument
*/
unsigned int
@@ -74,8 +74,8 @@ get_arg_length(const char *arg_str)
* Copy the n'th argument within a string into a buffer in respect
* to a limited buffer size
*
- * @param arg_str string with arguments, seperated with ','
- * @param index index of the requested arguments whithin arg_str
+ * @param arg_str string with arguments, separated with ','
+ * @param index index of the requested arguments within arg_str
* @param buffer pointer to the buffer
* @param length size of the buffer
* @return pointer of buffer on success
diff --git a/clients/net-snk/app/netapps/netboot.c b/clients/net-snk/app/netapps/netboot.c
index aa4df11..952bb33 100644
--- a/clients/net-snk/app/netapps/netboot.c
+++ b/clients/net-snk/app/netapps/netboot.c
@@ -55,7 +55,7 @@ typedef struct {
* Parses a argument string for IPv6 booting, extracts all
* parameters and fills a structure accordingly
*
- * @param arg_str string with arguments, seperated with ','
+ * @param arg_str string with arguments, separated with ','
* @param argc number of arguments
* @param obp_tftp_args structure which contains the result
* @return updated arg_str
@@ -144,7 +144,7 @@ parse_ipv6args (const char *arg_str, unsigned int argc,
* Parses a argument string for IPv4 booting, extracts all
* parameters and fills a structure accordingly
*
- * @param arg_str string with arguments, seperated with ','
+ * @param arg_str string with arguments, separated with ','
* @param argc number of arguments
* @param obp_tftp_args structure which contains the result
* @return updated arg_str
@@ -232,7 +232,7 @@ parse_ipv4args (const char *arg_str, unsigned int argc,
* Netload-Parameters:
* [bootp,]siaddr,filename,ciaddr,giaddr,bootp-retries,tftp-retries
*
- * @param arg_str string with arguments, seperated with ','
+ * @param arg_str string with arguments, separated with ','
* @param obp_tftp_args structure which contains the result
* @return none
*/
diff --git a/clients/net-snk/app/netlib/dhcp.c b/clients/net-snk/app/netlib/dhcp.c
index 8f81bf3..b00f049 100644
--- a/clients/net-snk/app/netlib/dhcp.c
+++ b/clients/net-snk/app/netlib/dhcp.c
@@ -177,7 +177,7 @@ static char * response_buffer;
* DHCP: Obtains IP and configuration info from DHCP server
* (makes several attempts).
*
- * @param boot_device a socket number used to send and recieve packets
+ * @param boot_device a socket number used to send and receive packets
* @param fn_ip contains the following configuration information:
* client MAC, client IP, TFTP-server MAC,
* TFTP-server IP, Boot file name
@@ -292,7 +292,7 @@ dhcp_attempt(void) {
*
* @param opt_field Points to the "vend" field of DHCP-message
* (destination)
- * @param opt_struct this structure stores info about the options wich
+ * @param opt_struct this structure stores info about the options which
* will be added to DHCP-message (source)
* @return TRUE - options packed;
* FALSE - error condition occurs.
@@ -387,7 +387,7 @@ dhcp_encode_options(uint8_t * opt_field, dhcp_options_t * opt_struct) {
* @param opt_field Points to the "options" field of DHCP-message
* (source).
* @param opt_len Length of "options" field.
- * @param opt_struct this structure stores info about the options wich
+ * @param opt_struct this structure stores info about the options which
* was extracted from DHCP-message (destination).
* @return TRUE - options extracted;
* FALSE - error condition occurs.
@@ -527,13 +527,13 @@ static int8_t dhcp_merge_options(uint8_t dst_options[], uint32_t * dst_len,
}
/**
- * DHCP: Finds given occurence of the option with the given code (op_code)
+ * DHCP: Finds given occurrence of the option with the given code (op_code)
* in "options" field of DHCP-message.
*
* @param options "options" field of DHCP-message
* @param len length of the "options" field
* @param op_code code of the option to find
- * @param op_offset SUCCESS - offset to an option occurence;
+ * @param op_offset SUCCESS - offset to an option occurrence;
* FAULT - offset is set to zero.
* @return TRUE - option was find;
* FALSE - option wasn't find.
@@ -582,7 +582,7 @@ dhcp_append_option(uint8_t dst_options[], uint32_t * dst_len,
*
* @param dst_options "options" field of DHCP-message
* @param dst_len length of the "options" field (modified)
- * @param dst_offset offset of the option from beggining of the list
+ * @param dst_offset offset of the option from beginning of the list
* @param new_option points to an option in another list (src)
*/
static void
diff --git a/clients/net-snk/app/netlib/dns.c b/clients/net-snk/app/netlib/dns.c
index 5a931d5..4acc080 100644
--- a/clients/net-snk/app/netlib/dns.c
+++ b/clients/net-snk/app/netlib/dns.c
@@ -93,7 +93,7 @@ static int8_t dns_domain_cname[0x100]; /**< Canonical domain name */
* DNS: Initialize the environment for DNS client.
* To perfrom DNS-queries use the function dns_get_ip.
*
- * @param device_socket a socket number used to send and recieve packets
+ * @param device_socket a socket number used to send and receive packets
* @param server_ip DNS-server IPv4 address (e.g. 127.0.0.1)
* @return TRUE in case of successful initialization;
* FALSE in case of fault (e.g. can't obtain MAC).
@@ -144,7 +144,7 @@ dns_get_ip(int8_t * url, uint32_t * domain_ip) {
return 0;
}
- // Check if DNS server is presented and accessable
+ // Check if DNS server is presented and accessible
if (dns_server_ip == 0) {
printf("\nERROR:\t\t\tCan't resolve domain name "
"(DNS server is not presented)!\n");
@@ -183,7 +183,7 @@ dns_get_ip(int8_t * url, uint32_t * domain_ip) {
/**
* DNS: Handles DNS-messages according to Receive-handle diagram.
* Sets dns_result_ip for given dns_domain_name (see dns_get_ip)
- * or signals error condition occurs during DNS-resolving proccess
+ * or signals error condition occurs during DNS-resolving process
* by setting dns_error flag.
*
* @param packet DNS-packet to be handled
@@ -266,7 +266,7 @@ handle_dns(uint8_t * packet, int32_t packetsize) {
resp_section += htons(* (uint16_t *) (resp_section + 8)) + 10;
}
}
- return 0; // Packet succesfuly handled but IP wasn't obtained
+ return 0; // Packet successfully handled but IP wasn't obtained
}
/**
diff --git a/clients/net-snk/app/netlib/ipv4.c b/clients/net-snk/app/netlib/ipv4.c
index df18970..978e56b 100644
--- a/clients/net-snk/app/netlib/ipv4.c
+++ b/clients/net-snk/app/netlib/ipv4.c
@@ -401,7 +401,7 @@ handle_ipv4(uint8_t * ip_packet, int32_t packetsize)
* We sent an ARP request first, if this is the first packet sent to
* the declared IPv4 destination address. In this case we store the
* the packet and sent it later if we receive the ARP response.
- * If the MAC address is known already, then we send the packet immediatly.
+ * If the MAC address is known already, then we send the packet immediately.
* If there is already an ARP request pending, then we drop this packet
* and send again an ARP request.
*
diff --git a/clients/net-snk/app/netlib/tftp.c b/clients/net-snk/app/netlib/tftp.c
index dd1e752..f58df18 100644
--- a/clients/net-snk/app/netlib/tftp.c
+++ b/clients/net-snk/app/netlib/tftp.c
@@ -535,7 +535,7 @@ tftp(filename_ip_t * _fn_ip, unsigned char *_buffer, int _len,
* which do not support the RRQ blocksize option */
blocksize = 512;
- /* Prefered blocksize - used as option for the read request */
+ /* Preferred blocksize - used as option for the read request */
if (_blocksize < 8)
_blocksize = 8;
else if (_blocksize > MAX_BLOCKSIZE)
diff --git a/clients/net-snk/kernel/modules.c b/clients/net-snk/kernel/modules.c
index a719f27..e7f8cbb 100644
--- a/clients/net-snk/kernel/modules.c
+++ b/clients/net-snk/kernel/modules.c
@@ -91,7 +91,7 @@ load_module(const char *name)
}
}
if(i == MODULES_MAX) {
- // no space avaliable!
+ // no space available!
return -3;
}
diff --git a/clients/net-snk/kernel/systemcall.c b/clients/net-snk/kernel/systemcall.c
index b63df52..644f459 100644
--- a/clients/net-snk/kernel/systemcall.c
+++ b/clients/net-snk/kernel/systemcall.c
@@ -40,7 +40,7 @@ _syscall_open(const char* name, int flags)
}
if(fd == FILEIO_MAX) {
printk ("Can not open \"%s\" because file descriptor list is full\n", name);
- /* there is no free file descriptor avaliable */
+ /* there is no free file descriptor available */
return -2;
}
diff --git a/clients/net-snk/kernel/timer.c b/clients/net-snk/kernel/timer.c
index 0b8a18f..1d5ae16 100644
--- a/clients/net-snk/kernel/timer.c
+++ b/clients/net-snk/kernel/timer.c
@@ -54,7 +54,7 @@ static void wait_ticks(uint64_t ticks)
// wait for (at least) usecs microseconds
void udelay(unsigned int usecs)
{
- // first multiply the usec with timebase and then devide
+ // first multiply the usec with timebase and then divide
// because 1.000.000 is relatively huge compared to usecs
wait_ticks((usecs*tb_freq)/1000000);
}
@@ -63,7 +63,7 @@ void udelay(unsigned int usecs)
// wait for (at least) msecs milliseconds
void mdelay(unsigned int msecs)
{
- // first multiply the msec and timebase and then devide
+ // first multiply the msec and timebase and then divide
// because 1.000 is relatively huge compared to msecs
wait_ticks((msecs*tb_freq)/1000);
}
diff --git a/drivers/bcm57xx/bcm57xx.c b/drivers/bcm57xx/bcm57xx.c
index 65b319b..fa96163 100644
--- a/drivers/bcm57xx/bcm57xx.c
+++ b/drivers/bcm57xx/bcm57xx.c
@@ -2647,7 +2647,7 @@ bcm_init( void )
// step 40/41/42: initialize rx producer ring
bcm_init_rxprod_ring();
- // step 43: set rx producer ring replenish threshhold
+ // step 43: set rx producer ring replenish threshold
// using recommended setting of maximum allocated BD's/8
bcm_write_reg32( STD_RXPR_REP_THR_R, (u32_t) BCM_MAX_RX_BUF / 8 );
diff --git a/drivers/bcm57xx/bcm57xx.h b/drivers/bcm57xx/bcm57xx.h
index d968313..0f59262 100644
--- a/drivers/bcm57xx/bcm57xx.h
+++ b/drivers/bcm57xx/bcm57xx.h
@@ -140,7 +140,7 @@
#define RX_DAT_COMPL_MODE_R ( (u16_t) 0x2800 )
// Receive BD Initiator Mode register
#define RX_BD_INIT_MODE_R ( (u16_t) 0x2c00 )
-// Standard Receive Producer Ring Replenish Threshhold register
+// Standard Receive Producer Ring Replenish Threshold register
#define STD_RXPR_REP_THR_R ( (u16_t) 0x2c18 )
// Receive BD Completion Mode register
#define RX_BD_COMPL_MODE_R ( (u16_t) 0x3000 )
@@ -172,7 +172,7 @@
#define STB_HOST_ADDR_HI_R ( (u16_t) 0x3c38 )
// Status Block Host Address High register
#define STB_HOST_ADDR_LO_R ( (u16_t) 0x3c3c )
-// Statistsics Base Adress register
+// Statistics Base Address register
#define STAT_NIC_ADDR_R ( (u16_t) 0x3c40 )
// Status Block Base Address register
#define STB_NIC_ADDR_R ( (u16_t) 0x3c44 )
diff --git a/drivers/e1k/e1k.c b/drivers/e1k/e1k.c
index 38a9987..a606b71 100644
--- a/drivers/e1k/e1k.c
+++ b/drivers/e1k/e1k.c
@@ -474,7 +474,7 @@ e1k_init_transmitter(void)
*/
e1k_wr32(TCTL, BIT32(1) | // enable transmitter
BIT32(3) | // pad short packets
- ((uint32_t) 0x0f << 4) | // collision threshhold
+ ((uint32_t) 0x0f << 4) | // collision threshold
((uint32_t) 0x40 << 12)); // collision distance
}
diff --git a/include/byteorder.h b/include/byteorder.h
index 0d7ebee..29910af 100644
--- a/include/byteorder.h
+++ b/include/byteorder.h
@@ -11,7 +11,7 @@
*****************************************************************************/
/*
- * Common byteorder (endianess) macros
+ * Common byteorder (endianness) macros
*/
#ifndef BYTEORDER_H
diff --git a/lib/libelf/elf.c b/lib/libelf/elf.c
index 0d40348..33d9211 100644
--- a/lib/libelf/elf.c
+++ b/lib/libelf/elf.c
@@ -25,7 +25,7 @@
* @param file_addr pointer to the start of the ELF file
* @return the class (1 for 32 bit, 2 for 64 bit)
* -1 if it is not an ELF file
- * -2 if it has the wrong endianess
+ * -2 if it has the wrong endianness
* -3 if it is not an ELF executable
* -4 if it is not for PPC
*/
diff --git a/llfw/nvramlog.S b/llfw/nvramlog.S
index eb14c95..3ad2de7 100644
--- a/llfw/nvramlog.S
+++ b/llfw/nvramlog.S
@@ -109,7 +109,7 @@ ASM_ENTRY(checkLogHeaderData)
ASM_ENTRY(.checkLogPartition)
mflr r8
mr r4, r3 // emulate "bl updateCRC_NVRAM"
- li r3, 0 // with successfull CRC check
+ li r3, 0 // with successful CRC check
li r7, 0
cmpwi 7, r3, 0
beq+ 7, 0f
diff --git a/romfs/tools/build_ffs.c b/romfs/tools/build_ffs.c
index d00fecb..218de75 100644
--- a/romfs/tools/build_ffs.c
+++ b/romfs/tools/build_ffs.c
@@ -310,7 +310,7 @@ next_file_address(struct ffs_header_t *hdr, unsigned int rom_pos, int hdrsize,
return -1;
}
- /* spin offset to new positon */
+ /* spin offset to new position */
if (pad8_num(hdr->romaddr) != hdr->romaddr) {
printf("BUG!!!! pad8_num(hdr->romaddr) != hdr->romaddr\n");
return -1;
diff --git a/romfs/tools/create_crc.c b/romfs/tools/create_crc.c
index 8ea6347..51f137d 100644
--- a/romfs/tools/create_crc.c
+++ b/romfs/tools/create_crc.c
@@ -115,7 +115,7 @@ createHeaderImage(int notime)
}
// length must be 13 instead 12 because of terminating
// NUL. Therefore uH.stH.platform_revison must be
- // writen later to overwrite the terminating NUL
+ // written later to overwrite the terminating NUL
if (strftime(dastr, 15, "0x%Y%m%d%H%M", tm) == 0) {
printf("strftime error\n");
}
diff --git a/slof/fs/base.fs b/slof/fs/base.fs
index da990f3..f92fc06 100644
--- a/slof/fs/base.fs
+++ b/slof/fs/base.fs
@@ -143,7 +143,7 @@ CONSTANT <2constant>
then
-1 +loop =
;
-\ reverse split -- split at the last occurence of char
+\ reverse split -- split at the last occurrence of char
: rsplit ( str len char -- left len right len )
>r 2dup r> rfindchar IF >r over r@ 2swap r> 1+ /string ELSE 0 0 THEN ;
@@ -221,7 +221,7 @@ CONSTANT <2constant>
1 >in +! \ advance input-index
;
-\ Parse upto next "
+\ Parse up to next "
: parse-" ( dst-adr -- dst-adr' )
[char] " parse dup 3 pick + >r ( dst-adr str len R: dst-adr' )
diff --git a/slof/fs/debug.fs b/slof/fs/debug.fs
index 0aa3acf..e54f729 100644
--- a/slof/fs/debug.fs
+++ b/slof/fs/debug.fs
@@ -366,7 +366,7 @@ true value trace>up?
: debug-off ( -- )
debug-last-xt IF
- debug-last-xt-content debug-last-xt ! \ Restore overwriten token
+ debug-last-xt-content debug-last-xt ! \ Restore overwritten token
0 to debug-last-xt
THEN
;
@@ -377,7 +377,7 @@ true value trace>up?
: (break-entry) ( -- )
debug-last-xt dup @ ['] breakpoint <> swap ( debug-addr? debug-last-xt )
- debug-last-xt-content swap ! \ Restore overwriten token
+ debug-last-xt-content swap ! \ Restore overwritten token
r> drop \ Don't return to bp, but to caller
debug-last-xt-content <colon> <> and IF \ Execute non colon definition
debug-last-xt cr u. ." : "
diff --git a/slof/fs/devices/pci-device_10de_0141.fs b/slof/fs/devices/pci-device_10de_0141.fs
index dd62ca7..bb3b835 100644
--- a/slof/fs/devices/pci-device_10de_0141.fs
+++ b/slof/fs/devices/pci-device_10de_0141.fs
@@ -20,7 +20,7 @@ pci-mem-enable
30 config-l@ pci-find-fcode execute-rom-fcode
: check-display ( nodepath len -- true|false ) \ true if display found and "screen" alias set
-\ check if display availabe, set screen alias
+\ check if display available, set screen alias
2dup find-node \ ( path len phandle|0 ) find node
?dup IF
\ node found, get "display-type" property
diff --git a/slof/fs/dictionary.fs b/slof/fs/dictionary.fs
index 5d1dae7..3e5b293 100644
--- a/slof/fs/dictionary.fs
+++ b/slof/fs/dictionary.fs
@@ -29,7 +29,7 @@
WHILE ( xt currxt *name pos )
dup @ 4 pick = IF ( xt currxt *name pos )
over count type space
- BEGIN cell+ dup @ ['] semicolon = UNTIL cell - \ eat up other occurences
+ BEGIN cell+ dup @ ['] semicolon = UNTIL cell - \ eat up other occurrences
THEN
REPEAT
THEN
diff --git a/slof/fs/envvar.fs b/slof/fs/envvar.fs
index 1d152e4..015e366 100644
--- a/slof/fs/envvar.fs
+++ b/slof/fs/envvar.fs
@@ -193,7 +193,7 @@ DEFER old-emit
5 OF env-secmode ENDOF ENDCASE
;
-\ Enviroment variables might be board specific
+\ Environment variables might be board specific
#include <envvar_defaults.fs>
diff --git a/slof/fs/exception.fs b/slof/fs/exception.fs
index 91e39be..dbf11fb 100644
--- a/slof/fs/exception.fs
+++ b/slof/fs/exception.fs
@@ -51,7 +51,7 @@ CONSTANT ciregs-size
200 OF ." Machine Check" ENDOF
300 OF ." Data Storage" ENDOF
380 OF ." Data Segment" ENDOF
- 400 OF ." Intruction Storage" ENDOF
+ 400 OF ." Instruction Storage" ENDOF
480 OF ." Instruction Segment" ENDOF
500 OF ." External" ENDOF
600 OF ." Alignment" ENDOF
@@ -143,7 +143,7 @@ CONSTANT ciregs-size
msr@ 8000 not and msr!
;
-\ Generate external interrupt thru Internal Interrupt Controller of BE
+\ Generate external interrupt through Internal Interrupt Controller of BE
: gen-ext-int ( -- )
7fffffff dec! \ Reset decrementer
diff --git a/slof/fs/fcode/1275.fs b/slof/fs/fcode/1275.fs
index 7c7e366..c2a67bc 100644
--- a/slof/fs/fcode/1275.fs
+++ b/slof/fs/fcode/1275.fs
@@ -33,7 +33,7 @@
dup 8000 >= IF
10000 - \ Create cell-sized negative value
THEN
- fcode-offset - \ IP is already behind offset, so substract offset size
+ fcode-offset - \ IP is already behind offset, so subtract offset size
;
: ?negative
diff --git a/slof/fs/ide.fs b/slof/fs/ide.fs
index 93ca766..d6f16ed 100644
--- a/slof/fs/ide.fs
+++ b/slof/fs/ide.fs
@@ -21,7 +21,7 @@
0 VALUE >ata \ base address for command-block
0 VALUE >ata1 \ base address for control block
-true VALUE no-timeout \ flag that no timeout occured
+true VALUE no-timeout \ flag that no timeout occurred
0c CONSTANT #cdb-bytes \ command descriptor block (12 bytes)
800 CONSTANT atapi-size
@@ -289,7 +289,7 @@ scsi-open \ add scsi functions
IF
cr ." packet size = 16 ** not supported ! **"
THEN
- no-timeout not \ any timeout occured so far ?
+ no-timeout not \ any timeout occurred so far ?
IF
cr ." ** timeout **"
THEN
diff --git a/slof/fs/node.fs b/slof/fs/node.fs
index 819593d..95f5e1a 100644
--- a/slof/fs/node.fs
+++ b/slof/fs/node.fs
@@ -299,7 +299,7 @@ defer find-node
ELSE 2drop dup IF .alias
ELSE 2drop list-alias THEN THEN ;
-\ sub-alias does a single iteration of an alias at the begining od dev path
+\ sub-alias does a single iteration of an alias at the beginning od dev path
\ expression. de-alias will repeat this until all indirect alising is resolved
: sub-alias ( arg-str arg-len -- arg' len' | false )
2dup
diff --git a/slof/fs/packages/disk-label.fs b/slof/fs/packages/disk-label.fs
index 97e3031..2dc581b 100644
--- a/slof/fs/packages/disk-label.fs
+++ b/slof/fs/packages/disk-label.fs
@@ -265,7 +265,7 @@ CONSTANT /partition-entry
\ Check for an ISO-9660 filesystem on the disk
\ (cf. CHRP IEEE 1275 spec., chapter 11.1.2.3)
: has-iso9660-filesystem ( -- TRUE|FALSE )
- \ Seek to the begining of logical 2048-byte sector 16
+ \ Seek to the beginning of logical 2048-byte sector 16
\ refer to Chapter C.11.1 in PAPR 2.0 Spec
\ was: 10 read-sector, but this might cause trouble if you
\ try booting an ISO image from a device with 512b sectors.
diff --git a/slof/fs/property.fs b/slof/fs/property.fs
index c7fd46a..cb99fbe 100644
--- a/slof/fs/property.fs
+++ b/slof/fs/property.fs
@@ -28,7 +28,7 @@ true value encode-first?
: decode-int over >r 4 /string r> 4c@ swap 2swap swap bljoin ;
: decode-64 decode-int -rot decode-int -rot 2swap swap lxjoin ;
: decode-string ( prop-addr1 prop-len1 -- prop-addr2 prop-len2 str len )
- dup 0= IF 2dup EXIT THEN \ string properties with zero lenght
+ dup 0= IF 2dup EXIT THEN \ string properties with zero length
over BEGIN dup c@ 0= IF 1+ -rot swap 2 pick over - rot over - -rot 1-
EXIT THEN 1+ AGAIN ;
diff --git a/slof/fs/scsi-loader.fs b/slof/fs/scsi-loader.fs
index 406c184..fec1f78 100644
--- a/slof/fs/scsi-loader.fs
+++ b/slof/fs/scsi-loader.fs
@@ -14,7 +14,7 @@
\ Last change: MiR 13.11.2007 10:55:57
\ **************************************
-: .ansi-attr-off 1b emit ." [0m" ; \ ESC Sequence: all terminal atributes off
+: .ansi-attr-off 1b emit ." [0m" ; \ ESC Sequence: all terminal attributes off
: .ansi-blue 1b emit ." [34m" ; \ ESC Sequence: foreground-color = blue
: .ansi-green 1b emit ." [32m" ; \ ESC Sequence: foreground-color = green
: .ansi-red 1b emit ." [31m" ; \ ESC Sequence: foreground-color = green
diff --git a/slof/fs/scsi-support.fs b/slof/fs/scsi-support.fs
index d4b260b..73f9056 100644
--- a/slof/fs/scsi-support.fs
+++ b/slof/fs/scsi-support.fs
@@ -803,7 +803,7 @@ CONSTANT scsi-length-media-event
\ ****************************************************************************
\ close scsi-session and remove scsi word list (if exists)
\ ****************************************************************************
-\ if 'previous' is used without a preceeding 'also' all forth words are lost !
+\ if 'previous' is used without a preceding 'also' all forth words are lost !
\ ****************************************************************************
: scsi-close ( -- )
\ FIXME This only works if scsi-words is the last vocabulary on the stack
@@ -817,10 +817,10 @@ CONSTANT scsi-length-media-event
THEN
context scsi-context = \ scsi word list still active ?
IF
- scsi-param-errors 0<> \ any errors occured ?
+ scsi-param-errors 0<> \ any errors occurred ?
IF
cr ." ** WARNING: " scsi-param-errors .d
- ." SCSI Errors occured ** " cr
+ ." SCSI Errors occurred ** " cr
THEN
previous \ remove scsi word list on top
0 to scsi-context \ prevent from being misinterpreted
diff --git a/slof/fs/usb/usb-ohci.fs b/slof/fs/usb/usb-ohci.fs
index f205e1d..494b04a 100644
--- a/slof/fs/usb/usb-ohci.fs
+++ b/slof/fs/usb/usb-ohci.fs
@@ -706,7 +706,7 @@ hchcca-dma hchcca - CONSTANT virt2phys-offset
;
-\ COLON DEFINTION: HC-enable-bulk-list-processing
+\ COLON DEFINITION: HC-enable-bulk-list-processing
\ PENDING: Remove Hard coded constants.
: HC-enable-bulk-list-processing ( -- )
@@ -779,7 +779,7 @@ hchcca-dma hchcca - CONSTANT virt2phys-offset
\ If the caller specifies a wrong data toggle of 1 for a SETUP
\ PACKET, this method will not find it out.
-\ COLON DEFINTION: (toggle-current-toggle)
+\ COLON DEFINITION: (toggle-current-toggle)
\ Scope: Internal to fill-TD-list
\ Functionality:
\ Toggles the "T" field that is passed as argument.
@@ -1002,7 +1002,7 @@ s" usb-support.fs" INCLUDED
\ =====================================================================
-\ COLON DEFINTION: control-std-set-address
+\ COLON DEFINITION: control-std-set-address
\ INTERFACE FUNCTION
\ Function allocates an USB addrss and uses it to send SET-ADDRESS packet
\ to the default USB address.
@@ -1022,7 +1022,7 @@ s" usb-support.fs" INCLUDED
;
-\ Fetches the device decriptor of the usb-device
+\ Fetches the device descriptor of the usb-device
: control-std-get-device-descriptor
diff --git a/slof/fs/usb/usb-storage.fs b/slof/fs/usb/usb-storage.fs
index fcb1113..f7e2572 100644
--- a/slof/fs/usb/usb-storage.fs
+++ b/slof/fs/usb/usb-storage.fs
@@ -245,7 +245,7 @@ usb-debug-flag to scsi-param-debug \ copy debug flag
\ ---------------------------------------------------------------
\ Method to 1. Send the READ CAPACITY command
-\ 2. Recieve and analyse the response data
+\ 2. Receive and analyse the response data
\ ---------------------------------------------------------------
: read-capacity ( -- )
@@ -285,7 +285,7 @@ usb-debug-flag to scsi-param-debug \ copy debug flag
dma-buf >response-buffer 0 do-bulk-command
IF
s" Successfully read test unit ready data" usb-debug-print
- s" Test Unit STATUS availabe in dma-buf >csw-buffer" usb-debug-print
+ s" Test Unit STATUS available in dma-buf >csw-buffer" usb-debug-print
dma-buf >csw-buffer 0c + c@ 0= IF
s" Test Unit Command Successfully Executed" usb-debug-print
TRUE ( TRUE )
diff --git a/slof/fs/usb/usb-support.fs b/slof/fs/usb/usb-support.fs
index aa85ab9..c3c0365 100644
--- a/slof/fs/usb/usb-support.fs
+++ b/slof/fs/usb/usb-support.fs
@@ -537,7 +537,7 @@ VARIABLE controlxfer-cmd
\ value of max packet size
\ mps: Max Packet Size.
\ address: Address of endpoint. 11-bit address. The lower 7-bits represent
-\ the USB addres and the upper 4-bits represent the Endpoint
+\ the USB address and the upper 4-bits represent the Endpoint
\ number.
: (do-rw-endpoint)
@@ -578,7 +578,7 @@ VARIABLE controlxfer-cmd
\ buffer length: Data buffer associated with the transfer
\ mps: Max Packet Size.
\ address: Address of endpoint. 11-bit address. The lower 7-bits represent
-\ the USB addres and the upper 4-bits represent the Endpoint
+\ the USB address and the upper 4-bits represent the Endpoint
\ number.
diff --git a/tools/gen_reloc_table.c b/tools/gen_reloc_table.c
index b2e2176..b15ce9d 100644
--- a/tools/gen_reloc_table.c
+++ b/tools/gen_reloc_table.c
@@ -69,7 +69,7 @@ main(int argc, char *argv[])
offset ++;
if (cnt_a != cnt_b)
{
- fprintf (stderr, "Files >%s< and >%s< have not the same lenght\n",argv[1],argv[2]);
+ fprintf (stderr, "Files >%s< and >%s< have not the same length\n",argv[1],argv[2]);
exit(-1);
}