aboutsummaryrefslogtreecommitdiff
path: root/gas/testsuite/gas/cr16/loadb_test.s
blob: 258e3b3800485569fdd16efa76c80c1560bf1109 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
        .text
        .global main
main:
	######################
	#  loadb abs20/24 reg
	######################
	loadb 0x0,r0
	loadb 0xff,r1
	loadb 0xfff,r3
	loadb 0x1234,r4
	loadb 0x1234,r5
	loadb 0x7A1234,r0
	loadb 0xBA1234,r1
	loadb 0xffffff,r2
	######################
	#  loadb abs20 rel reg
	######################
	loadb [r12]0x0,r0
	loadb [r13]0x0,r0
	loadb [r12]0xff,r1
	loadb [r13]0xff,r1
	loadb [r12]0xfff,r3
	loadb [r13]0xfff,r3
	loadb [r12]0x1234,r4
	loadb [r13]0x1234,r4
	loadb [r12]0x1234,r5
	loadb [r13]0x1234,r5
	loadb [r12]0x4567,r2
	loadb [r13]0xA1234,r2
	###################################
	#  loadb rbase(disp20/-disp20)  reg
	###################################
	loadb 0x4(r1,r0),r1
	loadb 0x4(r3,r2),r3
	loadb 0x1234(r1,r0),r4
	loadb 0x1234(r3,r2),r5
	loadb 0xA1234(r1,r0),r6
	loadb -0x4(r1,r0),r1
	loadb -0x4(r3,r2),r3
	loadb -0x1234(r1,r0),r4
	loadb -0x1234(r3,r2),r5
	loadb -0xA1234(r1,r0),r6
	#################################################
	#  loadb rpbase(disp4/disp16/disp20/-disp20)  reg
	#################################################
	loadb 0x0(r1,r0),r0
	loadb 0x0(r1,r0),r1
	loadb 0xf(r1,r0),r0
	loadb 0xf(r1,r0),r1
	loadb 0x1234(r1,r0),r2
	loadb 0xabcd(r3,r2),r3
	loadb 0xAfff(r4,r3),r4
	loadb 0xA1234(r6,r5),r5
	loadb -0xf(r1,r0),r0
	loadb -0xf(r1,r0),r1
	loadb -0x1234(r1,r0),r2
	loadb -0xabcd(r3,r2),r3
	loadb -0xAfff(r4,r3),r4
	loadb -0xA1234(r6,r5),r5
	####################################
	#  loadb rbase(disp0/disp14) rel reg
	####################################
	loadb [r12]0x0(r1,r0),r0
	loadb [r13]0x0(r1,r0),r1
	loadb [r12]0x1234(r1,r0),r2
	loadb [r13]0x1abcd(r1,r0),r3
	#################################
	#  loadb rpbase(disp20) rel reg
	#################################
	loadb [r12]0xA1234(r1,r0),r4
	loadb [r13]0xB1234(r1,r0),r5
	loadb [r13]0xfffff(r1,r0),r6