aboutsummaryrefslogtreecommitdiff
path: root/sim
diff options
context:
space:
mode:
authorMike Frysinger <vapier@gentoo.org>2023-12-06 20:07:32 -0700
committerMike Frysinger <vapier@gentoo.org>2023-12-07 21:41:27 -0700
commitab46df15a0ed2b7625036411d0286239cfa6e22c (patch)
tree4ffa7e38cbb284f68b6452a1f70354fba177f700 /sim
parent0dabdc69c72bf3c5c0fc2e9fce21a89227a04b32 (diff)
downloadfsf-binutils-gdb-ab46df15a0ed2b7625036411d0286239cfa6e22c.zip
fsf-binutils-gdb-ab46df15a0ed2b7625036411d0286239cfa6e22c.tar.gz
fsf-binutils-gdb-ab46df15a0ed2b7625036411d0286239cfa6e22c.tar.bz2
sim: ft32: fix -Wunused-but-set-variable warnings
Diffstat (limited to 'sim')
-rw-r--r--sim/ft32/interp.c4
1 files changed, 0 insertions, 4 deletions
diff --git a/sim/ft32/interp.c b/sim/ft32/interp.c
index e8f990d..146c88a 100644
--- a/sim/ft32/interp.c
+++ b/sim/ft32/interp.c
@@ -702,12 +702,8 @@ sim_engine_run (SIM_DESC sd,
int nr_cpus, /* ignore */
int siggnal) /* ignore */
{
- sim_cpu *cpu;
-
SIM_ASSERT (STATE_MAGIC (sd) == SIM_MAGIC_NUMBER);
- cpu = STATE_CPU (sd, 0);
-
while (1)
{
step_once (sd);