aboutsummaryrefslogtreecommitdiff
path: root/gas
diff options
context:
space:
mode:
authorTimothy Wall <twall@alum.mit.edu>2000-06-20 13:52:32 +0000
committerTimothy Wall <twall@alum.mit.edu>2000-06-20 13:52:32 +0000
commit39bec121fbf7999abee79c0eec02015a84ab8a48 (patch)
treebce4d1826bdccbf52974611b7ac28d8bdac547e8 /gas
parent2d30b3dab49cd50d7dd89557888001c0ec7a0171 (diff)
downloadfsf-binutils-gdb-39bec121fbf7999abee79c0eec02015a84ab8a48.zip
fsf-binutils-gdb-39bec121fbf7999abee79c0eec02015a84ab8a48.tar.gz
fsf-binutils-gdb-39bec121fbf7999abee79c0eec02015a84ab8a48.tar.bz2
TI C54x target.
Diffstat (limited to 'gas')
-rw-r--r--gas/ChangeLog22
-rw-r--r--gas/Makefile.am16
-rw-r--r--gas/Makefile.in2085
-rw-r--r--gas/NEWS2
-rw-r--r--gas/config/obj-coff.h5
-rw-r--r--gas/config/tc-tic54x.c5569
-rw-r--r--gas/config/tc-tic54x.h128
-rwxr-xr-xgas/configure554
-rw-r--r--gas/configure.in10
-rw-r--r--gas/doc/Makefile.am1
-rw-r--r--gas/doc/Makefile.in30
-rw-r--r--gas/doc/all.texi1
-rw-r--r--gas/doc/as.texinfo30
-rw-r--r--gas/doc/c-tic54x.texi767
-rw-r--r--gas/doc/internals.texi1
-rw-r--r--gas/testsuite/ChangeLog9
-rw-r--r--gas/testsuite/gas/all/gas.exp22
-rw-r--r--gas/testsuite/gas/macros/macros.exp11
-rw-r--r--gas/testsuite/gas/tic54x/address.d29
-rw-r--r--gas/testsuite/gas/tic54x/address.s24
-rw-r--r--gas/testsuite/gas/tic54x/addrfar.d31
-rw-r--r--gas/testsuite/gas/tic54x/align.d56
-rw-r--r--gas/testsuite/gas/tic54x/align.s24
-rw-r--r--gas/testsuite/gas/tic54x/all-opcodes.d219151
-rw-r--r--gas/testsuite/gas/tic54x/all-opcodes.s124668
-rw-r--r--gas/testsuite/gas/tic54x/asg.d131
-rw-r--r--gas/testsuite/gas/tic54x/asg.s39
-rw-r--r--gas/testsuite/gas/tic54x/cons.d103
-rw-r--r--gas/testsuite/gas/tic54x/cons.s38
-rw-r--r--gas/testsuite/gas/tic54x/consfar.d106
-rw-r--r--gas/testsuite/gas/tic54x/extaddr.d56
-rw-r--r--gas/testsuite/gas/tic54x/extaddr.s45
-rw-r--r--gas/testsuite/gas/tic54x/field.d30
-rw-r--r--gas/testsuite/gas/tic54x/field.s14
-rw-r--r--gas/testsuite/gas/tic54x/in_mlib.asm5
-rw-r--r--gas/testsuite/gas/tic54x/labels.d74
-rw-r--r--gas/testsuite/gas/tic54x/labels.inc3
-rw-r--r--gas/testsuite/gas/tic54x/labels.s55
-rw-r--r--gas/testsuite/gas/tic54x/loop.d18
-rw-r--r--gas/testsuite/gas/tic54x/loop.s18
-rw-r--r--gas/testsuite/gas/tic54x/lp.d10
-rw-r--r--gas/testsuite/gas/tic54x/lp.s3
-rw-r--r--gas/testsuite/gas/tic54x/macro.d20
-rw-r--r--gas/testsuite/gas/tic54x/macro.s40
-rw-r--r--gas/testsuite/gas/tic54x/macro1.s12
-rw-r--r--gas/testsuite/gas/tic54x/macros.lib7
-rw-r--r--gas/testsuite/gas/tic54x/math.d58
-rw-r--r--gas/testsuite/gas/tic54x/math.s37
-rw-r--r--gas/testsuite/gas/tic54x/opcodes.d292
-rw-r--r--gas/testsuite/gas/tic54x/opcodes.s309
-rw-r--r--gas/testsuite/gas/tic54x/sections.d86
-rw-r--r--gas/testsuite/gas/tic54x/sections.s72
-rw-r--r--gas/testsuite/gas/tic54x/set.d16
-rw-r--r--gas/testsuite/gas/tic54x/set.s13
-rw-r--r--gas/testsuite/gas/tic54x/struct.d15
-rw-r--r--gas/testsuite/gas/tic54x/struct.s44
-rw-r--r--gas/testsuite/gas/tic54x/subsym.d39
-rw-r--r--gas/testsuite/gas/tic54x/subsym.s55
-rw-r--r--gas/testsuite/gas/tic54x/subsym1.s10
-rw-r--r--gas/testsuite/gas/tic54x/tic54x.exp30
60 files changed, 353314 insertions, 1835 deletions
diff --git a/gas/ChangeLog b/gas/ChangeLog
index 7f7da64..0a23c23 100644
--- a/gas/ChangeLog
+++ b/gas/ChangeLog
@@ -1,3 +1,23 @@
+2000-06-20 Timothy Wall <twall@cygnus.com>
+
+ * doc/internals.texi (CPU backend): Add @itemx for
+ TC_START_LABEL_WITHOUT_COLON.
+ * doc/c-tic54x.texi: New.
+ * doc/as.texinfo: Add tic54x features and include primary tic54x
+ documentation file.
+ * doc/all.texi: Add C54X.
+ * doc/Makefile.am (CPU_DOCS): Add c-tic54x.texi.
+ * doc/Makefile.in: Regenerate.
+ * configure.in: Add tic54x and define LIBM for tic54x.
+ * configure: Regenrate.
+ * config/tc-tic54x.[ch]: New.
+ * config/obj-coff.h: Add tic54x.
+ * Makefile.am: (CPU_TYPES): Add tic54x.
+ (TARGET_CPU_CFILES): Add 'tc-tic54x.c'.
+ (TARGET_CPU_HFILES): Add 'tc-tic54x.h'.
+ (as_new_LDADD): Add $(LIBM).
+ * Makefile.in: Regenerate.
+
2000-06-18 Stephane Carrez <stcarrez@worldnet.fr>
* doc/Makefile.am (CPU_DOCS): Added 68hc11 file.
@@ -746,7 +766,7 @@ Fri Apr 21 13:20:53 2000 Richard Henderson <rth@cygnus.com>
Jim Wilson <wilson@cygnus.com>
* Makefile.am (CPU_TYPES): Add ia64.
- (TARGET_CPU_CFILES): Add cofnig/tc-ia64.c.
+ (TARGET_CPU_CFILES): Add config/tc-ia64.c.
(TARGET_CPU_HFILES): Add config/tc-ia64.h.
* Makefile.in: Rebuild.
* app.c (do_scrub_chars): Handle DOUBLESLASH_COMMENTS.
diff --git a/gas/Makefile.am b/gas/Makefile.am
index f195102..addb198 100644
--- a/gas/Makefile.am
+++ b/gas/Makefile.am
@@ -68,6 +68,7 @@ CPU_TYPES = \
sparc \
tahoe \
tic30 \
+ tic54x \
tic80 \
vax \
w65 \
@@ -240,6 +241,7 @@ TARGET_CPU_CFILES = \
config/tc-sparc.c \
config/tc-tahoe.c \
config/tc-tic30.c \
+ config/tc-tic54x.c \
config/tc-tic80.c \
config/tc-vax.c \
config/tc-w65.c \
@@ -278,6 +280,7 @@ TARGET_CPU_HFILES = \
config/tc-sparc.h \
config/tc-tahoe.h \
config/tc-tic30.h \
+ config/tc-tic54x.h \
config/tc-tic80.h \
config/tc-vax.h \
config/tc-w65.h \
@@ -445,7 +448,7 @@ $(OBJS): @ALL_OBJ_DEPS@
as_new_SOURCES = $(GAS_CFILES)
as_new_LDADD = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) \
- $(extra_objects) $(GASLIBS) $(INTLLIBS)
+ $(extra_objects) $(GASLIBS) $(INTLLIBS) $(LIBM)
as_new_DEPENDENCIES = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) \
$(extra_objects) $(GASLIBS) $(INTLDEPS)
@@ -1264,6 +1267,10 @@ DEPTC_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
$(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
$(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
$(srcdir)/config/tc-tic30.h emul.h $(INCDIR)/opcode/tic30.h
+DEPTC_tic54x_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
+ $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h \
+ $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h \
+ $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic54x.h
DEPTC_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
$(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
$(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
@@ -1658,6 +1665,10 @@ DEPOBJ_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
$(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
$(srcdir)/config/tc-tic30.h emul.h subsegs.h $(INCDIR)/obstack.h \
$(INCDIR)/aout/aout64.h
+DEPOBJ_tic54x_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
+ $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h \
+ $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h \
+ $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
DEPOBJ_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
$(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
$(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
@@ -1914,6 +1925,9 @@ DEP_tic30_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h \
DEP_tic30_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
$(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
$(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic30.h
+DEP_tic54x_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h \
+ $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h \
+ $(INCDIR)/bfdlink.h
DEP_tic80_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
$(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
$(INCDIR)/bfdlink.h
diff --git a/gas/Makefile.in b/gas/Makefile.in
index 587a390..2b3b8bf 100644
--- a/gas/Makefile.in
+++ b/gas/Makefile.in
@@ -1,4 +1,4 @@
-# Makefile.in generated automatically by automake 1.4 from Makefile.am
+# Makefile.in generated automatically by automake 1.4a from Makefile.am
# Copyright (C) 1994, 1995-8, 1999 Free Software Foundation, Inc.
# This Makefile.in is free software; the Free Software Foundation
@@ -46,9 +46,10 @@ AUTOMAKE = @AUTOMAKE@
AUTOHEADER = @AUTOHEADER@
INSTALL = @INSTALL@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@ $(AM_INSTALL_PROGRAM_FLAGS)
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
INSTALL_DATA = @INSTALL_DATA@
INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_FLAG =
transform = @program_transform_name@
NORMAL_INSTALL = :
@@ -81,6 +82,7 @@ INCLUDE_LOCALE_H = @INCLUDE_LOCALE_H@
INSTOBJEXT = @INSTOBJEXT@
INTLDEPS = @INTLDEPS@
INTLOBJS = @INTLOBJS@
+LIBM = @LIBM@
LIBTOOL = @LIBTOOL@
LN_S = @LN_S@
MAINT = @MAINT@
@@ -140,58 +142,13 @@ IT_OBJS = itbl-parse.o itbl-lex.o itbl-ops.o
# CPU types. This is only used for dependency information.
-CPU_TYPES = \
- a29k \
- alpha \
- arc \
- arm \
- avr \
- d10v \
- d30v \
- fr30 \
- h8300 \
- h8500 \
- hppa \
- ia64 \
- i370 \
- i386 \
- i860 \
- i960 \
- m32r \
- m68hc11 \
- m68k \
- m88k \
- mcore \
- mips \
- mn10200 \
- mn10300 \
- ns32k \
- pj \
- ppc \
- sh \
- sparc \
- tahoe \
- tic30 \
- tic80 \
- vax \
- w65 \
- v850 \
- z8k
+CPU_TYPES = a29k alpha arc arm avr d10v d30v fr30 h8300 h8500 hppa ia64 i370 i386 i860 i960 m32r m68hc11 m68k m88k mcore mips mn10200 mn10300 ns32k pj ppc sh sparc tahoe tic30 tic54x tic80 vax w65 v850 z8k
# Object format types. This is only used for dependency information.
# We deliberately omit som, since it does not work as a cross assembler.
-OBJ_FORMATS = \
- aout \
- bout \
- coff \
- ecoff \
- elf \
- evax \
- hp300 \
- ieee \
- vms
+OBJ_FORMATS = aout bout coff ecoff elf evax hp300 ieee vms
# This is an sh case which sets valid according to whether the CPU
@@ -199,318 +156,61 @@ OBJ_FORMATS = \
# are supported. This helps cuts down on the amount of dependency
# information.
-CPU_OBJ_VALID = \
- valid= ; \
- case $$o in \
- aout) \
- case $$c in \
- a29k | arm | i386 | i860 | m68k | mips | ns32k | sparc | tahoe | tic30 | vax) \
- valid=yes ;; \
- esac ;; \
- bout) \
- case $$c in \
- i960) valid=yes ;; \
- esac ;; \
- coff) valid=yes ;; \
- ecoff) \
- case $$c in \
- mips | alpha) valid=yes ;; \
- esac ;; \
- elf) valid=yes ;; \
- evax) \
- case $$c in \
- alpha) valid=yes ;; \
- esac ;; \
- hp300) \
- case $$c in \
- m68k) valid=yes ;; \
- esac ;; \
- vms) \
- case $$c in \
- vax) valid=yes ;; \
- esac ;; \
- esac;
+CPU_OBJ_VALID = valid= ; case $$o in aout) case $$c in a29k | arm | i386 | i860 | m68k | mips | ns32k | sparc | tahoe | tic30 | vax) valid=yes ;; esac ;; bout) case $$c in i960) valid=yes ;; esac ;; coff) valid=yes ;; ecoff) case $$c in mips | alpha) valid=yes ;; esac ;; elf) valid=yes ;; evax) case $$c in alpha) valid=yes ;; esac ;; hp300) case $$c in m68k) valid=yes ;; esac ;; vms) case $$c in vax) valid=yes ;; esac ;; esac;
# These are like CPU_TYPES and CPU_OBJ_VALID, for the obj=multi case.
MULTI_CPU_TYPES = i386 mips
-MULTI_CPU_OBJ_VALID = \
- valid= ; \
- case $$o in \
- aout) \
- case $$c in \
- i386) valid=yes ;; \
- esac ;; \
- coff) valid=yes ;; \
- ecoff) \
- case $$c in \
- mips) valid=yes ;; \
- esac ;; \
- elf) valid=yes ;; \
- esac;
+MULTI_CPU_OBJ_VALID = valid= ; case $$o in aout) case $$c in i386) valid=yes ;; esac ;; coff) valid=yes ;; ecoff) case $$c in mips) valid=yes ;; esac ;; elf) valid=yes ;; esac;
# Regular source files.
-GAS_CFILES = \
- app.c \
- as.c \
- atof-generic.c \
- bignum-copy.c \
- cond.c \
- depend.c \
- dwarf2dbg.c \
- ecoff.c \
- ehopt.c \
- expr.c \
- flonum-copy.c \
- flonum-konst.c \
- flonum-mult.c \
- frags.c \
- hash.c \
- input-file.c \
- input-scrub.c \
- listing.c \
- literal.c \
- macro.c \
- messages.c \
- output-file.c \
- read.c \
- sb.c \
- stabs.c \
- subsegs.c \
- symbols.c \
- write.c
+GAS_CFILES = app.c as.c atof-generic.c bignum-copy.c cond.c depend.c dwarf2dbg.c ecoff.c ehopt.c expr.c flonum-copy.c flonum-konst.c flonum-mult.c frags.c hash.c input-file.c input-scrub.c listing.c literal.c macro.c messages.c output-file.c read.c sb.c stabs.c subsegs.c symbols.c write.c
CFILES = $(GAS_CFILES) gasp.c itbl-ops.c
-HFILES = \
- as.h \
- asintl.h \
- bignum.h \
- bit_fix.h \
- cgen.h \
- dwarf2dbg.h \
- ecoff.h \
- emul-target.h \
- emul.h \
- expr.h \
- flonum.h \
- frags.h \
- hash.h \
- input-file.h \
- itbl-ops.h \
- listing.h \
- macro.h \
- obj.h \
- output-file.h \
- read.h \
- sb.h \
- struc-symbol.h \
- subsegs.h \
- symbols.h \
- tc.h \
- write.h
+HFILES = as.h asintl.h bignum.h bit_fix.h cgen.h dwarf2dbg.h ecoff.h emul-target.h emul.h expr.h flonum.h frags.h hash.h input-file.h itbl-ops.h listing.h macro.h obj.h output-file.h read.h sb.h struc-symbol.h subsegs.h symbols.h tc.h write.h
# CPU files in config.
-TARGET_CPU_CFILES = \
- config/tc-a29k.c \
- config/tc-alpha.c \
- config/tc-arc.c \
- config/tc-arm.c \
- config/tc-avr.c \
- config/tc-d10v.c \
- config/tc-d30v.c \
- config/tc-fr30.c \
- config/tc-h8300.c \
- config/tc-h8500.c \
- config/tc-hppa.c \
- config/tc-ia64.c \
- config/tc-i370.c \
- config/tc-i386.c \
- config/tc-i860.c \
- config/tc-i960.c \
- config/tc-m32r.c \
- config/tc-m68hc11.c \
- config/tc-m68k.c \
- config/tc-m88k.c \
- config/tc-mcore.c \
- config/tc-mips.c \
- config/tc-mn10200.c \
- config/tc-mn10300.c \
- config/tc-ns32k.c \
- config/tc-pj.c \
- config/tc-ppc.c \
- config/tc-sh.c \
- config/tc-sparc.c \
- config/tc-tahoe.c \
- config/tc-tic30.c \
- config/tc-tic80.c \
- config/tc-vax.c \
- config/tc-w65.c \
- config/tc-v850.c \
- config/tc-z8k.c
-
-
-TARGET_CPU_HFILES = \
- config/tc-a29k.h \
- config/tc-alpha.h \
- config/tc-arc.h \
- config/tc-arm.h \
- config/tc-avr.h \
- config/tc-d10v.h \
- config/tc-d30v.h \
- config/tc-fr30.h \
- config/tc-h8300.h \
- config/tc-h8500.h \
- config/tc-hppa.h \
- config/tc-ia64.h \
- config/tc-i370.h \
- config/tc-i386.h \
- config/tc-i860.h \
- config/tc-i960.h \
- config/tc-m32r.h \
- config/tc-m68hc11.h \
- config/tc-m68k.h \
- config/tc-m88k.h \
- config/tc-mcore.h \
- config/tc-mips.h \
- config/tc-mn10200.h \
- config/tc-mn10300.h \
- config/tc-ns32k.h \
- config/tc-pj.h \
- config/tc-ppc.h \
- config/tc-sh.h \
- config/tc-sparc.h \
- config/tc-tahoe.h \
- config/tc-tic30.h \
- config/tc-tic80.h \
- config/tc-vax.h \
- config/tc-w65.h \
- config/tc-v850.h \
- config/tc-z8k.h
+TARGET_CPU_CFILES = config/tc-a29k.c config/tc-alpha.c config/tc-arc.c config/tc-arm.c config/tc-avr.c config/tc-d10v.c config/tc-d30v.c config/tc-fr30.c config/tc-h8300.c config/tc-h8500.c config/tc-hppa.c config/tc-ia64.c config/tc-i370.c config/tc-i386.c config/tc-i860.c config/tc-i960.c config/tc-m32r.c config/tc-m68hc11.c config/tc-m68k.c config/tc-m88k.c config/tc-mcore.c config/tc-mips.c config/tc-mn10200.c config/tc-mn10300.c config/tc-ns32k.c config/tc-pj.c config/tc-ppc.c config/tc-sh.c config/tc-sparc.c config/tc-tahoe.c config/tc-tic30.c config/tc-tic54x.c config/tc-tic80.c config/tc-vax.c config/tc-w65.c config/tc-v850.c config/tc-z8k.c
+
+
+TARGET_CPU_HFILES = config/tc-a29k.h config/tc-alpha.h config/tc-arc.h config/tc-arm.h config/tc-avr.h config/tc-d10v.h config/tc-d30v.h config/tc-fr30.h config/tc-h8300.h config/tc-h8500.h config/tc-hppa.h config/tc-ia64.h config/tc-i370.h config/tc-i386.h config/tc-i860.h config/tc-i960.h config/tc-m32r.h config/tc-m68hc11.h config/tc-m68k.h config/tc-m88k.h config/tc-mcore.h config/tc-mips.h config/tc-mn10200.h config/tc-mn10300.h config/tc-ns32k.h config/tc-pj.h config/tc-ppc.h config/tc-sh.h config/tc-sparc.h config/tc-tahoe.h config/tc-tic30.h config/tc-tic54x.h config/tc-tic80.h config/tc-vax.h config/tc-w65.h config/tc-v850.h config/tc-z8k.h
# OBJ files in config
-OBJ_FORMAT_CFILES = \
- config/obj-aout.c \
- config/obj-bout.c \
- config/obj-coff.c \
- config/obj-ecoff.c \
- config/obj-elf.c \
- config/obj-evax.c \
- config/obj-hp300.c \
- config/obj-ieee.c \
- config/obj-som.c \
- config/obj-vms.c
-
-
-OBJ_FORMAT_HFILES = \
- config/obj-aout.h \
- config/obj-bout.h \
- config/obj-coff.h \
- config/obj-ecoff.h \
- config/obj-elf.h \
- config/obj-evax.h \
- config/obj-hp300.h \
- config/obj-ieee.h \
- config/obj-som.h \
- config/obj-vms.h
+OBJ_FORMAT_CFILES = config/obj-aout.c config/obj-bout.c config/obj-coff.c config/obj-ecoff.c config/obj-elf.c config/obj-evax.c config/obj-hp300.c config/obj-ieee.c config/obj-som.c config/obj-vms.c
+
+
+OBJ_FORMAT_HFILES = config/obj-aout.h config/obj-bout.h config/obj-coff.h config/obj-ecoff.h config/obj-elf.h config/obj-evax.h config/obj-hp300.h config/obj-ieee.h config/obj-som.h config/obj-vms.h
# Emulation header files in config
-TARG_ENV_HFILES = \
- config/te-386bsd.h \
- config/te-aux.h \
- config/te-delta.h \
- config/te-delt88.h \
- config/te-dpx2.h \
- config/te-dynix.h \
- config/te-epoc-pe.h \
- config/te-generic.h \
- config/te-go32.h \
- config/te-hp300.h \
- config/te-hppa.h \
- config/te-i386aix.h \
- config/te-ic960.h \
- config/te-linux.h \
- config/te-lnews.h \
- config/te-lynx.h \
- config/te-mach.h \
- config/te-macos.h \
- config/te-nbsd.h \
- config/te-nbsd532.h \
- config/te-pc532mach.h \
- config/te-pe.h \
- config/te-ppcnw.h \
- config/te-psos.h \
- config/te-riscix.h \
- config/te-sparcaout.h \
- config/te-sun3.h \
- config/te-svr4.h \
- config/te-sysv32.h
+TARG_ENV_HFILES = config/te-386bsd.h config/te-aux.h config/te-delta.h config/te-delt88.h config/te-dpx2.h config/te-dynix.h config/te-epoc-pe.h config/te-generic.h config/te-go32.h config/te-hp300.h config/te-hppa.h config/te-i386aix.h config/te-ic960.h config/te-linux.h config/te-lnews.h config/te-lynx.h config/te-mach.h config/te-macos.h config/te-nbsd.h config/te-nbsd532.h config/te-pc532mach.h config/te-pe.h config/te-ppcnw.h config/te-psos.h config/te-riscix.h config/te-sparcaout.h config/te-sun3.h config/te-svr4.h config/te-sysv32.h
# Multi files in config
-MULTI_CFILES = \
- config/e-i386aout.c \
- config/e-i386coff.c \
- config/e-i386elf.c \
- config/e-mipsecoff.c \
- config/e-mipself.c
-
-
-CONFIG_OBJS = \
- $(TARG_CPU_O) \
- $(OBJ_FORMAT_O) \
- $(ATOF_TARG_O) \
- $(extra_objects)
-
-
-GENERIC_OBJS = \
- app.o \
- as.o \
- atof-generic.o \
- bignum-copy.o \
- cond.o \
- depend.o \
- dwarf2dbg.o \
- ehopt.o \
- expr.o \
- flonum-konst.o \
- flonum-copy.o \
- flonum-mult.o \
- frags.o \
- hash.o \
- input-file.o \
- input-scrub.o \
- literal.o \
- messages.o \
- output-file.o \
- read.o \
- subsegs.o \
- symbols.o \
- write.o \
- listing.o \
- ecoff.o \
- stabs.o \
- sb.o \
- macro.o
+MULTI_CFILES = config/e-i386aout.c config/e-i386coff.c config/e-i386elf.c config/e-mipsecoff.c config/e-mipself.c
+
+
+CONFIG_OBJS = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) $(extra_objects)
+
+
+GENERIC_OBJS = app.o as.o atof-generic.o bignum-copy.o cond.o depend.o dwarf2dbg.o ehopt.o expr.o flonum-konst.o flonum-copy.o flonum-mult.o frags.o hash.o input-file.o input-scrub.o literal.o messages.o output-file.o read.o subsegs.o symbols.o write.o listing.o ecoff.o stabs.o sb.o macro.o
OBJS = $(CONFIG_OBJS) $(GENERIC_OBJS)
-POTFILES = $(MULTI_CFILES) $(TARGET_ENV_HFILES) $(OBJ_FORMAT_HFILES) \
- $(OBJ_FORMAT_CFILES) $(TARGET_CPU_HFILES) $(TARGET_CPU_CFILES) \
- $(HFILES) $(CFILES) $(GAS_CFILES)
+POTFILES = $(MULTI_CFILES) $(TARGET_ENV_HFILES) $(OBJ_FORMAT_HFILES) $(OBJ_FORMAT_CFILES) $(TARGET_CPU_HFILES) $(TARGET_CPU_CFILES) $(HFILES) $(CFILES) $(GAS_CFILES)
noinst_PROGRAMS = as-new gasp-new
@@ -551,25 +251,19 @@ GASLIBS = @OPCODES_LIB@ @BFDLIB@ ../libiberty/libiberty.a
STAGESTUFF = *.o $(noinst_PROGRAMS)
as_new_SOURCES = $(GAS_CFILES)
-as_new_LDADD = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) \
- $(extra_objects) $(GASLIBS) $(INTLLIBS)
+as_new_LDADD = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) $(extra_objects) $(GASLIBS) $(INTLLIBS) $(LIBM)
-as_new_DEPENDENCIES = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) \
- $(extra_objects) $(GASLIBS) $(INTLDEPS)
+as_new_DEPENDENCIES = $(TARG_CPU_O) $(OBJ_FORMAT_O) $(ATOF_TARG_O) $(extra_objects) $(GASLIBS) $(INTLDEPS)
gasp_new_SOURCES = gasp.c macro.c sb.c hash.c
gasp_new_LDADD = ../libiberty/libiberty.a $(INTLLIBS)
gasp_new_DEPENDENCIES = ../libiberty/libiberty.a $(INTLDEPS)
-EXPECT = `if [ -f $${rootme}/../expect/expect ] ; then \
- echo $${rootme}/../expect/expect ; \
- else echo expect ; fi`
+EXPECT = `if [ -f $${rootme}/../expect/expect ] ; then echo $${rootme}/../expect/expect ; else echo expect ; fi`
-RUNTEST = `if [ -f $${srcdir}/../dejagnu/runtest ] ; then \
- echo $${srcdir}/../dejagnu/runtest ; else echo runtest; \
- fi`
+RUNTEST = `if [ -f $${srcdir}/../dejagnu/runtest ] ; then echo $${srcdir}/../dejagnu/runtest ; else echo runtest; fi`
RUNTESTFLAGS =
@@ -589,1345 +283,563 @@ CGEN_CPU_PREFIX = @cgen_cpu_prefix@
# Remake the info files.
-MOSTLYCLEANFILES = $(STAGESTUFF) core stamp-mk.com \
- testsuite/*.o testsuite/*.out testsuite/gas.log testsuite/gas.sum \
- testsuite/site.exp site.bak site.exp stage stage1 stage2
+MOSTLYCLEANFILES = $(STAGESTUFF) core stamp-mk.com testsuite/*.o testsuite/*.out testsuite/gas.log testsuite/gas.sum testsuite/site.exp site.bak site.exp stage stage1 stage2
CLEANFILES = dep.sed DEPTC DEPTCA DEPOBJ DEPOBJA DEP2 DEP2A DEP1 DEPA DEP DEPDIR
against = stage2
-DEP_FILE_DEPS = $(CFILES) $(HFILES) $(TARGET_CPU_CFILES) \
- $(TARGET_CPU_HFILES) $(OBJ_FORMAT_CFILES) $(OBJ_FORMAT_HFILES)
+DEP_FILE_DEPS = $(CFILES) $(HFILES) $(TARGET_CPU_CFILES) $(TARGET_CPU_HFILES) $(OBJ_FORMAT_CFILES) $(OBJ_FORMAT_HFILES)
AMKDEP = #DO NOT PUT ANYTHING BETWEEN THIS LINE AND THE MATCHING WARNING BELOW.
-DEPTC_a29k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/a29k.h
-
-DEPTC_a29k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/a29k.h
-
-DEPTC_a29k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-a29k.h emul.h $(INCDIR)/opcode/a29k.h
-
-DEPTC_alpha_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h \
- ecoff.h $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
-
-DEPTC_alpha_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h \
- $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
-
-DEPTC_alpha_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-alpha.h emul.h subsegs.h $(INCDIR)/obstack.h \
- struc-symbol.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- $(INCDIR)/opcode/alpha.h $(INCDIR)/elf/alpha.h $(INCDIR)/elf/reloc-macros.h \
- $(srcdir)/config/atof-vax.c
-
-DEPTC_alpha_evax = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-evax.h $(srcdir)/config/tc-alpha.h \
- emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h \
- ecoff.h $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
-
-DEPTC_arc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/arc.h \
- $(INCDIR)/elf/arc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_arc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-arc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/arc.h $(INCDIR)/elf/arc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_arm_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h \
- $(INCDIR)/obstack.h
-
-DEPTC_arm_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h
-
-DEPTC_arm_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-arm.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/elf/arm.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_avr_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h
+DEPTC_a29k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/a29k.h
-DEPTC_avr_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-avr.h emul.h subsegs.h $(INCDIR)/obstack.h
-
-DEPTC_d10v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d10v.h \
- $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_d10v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-d10v.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/d10v.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_d30v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d30v.h
-
-DEPTC_d30v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-d30v.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/d30v.h
-
-DEPTC_fr30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h \
- $(srcdir)/../opcodes/fr30-desc.h $(INCDIR)/opcode/cgen.h \
- $(srcdir)/../opcodes/fr30-opc.h cgen.h
-
-DEPTC_fr30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-fr30.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/symcat.h $(srcdir)/../opcodes/fr30-desc.h \
- $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/fr30-opc.h \
- cgen.h
-
-DEPTC_h8300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/h8300.h
-
-DEPTC_h8300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-h8300.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/h8300.h
-
-DEPTC_h8500_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/h8500-opc.h
-
-DEPTC_h8500_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-h8500.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/h8500-opc.h
-
-DEPTC_hppa_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h \
- $(BFDDIR)/libbfd.h $(INCDIR)/opcode/hppa.h
-
-DEPTC_hppa_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h $(BFDDIR)/libhppa.h \
- $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h emul.h \
- subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libbfd.h $(INCDIR)/opcode/hppa.h \
- dwarf2dbg.h
-
-DEPTC_ia64_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h dwarf2dbg.h subsegs.h $(INCDIR)/obstack.h
-
-DEPTC_ia64_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ia64.h emul.h dwarf2dbg.h subsegs.h \
- $(INCDIR)/obstack.h
-
-DEPTC_i370_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h \
- $(INCDIR)/opcode/i370.h
-
-DEPTC_i370_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i370.h emul.h subsegs.h $(INCDIR)/obstack.h \
- struc-symbol.h $(INCDIR)/opcode/i370.h $(INCDIR)/elf/i370.h
-
-DEPTC_i386_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h \
- $(INCDIR)/obstack.h $(INCDIR)/opcode/i386.h
-
-DEPTC_i386_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/i386.h
-
-DEPTC_i386_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i386.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/i386.h
-
-DEPTC_i860_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/i860.h
-
-DEPTC_i860_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/opcode/i860.h
-
-DEPTC_i860_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i860.h emul.h $(INCDIR)/opcode/i860.h
-
-DEPTC_i960_bout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-bout.h $(srcdir)/config/tc-i960.h \
- emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i960.h
-
-DEPTC_i960_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i960.h
-
-DEPTC_i960_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i960.h emul.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/i960.h
-
-DEPTC_m32r_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h \
- $(srcdir)/../opcodes/m32r-desc.h $(INCDIR)/opcode/cgen.h \
- $(srcdir)/../opcodes/m32r-opc.h cgen.h
-
-DEPTC_m32r_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m32r.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/symcat.h $(srcdir)/../opcodes/m32r-desc.h \
- $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/m32r-opc.h \
- cgen.h
-
-DEPTC_m68hc11_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68hc11.h $(INCDIR)/obstack.h \
- subsegs.h $(INCDIR)/opcode/m68hc11.h
-
-DEPTC_m68k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h \
- subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h
-
-DEPTC_m68k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h \
- $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h
-
-DEPTC_m68k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m68k.h emul.h $(INCDIR)/obstack.h \
- subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h \
- $(INCDIR)/elf/m68k.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_m68k_hp300 = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-hp300.h $(srcdir)/config/obj-aout.h \
- $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68k.h \
- $(srcdir)/config/m68k-parse.h
-
-DEPTC_m88k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/config/m88k-opcode.h
-
-DEPTC_m88k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m88k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/config/m88k-opcode.h
-
-DEPTC_mcore_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/mcore-opc.h
-
-DEPTC_mcore_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mcore.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/mcore-opc.h $(INCDIR)/elf/mcore.h \
- $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_mips_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h \
- $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h itbl-ops.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/elf/mips.h \
- $(INCDIR)/elf/reloc-macros.h ecoff.h $(INCDIR)/coff/sym.h \
- $(INCDIR)/coff/ecoff.h
-
-DEPTC_mips_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/mips.h itbl-ops.h $(srcdir)/config/obj-elf.h \
- $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h \
- $(INCDIR)/elf/external.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
-
-DEPTC_mips_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h \
- itbl-ops.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_mips_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mips.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/mips.h itbl-ops.h $(INCDIR)/elf/mips.h \
- $(INCDIR)/elf/reloc-macros.h ecoff.h $(INCDIR)/coff/sym.h \
- $(INCDIR)/coff/ecoff.h
-
-DEPTC_mn10200_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10200.h
-
-DEPTC_mn10200_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mn10200.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/mn10200.h
-
-DEPTC_mn10300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10300.h \
- dwarf2dbg.h
-
-DEPTC_mn10300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mn10300.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/mn10300.h dwarf2dbg.h
-
-DEPTC_ns32k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/ns32k.h \
- $(INCDIR)/obstack.h
-
-DEPTC_ns32k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/opcode/ns32k.h $(INCDIR)/obstack.h
-
-DEPTC_ns32k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ns32k.h emul.h $(INCDIR)/opcode/ns32k.h \
- $(INCDIR)/obstack.h
-
-DEPTC_pj_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/opcode/pj.h
-
-DEPTC_pj_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-pj.h emul.h $(INCDIR)/opcode/pj.h
-
-DEPTC_ppc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/ppc.h
-
-DEPTC_ppc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ppc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/ppc.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_sh_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/sh-opc.h
-
-DEPTC_sh_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-sh.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/sh-opc.h $(INCDIR)/elf/sh.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_sparc_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h \
- $(INCDIR)/obstack.h $(INCDIR)/opcode/sparc.h
-
-DEPTC_sparc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/sparc.h
-
-DEPTC_sparc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-sparc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/sparc.h $(INCDIR)/elf/sparc.h $(INCDIR)/elf/reloc-macros.h
-
-DEPTC_tahoe_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/tahoe.h
-
-DEPTC_tahoe_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/tahoe.h
-
-DEPTC_tahoe_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tahoe.h emul.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/tahoe.h
-
-DEPTC_tic30_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic30.h
-
-DEPTC_tic30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic30.h
-
-DEPTC_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tic30.h emul.h $(INCDIR)/opcode/tic30.h
-
-DEPTC_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic80.h
-
-DEPTC_tic80_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tic80.h emul.h $(INCDIR)/opcode/tic80.h
-
-DEPTC_vax_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(srcdir)/config/vax-inst.h \
- $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
-
-DEPTC_vax_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/vax.h
-
-DEPTC_vax_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-vax.h emul.h $(srcdir)/config/vax-inst.h \
- $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
-
-DEPTC_vax_vms = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def emul.h \
- $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
-
-DEPTC_w65_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/w65-opc.h
-
-DEPTC_w65_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-w65.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(srcdir)/../opcodes/w65-opc.h
-
-DEPTC_v850_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/v850.h
-
-DEPTC_v850_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-v850.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/opcode/v850.h
-
-DEPTC_z8k_coff = $(srcdir)/../opcodes/z8k-opc.h $(INCDIR)/bin-bugs.h \
- $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h
-
-DEPTC_z8k_elf = $(srcdir)/../opcodes/z8k-opc.h $(INCDIR)/bin-bugs.h \
- $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-z8k.h emul.h
-
-DEPTC_hppa_som = $(srcdir)/config/tc-hppa.h subsegs.h \
- $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h $(INCDIR)/opcode/hppa.h \
- $(BFDDIR)/som.h
-
-DEPTC_i386_multi = $(DEPTC_i386_aout) $(DEPTC_i386_coff) \
- $(DEPTC_i386_elf)
-
-DEPTC_mips_multi = $(DEPTC_mips_coff) $(DEPTC_mips_ecoff) \
- $(DEPTC_mips_elf)
-
-DEPOBJ_a29k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_a29k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_a29k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-a29k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_alpha_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_alpha_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- emul.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h $(BFDDIR)/libecoff.h
-
-DEPOBJ_alpha_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-alpha.h emul.h subsegs.h $(INCDIR)/obstack.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- $(INCDIR)/elf/alpha.h $(INCDIR)/elf/reloc-macros.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_alpha_evax = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-evax.h $(srcdir)/config/tc-alpha.h \
- emul.h
+DEPTC_a29k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/a29k.h
-DEPOBJ_arc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_arc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-arc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_arm_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_arm_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_arm_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-arm.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_avr_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_avr_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-avr.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_d10v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_d10v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-d10v.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_d30v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_d30v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-d30v.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_fr30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_fr30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-fr30.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_h8300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_h8300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-h8300.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_h8500_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_h8500_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-h8500.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_hppa_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_hppa_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h $(BFDDIR)/libhppa.h \
- $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h emul.h \
- subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
-
-DEPOBJ_ia64_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_ia64_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ia64.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_i370_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_i370_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i370.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/elf/i370.h $(INCDIR)/aout/aout64.h
-
-DEPOBJ_i386_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_i386_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_i386_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i386.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_i860_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_i860_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_i860_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i860.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_i960_bout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-bout.h $(srcdir)/config/tc-i960.h \
- emul.h $(INCDIR)/obstack.h
+DEPTC_a29k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-a29k.h emul.h $(INCDIR)/opcode/a29k.h
+
+DEPTC_alpha_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h ecoff.h $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
+
+DEPTC_alpha_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
+
+DEPTC_alpha_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-alpha.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h $(INCDIR)/opcode/alpha.h $(INCDIR)/elf/alpha.h $(INCDIR)/elf/reloc-macros.h $(srcdir)/config/atof-vax.c
+
+DEPTC_alpha_evax = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-evax.h $(srcdir)/config/tc-alpha.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h ecoff.h $(INCDIR)/opcode/alpha.h $(srcdir)/config/atof-vax.c
+
+DEPTC_arc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/arc.h $(INCDIR)/elf/arc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_arc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/arc.h $(INCDIR)/elf/arc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_arm_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_arm_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_arm_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arm.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/elf/arm.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_avr_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_avr_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-avr.h emul.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_d10v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d10v.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_d10v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d10v.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d10v.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_d30v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d30v.h
+
+DEPTC_d30v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d30v.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/d30v.h
+
+DEPTC_fr30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h $(srcdir)/../opcodes/fr30-desc.h $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/fr30-opc.h cgen.h
+
+DEPTC_fr30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-fr30.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h $(srcdir)/../opcodes/fr30-desc.h $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/fr30-opc.h cgen.h
+
+DEPTC_h8300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/h8300.h
+
+DEPTC_h8300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8300.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/h8300.h
+
+DEPTC_h8500_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/h8500-opc.h
+
+DEPTC_h8500_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8500.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/h8500-opc.h
+
+DEPTC_hppa_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h $(BFDDIR)/libbfd.h $(INCDIR)/opcode/hppa.h
+
+DEPTC_hppa_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h $(BFDDIR)/libhppa.h $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h emul.h subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libbfd.h $(INCDIR)/opcode/hppa.h dwarf2dbg.h
+
+DEPTC_ia64_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h dwarf2dbg.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_ia64_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ia64.h emul.h dwarf2dbg.h subsegs.h $(INCDIR)/obstack.h
+
+DEPTC_i370_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h $(INCDIR)/opcode/i370.h
+
+DEPTC_i370_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i370.h emul.h subsegs.h $(INCDIR)/obstack.h struc-symbol.h $(INCDIR)/opcode/i370.h $(INCDIR)/elf/i370.h
+
+DEPTC_i386_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i386.h
+
+DEPTC_i386_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i386.h
+
+DEPTC_i386_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i386.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i386.h
+
+DEPTC_i860_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/i860.h
+
+DEPTC_i860_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/i860.h
+
+DEPTC_i860_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i860.h emul.h $(INCDIR)/opcode/i860.h
+
+DEPTC_i960_bout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-bout.h $(srcdir)/config/tc-i960.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i960.h
+
+DEPTC_i960_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i960.h
+
+DEPTC_i960_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i960.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/i960.h
+
+DEPTC_m32r_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h $(srcdir)/../opcodes/m32r-desc.h $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/m32r-opc.h cgen.h
+
+DEPTC_m32r_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m32r.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/symcat.h $(srcdir)/../opcodes/m32r-desc.h $(INCDIR)/opcode/cgen.h $(srcdir)/../opcodes/m32r-opc.h cgen.h
+
+DEPTC_m68hc11_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68hc11.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68hc11.h
+
+DEPTC_m68k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h
+
+DEPTC_m68k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h
+
+DEPTC_m68k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68k.h emul.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h $(INCDIR)/elf/m68k.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_m68k_hp300 = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-hp300.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h $(INCDIR)/opcode/m68k.h $(srcdir)/config/m68k-parse.h
+
+DEPTC_m88k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/config/m88k-opcode.h
+
+DEPTC_m88k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m88k.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/config/m88k-opcode.h
+
+DEPTC_mcore_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/mcore-opc.h
+
+DEPTC_mcore_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mcore.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/mcore-opc.h $(INCDIR)/elf/mcore.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_mips_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h itbl-ops.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
+
+DEPTC_mips_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h itbl-ops.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
+
+DEPTC_mips_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h itbl-ops.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_mips_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mips.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mips.h itbl-ops.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
+
+DEPTC_mn10200_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10200.h
+
+DEPTC_mn10200_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10200.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10200.h
+
+DEPTC_mn10300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10300.h dwarf2dbg.h
+
+DEPTC_mn10300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10300.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/mn10300.h dwarf2dbg.h
+
+DEPTC_ns32k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/ns32k.h $(INCDIR)/obstack.h
+
+DEPTC_ns32k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/ns32k.h $(INCDIR)/obstack.h
+
+DEPTC_ns32k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ns32k.h emul.h $(INCDIR)/opcode/ns32k.h $(INCDIR)/obstack.h
+
+DEPTC_pj_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/pj.h
+
+DEPTC_pj_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-pj.h emul.h $(INCDIR)/opcode/pj.h
+
+DEPTC_ppc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/ppc.h
+
+DEPTC_ppc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ppc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/ppc.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_sh_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/sh-opc.h
+
+DEPTC_sh_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sh.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/sh-opc.h $(INCDIR)/elf/sh.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_sparc_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/sparc.h
+
+DEPTC_sparc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/sparc.h
+
+DEPTC_sparc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sparc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/sparc.h $(INCDIR)/elf/sparc.h $(INCDIR)/elf/reloc-macros.h
+
+DEPTC_tahoe_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/tahoe.h
+
+DEPTC_tahoe_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/tahoe.h
+
+DEPTC_tahoe_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tahoe.h emul.h $(INCDIR)/obstack.h $(INCDIR)/opcode/tahoe.h
+
+DEPTC_tic30_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic30.h
+
+DEPTC_tic30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic30.h
+
+DEPTC_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic30.h emul.h $(INCDIR)/opcode/tic30.h
+
+DEPTC_tic54x_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic54x.h
+
+DEPTC_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/opcode/tic80.h
+
+DEPTC_tic80_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic80.h emul.h $(INCDIR)/opcode/tic80.h
+
+DEPTC_vax_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
+
+DEPTC_vax_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
+
+DEPTC_vax_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-vax.h emul.h $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
+
+DEPTC_vax_vms = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def emul.h $(srcdir)/config/vax-inst.h $(INCDIR)/obstack.h $(INCDIR)/opcode/vax.h
+
+DEPTC_w65_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/w65-opc.h
+
+DEPTC_w65_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-w65.h emul.h subsegs.h $(INCDIR)/obstack.h $(srcdir)/../opcodes/w65-opc.h
+
+DEPTC_v850_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/v850.h
+
+DEPTC_v850_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-v850.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/opcode/v850.h
+
+DEPTC_z8k_coff = $(srcdir)/../opcodes/z8k-opc.h $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h
+
+DEPTC_z8k_elf = $(srcdir)/../opcodes/z8k-opc.h $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-z8k.h emul.h
+
+DEPTC_hppa_som = $(srcdir)/config/tc-hppa.h subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h $(INCDIR)/opcode/hppa.h $(BFDDIR)/som.h
+
+DEPTC_i386_multi = $(DEPTC_i386_aout) $(DEPTC_i386_coff) $(DEPTC_i386_elf)
-DEPOBJ_i960_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_i960_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-i960.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_m32r_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_m32r_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m32r.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_m68k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_m68k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_m68k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m68k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_m68k_hp300 = $(srcdir)/config/obj-aout.c $(INCDIR)/bin-bugs.h \
- $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_m88k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_m88k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-m88k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_mcore_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_mcore_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mcore.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_mips_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_mips_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_mips_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- emul.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h $(BFDDIR)/libecoff.h
-
-DEPOBJ_mips_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mips.h emul.h subsegs.h $(INCDIR)/obstack.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h \
- $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h $(INCDIR)/aout/aout64.h
-
-DEPOBJ_mn10200_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_mn10200_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mn10200.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_mn10300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_mn10300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-mn10300.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_ns32k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_ns32k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_ns32k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ns32k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_pj_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_pj_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-pj.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_ppc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_ppc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-ppc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h $(INCDIR)/aout/aout64.h
-
-DEPOBJ_sh_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_sh_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-sh.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_sparc_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_sparc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_sparc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-sparc.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_tahoe_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_tahoe_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_tahoe_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tahoe.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_tic30_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_tic30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tic30.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_tic80_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-tic80.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_vax_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h \
- $(INCDIR)/obstack.h
-
-DEPOBJ_vax_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_vax_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-vax.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_vax_vms = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def emul.h \
- subsegs.h $(INCDIR)/obstack.h
-
-DEPOBJ_w65_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_w65_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-w65.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_v850_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h \
- emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_v850_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-v850.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_z8k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
-
-DEPOBJ_z8k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h \
- $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h \
- $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h \
- $(srcdir)/config/tc-z8k.h emul.h subsegs.h $(INCDIR)/obstack.h \
- $(INCDIR)/aout/aout64.h
-
-DEPOBJ_hppa_som = $(srcdir)/config/obj-som.h subsegs.h \
- $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h $(BFDDIR)/som.h \
- $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def
-
-DEPOBJ_i386_multi = $(DEPOBJ_i386_aout) $(DEPOBJ_i386_coff) \
- $(DEPOBJ_i386_elf)
-
-DEPOBJ_mips_multi = $(DEPOBJ_mips_coff) $(DEPOBJ_mips_ecoff) \
- $(DEPOBJ_mips_elf)
-
-DEP_a29k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-
-DEP_a29k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
-
-DEP_a29k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-a29k.h
-
-DEP_alpha_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-
-DEP_alpha_ecoff = $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
-
-DEP_alpha_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-alpha.h
+DEPTC_mips_multi = $(DEPTC_mips_coff) $(DEPTC_mips_ecoff) $(DEPTC_mips_elf)
+
+DEPOBJ_a29k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_a29k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_a29k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-a29k.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_alpha_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_alpha_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h emul.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h $(BFDDIR)/libecoff.h
+
+DEPOBJ_alpha_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-alpha.h emul.h subsegs.h $(INCDIR)/obstack.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h $(INCDIR)/elf/alpha.h $(INCDIR)/elf/reloc-macros.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_alpha_evax = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-evax.h $(srcdir)/config/tc-alpha.h emul.h
+
+DEPOBJ_arc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_arc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_arm_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_arm_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_arm_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arm.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_avr_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_avr_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-avr.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_d10v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_d10v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d10v.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_d30v_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_d30v_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d30v.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_fr30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_fr30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-fr30.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_h8300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_h8300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8300.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_h8500_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_h8500_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8500.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_hppa_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_hppa_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h $(BFDDIR)/libhppa.h $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_ia64_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_ia64_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ia64.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_i370_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_i370_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i370.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/elf/i370.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_i386_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_i386_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_i386_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i386.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_i860_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_i860_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_i860_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i860.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_i960_bout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-bout.h $(srcdir)/config/tc-i960.h emul.h $(INCDIR)/obstack.h
+
+DEPOBJ_i960_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_i960_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i960.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_m32r_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_m32r_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m32r.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_m68k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_m68k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_m68k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68k.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_m68k_hp300 = $(srcdir)/config/obj-aout.c $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_m88k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_m88k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m88k.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_mcore_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_mcore_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mcore.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_mips_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_mips_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_mips_ecoff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h emul.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h $(BFDDIR)/libecoff.h
+
+DEPOBJ_mips_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mips.h emul.h subsegs.h $(INCDIR)/obstack.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h $(INCDIR)/elf/mips.h $(INCDIR)/elf/reloc-macros.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_mn10200_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_mn10200_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10200.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_mn10300_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_mn10300_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10300.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_ns32k_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_ns32k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_ns32k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ns32k.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_pj_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_pj_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-pj.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_ppc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_ppc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ppc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/elf/ppc.h $(INCDIR)/elf/reloc-macros.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_sh_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_sh_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sh.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_sparc_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_sparc_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_sparc_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sparc.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_tahoe_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_tahoe_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_tahoe_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tahoe.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_tic30_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_tic30_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_tic30_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic30.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_tic54x_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_tic80_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_tic80_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic80.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_vax_aout = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/aout/aout64.h $(INCDIR)/obstack.h
+
+DEPOBJ_vax_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_vax_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-vax.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_vax_vms = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def emul.h subsegs.h $(INCDIR)/obstack.h
+
+DEPOBJ_w65_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_w65_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-w65.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_v850_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_v850_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-v850.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_z8k_coff = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h emul.h $(INCDIR)/obstack.h subsegs.h
+
+DEPOBJ_z8k_elf = $(INCDIR)/bin-bugs.h $(INCDIR)/progress.h $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-z8k.h emul.h subsegs.h $(INCDIR)/obstack.h $(INCDIR)/aout/aout64.h
+
+DEPOBJ_hppa_som = $(srcdir)/config/obj-som.h subsegs.h $(INCDIR)/obstack.h $(BFDDIR)/libhppa.h $(BFDDIR)/som.h $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def
+
+DEPOBJ_i386_multi = $(DEPOBJ_i386_aout) $(DEPOBJ_i386_coff) $(DEPOBJ_i386_elf)
+
+DEPOBJ_mips_multi = $(DEPOBJ_mips_coff) $(DEPOBJ_mips_ecoff) $(DEPOBJ_mips_elf)
+
+DEP_a29k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-a29k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+
+DEP_a29k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-a29k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/a29k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+
+DEP_a29k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-a29k.h
+
+DEP_alpha_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-alpha.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+
+DEP_alpha_ecoff = $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-alpha.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
+
+DEP_alpha_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-alpha.h
DEP_alpha_evax = $(srcdir)/config/obj-evax.h $(srcdir)/config/tc-alpha.h
-DEP_arc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_arc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arc.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_arc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arc.h
+DEP_arc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arc.h
-DEP_arm_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_arm_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-arm.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_arm_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_arm_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-arm.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/arm.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_arm_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arm.h
+DEP_arm_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-arm.h
-DEP_avr_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_avr_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-avr.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_avr_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-avr.h
+DEP_avr_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-avr.h
-DEP_d10v_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_d10v_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d10v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_d10v_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d10v.h
+DEP_d10v_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d10v.h
-DEP_d30v_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_d30v_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-d30v.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_d30v_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d30v.h
+DEP_d30v_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-d30v.h
-DEP_fr30_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_fr30_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-fr30.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_fr30_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-fr30.h
+DEP_fr30_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-fr30.h
-DEP_h8300_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_h8300_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8300.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8300.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_h8300_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8300.h
+DEP_h8300_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8300.h
-DEP_h8500_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_h8500_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-h8500.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/h8500.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_h8500_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8500.h
+DEP_h8500_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-h8500.h
-DEP_hppa_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_hppa_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-hppa.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_hppa_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h \
- $(BFDDIR)/libhppa.h $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h
+DEP_hppa_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-hppa.h $(BFDDIR)/elf32-hppa.h $(BFDDIR)/libhppa.h $(INCDIR)/elf/hppa.h $(INCDIR)/elf/reloc-macros.h
-DEP_ia64_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_ia64_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ia64.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_ia64_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ia64.h
+DEP_ia64_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ia64.h
-DEP_i370_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_i370_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i370.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_i370_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i370.h
+DEP_i370_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i370.h
-DEP_i386_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_i386_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i386.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_i386_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_i386_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i386.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i386.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_i386_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i386.h
+DEP_i386_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i386.h
-DEP_i860_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_i860_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-i860.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_i860_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_i860_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i860.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_i860_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i860.h
+DEP_i860_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i860.h
DEP_i960_bout = $(srcdir)/config/obj-bout.h $(srcdir)/config/tc-i960.h
-DEP_i960_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_i960_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-i960.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/i960.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+
+DEP_i960_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i960.h
-DEP_i960_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-i960.h
+DEP_m32r_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_m32r_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m32r.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_m32r_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m32r.h
-DEP_m32r_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m32r.h
+DEP_m68hc11_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68hc11.h
-DEP_m68hc11_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68hc11.h
+DEP_m68k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_m68k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_m68k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_m68k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m68k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m68k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_m68k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68k.h
-DEP_m68k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m68k.h
+DEP_m68k_hp300 = $(srcdir)/config/obj-hp300.h $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_m68k_hp300 = $(srcdir)/config/obj-hp300.h $(srcdir)/config/obj-aout.h \
- $(srcdir)/config/tc-m68k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_m88k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_m88k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-m88k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/m88k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_m88k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m88k.h
-DEP_m88k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-m88k.h
+DEP_mcore_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_mcore_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mcore.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mcore.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_mcore_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mcore.h
-DEP_mcore_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mcore.h
+DEP_mips_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_mips_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-mips.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_mips_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_mips_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mips.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/mipspe.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_mips_ecoff = $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
-DEP_mips_ecoff = $(srcdir)/config/obj-ecoff.h $(srcdir)/config/tc-mips.h \
- ecoff.h $(INCDIR)/coff/sym.h $(INCDIR)/coff/ecoff.h
+DEP_mips_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mips.h
-DEP_mips_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mips.h
+DEP_mn10200_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_mn10200_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10200.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_mn10200_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10200.h
-DEP_mn10200_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10200.h
+DEP_mn10300_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_mn10300_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-mn10300.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_mn10300_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10300.h
-DEP_mn10300_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-mn10300.h
+DEP_ns32k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_ns32k_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-ns32k.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_ns32k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_ns32k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ns32k.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_ns32k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ns32k.h
-DEP_ns32k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ns32k.h
+DEP_pj_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_pj_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-pj.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_pj_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-pj.h
-DEP_pj_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-pj.h
+DEP_ppc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_ppc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-ppc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/rs6000.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_ppc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ppc.h
-DEP_ppc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-ppc.h
+DEP_sh_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_sh_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sh.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sh.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_sh_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sh.h
-DEP_sh_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sh.h
+DEP_sparc_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_sparc_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-sparc.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_sparc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_sparc_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-sparc.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/sparc.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_sparc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sparc.h
-DEP_sparc_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-sparc.h
+DEP_tahoe_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_tahoe_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tahoe.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_tahoe_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_tahoe_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tahoe.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_tahoe_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tahoe.h
-DEP_tahoe_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tahoe.h
+DEP_tic30_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_tic30_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-tic30.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_tic30_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_tic30_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic30.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic30.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_tic30_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic30.h
-DEP_tic30_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic30.h
+DEP_tic54x_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic54x.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic54x.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_tic80_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_tic80_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-tic80.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/tic80.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_tic80_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic80.h
+DEP_tic80_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-tic80.h
-DEP_vax_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h \
- $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
+DEP_vax_aout = $(srcdir)/config/obj-aout.h $(srcdir)/config/tc-vax.h $(BFDDIR)/libaout.h $(INCDIR)/bfdlink.h
-DEP_vax_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_vax_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-vax.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_vax_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-vax.h
+DEP_vax_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-vax.h
-DEP_vax_vms = $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h \
- $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def
+DEP_vax_vms = $(srcdir)/config/obj-vms.h $(srcdir)/config/tc-vax.h $(INCDIR)/aout/stab_gnu.h $(INCDIR)/aout/stab.def
-DEP_w65_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_w65_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-w65.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/w65.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_w65_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-w65.h
+DEP_w65_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-w65.h
-DEP_v850_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h \
- $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
+DEP_v850_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-v850.h $(INCDIR)/coff/internal.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_v850_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-v850.h
+DEP_v850_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-v850.h
-DEP_z8k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h \
- $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h \
- $(INCDIR)/bfdlink.h
+DEP_z8k_coff = $(srcdir)/config/obj-coff.h $(srcdir)/config/tc-z8k.h $(INCDIR)/coff/internal.h $(INCDIR)/coff/z8k.h $(BFDDIR)/libcoff.h $(INCDIR)/bfdlink.h
-DEP_z8k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h \
- $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h \
- $(INCDIR)/bfdlink.h $(srcdir)/config/tc-z8k.h
+DEP_z8k_elf = $(srcdir)/config/obj-elf.h $(BFDDIR)/elf-bfd.h $(INCDIR)/elf/common.h $(INCDIR)/elf/internal.h $(INCDIR)/elf/external.h $(INCDIR)/bfdlink.h $(srcdir)/config/tc-z8k.h
DEP_hppa_som = $(BFDDIR)/som.h
-DEP_i386_multi = $(DEP_i386_aout) $(DEP_i386_coff) \
- $(DEP_i386_elf)
+DEP_i386_multi = $(DEP_i386_aout) $(DEP_i386_coff) $(DEP_i386_elf)
-DEP_mips_multi = $(DEP_mips_coff) $(DEP_mips_ecoff) \
- $(DEP_mips_elf)
+DEP_mips_multi = $(DEP_mips_coff) $(DEP_mips_ecoff) $(DEP_mips_elf)
BMKDEP = #DO NOT PUT ANYTHING BETWEEN THIS LINE AND THE MATCHING WARNING ABOVE.
ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
@@ -1958,15 +870,14 @@ SCRIPTS = $(noinst_SCRIPTS)
LEX_OUTPUT_ROOT = @LEX_OUTPUT_ROOT@
LEXLIB = @LEXLIB@
-YLWRAP = $(top_srcdir)/../ylwrap
CFLAGS = @CFLAGS@
COMPILE = $(CC) $(DEFS) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
LTCOMPILE = $(LIBTOOL) --mode=compile $(CC) $(DEFS) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
CCLD = $(CC)
LINK = $(LIBTOOL) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(LDFLAGS) -o $@
DIST_COMMON = README ./stamp-h.in COPYING ChangeLog Makefile.am \
-Makefile.in NEWS acinclude.m4 aclocal.m4 config.in config/m68k-parse.c \
-configure configure.in gdbinit.in itbl-lex.c itbl-parse.c
+Makefile.in NEWS acinclude.m4 aclocal.m4 config.in configure \
+configure.in gdbinit.in itbl-lex.c itbl-parse.c
DISTFILES = $(DIST_COMMON) $(SOURCES) $(HEADERS) $(TEXINFOS) $(EXTRA_DIST)
@@ -2085,8 +996,10 @@ gasp-new$(EXEEXT): $(gasp_new_OBJECTS) $(gasp_new_DEPENDENCIES)
.l.c:
$(LEX) $(AM_LFLAGS) $(LFLAGS) $< && mv $(LEX_OUTPUT_ROOT).c $@
.y.c:
- $(SHELL) $(YLWRAP) "$(YACC)" $< y.tab.c $*.c y.tab.h $*.h -- $(AM_YFLAGS) $(YFLAGS)
-config/m68k-parse.h: config/m68k-parse.c
+ $(YACC) $(AM_YFLAGS) $(YFLAGS) $< && mv y.tab.c $*.c
+ if test -f y.tab.h; then \
+ if cmp -s y.tab.h $*.h; then rm -f y.tab.h; else mv y.tab.h $*.h; fi; \
+ else :; fi
itbl-parse.h: itbl-parse.c
@@ -2288,7 +1201,7 @@ uninstall: uninstall-recursive
all-am: Makefile $(PROGRAMS) $(SCRIPTS) config.h
all-redirect: all-recursive-am
install-strip:
- $(MAKE) $(AM_MAKEFLAGS) AM_INSTALL_PROGRAM_FLAGS=-s install
+ $(MAKE) $(AM_MAKEFLAGS) INSTALL_STRIP_FLAG=-s install
installdirs: installdirs-recursive
installdirs-am:
@@ -2305,7 +1218,7 @@ distclean-generic:
-test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
maintainer-clean-generic:
- -test -z "itbl-lexlconfig/m68k-parsehconfig/m68k-parsecitbl-parsehitbl-parsec" || rm -f itbl-lexl config/m68k-parseh config/m68k-parsec itbl-parseh itbl-parsec
+ -test -z "itbl-lexlitbl-parsehitbl-parsec" || rm -f itbl-lexl itbl-parseh itbl-parsec
mostlyclean-am: mostlyclean-hdr mostlyclean-noinstPROGRAMS \
mostlyclean-compile mostlyclean-libtool \
mostlyclean-tags mostlyclean-generic
diff --git a/gas/NEWS b/gas/NEWS
index 3294010..61889be 100644
--- a/gas/NEWS
+++ b/gas/NEWS
@@ -2,6 +2,8 @@
Changes in 2.11:
+Support for Texas Instruments TMS320C54x (tic54x).
+
Support for IA-64.
x86 gas has a new .arch pseudo op to specify the target CPU architecture.
diff --git a/gas/config/obj-coff.h b/gas/config/obj-coff.h
index a8d038a..a23eeac 100644
--- a/gas/config/obj-coff.h
+++ b/gas/config/obj-coff.h
@@ -149,6 +149,11 @@
#define TARGET_FORMAT "coff-tic30"
#endif
+#ifdef TC_TIC54X
+#include "coff/tic54x.h"
+#define TARGET_FORMAT "coff1-c54x"
+#endif
+
#ifdef TC_TIC80
#include "coff/tic80.h"
#define TARGET_FORMAT "coff-tic80"
diff --git a/gas/config/tc-tic54x.c b/gas/config/tc-tic54x.c
new file mode 100644
index 0000000..866604a
--- /dev/null
+++ b/gas/config/tc-tic54x.c
@@ -0,0 +1,5569 @@
+/* tc-tic54x.c -- Assembly code for the Texas Instruments TMS320C54X
+ Copyright (C) 1999, 2000 Free Software Foundation.
+ Contributed by Timothy Wall (twall@cygnus.com)
+
+ This file is part of GAS, the GNU Assembler.
+
+ GAS is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2, or (at your option)
+ any later version.
+
+ GAS is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with GAS; see the file COPYING. If not, write to the Free
+ Software Foundation, 59 Temple Place - Suite 330, Boston, MA
+ 02111-1307, USA. */
+
+/*
+ Texas Instruments TMS320C54X machine specific gas.
+ Written by Timothy Wall (twall@alum.mit.edu).
+
+ Valuable things to do:
+ Pipeline conflict warnings
+ We encode/decode "ld #_label, dp" differently in relocatable files
+ This means we're not compatible with TI output containing those
+ expressions. We store the upper nine bits; TI stores the lower nine
+ bits. How they recover the original upper nine bits is beyond me.
+
+ Tests to add to expect testsuite:
+ '=' and '==' with .if, .elseif, and .break
+
+ Incompatibilities (mostly trivial):
+ We don't allow '''
+ We fill text section with zeroes instead of "nop"s
+ We don't convert '' or "" to a single instance
+ We don't convert '' to '\0'
+ We don't allow strings with .byte/.half/.short/.long
+ Probably details of the subsym stuff are different
+ TI sets labels to be data type 4 (T_INT); GAS uses T_NULL
+ */
+
+#include <stdlib.h>
+#include <limits.h>
+#include <errno.h>
+#include "as.h"
+#include "sb.h"
+#include "macro.h"
+#include "subsegs.h"
+#include "struc-symbol.h"
+#include "opcode/tic54x.h"
+#include "obj-coff.h"
+#include <math.h>
+
+#define MAX_LINE 256 /* lines longer than this are truncated by TI's asm */
+
+const char comment_chars[] = ";";
+const char line_comment_chars[] = ";*#"; /* at column zero only */
+const char line_separator_chars[] = "";/* not permitted */
+
+/* characters which indicate that this is a floating point constant */
+const char FLT_CHARS[] = "fF";
+/* Characters that can be used to separate mantissa from exp in FP nums */
+const char EXP_CHARS[] = "eE";
+
+/* only word (et al.), align, or conditionals are allowed within
+ .struct/.union */
+#define ILLEGAL_WITHIN_STRUCT() \
+do if (current_stag != NULL){ \
+as_bad (_("pseudo-op illegal within .struct/.union"));return; } while (0)
+
+void
+md_show_usage (stream)
+ FILE *stream;
+{
+ fprintf (stream, _("C54x-specific command line options:\n"));
+ fprintf (stream, _("-mfar-mode | -mf Use extended addressing\n"));
+ fprintf (stream, _("-mcpu=<CPU version> Specify the CPU version\n"));
+ /* fprintf (stream, _("-mcoff-version={0|1|2} Select COFF version\n"));*/
+ fprintf (stream, _("-merrors-to-file <filename>\n"));
+ fprintf (stream, _("-me <filename> Redirect errors to a file\n"));
+}
+
+const char *md_shortopts = "";
+enum cpu_version {
+ VNONE = 0, V541 = 1, V542 = 2, V543 = 3, V545 = 5, V548 = 8, V549 = 9,
+ V545LP = 15, V546LP = 16
+};
+
+enum address_mode {
+ c_mode, /* 16-bit addresses */
+ far_mode /* >16-bit addresses */
+};
+
+#define OPTION_ADDRESS_MODE (OPTION_MD_BASE)
+#define OPTION_CPU_VERSION (OPTION_ADDRESS_MODE+1)
+#define OPTION_COFF_VERSION (OPTION_CPU_VERSION+1)
+#define OPTION_STDERR_TO_FILE (OPTION_COFF_VERSION+1)
+
+struct option md_longopts[] =
+{
+ { "mfar-mode", no_argument, NULL, OPTION_ADDRESS_MODE },
+ { "mf", no_argument, NULL, OPTION_ADDRESS_MODE },
+ { "mcpu", required_argument, NULL, OPTION_CPU_VERSION },
+ /* { "mcoff-version", required_argument, NULL, OPTION_COFF_VERSION },*/
+ { "merrors-to-file", required_argument, NULL, OPTION_STDERR_TO_FILE },
+ { "me", required_argument, NULL, OPTION_STDERR_TO_FILE },
+ { NULL, no_argument, NULL, 0},
+};
+
+size_t md_longopts_size = sizeof (md_longopts);
+
+static int assembly_begun = 0;
+/* Addressing mode is not entirely implemented; the latest rev of the Other
+ assembler doesn't seem to make any distinction whatsoever; all relocations
+ are stored as extended relocatiosn. Older versions used REL16 vs RELEXT16,
+ but now it seems all relocations are RELEXT16. We use all RELEXT16.
+
+ The cpu version is kind of a waste of time as well. There is one
+ instruction (RND) for LP devices only, and several for devices with
+ extended addressing only. We include it for compatibility.
+ */
+static enum address_mode amode = c_mode;
+static enum cpu_version cpu = VNONE;
+
+/* include string substitutions in listing? */
+static int listing_sslist = 0;
+/* did we do subsym substitutions on the line? */
+static int substitution_line = 0;
+/* last label seen */
+static symbolS *last_label_seen = NULL;
+/* this ensures that all new labels are unique */
+static int local_label_id;
+
+static struct hash_control *subsym_recurse_hash; /* prevent infinite recurse */
+static struct hash_control *math_hash; /* built-in math functions */
+/* allow maximum levels of macro nesting; level 0 is the main substitution
+ symbol table. The other assembler only does 32 levels, so there! */
+static struct hash_control *subsym_hash[100];
+/* keep track of local labels so we can substitute them before GAS sees them
+ since macros use their own 'namespace' for local labels, use a separate hash
+
+ We do our own local label handling 'cuz it's subtly different from the
+ stock GAS handling.
+
+ We use our own macro nesting counter, since GAS overloads it when expanding
+ other things (like conditionals and repeat loops).
+ */
+static int macro_level = 0;
+static struct hash_control *local_label_hash[100];
+/* keep track of struct/union tags */
+static struct hash_control *stag_hash;
+static struct hash_control *op_hash;
+static struct hash_control *parop_hash;
+static struct hash_control *reg_hash;
+static struct hash_control *mmreg_hash;
+static struct hash_control *cc_hash;
+static struct hash_control *cc2_hash;
+static struct hash_control *cc3_hash;
+static struct hash_control *sbit_hash;
+static struct hash_control *misc_symbol_hash;
+
+static char *subsym_substitute PARAMS ((char *line, int forced));
+static char *subsym_lookup PARAMS ((char *name, int nest_level));
+static void subsym_create_or_replace PARAMS ((char *name, char *value));
+static float math_ceil PARAMS ((float, float));
+static float math_cvi PARAMS ((float, float));
+static float math_floor PARAMS ((float, float));
+static float math_fmod PARAMS ((float, float));
+static float math_int PARAMS ((float, float));
+static float math_round PARAMS ((float, float));
+static float math_sgn PARAMS ((float, float));
+static float math_trunc PARAMS ((float, float));
+static float math_acos PARAMS ((float, float));
+static float math_asin PARAMS ((float, float));
+static float math_atan PARAMS ((float, float));
+static float math_atan2 PARAMS ((float, float));
+static float math_cosh PARAMS ((float, float));
+static float math_cos PARAMS ((float, float));
+static float math_cvf PARAMS ((float, float));
+static float math_exp PARAMS ((float, float));
+static float math_fabs PARAMS ((float, float));
+static float math_ldexp PARAMS ((float, float));
+static float math_log10 PARAMS ((float, float));
+static float math_log PARAMS ((float, float));
+static float math_max PARAMS ((float, float));
+static float math_pow PARAMS ((float, float));
+static float math_sin PARAMS ((float, float));
+static float math_sinh PARAMS ((float, float));
+static float math_sqrt PARAMS ((float, float));
+static float math_tan PARAMS ((float, float));
+static float math_tanh PARAMS ((float, float));
+
+static struct stag {
+ symbolS *sym; /* symbol for this stag; value is offset */
+ const char *name; /* shortcut to symbol name */
+ bfd_vma size; /* size of struct/union */
+ int current_bitfield_offset; /* temporary for tracking fields */
+ int is_union;
+ struct stag_field { /* list of fields */
+ const char *name;
+ bfd_vma offset; /* of start of this field */
+ int bitfield_offset; /* of start of this field */
+ struct stag *stag; /* if field is struct/union */
+ struct stag_field *next;
+ } *field;
+ /* for nesting; used only in stag construction */
+ struct stag *inner; /* enclosed .struct */
+ struct stag *outer; /* enclosing .struct */
+} *current_stag = NULL;
+
+static segT stag_saved_seg;
+static subsegT stag_saved_subseg;
+
+/* output a single character (upper octect is zero) */
+static void
+tic54x_emit_char (char c)
+{
+ expressionS exp;
+
+ exp.X_op = O_constant;
+ exp.X_add_number = c;
+ emit_expr (&exp, 2);
+}
+
+/* walk backwards in the frag chain */
+static fragS *
+frag_prev (frag, seg)
+ fragS *frag;
+ segT seg;
+{
+ segment_info_type *seginfo = seg_info (seg);
+ fragS *fragp;
+
+ for (fragp = seginfo->frchainP->frch_root;fragp;fragp = fragp->fr_next)
+ if (fragp->fr_next == frag)
+ return fragp;
+ return NULL;
+}
+
+static fragS *
+bit_offset_frag (frag, seg)
+ fragS *frag;
+ segT seg;
+{
+ while (frag != NULL)
+ {
+ if (frag->fr_fix == 0
+ && frag->fr_opcode == NULL
+ && frag->tc_frag_data == 0)
+ frag = frag_prev (frag, seg);
+ else
+ return frag;
+ }
+ return NULL;
+}
+
+/* return the number of bits allocated in the most recent word, or zero if
+ none. .field/.space/.bes may leave words partially allocated */
+static int
+frag_bit_offset (frag, seg)
+ fragS *frag;
+ segT seg;
+{
+ frag = bit_offset_frag (frag, seg);
+ if (frag)
+ {
+ return frag->fr_opcode != NULL ? -1 : frag->tc_frag_data;
+ }
+ return 0;
+}
+
+/* read an expression from a C string; returns a pointer past the end of the
+ expression */
+static char *
+parse_expression (char *str, expressionS * exp)
+{
+ char *s;
+ char *tmp;
+
+ tmp = input_line_pointer; /* Save line pointer. */
+ input_line_pointer = str;
+ expression (exp);
+ s = input_line_pointer;
+ input_line_pointer = tmp; /* Restore line pointer. */
+ return s; /* Return pointer to where parsing stopped. */
+}
+
+/* .asg "character-string"|character-string, symbol
+
+ .eval is the only pseudo-op allowed to perform arithmetic on substitution
+ symbols. all other use of symbols defined with .asg are currently
+ unsupported.
+*/
+static void
+tic54x_asg (x)
+ int x ATTRIBUTE_UNUSED;
+{
+ int c;
+ char *name;
+ char *str;
+ char *tmp;
+ int quoted = *input_line_pointer == '"';
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ if (quoted)
+ {
+ int len;
+ str = demand_copy_C_string (&len);
+ c = *input_line_pointer;
+ }
+ else
+ {
+ str = input_line_pointer;
+ while ((c = *input_line_pointer) != ',')
+ {
+ if (is_end_of_line[(int)*input_line_pointer])
+ break;
+ ++input_line_pointer;
+ }
+ *input_line_pointer = 0;
+ }
+ if (c != ',')
+ {
+ as_bad (_("Comma and symbol expected for '.asg STRING, SYMBOL'"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ name = ++input_line_pointer;
+ c = get_symbol_end (); /* Get terminator. */
+ if (!isalpha (*name))
+ {
+ as_bad ("symbols assigned with .asg must begin with a letter");
+ ignore_rest_of_line ();
+ return;
+ }
+
+ tmp = xmalloc (strlen (str) + 1);
+ strcpy (tmp, str);
+ str = tmp;
+ tmp = xmalloc (strlen (name) + 1);
+ strcpy (tmp, name);
+ name = tmp;
+ subsym_create_or_replace (name, str);
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+}
+
+/* .eval expression, symbol
+ There's something screwy about this. The other assembler sometimes does and
+ sometimes doesn't substitute symbols defined with .eval.
+ We'll put the symbols into the subsym table as well as the normal symbol
+ table, since that's what works best.
+*/
+static void
+tic54x_eval (x)
+ int x ATTRIBUTE_UNUSED;
+{
+ char c;
+ int value;
+ char *name;
+ symbolS *symbolP;
+ char valuestr[32], *tmp;
+ int quoted;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ SKIP_WHITESPACE ();
+
+ quoted = *input_line_pointer == '"';
+ if (quoted)
+ ++input_line_pointer;
+ value = get_absolute_expression ();
+ if (quoted)
+ {
+ if (*input_line_pointer != '"')
+ {
+ as_bad (_("Unterminated string after absolute expression"));
+ ignore_rest_of_line ();
+ return;
+ }
+ ++input_line_pointer;
+ }
+ if (*input_line_pointer++ != ',')
+ {
+ as_bad (_("Comma and symbol expected for '.eval EXPR, SYMBOL'"));
+ ignore_rest_of_line ();
+ return;
+ }
+ name = input_line_pointer;
+ c = get_symbol_end (); /* Get terminator. */
+ tmp = xmalloc (strlen (name)+1);
+ name = strcpy (tmp, name);
+ *input_line_pointer = c;
+
+ if (!isalpha (*name))
+ {
+ as_bad (_("symbols assigned with .eval must begin with a letter"));
+ ignore_rest_of_line ();
+ return;
+ }
+ symbolP = symbol_new (name, absolute_section,
+ (valueT) value, &zero_address_frag);
+ SF_SET_LOCAL (symbolP);
+ symbol_table_insert (symbolP);
+
+ /* The "other" assembler sometimes doesn't put .eval's in the subsym table
+ But since there's not written rule as to when, don't even bother trying
+ to match their behavior */
+ sprintf (valuestr, "%d", value);
+ tmp = xmalloc (strlen (valuestr) + 1);
+ strcpy (tmp, valuestr);
+ subsym_create_or_replace (name, tmp);
+
+ demand_empty_rest_of_line ();
+}
+
+/* .bss symbol, size [, [blocking flag] [, alignment flag]
+
+ alignment is to a longword boundary; blocking is to 128-word boundary.
+
+ 1) if there is a hole in memory, this directive should attempt to fill it
+ (not yet implemented).
+
+ 2) if the blocking flag is not set, allocate at the current SPC
+ otherwise, check to see if the current SPC plus the space to be
+ allocated crosses the page boundary (128 words).
+ if there's not enough space, create a hole and align with the next page
+ boundary.
+ (not yet implemented)
+
+ */
+static void
+tic54x_bss (x)
+ int x ATTRIBUTE_UNUSED;
+{
+ char c;
+ char *name;
+ char *p;
+ int words;
+ segT current_seg;
+ subsegT current_subseg;
+ symbolS *symbolP;
+ int block = 0;
+ int align = 0;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ current_seg = now_seg; /* save current seg. */
+ current_subseg = now_subseg; /* save current subseg. */
+
+ name = input_line_pointer;
+ c = get_symbol_end (); /* Get terminator. */
+ if (c != ',')
+ {
+ as_bad (".bss size argument missing\n");
+ ignore_rest_of_line ();
+ return;
+ }
+
+ ++input_line_pointer;
+ words = get_absolute_expression ();
+ if (words < 0)
+ {
+ as_bad (".bss size %d < 0!", words);
+ ignore_rest_of_line ();
+ return;
+ }
+
+ if (*input_line_pointer == ',')
+ {
+ /* the blocking flag may be missing */
+ ++input_line_pointer;
+ if (*input_line_pointer != ',')
+ block = get_absolute_expression ();
+ else
+ block = 0;
+
+ if (*input_line_pointer == ',')
+ {
+ ++input_line_pointer;
+ align = get_absolute_expression ();
+ }
+ else
+ align = 0;
+ }
+ else
+ block = align = 0;
+
+ subseg_set (bss_section, 0);
+ symbolP = symbol_find_or_make (name);
+
+ if (S_GET_SEGMENT (symbolP) == bss_section)
+ symbolP->sy_frag->fr_symbol = (symbolS *) NULL;
+
+ symbol_set_frag (symbolP, frag_now);
+ p = frag_var (rs_org, 1, 1, (relax_substateT) 0, symbolP,
+ (offsetT)(words << 1), (char *) 0);
+ *p = 0; /* fill char. */
+
+ S_SET_SEGMENT (symbolP, bss_section);
+
+ /* The symbol may already have been created with a preceding
+ ".globl" directive -- be careful not to step on storage class
+ in that case. Otherwise, set it to static. */
+ if (S_GET_STORAGE_CLASS (symbolP) != C_EXT)
+ S_SET_STORAGE_CLASS (symbolP, C_STAT);
+
+ if (align)
+ {
+ /* s_align eats end of line; restore it */
+ s_align_bytes (4);
+ --input_line_pointer;
+ }
+
+ if (block)
+ bss_section->flags |= SEC_BLOCK;
+
+ subseg_set (current_seg, current_subseg); /* restore current seg. */
+ demand_empty_rest_of_line ();
+}
+
+static void
+stag_add_field_symbols (struct stag *stag,
+ const char *path,
+ bfd_vma base_offset,
+ symbolS *rootsym,
+ const char *root_stag_name)
+{
+ char prefix[strlen (path) + 2];
+ struct stag_field *field = stag->field;
+
+ /* construct a symbol for every field contained within this structure
+ including fields within structure fields
+ */
+ strcpy (prefix, path);
+ if (*path)
+ strcat (prefix, ".");
+
+ while (field != NULL)
+ {
+ int len = strlen (prefix) + strlen (field->name) + 2;
+ char *name = xmalloc (len);
+ strcpy (name, prefix);
+ strcat (name, field->name);
+
+ if (rootsym == NULL)
+ {
+ symbolS *sym;
+ sym = symbol_new (name, absolute_section,
+ (field->stag ? field->offset :
+ (valueT)(base_offset + field->offset)),
+ &zero_address_frag);
+ SF_SET_LOCAL (sym);
+ symbol_table_insert (sym);
+ }
+ else
+ {
+ char *replacement = xmalloc (strlen (name) + strlen (stag->name) + 2);
+ strcpy (replacement, S_GET_NAME (rootsym));
+ strcat (replacement, "+");
+ strcat (replacement, root_stag_name);
+ strcat (replacement, name + strlen (S_GET_NAME (rootsym)));
+ hash_insert (subsym_hash[0], name, replacement);
+ }
+
+ /* recurse if the field is a structure
+ note the field offset is relative to the outermost struct
+ */
+ if (field->stag != NULL)
+ stag_add_field_symbols (field->stag, name,
+ field->offset,
+ rootsym, root_stag_name);
+ field = field->next;
+ }
+}
+
+/* keep track of stag fields so that when structures are nested we can add the
+ complete dereferencing symbols to the symbol table */
+static void
+stag_add_field (struct stag *parent, const char *name, bfd_vma offset,
+ struct stag *stag)
+{
+ struct stag_field *sfield = xmalloc (sizeof (struct stag_field));
+
+ memset (sfield, 0, sizeof (*sfield));
+ sfield->name = strcpy (xmalloc (strlen (name)+1), name);
+ sfield->offset = offset;
+ sfield->bitfield_offset = parent->current_bitfield_offset;
+ sfield->stag = stag;
+ if (parent->field == NULL)
+ parent->field = sfield;
+ else {
+ struct stag_field *sf = parent->field;
+ while (sf->next != NULL)
+ sf = sf->next;
+ sf->next = sfield;
+ }
+ /* only create a symbol for this field if the parent has no name */
+ if (!strncmp (".fake", parent->name, 5))
+ {
+ symbolS *sym = symbol_new (name, absolute_section,
+ (valueT)offset, &zero_address_frag);
+ SF_SET_LOCAL (sym);
+ symbol_table_insert (sym);
+ }
+}
+
+/* [STAG] .struct [OFFSET]
+ start defining structure offsets (symbols in absolute section)
+
+ */
+static void
+tic54x_struct (int arg)
+{
+ int start_offset = 0;
+ int is_union = arg;
+
+ if (!current_stag)
+ {
+ /* starting a new struct, switch to absolute section */
+ stag_saved_seg = now_seg;
+ stag_saved_subseg = now_subseg;
+ subseg_set (absolute_section, 0);
+ }
+ /* align the current pointer */
+ else if (current_stag->current_bitfield_offset != 0)
+ {
+ ++abs_section_offset;
+ current_stag->current_bitfield_offset = 0;
+ }
+
+ /* offset expression is only meaningful for global .structs */
+ if (!is_union)
+ {
+ /* offset is ignored in inner structs */
+ SKIP_WHITESPACE ();
+ if (!is_end_of_line[(int)*input_line_pointer])
+ start_offset = get_absolute_expression ();
+ else
+ start_offset = 0;
+ }
+
+ if (current_stag)
+ {
+ /* nesting, link to outer one */
+ current_stag->inner = (struct stag *)xmalloc (sizeof (struct stag));
+ memset (current_stag->inner, 0, sizeof (struct stag));
+ current_stag->inner->outer = current_stag;
+ current_stag = current_stag->inner;
+ if (start_offset)
+ as_warn (_("Offset on nested structures is ignored"));
+ start_offset = abs_section_offset;
+ }
+ else
+ {
+ current_stag = (struct stag *)xmalloc (sizeof (struct stag));
+ memset (current_stag, 0, sizeof (struct stag));
+ abs_section_offset = start_offset;
+ }
+ current_stag->is_union = is_union;
+
+ if (line_label == NULL)
+ {
+ static int struct_count = 0;
+ char fake[] = ".fake_stagNNNNNNN";
+ sprintf (fake, ".fake_stag%d", struct_count++);
+ current_stag->sym = symbol_new (fake, absolute_section,
+ (valueT)abs_section_offset,
+ &zero_address_frag);
+ }
+ else
+ {
+ char label[strlen (S_GET_NAME (line_label)) + 1];
+ strcpy (label, S_GET_NAME (line_label));
+ current_stag->sym = symbol_new (label, absolute_section,
+ (valueT)abs_section_offset,
+ &zero_address_frag);
+ }
+ current_stag->name = S_GET_NAME (current_stag->sym);
+ SF_SET_LOCAL (current_stag->sym);
+ /* nested .structs don't go into the symbol table */
+ if (current_stag->outer == NULL)
+ symbol_table_insert (current_stag->sym);
+
+ line_label = NULL;
+}
+
+/* [LABEL] .endstruct
+ finish defining structure offsets; optional LABEL's value will be the size
+ of the structure
+ */
+static void
+tic54x_endstruct (int is_union)
+{
+ int size;
+ const char *path =
+ !strncmp (current_stag->name, ".fake", 5) ? "" : current_stag->name;
+ if (!current_stag || current_stag->is_union != is_union)
+ {
+ as_bad (_(".end%s without preceding .%s"),
+ is_union ? "union" : "struct",
+ is_union ? "union" : "struct");
+ ignore_rest_of_line ();
+ return;
+ }
+
+ /* align end of structures */
+ if (current_stag->current_bitfield_offset)
+ {
+ ++abs_section_offset;
+ current_stag->current_bitfield_offset = 0;
+ }
+
+ if (current_stag->is_union)
+ size = current_stag->size;
+ else
+ size = abs_section_offset - S_GET_VALUE (current_stag->sym);
+ if (line_label != NULL)
+ {
+ S_SET_VALUE (line_label, size);
+ symbol_table_insert (line_label);
+ line_label = NULL;
+ }
+
+ /* union size has already been calculated */
+ if (!current_stag->is_union)
+ current_stag->size = size;
+ /* nested .structs don't get put in the stag table */
+ if (current_stag->outer == NULL)
+ {
+ hash_insert (stag_hash, current_stag->name, current_stag);
+ stag_add_field_symbols (current_stag, path,
+ S_GET_VALUE (current_stag->sym),
+ NULL, NULL);
+ }
+ current_stag = current_stag->outer;
+
+ /* if this is a nested .struct/.union, add it as a field to the enclosing
+ one. otherwise, restore the section we were in */
+ if (current_stag != NULL)
+ {
+ stag_add_field (current_stag, current_stag->inner->name,
+ S_GET_VALUE (current_stag->inner->sym),
+ current_stag->inner);
+ }
+ else
+ subseg_set (stag_saved_seg, stag_saved_subseg);
+}
+
+/* [LABEL] .tag STAG
+ Reference a structure within a structure, as a sized field with an optional
+ label.
+ If used outside of a .struct/.endstruct, overlays the given structure
+ format on the existing allocated space.
+ */
+static void
+tic54x_tag (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ char *name = input_line_pointer;
+ int c = get_symbol_end ();
+ struct stag *stag = (struct stag *)hash_find (stag_hash, name);
+
+ if (!stag)
+ {
+ if (*name)
+ as_bad (_("Unrecognized struct/union tag '%s'"), name);
+ else
+ as_bad (_(".tag requires a structure tag"));
+ ignore_rest_of_line ();
+ return;
+ }
+ if (line_label == NULL)
+ {
+ as_bad (_("Label required for .tag"));
+ ignore_rest_of_line ();
+ return;
+ }
+ else
+ {
+ char label[strlen (S_GET_NAME (line_label))+1];
+ strcpy (label, S_GET_NAME (line_label));
+ if (current_stag != NULL)
+ stag_add_field (current_stag, label,
+ abs_section_offset - S_GET_VALUE (current_stag->sym),
+ stag);
+ else
+ {
+ symbolS *sym = symbol_find (label);
+ if (!sym)
+ {
+ as_bad (_(".tag target '%s' undefined"), label);
+ ignore_rest_of_line ();
+ return;
+ }
+ stag_add_field_symbols (stag, S_GET_NAME (sym),
+ S_GET_VALUE (stag->sym), sym, stag->name);
+ }
+ }
+
+ /* bump by the struct size, but only if we're within a .struct section */
+ if (current_stag != NULL && !current_stag->is_union)
+ abs_section_offset += stag->size;
+
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+ line_label = NULL;
+}
+
+/* handle all .byte, .char, .double, .field, .float, .half, .int, .long,
+ .short, .string, .ubyte, .uchar, .uhalf, .uint, .ulong, .ushort, .uword,
+ and .word
+*/
+static void
+tic54x_struct_field (int type)
+{
+ int size;
+ int count = 1;
+ int new_bitfield_offset = 0;
+ int field_align = current_stag->current_bitfield_offset != 0;
+ int longword_align = 0;
+
+ SKIP_WHITESPACE ();
+ if (!is_end_of_line[(int)*input_line_pointer])
+ count = get_absolute_expression ();
+
+ switch (type)
+ {
+ case 'b':
+ case 'B':
+ case 'c':
+ case 'C':
+ case 'h':
+ case 'H':
+ case 'i':
+ case 'I':
+ case 's':
+ case 'S':
+ case 'w':
+ case 'W':
+ case '*': /* string */
+ size = 1;
+ break;
+ case 'f':
+ case 'l':
+ case 'L':
+ longword_align = 1;
+ size = 2;
+ break;
+ case '.': /* bitfield */
+ size = 0;
+ if (count < 1 || count > 32)
+ {
+ as_bad (_(".field count '%d' out of range (1 <= X <= 32)"), count);
+ ignore_rest_of_line ();
+ return;
+ }
+ if (current_stag->current_bitfield_offset + count > 16)
+ {
+ /* set the appropriate size and new field offset */
+ if (count == 32)
+ {
+ size = 2; count = 1;
+ }
+ else if (count > 16)
+ {
+ size = 1; count = 1;
+ new_bitfield_offset = count - 16;
+ }
+ else
+ {
+ new_bitfield_offset = count;
+ }
+ }
+ else
+ {
+ field_align = 0;
+ new_bitfield_offset = current_stag->current_bitfield_offset + count;
+ }
+ break;
+ default:
+ as_bad (_("Unrecognized field type '%c'"), type);
+ ignore_rest_of_line ();
+ return;
+ }
+
+ if (field_align)
+ {
+ /* align to the actual starting position of the field */
+ current_stag->current_bitfield_offset = 0;
+ ++abs_section_offset;
+ }
+ /* align to longword boundary */
+ if (longword_align && (abs_section_offset & 0x1))
+ ++abs_section_offset;
+
+ if (line_label == NULL)
+ {
+ static int fieldno = 0;
+ char fake[] = ".fake_fieldNNNNN";
+ sprintf (fake, ".fake_field%d", fieldno++);
+ stag_add_field (current_stag, fake,
+ abs_section_offset - S_GET_VALUE (current_stag->sym),
+ NULL);
+ }
+ else
+ {
+ char label[strlen (S_GET_NAME (line_label) + 1)];
+ strcpy (label, S_GET_NAME (line_label));
+ stag_add_field (current_stag, label,
+ abs_section_offset - S_GET_VALUE (current_stag->sym),
+ NULL);
+ }
+
+ if (current_stag->is_union)
+ {
+ /* Note we treat the element as if it were an array of COUNT */
+ if (current_stag->size < (unsigned)size * count)
+ current_stag->size = size * count;
+ }
+ else
+ {
+ abs_section_offset += (unsigned)size * count;
+ current_stag->current_bitfield_offset = new_bitfield_offset;
+ }
+ line_label = NULL;
+}
+
+/* Handle .byte, .word. .int, .long and all variants */
+int emitting_long = 0;
+static void
+tic54x_cons (int type)
+{
+ register unsigned int c;
+ int octets;
+
+ /* if we're within a .struct construct, don't actually allocate space */
+ if (current_stag != NULL)
+ {
+ tic54x_struct_field (type);
+ return;
+ }
+
+#ifdef md_flush_pending_output
+ md_flush_pending_output ();
+#endif
+
+ generate_lineno_debug ();
+
+ /* align long words to long word boundaries (4 octets) */
+ if (type == 'l' || type == 'L')
+ {
+ frag_align (2, 0, 2);
+ /* if there's a label, assign it to the first allocated word */
+ if (line_label != NULL)
+ {
+ symbol_set_frag (line_label, frag_now);
+ S_SET_VALUE (line_label, frag_now_fix ());
+ }
+ }
+
+ switch (type)
+ {
+ case 'l':
+ case 'L':
+ case 'x':
+ octets = 4;
+ break;
+ case 'b':
+ case 'B':
+ case 'c':
+ case 'C':
+ octets = 1;
+ break;
+ default:
+ octets = 2;
+ break;
+ }
+
+ do
+ {
+ if (*input_line_pointer == '"')
+ {
+ input_line_pointer++;
+ while (is_a_char (c = next_char_of_string ()))
+ tic54x_emit_char (c);
+ know (input_line_pointer[-1] == '\"');
+ }
+ else
+ {
+ expressionS exp;
+
+ input_line_pointer = parse_expression (input_line_pointer, &exp);
+ if (exp.X_op == O_constant)
+ {
+ offsetT value = exp.X_add_number;
+ /* truncate overflows */
+ switch (octets)
+ {
+ case 1:
+ if ((value > 0 && value > 0xFF)
+ || (value < 0 && value < - 0x100))
+ as_warn ("Overflow in expression, truncated to 8 bits");
+ break;
+ case 2:
+ if ((value > 0 && value > 0xFFFF)
+ || (value < 0 && value < - 0x10000))
+ as_warn ("Overflow in expression, truncated to 16 bits");
+ break;
+ }
+ }
+ if (exp.X_op != O_constant && octets < 2)
+ {
+ /* Disallow .byte with a non constant expression that will
+ require relocation. */
+ as_bad (_("Relocatable values require at least WORD storage"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ if (exp.X_op != O_constant
+ && amode == c_mode
+ && octets == 4)
+ {
+ /* FIXME -- at one point TI tools used to output REL16
+ relocations, but I don't think the latest tools do at all
+ The current tools output extended relocations regardless of
+ the addresing mode (I actually think that ".c_mode" is
+ totally ignored in the latest tools) */
+ amode = far_mode;
+ emitting_long = 1;
+ emit_expr (&exp, 4);
+ emitting_long = 0;
+ amode = c_mode;
+ }
+ else
+ {
+ emitting_long = octets == 4;
+ emit_expr (&exp, (octets == 1) ? 2 : octets);
+ emitting_long = 0;
+ }
+ }
+ }
+ while (*input_line_pointer++ == ',');
+
+ input_line_pointer--; /* Put terminator back into stream. */
+ demand_empty_rest_of_line ();
+}
+
+/* .global <symbol>[,...,<symbolN>]
+ .def <symbol>[,...,<symbolN>]
+ .ref <symbol>[,...,<symbolN>]
+
+ These all identify global symbols.
+
+ .def means the symbol is defined in the current module and can be accessed
+ by other files. The symbol should be placed in the symbol table.
+
+ .ref means the symbol is used in the current module but defined in another
+ module. The linker is to resolve this symbol's definition at link time.
+
+ .global should act as a .ref or .def, as needed.
+
+ global, def and ref all have symbol storage classes of C_EXT.
+
+ I can't identify any difference in how the "other" c54x assembler treats
+ these, so we ignore the type here.
+*/
+void
+tic54x_global (type)
+ int type;
+{
+ char *name;
+ int c;
+ symbolS *symbolP;
+
+ if (type == 'r')
+ as_warn (_("Use of .def/.ref is deprecated. Use .global instead"));
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ do
+ {
+ name = input_line_pointer;
+ c = get_symbol_end ();
+ symbolP = symbol_find_or_make (name);
+
+ *input_line_pointer = c;
+ S_SET_STORAGE_CLASS (symbolP, C_EXT);
+ if (c == ',')
+ {
+ input_line_pointer++;
+ if (is_end_of_line[(int)*input_line_pointer])
+ c = *input_line_pointer;
+ }
+ }
+ while (c == ',');
+
+ demand_empty_rest_of_line ();
+}
+
+/* remove the symbol from the local label hash lookup */
+static void
+tic54x_remove_local_label (key, value)
+ const char *key;
+ PTR value ATTRIBUTE_UNUSED;
+{
+ PTR *elem = hash_delete (local_label_hash[macro_level], key);
+ free (elem);
+}
+
+/* Reset all local labels */
+static void
+tic54x_clear_local_labels (ignored)
+ int ignored ATTRIBUTE_UNUSED;
+{
+ hash_traverse (local_label_hash[macro_level], tic54x_remove_local_label);
+}
+
+/*
+ .text
+ .data
+ .sect "section name"
+
+ Initialized section
+ make sure local labels get cleared when changing sections
+
+ ARG is 't' for text, 'd' for data, or '*' for a named section
+
+ For compatibility, '*' sections have SEC_DATA set instead of SEC_CODE
+*/
+static void
+tic54x_sect (int arg)
+{
+ ILLEGAL_WITHIN_STRUCT ();
+
+ /* local labels are cleared when changing sections */
+ tic54x_clear_local_labels (0);
+
+ if (arg == 't')
+ s_text (0);
+ else if (arg == 'd')
+ s_data (0);
+ else
+ {
+ char *name = NULL;
+ int len;
+ /* if there are quotes, remove them */
+ if (*input_line_pointer == '"')
+ {
+ name = demand_copy_C_string (&len);
+ demand_empty_rest_of_line ();
+ name = strcpy (xmalloc (len+10), name);
+ }
+ else
+ {
+ int c;
+ name = input_line_pointer;
+ c = get_symbol_end ();
+ name = strcpy (xmalloc (len+10), name);
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+ }
+ /* make sure all named initialized sections are SEC_DATA */
+ strcat (name, ",\"w\"\n");
+ input_scrub_insert_line (name);
+ obj_coff_section (0);
+
+ /* if there was a line label, make sure that it gets assigned the proper
+ section. This is for compatibility, even though the actual behavior
+ is not explicitly defined. For consistency, we make .sect behave
+ like .usect, since that is probably what people expect */
+ if (line_label != NULL)
+ {
+ S_SET_SEGMENT (line_label, now_seg);
+ symbol_set_frag (line_label, frag_now);
+ S_SET_VALUE (line_label, frag_now_fix ());
+ if (S_GET_STORAGE_CLASS (line_label) != C_EXT)
+ S_SET_STORAGE_CLASS (line_label, C_LABEL);
+ }
+ }
+}
+
+/* [symbol] .space space_in_bits
+ [symbol] .bes space_in_bits
+ BES puts the symbol at the *last* word allocated
+
+ cribbed from s_space
+*/
+static void
+tic54x_space (int arg)
+{
+ expressionS exp;
+ char *p = 0;
+ int octets = 0;
+ long words;
+ int bits_per_byte = (OCTETS_PER_BYTE * 8);
+ int bit_offset = 0;
+ symbolS *label = line_label;
+ int bes = arg;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+#ifdef md_flush_pending_output
+ md_flush_pending_output ();
+#endif
+
+ /* read the bit count */
+ expression (&exp);
+
+ /* some expressions are unresolvable until later in the assembly pass;
+ postpone until relaxation/fixup. we also have to postpone if a previous
+ partial allocation has not been completed yet.
+ */
+ if (exp.X_op != O_constant || frag_bit_offset (frag_now, now_seg) == -1)
+ {
+ struct bit_info *bi = xmalloc (sizeof (struct bit_info));
+ char *p;
+
+ bi->seg = now_seg;
+ bi->type = bes;
+ bi->sym = label;
+ p = frag_var (rs_machine_dependent,
+ 65536*2, 1, (relax_substateT) 0,
+ make_expr_symbol (&exp), (offsetT) 0,
+ (char *) bi);
+ if (p)
+ *p = 0;
+
+ return;
+ }
+
+ /* reduce the required size by any bit offsets currently left over
+ from a previous .space/.bes/.field directive */
+ bit_offset = frag_now->tc_frag_data;
+ if (bit_offset != 0 && bit_offset < 16)
+ {
+ int spare_bits = bits_per_byte - bit_offset;
+ if (spare_bits >= exp.X_add_number)
+ {
+ /* don't have to do anything; sufficient bits have already been
+ allocated; just point the label to the right place */
+ if (label != NULL)
+ {
+ symbol_set_frag (label, frag_now);
+ S_SET_VALUE (label, frag_now_fix () - 1);
+ label = NULL;
+ }
+ frag_now->tc_frag_data += exp.X_add_number;
+ goto getout;
+ }
+ exp.X_add_number -= spare_bits;
+ /* set the label to point to the first word allocated, which in this
+ case is the previous word, which was only partially filled */
+ if (!bes && label != NULL)
+ {
+ symbol_set_frag (label, frag_now);
+ S_SET_VALUE (label, frag_now_fix () - 1);
+ label = NULL;
+ }
+ }
+ /* convert bits to bytes/words and octets, rounding up */
+ words = ((exp.X_add_number + bits_per_byte - 1) / bits_per_byte);
+ /* how many do we have left over? */
+ bit_offset = exp.X_add_number % bits_per_byte;
+ octets = words * OCTETS_PER_BYTE;
+ if (octets < 0)
+ {
+ as_warn (_(".space/.bes repeat count is negative, ignored"));
+ goto getout;
+ }
+ else if (octets == 0)
+ {
+ as_warn (_(".space/.bes repeat count is zero, ignored"));
+ goto getout;
+ }
+
+ /* If we are in the absolute section, just bump the offset. */
+ if (now_seg == absolute_section)
+ {
+ abs_section_offset += words;
+ if (bes && label != NULL)
+ S_SET_VALUE (label, abs_section_offset - 1);
+ frag_now->tc_frag_data = bit_offset;
+ goto getout;
+ }
+
+ if (!need_pass_2)
+ p = frag_var (rs_fill, 1, 1,
+ (relax_substateT) 0, (symbolS *) 0,
+ (offsetT) octets, (char *) 0);
+
+ /* make note of how many bits of this word we've allocated so far */
+ frag_now->tc_frag_data = bit_offset;
+
+ /* .bes puts label at *last* word allocated */
+ if (bes && label != NULL)
+ {
+ symbol_set_frag (label, frag_now);
+ S_SET_VALUE (label, frag_now_fix ()-1);
+ }
+
+ if (p)
+ *p = 0;
+
+ getout:
+
+ demand_empty_rest_of_line ();
+}
+
+/* [symbol] .usect "section-name", size-in-words
+ [, [blocking-flag] [, alignment-flag]]
+
+ Unitialized section.
+ Non-zero blocking means that if the section would cross a page (128-word)
+ boundary, it will be page-aligned.
+ Non-zero alignment aligns on a longword boundary.
+
+ Has no effect on the current section.
+*/
+static void
+tic54x_usect (x)
+ int x ATTRIBUTE_UNUSED;
+{
+ char c;
+ char *name;
+ char *section_name;
+ char *p;
+ segT seg;
+ int size, blocking_flag, alignment_flag;
+ segT current_seg;
+ subsegT current_subseg;
+ flagword flags;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ current_seg = now_seg; /* save current seg. */
+ current_subseg = now_subseg; /* save current subseg. */
+
+ if (*input_line_pointer == '"')
+ input_line_pointer++;
+ section_name = input_line_pointer;
+ c = get_symbol_end (); /* Get terminator. */
+ input_line_pointer++; /* Skip null symbol terminator. */
+ name = xmalloc (input_line_pointer - section_name + 1);
+ strcpy (name, section_name);
+
+ if (*input_line_pointer == ',')
+ ++input_line_pointer;
+ else if (c != ',')
+ {
+ as_bad (_("Missing size argument"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ size = get_absolute_expression ();
+
+ /* read a possibly present third argument (blocking flag) */
+ if (*input_line_pointer == ',')
+ {
+ ++input_line_pointer;
+ if (*input_line_pointer != ',')
+ blocking_flag = get_absolute_expression ();
+ else
+ blocking_flag = 0;
+
+ /* read a possibly present fourth argument (alignment flag) */
+ if (*input_line_pointer == ',')
+ {
+ ++input_line_pointer;
+ alignment_flag = get_absolute_expression ();
+ }
+ else
+ alignment_flag = 0;
+ }
+ else
+ blocking_flag = alignment_flag = 0;
+
+ seg = subseg_new (name, 0);
+ flags = bfd_get_section_flags (stdoutput, seg) | SEC_ALLOC;
+
+ if (alignment_flag)
+ {
+ /* s_align eats end of line; restore it */
+ s_align_bytes (4);
+ --input_line_pointer;
+ }
+
+ if (line_label != NULL)
+ {
+ S_SET_SEGMENT (line_label, seg);
+ symbol_set_frag (line_label, frag_now);
+ S_SET_VALUE (line_label, frag_now_fix ());
+ /* set scl to label, since that's what TI does */
+ if (S_GET_STORAGE_CLASS (line_label) != C_EXT)
+ S_SET_STORAGE_CLASS (line_label, C_LABEL);
+ }
+
+ seg_info (seg)->bss = 1; /* Uninitialized data. */
+
+ p = frag_var (rs_fill, 1, 1,
+ (relax_substateT) 0, (symbolS *) line_label,
+ size * OCTETS_PER_BYTE, (char *) 0);
+ *p = 0;
+
+ if (blocking_flag)
+ flags |= SEC_BLOCK;
+
+ if (!bfd_set_section_flags (stdoutput, seg, flags))
+ as_warn ("Error setting flags for \"%s\": %s", name,
+ bfd_errmsg (bfd_get_error ()));
+
+ subseg_set (current_seg, current_subseg); /* Restore current seg. */
+ demand_empty_rest_of_line ();
+}
+
+static enum cpu_version
+lookup_version (const char *ver)
+{
+ enum cpu_version version = VNONE;
+ if (ver[0] == '5' && ver[1] == '4')
+ {
+ if (strlen (ver) == 3 &&
+ (ver[2] == '1' || ver[2] == '2' || ver[2] == '3' ||
+ ver[2] == '5' || ver[2] == '8' || ver[2] == '9'))
+ version = ver[2] - '0';
+ else if (strlen (ver) == 5 &&
+ toupper (ver[3]) == 'L' &&
+ toupper (ver[4]) == 'P' &&
+ (ver[2] == '5' || ver[2] == '6'))
+ version = ver[2] - '0' + 10;
+ }
+
+ return version;
+}
+
+static void
+set_cpu (enum cpu_version version)
+{
+ cpu = version;
+ if (version == V545LP || version == V546LP)
+ {
+ symbolS *symbolP = symbol_new ("__allow_lp", absolute_section,
+ (valueT)1, &zero_address_frag);
+ SF_SET_LOCAL (symbolP);
+ symbol_table_insert (symbolP);
+ }
+}
+
+/* .version cpu-version
+ cpu-version may be one of the following:
+ 541
+ 542
+ 543
+ 545
+ 545LP
+ 546LP
+ 548
+ 549
+
+ This is for compatibility only. It currently has no affect on assembly.
+
+ */
+static int cpu_needs_set = 1;
+static void
+tic54x_version (x)
+ int x ATTRIBUTE_UNUSED;
+{
+ enum cpu_version version = VNONE;
+ enum cpu_version old_version = cpu;
+ int c;
+ char *ver;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ SKIP_WHITESPACE ();
+ ver = input_line_pointer;
+ while (!is_end_of_line[(int)*input_line_pointer])
+ ++input_line_pointer;
+ c = *input_line_pointer;
+ *input_line_pointer = 0;
+
+ version = lookup_version (ver);
+
+ if (cpu != VNONE && cpu != version)
+ as_warn (_("CPU version has already been set"));
+
+ if (version == VNONE)
+ {
+ as_bad (_("Unrecognized version '%s'"), ver);
+ ignore_rest_of_line ();
+ return;
+ }
+ else if (assembly_begun && version != old_version)
+ {
+ as_bad (_("Changing of CPU version on the fly not supported"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ set_cpu (version);
+
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+}
+
+/* 'f' = float, 'x' = xfloat, 'd' = double, 'l' = ldouble */
+static void
+tic54x_float_cons (int type)
+{
+ if (current_stag != 0)
+ {
+ tic54x_struct_field ('f');
+ }
+
+#ifdef md_flush_pending_output
+ md_flush_pending_output ();
+#endif
+ /* align to long word boundary (4 octets) unless it's ".xfloat" */
+ if (type != 'x')
+ {
+ frag_align (2, 0, 2);
+ /* if there's a label, assign it to the first allocated word */
+ if (line_label != NULL)
+ {
+ symbol_set_frag (line_label, frag_now);
+ S_SET_VALUE (line_label, frag_now_fix ());
+ }
+ }
+
+ float_cons ('f');
+}
+
+/* The argument is capitalized if it should be zero-terminated
+ 's' is normal string with upper 8-bits zero-filled, 'p' is packed.
+ Code copied from read.c, and slightly modified so that strings are packed
+ and encoded into the correct octets.
+*/
+static void
+tic54x_stringer (int type)
+{
+ register unsigned int c;
+ char *start;
+ int append_zero = type == 'S' || type == 'P';
+ int packed = type == 'p' || type == 'P';
+ int last_char = -1; /* packed strings need two bytes at a time to encode */
+
+ if (current_stag != NULL)
+ {
+ tic54x_struct_field ('*');
+ return;
+ }
+
+#ifdef md_flush_pending_output
+ md_flush_pending_output ();
+#endif
+
+ c = ','; /* Do loop. */
+ while (c == ',')
+ {
+ SKIP_WHITESPACE ();
+ switch (*input_line_pointer)
+ {
+ default:
+ {
+ unsigned short value = get_absolute_expression ();
+ FRAG_APPEND_1_CHAR (value&0xFF);
+ FRAG_APPEND_1_CHAR ((value>>8)&0xFF);
+ break;
+ }
+ case '\"':
+ ++input_line_pointer; /*->1st char of string. */
+ start = input_line_pointer;
+ while (is_a_char (c = next_char_of_string ()))
+ {
+ if (!packed)
+ {
+ FRAG_APPEND_1_CHAR (c);
+ FRAG_APPEND_1_CHAR (0);
+ }
+ else
+ {
+ /* packed strings are filled MS octet first */
+ if (last_char == -1)
+ last_char = c;
+ else
+ {
+ FRAG_APPEND_1_CHAR (c);
+ FRAG_APPEND_1_CHAR (last_char);
+ last_char = -1;
+ }
+ }
+ }
+ if (append_zero)
+ {
+ if (packed && last_char != -1)
+ {
+ FRAG_APPEND_1_CHAR (0);
+ FRAG_APPEND_1_CHAR (last_char);
+ last_char = -1;
+ }
+ else
+ {
+ FRAG_APPEND_1_CHAR (0);
+ FRAG_APPEND_1_CHAR (0);
+ }
+ }
+ know (input_line_pointer[-1] == '\"');
+ break;
+ }
+ SKIP_WHITESPACE ();
+ c = *input_line_pointer;
+ if (!is_end_of_line[c])
+ ++input_line_pointer;
+ }
+
+ /* finish up any leftover packed string */
+ if (packed && last_char != -1)
+ {
+ FRAG_APPEND_1_CHAR (0);
+ FRAG_APPEND_1_CHAR (last_char);
+ }
+ demand_empty_rest_of_line ();
+}
+
+static void
+tic54x_p2align (arg)
+ int arg ATTRIBUTE_UNUSED;
+{
+ as_bad (_("p2align not supported on this target"));
+}
+
+static void
+tic54x_align_words (int arg)
+{
+ /* only ".align" with no argument is allowed within .struct/.union */
+ int count = arg;
+
+ if (!is_end_of_line[(int)*input_line_pointer])
+ {
+ if (arg == 2)
+ as_warn (_("Argument to .even ignored"));
+ else
+ count = get_absolute_expression ();
+ }
+
+ if (current_stag != NULL && arg == 128)
+ {
+ if (current_stag->current_bitfield_offset != 0)
+ {
+ current_stag->current_bitfield_offset = 0;
+ ++abs_section_offset;
+ }
+ demand_empty_rest_of_line ();
+ return;
+ }
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ s_align_bytes (count << 1);
+}
+
+/* initialize multiple-bit fields withing a single word of memory
+ */
+static void
+tic54x_field (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ expressionS exp;
+ int size = 16;
+ char *p;
+ valueT value;
+ symbolS *label = line_label;
+
+ if (current_stag != NULL)
+ {
+ tic54x_struct_field ('.');
+ return;
+ }
+
+ input_line_pointer = parse_expression (input_line_pointer, &exp);
+
+ if (*input_line_pointer == ',')
+ {
+ ++input_line_pointer;
+ size = get_absolute_expression ();
+ if (size < 1 || size > 32)
+ {
+ as_bad (_("Invalid field size, must be from 1 to 32"));
+ ignore_rest_of_line ();
+ return;
+ }
+ }
+
+ /* truncate values to the field width */
+ if (exp.X_op != O_constant)
+ {
+ /* if the expression value is relocatable, the field size *must* be 16 */
+ if (size != 16)
+ {
+ as_bad (_("field size must be 16 when value is relocatable"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ frag_now->tc_frag_data = 0;
+ emit_expr (&exp, 2);
+ }
+ else
+ {
+ unsigned long fmask = (size == 32) ? 0xFFFFFFFF : (1ul << size) - 1;
+ value = exp.X_add_number;
+ exp.X_add_number &= fmask;
+ if (value != (valueT)exp.X_add_number)
+ as_warn (_("field value truncated"));
+ value = exp.X_add_number;
+ /* bits are stored MS first */
+ while (size >= 16)
+ {
+ frag_now->tc_frag_data = 0;
+ p = frag_more (2);
+ md_number_to_chars (p, (value >> (size - 16)) & 0xFFFF, 2);
+ size -= 16;
+ }
+ if (size > 0)
+ {
+ int bit_offset = frag_bit_offset (frag_now, now_seg);
+ fragS *alloc_frag = bit_offset_frag (frag_now, now_seg);
+ if (bit_offset == -1)
+ {
+ struct bit_info *bi = xmalloc (sizeof (struct bit_info));
+ /* we don't know the previous offset at this time, so store the
+ info we need and figure it out later */
+ expressionS size_exp;
+ size_exp.X_op = O_constant;
+ size_exp.X_add_number = size;
+ bi->seg = now_seg;
+ bi->type = TYPE_FIELD;
+ bi->value = value;
+ p = frag_var (rs_machine_dependent,
+ 4, 1, (relax_substateT) 0,
+ make_expr_symbol (&size_exp), (offsetT) 0,
+ (char *) bi);
+ goto getout;
+ }
+ else if (bit_offset == 0 || bit_offset + size > 16)
+ {
+ /* align a new field */
+ p = frag_more (2);
+ frag_now->tc_frag_data = 0;
+ alloc_frag = frag_now;
+ }
+ else
+ {
+ /* put the new value entirely within the existing one */
+ p = alloc_frag == frag_now ?
+ frag_now->fr_literal + frag_now_fix_octets () - 2 :
+ alloc_frag->fr_literal;
+ if (label != NULL)
+ {
+ symbol_set_frag (label, alloc_frag);
+ if (alloc_frag == frag_now)
+ S_SET_VALUE (label, frag_now_fix () - 1);
+ label = NULL;
+ }
+ }
+ value <<= 16 - alloc_frag->tc_frag_data - size;
+
+ /* OR in existing value */
+ if (alloc_frag->tc_frag_data)
+ value |= ((unsigned short)p[1]<<8) | p[0];
+ md_number_to_chars (p, value, 2);
+ alloc_frag->tc_frag_data += size;
+ if (alloc_frag->tc_frag_data == 16)
+ alloc_frag->tc_frag_data = 0;
+ }
+ }
+ getout:
+ demand_empty_rest_of_line ();
+}
+
+/* Ideally, we want to check SEC_LOAD and SEC_HAS_CONTENTS, but those aren't
+ available yet. seg_info ()->bss is the next best thing */
+static int
+tic54x_initialized_section (seg)
+ segT seg;
+{
+ return !seg_info (seg)->bss;
+}
+
+/* .clink ["section name"]
+
+ Marks the section as conditionally linked (link only if contents are
+ referenced elsewhere.
+ Without a name, refers to the current initialized section.
+ Name is required for uninitialized sections.
+
+ */
+static void
+tic54x_clink (ignored)
+ int ignored ATTRIBUTE_UNUSED;
+{
+ segT seg = now_seg;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ if (*input_line_pointer == '\"')
+ {
+ char *section_name = ++input_line_pointer;
+ char *name;
+ while (is_a_char (next_char_of_string ()))
+ ;
+ know (input_line_pointer[-1] == '\"');
+ input_line_pointer[-1] = 0;
+ name = xmalloc (input_line_pointer - section_name + 1);
+ strcpy (name, section_name);
+
+ seg = bfd_get_section_by_name (stdoutput, name);
+ if (seg == NULL)
+ {
+ as_bad (_("Unrecognized section '%s'"), section_name);
+ ignore_rest_of_line ();
+ return;
+ }
+ }
+ else
+ {
+ if (!tic54x_initialized_section (seg))
+ {
+ as_bad (_("Current section is unitialized, "
+ "section name required for .clink"));
+ ignore_rest_of_line ();
+ return;
+ }
+ }
+
+ seg->flags |= SEC_CLINK;
+
+ demand_empty_rest_of_line ();
+}
+
+/* change the default include directory to be the current source file's
+ directory, instead of the current working directory. If DOT is non-zero,
+ set to "." instead */
+static void
+tic54x_set_default_include (dot)
+ int dot;
+{
+ char *dir = ".";
+ char *tmp = NULL;
+
+ if (!dot)
+ {
+ char *curfile;
+ unsigned lineno;
+
+ as_where (&curfile, &lineno);
+ dir = strcpy (xmalloc (strlen (curfile)+1), curfile);
+ tmp = strrchr (dir, '/');
+ }
+ if (tmp != NULL)
+ {
+ int len;
+ *tmp = '\0';
+ len = strlen (dir);
+ if (include_dir_count == 0)
+ {
+ include_dirs = (char **) xmalloc (sizeof (*include_dirs));
+ include_dir_count = 1;
+ }
+ include_dirs[0] = dir;
+ if (len > include_dir_maxlen)
+ include_dir_maxlen = len;
+ }
+ else if (include_dirs != NULL)
+ include_dirs[0] = ".";
+}
+
+/*
+ .include "filename" | filename
+ .copy "filename" | filename
+
+ FIXME 'include' file should be omitted from any output listing,
+ 'copy' should be included in any output listing
+ FIXME -- prevent any included files from changing listing (compat only)
+ FIXME -- need to include source file directory in search path; what's a
+ good way to do this?
+
+ Entering/exiting included/copied file clears all local labels
+*/
+static void
+tic54x_include (ignored)
+ int ignored ATTRIBUTE_UNUSED;
+{
+ char newblock[] = " .newblock\n";
+ char *filename;
+ char *input;
+ int len, c = -1;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ SKIP_WHITESPACE ();
+
+ if (*input_line_pointer == '"')
+ {
+ filename = demand_copy_C_string (&len);
+ demand_empty_rest_of_line ();
+ }
+ else
+ {
+ filename = input_line_pointer;
+ while (!is_end_of_line[(int)*input_line_pointer])
+ ++input_line_pointer;
+ c = *input_line_pointer;
+ *input_line_pointer = '\0';
+ filename = strcpy (xmalloc (strlen (filename)+1), filename);
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+ }
+ /* Insert a partial line with the filename (for the sake of s_include)
+ and a .newblock.
+ The included file will be inserted before the newblock, so that the
+ newblock is executed after the included file is processed */
+ input = xmalloc (sizeof (newblock) + strlen (filename) + 4);
+ sprintf (input, "\"%s\"\n%s", filename, newblock);
+ input_scrub_insert_line (input);
+
+ tic54x_clear_local_labels (0);
+
+ tic54x_set_default_include (0);
+
+ s_include (0);
+}
+
+static void
+tic54x_message (int type)
+{
+ char *msg;
+ char c;
+ int len;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ if (*input_line_pointer == '"')
+ msg = demand_copy_C_string (&len);
+ else
+ {
+ msg = input_line_pointer;
+ while (! is_end_of_line[(int)*input_line_pointer])
+ ++input_line_pointer;
+ c = *input_line_pointer;
+ *input_line_pointer = 0;
+ msg = strcpy (xmalloc (strlen (msg) + 1), msg);
+ *input_line_pointer = c;
+ }
+
+ switch (type)
+ {
+ case 'm':
+ as_tsktsk ("%s", msg);
+ break;
+ case 'w':
+ as_warn ("%s", msg);
+ break;
+ case 'e':
+ as_bad ("%s", msg);
+ break;
+ }
+
+ demand_empty_rest_of_line ();
+}
+
+/* .label <symbol>
+ define a special symbol that refers to the loadtime address rather than the
+ runtime address within the current section.
+
+ This symbol gets a special storage class so that when it is resolved, it is
+ resolved relative to the load address (lma) of the section rather than the
+ run address (vma)
+ */
+static void
+tic54x_label (ignored)
+ int ignored ATTRIBUTE_UNUSED;
+{
+ char *name = input_line_pointer;
+ symbolS *symbolP;
+ int c;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ c = get_symbol_end ();
+ symbolP = colon (name);
+ S_SET_STORAGE_CLASS (symbolP, C_STATLAB);
+
+ *input_line_pointer = c;
+ demand_empty_rest_of_line ();
+}
+
+/*
+ .mmregs
+ install all memory-mapped register names into the symbol table as absolute
+ local symbols.
+ */
+static void
+tic54x_mmregs (ignored)
+ int ignored ATTRIBUTE_UNUSED;
+{
+ symbol *sym;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ for (sym = (symbol *)mmregs; sym->name; sym++)
+ {
+ symbolS *symbolP = symbol_new (sym->name, absolute_section,
+ (valueT) sym->value, &zero_address_frag);
+ SF_SET_LOCAL (symbolP);
+ symbol_table_insert (symbolP);
+ }
+}
+
+/*
+ .loop [count]
+ count defaults to 1024
+ */
+static void
+tic54x_loop (int count)
+{
+ ILLEGAL_WITHIN_STRUCT ();
+
+ SKIP_WHITESPACE ();
+ if (!is_end_of_line[(int)*input_line_pointer])
+ count = get_absolute_expression ();
+
+ do_repeat (count, "LOOP", "ENDLOOP");
+}
+
+/* normally, endloop gets eaten by the preceding loop */
+static void
+tic54x_endloop (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ as_bad (_("ENDLOOP without corresponding LOOP"));
+ ignore_rest_of_line ();
+}
+
+/*
+ .break [condition]
+*/
+static void
+tic54x_break (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ int cond = 1;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ SKIP_WHITESPACE ();
+ if (!is_end_of_line[(int)*input_line_pointer])
+ {
+ cond = get_absolute_expression ();
+ }
+ if (cond)
+ {
+ end_repeat (substitution_line ? 1 : 0);
+ }
+}
+
+static void
+set_address_mode (mode)
+ int mode;
+{
+ amode = mode;
+ if (mode == far_mode)
+ {
+ symbolS *symbolP = symbol_new ("__allow_far", absolute_section,
+ (valueT)1, &zero_address_frag);
+ SF_SET_LOCAL (symbolP);
+ symbol_table_insert (symbolP);
+ }
+}
+
+static int address_mode_needs_set = 1;
+static void
+tic54x_address_mode (mode)
+ int mode;
+{
+ if (assembly_begun && amode != (unsigned)mode)
+ {
+ as_bad (_("Mixing of normal and extended addressing not supported"));
+ ignore_rest_of_line ();
+ return;
+ }
+ if (mode == far_mode && cpu != VNONE && cpu != V548 && cpu != V549)
+ {
+ as_bad (_("Extended addressing not supported on the specified CPU"));
+ ignore_rest_of_line ();
+ return;
+ }
+
+ set_address_mode (mode);
+ demand_empty_rest_of_line ();
+}
+
+/* .sblock "section"|section [,...,"section"|section]
+ designate initialized sections for blocking
+ */
+static void
+tic54x_sblock (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ int c = ',';
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ while (c == ',')
+ {
+ segT seg;
+ char *name;
+
+ if (*input_line_pointer == '"')
+ {
+ int len;
+ name = demand_copy_C_string (&len);
+ }
+ else
+ {
+ char *section_name = input_line_pointer;
+ c = get_symbol_end ();
+ name = xmalloc (strlen (section_name)+1);
+ strcpy (name, section_name);
+ *input_line_pointer = c;
+ }
+
+ seg = bfd_get_section_by_name (stdoutput, name);
+ if (seg == NULL)
+ {
+ as_bad (_("Unrecognized section '%s'"), name);
+ ignore_rest_of_line ();
+ return;
+ }
+ else if (!tic54x_initialized_section (seg))
+ {
+ as_bad (_(".sblock may be used for initialized sections only"));
+ ignore_rest_of_line ();
+ return;
+ }
+ seg->flags |= SEC_BLOCK;
+
+ c = *input_line_pointer;
+ if (!is_end_of_line[(int)c])
+ ++input_line_pointer;
+ }
+
+ demand_empty_rest_of_line ();
+}
+
+/* symbol .set value
+ symbol .equ value
+
+ value must be defined externals; no forward-referencing allowed
+ symbols assigned with .set/.equ may not be redefined
+*/
+static void
+tic54x_set (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ symbolS *symbolP;
+ char *name;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ if (!line_label)
+ {
+ as_bad (_("Symbol missing for .set/.equ"));
+ ignore_rest_of_line ();
+ return;
+ }
+ name = xstrdup (S_GET_NAME (line_label));
+ line_label = NULL;
+ if ((symbolP = symbol_find (name)) == NULL
+ && (symbolP = md_undefined_symbol (name)) == NULL)
+ {
+ symbolP = symbol_new (name, absolute_section, 0, &zero_address_frag);
+ S_SET_STORAGE_CLASS (symbolP, C_STAT);
+ }
+ free (name);
+ S_SET_DATA_TYPE (symbolP, T_INT);
+ S_SET_SEGMENT (symbolP, absolute_section);
+ symbol_table_insert (symbolP);
+ pseudo_set (symbolP);
+ demand_empty_rest_of_line ();
+}
+
+/* .fclist
+ .fcnolist
+ list false conditional blocks
+*/
+static void
+tic54x_fclist (int show)
+{
+ if (show)
+ listing &= ~LISTING_NOCOND;
+ else
+ listing |= LISTING_NOCOND;
+ demand_empty_rest_of_line ();
+}
+
+static void
+tic54x_sslist (int show)
+{
+ ILLEGAL_WITHIN_STRUCT ();
+
+ listing_sslist = show;
+}
+
+/* .var SYM[,...,SYMN]
+ define a substitution string to be local to a macro
+*/
+static void
+tic54x_var (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ static char empty[] = "";
+ char *name;
+ int c;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ if (macro_level == 0)
+ {
+ as_bad (_(".var may only be used within a macro definition"));
+ ignore_rest_of_line ();
+ return;
+ }
+ do
+ {
+ if (!isalpha (*input_line_pointer))
+ {
+ as_bad (_("Substitution symbols must begin with a letter"));
+ ignore_rest_of_line ();
+ return;
+ }
+ name = input_line_pointer;
+ c = get_symbol_end ();
+ /* .var symbols start out with a null string */
+ name = strcpy (xmalloc (strlen (name)+1), name);
+ hash_insert (subsym_hash[macro_level], name, empty);
+ *input_line_pointer = c;
+ if (c == ',')
+ {
+ ++input_line_pointer;
+ if (is_end_of_line[(int)*input_line_pointer])
+ c = *input_line_pointer;
+ }
+ }
+ while (c == ',');
+
+ demand_empty_rest_of_line ();
+}
+
+/* .mlib <macro library filename>
+
+ Macro libraries are archived (standard AR-format) text macro definitions
+ Expand the file and include it.
+
+ FIXME need to try the source file directory as well
+ */
+static void
+tic54x_mlib (ignore)
+ int ignore ATTRIBUTE_UNUSED;
+{
+ char *filename;
+ char *path;
+ int len, i;
+ bfd *abfd, *mbfd;
+
+ ILLEGAL_WITHIN_STRUCT ();
+
+ /* parse the filename */
+ if (*input_line_pointer == '"')
+ {
+ if ((filename = demand_copy_C_string (&len)) == NULL)
+ return;
+ }
+ else
+ {
+ SKIP_WHITESPACE ();
+ len = 0;
+ while (! is_end_of_line[(int)*input_line_pointer]
+ && !isspace (*input_line_pointer))
+ {
+ obstack_1grow (&notes, *input_line_pointer);
+ ++input_line_pointer;
+ ++len;
+ }
+ obstack_1grow (&notes, '\0');
+ filename = obstack_finish (&notes);
+ }
+ demand_empty_rest_of_line ();
+
+ tic54x_set_default_include (0);
+ path = xmalloc ((unsigned long) len + include_dir_maxlen + 5);
+ for (i=0;i < include_dir_count; i++)
+ {
+ FILE *try;
+ strcpy (path, include_dirs[i]);
+ strcat (path, "/");
+ strcat (path, filename);
+ if ((try = fopen (path, "r")) != NULL)
+ {
+ fclose (try);
+ break;
+ }
+ }
+ if (i >= include_dir_count)
+ {
+ free (path);
+ path = filename;
+ }
+
+ /* FIXME: if path is found, malloc'd storage is not freed. Of course, this
+ happens all over the place, and since the assembler doesn't usually keep
+ running for a very long time, it really doesn't matter... */
+ register_dependency (path);
+
+ /* expand all archive entries to temporary files and include them */
+ abfd = bfd_openr (path, NULL);
+ if (!abfd)
+ {
+ as_bad (_("Can't open macro library file '%s' for reading."), path);
+ as_perror ("%s", path);
+ ignore_rest_of_line ();
+ return;
+ }
+ if (!bfd_check_format (abfd, bfd_archive))
+ {
+ as_bad (_("File '%s' not in macro archive format"), path);
+ ignore_rest_of_line ();
+ return;
+ }
+
+ /* open each BFD as binary (it should be straight ASCII text) */
+ for (mbfd = bfd_openr_next_archived_file (abfd, NULL);
+ mbfd != NULL; mbfd = bfd_openr_next_archived_file (abfd, mbfd))
+ {
+ /* get a size at least as big as the archive member */
+ bfd_size_type size = bfd_get_size (mbfd);
+ char *buf = xmalloc (size);
+ char *fname = tmpnam (NULL);
+ FILE *ftmp;
+
+ /* we're not sure how big it is, but it will be smaller than "size" */
+ bfd_read (buf, size, 1, mbfd);
+
+ /* write to a temporary file, then use s_include to include it
+ a bit of a hack...
+ */
+ ftmp = fopen (fname, "w+b");
+ fwrite ((void *)buf, size, 1, ftmp);
+ if (buf[size-1] != '\n')
+ fwrite ("\n", 1, 1, ftmp);
+ fclose (ftmp);
+ free (buf);
+ input_scrub_insert_file (fname);
+ unlink (fname);
+ }
+}
+
+const pseudo_typeS md_pseudo_table[] =
+{
+ { "algebraic", s_ignore, 0 },
+ { "align", tic54x_align_words, 128 },
+ { "even", tic54x_align_words, 2 },
+ { "asg", tic54x_asg, 0 },
+ { "eval", tic54x_eval, 0 },
+ { "bss", tic54x_bss, 0 },
+ { "byte", tic54x_cons, 'b' },
+ { "ubyte", tic54x_cons, 'B' },
+ { "char", tic54x_cons, 'c' },
+ { "uchar", tic54x_cons, 'C' },
+ { "clink", tic54x_clink, 0 },
+ { "c_mode", tic54x_address_mode, c_mode },
+ { "copy", tic54x_include, 'c' },
+ { "include", tic54x_include, 'i' },
+ { "data", tic54x_sect, 'd' },
+ { "double", tic54x_float_cons, 'd' },
+ { "ldouble", tic54x_float_cons, 'l' },
+ { "drlist", s_ignore, 0 },
+ { "drnolist", s_ignore, 0 },
+ { "emsg", tic54x_message, 'e' },
+ { "mmsg", tic54x_message, 'm' },
+ { "wmsg", tic54x_message, 'w' },
+ /*{ "end", s_end, 0 }, */
+ { "far_mode", tic54x_address_mode, far_mode },
+ { "fclist", tic54x_fclist, 1 },
+ { "fcnolist", tic54x_fclist, 0 },
+ { "field", tic54x_field, -1 },
+ { "float", tic54x_float_cons, 'f' },
+ { "xfloat", tic54x_float_cons, 'x' },
+ { "global", tic54x_global, 'g' },
+ { "def", tic54x_global, 'd' },
+ { "ref", tic54x_global, 'r' },
+ { "half", tic54x_cons, 'h' },
+ { "uhalf", tic54x_cons, 'H' },
+ { "short", tic54x_cons, 's' },
+ { "ushort", tic54x_cons, 'S' },
+ { "if", s_if, (int)O_ne },
+ { "elseif", s_elseif, (int)O_ne },
+ { "else", s_else, 0 },
+ { "endif", s_endif, 0 },
+ { "int", tic54x_cons, 'i' },
+ { "uint", tic54x_cons, 'I' },
+ { "word", tic54x_cons, 'w' },
+ { "uword", tic54x_cons, 'W' },
+ { "label", tic54x_label, 0 }, /* loadtime address */
+ { "length", s_ignore, 0 },
+ { "width", s_ignore, 0 },
+ /*{ "list", listing_list, 1 }, */
+ /*{ "nolist", listing_list, 0 }, */
+ { "long", tic54x_cons, 'l' },
+ { "ulong", tic54x_cons, 'L' },
+ { "xlong", tic54x_cons, 'x' },
+ { "loop", tic54x_loop, 1024 },
+ { "break", tic54x_break, 0 },
+ { "endloop", tic54x_endloop, 0 },
+ { "mlib", tic54x_mlib, 0 },
+ { "mlist", s_ignore, 0 },
+ { "mnolist", s_ignore, 0 },
+ { "mmregs", tic54x_mmregs, 0 },
+ { "newblock", tic54x_clear_local_labels, 0 },
+ { "option", s_ignore, 0 },
+ { "p2align", tic54x_p2align, 0 },
+ /*{ "page", listing_eject, 0 }, */
+ { "sblock", tic54x_sblock, 0 },
+ { "sect", tic54x_sect, '*' },
+ { "set", tic54x_set, 0 },
+ { "equ", tic54x_set, 0 },
+ { "space", tic54x_space, 0 },
+ { "bes", tic54x_space, 1 },
+ { "sslist", tic54x_sslist, 1 },
+ { "ssnolist", tic54x_sslist, 0 },
+ { "string", tic54x_stringer, 's' },
+ { "pstring", tic54x_stringer, 'p' },
+ { "struct", tic54x_struct, 0 },
+ { "tag", tic54x_tag, 0 },
+ { "endstruct", tic54x_endstruct, 0 },
+ { "tab", s_ignore, 0 },
+ { "text", tic54x_sect, 't' },
+ /*{ "title", listing_title, 0 }, */
+ { "union", tic54x_struct, 1 },
+ { "endunion", tic54x_endstruct, 1 },
+ { "usect", tic54x_usect, 0 },
+ { "var", tic54x_var, 0 },
+ { "version", tic54x_version, 0 },
+ {0, 0, 0}
+};
+
+/* for debugging, strings for each operand type */
+#if 0
+static const char *optypes[] =
+{
+ "none", "Xmem", "Ymem", "pmad", "dmad", "Smem", "Lmem", "MMR", "PA",
+ "Sind", "xpmad", "xpmad+", "MMRX", "MMRY",
+ "SRC1", "SRC", "RND", "DST",
+ "ARX",
+ "SHIFT", "SHFT",
+ "B", "A", "lk", "TS", "k8", "16", "BITC", "CC", "CC2", "CC3", "123", "031",
+ "k5", "k8u", "ASM", "T", "DP", "ARP", "k3", "lku", "N", "SBIT", "12",
+ "k9", "TRN",
+};
+#endif
+
+int
+md_parse_option (c, arg)
+ int c;
+ char *arg;
+{
+ switch (c)
+ {
+ default:
+ return 0;
+ case OPTION_COFF_VERSION:
+ {
+ int version = atoi (arg);
+ if (version != 0 && version != 1 && version != 2)
+ as_fatal (_("Bad COFF version '%s'"), arg);
+ /* FIXME -- not yet implemented */
+ break;
+ }
+ case OPTION_CPU_VERSION:
+ {
+ cpu = lookup_version (arg);
+ cpu_needs_set = 1;
+ if (cpu == VNONE)
+ as_fatal (_("Bad CPU version '%s'"), arg);
+ break;
+ }
+ case OPTION_ADDRESS_MODE:
+ amode = far_mode;
+ address_mode_needs_set = 1;
+ break;
+ case OPTION_STDERR_TO_FILE:
+ {
+ char *filename = arg;
+ FILE *fp = fopen (filename, "w+");
+ if (fp == NULL)
+ as_fatal (_("Can't redirect stderr to the file '%s'"), filename);
+ fclose (fp);
+ if ((fp = freopen (filename, "w+", stderr)) == NULL)
+ as_fatal (_("Can't redirect stderr to the file '%s'"), filename);
+ break;
+ }
+ }
+
+ return 1;
+}
+
+/* create a "local" substitution string hash table for a new macro level
+ Some docs imply that macros have to use .newblock in order to be able
+ to re-use a local label. We effectively do an automatic .newblock by
+ deleting the local label hash between macro invocations.
+ */
+void
+tic54x_macro_start ()
+{
+ ++macro_level;
+ subsym_hash[macro_level] = hash_new ();
+ local_label_hash[macro_level] = hash_new ();
+}
+
+void
+tic54x_macro_info (void *info)
+{
+ struct formal_struct
+ {
+ struct formal_struct *next; /* next formal in list */
+ sb name; /* name of the formal */
+ sb def; /* the default value */
+ sb actual; /* the actual argument (changed on each expansion) */
+ int index; /* the index of the formal 0..formal_count-1 */
+ } *entry;
+ struct macro_struct
+ {
+ sb sub; /* substitution text. */
+ int formal_count; /* number of formal args. */
+ struct formal_struct *formals; /* pointer to list of formal_structs */
+ struct hash_control *formal_hash; /* hash table of formals. */
+ } *macro;
+
+ macro = (struct macro_struct *)info;
+
+ /* put the formal args into the substitution symbol table */
+ for (entry = macro->formals; entry; entry = entry->next)
+ {
+ char *name = strncpy (xmalloc (entry->name.len + 1),
+ entry->name.ptr, entry->name.len);
+ char *value = strncpy (xmalloc (entry->actual.len + 1),
+ entry->actual.ptr, entry->actual.len);
+ name[entry->name.len] = '\0';
+ value[entry->actual.len] = '\0';
+ hash_insert (subsym_hash[macro_level], name, value);
+ }
+}
+
+/* get rid of this macro's .var's, arguments, and local labels */
+void
+tic54x_macro_end ()
+{
+ hash_die (subsym_hash[macro_level]);
+ subsym_hash[macro_level] = NULL;
+ hash_die (local_label_hash[macro_level]);
+ local_label_hash[macro_level] = NULL;
+ --macro_level;
+}
+
+static int
+subsym_symlen (a, ignore)
+ char *a;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ return strlen (a);
+}
+
+/* compare symbol A to string B */
+static int
+subsym_symcmp (char *a, char *b)
+{
+ return strcmp (a, b);
+}
+
+/* return the index of the first occurence of B in A, or zero if none
+ assumes b is an integer char value as a string. index is one-based */
+static int
+subsym_firstch (char *a, char *b)
+{
+ int val = atoi (b);
+ char *tmp = strchr (a, val);
+
+ return tmp ? tmp - a + 1 : 0;
+}
+
+/* similar to firstch, but returns index of last occurrence of B in A */
+static int
+subsym_lastch (a, b)
+ char *a;
+ char *b;
+{
+ int val = atoi (b);
+ char *tmp = strrchr (a, val);
+
+ return tmp ? tmp - a + 1 : 0;
+}
+
+/* returns 1 if string A is defined in the symbol table (NOT the substitution
+ symbol table) */
+static int
+subsym_isdefed (a, ignore)
+ char *a;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ symbolS *symbolP = symbol_find (a);
+
+ return symbolP != NULL;
+}
+
+/* assign first member of comma-separated list B (e.g. "1,2,3") to the symbol
+ A, or zero if B is a null string. Both arguments *must* be substitution
+ symbols, unsubstituted */
+static int
+subsym_ismember (char *symbol, char *list)
+{
+ char *elem, *ptr, *listv;
+
+ if (!list)
+ return 0;
+
+ listv = subsym_lookup (list, macro_level);
+ if (!listv)
+ {
+ as_bad (_("Undefined substitution symbol '%s'"), list);
+ ignore_rest_of_line ();
+ return 0;
+ }
+
+ ptr = elem = xmalloc (strlen (listv)+1);
+ strcpy (elem, listv);
+ while (*ptr && *ptr != ',')
+ ++ptr;
+ *ptr++ = 0;
+
+ subsym_create_or_replace (symbol, elem);
+
+ /* reassign the list */
+ subsym_create_or_replace (list, ptr);
+
+ /* assume this value, docs aren't clear */
+ return *list != 0;
+}
+
+/* return zero if not a constant; otherwise:
+ 1 if binary
+ 2 if octal
+ 3 if hexadecimal
+ 4 if character
+ 5 if decimal
+*/
+static int
+subsym_iscons (a, ignore)
+ char *a;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ expressionS exp;
+
+ parse_expression (a, &exp);
+
+ if (exp.X_op == O_constant)
+ {
+ int len = strlen (a);
+
+ switch (toupper (a[len-1]))
+ {
+ case 'B':
+ return 1;
+ case 'Q':
+ return 2;
+ case 'H':
+ return 3;
+ case '\'':
+ return 4;
+ default:
+ break;
+ }
+ /* no suffix; either octal, hex, or decimal */
+ if (*a == '0' && len > 1)
+ {
+ if (toupper (a[1]) == 'X')
+ return 3;
+ return 2;
+ }
+ return 5;
+ }
+
+ return 0;
+}
+
+/* return 1 if A is a valid symbol name. Expects string input */
+static int
+subsym_isname (a, ignore)
+ char *a;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ if (!is_name_beginner (*a))
+ return 0;
+ while (*a)
+ {
+ if (!is_part_of_name (*a))
+ return 0;
+ ++a;
+ }
+ return 1;
+}
+
+/* return whether the string is a register; accepts ar0-7, unless .mmregs has
+ been seen; if so, recognize any memory-mapped register.
+ Note this does not recognize "A" or "B" accumulators */
+static int
+subsym_isreg (a, ignore)
+ char *a;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ if (hash_find (reg_hash, a))
+ return 1;
+ if (hash_find (mmreg_hash, a))
+ return 1;
+ return 0;
+}
+
+/* Return the structrure size, given the stag */
+static int
+subsym_structsz (name, ignore)
+ char *name;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ struct stag *stag = (struct stag *)hash_find (stag_hash, name);
+ if (stag)
+ return stag->size;
+
+ return 0;
+}
+
+/* If anybody actually uses this, they can fix it :)
+ FIXME I'm not sure what the "reference point" of a structure is. It might
+ be either the initial offset given .struct, or it may be the offset of the
+ structure within another structure, or it might be something else
+ altogether. since the TI assembler doesn't seem to ever do anything but
+ return zero, we punt and return zero.
+ */
+static int
+subsym_structacc (stag_name, ignore)
+ char *stag_name ATTRIBUTE_UNUSED;
+ char *ignore ATTRIBUTE_UNUSED;
+{
+ return 0;
+}
+
+static float
+math_ceil (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)ceil (arg1);
+}
+static float
+math_cvi (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (int)arg1;
+}
+static float
+math_floor (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)floor (arg1);
+}
+static float
+math_fmod (float arg1, float arg2)
+{
+ return (int)arg1 % (int)arg2;
+}
+static float
+math_int (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return ((float)((int)arg1)) == arg1;
+}
+static float
+math_round (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return arg1 > 0 ? (int)(arg1 + 0.5) : (int)(arg1 - 0.5);
+}
+static float
+math_sgn (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (arg1 < 0) ? -1 : (arg1 ? 1 : 0);
+}
+static float
+math_trunc (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (int)arg1;
+}
+
+static float
+math_acos (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)acos (arg1);
+}
+static float
+math_asin (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)asin (arg1);
+}
+static float
+math_atan (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)atan (arg1);
+}
+static float
+math_atan2(float arg1, float arg2)
+{
+ return (float)atan2 (arg1, arg2);
+}
+static float
+math_cosh (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)cosh (arg1);
+}
+static float
+math_cos (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)cos (arg1);
+}
+static float
+math_cvf (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)arg1;
+}
+static float
+math_exp (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)exp (arg1);
+}
+static float
+math_fabs (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)fabs (arg1);
+}
+/* expr1 * 2^expr2 */
+static float
+math_ldexp (float arg1, float arg2)
+{
+ return arg1 * (float)pow (2.0, arg2);
+}
+static float
+math_log10 (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)log10 (arg1);
+}
+static float
+math_log (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)log (arg1);
+}
+static float
+math_max (float arg1, float arg2)
+{
+ return (arg1 > arg2) ? arg1 : arg2;
+}
+static float
+math_min (float arg1, float arg2)
+{
+ return (arg1 < arg2) ? arg1 : arg2;
+}
+static float
+math_pow (float arg1, float arg2)
+{
+ return (float)pow (arg1, arg2);
+}
+static float
+math_sin (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)sin (arg1);
+}
+static float
+math_sinh (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)sinh (arg1);
+}
+static float
+math_sqrt (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)sqrt (arg1);
+}
+static float
+math_tan (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)tan (arg1);
+}
+static float
+math_tanh (arg1, ignore)
+ float arg1;
+ float ignore ATTRIBUTE_UNUSED;
+{
+ return (float)tanh (arg1);
+}
+
+/* built-in substitution symbol functions and math functions */
+typedef struct
+{
+ char *name;
+ int (*proc)(char *, char *);
+ int nargs;
+} subsym_proc_entry;
+
+static const subsym_proc_entry subsym_procs[] = {
+ /* assembler built-in string substitution functions */
+ { "$symlen", subsym_symlen, 1, },
+ { "$symcmp", subsym_symcmp, 2, },
+ { "$firstch", subsym_firstch, 2, },
+ { "$lastch", subsym_lastch, 2, },
+ { "$isdefed", subsym_isdefed, 1, },
+ { "$ismember", subsym_ismember, 2, },
+ { "$iscons", subsym_iscons, 1, },
+ { "$isname", subsym_isname, 1, },
+ { "$isreg", subsym_isreg, 1, },
+ { "$structsz", subsym_structsz, 1, },
+ { "$structacc", subsym_structacc, 1, },
+ { NULL, NULL, 0 },
+};
+
+typedef struct
+{
+ char *name;
+ float (*proc)(float, float);
+ int nargs;
+ int int_return;
+} math_proc_entry;
+
+static const math_proc_entry math_procs[] = {
+ /* integer-returning built-in math functions */
+ { "$cvi", math_cvi, 1, 1 },
+ { "$int", math_int, 1, 1 },
+ { "$sgn", math_sgn, 1, 1 },
+
+ /* float-returning built-in math functions */
+ { "$acos", math_acos, 1, 0 },
+ { "$asin", math_asin, 1, 0 },
+ { "$atan", math_atan, 1, 0 },
+ { "$atan2", math_atan2, 2, 0 },
+ { "$ceil", math_ceil, 1, 0 },
+ { "$cosh", math_cosh, 1, 0 },
+ { "$cos", math_cos, 1, 0 },
+ { "$cvf", math_cvf, 1, 0 },
+ { "$exp", math_exp, 1, 0 },
+ { "$fabs", math_fabs, 1, 0 },
+ { "$floor", math_floor, 1, 0 },
+ { "$fmod", math_fmod, 2, 0 },
+ { "$ldexp", math_ldexp, 2, 0 },
+ { "$log10", math_log10, 1, 0 },
+ { "$log", math_log, 1, 0 },
+ { "$max", math_max, 2, 0 },
+ { "$min", math_min, 2, 0 },
+ { "$pow", math_pow, 2, 0 },
+ { "$round", math_round, 1, 0 },
+ { "$sin", math_sin, 1, 0 },
+ { "$sinh", math_sinh, 1, 0 },
+ { "$sqrt", math_sqrt, 1, 0 },
+ { "$tan", math_tan, 1, 0 },
+ { "$tanh", math_tanh, 1, 0 },
+ { "$trunc", math_trunc, 1, 0 },
+ { NULL, NULL, 0, 0 },
+};
+
+void
+md_begin ()
+{
+ template *opcode;
+ partemplate *paropcode;
+ symbol *sym;
+ const subsym_proc_entry *subsym_proc;
+ const math_proc_entry *math_proc;
+ const char *hash_err;
+ char **symname;
+ char *TIC54X_DIR = getenv ("TIC54X_DIR");
+ char *A_DIR = TIC54X_DIR ? TIC54X_DIR : getenv ("A_DIR");
+
+ local_label_id = 0;
+
+ /* look for A_DIR and add it to the include list */
+ if (A_DIR != NULL)
+ {
+ char *tmp = xstrdup (A_DIR);
+ do {
+ char *next = strchr (tmp, ';');
+ if (next)
+ *next++ = '\0';
+ add_include_dir (tmp);
+ tmp = next;
+ } while (tmp != NULL);
+ }
+
+ op_hash = hash_new ();
+ for (opcode=(template *)tic54x_optab; opcode->name; opcode++)
+ {
+ if (hash_find (op_hash, opcode->name))
+ continue;
+ hash_err = hash_insert (op_hash, opcode->name, (char *)opcode);
+ if (hash_err)
+ as_fatal ("Internal Error: Can't hash %s: %s",
+ opcode->name, hash_err);
+ }
+ parop_hash = hash_new ();
+ for (paropcode=(partemplate *)tic54x_paroptab; paropcode->name; paropcode++)
+ {
+ if (hash_find (parop_hash, paropcode->name))
+ continue;
+ hash_err = hash_insert (parop_hash, paropcode->name, (char *)paropcode);
+ if (hash_err)
+ as_fatal ("Internal Error: Can't hash %s: %s",
+ paropcode->name, hash_err);
+ }
+ reg_hash = hash_new ();
+ for (sym = (symbol *)regs; sym->name; sym++)
+ {
+ /* add basic registers to the symbol table */
+ symbolS *symbolP = symbol_new (sym->name, absolute_section,
+ (valueT)sym->value, &zero_address_frag);
+ SF_SET_LOCAL (symbolP);
+ symbol_table_insert (symbolP);
+ hash_err = hash_insert (reg_hash, sym->name, (char *)sym);
+ }
+ for (sym = (symbol *)mmregs; sym->name; sym++)
+ hash_err = hash_insert (reg_hash, sym->name, (char *)sym);
+ mmreg_hash = hash_new ();
+ for (sym = (symbol *)mmregs; sym->name; sym++)
+ {
+ hash_err = hash_insert (mmreg_hash, sym->name, (char *)sym);
+ }
+ cc_hash = hash_new ();
+ for (sym = (symbol *)condition_codes; sym->name; sym++)
+ {
+ hash_err = hash_insert (cc_hash, sym->name, (char *)sym);
+ }
+ cc2_hash = hash_new ();
+ for (sym = (symbol *)cc2_codes; sym->name; sym++)
+ {
+ hash_err = hash_insert (cc2_hash, sym->name, (char *)sym);
+ }
+ cc3_hash = hash_new ();
+ for (sym = (symbol *)cc3_codes; sym->name; sym++)
+ {
+ hash_err = hash_insert (cc3_hash, sym->name, (char *)sym);
+ }
+ sbit_hash = hash_new ();
+ for (sym = (symbol *)status_bits; sym->name; sym++)
+ {
+ hash_err = hash_insert (sbit_hash, sym->name, (char *)sym);
+ }
+ misc_symbol_hash = hash_new ();
+ for (symname = (char **)misc_symbols; *symname; symname++)
+ {
+ hash_err = hash_insert (misc_symbol_hash, *symname, *symname);
+ }
+ /* only the base substitution table and local label table are initialized;
+ the others (for local macro substitution) get instantiated as needed */
+ local_label_hash[0] = hash_new ();
+ subsym_hash[0] = hash_new ();
+ for (subsym_proc = subsym_procs; subsym_proc->name; subsym_proc++)
+ {
+ hash_err = hash_insert (subsym_hash[0], subsym_proc->name,
+ (char *)subsym_proc);
+ }
+ math_hash = hash_new ();
+ for (math_proc = math_procs; math_proc->name; math_proc++)
+ {
+ /* insert into the main subsym hash for recognition; insert into
+ the math hash to actually store information */
+ hash_err = hash_insert (subsym_hash[0], math_proc->name,
+ (char *)math_proc);
+ hash_err = hash_insert (math_hash, math_proc->name,
+ (char *)math_proc);
+ }
+ subsym_recurse_hash = hash_new ();
+ stag_hash = hash_new ();
+}
+
+typedef struct _tic54x_insn {
+ const template *tm; /* opcode template */
+ const partemplate *ptm; /* parallel opcode template */
+
+ char mnemonic[MAX_LINE]; /* opcode name/mnemonic */
+ char parmnemonic[MAX_LINE]; /* 2nd mnemonic of parallel insn */
+
+ int opcount;
+ struct opstruct {
+ char buf[MAX_LINE];
+ enum optype type;
+ expressionS exp;
+ } operands[MAX_OPERANDS];
+
+ int paropcount;
+ struct opstruct paroperands[MAX_OPERANDS];
+
+ int is_lkaddr;
+ int lkoperand;
+ int words; /* size of insn in 16-bit words */
+ int using_default_dst; /* do we need to explicitly set an
+ omitted OP_DST operand? */
+ struct {
+ unsigned short word; /* final encoded opcode data */
+ int unresolved;
+ int r_nchars; /* relocation size */
+ bfd_reloc_code_real_type r_type; /* relocation type */
+ expressionS addr_expr; /* storage for unresolved expressions */
+ } opcode[3];
+} tic54x_insn;
+
+static int encode_operand (tic54x_insn *, enum optype, struct opstruct *);
+static int encode_dmad (tic54x_insn *, struct opstruct *, int);
+static int operands_match (tic54x_insn *, struct opstruct *, int,
+ const enum optype *, int, int);
+static int encode_address (tic54x_insn *, struct opstruct *);
+
+static int
+is_accumulator (struct opstruct *operand)
+{
+ return strcasecmp (operand->buf, "a") == 0
+ || strcasecmp (operand->buf, "b") == 0;
+}
+
+/* return the number of operands found, or -1 on error, copying the operands
+ into the given array and the accompanying expressions into the next array */
+static int
+get_operands (operands, line)
+ struct opstruct operands[];
+ char *line;
+{
+ char *lptr = line;
+ int numexp = 0;
+ int expecting_operand = 0;
+ int i;
+
+ while (numexp < MAX_OPERANDS && !is_end_of_line[(int)*lptr])
+ {
+ int paren_not_balanced = 0;
+ char *op_start, *op_end;
+ while (*lptr && isspace (*lptr))
+ ++lptr;
+ op_start = lptr;
+ while (paren_not_balanced || *lptr != ',')
+ {
+ if (*lptr == '\0')
+ {
+ if (paren_not_balanced)
+ {
+ as_bad ("Unbalanced parenthesis in operand %d", numexp);
+ return -1;
+ }
+ else
+ break;
+ }
+ if (*lptr == '(')
+ ++paren_not_balanced;
+ else if (*lptr == ')')
+ --paren_not_balanced;
+ ++lptr;
+ }
+ op_end = lptr;
+ if (op_end != op_start)
+ {
+ int len = op_end - op_start;
+ strncpy (operands[numexp].buf, op_start, len);
+ operands[numexp].buf[len] = 0;
+ /* trim trailing spaces; while the preprocessor gets rid of most,
+ there are weird usage patterns that can introduce them
+ (i.e. using strings for macro args) */
+ while (len > 0 && isspace (operands[numexp].buf[len-1]))
+ operands[numexp].buf[--len] = 0;
+ lptr = op_end;
+ ++numexp;
+ }
+ else
+ {
+ if (expecting_operand || *lptr == ',')
+ {
+ as_bad ("Expecting operand after ','");
+ return -1;
+ }
+ }
+ if (*lptr == ',')
+ {
+ if (*++lptr == '\0')
+ {
+ as_bad ("Expecting operand after ','");
+ return -1;
+ }
+ expecting_operand = 1;
+ }
+ }
+
+ while (*lptr && isspace (*lptr++))
+ ;
+ if (!is_end_of_line[(int)*lptr])
+ {
+ as_bad ("Extra junk on line");
+ return -1;
+ }
+
+ /* ok, now parse them into expressions */
+ for (i=0;i < numexp;i++)
+ {
+ memset (&operands[i].exp, 0, sizeof (operands[i].exp));
+ if (operands[i].buf[0] == '#')
+ {
+ /* immediate */
+ parse_expression (operands[i].buf + 1, &operands[i].exp);
+ }
+ else if (operands[i].buf[0] == '@')
+ {
+ /* direct notation */
+ parse_expression (operands[i].buf + 1, &operands[i].exp);
+ }
+ else if (operands[i].buf[0] == '*')
+ {
+ /* indirect */
+ char *paren = strchr (operands[i].buf, '(');
+ /* allow immediate syntax in the inner expression */
+ if (paren && paren[1] == '#')
+ *++paren = '(';
+
+ /* pull out the lk expression or SP offset, if present */
+ if (paren != NULL)
+ {
+ int len = strlen (paren);
+ char *end = paren + len;
+ int c;
+ while (end[-1] != ')')
+ if (--end <= paren)
+ {
+ as_bad (_("Badly formed address expression"));
+ return -1;
+ }
+ c = *end;
+ *end = '\0';
+ parse_expression (paren, &operands[i].exp);
+ *end = c;
+ }
+ else
+ operands[i].exp.X_op = O_absent;
+ }
+ else
+ {
+ parse_expression (operands[i].buf, &operands[i].exp);
+ }
+ }
+
+ return numexp;
+}
+
+/*
+ * Predicates for different operand types
+ */
+static int
+is_immediate (struct opstruct *operand)
+{
+ return *operand->buf == '#';
+}
+
+/* This is distinguished from immediate because some numbers must be constants
+ and must *not* have the '#' prefix */
+static int
+is_absolute (struct opstruct *operand)
+{
+ return operand->exp.X_op == O_constant && !is_immediate (operand);
+}
+
+/* is this an indirect operand? */
+static int
+is_indirect (struct opstruct *operand)
+{
+ return operand->buf[0] == '*';
+}
+
+/* is this a valid dual-memory operand? */
+static int
+is_dual (struct opstruct *operand)
+{
+ if (is_indirect (operand) && strncasecmp (operand->buf, "*ar", 3) == 0)
+ {
+ char *tmp = operand->buf + 3;
+ int arf;
+ int valid_mod;
+ arf = *tmp++ - '0';
+ /* only allow *ARx, *ARx-, *ARx+, or *ARx+0% */
+ valid_mod = *tmp == '\0' ||
+ strcasecmp (tmp, "-") == 0 ||
+ strcasecmp (tmp, "+") == 0 ||
+ strcasecmp (tmp, "+0%") == 0;
+ return arf >= 2 && arf <= 5 && valid_mod;
+ }
+ return 0;
+}
+
+static int
+is_mmreg (struct opstruct *operand)
+{
+ return is_absolute (operand) || is_immediate (operand)
+ || hash_find (mmreg_hash, operand->buf) != 0;
+}
+
+static int
+is_type (operand, type)
+ struct opstruct *operand;
+ enum optype type;
+{
+ switch (type)
+ {
+ case OP_None:
+ return operand->buf[0] == 0;
+ case OP_Xmem:
+ case OP_Ymem:
+ return is_dual (operand);
+ case OP_Sind:
+ return is_indirect (operand);
+ case OP_xpmad_ms7:
+ /* this one *must* be immediate */
+ return is_immediate (operand);
+ case OP_xpmad:
+ case OP_pmad:
+ case OP_PA:
+ case OP_dmad:
+ case OP_Lmem:
+ case OP_MMR:
+ return 1;
+ case OP_Smem:
+ /* address may be a numeric, indirect, or an expression */
+ return !is_immediate (operand);
+ case OP_MMRY:
+ case OP_MMRX:
+ return is_mmreg (operand);
+ case OP_SRC:
+ case OP_SRC1:
+ case OP_RND:
+ case OP_DST:
+ return is_accumulator (operand);
+ case OP_B:
+ return is_accumulator (operand) && toupper (operand->buf[0]) == 'B';
+ case OP_A:
+ return is_accumulator (operand) && toupper (operand->buf[0]) == 'A';
+ case OP_ARX:
+ return strncasecmp ("ar", operand->buf, 2) == 0
+ && isdigit (operand->buf[2]);
+ case OP_SBIT:
+ return hash_find (sbit_hash, operand->buf) != 0 || is_absolute (operand);
+ case OP_CC:
+ return hash_find (cc_hash, operand->buf) != 0;
+ case OP_CC2:
+ return hash_find (cc2_hash, operand->buf) != 0;
+ case OP_CC3:
+ return hash_find (cc3_hash, operand->buf) != 0
+ || is_immediate (operand) || is_absolute (operand);
+ case OP_16:
+ return (is_immediate (operand) || is_absolute (operand))
+ && operand->exp.X_add_number == 16;
+ case OP_N:
+ /* allow st0 or st1 instead of a numeric */
+ return is_absolute (operand) || is_immediate (operand) ||
+ strcasecmp ("st0", operand->buf) == 0 ||
+ strcasecmp ("st1", operand->buf) == 0;
+ case OP_12:
+ case OP_123:
+ return is_absolute (operand) || is_immediate (operand);
+ case OP_SHFT:
+ return (is_immediate (operand) || is_absolute (operand))
+ && operand->exp.X_add_number >= 0 && operand->exp.X_add_number < 16;
+ case OP_SHIFT:
+ /* let this one catch out-of-range values */
+ return (is_immediate (operand) || is_absolute (operand))
+ && operand->exp.X_add_number != 16;
+ case OP_BITC:
+ case OP_031:
+ case OP_k8:
+ return is_absolute (operand) || is_immediate (operand);
+ case OP_k8u:
+ return is_immediate (operand)
+ && operand->exp.X_op == O_constant
+ && operand->exp.X_add_number >= 0
+ && operand->exp.X_add_number < 256;
+ case OP_lk:
+ case OP_lku:
+ /* allow anything; assumes opcodes are ordered with Smem operands
+ versions first */
+ return 1;
+ case OP_k5:
+ case OP_k3:
+ case OP_k9:
+ /* just make sure it's an integer; check range later */
+ return is_immediate (operand);
+ case OP_T:
+ return strcasecmp ("t", operand->buf) == 0 ||
+ strcasecmp ("treg", operand->buf) == 0;
+ case OP_TS:
+ return strcasecmp ("ts", operand->buf) == 0;
+ case OP_ASM:
+ return strcasecmp ("asm", operand->buf) == 0;
+ case OP_TRN:
+ return strcasecmp ("trn", operand->buf) == 0;
+ case OP_DP:
+ return strcasecmp ("dp", operand->buf) == 0;
+ case OP_ARP:
+ return strcasecmp ("arp", operand->buf) == 0;
+ default:
+ return 0;
+ }
+}
+
+static int
+operands_match (insn, operands, opcount, refoptype, minops, maxops)
+ tic54x_insn *insn;
+ struct opstruct *operands;
+ int opcount;
+ const enum optype *refoptype;
+ int minops, maxops;
+{
+ int op = 0, refop = 0;
+
+ if (opcount == 0 && minops == 0)
+ {
+ return 1;
+ }
+
+ while (op <= maxops && refop <= maxops)
+ {
+ while (!is_type (&operands[op], OPTYPE (refoptype[refop])))
+ {
+ /* skip an optional template operand if it doesn't agree
+ with the current operand
+ */
+ if (refoptype[refop] & OPT)
+ {
+ ++refop;
+ --maxops;
+ if (refop > maxops)
+ return 0;
+ }
+ else
+ return 0;
+ }
+
+ /* save the actual operand type for later use */
+ operands[op].type = OPTYPE (refoptype[refop]);
+ ++refop;
+ ++op;
+ /* have we matched them all yet? */
+ if (op == opcount)
+ {
+ while (op < maxops)
+ {
+ /* if a later operand is *not* optional, no match */
+ if ((refoptype[refop] & OPT) == 0)
+ return 0;
+ /* flag any implicit default OP_DST operands so we know to add
+ them explicitly when encoding the operand later */
+ if (OPTYPE (refoptype[refop]) == OP_DST)
+ insn->using_default_dst = 1;
+ ++refop;
+ ++op;
+ }
+
+ return 1;
+ }
+ }
+
+ return 0;
+}
+
+/* 16-bit direct memory address
+ Explicit dmad operands are always in last word of insn (usually second
+ word, but bumped to third if lk addressing is used)
+
+ We allow *(dmad) notation because the TI assembler allows it.
+
+ XPC_CODE:
+ 0 for 16-bit addresses
+ 1 for full 23-bit addresses
+ 2 for the upper 7 bits of a 23-bit address (LDX)
+*/
+static int
+encode_dmad (insn, operand, xpc_code)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+ int xpc_code;
+{
+ int op = 1 + insn->is_lkaddr;
+
+ /* only allow *(dmad) expressions; all others are invalid */
+ if (is_indirect (operand) && operand->buf[strlen (operand->buf)-1] != ')')
+ {
+ as_bad (_("Invalid dmad syntax '%s'"), operand->buf);
+ return 0;
+ }
+
+ insn->opcode[op].addr_expr = operand->exp;
+
+ if (insn->opcode[op].addr_expr.X_op == O_constant)
+ {
+ valueT value = insn->opcode[op].addr_expr.X_add_number;
+ if (xpc_code == 1)
+ {
+ insn->opcode[0].word &= 0xFF80;
+ insn->opcode[0].word |= (value >> 16) & 0x7F;
+ insn->opcode[1].word = value & 0xFFFF;
+ }
+ else if (xpc_code == 2)
+ insn->opcode[op].word = (value >> 16) & 0xFFFF;
+ else
+ insn->opcode[op].word = value;
+ }
+ else
+ {
+ /* do the fixup later; just store the expression */
+ insn->opcode[op].word = 0;
+ insn->opcode[op].r_nchars = 2;
+
+ if (amode == c_mode)
+ insn->opcode[op].r_type = BFD_RELOC_TIC54X_16_OF_23;
+ else if (xpc_code == 1)
+ {
+ /* this relocation spans two words, so adjust accordingly */
+ insn->opcode[0].addr_expr = operand->exp;
+ insn->opcode[0].r_type = BFD_RELOC_TIC54X_23;
+ insn->opcode[0].r_nchars = 4;
+ insn->opcode[0].unresolved = 1;
+ /* it's really 2 words, but we want to stop encoding after the
+ first, since we must encode both words at once */
+ insn->words = 1;
+ }
+ else if (xpc_code == 2)
+ insn->opcode[op].r_type = BFD_RELOC_TIC54X_MS7_OF_23;
+ else
+ insn->opcode[op].r_type = BFD_RELOC_TIC54X_16_OF_23;
+
+ insn->opcode[op].unresolved = 1;
+ }
+
+ return 1;
+}
+
+
+/* 7-bit direct address encoding */
+static int
+encode_address (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ /* Assumes that dma addresses are *always* in word 0 of the opcode */
+ insn->opcode[0].addr_expr = operand->exp;
+
+ if (operand->exp.X_op == O_constant)
+ insn->opcode[0].word |= (operand->exp.X_add_number & 0x7F);
+ else
+ {
+ /* do the fixup later; just store the expression */
+ insn->opcode[0].r_nchars = 1;
+ insn->opcode[0].r_type = BFD_RELOC_TIC54X_PARTLS7;
+ insn->opcode[0].unresolved = 1;
+ }
+
+ return 1;
+}
+
+static int
+encode_indirect (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ int arf;
+ int mod;
+
+ if (insn->is_lkaddr)
+ {
+ /* lk addresses always go in the second insn word */
+ mod = ((toupper (operand->buf[1]) == 'A') ? 12 :
+ (operand->buf[1] == '(') ? 15 :
+ (strchr (operand->buf, '%') != NULL) ? 14 : 13);
+ arf = ((mod == 12) ? operand->buf[3] - '0' :
+ (mod == 15) ? 0 : operand->buf[4] - '0');
+
+ insn->opcode[1].addr_expr = operand->exp;
+
+ if (operand->exp.X_op == O_constant)
+ insn->opcode[1].word = operand->exp.X_add_number;
+ else
+ {
+ insn->opcode[1].word = 0;
+ insn->opcode[1].r_nchars = 2;
+ insn->opcode[1].r_type = BFD_RELOC_TIC54X_16_OF_23;
+ insn->opcode[1].unresolved = 1;
+ }
+ }
+ else if (strncasecmp (operand->buf, "*sp (", 4) == 0)
+ {
+ /* stack offsets look the same as 7-bit direct addressing */
+ return encode_address (insn, operand);
+ }
+ else
+ {
+ arf = (toupper (operand->buf[1]) == 'A' ?
+ operand->buf[3] : operand->buf[4]) - '0';
+
+ if (operand->buf[1] == '+')
+ {
+ mod = 3; /* *+ARx */
+ if (insn->tm->flags & FL_SMR)
+ as_warn (_("Address mode *+ARx is write-only. "
+ "Results of reading are undefined."));
+ }
+ else if (operand->buf[4] == '\0')
+ mod = 0; /* *ARx */
+ else if (operand->buf[5] == '\0')
+ mod = (operand->buf[4] == '-' ? 1 : 2); /* *ARx+ / *ARx- */
+ else if (operand->buf[6] == '\0')
+ {
+ if (operand->buf[5] == '0')
+ mod = (operand->buf[4] == '-' ? 5 : 6); /* *ARx+0 / *ARx-0 */
+ else
+ mod = (operand->buf[4] == '-' ? 8 : 10);/* *ARx+% / *ARx-% */
+ }
+ else if (toupper (operand->buf[6]) == 'B')
+ mod = (operand->buf[4] == '-' ? 4 : 7); /* ARx+0B / *ARx-0B */
+ else if (toupper (operand->buf[6]) == '%')
+ mod = (operand->buf[4] == '-' ? 9 : 11); /* ARx+0% / *ARx - 0% */
+ else
+ {
+ as_bad (_("Unrecognized indirect address format \"%s\""),
+ operand->buf);
+ return 0;
+ }
+ }
+
+ insn->opcode[0].word |= 0x80 | (mod<<3) | arf;
+
+ return 1;
+}
+
+static int
+encode_integer (insn, operand, which, min, max, mask)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+ int which, min, max;
+ unsigned short mask;
+{
+ long parse, integer;
+
+ insn->opcode[which].addr_expr = operand->exp;
+
+ if (operand->exp.X_op == O_constant)
+ {
+ parse = operand->exp.X_add_number;
+ /* hack -- fixup for 16-bit hex quantities that get converted positive
+ instead of negative */
+ if ((parse & 0x8000) && min == -32768 && max == 32767)
+ integer = (short)parse;
+ else
+ integer = parse;
+
+ if (integer >= min && integer <= max)
+ {
+ insn->opcode[which].word |= (integer & mask);
+ return 1;
+ }
+ as_bad (_("Operand '%s' out of range (%d <= x <= %d)"),
+ operand->buf, min, max);
+ }
+ else
+ {
+ if (insn->opcode[which].addr_expr.X_op == O_constant)
+ {
+ insn->opcode[which].word |=
+ insn->opcode[which].addr_expr.X_add_number & mask;
+ }
+ else
+ {
+ /* do the fixup later; just store the expression */
+ bfd_reloc_code_real_type rtype =
+ (mask == 0x1FF ? BFD_RELOC_TIC54X_PARTMS9 :
+ mask == 0xFFFF ? BFD_RELOC_TIC54X_16_OF_23 :
+ mask == 0x7F ? BFD_RELOC_TIC54X_PARTLS7 : BFD_RELOC_8);
+ int size = (mask == 0x1FF || mask == 0xFFFF) ? 2 : 1;
+
+ if (rtype == BFD_RELOC_8)
+ as_bad (_("Error in relocation handling"));
+
+ insn->opcode[which].r_nchars = size;
+ insn->opcode[which].r_type = rtype;
+ insn->opcode[which].unresolved = 1;
+ }
+
+ return 1;
+ }
+
+ return 0;
+}
+
+static int
+encode_condition (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ symbol *cc = (symbol *)hash_find (cc_hash, operand->buf);
+ if (!cc)
+ {
+ as_bad (_("Unrecognized condition code \"%s\""), operand->buf);
+ return 0;
+ }
+#define CC_GROUP 0x40
+#define CC_ACC 0x08
+#define CATG_A1 0x07
+#define CATG_B1 0x30
+#define CATG_A2 0x30
+#define CATG_B2 0x0C
+#define CATG_C2 0x03
+ /* disallow group 1 conditions mixed with group 2 conditions
+ if group 1, allow only one category A and one category B
+ if group 2, allow only one each of category A, B, and C
+ */
+ if (((insn->opcode[0].word & 0xFF) != 0))
+ {
+ if ((insn->opcode[0].word & CC_GROUP) != (cc->value & CC_GROUP))
+ {
+ as_bad (_("Condition \"%s\" does not match preceding group"),
+ operand->buf);
+ return 0;
+ }
+ if (insn->opcode[0].word & CC_GROUP)
+ {
+ if ((insn->opcode[0].word & CC_ACC) != (cc->value & CC_ACC))
+ {
+ as_bad (_("Condition \"%s\" uses a different accumulator from "
+ "a preceding condition"),
+ operand->buf);
+ return 0;
+ }
+ if ((insn->opcode[0].word & CATG_A1) && (cc->value & CATG_A1))
+ {
+ as_bad (_("Only one comparison conditional allowed"));
+ return 0;
+ }
+ if ((insn->opcode[0].word & CATG_B1) && (cc->value & CATG_B1))
+ {
+ as_bad (_("Only one overflow conditional allowed"));
+ return 0;
+ }
+ }
+ else if (((insn->opcode[0].word & CATG_A2) && (cc->value & CATG_A2)) ||
+ ((insn->opcode[0].word & CATG_B2) && (cc->value & CATG_B2)) ||
+ ((insn->opcode[0].word & CATG_C2) && (cc->value & CATG_C2)))
+ {
+ as_bad (_("Duplicate %s conditional"), operand->buf);
+ return 0;
+ }
+ }
+
+ insn->opcode[0].word |= cc->value;
+ return 1;
+}
+
+static int
+encode_cc3 (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ symbol *cc3 = (symbol *)hash_find (cc3_hash, operand->buf);
+ int value = cc3 ? cc3->value : operand->exp.X_add_number << 8;
+
+ if ((value & 0x0300) != value)
+ {
+ as_bad (_("Unrecognized condition code \"%s\""), operand->buf);
+ return 0;
+ }
+ insn->opcode[0].word |= value;
+ return 1;
+}
+
+static int
+encode_arx (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ int arf = strlen (operand->buf) >= 3 ? operand->buf[2] - '0' : -1;
+ if (strncasecmp ("ar", operand->buf, 2) || arf < 0 || arf > 7)
+ {
+ as_bad (_("Invalid auxiliary register (use AR0-AR7)"));
+ return 0;
+ }
+ insn->opcode[0].word |= arf;
+ return 1;
+}
+
+static int
+encode_cc2 (insn, operand)
+ tic54x_insn *insn;
+ struct opstruct *operand;
+{
+ symbol *cc2 = (symbol *)hash_find (cc2_hash, operand->buf);
+ if (!cc2)
+ {
+ as_bad (_("Unrecognized condition code \"%s\""), operand->buf);
+ return 0;
+ }
+ insn->opcode[0].word |= cc2->value;
+ return 1;
+}
+
+static int
+encode_operand (insn, type, operand)
+ tic54x_insn *insn;
+ enum optype type;
+ struct opstruct *operand;
+{
+ int ext = insn->tm && ((insn->tm->flags & FL_EXT) != 0);
+
+ if (type == OP_MMR && operand->exp.X_op != O_constant)
+ {
+ /* disallow long-constant addressing for memory-mapped addressing */
+ if (insn->is_lkaddr)
+ {
+ as_bad (_("lk addressing modes are invalid for memory-mapped "
+ "register addressing"));
+ return 0;
+ }
+ type = OP_Smem;
+ /* warn about *+ARx when used with MMR operands */
+ if (strncasecmp (operand->buf, "*+ar", 4) == 0)
+ {
+ as_warn (_("Address mode *+ARx is not allowed in memory-mapped "
+ "register addressing. Resulting behavior is "
+ "undefined."));
+ }
+ }
+
+ switch (type)
+ {
+ case OP_None:
+ return 1;
+ case OP_dmad:
+ /* 16-bit immediate value */
+ return encode_dmad (insn, operand, 0);
+ case OP_SRC:
+ if (toupper (*operand->buf) == 'B')
+ {
+ insn->opcode[ext ? (1 + insn->is_lkaddr) : 0].word |= (1<<9);
+ if (insn->using_default_dst)
+ insn->opcode[ext ? (1 + insn->is_lkaddr) : 0].word |= (1<<8);
+ }
+ return 1;
+ case OP_RND:
+ /* make sure this agrees with with the OP_DST operand */
+ if (!((toupper (operand->buf[0]) == 'B') ^
+ ((insn->opcode[0].word & (1<<8)) != 0)))
+ {
+ as_bad (_("Destination accumulator for each part of this parallel "
+ "instruction must be different"));
+ return 0;
+ }
+ return 1;
+ case OP_SRC1:
+ case OP_DST:
+ if (toupper (operand->buf[0]) == 'B')
+ insn->opcode[ext ? (1 + insn->is_lkaddr) : 0].word |= (1<<8);
+ return 1;
+ case OP_Xmem:
+ case OP_Ymem:
+ {
+ int mod = (operand->buf[4] == '\0' ? 0 : /* *arx */
+ operand->buf[4] == '-' ? 1 : /* *arx- */
+ operand->buf[5] == '\0' ? 2 : 3); /* *arx+, *arx+0% */
+ int arf = operand->buf[3] - '0' - 2;
+ int code = (mod << 2)|arf;
+ insn->opcode[0].word |= (code << (type == OP_Xmem ? 4 : 0));
+ return 1;
+ }
+ case OP_Lmem:
+ case OP_Smem:
+ if (!is_indirect (operand))
+ return encode_address (insn, operand);
+ /* fall through */
+ case OP_Sind:
+ return encode_indirect (insn, operand);
+ case OP_xpmad_ms7:
+ return encode_dmad (insn, operand, 2);
+ case OP_xpmad:
+ return encode_dmad (insn, operand, 1);
+ case OP_PA:
+ case OP_pmad:
+ return encode_dmad (insn, operand, 0);
+ case OP_ARX:
+ return encode_arx (insn, operand);
+ case OP_MMRX:
+ case OP_MMRY:
+ case OP_MMR:
+ {
+ int value = operand->exp.X_add_number;
+ if (type == OP_MMR)
+ insn->opcode[0].word |= value;
+ else {
+ if (value < 16 || value > 24)
+ {
+ as_bad (_("Memory mapped register \"%s\" out of range"),
+ operand->buf);
+ return 0;
+ }
+ if (type == OP_MMRX)
+ insn->opcode[0].word |= (value - 16) << 4;
+ else
+ insn->opcode[0].word |= (value - 16);
+ }
+ return 1;
+ }
+ case OP_B:
+ case OP_A:
+ return 1;
+ case OP_SHFT:
+ return encode_integer (insn, operand, ext + insn->is_lkaddr,
+ 0, 15, 0xF);
+ case OP_SHIFT:
+ return encode_integer (insn, operand, ext + insn->is_lkaddr,
+ -16, 15, 0x1F);
+ case OP_lk:
+ return encode_integer (insn, operand, 1 + insn->is_lkaddr,
+ -32768, 32767, 0xFFFF);
+ case OP_CC:
+ return encode_condition (insn, operand);
+ case OP_CC2:
+ return encode_cc2 (insn, operand);
+ case OP_CC3:
+ return encode_cc3 (insn, operand);
+ case OP_BITC:
+ return encode_integer (insn, operand, 0, 0, 15, 0xF);
+ case OP_k8:
+ return encode_integer (insn, operand, 0, -128, 127, 0xFF);
+ case OP_123:
+ {
+ int value = operand->exp.X_add_number;
+ int code;
+ if (value < 1 || value > 3)
+ {
+ as_bad (_("Invalid operand (use 1, 2, or 3)"));
+ return 0;
+ }
+ code = value == 1 ? 0 : value == 2 ? 0x2 : 0x1;
+ insn->opcode[0].word |= (code << 8);
+ return 1;
+ }
+ case OP_031:
+ return encode_integer (insn, operand, 0, 0, 31, 0x1F);
+ case OP_k8u:
+ return encode_integer (insn, operand, 0, 0, 255, 0xFF);
+ case OP_lku:
+ return encode_integer (insn, operand, 1 + insn->is_lkaddr,
+ 0, 65535, 0xFFFF);
+ case OP_SBIT:
+ {
+ symbol *sbit = (symbol *)hash_find (sbit_hash, operand->buf);
+ int value = is_absolute (operand) ?
+ operand->exp.X_add_number : (sbit ? sbit->value : -1);
+ int reg = 0;
+
+ if (insn->opcount == 1)
+ {
+ if (!sbit)
+ {
+ as_bad (_("A status register or status bit name is required"));
+ return 0;
+ }
+ /* guess the register based on the status bit; "ovb" is the last
+ status bit defined for st0 */
+ if (sbit > (symbol *)hash_find (sbit_hash, "ovb"))
+ reg = 1;
+ }
+ if (value == -1)
+ {
+ as_bad (_("Unrecognized status bit \"%s\""), operand->buf);
+ return 0;
+ }
+ insn->opcode[0].word |= value;
+ insn->opcode[0].word |= (reg << 9);
+ return 1;
+ }
+ case OP_N:
+ if (strcasecmp (operand->buf, "st0") == 0
+ || strcasecmp (operand->buf, "st1") == 0)
+ {
+ insn->opcode[0].word |= ((unsigned short)(operand->buf[2] - '0'))<<9;
+ return 1;
+ }
+ else if (operand->exp.X_op == O_constant
+ && (operand->exp.X_add_number == 0
+ || operand->exp.X_add_number == 1))
+ {
+ insn->opcode[0].word |= ((unsigned short)
+ (operand->exp.X_add_number))<<9;
+ return 1;
+ }
+ as_bad (_("Invalid status register \"%s\""), operand->buf);
+ return 0;
+ case OP_k5:
+ return encode_integer (insn, operand, 0, -16, 15, 0x1F);
+ case OP_k3:
+ return encode_integer (insn, operand, 0, 0, 7, 0x7);
+ case OP_k9:
+ return encode_integer (insn, operand, 0, 0, 0x1FF, 0x1FF);
+ case OP_12:
+ if (operand->exp.X_add_number != 1
+ && operand->exp.X_add_number != 2)
+ {
+ as_bad (_("Operand \"%s\" out of range (use 1 or 2)"), operand->buf);
+ return 0;
+ }
+ insn->opcode[0].word |= (operand->exp.X_add_number - 1) << 9;
+ return 1;
+ case OP_16:
+ case OP_T:
+ case OP_TS:
+ case OP_ASM:
+ case OP_TRN:
+ case OP_DP:
+ case OP_ARP:
+ /* no encoding necessary */
+ return 1;
+ default:
+ return 0;
+ }
+
+ return 1;
+}
+
+static void
+emit_insn (insn)
+ tic54x_insn *insn;
+{
+ int i;
+ for (i=0;i < insn->words;i++)
+ {
+ int size = (insn->opcode[i].unresolved
+ && insn->opcode[i].r_type == BFD_RELOC_TIC54X_23) ? 4 : 2;
+ char *p = frag_more (size);
+
+ if (size == 2)
+ md_number_to_chars (p, (valueT)insn->opcode[i].word, 2);
+ else
+ md_number_to_chars (p, (valueT)insn->opcode[i].word << 16, 4);
+
+ if (insn->opcode[i].unresolved)
+ fix_new_exp (frag_now, p - frag_now->fr_literal,
+ insn->opcode[i].r_nchars, &insn->opcode[i].addr_expr,
+ false, insn->opcode[i].r_type);
+ }
+}
+
+/* convert the operand strings into appropriate opcode values
+ return the total number of words used by the instruction
+ */
+static int
+build_insn (insn)
+ tic54x_insn *insn;
+{
+ int i;
+
+ /* only non-parallel instructions support lk addressing */
+ if (insn->tm)
+ {
+ for (i=0; i < insn->opcount; i++)
+ {
+ if ((OPTYPE (insn->operands[i].type) == OP_Smem ||
+ OPTYPE (insn->operands[i].type) == OP_Lmem ||
+ OPTYPE (insn->operands[i].type) == OP_Sind) &&
+ strchr (insn->operands[i].buf, '(') &&
+ /* don't mistake stack-relative addressing for lk addressing */
+ strncasecmp (insn->operands[i].buf, "*sp (", 4) != 0)
+ {
+ insn->is_lkaddr = 1;
+ insn->lkoperand = i;
+ break;
+ }
+ }
+ }
+ insn->words =
+ (insn->tm ? insn->tm->words : insn->ptm->words) + insn->is_lkaddr;
+
+ insn->opcode[0].word = insn->tm ? insn->tm->opcode : insn->ptm->opcode;
+ if (insn->tm && (insn->tm->flags & FL_EXT))
+ insn->opcode[1 + insn->is_lkaddr].word = insn->tm->opcode2;
+
+ for (i=0; i < insn->opcount; i++)
+ {
+ enum optype type = insn->operands[i].type;
+ if (!encode_operand (insn, type, &insn->operands[i]))
+ return 0;
+ }
+ if (insn->ptm) for (i=0; i < insn->paropcount; i++)
+ {
+ enum optype partype = insn->paroperands[i].type;
+ if (!encode_operand (insn, partype, &insn->paroperands[i]))
+ return 0;
+ }
+
+ emit_insn (insn);
+
+ return insn->words;
+}
+
+static int
+optimize_insn (insn)
+ tic54x_insn *insn;
+{
+ /* optimize some instructions, helping out the brain-dead programmer
+ */
+#define is_zero(op) ((op).exp.X_op == O_constant && (op).exp.X_add_number == 0)
+ if (strcasecmp (insn->tm->name, "add") == 0)
+ {
+ if (insn->opcount > 1 &&
+ is_accumulator (&insn->operands[insn->opcount-2]) &&
+ is_accumulator (&insn->operands[insn->opcount-1]) &&
+ strcasecmp (insn->operands[insn->opcount-2].buf,
+ insn->operands[insn->opcount-1].buf) == 0)
+ {
+ --insn->opcount;
+ insn->using_default_dst = 1;
+ return 1;
+ }
+
+ /* try to collapse if Xmem and shift count is zero */
+ if ((OPTYPE (insn->tm->operand_types[0]) == OP_Xmem &&
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHFT &&
+ is_zero (insn->operands[1])) ||
+ /* Or if Smem, shift is zero or absent, and SRC == DST */
+ (OPTYPE (insn->tm->operand_types[0]) == OP_Smem &&
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHIFT &&
+ is_type (&insn->operands[1], OP_SHIFT) &&
+ is_zero (insn->operands[1]) && insn->opcount == 3))
+ {
+ insn->operands[1] = insn->operands[2];
+ insn->opcount = 2;
+ return 1;
+ }
+ }
+ else if (strcasecmp (insn->tm->name, "ld") == 0)
+ {
+ if (insn->opcount == 3 && insn->operands[0].type != OP_SRC)
+ {
+ if ((OPTYPE (insn->tm->operand_types[1]) == OP_SHIFT ||
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHFT) &&
+ is_zero (insn->operands[1]) &&
+ (OPTYPE (insn->tm->operand_types[0]) != OP_lk ||
+ (insn->operands[0].exp.X_op == O_constant &&
+ insn->operands[0].exp.X_add_number <= 255 &&
+ insn->operands[0].exp.X_add_number >= 0)))
+ {
+ insn->operands[1] = insn->operands[2];
+ insn->opcount = 2;
+ return 1;
+ }
+ }
+ }
+ else if (strcasecmp (insn->tm->name, "sth") == 0 ||
+ strcasecmp (insn->tm->name, "stl") == 0)
+ {
+ if ((OPTYPE (insn->tm->operand_types[1]) == OP_SHIFT ||
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHFT) &&
+ is_zero (insn->operands[1]))
+ {
+ insn->operands[1] = insn->operands[2];
+ insn->opcount = 2;
+ return 1;
+ }
+ }
+ else if (strcasecmp (insn->tm->name, "sub") == 0)
+ {
+ if (insn->opcount > 1 &&
+ is_accumulator (&insn->operands[insn->opcount-2]) &&
+ is_accumulator (&insn->operands[insn->opcount-1]) &&
+ strcasecmp (insn->operands[insn->opcount-2].buf,
+ insn->operands[insn->opcount-1].buf) == 0)
+ {
+ --insn->opcount;
+ insn->using_default_dst = 1;
+ return 1;
+ }
+
+ if (((OPTYPE (insn->tm->operand_types[0]) == OP_Smem &&
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHIFT) ||
+ (OPTYPE (insn->tm->operand_types[0]) == OP_Xmem &&
+ OPTYPE (insn->tm->operand_types[1]) == OP_SHFT)) &&
+ is_zero (insn->operands[1]) &&
+ insn->opcount == 3)
+ {
+ insn->operands[1] = insn->operands[2];
+ insn->opcount = 2;
+ return 1;
+ }
+ }
+ return 0;
+}
+
+/* Find a matching template if possible, and get the operand strings */
+static int
+tic54x_parse_insn (insn, line)
+ tic54x_insn *insn;
+ char *line;
+{
+ insn->tm = (template *)hash_find (op_hash, insn->mnemonic);
+ if (!insn->tm)
+ {
+ as_bad (_("Unrecognized instruction \"%s\""), insn->mnemonic);
+ return 0;
+ }
+
+ insn->opcount = get_operands (insn->operands, line);
+ if (insn->opcount < 0)
+ return 0;
+
+ /* check each variation of operands for this mnemonic */
+ while (insn->tm->name && strcasecmp (insn->tm->name, insn->mnemonic) == 0)
+ {
+ if (insn->opcount >= insn->tm->minops &&
+ insn->opcount <= insn->tm->maxops &&
+ operands_match (insn, &insn->operands[0], insn->opcount,
+ insn->tm->operand_types,
+ insn->tm->minops, insn->tm->maxops))
+ {
+ /* SUCCESS! now try some optimizations */
+ if (optimize_insn (insn))
+ {
+ insn->tm = (template *)hash_find (op_hash,
+ insn->mnemonic);
+ continue;
+ }
+
+ return 1;
+ }
+ ++(insn->tm);
+ }
+ as_bad (_("Unrecognized operand list '%s' for instruction '%s'"),
+ line, insn->mnemonic);
+ return 0;
+}
+
+/* we set this in start_line_hook, 'cause if we do a line replacement, we
+ won't be able to see the next line */
+static int parallel_on_next_line_hint = 0;
+/* See if this is part of a parallel instruction
+ Look for a subsequent line starting with "||"
+*/
+static int
+next_line_shows_parallel (next_line)
+ char *next_line;
+{
+ /* look for the second half */
+ while (isspace (*next_line))
+ ++next_line;
+
+ return (next_line[0] == PARALLEL_SEPARATOR &&
+ next_line[1] == PARALLEL_SEPARATOR);
+}
+
+static int
+tic54x_parse_parallel_insn_firstline (insn, line)
+ tic54x_insn *insn;
+ char *line;
+{
+ insn->ptm = (partemplate *)hash_find (parop_hash, insn->mnemonic);
+ if (!insn->ptm)
+ {
+ as_bad (_("Unrecognized parallel instruction \"%s\""),
+ insn->mnemonic);
+ return 0;
+ }
+
+ while (insn->ptm->name && strcasecmp (insn->ptm->name,
+ insn->mnemonic) == 0)
+ {
+ insn->opcount = get_operands (insn->operands, line);
+ if (insn->opcount < 0)
+ return 0;
+ if (insn->opcount == 2 &&
+ operands_match (insn, &insn->operands[0], insn->opcount,
+ insn->ptm->operand_types, 2, 2))
+ {
+ return 1;
+ }
+ ++(insn->ptm);
+ }
+ /* didn't find a matching parallel; try for a normal insn */
+ return 0;
+}
+
+/* parse the second line of a two-line parallel instruction */
+static int
+tic54x_parse_parallel_insn_lastline (insn, line)
+ tic54x_insn *insn;
+ char *line;
+{
+ int valid_mnemonic = 0;
+
+ insn->paropcount = get_operands (insn->paroperands, line);
+ while (insn->ptm->name && strcasecmp (insn->ptm->name,
+ insn->mnemonic) == 0)
+ {
+ if (strcasecmp (insn->ptm->parname, insn->parmnemonic) == 0)
+ {
+ valid_mnemonic = 1;
+ if (insn->paropcount >= insn->ptm->minops &&
+ insn->paropcount <= insn->ptm->maxops &&
+ operands_match (insn, insn->paroperands,
+ insn->paropcount,
+ insn->ptm->paroperand_types,
+ insn->ptm->minops, insn->ptm->maxops))
+ {
+ return 1;
+ }
+ }
+ ++(insn->ptm);
+ }
+ if (valid_mnemonic)
+ as_bad (_("Invalid operand (s) for parallel instruction \"%s\""),
+ insn->parmnemonic);
+ else
+ as_bad (_("Unrecognized parallel instruction combination \"%s || %s\""),
+ insn->mnemonic, insn->parmnemonic);
+
+ return 0;
+}
+
+/* if quotes found, return copy of line up to closing quote;
+ otherwise up until terminator
+ if it's a string, pass as-is; otherwise attempt substitution symbol
+ replacement on the value
+*/
+static char *
+subsym_get_arg (char *line, char *terminators, char **str, int nosub)
+{
+ char *ptr = line;
+ char *endp;
+ int is_string = *line == '"';
+ int is_char = isdigit (*line);
+
+ if (is_char)
+ {
+ while (isdigit (*ptr))
+ ++ptr;
+ endp = ptr;
+ *str = xmalloc (ptr - line + 1);
+ strncpy (*str, line, ptr - line);
+ (*str)[ptr - line] = 0;
+ }
+ else if (is_string)
+ {
+ char *savedp = input_line_pointer;
+ int len;
+ input_line_pointer = ptr;
+ *str = demand_copy_C_string (&len);
+ endp = input_line_pointer;
+ input_line_pointer = savedp;
+
+ /* do forced substitutions if requested */
+ if (!nosub && **str == ':')
+ *str = subsym_substitute (*str, 1);
+ }
+ else
+ {
+ char *term = terminators;
+ char *value = NULL;
+
+ while (*ptr && *ptr != *term)
+ {
+ if (!*term)
+ {
+ term = terminators;
+ ++ptr;
+ }
+ else
+ ++term;
+ }
+ endp = ptr;
+ *str = xmalloc (ptr - line + 1);
+ strncpy (*str, line, ptr - line);
+ (*str)[ptr - line] = 0;
+ /* do simple substitution, if available */
+ if (!nosub && (value = subsym_lookup (*str, macro_level)) != NULL)
+ *str = value;
+ }
+
+ return endp;
+}
+
+/* replace the given substitution string.
+ We start at the innermost macro level, so that existing locals remain local
+ Note: we're treating macro args identically to .var's; I don't know if
+ that's compatible w/TI's assembler.
+*/
+static void
+subsym_create_or_replace (char *name, char *value)
+{
+ int i;
+
+ for (i=macro_level;i > 0;i--)
+ {
+ if (hash_find (subsym_hash[i], name))
+ {
+ hash_replace (subsym_hash[i], name, value);
+ return;
+ }
+ }
+ if (hash_find (subsym_hash[0], name))
+ hash_replace (subsym_hash[0], name, value);
+ else
+ hash_insert (subsym_hash[0], name, value);
+}
+
+/* look up the substitution string replacement for the given symbol
+ start with the innermost macro substituion table given and work outwards */
+static char *
+subsym_lookup (char *name, int nest_level)
+{
+ char *value = hash_find (subsym_hash[nest_level], name);
+
+ if (value || nest_level == 0)
+ return value;
+
+ return subsym_lookup (name, nest_level-1);
+}
+
+/* do substitution-symbol replacement on the given line (recursively).
+ return the argument if no substitution was done
+
+ Also look for built-in functions ($func (arg)) and local labels.
+
+ if FORCED is set, look for forced substitutions of the form ':SYMBOL:'.
+*/
+static char *
+subsym_substitute (char *line, int forced)
+{
+ /* for each apparent symbol, see if it's a substitution symbol, and if so,
+ replace it in the input */
+ char *replacement; /* current replacement for LINE */
+ char *head; /* start of line */
+ char *ptr; /* current examination point */
+ int changed = 0; /* did we make a substitution? */
+ int eval_line = 0; /* is this line a .eval/.asg statement? */
+ int eval_symbol = 0; /* are we in the middle of the symbol for .eval/.asg? */
+ char *eval_end = NULL;
+ int recurse = 1;
+ int line_conditional = 0;
+ char *tmp;
+
+ /* work with a copy of the input line */
+ replacement = xmalloc (strlen (line) + 1);
+ strcpy (replacement, line);
+
+ ptr = head = replacement;
+
+ /* flag lines where we might need to replace a single '=' with two;
+ GAS uses single '=' to assign macro args values, and possibly other
+ places, so limit what we replace */
+ if (strstr (line, ".if")
+ || strstr (line, ".elseif")
+ || strstr (line, ".break"))
+ {
+ line_conditional = 1;
+ }
+
+ /* watch out for .eval, so that we avoid doing substitution on the
+ symbol being assigned a value */
+ if (strstr (line, ".eval") || strstr (line, ".asg"))
+ eval_line = 1;
+
+ /* if it's a macro definition, don't do substitution on the argument names */
+ if (strstr (line, ".macro"))
+ return line;
+
+ while (!is_end_of_line[(int)*ptr])
+ {
+ int current_char = *ptr;
+
+ /* need to update this since LINE may have been modified */
+ if (eval_line)
+ eval_end = strrchr (ptr, ',');
+
+ /* replace triple double quotes with bounding quote/escapes */
+ if (current_char == '"' && ptr[1] == '"' && ptr[2] == '"')
+ {
+ ptr[1] = '\\';
+ tmp = strstr (ptr+2, "\"\"\"");
+ if (tmp)
+ tmp[0] = '\\';
+ changed = 1;
+ }
+
+ /* replace a single '=' with a '==';
+ for compatibility with older code only */
+ if (line_conditional && current_char == '=')
+ {
+ if (ptr[1] == '=')
+ {
+ ptr += 2;
+ continue;
+ }
+ *ptr++ = '\0';
+ tmp = xmalloc (strlen (head) + 2 + strlen (ptr) + 1);
+ sprintf (tmp, "%s==%s", head, ptr);
+ /* continue examining after the '==' */
+ ptr = tmp + strlen (head) + 2;
+ free (replacement);
+ head = replacement = tmp;
+ changed = 1;
+ }
+
+ /* flag when we've reached the symbol part of .eval/.asg */
+ if (eval_line && ptr >= eval_end)
+ eval_symbol = 1;
+
+ /* for each apparent symbol, see if it's a substitution symbol, and if
+ so, replace it in the input
+ */
+ if ((forced && current_char == ':')
+ || (!forced && is_name_beginner (current_char)))
+ {
+ char *name; /* symbol to be replaced */
+ char *savedp = input_line_pointer;
+ int c;
+ char *value = NULL;
+ char *tail; /* rest of line after symbol */
+
+ /* skip the colon */
+ if (forced)
+ ++ptr;
+
+ name = input_line_pointer = ptr;
+ c = get_symbol_end ();
+ /* '?' is not normally part of a symbol, but it IS part of a local
+ label
+ */
+ if (c == '?')
+ {
+ *input_line_pointer++ = c;
+ c = *input_line_pointer;
+ *input_line_pointer = '\0';
+ }
+ /* avoid infinite recursion; if a symbol shows up a second time for
+ substitution, leave it as is */
+ if (hash_find (subsym_recurse_hash, name) == NULL)
+ value = subsym_lookup (name, macro_level);
+ else
+ as_warn (_("%s symbol recursion stopped at "
+ "second appearance of '%s'"),
+ forced ? "Forced substitution": "Substitution", name);
+ ptr = tail = input_line_pointer;
+ input_line_pointer = savedp;
+
+ /* check for local labels; replace them with the appropriate
+ substitution */
+ if ((*name == '$' && isdigit (name[1]) && name[2] == '\0')
+ || name[strlen (name)-1] == '?')
+ {
+ /* use an existing identifier for that label if, available, or
+ create a new, unique identifier */
+ value = hash_find (local_label_hash[macro_level], name);
+ if (value == NULL)
+ {
+ char digit[11];
+ char *namecopy = strcpy (xmalloc (strlen (name) + 1), name);
+ value = strcpy (xmalloc (strlen (name) + sizeof (digit) + 1),
+ name);
+ if (*value != '$')
+ value[strlen (value)-1] = '\0';
+ sprintf (digit, ".%d", local_label_id++);
+ strcat (value, digit);
+ hash_insert (local_label_hash[macro_level], namecopy, value);
+ }
+ /* indicate where to continue looking for substitutions */
+ ptr = tail;
+ }
+ /* check for built-in subsym and math functions */
+ else if (value != NULL && *name == '$')
+ {
+ subsym_proc_entry *entry = (subsym_proc_entry *)value;
+ math_proc_entry *math_entry = hash_find (math_hash, name);
+ char *arg1, *arg2 = NULL;
+
+ *ptr = c;
+ if (entry == NULL)
+ {
+ as_bad (_("Unrecognized substitution symbol function"));
+ break;
+ }
+ else if (*ptr != '(')
+ {
+ as_bad (_("Missing '(' after substitution symbol function"));
+ break;
+ }
+ ++ptr;
+ if (math_entry != NULL)
+ {
+ float arg1, arg2 = 0;
+ volatile float fresult;
+
+ arg1 = (float)strtod (ptr, &ptr);
+ if (math_entry->nargs == 2)
+ {
+ if (*ptr++ != ',')
+ {
+ as_bad (_("Expecting second argument"));
+ break;
+ }
+ arg2 = (float)strtod (ptr, &ptr);
+ }
+ fresult = (*math_entry->proc)(arg1, arg2);
+ value = xmalloc (128);
+ if (math_entry->int_return)
+ sprintf (value, "%d", (int)fresult);
+ else
+ sprintf (value, "%f", fresult);
+ if (*ptr++ != ')')
+ {
+ as_bad (_("Extra junk in function call, expecting ')'"));
+ break;
+ }
+ /* don't bother recursing; the replacement isn't a symbol */
+ recurse = 0;
+ }
+ else
+ {
+ int val;
+ int arg_type[2] = {*ptr == '"',0};
+ int ismember = !strcmp (entry->name, "$ismember");
+ /* parse one or two args, which must be a substitution
+ symbol, string or a character-string constant */
+ /* for all functions, a string or substitution symbol may be
+ used, with the following exceptions:
+ firstch/lastch: 2nd arg must be character constant
+ ismember: both args must be substitution symbols
+ */
+ ptr = subsym_get_arg (ptr, ",)", &arg1, ismember);
+ if (!arg1)
+ break;
+ if (entry->nargs == 2)
+ {
+ if (*ptr++ != ',')
+ {
+ as_bad (_("Function expects two arguments"));
+ break;
+ }
+ /* character constants are converted to numerics
+ by the preprocessor */
+ arg_type[1] = (isdigit (*ptr)) ? 2 : (*ptr == '"');
+ ptr = subsym_get_arg (ptr, ")", &arg2, ismember);
+ }
+ /* args checking */
+ if ((!strcmp (entry->name, "$firstch")
+ || !strcmp (entry->name, "$lastch"))
+ && arg_type[1] != 2)
+ {
+ as_bad (_("Expecting character constant argument"));
+ break;
+ }
+ if (ismember
+ && (arg_type[0] != 0 || arg_type[1] != 0))
+ {
+ as_bad (_("Both arguments must be substitution symbols"));
+ break;
+ }
+ if (*ptr++ != ')')
+ {
+ as_bad (_("Extra junk in function call, expecting ')'"));
+ break;
+ }
+ val = (*entry->proc)(arg1, arg2);
+ value = xmalloc (64);
+ sprintf (value, "%d", val);
+ }
+ /* fix things up to replace the entire expression, not just the
+ function name */
+ tail = ptr;
+ c = *tail;
+ }
+
+ if (value != NULL && !eval_symbol)
+ {
+ /* Replace the symbol with its string replacement and
+ continue. Recursively replace VALUE until either no
+ substitutions are performed, or a substitution that has been
+ previously made is encountered again.
+
+ put the symbol into the recursion hash table so we only
+ try to replace a symbol once
+ */
+ if (recurse)
+ {
+ hash_insert (subsym_recurse_hash, name, name);
+ value = subsym_substitute (value, macro_level > 0);
+ hash_delete (subsym_recurse_hash, name);
+ }
+
+ /* temporarily zero-terminate where the symbol started */
+ *name = 0;
+ if (forced)
+ {
+ if (c == '(')
+ {
+ /* subscripted substitution symbol -- use just the
+ indicated portion of the string; the description
+ kinda indicates that forced substituion is not
+ supposed to be recursive, but I'm not sure.
+ */
+ unsigned beg, len = 1; /* default to a single char */
+ char *newval = strcpy (xmalloc (strlen (value)+1), value);
+
+ savedp = input_line_pointer;
+ input_line_pointer = tail + 1;
+ beg = get_absolute_expression ();
+ if (beg < 1)
+ {
+ as_bad (_("Invalid subscript (use 1 to %d)"),
+ strlen (value));
+ break;
+ }
+ if (*input_line_pointer == ',')
+ {
+ ++input_line_pointer;
+ len = get_absolute_expression ();
+ if (beg + len > strlen (value))
+ {
+ as_bad (_("Invalid length (use 0 to %d"),
+ strlen (value) - beg);
+ break;
+ }
+ }
+ newval += beg - 1;
+ newval[len] = 0;
+ tail = input_line_pointer;
+ if (*tail++ != ')')
+ {
+ as_bad (_("Missing ')' in subscripted substitution "
+ "symbol expression"));
+ break;
+ }
+ c = *tail;
+ input_line_pointer = savedp;
+
+ value = newval;
+ }
+ name[-1] = 0;
+ }
+ tmp = xmalloc (strlen (head) + strlen (value) +
+ strlen (tail+1) + 2);
+ strcpy (tmp, head);
+ strcat (tmp, value);
+ /* Make sure forced substitutions are properly terminated */
+ if (forced)
+ {
+ if (c != ':')
+ {
+ as_bad (_("Missing forced substitution terminator ':'"));
+ break;
+ }
+ ++tail;
+#if 0
+ /* Try to replace required whitespace
+ eliminated by the preprocessor; technically, a forced
+ substitution could come anywhere, even mid-symbol,
+ e.g. if x is "0", 'sym:x:end' should result in 'sym0end',
+ but 'sym:x: end' should result in 'sym0 end'.
+ FIXME -- this should really be fixed in the preprocessor,
+ but would require several new states;
+ KEEP_WHITE_AROUND_COLON does part of the job, but isn't
+ complete
+ */
+ if ((is_part_of_name (tail[1])
+ && tail[1] != '.'
+ && tail[1] != '$')
+ || tail[1] == '\0' || tail[1] == ',' || tail[1] == '"')
+ ++tail;
+ else
+ *tail = ' ';
+#endif
+ }
+ else
+ /* restore the character after the symbol end */
+ *tail = c;
+ strcat (tmp, tail);
+ /* continue examining after the replacement value */
+ ptr = tmp + strlen (head) + strlen (value);
+ free (replacement);
+ head = replacement = tmp;
+ changed = 1;
+ }
+ else
+ *ptr = c;
+ }
+ else
+ {
+ ++ptr;
+ }
+ }
+
+ if (changed)
+ return replacement;
+ else
+ return line;
+}
+
+/* we use this to handle substitution symbols
+ hijack input_line_pointer, replacing it with our substituted string.
+
+ .sslist should enable listing the line after replacements are made...
+
+ returns the new buffer limit
+*/
+void
+tic54x_start_line_hook ()
+{
+ char *line, *endp;
+ char *replacement = NULL;
+
+ /* work with a copy of the input line, including EOL char */
+ endp = input_line_pointer;
+ while (!is_end_of_line[(int)*endp++])
+ ;
+ line = xmalloc (endp - input_line_pointer + 1);
+ strncpy (line, input_line_pointer, endp - input_line_pointer + 1);
+ line[endp - input_line_pointer] = 0;
+
+ /* scan ahead for parallel insns */
+ parallel_on_next_line_hint = next_line_shows_parallel (endp + 1);
+
+ /* if within a macro, first process forced replacements */
+ if (macro_level > 0)
+ replacement = subsym_substitute (line, 1);
+ else
+ replacement = line;
+ replacement = subsym_substitute (replacement, 0);
+
+ if (replacement != line)
+ {
+ char *tmp = replacement;
+ char *comment = strchr (replacement,';');
+ char endc = replacement[strlen (replacement)-1];
+
+ /* clean up the replacement; we'd prefer to have this done by the
+ standard preprocessing equipment (maybe do_scrub_chars?)
+ but for now, do a quick-and-dirty
+ */
+ if (comment != NULL)
+ {
+ comment[0] = endc;
+ comment[1] = 0;
+ --comment;
+ }
+ else
+ comment = replacement + strlen (replacement) - 1;
+
+ /* trim trailing whitespace */
+ while (isspace (*comment))
+ {
+ comment[0] = endc;
+ comment[1] = 0;
+ --comment;
+ }
+
+ /* compact leading whitespace */
+ while (isspace (tmp[0]) && isspace (tmp[1]))
+ ++tmp;
+
+ input_line_pointer = endp;
+ input_scrub_insert_line (tmp);
+ free (replacement);
+ free (line);
+ /* keep track of whether we've done a substitution */
+ substitution_line = 1;
+ }
+ else
+ {
+ /* no change */
+ free (line);
+ substitution_line = 0;
+ }
+}
+
+/* This is the guts of the machine-dependent assembler. STR points to a
+ machine dependent instruction. This function is supposed to emit
+ the frags/bytes it assembles to.
+ */
+void
+md_assemble (line)
+ char *line;
+{
+ static int repeat_slot = 0;
+ static int delay_slots = 0; /* how many delay slots left to fill? */
+ static int is_parallel = 0;
+ static tic54x_insn insn;
+ char *lptr;
+ char *savedp = input_line_pointer;
+ int c;
+
+ input_line_pointer = line;
+ c = get_symbol_end ();
+
+ if (cpu == VNONE)
+ cpu = V542;
+ if (address_mode_needs_set)
+ {
+ set_address_mode (amode);
+ address_mode_needs_set = 0;
+ }
+ if (cpu_needs_set)
+ {
+ set_cpu (cpu);
+ cpu_needs_set = 0;
+ }
+ assembly_begun = 1;
+
+ if (is_parallel)
+ {
+ is_parallel = 0;
+
+ strcpy (insn.parmnemonic, line);
+ lptr = input_line_pointer;
+ *lptr = c;
+ input_line_pointer = savedp;
+
+ if (tic54x_parse_parallel_insn_lastline (&insn, lptr))
+ {
+ int words = build_insn (&insn);
+
+ if (delay_slots != 0)
+ {
+ if (words > delay_slots)
+ {
+ as_bad (_("Instruction does not fit in available delay "
+ "slots (%d-word insn, %d slots left)"),
+ words, delay_slots);
+ delay_slots = 0;
+ return;
+ }
+ delay_slots -= words;
+ }
+ }
+ return;
+ }
+
+ memset (&insn, 0, sizeof (insn));
+ strcpy (insn.mnemonic, line);
+ lptr = input_line_pointer;
+ *lptr = c;
+ input_line_pointer = savedp;
+
+ /* See if this line is part of a parallel instruction; if so, either this
+ line or the next line will have the "||" specifier preceding the
+ mnemonic, and we look for it in the parallel insn hash table */
+ if (strstr (line, "||") != NULL || parallel_on_next_line_hint)
+ {
+ char *tmp = strstr (line, "||");
+ if (tmp != NULL)
+ *tmp = '\0';
+
+ if (tic54x_parse_parallel_insn_firstline (&insn, lptr))
+ {
+ is_parallel = 1;
+ /* if the parallel part is on the same line, process it now,
+ otherwise let the assembler pick up the next line for us */
+ if (tmp != NULL)
+ {
+ while (isspace (tmp[2]))
+ ++tmp;
+ md_assemble (tmp+2);
+ }
+ }
+ else
+ {
+ as_bad (_("Unrecognized parallel instruction '%s'"), line);
+ }
+ return;
+ }
+
+ if (tic54x_parse_insn (&insn, lptr))
+ {
+ int words;
+
+ if ((insn.tm->flags & FL_LP)
+ && cpu != V545LP && cpu != V546LP)
+ {
+ as_bad (_("Instruction '%s' requires an LP cpu version"),
+ insn.tm->name);
+ return;
+ }
+ if ((insn.tm->flags & FL_FAR)
+ && amode != far_mode)
+ {
+ as_bad (_("Instruction '%s' requires far mode addressing"),
+ insn.tm->name);
+ return;
+ }
+
+ words = build_insn (&insn);
+
+ /* Is this instruction in a delay slot? */
+ if (delay_slots)
+ {
+ if (words > delay_slots)
+ {
+ as_warn (_("Instruction does not fit in available delay "
+ "slots (%d-word insn, %d slots left). "
+ "Resulting behavior is undefined."),
+ words, delay_slots);
+ delay_slots = 0;
+ return;
+ }
+ /* branches in delay slots are not allowed */
+ if (insn.tm->flags & FL_BMASK)
+ {
+ as_warn (_("Instructions which cause PC discontinuity are not "
+ "allowed in a delay slot. "
+ "Resulting behavior is undefined."));
+ }
+ delay_slots -= words;
+ }
+ /* Is this instruction the target of a repeat? */
+ if (repeat_slot)
+ {
+ if (insn.tm->flags & FL_NR)
+ as_warn (_("'%s' is not repeatable. "
+ "Resulting behavior is undefined."),
+ insn.tm->name);
+ else if (insn.is_lkaddr)
+ as_warn (_("Instructions using long offset modifiers or absolute "
+ "addresses are not repeatable. "
+ "Resulting behavior is undefined."));
+ repeat_slot = 0;
+ }
+
+ /* make sure we check the target of a repeat instruction */
+ if (insn.tm->flags & B_REPEAT)
+ {
+ repeat_slot = 1;
+ /* FIXME -- warn if repeat_slot == 1 at EOF */
+ }
+ /* make sure we check our delay slots for validity */
+ if (insn.tm->flags & FL_DELAY)
+ {
+ delay_slots = 2;
+ /* FIXME -- warn if delay_slots != 0 at EOF */
+ }
+ }
+}
+
+/* Do a final adjustment on the symbol table; in this case, make sure we have
+ a ".file" symbol */
+void
+tic54x_adjust_symtab ()
+{
+ if (symbol_rootP == NULL
+ || S_GET_STORAGE_CLASS (symbol_rootP) != C_FILE)
+ {
+ char *filename;
+ unsigned lineno;
+ as_where (&filename, &lineno);
+ c_dot_file_symbol (filename);
+ }
+}
+
+/* In order to get gas to ignore any | chars at the start of a line,
+ this function returns true if a | is found in a line.
+ This lets us process parallel instructions, which span two lines
+*/
+int
+tic54x_unrecognized_line (int c)
+{
+ return c == PARALLEL_SEPARATOR;
+}
+
+/* Watch for local labels of the form $[0-9] and [_a-zA-Z][_a-zA-Z0-9]*?
+ Encode their names so that only we see them and can map them to the
+ appropriate places.
+ FIXME -- obviously this isn't done yet. These locals still show up in the
+ symbol table.
+ */
+void
+tic54x_define_label (symbolS *sym)
+{
+ /*static int local_label_count = 0;*/
+ /*const char *name = S_GET_NAME (sym);*/
+
+ /* just in case we need this later; note that this is not necessarily the
+ same thing as line_label...
+ When aligning or assigning labels to fields, sometimes the label is
+ assigned other than the address at which the label appears.
+ FIXME -- is this really needed? I think all the proper label assignment
+ is done in tic54x_cons.
+ */
+ last_label_seen = sym;
+}
+
+/* Try to parse something that normal parsing failed at. */
+symbolS *
+tic54x_undefined_symbol (name)
+ char *name;
+{
+ symbol *sym;
+
+ /* not sure how to handle predefined symbols */
+ if ((sym = (symbol *)hash_find (cc_hash, name)) != NULL ||
+ (sym = (symbol *)hash_find (cc2_hash, name)) != NULL ||
+ (sym = (symbol *)hash_find (cc3_hash, name)) != NULL ||
+ (sym = (symbol *)hash_find (misc_symbol_hash, name)) != NULL ||
+ (sym = (symbol *)hash_find (sbit_hash, name)) != NULL)
+ {
+ return symbol_new (name, reg_section,
+ (valueT) sym->value,
+ &zero_address_frag);
+ }
+
+ if ((sym = (symbol *)hash_find (reg_hash, name)) != NULL ||
+ (sym = (symbol *)hash_find (mmreg_hash, name)) != NULL ||
+ !strcasecmp (name, "a") || !strcasecmp (name, "b"))
+ {
+ return symbol_new (name, reg_section,
+ (valueT) sym ? sym->value : 0,
+ &zero_address_frag);
+ }
+
+ return NULL;
+}
+
+/* parse a name in an expression before the expression parser takes a stab at
+ it */
+int
+tic54x_parse_name (name, exp)
+ char *name ATTRIBUTE_UNUSED;
+ expressionS *exp ATTRIBUTE_UNUSED;
+{
+#if 0
+ symbol *sym = (symbol *)hash_find (mmreg_hash, name);
+ /* if it's a MMREG, replace it with its constant value */
+ if (sym)
+ {
+ exp->X_op = O_constant;
+ exp->X_add_number = sym->value;
+ return 1;
+ }
+#endif
+ return 0;
+}
+
+char *
+md_atof (type, literalP, sizeP)
+ int type;
+ char *literalP;
+ int *sizeP;
+{
+#define MAX_LITTLENUMS 2
+ LITTLENUM_TYPE words[MAX_LITTLENUMS];
+ LITTLENUM_TYPE *word;
+ /* only one precision on the c54x */
+ int prec = 2;
+ char *t = atof_ieee (input_line_pointer, type, words);
+ if (t)
+ input_line_pointer = t;
+ *sizeP = 4;
+
+ /* target data is little-endian, but floats are stored big-"word"ian. ugh */
+ for (word = words; prec--;)
+ {
+ md_number_to_chars (literalP, (long)(*word++), sizeof (LITTLENUM_TYPE));
+ literalP += sizeof (LITTLENUM_TYPE);
+ }
+
+ return 0;
+}
+
+arelent *
+tc_gen_reloc (section, fixP)
+ asection *section;
+ fixS *fixP;
+{
+ arelent *rel;
+ bfd_reloc_code_real_type code = fixP->fx_r_type;
+ asymbol *sym = symbol_get_bfdsym (fixP->fx_addsy);
+
+ rel = (arelent *) xmalloc (sizeof (arelent));
+ rel->sym_ptr_ptr = (asymbol **)xmalloc (sizeof (asymbol *));
+ *rel->sym_ptr_ptr = sym;
+ /* We assume that all rel->address are host byte offsets */
+ rel->address = fixP->fx_frag->fr_address + fixP->fx_where;
+ rel->address /= OCTETS_PER_BYTE;
+ rel->howto = bfd_reloc_type_lookup (stdoutput, code);
+ if (!strcmp (sym->name, section->name))
+ rel->howto += HOWTO_BANK;
+
+ if (!rel->howto)
+ {
+ const char *name = S_GET_NAME (fixP->fx_addsy);
+ if (name == NULL)
+ name = "<unknown>";
+ as_fatal ("Cannot generate relocation type for symbol %s, code %s",
+ name, bfd_get_reloc_code_name (code));
+ return NULL;
+ }
+ return rel;
+}
+
+/* handle cons expressions */
+void
+tic54x_cons_fix_new (fragS *frag, int where, int octets, expressionS *exp)
+{
+ bfd_reloc_code_real_type r;
+ switch (octets)
+ {
+ default:
+ as_bad (_("Unsupported relocation size %d"), octets);
+ r = BFD_RELOC_TIC54X_16_OF_23;
+ break;
+ case 2:
+ r = BFD_RELOC_TIC54X_16_OF_23;
+ break;
+ case 4:
+ /* TI assembler always uses this, regardless of addressing mode */
+ if (emitting_long)
+ r = BFD_RELOC_TIC54X_23;
+ else
+ /* we never want to directly generate this; this is provided for
+ stabs support only */
+ r = BFD_RELOC_32;
+ break;
+ }
+ fix_new_exp (frag, where, octets, exp, 0, r);
+}
+
+/* Attempt to simplify or even eliminate a fixup.
+ To indicate that a fixup has been eliminated, set fixP->fx_done.
+
+ If fixp->fx_addsy is non-NULL, we'll have to generate a reloc entry.
+ */
+int
+md_apply_fix (fixP, valP)
+ fixS *fixP;
+ valueT *valP;
+{
+ char *buf = fixP->fx_where + fixP->fx_frag->fr_literal;
+ valueT val = *valP;
+
+ switch (fixP->fx_r_type)
+ {
+ default:
+ as_fatal ("Bad relocation type: 0x%02x", fixP->fx_r_type);
+ return 0;
+ case BFD_RELOC_TIC54X_MS7_OF_23:
+ val = (val >> 16) & 0x7F;
+ /* fall through */
+ case BFD_RELOC_TIC54X_16_OF_23:
+ case BFD_RELOC_16:
+ bfd_put_16 (stdoutput, val, buf);
+ /* indicate what we're actually writing, so that we don't get warnings
+ about exceeding available space */
+ *valP = val & 0xFFFF;
+ break;
+ case BFD_RELOC_TIC54X_PARTLS7:
+ bfd_put_16 (stdoutput,
+ (bfd_get_16 (stdoutput, buf) & 0xFF80) | (val & 0x7F),
+ buf);
+ /* indicate what we're actually writing, so that we don't get warnings
+ about exceeding available space */
+ *valP = val & 0x7F;
+ break;
+ case BFD_RELOC_TIC54X_PARTMS9:
+ /* TI assembler doesn't shift its encoding for relocatable files, and is
+ thus incompatible with this implementation's relocatable files */
+ bfd_put_16 (stdoutput,
+ (bfd_get_16 (stdoutput, buf) & 0xFE00) | (val >> 7),
+ buf);
+ break;
+ case BFD_RELOC_32:
+ case BFD_RELOC_TIC54X_23:
+ bfd_put_32 (stdoutput,
+ (bfd_get_32 (stdoutput, buf) & 0xFF800000) | val,
+ buf);
+ break;
+ }
+
+ return 0; /* return value is ignored */
+}
+
+/* this is our chance to record section alignment
+ don't need to do anything here, since BFD does the proper encoding
+ */
+valueT
+md_section_align (segment, section_size)
+ segT segment ATTRIBUTE_UNUSED;
+ valueT section_size;
+{
+ return section_size;
+}
+
+long
+md_pcrel_from (fixP)
+ fixS *fixP ATTRIBUTE_UNUSED;
+{
+ return 0;
+}
+
+#if defined OBJ_COFF
+
+short
+tc_coff_fix2rtype (fixP)
+ fixS *fixP;
+{
+ return (fixP->fx_r_type);
+}
+
+#endif /* OBJ_COFF */
+
+/* mostly little-endian, but longwords (4 octets) get MS word stored first */
+void
+tic54x_number_to_chars (buf, val, n)
+ char *buf;
+ valueT val;
+ int n;
+{
+ if (n != 4)
+ number_to_chars_littleendian (buf, val, n);
+ else
+ {
+ number_to_chars_littleendian (buf, val>>16, 2);
+ number_to_chars_littleendian (buf+2, val&0xFFFF, 2);
+ }
+}
+
+int
+tic54x_estimate_size_before_relax (frag, seg)
+ fragS *frag ATTRIBUTE_UNUSED;
+ segT seg ATTRIBUTE_UNUSED;
+{
+ return 0;
+}
+
+/* we use this to handle bit allocations which we couldn't handle before due
+ to symbols being in different frags. return number of octets added. */
+int
+tic54x_relax_frag (frag, stretch)
+ fragS *frag;
+ long stretch ATTRIBUTE_UNUSED;
+{
+ symbolS *sym = frag->fr_symbol;
+ int growth = 0;
+ int i;
+
+ if (sym != NULL)
+ {
+ struct bit_info *bi = (struct bit_info *)frag->fr_opcode;
+ int bit_offset = frag_bit_offset (frag_prev (frag, bi->seg), bi->seg);
+ int size = S_GET_VALUE (sym);
+ fragS *prev_frag = bit_offset_frag (frag_prev (frag, bi->seg), bi->seg);
+ int available = 16 - bit_offset;
+
+ if (symbol_get_frag (sym) != &zero_address_frag
+ || S_IS_COMMON (sym)
+ || !S_IS_DEFINED (sym))
+ as_bad_where (frag->fr_file, frag->fr_line,
+ _("non-absolute value used with .space/.bes"));
+
+ if (size < 0)
+ {
+ as_warn (_("negative value ignored in %s"),
+ bi->type == TYPE_SPACE ? ".space" :
+ bi->type == TYPE_BES ? ".bes" : ".field");
+ growth = 0;
+ frag->tc_frag_data = frag->fr_fix = 0;
+ return 0;
+ }
+
+ if (bi->type == TYPE_FIELD)
+ {
+ /* bit fields of 16 or larger will have already been handled */
+ if (bit_offset != 0 && available >= size)
+ {
+ char *p = prev_frag->fr_literal;
+ valueT value = bi->value;
+ value <<= available - size;
+ value |= ((unsigned short)p[1]<<8) | p[0];
+ md_number_to_chars (p, value, 2);
+ if ((prev_frag->tc_frag_data += size) == 16)
+ prev_frag->tc_frag_data = 0;
+ if (bi->sym)
+ symbol_set_frag (bi->sym, prev_frag);
+ /* this frag is no longer used */
+ growth = -frag->fr_fix;
+ frag->fr_fix = 0;
+ frag->tc_frag_data = 0;
+ }
+ else
+ {
+ char *p = frag->fr_literal;
+ valueT value = bi->value << (16 - size);
+ md_number_to_chars (p, value, 2);
+ if ((frag->tc_frag_data = size) == 16)
+ frag->tc_frag_data = 0;
+ growth = 0;
+ }
+ }
+ else
+ {
+ if (bit_offset != 0 && bit_offset < 16)
+ {
+ if (available >= size)
+ {
+ if ((prev_frag->tc_frag_data += size) == 16)
+ prev_frag->tc_frag_data = 0;
+ if (bi->sym)
+ symbol_set_frag (bi->sym, prev_frag);
+ /* this frag is no longer used */
+ growth = -frag->fr_fix;
+ frag->fr_fix = 0;
+ frag->tc_frag_data = 0;
+ goto getout;
+ }
+ if (bi->type == TYPE_SPACE && bi->sym)
+ symbol_set_frag (bi->sym, prev_frag);
+ size -= available;
+ }
+ growth = (size + 15) / 16 * OCTETS_PER_BYTE - frag->fr_fix;
+ for (i=0;i < growth;i++)
+ frag->fr_literal[i] = 0;
+ frag->fr_fix = growth;
+ frag->tc_frag_data = size % 16;
+ /* make sure any BES label points to the LAST word allocated */
+ if (bi->type == TYPE_BES && bi->sym)
+ S_SET_VALUE (bi->sym, frag->fr_fix / OCTETS_PER_BYTE - 1);
+ }
+ getout:
+ frag->fr_symbol = 0;
+ frag->fr_opcode = 0;
+ free ((void *)bi);
+ }
+ return growth;
+}
+
+void
+tic54x_convert_frag (abfd, seg, frag)
+ bfd *abfd ATTRIBUTE_UNUSED;
+ segT seg ATTRIBUTE_UNUSED;
+ fragS *frag;
+{
+ /* offset is in bytes */
+ frag->fr_offset = (frag->fr_next->fr_address
+ - frag->fr_address
+ - frag->fr_fix) / frag->fr_var;
+ if (frag->fr_offset < 0)
+ {
+ as_bad_where (frag->fr_file, frag->fr_line,
+ _("attempt to .space/.bes backwards? (%ld)"),
+ (long) frag->fr_offset);
+ }
+ frag->fr_type = rs_space;
+}
+
+/* we need to avoid having labels defined for certain directives/pseudo-ops
+ since once the label is defined, it's in the symbol table for good. TI
+ syntax puts the symbol *before* the pseudo (which is kinda like MRI syntax,
+ I guess, except I've never seen a definition of MRI syntax).
+
+ C is the character that used to be at *REST, which points to the end of the
+ label.
+
+ Don't allow labels to start with '.'
+*/
+int
+tic54x_start_label (c, rest)
+ int c;
+ char *rest;
+{
+ /* if within .struct/.union, no auto line labels, please */
+ if (current_stag != NULL)
+ return 0;
+
+ /* disallow labels starting with "." */
+ if (c != ':')
+ {
+ char *label = rest;
+ while (!is_end_of_line[(int)label[-1]])
+ --label;
+ if (*label == '.')
+ {
+ as_bad (_("Invalid label '%s'"), label);
+ return 0;
+ }
+ }
+
+ if (is_end_of_line[(int)c])
+ return 1;
+
+ if (isspace (c))
+ while (isspace (c = *++rest))
+ ;
+ if (c == '.')
+ {
+ /* don't let colon () define a label for any of these... */
+ return (strncasecmp (rest, ".tag", 4) != 0 || !isspace (rest[4]))
+ && (strncasecmp (rest, ".struct", 7) != 0 || !isspace (rest[7]))
+ && (strncasecmp (rest, ".union", 6) != 0 || !isspace (rest[6]))
+ && (strncasecmp (rest, ".macro", 6) != 0 || !isspace (rest[6]))
+ && (strncasecmp (rest, ".set", 4) != 0 || !isspace (rest[4]))
+ && (strncasecmp (rest, ".equ", 4) != 0 || !isspace (rest[4]));
+ }
+
+ return 1;
+}
diff --git a/gas/config/tc-tic54x.h b/gas/config/tc-tic54x.h
new file mode 100644
index 0000000..6a41cdc
--- /dev/null
+++ b/gas/config/tc-tic54x.h
@@ -0,0 +1,128 @@
+/* tc-tic54x.h -- Header file for tc-tic54x.c
+ Copyright (C) 1999, 2000 Free Software Foundation.
+ Contributed by Timothy Wall (twall@alum.mit.edu)
+
+ This file is part of GAS, the GNU Assembler.
+
+ GAS is free software; you can redistribute it and/or modify
+ it under the terms of the GNU General Public License as published by
+ the Free Software Foundation; either version 2, or (at your option)
+ any later version.
+
+ GAS is distributed in the hope that it will be useful,
+ but WITHOUT ANY WARRANTY; without even the implied warranty of
+ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ GNU General Public License for more details.
+
+ You should have received a copy of the GNU General Public License
+ along with GAS; see the file COPYING. If not, write to the Free
+ Software Foundation, 59 Temple Place - Suite 330, Boston, MA
+ 02111-1307, USA. */
+
+#ifndef _TC_TIC54X_H_
+#define _TC_TIC54X_H_
+
+/* select the proper coff format (see obj-coff.h) */
+#define TC_TIC54X
+
+#define TARGET_BYTES_BIG_ENDIAN 0
+#define OCTETS_PER_BYTE_POWER 1
+
+#define TARGET_ARCH bfd_arch_tic54x
+#define BFD_ARCH TARGET_ARCH
+
+#define WORKING_DOT_WORD 1
+
+#define MAX_OPERANDS 4
+#define PARALLEL_SEPARATOR '|'
+#define LABELS_WITHOUT_COLONS 1
+/* accept 0FFFFh, 1010b, etc. */
+#define NUMBERS_WITH_SUFFIX 1
+/* $ is section program counter */
+#define DOLLAR_DOT 1
+/* accept parallel lines like
+ add #1,a || ld #1, b
+ (may also be split across lines)
+*/
+#define DOUBLEBAR_PARALLEL 1
+/* affects preprocessor */
+#define KEEP_WHITE_AROUND_COLON 1
+
+/* We need the extra field in the fixup struct to put the relocation in. */
+
+#define NEED_FX_R_TYPE
+
+struct bit_info
+{
+ segT seg;
+#define TYPE_SPACE 0
+#define TYPE_BES 1
+#define TYPE_FIELD 2
+ int type;
+ symbolS *sym;
+ valueT value;
+ char *where;
+ int offset;
+};
+
+/* We sometimes need to keep track of bit offsets within words */
+#define TC_FRAG_TYPE int
+#define TC_FRAG_INIT(FRAGP) do {(FRAGP)->tc_frag_data = 0;}while(0)
+
+/* tell GAS whether the given token is indeed a code label */
+#define TC_START_LABEL_WITHOUT_COLON(c,ptr) tic54x_start_label(c,ptr)
+extern int tic54x_start_label PARAMS((int, char *));
+
+/* custom handling for relocations in cons expressions */
+#define TC_CONS_FIX_NEW(FRAG,OFF,LEN,EXP) tic54x_cons_fix_new(FRAG,OFF,LEN,EXP)
+extern void tic54x_cons_fix_new PARAMS((fragS *,int,int,expressionS *));
+
+/* Define md_number_to_chars as the appropriate standard big endian or
+ little endian function. Mostly littleendian, but longwords and floats are
+ stored MS word first.
+*/
+
+#define md_number_to_chars tic54x_number_to_chars
+extern void tic54x_number_to_chars PARAMS((char *, valueT, int));
+#define tc_adjust_symtab() tic54x_adjust_symtab()
+extern void tic54x_adjust_symtab PARAMS(());
+#define tc_unrecognized_line(ch) tic54x_unrecognized_line(ch)
+extern int tic54x_unrecognized_line PARAMS((int ch));
+#define md_parse_name(s,e) tic54x_parse_name(s,e)
+extern int tic54x_parse_name PARAMS((char *name, expressionS *e));
+#define md_undefined_symbol(s) tic54x_undefined_symbol(s)
+extern symbolS *tic54x_undefined_symbol PARAMS((char *name));
+#define md_macro_start() tic54x_macro_start()
+extern void tic54x_macro_start ();
+#define md_macro_end() tic54x_macro_end()
+extern void tic54x_macro_end ();
+#define md_macro_info(args) tic54x_macro_info(args)
+extern void tic54x_macro_info PARAMS((void *macro));
+#define tc_frob_label(sym) tic54x_define_label (sym)
+extern void tic54x_define_label PARAMS((symbolS *));
+
+#define md_start_line_hook() tic54x_start_line_hook()
+extern void tic54x_start_line_hook ();
+
+#define md_estimate_size_before_relax(f,s) \
+tic54x_estimate_size_before_relax(f,s)
+extern int tic54x_estimate_size_before_relax(fragS *, segT);
+
+#define md_relax_frag(f,s) tic54x_relax_frag(f,s)
+extern int tic54x_relax_frag(fragS *, long);
+
+#define md_convert_frag(b,s,f) tic54x_convert_frag(b,s,f)
+extern void tic54x_convert_frag(bfd *, segT, fragS *);
+
+/* Other things we don't support... */
+
+/* Define away the call to md_operand in the expression parsing code.
+ This is called whenever the expression parser can't parse the input
+ and gives the assembler backend a chance to deal with it instead. */
+
+#define md_operand(X)
+
+/* spruce up the listing output */
+#define LISTING_WORD_SIZE 2
+
+#endif
diff --git a/gas/configure b/gas/configure
index f6c7971..602a3cf 100755
--- a/gas/configure
+++ b/gas/configure
@@ -53,7 +53,6 @@ program_suffix=NONE
program_transform_name=s,x,x,
silent=
site=
-sitefile=
srcdir=
target=NONE
verbose=
@@ -168,7 +167,6 @@ Configuration:
--help print this message
--no-create do not create output files
--quiet, --silent do not print \`checking...' messages
- --site-file=FILE use FILE as the site file
--version print the version of autoconf that created configure
Directory and file names:
--prefix=PREFIX install architecture-independent files in PREFIX
@@ -339,11 +337,6 @@ EOF
-site=* | --site=* | --sit=*)
site="$ac_optarg" ;;
- -site-file | --site-file | --site-fil | --site-fi | --site-f)
- ac_prev=sitefile ;;
- -site-file=* | --site-file=* | --site-fil=* | --site-fi=* | --site-f=*)
- sitefile="$ac_optarg" ;;
-
-srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
ac_prev=srcdir ;;
-srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
@@ -509,16 +502,12 @@ fi
srcdir=`echo "${srcdir}" | sed 's%\([^/]\)/*$%\1%'`
# Prefer explicitly selected file to automatically selected ones.
-if test -z "$sitefile"; then
- if test -z "$CONFIG_SITE"; then
- if test "x$prefix" != xNONE; then
- CONFIG_SITE="$prefix/share/config.site $prefix/etc/config.site"
- else
- CONFIG_SITE="$ac_default_prefix/share/config.site $ac_default_prefix/etc/config.site"
- fi
+if test -z "$CONFIG_SITE"; then
+ if test "x$prefix" != xNONE; then
+ CONFIG_SITE="$prefix/share/config.site $prefix/etc/config.site"
+ else
+ CONFIG_SITE="$ac_default_prefix/share/config.site $ac_default_prefix/etc/config.site"
fi
-else
- CONFIG_SITE="$sitefile"
fi
for ac_site_file in $CONFIG_SITE; do
if test -r "$ac_site_file"; then
@@ -605,7 +594,7 @@ else { echo "configure: error: can not run $ac_config_sub" 1>&2; exit 1; }
fi
echo $ac_n "checking host system type""... $ac_c" 1>&6
-echo "configure:609: checking host system type" >&5
+echo "configure:598: checking host system type" >&5
host_alias=$host
case "$host_alias" in
@@ -626,7 +615,7 @@ host_os=`echo $host | sed 's/^\([^-]*\)-\([^-]*\)-\(.*\)$/\3/'`
echo "$ac_t""$host" 1>&6
echo $ac_n "checking target system type""... $ac_c" 1>&6
-echo "configure:630: checking target system type" >&5
+echo "configure:619: checking target system type" >&5
target_alias=$target
case "$target_alias" in
@@ -644,7 +633,7 @@ target_os=`echo $target | sed 's/^\([^-]*\)-\([^-]*\)-\(.*\)$/\3/'`
echo "$ac_t""$target" 1>&6
echo $ac_n "checking build system type""... $ac_c" 1>&6
-echo "configure:648: checking build system type" >&5
+echo "configure:637: checking build system type" >&5
build_alias=$build
case "$build_alias" in
@@ -679,7 +668,7 @@ test "$host_alias" != "$target_alias" &&
# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
# ./install, which can be erroneously created by make from ./install.sh.
echo $ac_n "checking for a BSD compatible install""... $ac_c" 1>&6
-echo "configure:683: checking for a BSD compatible install" >&5
+echo "configure:672: checking for a BSD compatible install" >&5
if test -z "$INSTALL"; then
if eval "test \"`echo '$''{'ac_cv_path_install'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
@@ -732,7 +721,7 @@ test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL_PROGRAM}'
test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
echo $ac_n "checking whether build environment is sane""... $ac_c" 1>&6
-echo "configure:736: checking whether build environment is sane" >&5
+echo "configure:725: checking whether build environment is sane" >&5
# Just in case
sleep 1
echo timestamp > conftestfile
@@ -789,7 +778,7 @@ test "$program_suffix" != NONE &&
test "$program_transform_name" = "" && program_transform_name="s,x,x,"
echo $ac_n "checking whether ${MAKE-make} sets \${MAKE}""... $ac_c" 1>&6
-echo "configure:793: checking whether ${MAKE-make} sets \${MAKE}" >&5
+echo "configure:782: checking whether ${MAKE-make} sets \${MAKE}" >&5
set dummy ${MAKE-make}; ac_make=`echo "$2" | sed 'y%./+-%__p_%'`
if eval "test \"`echo '$''{'ac_cv_prog_make_${ac_make}_set'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
@@ -835,7 +824,7 @@ EOF
missing_dir=`cd $ac_aux_dir && pwd`
echo $ac_n "checking for working aclocal""... $ac_c" 1>&6
-echo "configure:839: checking for working aclocal" >&5
+echo "configure:828: checking for working aclocal" >&5
# Run test in a subshell; some versions of sh will print an error if
# an executable is not found, even if stderr is redirected.
# Redirect stdin to placate older versions of autoconf. Sigh.
@@ -848,7 +837,7 @@ else
fi
echo $ac_n "checking for working autoconf""... $ac_c" 1>&6
-echo "configure:852: checking for working autoconf" >&5
+echo "configure:841: checking for working autoconf" >&5
# Run test in a subshell; some versions of sh will print an error if
# an executable is not found, even if stderr is redirected.
# Redirect stdin to placate older versions of autoconf. Sigh.
@@ -861,7 +850,7 @@ else
fi
echo $ac_n "checking for working automake""... $ac_c" 1>&6
-echo "configure:865: checking for working automake" >&5
+echo "configure:854: checking for working automake" >&5
# Run test in a subshell; some versions of sh will print an error if
# an executable is not found, even if stderr is redirected.
# Redirect stdin to placate older versions of autoconf. Sigh.
@@ -874,7 +863,7 @@ else
fi
echo $ac_n "checking for working autoheader""... $ac_c" 1>&6
-echo "configure:878: checking for working autoheader" >&5
+echo "configure:867: checking for working autoheader" >&5
# Run test in a subshell; some versions of sh will print an error if
# an executable is not found, even if stderr is redirected.
# Redirect stdin to placate older versions of autoconf. Sigh.
@@ -887,7 +876,7 @@ else
fi
echo $ac_n "checking for working makeinfo""... $ac_c" 1>&6
-echo "configure:891: checking for working makeinfo" >&5
+echo "configure:880: checking for working makeinfo" >&5
# Run test in a subshell; some versions of sh will print an error if
# an executable is not found, even if stderr is redirected.
# Redirect stdin to placate older versions of autoconf. Sigh.
@@ -973,7 +962,7 @@ fi
# Extract the first word of "ranlib", so it can be a program name with args.
set dummy ranlib; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:977: checking for $ac_word" >&5
+echo "configure:966: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_RANLIB'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1003,7 +992,7 @@ fi
# Extract the first word of "gcc", so it can be a program name with args.
set dummy gcc; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:1007: checking for $ac_word" >&5
+echo "configure:996: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1033,7 +1022,7 @@ if test -z "$CC"; then
# Extract the first word of "cc", so it can be a program name with args.
set dummy cc; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:1037: checking for $ac_word" >&5
+echo "configure:1026: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1084,7 +1073,7 @@ fi
# Extract the first word of "cl", so it can be a program name with args.
set dummy cl; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:1088: checking for $ac_word" >&5
+echo "configure:1077: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1116,7 +1105,7 @@ fi
fi
echo $ac_n "checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works""... $ac_c" 1>&6
-echo "configure:1120: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works" >&5
+echo "configure:1109: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works" >&5
ac_ext=c
# CFLAGS is not in ac_cpp because -g, -O, etc. are not valid cpp options.
@@ -1127,12 +1116,12 @@ cross_compiling=$ac_cv_prog_cc_cross
cat > conftest.$ac_ext << EOF
-#line 1131 "configure"
+#line 1120 "configure"
#include "confdefs.h"
main(){return(0);}
EOF
-if { (eval echo configure:1136: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:1125: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
ac_cv_prog_cc_works=yes
# If we can't run a trivial program, we are probably using a cross compiler.
if (./conftest; exit) 2>/dev/null; then
@@ -1158,12 +1147,12 @@ if test $ac_cv_prog_cc_works = no; then
{ echo "configure: error: installation or configuration problem: C compiler cannot create executables." 1>&2; exit 1; }
fi
echo $ac_n "checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler""... $ac_c" 1>&6
-echo "configure:1162: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler" >&5
+echo "configure:1151: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler" >&5
echo "$ac_t""$ac_cv_prog_cc_cross" 1>&6
cross_compiling=$ac_cv_prog_cc_cross
echo $ac_n "checking whether we are using GNU C""... $ac_c" 1>&6
-echo "configure:1167: checking whether we are using GNU C" >&5
+echo "configure:1156: checking whether we are using GNU C" >&5
if eval "test \"`echo '$''{'ac_cv_prog_gcc'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1172,7 +1161,7 @@ else
yes;
#endif
EOF
-if { ac_try='${CC-cc} -E conftest.c'; { (eval echo configure:1176: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }; } | egrep yes >/dev/null 2>&1; then
+if { ac_try='${CC-cc} -E conftest.c'; { (eval echo configure:1165: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }; } | egrep yes >/dev/null 2>&1; then
ac_cv_prog_gcc=yes
else
ac_cv_prog_gcc=no
@@ -1191,7 +1180,7 @@ ac_test_CFLAGS="${CFLAGS+set}"
ac_save_CFLAGS="$CFLAGS"
CFLAGS=
echo $ac_n "checking whether ${CC-cc} accepts -g""... $ac_c" 1>&6
-echo "configure:1195: checking whether ${CC-cc} accepts -g" >&5
+echo "configure:1184: checking whether ${CC-cc} accepts -g" >&5
if eval "test \"`echo '$''{'ac_cv_prog_cc_g'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1234,7 +1223,7 @@ ac_prog=ld
if test "$ac_cv_prog_gcc" = yes; then
# Check if gcc -print-prog-name=ld gives a path.
echo $ac_n "checking for ld used by GCC""... $ac_c" 1>&6
-echo "configure:1238: checking for ld used by GCC" >&5
+echo "configure:1227: checking for ld used by GCC" >&5
ac_prog=`($CC -print-prog-name=ld) 2>&5`
case "$ac_prog" in
# Accept absolute paths.
@@ -1258,10 +1247,10 @@ echo "configure:1238: checking for ld used by GCC" >&5
esac
elif test "$with_gnu_ld" = yes; then
echo $ac_n "checking for GNU ld""... $ac_c" 1>&6
-echo "configure:1262: checking for GNU ld" >&5
+echo "configure:1251: checking for GNU ld" >&5
else
echo $ac_n "checking for non-GNU ld""... $ac_c" 1>&6
-echo "configure:1265: checking for non-GNU ld" >&5
+echo "configure:1254: checking for non-GNU ld" >&5
fi
if eval "test \"`echo '$''{'ac_cv_path_LD'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
@@ -1296,7 +1285,7 @@ else
fi
test -z "$LD" && { echo "configure: error: no acceptable ld found in \$PATH" 1>&2; exit 1; }
echo $ac_n "checking if the linker ($LD) is GNU ld""... $ac_c" 1>&6
-echo "configure:1300: checking if the linker ($LD) is GNU ld" >&5
+echo "configure:1289: checking if the linker ($LD) is GNU ld" >&5
if eval "test \"`echo '$''{'ac_cv_prog_gnu_ld'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1312,7 +1301,7 @@ echo "$ac_t""$ac_cv_prog_gnu_ld" 1>&6
echo $ac_n "checking for BSD-compatible nm""... $ac_c" 1>&6
-echo "configure:1316: checking for BSD-compatible nm" >&5
+echo "configure:1305: checking for BSD-compatible nm" >&5
if eval "test \"`echo '$''{'ac_cv_path_NM'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1348,7 +1337,7 @@ NM="$ac_cv_path_NM"
echo "$ac_t""$NM" 1>&6
echo $ac_n "checking whether ln -s works""... $ac_c" 1>&6
-echo "configure:1352: checking whether ln -s works" >&5
+echo "configure:1341: checking whether ln -s works" >&5
if eval "test \"`echo '$''{'ac_cv_prog_LN_S'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -1397,8 +1386,8 @@ test x"$silent" = xyes && libtool_flags="$libtool_flags --silent"
case "$lt_target" in
*-*-irix6*)
# Find out which ABI we are using.
- echo '#line 1401 "configure"' > conftest.$ac_ext
- if { (eval echo configure:1402: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+ echo '#line 1390 "configure"' > conftest.$ac_ext
+ if { (eval echo configure:1391: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
case "`/usr/bin/file conftest.o`" in
*32-bit*)
LD="${LD-ld} -32"
@@ -1419,19 +1408,19 @@ case "$lt_target" in
SAVE_CFLAGS="$CFLAGS"
CFLAGS="$CFLAGS -belf"
echo $ac_n "checking whether the C compiler needs -belf""... $ac_c" 1>&6
-echo "configure:1423: checking whether the C compiler needs -belf" >&5
+echo "configure:1412: checking whether the C compiler needs -belf" >&5
if eval "test \"`echo '$''{'lt_cv_cc_needs_belf'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 1428 "configure"
+#line 1417 "configure"
#include "confdefs.h"
int main() {
; return 0; }
EOF
-if { (eval echo configure:1435: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:1424: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
lt_cv_cc_needs_belf=yes
else
@@ -1934,6 +1923,8 @@ EOF
tic30-*-*aout*) fmt=aout bfd_gas=yes ;;
tic30-*-*coff*) fmt=coff bfd_gas=yes ;;
+ tic54x-*-* | c54x*-*-*)
+ fmt=coff bfd_gas=yes need_libm=yes;;
tic80-*-*) fmt=coff ;;
v850-*-*) fmt=elf bfd_gas=yes ;;
@@ -2433,7 +2424,7 @@ EOF
# Extract the first word of "gcc", so it can be a program name with args.
set dummy gcc; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2437: checking for $ac_word" >&5
+echo "configure:2428: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2463,7 +2454,7 @@ if test -z "$CC"; then
# Extract the first word of "cc", so it can be a program name with args.
set dummy cc; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2467: checking for $ac_word" >&5
+echo "configure:2458: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2514,7 +2505,7 @@ fi
# Extract the first word of "cl", so it can be a program name with args.
set dummy cl; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2518: checking for $ac_word" >&5
+echo "configure:2509: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_CC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2546,7 +2537,7 @@ fi
fi
echo $ac_n "checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works""... $ac_c" 1>&6
-echo "configure:2550: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works" >&5
+echo "configure:2541: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) works" >&5
ac_ext=c
# CFLAGS is not in ac_cpp because -g, -O, etc. are not valid cpp options.
@@ -2557,12 +2548,12 @@ cross_compiling=$ac_cv_prog_cc_cross
cat > conftest.$ac_ext << EOF
-#line 2561 "configure"
+#line 2552 "configure"
#include "confdefs.h"
main(){return(0);}
EOF
-if { (eval echo configure:2566: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:2557: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
ac_cv_prog_cc_works=yes
# If we can't run a trivial program, we are probably using a cross compiler.
if (./conftest; exit) 2>/dev/null; then
@@ -2588,12 +2579,12 @@ if test $ac_cv_prog_cc_works = no; then
{ echo "configure: error: installation or configuration problem: C compiler cannot create executables." 1>&2; exit 1; }
fi
echo $ac_n "checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler""... $ac_c" 1>&6
-echo "configure:2592: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler" >&5
+echo "configure:2583: checking whether the C compiler ($CC $CFLAGS $LDFLAGS) is a cross-compiler" >&5
echo "$ac_t""$ac_cv_prog_cc_cross" 1>&6
cross_compiling=$ac_cv_prog_cc_cross
echo $ac_n "checking whether we are using GNU C""... $ac_c" 1>&6
-echo "configure:2597: checking whether we are using GNU C" >&5
+echo "configure:2588: checking whether we are using GNU C" >&5
if eval "test \"`echo '$''{'ac_cv_prog_gcc'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2602,7 +2593,7 @@ else
yes;
#endif
EOF
-if { ac_try='${CC-cc} -E conftest.c'; { (eval echo configure:2606: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }; } | egrep yes >/dev/null 2>&1; then
+if { ac_try='${CC-cc} -E conftest.c'; { (eval echo configure:2597: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }; } | egrep yes >/dev/null 2>&1; then
ac_cv_prog_gcc=yes
else
ac_cv_prog_gcc=no
@@ -2621,7 +2612,7 @@ ac_test_CFLAGS="${CFLAGS+set}"
ac_save_CFLAGS="$CFLAGS"
CFLAGS=
echo $ac_n "checking whether ${CC-cc} accepts -g""... $ac_c" 1>&6
-echo "configure:2625: checking whether ${CC-cc} accepts -g" >&5
+echo "configure:2616: checking whether ${CC-cc} accepts -g" >&5
if eval "test \"`echo '$''{'ac_cv_prog_cc_g'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2658,7 +2649,7 @@ do
# Extract the first word of "$ac_prog", so it can be a program name with args.
set dummy $ac_prog; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2662: checking for $ac_word" >&5
+echo "configure:2653: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_YACC'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2689,7 +2680,7 @@ done
test -n "$YACC" || YACC="yacc"
echo $ac_n "checking how to run the C preprocessor""... $ac_c" 1>&6
-echo "configure:2693: checking how to run the C preprocessor" >&5
+echo "configure:2684: checking how to run the C preprocessor" >&5
# On Suns, sometimes $CPP names a directory.
if test -n "$CPP" && test -d "$CPP"; then
CPP=
@@ -2704,13 +2695,13 @@ else
# On the NeXT, cc -E runs the code through the compiler's parser,
# not just through cpp.
cat > conftest.$ac_ext <<EOF
-#line 2708 "configure"
+#line 2699 "configure"
#include "confdefs.h"
#include <assert.h>
Syntax Error
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:2714: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:2705: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
:
@@ -2721,13 +2712,13 @@ else
rm -rf conftest*
CPP="${CC-cc} -E -traditional-cpp"
cat > conftest.$ac_ext <<EOF
-#line 2725 "configure"
+#line 2716 "configure"
#include "confdefs.h"
#include <assert.h>
Syntax Error
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:2731: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:2722: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
:
@@ -2738,13 +2729,13 @@ else
rm -rf conftest*
CPP="${CC-cc} -nologo -E"
cat > conftest.$ac_ext <<EOF
-#line 2742 "configure"
+#line 2733 "configure"
#include "confdefs.h"
#include <assert.h>
Syntax Error
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:2748: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:2739: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
:
@@ -2774,7 +2765,7 @@ do
# Extract the first word of "$ac_prog", so it can be a program name with args.
set dummy $ac_prog; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2778: checking for $ac_word" >&5
+echo "configure:2769: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_LEX'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2807,7 +2798,7 @@ test -n "$LEX" || LEX=""$missing_dir/missing flex""
# Extract the first word of "flex", so it can be a program name with args.
set dummy flex; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:2811: checking for $ac_word" >&5
+echo "configure:2802: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_prog_LEX'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2841,7 +2832,7 @@ then
*) ac_lib=l ;;
esac
echo $ac_n "checking for yywrap in -l$ac_lib""... $ac_c" 1>&6
-echo "configure:2845: checking for yywrap in -l$ac_lib" >&5
+echo "configure:2836: checking for yywrap in -l$ac_lib" >&5
ac_lib_var=`echo $ac_lib'_'yywrap | sed 'y%./+-%__p_%'`
if eval "test \"`echo '$''{'ac_cv_lib_$ac_lib_var'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
@@ -2849,7 +2840,7 @@ else
ac_save_LIBS="$LIBS"
LIBS="-l$ac_lib $LIBS"
cat > conftest.$ac_ext <<EOF
-#line 2853 "configure"
+#line 2844 "configure"
#include "confdefs.h"
/* Override any gcc2 internal prototype to avoid an error. */
/* We use char because int might match the return type of a gcc2
@@ -2860,7 +2851,7 @@ int main() {
yywrap()
; return 0; }
EOF
-if { (eval echo configure:2864: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:2855: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_lib_$ac_lib_var=yes"
else
@@ -2883,7 +2874,7 @@ fi
fi
echo $ac_n "checking lex output file root""... $ac_c" 1>&6
-echo "configure:2887: checking lex output file root" >&5
+echo "configure:2878: checking lex output file root" >&5
if eval "test \"`echo '$''{'ac_cv_prog_lex_root'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2904,7 +2895,7 @@ echo "$ac_t""$ac_cv_prog_lex_root" 1>&6
LEX_OUTPUT_ROOT=$ac_cv_prog_lex_root
echo $ac_n "checking whether yytext is a pointer""... $ac_c" 1>&6
-echo "configure:2908: checking whether yytext is a pointer" >&5
+echo "configure:2899: checking whether yytext is a pointer" >&5
if eval "test \"`echo '$''{'ac_cv_prog_lex_yytext_pointer'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -2916,14 +2907,14 @@ echo 'extern char *yytext;' >>$LEX_OUTPUT_ROOT.c
ac_save_LIBS="$LIBS"
LIBS="$LIBS $LEXLIB"
cat > conftest.$ac_ext <<EOF
-#line 2920 "configure"
+#line 2911 "configure"
#include "confdefs.h"
`cat $LEX_OUTPUT_ROOT.c`
int main() {
; return 0; }
EOF
-if { (eval echo configure:2927: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:2918: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
ac_cv_prog_lex_yytext_pointer=yes
else
@@ -2947,7 +2938,7 @@ fi
ALL_LINGUAS=
echo $ac_n "checking for POSIXized ISC""... $ac_c" 1>&6
-echo "configure:2951: checking for POSIXized ISC" >&5
+echo "configure:2942: checking for POSIXized ISC" >&5
if test -d /etc/conf/kconfig.d &&
grep _POSIX_VERSION /usr/include/sys/unistd.h >/dev/null 2>&1
then
@@ -2968,12 +2959,12 @@ else
fi
echo $ac_n "checking for ANSI C header files""... $ac_c" 1>&6
-echo "configure:2972: checking for ANSI C header files" >&5
+echo "configure:2963: checking for ANSI C header files" >&5
if eval "test \"`echo '$''{'ac_cv_header_stdc'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 2977 "configure"
+#line 2968 "configure"
#include "confdefs.h"
#include <stdlib.h>
#include <stdarg.h>
@@ -2981,7 +2972,7 @@ else
#include <float.h>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:2985: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:2976: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -2998,7 +2989,7 @@ rm -f conftest*
if test $ac_cv_header_stdc = yes; then
# SunOS 4.x string.h does not declare mem*, contrary to ANSI.
cat > conftest.$ac_ext <<EOF
-#line 3002 "configure"
+#line 2993 "configure"
#include "confdefs.h"
#include <string.h>
EOF
@@ -3016,7 +3007,7 @@ fi
if test $ac_cv_header_stdc = yes; then
# ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
cat > conftest.$ac_ext <<EOF
-#line 3020 "configure"
+#line 3011 "configure"
#include "confdefs.h"
#include <stdlib.h>
EOF
@@ -3037,7 +3028,7 @@ if test "$cross_compiling" = yes; then
:
else
cat > conftest.$ac_ext <<EOF
-#line 3041 "configure"
+#line 3032 "configure"
#include "confdefs.h"
#include <ctype.h>
#define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
@@ -3048,7 +3039,7 @@ if (XOR (islower (i), ISLOWER (i)) || toupper (i) != TOUPPER (i)) exit(2);
exit (0); }
EOF
-if { (eval echo configure:3052: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
+if { (eval echo configure:3043: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
then
:
else
@@ -3072,12 +3063,12 @@ EOF
fi
echo $ac_n "checking for working const""... $ac_c" 1>&6
-echo "configure:3076: checking for working const" >&5
+echo "configure:3067: checking for working const" >&5
if eval "test \"`echo '$''{'ac_cv_c_const'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3081 "configure"
+#line 3072 "configure"
#include "confdefs.h"
int main() {
@@ -3126,7 +3117,7 @@ ccp = (char const *const *) p;
; return 0; }
EOF
-if { (eval echo configure:3130: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+if { (eval echo configure:3121: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
rm -rf conftest*
ac_cv_c_const=yes
else
@@ -3147,21 +3138,21 @@ EOF
fi
echo $ac_n "checking for inline""... $ac_c" 1>&6
-echo "configure:3151: checking for inline" >&5
+echo "configure:3142: checking for inline" >&5
if eval "test \"`echo '$''{'ac_cv_c_inline'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
ac_cv_c_inline=no
for ac_kw in inline __inline__ __inline; do
cat > conftest.$ac_ext <<EOF
-#line 3158 "configure"
+#line 3149 "configure"
#include "confdefs.h"
int main() {
} $ac_kw foo() {
; return 0; }
EOF
-if { (eval echo configure:3165: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+if { (eval echo configure:3156: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
rm -rf conftest*
ac_cv_c_inline=$ac_kw; break
else
@@ -3187,12 +3178,12 @@ EOF
esac
echo $ac_n "checking for off_t""... $ac_c" 1>&6
-echo "configure:3191: checking for off_t" >&5
+echo "configure:3182: checking for off_t" >&5
if eval "test \"`echo '$''{'ac_cv_type_off_t'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3196 "configure"
+#line 3187 "configure"
#include "confdefs.h"
#include <sys/types.h>
#if STDC_HEADERS
@@ -3220,12 +3211,12 @@ EOF
fi
echo $ac_n "checking for size_t""... $ac_c" 1>&6
-echo "configure:3224: checking for size_t" >&5
+echo "configure:3215: checking for size_t" >&5
if eval "test \"`echo '$''{'ac_cv_type_size_t'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3229 "configure"
+#line 3220 "configure"
#include "confdefs.h"
#include <sys/types.h>
#if STDC_HEADERS
@@ -3255,19 +3246,19 @@ fi
# The Ultrix 4.2 mips builtin alloca declared by alloca.h only works
# for constant arguments. Useless!
echo $ac_n "checking for working alloca.h""... $ac_c" 1>&6
-echo "configure:3259: checking for working alloca.h" >&5
+echo "configure:3250: checking for working alloca.h" >&5
if eval "test \"`echo '$''{'ac_cv_header_alloca_h'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3264 "configure"
+#line 3255 "configure"
#include "confdefs.h"
#include <alloca.h>
int main() {
char *p = alloca(2 * sizeof(int));
; return 0; }
EOF
-if { (eval echo configure:3271: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3262: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
ac_cv_header_alloca_h=yes
else
@@ -3288,12 +3279,12 @@ EOF
fi
echo $ac_n "checking for alloca""... $ac_c" 1>&6
-echo "configure:3292: checking for alloca" >&5
+echo "configure:3283: checking for alloca" >&5
if eval "test \"`echo '$''{'ac_cv_func_alloca_works'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3297 "configure"
+#line 3288 "configure"
#include "confdefs.h"
#ifdef __GNUC__
@@ -3321,7 +3312,7 @@ int main() {
char *p = (char *) alloca(1);
; return 0; }
EOF
-if { (eval echo configure:3325: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3316: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
ac_cv_func_alloca_works=yes
else
@@ -3353,12 +3344,12 @@ EOF
echo $ac_n "checking whether alloca needs Cray hooks""... $ac_c" 1>&6
-echo "configure:3357: checking whether alloca needs Cray hooks" >&5
+echo "configure:3348: checking whether alloca needs Cray hooks" >&5
if eval "test \"`echo '$''{'ac_cv_os_cray'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3362 "configure"
+#line 3353 "configure"
#include "confdefs.h"
#if defined(CRAY) && ! defined(CRAY2)
webecray
@@ -3383,12 +3374,12 @@ echo "$ac_t""$ac_cv_os_cray" 1>&6
if test $ac_cv_os_cray = yes; then
for ac_func in _getb67 GETB67 getb67; do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:3387: checking for $ac_func" >&5
+echo "configure:3378: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3392 "configure"
+#line 3383 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -3411,7 +3402,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:3415: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3406: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -3438,7 +3429,7 @@ done
fi
echo $ac_n "checking stack direction for C alloca""... $ac_c" 1>&6
-echo "configure:3442: checking stack direction for C alloca" >&5
+echo "configure:3433: checking stack direction for C alloca" >&5
if eval "test \"`echo '$''{'ac_cv_c_stack_direction'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -3446,7 +3437,7 @@ else
ac_cv_c_stack_direction=0
else
cat > conftest.$ac_ext <<EOF
-#line 3450 "configure"
+#line 3441 "configure"
#include "confdefs.h"
find_stack_direction ()
{
@@ -3465,7 +3456,7 @@ main ()
exit (find_stack_direction() < 0);
}
EOF
-if { (eval echo configure:3469: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
+if { (eval echo configure:3460: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
then
ac_cv_c_stack_direction=1
else
@@ -3490,17 +3481,17 @@ for ac_hdr in unistd.h
do
ac_safe=`echo "$ac_hdr" | sed 'y%./+-%__p_%'`
echo $ac_n "checking for $ac_hdr""... $ac_c" 1>&6
-echo "configure:3494: checking for $ac_hdr" >&5
+echo "configure:3485: checking for $ac_hdr" >&5
if eval "test \"`echo '$''{'ac_cv_header_$ac_safe'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3499 "configure"
+#line 3490 "configure"
#include "confdefs.h"
#include <$ac_hdr>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:3504: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:3495: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -3529,12 +3520,12 @@ done
for ac_func in getpagesize
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:3533: checking for $ac_func" >&5
+echo "configure:3524: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3538 "configure"
+#line 3529 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -3557,7 +3548,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:3561: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3552: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -3582,7 +3573,7 @@ fi
done
echo $ac_n "checking for working mmap""... $ac_c" 1>&6
-echo "configure:3586: checking for working mmap" >&5
+echo "configure:3577: checking for working mmap" >&5
if eval "test \"`echo '$''{'ac_cv_func_mmap_fixed_mapped'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -3590,7 +3581,7 @@ else
ac_cv_func_mmap_fixed_mapped=no
else
cat > conftest.$ac_ext <<EOF
-#line 3594 "configure"
+#line 3585 "configure"
#include "confdefs.h"
/* Thanks to Mike Haertel and Jim Avera for this test.
@@ -3730,7 +3721,7 @@ main()
}
EOF
-if { (eval echo configure:3734: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
+if { (eval echo configure:3725: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
then
ac_cv_func_mmap_fixed_mapped=yes
else
@@ -3758,17 +3749,17 @@ unistd.h values.h sys/param.h
do
ac_safe=`echo "$ac_hdr" | sed 'y%./+-%__p_%'`
echo $ac_n "checking for $ac_hdr""... $ac_c" 1>&6
-echo "configure:3762: checking for $ac_hdr" >&5
+echo "configure:3753: checking for $ac_hdr" >&5
if eval "test \"`echo '$''{'ac_cv_header_$ac_safe'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3767 "configure"
+#line 3758 "configure"
#include "confdefs.h"
#include <$ac_hdr>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:3772: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:3763: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -3798,12 +3789,12 @@ done
__argz_count __argz_stringify __argz_next
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:3802: checking for $ac_func" >&5
+echo "configure:3793: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3807 "configure"
+#line 3798 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -3826,7 +3817,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:3830: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3821: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -3855,12 +3846,12 @@ done
for ac_func in stpcpy
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:3859: checking for $ac_func" >&5
+echo "configure:3850: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3864 "configure"
+#line 3855 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -3883,7 +3874,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:3887: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3878: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -3917,19 +3908,19 @@ EOF
if test $ac_cv_header_locale_h = yes; then
echo $ac_n "checking for LC_MESSAGES""... $ac_c" 1>&6
-echo "configure:3921: checking for LC_MESSAGES" >&5
+echo "configure:3912: checking for LC_MESSAGES" >&5
if eval "test \"`echo '$''{'am_cv_val_LC_MESSAGES'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3926 "configure"
+#line 3917 "configure"
#include "confdefs.h"
#include <locale.h>
int main() {
return LC_MESSAGES
; return 0; }
EOF
-if { (eval echo configure:3933: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:3924: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
am_cv_val_LC_MESSAGES=yes
else
@@ -3950,7 +3941,7 @@ EOF
fi
fi
echo $ac_n "checking whether NLS is requested""... $ac_c" 1>&6
-echo "configure:3954: checking whether NLS is requested" >&5
+echo "configure:3945: checking whether NLS is requested" >&5
# Check whether --enable-nls or --disable-nls was given.
if test "${enable_nls+set}" = set; then
enableval="$enable_nls"
@@ -3970,7 +3961,7 @@ fi
EOF
echo $ac_n "checking whether included gettext is requested""... $ac_c" 1>&6
-echo "configure:3974: checking whether included gettext is requested" >&5
+echo "configure:3965: checking whether included gettext is requested" >&5
# Check whether --with-included-gettext or --without-included-gettext was given.
if test "${with_included_gettext+set}" = set; then
withval="$with_included_gettext"
@@ -3989,17 +3980,17 @@ fi
ac_safe=`echo "libintl.h" | sed 'y%./+-%__p_%'`
echo $ac_n "checking for libintl.h""... $ac_c" 1>&6
-echo "configure:3993: checking for libintl.h" >&5
+echo "configure:3984: checking for libintl.h" >&5
if eval "test \"`echo '$''{'ac_cv_header_$ac_safe'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 3998 "configure"
+#line 3989 "configure"
#include "confdefs.h"
#include <libintl.h>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:4003: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:3994: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -4016,19 +4007,19 @@ fi
if eval "test \"`echo '$ac_cv_header_'$ac_safe`\" = yes"; then
echo "$ac_t""yes" 1>&6
echo $ac_n "checking for gettext in libc""... $ac_c" 1>&6
-echo "configure:4020: checking for gettext in libc" >&5
+echo "configure:4011: checking for gettext in libc" >&5
if eval "test \"`echo '$''{'gt_cv_func_gettext_libc'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4025 "configure"
+#line 4016 "configure"
#include "confdefs.h"
#include <libintl.h>
int main() {
return (int) gettext ("")
; return 0; }
EOF
-if { (eval echo configure:4032: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4023: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gt_cv_func_gettext_libc=yes
else
@@ -4044,7 +4035,7 @@ echo "$ac_t""$gt_cv_func_gettext_libc" 1>&6
if test "$gt_cv_func_gettext_libc" != "yes"; then
echo $ac_n "checking for bindtextdomain in -lintl""... $ac_c" 1>&6
-echo "configure:4048: checking for bindtextdomain in -lintl" >&5
+echo "configure:4039: checking for bindtextdomain in -lintl" >&5
ac_lib_var=`echo intl'_'bindtextdomain | sed 'y%./+-%__p_%'`
if eval "test \"`echo '$''{'ac_cv_lib_$ac_lib_var'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
@@ -4052,7 +4043,7 @@ else
ac_save_LIBS="$LIBS"
LIBS="-lintl $LIBS"
cat > conftest.$ac_ext <<EOF
-#line 4056 "configure"
+#line 4047 "configure"
#include "confdefs.h"
/* Override any gcc2 internal prototype to avoid an error. */
/* We use char because int might match the return type of a gcc2
@@ -4063,7 +4054,7 @@ int main() {
bindtextdomain()
; return 0; }
EOF
-if { (eval echo configure:4067: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4058: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_lib_$ac_lib_var=yes"
else
@@ -4079,19 +4070,19 @@ fi
if eval "test \"`echo '$ac_cv_lib_'$ac_lib_var`\" = yes"; then
echo "$ac_t""yes" 1>&6
echo $ac_n "checking for gettext in libintl""... $ac_c" 1>&6
-echo "configure:4083: checking for gettext in libintl" >&5
+echo "configure:4074: checking for gettext in libintl" >&5
if eval "test \"`echo '$''{'gt_cv_func_gettext_libintl'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4088 "configure"
+#line 4079 "configure"
#include "confdefs.h"
int main() {
return (int) gettext ("")
; return 0; }
EOF
-if { (eval echo configure:4095: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4086: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gt_cv_func_gettext_libintl=yes
else
@@ -4119,7 +4110,7 @@ EOF
# Extract the first word of "msgfmt", so it can be a program name with args.
set dummy msgfmt; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4123: checking for $ac_word" >&5
+echo "configure:4114: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_MSGFMT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4153,12 +4144,12 @@ fi
for ac_func in dcgettext
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:4157: checking for $ac_func" >&5
+echo "configure:4148: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4162 "configure"
+#line 4153 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -4181,7 +4172,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:4185: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4176: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -4208,7 +4199,7 @@ done
# Extract the first word of "gmsgfmt", so it can be a program name with args.
set dummy gmsgfmt; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4212: checking for $ac_word" >&5
+echo "configure:4203: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_GMSGFMT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4244,7 +4235,7 @@ fi
# Extract the first word of "xgettext", so it can be a program name with args.
set dummy xgettext; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4248: checking for $ac_word" >&5
+echo "configure:4239: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_XGETTEXT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4276,7 +4267,7 @@ else
fi
cat > conftest.$ac_ext <<EOF
-#line 4280 "configure"
+#line 4271 "configure"
#include "confdefs.h"
int main() {
@@ -4284,7 +4275,7 @@ extern int _nl_msg_cat_cntr;
return _nl_msg_cat_cntr
; return 0; }
EOF
-if { (eval echo configure:4288: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4279: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
CATOBJEXT=.gmo
DATADIRNAME=share
@@ -4316,7 +4307,7 @@ fi
# Extract the first word of "msgfmt", so it can be a program name with args.
set dummy msgfmt; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4320: checking for $ac_word" >&5
+echo "configure:4311: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_MSGFMT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4350,7 +4341,7 @@ fi
# Extract the first word of "gmsgfmt", so it can be a program name with args.
set dummy gmsgfmt; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4354: checking for $ac_word" >&5
+echo "configure:4345: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_GMSGFMT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4386,7 +4377,7 @@ fi
# Extract the first word of "xgettext", so it can be a program name with args.
set dummy xgettext; ac_word=$2
echo $ac_n "checking for $ac_word""... $ac_c" 1>&6
-echo "configure:4390: checking for $ac_word" >&5
+echo "configure:4381: checking for $ac_word" >&5
if eval "test \"`echo '$''{'ac_cv_path_XGETTEXT'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4476,7 +4467,7 @@ fi
LINGUAS=
else
echo $ac_n "checking for catalogs to be installed""... $ac_c" 1>&6
-echo "configure:4480: checking for catalogs to be installed" >&5
+echo "configure:4471: checking for catalogs to be installed" >&5
NEW_LINGUAS=
for lang in ${LINGUAS=$ALL_LINGUAS}; do
case "$ALL_LINGUAS" in
@@ -4504,17 +4495,17 @@ echo "configure:4480: checking for catalogs to be installed" >&5
if test "$CATOBJEXT" = ".cat"; then
ac_safe=`echo "linux/version.h" | sed 'y%./+-%__p_%'`
echo $ac_n "checking for linux/version.h""... $ac_c" 1>&6
-echo "configure:4508: checking for linux/version.h" >&5
+echo "configure:4499: checking for linux/version.h" >&5
if eval "test \"`echo '$''{'ac_cv_header_$ac_safe'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4513 "configure"
+#line 4504 "configure"
#include "confdefs.h"
#include <linux/version.h>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:4518: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:4509: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -4577,7 +4568,7 @@ fi
echo $ac_n "checking whether to enable maintainer-specific portions of Makefiles""... $ac_c" 1>&6
-echo "configure:4581: checking whether to enable maintainer-specific portions of Makefiles" >&5
+echo "configure:4572: checking whether to enable maintainer-specific portions of Makefiles" >&5
# Check whether --enable-maintainer-mode or --disable-maintainer-mode was given.
if test "${enable_maintainer_mode+set}" = set; then
enableval="$enable_maintainer_mode"
@@ -4600,12 +4591,12 @@ fi
echo $ac_n "checking for Cygwin environment""... $ac_c" 1>&6
-echo "configure:4604: checking for Cygwin environment" >&5
+echo "configure:4595: checking for Cygwin environment" >&5
if eval "test \"`echo '$''{'ac_cv_cygwin'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4609 "configure"
+#line 4600 "configure"
#include "confdefs.h"
int main() {
@@ -4616,7 +4607,7 @@ int main() {
return __CYGWIN__;
; return 0; }
EOF
-if { (eval echo configure:4620: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+if { (eval echo configure:4611: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
rm -rf conftest*
ac_cv_cygwin=yes
else
@@ -4633,19 +4624,19 @@ echo "$ac_t""$ac_cv_cygwin" 1>&6
CYGWIN=
test "$ac_cv_cygwin" = yes && CYGWIN=yes
echo $ac_n "checking for mingw32 environment""... $ac_c" 1>&6
-echo "configure:4637: checking for mingw32 environment" >&5
+echo "configure:4628: checking for mingw32 environment" >&5
if eval "test \"`echo '$''{'ac_cv_mingw32'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4642 "configure"
+#line 4633 "configure"
#include "confdefs.h"
int main() {
return __MINGW32__;
; return 0; }
EOF
-if { (eval echo configure:4649: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+if { (eval echo configure:4640: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
rm -rf conftest*
ac_cv_mingw32=yes
else
@@ -4664,7 +4655,7 @@ test "$ac_cv_mingw32" = yes && MINGW32=yes
echo $ac_n "checking for executable suffix""... $ac_c" 1>&6
-echo "configure:4668: checking for executable suffix" >&5
+echo "configure:4659: checking for executable suffix" >&5
if eval "test \"`echo '$''{'ac_cv_exeext'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4674,10 +4665,10 @@ else
rm -f conftest*
echo 'int main () { return 0; }' > conftest.$ac_ext
ac_cv_exeext=
- if { (eval echo configure:4678: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; }; then
+ if { (eval echo configure:4669: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; }; then
for file in conftest.*; do
case $file in
- *.c | *.o | *.obj | *.ilk | *.pdb) ;;
+ *.c | *.o | *.obj) ;;
*) ac_cv_exeext=`echo $file | sed -e s/conftest//` ;;
esac
done
@@ -4699,17 +4690,17 @@ for ac_hdr in string.h stdlib.h memory.h strings.h unistd.h stdarg.h varargs.h e
do
ac_safe=`echo "$ac_hdr" | sed 'y%./+-%__p_%'`
echo $ac_n "checking for $ac_hdr""... $ac_c" 1>&6
-echo "configure:4703: checking for $ac_hdr" >&5
+echo "configure:4694: checking for $ac_hdr" >&5
if eval "test \"`echo '$''{'ac_cv_header_$ac_safe'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4708 "configure"
+#line 4699 "configure"
#include "confdefs.h"
#include <$ac_hdr>
EOF
ac_try="$ac_cpp conftest.$ac_ext >/dev/null 2>conftest.out"
-{ (eval echo configure:4713: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
+{ (eval echo configure:4704: \"$ac_try\") 1>&5; (eval $ac_try) 2>&5; }
ac_err=`grep -v '^ *+' conftest.out | grep -v "^conftest.${ac_ext}\$"`
if test -z "$ac_err"; then
rm -rf conftest*
@@ -4739,7 +4730,7 @@ done
# Put this here so that autoconf's "cross-compiling" message doesn't confuse
# people who are not cross-compiling but are compiling cross-assemblers.
echo $ac_n "checking whether compiling a cross-assembler""... $ac_c" 1>&6
-echo "configure:4743: checking whether compiling a cross-assembler" >&5
+echo "configure:4734: checking whether compiling a cross-assembler" >&5
if test "${host}" = "${target}"; then
cross_gas=no
else
@@ -4754,19 +4745,19 @@ echo "$ac_t""$cross_gas" 1>&6
# The Ultrix 4.2 mips builtin alloca declared by alloca.h only works
# for constant arguments. Useless!
echo $ac_n "checking for working alloca.h""... $ac_c" 1>&6
-echo "configure:4758: checking for working alloca.h" >&5
+echo "configure:4749: checking for working alloca.h" >&5
if eval "test \"`echo '$''{'ac_cv_header_alloca_h'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4763 "configure"
+#line 4754 "configure"
#include "confdefs.h"
#include <alloca.h>
int main() {
char *p = alloca(2 * sizeof(int));
; return 0; }
EOF
-if { (eval echo configure:4770: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4761: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
ac_cv_header_alloca_h=yes
else
@@ -4787,12 +4778,12 @@ EOF
fi
echo $ac_n "checking for alloca""... $ac_c" 1>&6
-echo "configure:4791: checking for alloca" >&5
+echo "configure:4782: checking for alloca" >&5
if eval "test \"`echo '$''{'ac_cv_func_alloca_works'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4796 "configure"
+#line 4787 "configure"
#include "confdefs.h"
#ifdef __GNUC__
@@ -4820,7 +4811,7 @@ int main() {
char *p = (char *) alloca(1);
; return 0; }
EOF
-if { (eval echo configure:4824: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4815: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
ac_cv_func_alloca_works=yes
else
@@ -4852,12 +4843,12 @@ EOF
echo $ac_n "checking whether alloca needs Cray hooks""... $ac_c" 1>&6
-echo "configure:4856: checking whether alloca needs Cray hooks" >&5
+echo "configure:4847: checking whether alloca needs Cray hooks" >&5
if eval "test \"`echo '$''{'ac_cv_os_cray'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4861 "configure"
+#line 4852 "configure"
#include "confdefs.h"
#if defined(CRAY) && ! defined(CRAY2)
webecray
@@ -4882,12 +4873,12 @@ echo "$ac_t""$ac_cv_os_cray" 1>&6
if test $ac_cv_os_cray = yes; then
for ac_func in _getb67 GETB67 getb67; do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:4886: checking for $ac_func" >&5
+echo "configure:4877: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 4891 "configure"
+#line 4882 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -4910,7 +4901,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:4914: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:4905: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -4937,7 +4928,7 @@ done
fi
echo $ac_n "checking stack direction for C alloca""... $ac_c" 1>&6
-echo "configure:4941: checking stack direction for C alloca" >&5
+echo "configure:4932: checking stack direction for C alloca" >&5
if eval "test \"`echo '$''{'ac_cv_c_stack_direction'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
@@ -4945,7 +4936,7 @@ else
ac_cv_c_stack_direction=0
else
cat > conftest.$ac_ext <<EOF
-#line 4949 "configure"
+#line 4940 "configure"
#include "confdefs.h"
find_stack_direction ()
{
@@ -4964,7 +4955,7 @@ main ()
exit (find_stack_direction() < 0);
}
EOF
-if { (eval echo configure:4968: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
+if { (eval echo configure:4959: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext} && (./conftest; exit) 2>/dev/null
then
ac_cv_c_stack_direction=1
else
@@ -4986,21 +4977,21 @@ EOF
fi
echo $ac_n "checking for inline""... $ac_c" 1>&6
-echo "configure:4990: checking for inline" >&5
+echo "configure:4981: checking for inline" >&5
if eval "test \"`echo '$''{'ac_cv_c_inline'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
ac_cv_c_inline=no
for ac_kw in inline __inline__ __inline; do
cat > conftest.$ac_ext <<EOF
-#line 4997 "configure"
+#line 4988 "configure"
#include "confdefs.h"
int main() {
} $ac_kw foo() {
; return 0; }
EOF
-if { (eval echo configure:5004: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
+if { (eval echo configure:4995: \"$ac_compile\") 1>&5; (eval $ac_compile) 2>&5; }; then
rm -rf conftest*
ac_cv_c_inline=$ac_kw; break
else
@@ -5030,12 +5021,12 @@ esac
for ac_func in unlink remove
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:5034: checking for $ac_func" >&5
+echo "configure:5025: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5039 "configure"
+#line 5030 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -5058,7 +5049,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:5062: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5053: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -5087,12 +5078,12 @@ done
for ac_func in sbrk
do
echo $ac_n "checking for $ac_func""... $ac_c" 1>&6
-echo "configure:5091: checking for $ac_func" >&5
+echo "configure:5082: checking for $ac_func" >&5
if eval "test \"`echo '$''{'ac_cv_func_$ac_func'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5096 "configure"
+#line 5087 "configure"
#include "confdefs.h"
/* System header to define __stub macros and hopefully few prototypes,
which can conflict with char $ac_func(); below. */
@@ -5115,7 +5106,7 @@ $ac_func();
; return 0; }
EOF
-if { (eval echo configure:5119: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5110: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
eval "ac_cv_func_$ac_func=yes"
else
@@ -5140,16 +5131,146 @@ fi
done
+# do we need the math library?
+case "${need_libm}" in
+yes)
+ LIBM=
+case "$lt_target" in
+*-*-beos* | *-*-cygwin*)
+ # These system don't have libm
+ ;;
+*-ncr-sysv4.3*)
+ echo $ac_n "checking for _mwvalidcheckl in -lmw""... $ac_c" 1>&6
+echo "configure:5145: checking for _mwvalidcheckl in -lmw" >&5
+ac_lib_var=`echo mw'_'_mwvalidcheckl | sed 'y%./+-%__p_%'`
+if eval "test \"`echo '$''{'ac_cv_lib_$ac_lib_var'+set}'`\" = set"; then
+ echo $ac_n "(cached) $ac_c" 1>&6
+else
+ ac_save_LIBS="$LIBS"
+LIBS="-lmw $LIBS"
+cat > conftest.$ac_ext <<EOF
+#line 5153 "configure"
+#include "confdefs.h"
+/* Override any gcc2 internal prototype to avoid an error. */
+/* We use char because int might match the return type of a gcc2
+ builtin and then its argument prototype would still apply. */
+char _mwvalidcheckl();
+
+int main() {
+_mwvalidcheckl()
+; return 0; }
+EOF
+if { (eval echo configure:5164: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=yes"
+else
+ echo "configure: failed program was:" >&5
+ cat conftest.$ac_ext >&5
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=no"
+fi
+rm -f conftest*
+LIBS="$ac_save_LIBS"
+
+fi
+if eval "test \"`echo '$ac_cv_lib_'$ac_lib_var`\" = yes"; then
+ echo "$ac_t""yes" 1>&6
+ LIBM="-lmw"
+else
+ echo "$ac_t""no" 1>&6
+fi
+
+ echo $ac_n "checking for main in -lm""... $ac_c" 1>&6
+echo "configure:5185: checking for main in -lm" >&5
+ac_lib_var=`echo m'_'main | sed 'y%./+-%__p_%'`
+if eval "test \"`echo '$''{'ac_cv_lib_$ac_lib_var'+set}'`\" = set"; then
+ echo $ac_n "(cached) $ac_c" 1>&6
+else
+ ac_save_LIBS="$LIBS"
+LIBS="-lm $LIBS"
+cat > conftest.$ac_ext <<EOF
+#line 5193 "configure"
+#include "confdefs.h"
+
+int main() {
+main()
+; return 0; }
+EOF
+if { (eval echo configure:5200: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=yes"
+else
+ echo "configure: failed program was:" >&5
+ cat conftest.$ac_ext >&5
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=no"
+fi
+rm -f conftest*
+LIBS="$ac_save_LIBS"
+
+fi
+if eval "test \"`echo '$ac_cv_lib_'$ac_lib_var`\" = yes"; then
+ echo "$ac_t""yes" 1>&6
+ LIBM="$LIBM -lm"
+else
+ echo "$ac_t""no" 1>&6
+fi
+
+ ;;
+*)
+ echo $ac_n "checking for main in -lm""... $ac_c" 1>&6
+echo "configure:5223: checking for main in -lm" >&5
+ac_lib_var=`echo m'_'main | sed 'y%./+-%__p_%'`
+if eval "test \"`echo '$''{'ac_cv_lib_$ac_lib_var'+set}'`\" = set"; then
+ echo $ac_n "(cached) $ac_c" 1>&6
+else
+ ac_save_LIBS="$LIBS"
+LIBS="-lm $LIBS"
+cat > conftest.$ac_ext <<EOF
+#line 5231 "configure"
+#include "confdefs.h"
+
+int main() {
+main()
+; return 0; }
+EOF
+if { (eval echo configure:5238: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=yes"
+else
+ echo "configure: failed program was:" >&5
+ cat conftest.$ac_ext >&5
+ rm -rf conftest*
+ eval "ac_cv_lib_$ac_lib_var=no"
+fi
+rm -f conftest*
+LIBS="$ac_save_LIBS"
+
+fi
+if eval "test \"`echo '$ac_cv_lib_'$ac_lib_var`\" = yes"; then
+ echo "$ac_t""yes" 1>&6
+ LIBM="-lm"
+else
+ echo "$ac_t""no" 1>&6
+fi
+
+ ;;
+esac
+
+
+ ;;
+esac
+
# Some non-ANSI preprocessors botch requoting inside strings. That's bad
# enough, but on some of those systems, the assert macro relies on requoting
# working properly!
echo $ac_n "checking for working assert macro""... $ac_c" 1>&6
-echo "configure:5148: checking for working assert macro" >&5
+echo "configure:5269: checking for working assert macro" >&5
if eval "test \"`echo '$''{'gas_cv_assert_ok'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5153 "configure"
+#line 5274 "configure"
#include "confdefs.h"
#include <assert.h>
#include <stdio.h>
@@ -5165,7 +5286,7 @@ assert (a == b
; return 0; }
EOF
-if { (eval echo configure:5169: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5290: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_assert_ok=yes
else
@@ -5206,12 +5327,12 @@ gas_test_headers="
"
echo $ac_n "checking whether declaration is required for strstr""... $ac_c" 1>&6
-echo "configure:5210: checking whether declaration is required for strstr" >&5
+echo "configure:5331: checking whether declaration is required for strstr" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_strstr'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5215 "configure"
+#line 5336 "configure"
#include "confdefs.h"
$gas_test_headers
int main() {
@@ -5222,7 +5343,7 @@ x = (f) strstr;
; return 0; }
EOF
-if { (eval echo configure:5226: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5347: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_strstr=no
else
@@ -5243,12 +5364,12 @@ fi
echo $ac_n "checking whether declaration is required for malloc""... $ac_c" 1>&6
-echo "configure:5247: checking whether declaration is required for malloc" >&5
+echo "configure:5368: checking whether declaration is required for malloc" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_malloc'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5252 "configure"
+#line 5373 "configure"
#include "confdefs.h"
$gas_test_headers
int main() {
@@ -5259,7 +5380,7 @@ x = (f) malloc;
; return 0; }
EOF
-if { (eval echo configure:5263: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5384: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_malloc=no
else
@@ -5280,12 +5401,12 @@ fi
echo $ac_n "checking whether declaration is required for free""... $ac_c" 1>&6
-echo "configure:5284: checking whether declaration is required for free" >&5
+echo "configure:5405: checking whether declaration is required for free" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_free'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5289 "configure"
+#line 5410 "configure"
#include "confdefs.h"
$gas_test_headers
int main() {
@@ -5296,7 +5417,7 @@ x = (f) free;
; return 0; }
EOF
-if { (eval echo configure:5300: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5421: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_free=no
else
@@ -5317,12 +5438,12 @@ fi
echo $ac_n "checking whether declaration is required for sbrk""... $ac_c" 1>&6
-echo "configure:5321: checking whether declaration is required for sbrk" >&5
+echo "configure:5442: checking whether declaration is required for sbrk" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_sbrk'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5326 "configure"
+#line 5447 "configure"
#include "confdefs.h"
$gas_test_headers
int main() {
@@ -5333,7 +5454,7 @@ x = (f) sbrk;
; return 0; }
EOF
-if { (eval echo configure:5337: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5458: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_sbrk=no
else
@@ -5354,12 +5475,12 @@ fi
echo $ac_n "checking whether declaration is required for environ""... $ac_c" 1>&6
-echo "configure:5358: checking whether declaration is required for environ" >&5
+echo "configure:5479: checking whether declaration is required for environ" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_environ'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5363 "configure"
+#line 5484 "configure"
#include "confdefs.h"
$gas_test_headers
int main() {
@@ -5370,7 +5491,7 @@ x = (f) environ;
; return 0; }
EOF
-if { (eval echo configure:5374: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5495: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_environ=no
else
@@ -5394,12 +5515,12 @@ fi
# for it?
echo $ac_n "checking whether declaration is required for errno""... $ac_c" 1>&6
-echo "configure:5398: checking whether declaration is required for errno" >&5
+echo "configure:5519: checking whether declaration is required for errno" >&5
if eval "test \"`echo '$''{'gas_cv_decl_needed_errno'+set}'`\" = set"; then
echo $ac_n "(cached) $ac_c" 1>&6
else
cat > conftest.$ac_ext <<EOF
-#line 5403 "configure"
+#line 5524 "configure"
#include "confdefs.h"
#ifdef HAVE_ERRNO_H
@@ -5414,7 +5535,7 @@ x = (f) errno;
; return 0; }
EOF
-if { (eval echo configure:5418: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
+if { (eval echo configure:5539: \"$ac_link\") 1>&5; (eval $ac_link) 2>&5; } && test -s conftest${ac_exeext}; then
rm -rf conftest*
gas_cv_decl_needed_errno=no
else
@@ -5641,6 +5762,7 @@ s%@MAINTAINER_MODE_TRUE@%$MAINTAINER_MODE_TRUE%g
s%@MAINTAINER_MODE_FALSE@%$MAINTAINER_MODE_FALSE%g
s%@MAINT@%$MAINT%g
s%@EXEEXT@%$EXEEXT%g
+s%@LIBM@%$LIBM%g
CEOF
EOF
diff --git a/gas/configure.in b/gas/configure.in
index 30b7f6d..f7cf1ea 100644
--- a/gas/configure.in
+++ b/gas/configure.in
@@ -393,6 +393,8 @@ changequote([,])dnl
tic30-*-*aout*) fmt=aout bfd_gas=yes ;;
tic30-*-*coff*) fmt=coff bfd_gas=yes ;;
+ tic54x-*-* | c54x*-*-*)
+ fmt=coff bfd_gas=yes need_libm=yes;;
tic80-*-*) fmt=coff ;;
v850-*-*) fmt=elf bfd_gas=yes ;;
@@ -816,6 +818,14 @@ AC_CHECK_FUNCS(unlink remove, break)
# Some systems don't have sbrk().
AC_CHECK_FUNCS(sbrk)
+# do we need the math library?
+case "${need_libm}" in
+yes)
+ AC_CHECK_LIBM
+ AC_SUBST(LIBM)
+ ;;
+esac
+
# Some non-ANSI preprocessors botch requoting inside strings. That's bad
# enough, but on some of those systems, the assert macro relies on requoting
# working properly!
diff --git a/gas/doc/Makefile.am b/gas/doc/Makefile.am
index 65d7d6e..7352724 100644
--- a/gas/doc/Makefile.am
+++ b/gas/doc/Makefile.am
@@ -33,6 +33,7 @@ CPU_DOCS = \
c-pj.texi \
c-sh.texi \
c-sparc.texi \
+ c-tic54x.texi \
c-vax.texi \
c-v850.texi \
c-z8k.texi
diff --git a/gas/doc/Makefile.in b/gas/doc/Makefile.in
index 6336678..059a682 100644
--- a/gas/doc/Makefile.in
+++ b/gas/doc/Makefile.in
@@ -1,4 +1,4 @@
-# Makefile.in generated automatically by automake 1.4 from Makefile.am
+# Makefile.in generated automatically by automake 1.4a from Makefile.am
# Copyright (C) 1994, 1995-8, 1999 Free Software Foundation, Inc.
# This Makefile.in is free software; the Free Software Foundation
@@ -46,9 +46,10 @@ AUTOMAKE = @AUTOMAKE@
AUTOHEADER = @AUTOHEADER@
INSTALL = @INSTALL@
-INSTALL_PROGRAM = @INSTALL_PROGRAM@ $(AM_INSTALL_PROGRAM_FLAGS)
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
INSTALL_DATA = @INSTALL_DATA@
INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_FLAG =
transform = @program_transform_name@
NORMAL_INSTALL = :
@@ -83,6 +84,7 @@ INTLDEPS = @INTLDEPS@
INTLLIBS = @INTLLIBS@
INTLOBJS = @INTLOBJS@
LEX = @LEX@
+LIBM = @LIBM@
LIBTOOL = @LIBTOOL@
LN_S = @LN_S@
MAINT = @MAINT@
@@ -118,27 +120,7 @@ man_MANS = as.1
info_TEXINFOS = as.texinfo gasp.texi
-CPU_DOCS = \
- c-a29k.texi \
- c-arm.texi \
- c-d10v.texi \
- c-h8300.texi \
- c-h8500.texi \
- c-hppa.texi \
- c-i370.texi \
- c-i386.texi \
- c-i960.texi \
- c-m32r.texi \
- c-m68hc11.texi \
- c-m68k.texi \
- c-mips.texi \
- c-ns32k.texi \
- c-pj.texi \
- c-sh.texi \
- c-sparc.texi \
- c-vax.texi \
- c-v850.texi \
- c-z8k.texi
+CPU_DOCS = c-a29k.texi c-arm.texi c-d10v.texi c-h8300.texi c-h8500.texi c-hppa.texi c-i370.texi c-i386.texi c-i960.texi c-m32r.texi c-m68hc11.texi c-m68k.texi c-mips.texi c-ns32k.texi c-pj.texi c-sh.texi c-sparc.texi c-tic54x.texi c-vax.texi c-v850.texi c-z8k.texi
# This one isn't ready for prime time yet. Not even a little bit.
@@ -378,7 +360,7 @@ uninstall: uninstall-am
all-am: Makefile $(MANS)
all-redirect: all-am
install-strip:
- $(MAKE) $(AM_MAKEFLAGS) AM_INSTALL_PROGRAM_FLAGS=-s install
+ $(MAKE) $(AM_MAKEFLAGS) INSTALL_STRIP_FLAG=-s install
installdirs:
$(mkinstalldirs) $(DESTDIR)$(mandir)/man1
diff --git a/gas/doc/all.texi b/gas/doc/all.texi
index 14f735d..e695067 100644
--- a/gas/doc/all.texi
+++ b/gas/doc/all.texi
@@ -44,6 +44,7 @@
@set PJ
@set SH
@set SPARC
+@set C54X
@set V850
@set VAX
@set VXWORKS
diff --git a/gas/doc/as.texinfo b/gas/doc/as.texinfo
index 62f8868..c860222 100644
--- a/gas/doc/as.texinfo
+++ b/gas/doc/as.texinfo
@@ -249,6 +249,10 @@ Here is a brief summary of how to invoke @code{@value{AS}}. For details,
-Av8plus | -Av8plusa | -Av9 | -Av9a ]
[ -xarch=v8plus | -xarch=v8plusa ] [ -bump ] [ -32 | -64 ]
@end ifset
+@ifset TIC54X
+ [ -mcpu=54[123589] | -mcpu=54[56]lp ] [ -mfar-mode | -mf ]
+ [ -merrors-to-file <filename> | -me <filename> ]
+@end ifset
@ifset Z8000
@c Z8000 has no machine-dependent assembler options
@end ifset
@@ -623,6 +627,22 @@ Warn when the assembler switches to another architecture.
@end table
@end ifset
+@ifset TIC54X
+The following options are available when @value{AS} is configured for the 'c54x
+architecture.
+
+@table @code
+@item -mfar-mode
+Enable extended addressing mode. All addresses and relocations will assume
+extended addressing (usually 23 bits).
+@item -mcpu=@var{CPU_VERSION}
+Sets the CPU version being compiled for.
+@item -merrors-to-file @var{FILENAME}
+Redirect error output to a file, for broken systems which don't support such
+behaviour in the shell.
+@end table
+@end ifset
+
@ifset MIPS
The following options are available when @value{AS} is configured for
a MIPS processor.
@@ -5031,6 +5051,9 @@ subject, see the hardware manufacturer's manual.
@ifset SPARC
* Sparc-Dependent:: SPARC Dependent Features
@end ifset
+@ifset TIC54X
+* TIC54X-Dependent:: TI TMS320C54x Dependent Features
+@end ifset
@ifset V850
* V850-Dependent:: V850 Dependent Features
@end ifset
@@ -5221,6 +5244,10 @@ family.
@include c-sparc.texi
@end ifset
+@ifset TIC54X
+@include c-tic54x.texi
+@end ifset
+
@ifset Z8000
@include c-z8k.texi
@end ifset
@@ -5524,6 +5551,9 @@ Linas Vepstas added GAS support for the ESA/390 "IBM 370" architecture.
Richard Henderson rewrote the Alpha assembler. Klaus Kaempf wrote GAS and BFD
support for openVMS/Alpha.
+Timothy Wall, Michael Hayes, and Greg Smart contributed to the various tic*
+flavors.
+
Several engineers at Cygnus Support have also provided many small bug fixes and
configuration enhancements.
diff --git a/gas/doc/c-tic54x.texi b/gas/doc/c-tic54x.texi
new file mode 100644
index 0000000..eb8e453
--- /dev/null
+++ b/gas/doc/c-tic54x.texi
@@ -0,0 +1,767 @@
+@c Copyright (C) 1999, 2000 Free Software Foundation, Inc.
+@c This is part of the GAS manual.
+@c For copying conditions, see the file as.texinfo.
+@c TI TMS320C54X description by Timothy Wall, twall@cygnus.com
+@ifset GENERIC
+@page
+@node C54X-Dependent
+@chapter C54X Dependent Features
+@end ifset
+@ifclear GENERIC
+@node Machine Dependencies
+@chapter C54X Dependent Features
+@end ifclear
+
+@cindex C54X support
+@menu
+* C54X-Opts:: Command-line Options
+* C54X-Block:: Blocking
+* C54X-Env:: Environment Settings
+* C54X-Constants:: Constants Syntax
+* C54X-Subsyms:: String Substitution
+* C54X-Locals:: Local Label Syntax
+* C54X-Builtins:: Builtin Assembler Math Functions
+* C54X-Ext:: Extended Addressing Support
+* C54X-Directives:: Directives
+* C54X-Macros:: Macro Features
+* C54X-MMRegs:: Memory-mapped Registers
+@end menu
+
+@node C54X-Opts
+@section Options
+
+@cindex options, C54X
+@cindex C54X options
+The 'c54x version of @code{@value{AS}} has a few machine-dependent options.
+
+@cindex @samp{-mfar-mode} option, far-mode
+@cindex @samp{-mf} option, far-mode
+You can use the @samp{-mfar-mode} option to enable extended addressing mode.
+All addresses will be assumed to be > 16 bits, and the appropriate
+relocation types will be used. This option is equivalent to using the
+@samp{.far_mode} directive in the assembly code. If you do not use the
+@samp{-mfar-mode} option, all references will be assumed to be 16 bits.
+This option may be abbreviated to @samp{-mf}.
+
+@cindex @samp{-mcpu} option, cpu
+You can use the @samp{-mcpu} option to specify a particular CPU.
+This option is equivalent to using the @samp{.version} directive in the
+assembly code. For recognized CPU codes, see
+@xref{C54X-Directives,,@code{.version}}. The default CPU version is
+@samp{542}.
+
+@cindex @samp{-merrors-to-file} option, stderr redirect
+@cindex @samp{-me} option, stderr redirect
+You can use the @samp{-merrors-to-file} option to redirect error output
+to a file (this provided for those deficient environments which don't
+provide adequate output redirection). This option may be abbreviated to
+@samp{-me}.
+
+@node C54X-Block
+@section Blocking
+A blocked section or memory block is guaranteed not to cross the blocking
+boundary (usually a page, or 128 words) if it is smaller than the
+blocking size, or to start on a page boundary if it is larger than the
+blocking size.
+
+@node C54X-Env
+@section Environment Settings
+
+@cindex environment settings, C54X
+@cindex @samp{A_DIR} environment variable, C54X
+@cindex @samp{C54XDSP_DIR} environment variable, C54X
+@samp{C54XDSP_DIR} and @samp{A_DIR} are semicolon-separated
+paths which are added to the list of directories normally searched for
+source and include files. @samp{C54XDSP_DIR} will override @samp{A_DIR}.
+
+@node C54X-Constants
+@section Constants Syntax
+
+@cindex constants, C54X
+The C54X version of @code{@value{AS}} allows the following additional
+constant formats, using a suffix to indicate the radix:
+@smallexample
+@cindex binary constants, C54X
+
+Binary @code{000000B, 011000b}
+Octal @code{10Q, 224q}
+Hexadecimal @code{45h, 0FH}
+
+@end smallexample
+
+@node C54X-Subsyms
+@section String Substitution
+A subset of allowable symbols (which we'll call subsyms) may be assigned
+arbitrary string values. This is roughly equivalent to C preprocessor
+#define macros. When @code{@value{AS}} encounters one of these
+symbols, the symbol is replaced in the input stream by its string value.
+Subsym names @strong{must} begin with a letter.
+
+Subsyms may be defined using the @code{.asg} and @code{.eval} directives
+(@xref{C54X-Directives,,@code{.asg}},
+@xref{C54X-Directives,,@code{.eval}}.
+
+Expansion is recursive until a previously encountered symbol is seen, at
+which point substitution stops.
+
+In this example, x is replaced with SYM2; SYM2 is replaced with SYM1, and SYM1
+is replaced with x. At this point, x has already been encountered
+and the substitution stops.
+
+@smallexample @code
+ .asg "x",SYM1
+ .asg "SYM1",SYM2
+ .asg "SYM2",x
+ add x,a ; final code assembled is "add x, a"
+@end smallexample
+
+Macro parameters are converted to subsyms; a side effect of this is the normal
+@code{@value{AS}} '\ARG' dereferencing syntax is unnecessary. Subsyms
+defined within a macro will have global scope, unless the @code{.var}
+directive is used to identify the subsym as a local macro variable
+@pxref{C54X-Directives,,@code{.var}}.
+
+Substitution may be forced in situations where replacement might be
+ambiguous by placing colons on either side of the subsym. The following
+code:
+
+@smallexample @code
+ .eval "10",x
+LAB:X: add #x, a
+@end smallexample
+
+When assembled becomes:
+
+@smallexample @code
+LAB10 add #10, a
+@end smallexample
+
+Smaller parts of the string assigned to a subsym may be accessed with
+the following syntax:
+
+@table @code
+@item @code{:@var{symbol}(@var{char_index}):}
+Evaluates to a single-character string, the character at @var{char_index}.
+@item @code{:@var{symbol}(@var{start},@var{length}):}
+Evaluates to a substring of @var{symbol} beginning at @var{start} with
+length @var{length}.
+@end table
+
+@node C54X-Locals
+@section Local Labels
+Local labels may be defined in two ways:
+
+@itemize @bullet
+@item
+$N, where N is a decimal number between 0 and 9
+@item
+LABEL?, where LABEL is any legal symbol name.
+@end itemize
+
+Local labels thus defined may be redefined or automatically generated.
+The scope of a local label is based on when it may be undefined or reset.
+This happens when one of the following situations is encountered:
+
+@itemize @bullet
+@item
+.newblock directive @pxref{C54X-Directives,,@code{.newblock}}
+@item
+The current section is changed (.sect, .text, or .data)
+@item
+Entering or leaving an included file
+@item
+The macro scope where the label was defined is exited
+@end itemize
+
+@node C54X-Builtins
+@section Math Builtins
+
+@cindex math builtins, C54X
+@cindex C54X builtin math functions
+@cindex builtin math functions, C54X
+
+The following built-in functions may be used to generate a
+floating-point value. All return a floating-point value except
+@samp{$cvi}, @samp{$int}, and @samp{$sgn}, which return an integer
+value.
+
+@table @code
+@cindex @code{$acos} math builtin, C54X
+@item @code{$acos(@var{expr})}
+Returns the floating point arccosine of @var{expr}.
+
+@cindex @code{$asin} math builtin, C54X
+@item @code{$asin(@var{expr})}
+Returns the floating point arcsine of @var{expr}.
+
+@cindex @code{$atan} math builtin, C54X
+@item @code{$atan(@var{expr})}
+Returns the floating point arctangent of @var{expr}.
+
+@cindex @code{$atan2} math builtin, C54X
+@item @code{$atan2(@var{expr1},@var{expr2})}
+Returns the floating point arctangent of @var{expr1} / @var{expr2}.
+
+@cindex @code{$ceil} math builtin, C54X
+@item @code{$ceil(@var{expr})}
+Returns the smallest integer not less than @var{expr} as floating point.
+
+@cindex @code{$cosh} math builtin, C54X
+@item @code{$cosh(@var{expr})}
+Returns the floating point hyperbolic cosine of @var{expr}.
+
+@cindex @code{$cos} math builtin, C54X
+@item @code{$cos(@var{expr})}
+Returns the floating point cosine of @var{expr}.
+
+@cindex @code{$cvf} math builtin, C54X
+@item @code{$cvf(@var{expr})}
+Returns the integer value @var{expr} converted to floating-point.
+
+@cindex @code{$cvi} math builtin, C54X
+@item @code{$cvi(@var{expr})}
+Returns the floating point value @var{expr} converted to integer.
+
+@cindex @code{$exp} math builtin, C54X
+@item @code{$exp(@var{expr})}
+Returns the floating point value e ^ @var{expr}.
+
+@cindex @code{$fabs} math builtin, C54X
+@item @code{$fabs(@var{expr})}
+Returns the floating point absolute value of @var{expr}.
+
+@cindex @code{$floor} math builtin, C54X
+@item @code{$floor(@var{expr})}
+Returns the largest integer that is not greater than @var{expr} as
+floating point.
+
+@cindex @code{$fmod} math builtin, C54X
+@item @code{$fmod(@var{expr1},@var{expr2})}
+Returns the floating point remainder of @var{expr1} / @var{expr2}.
+
+@cindex @code{$int} math builtin, C54X
+@item @code{$int(@var{expr})}
+Returns 1 if @var{expr} evaluates to an integer, zero otherwise.
+
+@cindex @code{$ldexp} math builtin, C54X
+@item @code{$ldexp(@var{expr1},@var{expr2})}
+Returns the floating point value @var{expr1} * 2 ^ @var{expr2}.
+
+@cindex @code{$log10} math builtin, C54X
+@item @code{$log10(@var{expr})}
+Returns the base 10 logarithm of @var{expr}.
+
+@cindex @code{$log} math builtin, C54X
+@item @code{$log(@var{expr})}
+Returns the natural logarithm of @var{expr}.
+
+@cindex @code{$max} math builtin, C54X
+@item @code{$max(@var{expr1},@var{expr2})}
+Returns the floating point maximum of @var{expr1} and @var{expr2}.
+
+@cindex @code{$min} math builtin, C54X
+@item @code{$min(@var{expr1},@var{expr2})}
+Returns the floating point minimum of @var{expr1} and @var{expr2}.
+
+@cindex @code{$pow} math builtin, C54X
+@item @code{$pow(@var{expr1},@var{expr2})}
+Returns the floating point value @var{expr1} ^ @var{expr2}.
+
+@cindex @code{$round} math builtin, C54X
+@item @code{$round(@var{expr})}
+Returns the nearest integer to @var{expr} as a floating point number.
+
+@cindex @code{$sgn} math builtin, C54X
+@item @code{$sgn(@var{expr})}
+Returns -1, 0, or 1 based on the sign of @var{expr}.
+
+@cindex @code{$sin} math builtin, C54X
+@item @code{$sin(@var{expr})}
+Returns the floating point sine of @var{expr}.
+
+@cindex @code{$sinh} math builtin, C54X
+@item @code{$sinh(@var{expr})}
+Returns the floating point hyperbolic sine of @var{expr}.
+
+@cindex @code{$sqrt} math builtin, C54X
+@item @code{$sqrt(@var{expr})}
+Returns the floating point square root of @var{expr}.
+
+@cindex @code{$tan} math builtin, C54X
+@item @code{$tan(@var{expr})}
+Returns the floating point tangent of @var{expr}.
+
+@cindex @code{$tanh} math builtin, C54X
+@item @code{$tanh(@var{expr})}
+Returns the floating point hyperbolic tangent of @var{expr}.
+
+@cindex @code{$trunc} math builtin, C54X
+@item @code{$trunc(@var{expr})}
+Returns the integer value of @var{expr} truncated towards zero as
+floating point.
+
+@end table
+
+@node C54X-Ext
+@section Extended Addressing
+The @code{LDX} pseudo-op is provided for loading the extended addressing bits
+of a label or address. For example, if an address @code{_label} resides
+in extended program memory, the value of @code{_label} may be loaded as
+follows:
+@smallexample @code
+ ldx #_label,16,a ; loads extended bits of _label
+ or #_label,a ; loads lower 16 bits of _label
+ bacc a ; full address is in accumulator A
+@end smallexample
+
+@node C54X-Directives
+@section Directives
+
+@cindex machine directives, C54X
+@cindex C54X machine directives
+
+@table @code
+
+@cindex @code{align} directive, C54X
+@cindex @code{even} directive, C54X
+@item .align [@var{size}]
+@itemx .even
+Align the section program counter on the next boundary, based on
+@var{size}. @var{size} may be any power of 2. @code{.even} is
+equivalent to @code{.align} with a @var{size} of 2.
+@table @code
+@item 1
+Align SPC to word boundary
+@item 2
+Align SPC to longword boundary (same as .even)
+@item 128
+Align SPC to page boundary
+@end table
+
+@cindex @code{asg} directive, C54X
+@item .asg @var{string}, @var{name}
+Assign @var{name} the string @var{string}. String replacement is
+performed on @var{string} before assignment.
+
+@cindex @code{eval} directive, C54X
+@itemx .eval @var{string}, @var{name}
+Evaluate the contents of string @var{string} and assign the result as a
+string to the subsym @var{name}. String replacement is performed on
+@var{string} before assignment.
+
+@cindex @code{bss} directive, C54X
+@item .bss @var{symbol}, @var{size} [, [@var{blocking_flag}] [,@var{alignment_flag}]]
+Reserve space for @var{symbol} in the .bss section. @var{size} is in
+words. If present, @var{blocking_flag} indicates the allocated space
+should be aligned on a page boundary if it would otherwise cross a page
+boundary. If present, @var{alignment_flag} causes the assembler to
+allocate @var{size} on a long word boundary.
+
+@cindex @code{byte} directive, C54X
+@cindex @code{ubyte} directive, C54X
+@cindex @code{char} directive, C54X
+@cindex @code{uchar} directive, C54X
+@item .byte @var{value} [,...,@var{value_n}]
+@itemx .ubyte @var{value} [,...,@var{value_n}]
+@itemx .char @var{value} [,...,@var{value_n}]
+@itemx .uchar @var{value} [,...,@var{value_n}]
+Place one or more bytes into consecutive words of the current section.
+The upper 8 bits of each word is zero-filled. If a label is used, it
+points to the word allocated for the first byte encountered.
+
+@cindex @code{clink} directive, C54X
+@item .clink ["@var{section_name}"]
+Set STYP_CLINK flag for this section, which indicates to the linker that
+if no symbols from this section are referenced, the section should not
+be included in the link. If @var{section_name} is omitted, the current
+section is used.
+
+@cindex @code{c_mode} directive, C54X
+@item .c_mode
+TBD.
+
+@cindex @code{copy} directive, C54X
+@item .copy "@var{filename}" | @var{filename}
+@itemx .include "@var{filename}" | @var{filename}
+Read source statements from @var{filename}. The normal include search
+path is used. Normally .copy will cause statements from the included
+file to be printed in the assembly listing and .include will not, but
+this distinction is not currently implemented.
+
+@cindex @code{data} directive, C54X
+@item .data
+Begin assembling code into the .data section.
+
+@cindex @code{double} directive, C54X
+@cindex @code{ldouble} directive, C54X
+@cindex @code{float} directive, C54X
+@cindex @code{xfloat} directive, C54X
+@item .double @var{value} [,...,@var{value_n}]
+@itemx .ldouble @var{value} [,...,@var{value_n}]
+@itemx .float @var{value} [,...,@var{value_n}]
+@itemx .xfloat @var{value} [,...,@var{value_n}]
+Place an IEEE single-precision floating-point representation of one or
+more floating-point values into the current section. All but
+@code{.xfloat} align the result on a longword boundary. Values are
+stored most-significant word first.
+
+@cindex @code{drlist} directive, C54X
+@cindex @code{drnolist} directive, C54X
+@item .drlist
+@itemx .drnolist
+Control printing of directives to the listing file. Ignored.
+
+@cindex @code{emsg} directive, C54X
+@cindex @code{mmsg} directive, C54X
+@cindex @code{wmsg} directive, C54X
+@item .emsg @var{string}
+@itemx .mmsg @var{string}
+@itemx .wmsg @var{string}
+Emit a user-defined error, message, or warning, respectively.
+
+@cindex @code{far_mode} directive, C54X
+@item .far_mode
+Use extended addressing when assembling statements. This should appear
+only once per file, and is equivalent to the -mfar-mode option @pxref{C54X-Opts,,@code{-mfar-mode}}.
+
+@cindex @code{fclist} directive, C54X
+@cindex @code{fcnolist} directive, C54X
+@item .fclist
+@itemx .fcnolist
+Control printing of false conditional blocks to the listing file.
+
+@cindex @code{field} directive, C54X
+@item .field @var{value} [,@var{size}]
+Initialize a bitfield of @var{size} bits in the current section. If
+@var{value} is relocatable, then @var{size} must be 16. @var{size}
+defaults to 16 bits. If @var{value} does not fit into @var{size} bits,
+the value will be truncated. Successive @code{.field} directives will
+pack starting at the current word, filling the most significant bits
+first, and aligning to the start of the next word if the field size does
+not fit into the space remaining in the current word. A @code{.align}
+directive with an operand of 1 will force the next @code{.field}
+directive to begin packing into a new word. If a label is used, it
+points to the word that contains the specified field.
+
+@cindex @code{global} directive, C54X
+@cindex @code{def} directive, C54X
+@cindex @code{ref} directive, C54X
+@item .global @var{symbol} [,...,@var{symbol_n}]
+@itemx .def @var{symbol} [,...,@var{symbol_n}]
+@itemx .ref @var{symbol} [,...,@var{symbol_n}]
+@code{.def} nominally identifies a symbol defined in the current file
+and availalbe to other files. @code{.ref} identifies a symbol used in
+the current file but defined elsewhere. Both map to the standard
+@code{.global} directive.
+
+@cindex @code{half} directive, C54X
+@cindex @code{uhalf} directive, C54X
+@cindex @code{short} directive, C54X
+@cindex @code{ushort} directive, C54X
+@cindex @code{int} directive, C54X
+@cindex @code{uint} directive, C54X
+@cindex @code{word} directive, C54X
+@cindex @code{uword} directive, C54X
+@item .half @var{value} [,...,@var{value_n}]
+@itemx .uhalf @var{value} [,...,@var{value_n}]
+@itemx .short @var{value} [,...,@var{value_n}]
+@itemx .ushort @var{value} [,...,@var{value_n}]
+@itemx .int @var{value} [,...,@var{value_n}]
+@itemx .uint @var{value} [,...,@var{value_n}]
+@itemx .word @var{value} [,...,@var{value_n}]
+@itemx .uword @var{value} [,...,@var{value_n}]
+Place one or more values into consecutive words of the current section.
+If a label is used, it points to the word allocated for the first value
+encountered.
+
+@cindex @code{label} directive, C54X
+@item .label @var{symbol}
+Define a special @var{symbol} to refer to the load time address of the
+current section program counter.
+
+@cindex @code{length} directive, C54X
+@cindex @code{width} directive, C54X
+@item .length
+@itemx .width
+Set the page length and width of the output listing file. Ignored.
+
+@cindex @code{list} directive, C54X
+@cindex @code{nolist} directive, C54X
+@item .list
+@itemx .nolist
+Control whether the source listing is printed. Ignored.
+
+@cindex @code{long} directive, C54X
+@cindex @code{ulong} directive, C54X
+@cindex @code{xlong} directive, C54X
+@item .long @var{value} [,...,@var{value_n}]
+@itemx .ulong @var{value} [,...,@var{value_n}]
+@itemx .xlong @var{value} [,...,@var{value_n}]
+Place one or more 32-bit values into consecutive words in the current
+section. The most significant word is stored first. @code{.long} and
+@code{.ulong} align the result on a longword boundary; @code{xlong} does
+not.
+
+@cindex @code{loop} directive, C54X
+@cindex @code{break} directive, C54X
+@cindex @code{endloop} directive, C54X
+@item .loop [@var{count}]
+@itemx .break [@var{condition}]
+@itemx .endloop
+Repeatedly assemble a block of code. @code{.loop} begins the block, and
+@code{.endloop} marks its termination. @var{count} defaults to 1024,
+and indicates the number of times the block should be repeated.
+@code{.break} terminates the loop so that assembly begins after the
+@code{.endloop} directive. The optional @var{condition} will cause the
+loop to terminate only if it evaluates to zero.
+
+@cindex @code{macro} directive, C54X
+@cindex @code{endm} directive, C54X
+@item @var{macro_name} .macro [@var{param1}][,...@var{param_n}]
+@itemx [.mexit]
+@itemx .endm
+See the section on macros for more explanation (@xref{C54X-Macros}.
+
+@cindex @code{mlib} directive, C54X
+@item .mlib "@var{filename}" | @var{filename}
+Load the macro library @var{filename}. @var{filename} must be an
+archived library (BFD ar-compatible) of text files, expected to contain
+only macro definitions. The standard include search path is used.
+
+@cindex @code{mlist} directive, C54X
+@cindex @code{mnolist} directive, C54X
+@item .mlist
+@item .mnolist
+Control whether to include macro and loop block expansions in the
+listing output. Ignored.
+
+@cindex @code{mmregs} directive, C54X
+@item .mmregs
+Define global symbolic names for the 'c54x registers. Supposedly
+equivalent to executing @code{.set} directives for each register with
+its memory-mapped value, but in reality is provided only for
+compatibility and does nothing.
+
+@cindex @code{newblock} directive, C54X
+@item .newblock
+This directive resets any C54X local labels currently defined. Normal
+@code{@value{AS}} local labels are unaffected.
+
+@cindex @code{option} directive, C54X
+@item .option @var{option_list}
+Set listing options. Ignored.
+
+@cindex @code{sblock} directive, C54X
+@item .sblock "@var{section_name}" | @var{section_name} [,"@var{name_n}" | @var{name_n}]
+Designate @var{section_name} for blocking. Blocking guarantees that a
+section will start on a page boundary (128 words) if it would otherwise
+cross a page boundary. Only initialized sections may be designated with
+this directive. See also @xref{C54X-Block}.
+
+@cindex @code{sect} directive, C54X
+@item .sect "@var{section_name}"
+Define a named initialized section and make it the current section.
+
+@cindex @code{set} directive, C54X
+@cindex @code{equ} directive, C54X
+@item @var{symbol} .set "@var{value}"
+@itemx @var{symbol} .equ "@var{value}"
+Equate a constant @var{value} to a @var{symbol}, which is placed in the
+symbol table. @var{symbol} may not be previously defined.
+
+@cindex @code{space} directive, C54X
+@cindex @code{bes} directive, C54X
+@item .space @var{size_in_bits}
+@itemx .bes @var{size_in_bits}
+Reserve the given number of bits in the current section and zero-fill
+them. If a label is used with @code{.space}, it points to the
+@strong{first} word reserved. With @code{.bes}, the label points to the
+@strong{last} word reserved.
+
+@cindex @code{sslist} directive, C54X
+@cindex @code{ssnolist} directive, C54X
+@item .sslist
+@itemx .ssnolist
+Controls the inclusion of subsym replacement in the listing output. Ignored.
+
+@cindex @code{string} directive, C54X
+@cindex @code{pstring} directive, C54X
+@item .string "@var{string}" [,...,"@var{string_n}"]
+@itemx .pstring "@var{string}" [,...,"@var{string_n}"]
+Place 8-bit characters from @var{string} into the current section.
+@code{.string} zero-fills the upper 8 bits of each word, while
+@code{.pstring} puts two characters into each word, filling the
+most-significant bits first. Unused space is zero-filled. If a label
+is used, it points to the first word initialized.
+
+@cindex @code{struct} directive, C54X
+@cindex @code{tag} directive, C54X
+@cindex @code{endstruct} directive, C54X
+@item [@var{stag}] .struct [@var{offset}]
+@itemx [@var{name_1}] element [@var{count_1}]
+@itemx [@var{name_2}] element [@var{count_2}]
+@itemx [@var{tname}] .tag @var{stagx} [@var{tcount}]
+@itemx ...
+@itemx [@var{name_n}] element [@var{count_n}]
+@itemx [@var{ssize}] .endstruct
+@itemx @var{label} .tag [@var{stag}]
+Assign symbolic offsets to the elements of a structure. @var{stag}
+defines a symbol to use to reference the structure. @var{offset}
+indicates a starting value to use for the first element encountered;
+otherwise it defaults to zero. Each element can have a named offset,
+@var{name}, which is a symbol assigned the value of the element's offset
+into the structure. If @var{stag} is missing, these become global
+symbols. @var{count} adjusts the offset that many times, as if
+@code{element} were an array. @code{element} may be one of
+@code{.byte}, @code{.word}, @code{.long}, @code{.float}, or any
+equivalent of those, and the structure offset is adjusted accordingly.
+@code{.field} and @code{.string} are also allowed; the size of
+@code{.field} is one bit, and @code{.string} is considered to be one
+word in size. Only element descriptors, structure/union tags,
+@code{.align} and conditioanl assembly directies are allowed within
+@code{.struct}/@code{.endstruct}. @code{.align} aligns member offsets
+to word boundaries only. @var{ssize}, if provided, will always be
+assigned the size of the structure.
+
+The @code{.tag} directive, in addition to being used to define a
+structure/union element within a structure, may be used to apply a
+structure to a symbol. Once applied to @var{label}, the individual
+structure elements may be applied to @var{label} to produce the desired
+offsets using @var{label} as the structure base.
+
+@cindex @code{tab} directive, C54X
+@item .tab
+Set the tab size in the output listing. Ignored.
+
+@cindex @code{union} directive, C54X
+@cindex @code{tag} directive, C54X
+@cindex @code{endunion} directive, C54X
+@item [@var{utag}] .union
+@itemx [@var{name_1}] element [@var{count_1}]
+@itemx [@var{name_2}] element [@var{count_2}]
+@itemx [@var{tname}] .tag @var{utagx}[,@var{tcount}]
+@itemx ...
+@itemx [@var{name_n}] element [@var{count_n}]
+@itemx [@var{usize}] .endstruct
+@itemx @var{label} .tag [@var{utag}]
+Similar to @code{.struct}, but the offset after each element is reset to
+zero, and the @var{usize} is set to the maximum of all defined elements.
+Starting offset for the union is always zero.
+
+@cindex @code{usect} directive, C54X
+@item [@var{symbol}] .usect "@var{section_name}", @var{size}, [,[@var{blocking_flag}] [,@var{alignment_flag}]]
+Reserve space for variables in a named, uninitialzed section (similar to
+.bss). @code{.usect} allows definitions sections independent of .bss.
+@var{symbol} points to the first location reserved by this allocation.
+The symbol may be used as a variable name. @var{size} is the allocated
+size in words. @var{blocking_flag} indicates whether to block this
+section on a page boundary (128 words) (@pxref{C54X-Block}).
+@var{alignment flag} indicates whether the section should be
+longword-aligned.
+
+@cindex @code{var} directive, C54X
+@item .var @var{sym}[,..., @var{sym_n}]
+Define a subsym to be a local variable within a macro. See
+@xref{C54X-Macros}.
+
+@cindex @code{version} directive, C54X
+@item .version @var{version}
+Set which processor to build instructions for. Though the following
+values are accepted, the op is ignored.
+@table @code
+@item 541
+@itemx 542
+@itemx 543
+@itemx 545
+@itemx 545LP
+@itemx 546LP
+@itemx 548
+@itemx 549
+@end table
+@end table
+
+@node C54X-Macros
+@section Macros
+
+@cindex C54X-specific macros
+@cindex macros, C54X
+Macros do not require explicit dereferencing of arguments (i.e. \ARG).
+
+During macro expansion, the macro parameters are converted to subsyms.
+If the number of arguments passed the macro invocation exceeds the
+number of parameters defined, the last parameter is assigned the string
+equivalent of all remaining arguments. If fewer arguments are given
+than parameters, the missing parameters are assigned empty strings. To
+include a comma in an argument, you must enclose the agument in quotes.
+
+@cindex subsym builtins, C54X
+@cindex C54X subsym builtins
+@cindex builtin subsym functions, C54X
+The following built-in subsym functions allow examination of the string
+value of subsyms (or ordinary strings). The arguments are strings
+unless otherwise indicated (subsyms passed as args will be replaced by
+the strings they represent).
+@table @code
+@cindex @code{$symlen} subsym builtin, C54X
+@item @code{$symlen(@var{str})}
+Returns the length of @var{str}.
+
+@cindex @code{$symcmp} subsym builtin, C54X
+@item @code{$symcmp(@var{str1},@var{str2})}
+Returns 0 if @var{str1} == @var{str2}, non-zero otherwise.
+
+@cindex @code{$firstch} subsym builtin, C54X
+@item @code{$firstch(@var{str},@var{ch})}
+Returns index of the first occurrence of character constant @var{ch} in
+@var{str}.
+
+@cindex @code{$lastch} subsym builtin, C54X
+@item @code{$lastch(@var{str},@var{ch})}
+Returns index of the last occurrence of character constant @var{ch} in
+@var{str}.
+
+@cindex @code{$isdefed} subsym builtin, C54X
+@item @code{$isdefed(@var{symbol})}
+Returns zero if the symbol @var{symbol} is not in the symbol table,
+non-zero otherwise.
+
+@cindex @code{$ismember} subsym builtin, C54X
+@item @code{$ismember(@var{symbol},@var{list})}
+Assign the first member of comma-separated string @var{list} to
+@var{symbol}; @var{list} is reassigned the remainder of the list. Returns
+zero if @var{list} is a null string. Both arguments must be subsyms.
+
+@cindex @code{$iscons} subsym builtin, C54X
+@item @code{$iscons(@var{expr})}
+Returns 1 if string @var{expr} is binary, 2 if octal, 3 if hexadecimal,
+4 if a character, 5 if decimal, and zero if not an integer.
+
+@cindex @code{$isname} subsym builtin, C54X
+@item @code{$isname(@var{name})}
+Returns 1 if @var{name} is a valid symbol name, zero otherwise.
+
+@cindex @code{$isreg} subsym builtin, C54X
+@item @code{$isreg(@var{reg})}
+Returns 1 if @var{reg} is a valid predefined register name (AR0-AR7 only).
+
+@cindex @code{$structsz} subsym builtin, C54X
+@item @code{$structsz(@var{stag})}
+Returns the size of the structure or union represented by @var{stag}.
+
+@cindex @code{$structacc} subsym builtin, C54X
+@item @code{$structacc(@var{stag})}
+Returns the reference point of the structure or union represented by
+@var{stag}. Always returns zero.
+
+@end table
+
+@node C54X-MMRegs
+@section Memory-mapped Registers
+
+@cindex C54X memory-mapped registers
+@cindex registers, C54X memory-mapped
+@cindex memory-mapped registers, C54X
+The following symbols are recognized as memory-mapped registers:
+
+@table @code
+@end table \ No newline at end of file
diff --git a/gas/doc/internals.texi b/gas/doc/internals.texi
index 2806fc6..0e5aaf7 100644
--- a/gas/doc/internals.texi
+++ b/gas/doc/internals.texi
@@ -991,6 +991,7 @@ If you define this macro, GAS will assume that any text at the start of a line
is a label, even if it does not have a colon.
@item TC_START_LABEL
+@itemx TC_START_LABEL_WITHOUT_COLON
@cindex TC_START_LABEL
You may define this macro to control what GAS considers to be a label. The
default definition is to accept any name followed by a colon character.
diff --git a/gas/testsuite/ChangeLog b/gas/testsuite/ChangeLog
index 19b7f99..a1a799c 100644
--- a/gas/testsuite/ChangeLog
+++ b/gas/testsuite/ChangeLog
@@ -1,3 +1,12 @@
+2000-06-19 Timothy Wall <twall@cygnus.com>
+
+ * gas/macros/macros.exp: Avoid tests which are not useful on tic54x.
+ * gas/all/gas.exp: Make adjustments for tic54x target.
+ * gas/ieee-fp/x930509a.exp: Ditto.
+ * gas/tic54x/*: New tests for tic54x. NOTE: load page has been
+ moved into the LMA and is not printed separately by objdump any
+ longer. This still needs to be tested.
+
2000-06-18 Stephane Carrez <stcarrez@worldnet.fr>
* gas/m68k/all.exp: Don't execute tests if the target is m68hc11&12.
diff --git a/gas/testsuite/gas/all/gas.exp b/gas/testsuite/gas/all/gas.exp
index 49d9421..8098448 100644
--- a/gas/testsuite/gas/all/gas.exp
+++ b/gas/testsuite/gas/all/gas.exp
@@ -11,7 +11,12 @@ gas_test "p2425.s" "" "" "pcrel values in assignment"
# Therefore this test (as it is currently written) is completely bogus
# for any PA target. Do not bother trying to run it and just claim
# it fails.
-if [istarget hppa*-*-*] then {
+#
+# The C54x uses ".space" to allocate bits, and requires absolute expressions;
+# The ".space" directive is taken care of in the C54x-specific tests, so fail
+# here
+#
+if { [istarget hppa*-*-*] || [istarget *c54x*-*-*] } then {
setup_xfail *-*-*
fail "simplifiable double subtraction"
} else {
@@ -79,7 +84,9 @@ proc do_930509a {} {
# This test is meaningless for the PA; the difference of two symbols
# must not be resolved by the assembler.
-if ![istarget hppa*-*-*] then {
+# C54x assembler (for compatibility) does not allow differences between
+# forward references
+if { ![istarget hppa*-*-*] && ![istarget *c54x*-*-*] } then {
# the vax fails because VMS can apparently actually handle this
# case in relocs, so gas doesn't handle it itself.
setup_xfail "vax*-*-vms*"
@@ -88,8 +95,11 @@ if ![istarget hppa*-*-*] then {
do_930509a
}
+# ".struct" and ".align" have different meanings on c54x
+# These directives are done in the c54x-specific tests instead
case $target_triplet in {
{ hppa*-*-* } { }
+ { *c54x*-*-* } { }
default {
run_dump_test struct
run_dump_test align
@@ -100,7 +110,8 @@ case $target_triplet in {
# We omit m88k COFF because it uses weird pseudo-op names.
# We omit the ARM toolchains because they define locals to
# start with '.', which eliminates .eos, .text etc from the output.
-if { ([istarget *-*-coff*] && ![istarget m88*-*-*] && ![istarget *arm*-*-coff] && ![istarget thumb*-*-coff]) \
+# Omit c54x, since .tag and .def mean something different on that target
+if { ([istarget *-*-coff*] && ![istarget m88*-*-*] && ![istarget *arm*-*-coff] && ![istarget thumb*-*-coff] && ![istarget *c54x*-*-coff]) \
||([istarget *-*-pe*] && ![istarget arm*-*-pe*] && ![istarget thumb*-*-pe*]) \
|| [istarget a29k-*-udi*] \
|| [istarget a29k-*-ebmon*] \
@@ -137,7 +148,10 @@ proc test_cond {} {
}
}
-test_cond
+# again, p2align doesn't work on c54x target
+if ![istarget *c54x*-*-*] then {
+ test_cond
+}
# FIXME: this is here cause of a bug in DejaGnu 1.1.1. When it is no longer
# in use, then this can be removed.
diff --git a/gas/testsuite/gas/macros/macros.exp b/gas/testsuite/gas/macros/macros.exp
index f75df40..c5f4124 100644
--- a/gas/testsuite/gas/macros/macros.exp
+++ b/gas/testsuite/gas/macros/macros.exp
@@ -4,18 +4,23 @@ if ![istarget hppa*-*-*] {
run_dump_test test1
}
-run_dump_test test2
+if ![istarget *c54x*-*-*] {
+ run_dump_test test2
+}
run_dump_test test3
-run_dump_test irp
+if ![istarget *c54x*-*-*] {
+ run_dump_test irp
+ run_dump_test rept
+}
-run_dump_test rept
gas_test_error "err.s" "" "macro infinite recursion"
case $target_triplet in {
{ hppa*-*-* } { }
+ { *c54x*-*-* } { }
default {
run_dump_test semi
}
diff --git a/gas/testsuite/gas/tic54x/address.d b/gas/testsuite/gas/tic54x/address.d
new file mode 100644
index 0000000..b8a7ba0
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/address.d
@@ -0,0 +1,29 @@
+#objdump: -d
+#name: c54x addressing modes
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <_addressing>:
+ 0: 1801.*
+ 1: 1881.*
+ 2: 1989.*
+ 3: 1891.*
+ 4: 8199.*
+ 5: 18a1.*
+ 6: 19a9.*
+ 7: 18b1.*
+ 8: 19b9.*
+ 9: 18c1.*
+ a: 19c9.*
+ b: 18d1.*
+ c: 19d9.*
+ d: 18e1.*
+ e: 8000.*
+ f: 19e9.*
+ 10: 0001.*
+ 11: 18f1.*
+ 12: 0001.*
+ 13: 19f8.*
+ 14: ffff.*
diff --git a/gas/testsuite/gas/tic54x/address.s b/gas/testsuite/gas/tic54x/address.s
new file mode 100644
index 0000000..6f4ea94
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/address.s
@@ -0,0 +1,24 @@
+ ;; test all addressing permutations
+ .text
+_addressing:
+ and Y,a ; direct
+ and *ar1,a ; indirect (all modes)
+ and *ar1-,b
+ and *ar1+,a
+ stl b,*+ar1
+ and *ar1-0b,a
+ and *ar1-0,b
+ and *ar1+0,a
+ and *ar1+0b,b
+ and *ar1-%,a
+ and *ar1-0%,b
+ and *ar1+%,a
+ and *ar1+0%,b
+ and *ar1(32768),a
+ and *+ar1(X+1),b
+ and *+ar1(Y)%,a
+ and *(65535),b
+ .data
+X: .word 0
+Y: .word 1
+ .end
diff --git a/gas/testsuite/gas/tic54x/addrfar.d b/gas/testsuite/gas/tic54x/addrfar.d
new file mode 100644
index 0000000..9c42633
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/addrfar.d
@@ -0,0 +1,31 @@
+#as: -mcpu=548 -mfar-mode
+#objdump: -d
+#name: c54x addressing modes, w/extended addressing (far mode)
+#source: address.s
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <_addressing>:
+ 0: 1801.*
+ 1: 1881.*
+ 2: 1989.*
+ 3: 1891.*
+ 4: 8199.*
+ 5: 18a1.*
+ 6: 19a9.*
+ 7: 18b1.*
+ 8: 19b9.*
+ 9: 18c1.*
+ a: 19c9.*
+ b: 18d1.*
+ c: 19d9.*
+ d: 18e1.*
+ e: 8000.*
+ f: 19e9.*
+ 10: 0001.*
+ 11: 18f1.*
+ 12: 0001.*
+ 13: 19f8.*
+ 14: ffff.*
diff --git a/gas/testsuite/gas/tic54x/align.d b/gas/testsuite/gas/tic54x/align.d
new file mode 100644
index 0000000..c130534
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/align.d
@@ -0,0 +1,56 @@
+#objdump: -d --headers
+#name: c54x align (NOTE: .even is broken on TI tools)
+
+.*: +file format .*c54x.*
+
+Sections:
+Idx Name Size VMA LMA File off Algn
+ 0 .text 00000089 00000000 00000000 0000.... 2..7
+ CONTENTS, ALLOC, LOAD, CODE
+ 1 .data 00000005 00000000 00000000 0000.... 2..1
+ CONTENTS, ALLOC, LOAD, DATA
+ 2 .bss 00000000 00000000 00000000 0000.... 2..0
+ ALLOC
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 4160.*
+ 1: 0001.*
+ 2: 0002.*
+ ...
+
+00000004 <even>:
+ 4: 0003.*
+ ...
+
+00000006 <align2>:
+ 6: 0061.*
+ 7: 0062.*
+ 8: 0063.*
+ 9: 0064.*
+ a: 0065.*
+ ...
+
+00000010 <align8>:
+ 10: 0008.*
+ 11: 0000.*
+ 12: 0001.*
+ 13: 0002.*
+ 14: 0003.*
+ 15: 0004.*
+ 16: 0005.*
+ 17: 0006.*
+ 18: 0007.*
+ ...
+
+00000080 <align128>:
+ 80: 0004.*
+ 81: 0000.*
+ 82: 0001.*
+ 83: 0002.*
+ 84: 0003.*
+ 85: 0004.*
+ 86: 0005.*
+ 87: 0006.*
+ 88: 0007.*
+
diff --git a/gas/testsuite/gas/tic54x/align.s b/gas/testsuite/gas/tic54x/align.s
new file mode 100644
index 0000000..fea8fa6
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/align.s
@@ -0,0 +1,24 @@
+* alignment directives
+* .even == .align 1, .even 2 == longword boundary
+* .align [size] ; size is number of words (value must be a power of 2)
+ .global even, align2, align8, align128
+ .field 2, 3
+ .field 11, 8
+ .word 0x1, 0x2
+ .even
+even .word 0x3
+ .align 2
+align2 .string "abcde"
+ .align 8
+align8 .word 8
+ .word 0,1,2,3,4,5,6,7
+ .align
+align128 .byte 4
+ .word 0,1,2,3,4,5,6,7
+ .data
+ .field 2, 3
+ .field 11, 8
+ .word 0x1, 0x2
+ .even
+ .word 0x3
+ .end
diff --git a/gas/testsuite/gas/tic54x/all-opcodes.d b/gas/testsuite/gas/tic54x/all-opcodes.d
new file mode 100644
index 0000000..3cb52f4
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/all-opcodes.d
@@ -0,0 +1,219151 @@
+#objdump: -d -r
+#as: -mf -mcpu=545lp -W
+#name: c54x all opcodes
+
+.*: file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <start>:
+ 0: e300.*
+ 1: e304.*
+ 2: e308.*
+ 3: e30c.*
+ 4: e301.*
+ 5: e305.*
+ 6: e309.*
+ 7: e30d.*
+ 8: e302.*
+ 9: e306.*
+ a: e30a.*
+ b: e30e.*
+ c: e303.*
+ d: e307.*
+ e: e30b.*
+ f: e30f.*
+ 10: e340.*
+ 11: e344.*
+ 12: e348.*
+ 13: e34c.*
+ 14: e341.*
+ 15: e345.*
+ 16: e349.*
+ 17: e34d.*
+ 18: e342.*
+ 19: e346.*
+ 1a: e34a.*
+ 1b: e34e.*
+ 1c: e343.*
+ 1d: e347.*
+ 1e: e34b.*
+ 1f: e34f.*
+ 20: e380.*
+ 21: e384.*
+ 22: e388.*
+ 23: e38c.*
+ 24: e381.*
+ 25: e385.*
+ 26: e389.*
+ 27: e38d.*
+ 28: e382.*
+ 29: e386.*
+ 2a: e38a.*
+ 2b: e38e.*
+ 2c: e383.*
+ 2d: e387.*
+ 2e: e38b.*
+ 2f: e38f.*
+ 30: e3c0.*
+ 31: e3c4.*
+ 32: e3c8.*
+ 33: e3cc.*
+ 34: e3c1.*
+ 35: e3c5.*
+ 36: e3c9.*
+ 37: e3cd.*
+ 38: e3c2.*
+ 39: e3c6.*
+ 3a: e3ca.*
+ 3b: e3ce.*
+ 3c: e3c3.*
+ 3d: e3c7.*
+ 3e: e3cb.*
+ 3f: e3cf.*
+ 40: e310.*
+ 41: e314.*
+ 42: e318.*
+ 43: e31c.*
+ 44: e311.*
+ 45: e315.*
+ 46: e319.*
+ 47: e31d.*
+ 48: e312.*
+ 49: e316.*
+ 4a: e31a.*
+ 4b: e31e.*
+ 4c: e313.*
+ 4d: e317.*
+ 4e: e31b.*
+ 4f: e31f.*
+ 50: e350.*
+ 51: e354.*
+ 52: e358.*
+ 53: e35c.*
+ 54: e351.*
+ 55: e355.*
+ 56: e359.*
+ 57: e35d.*
+ 58: e352.*
+ 59: e356.*
+ 5a: e35a.*
+ 5b: e35e.*
+ 5c: e353.*
+ 5d: e357.*
+ 5e: e35b.*
+ 5f: e35f.*
+ 60: e390.*
+ 61: e394.*
+ 62: e398.*
+ 63: e39c.*
+ 64: e391.*
+ 65: e395.*
+ 66: e399.*
+ 67: e39d.*
+ 68: e392.*
+ 69: e396.*
+ 6a: e39a.*
+ 6b: e39e.*
+ 6c: e393.*
+ 6d: e397.*
+ 6e: e39b.*
+ 6f: e39f.*
+ 70: e3d0.*
+ 71: e3d4.*
+ 72: e3d8.*
+ 73: e3dc.*
+ 74: e3d1.*
+ 75: e3d5.*
+ 76: e3d9.*
+ 77: e3dd.*
+ 78: e3d2.*
+ 79: e3d6.*
+ 7a: e3da.*
+ 7b: e3de.*
+ 7c: e3d3.*
+ 7d: e3d7.*
+ 7e: e3db.*
+ 7f: e3df.*
+ 80: e320.*
+ 81: e324.*
+ 82: e328.*
+ 83: e32c.*
+ 84: e321.*
+ 85: e325.*
+ 86: e329.*
+ 87: e32d.*
+ 88: e322.*
+ 89: e326.*
+ 8a: e32a.*
+ 8b: e32e.*
+ 8c: e323.*
+ 8d: e327.*
+ 8e: e32b.*
+ 8f: e32f.*
+ 90: e360.*
+ 91: e364.*
+ 92: e368.*
+ 93: e36c.*
+ 94: e361.*
+ 95: e365.*
+ 96: e369.*
+ 97: e36d.*
+ 98: e362.*
+ 99: e366.*
+ 9a: e36a.*
+ 9b: e36e.*
+ 9c: e363.*
+ 9d: e367.*
+ 9e: e36b.*
+ 9f: e36f.*
+ a0: e3a0.*
+ a1: e3a4.*
+ a2: e3a8.*
+ a3: e3ac.*
+ a4: e3a1.*
+ a5: e3a5.*
+ a6: e3a9.*
+ a7: e3ad.*
+ a8: e3a2.*
+ a9: e3a6.*
+ aa: e3aa.*
+ ab: e3ae.*
+ ac: e3a3.*
+ ad: e3a7.*
+ ae: e3ab.*
+ af: e3af.*
+ b0: e3e0.*
+ b1: e3e4.*
+ b2: e3e8.*
+ b3: e3ec.*
+ b4: e3e1.*
+ b5: e3e5.*
+ b6: e3e9.*
+ b7: e3ed.*
+ b8: e3e2.*
+ b9: e3e6.*
+ ba: e3ea.*
+ bb: e3ee.*
+ bc: e3e3.*
+ bd: e3e7.*
+ be: e3eb.*
+ bf: e3ef.*
+ c0: e330.*
+ c1: e334.*
+ c2: e338.*
+ c3: e33c.*
+ c4: e331.*
+ c5: e335.*
+ c6: e339.*
+ c7: e33d.*
+ c8: e332.*
+ c9: e336.*
+ ca: e33a.*
+ cb: e33e.*
+ cc: e333.*
+ cd: e337.*
+ ce: e33b.*
+ cf: e33f.*
+ d0: e370.*
+ d1: e374.*
+ d2: e378.*
+ d3: e37c.*
+ d4: e371.*
+ d5: e375.*
+ d6: e379.*
+ d7: e37d.*
+ d8: e372.*
+ d9: e376.*
+ da: e37a.*
+ db: e37e.*
+ dc: e373.*
+ dd: e377.*
+ de: e37b.*
+ df: e37f.*
+ e0: e3b0.*
+ e1: e3b4.*
+ e2: e3b8.*
+ e3: e3bc.*
+ e4: e3b1.*
+ e5: e3b5.*
+ e6: e3b9.*
+ e7: e3bd.*
+ e8: e3b2.*
+ e9: e3b6.*
+ ea: e3ba.*
+ eb: e3be.*
+ ec: e3b3.*
+ ed: e3b7.*
+ ee: e3bb.*
+ ef: e3bf.*
+ f0: e3f0.*
+ f1: e3f4.*
+ f2: e3f8.*
+ f3: e3fc.*
+ f4: e3f1.*
+ f5: e3f5.*
+ f6: e3f9.*
+ f7: e3fd.*
+ f8: e3f2.*
+ f9: e3f6.*
+ fa: e3fa.*
+ fb: e3fe.*
+ fc: e3f3.*
+ fd: e3f7.*
+ fe: e3fb.*
+ ff: e3ff.*
+ 100: f485.*
+ 101: f585.*
+ 102: f485.*
+ 103: f685.*
+ 104: f785.*
+ 105: f785.*
+ 106: f060.*
+ 107: 0000.*
+ 108: f160.*
+ 109: 0001.*
+ 10a: f060.*
+ 10b: 0002.*
+ 10c: f260.*
+ 10d: 0003.*
+ 10e: f360.*
+ 10f: 0004.*
+ 110: f360.*
+ 111: 0005.*
+ 112: 3c00.*
+ 113: 3d01.*
+ 114: 3c02.*
+ 115: 3e03.*
+ 116: 3f04.*
+ 117: 3f05.*
+ 118: 3c80.*
+ 119: 3d80.*
+ 11a: 3c80.*
+ 11b: 3e80.*
+ 11c: 3f80.*
+ 11d: 3f80.*
+ 11e: 3c88.*
+ 11f: 3d88.*
+ 120: 3c88.*
+ 121: 3e88.*
+ 122: 3f88.*
+ 123: 3f88.*
+ 124: 3c90.*
+ 125: 3d90.*
+ 126: 3c90.*
+ 127: 3e90.*
+ 128: 3f90.*
+ 129: 3f90.*
+ 12a: 3ca0.*
+ 12b: 3da0.*
+ 12c: 3ca0.*
+ 12d: 3ea0.*
+ 12e: 3fa0.*
+ 12f: 3fa0.*
+ 130: 3ca8.*
+ 131: 3da8.*
+ 132: 3ca8.*
+ 133: 3ea8.*
+ 134: 3fa8.*
+ 135: 3fa8.*
+ 136: 3cb0.*
+ 137: 3db0.*
+ 138: 3cb0.*
+ 139: 3eb0.*
+ 13a: 3fb0.*
+ 13b: 3fb0.*
+ 13c: 3cb8.*
+ 13d: 3db8.*
+ 13e: 3cb8.*
+ 13f: 3eb8.*
+ 140: 3fb8.*
+ 141: 3fb8.*
+ 142: 3cc0.*
+ 143: 3dc0.*
+ 144: 3cc0.*
+ 145: 3ec0.*
+ 146: 3fc0.*
+ 147: 3fc0.*
+ 148: 3cc8.*
+ 149: 3dc8.*
+ 14a: 3cc8.*
+ 14b: 3ec8.*
+ 14c: 3fc8.*
+ 14d: 3fc8.*
+ 14e: 3cd0.*
+ 14f: 3dd0.*
+ 150: 3cd0.*
+ 151: 3ed0.*
+ 152: 3fd0.*
+ 153: 3fd0.*
+ 154: 3cd8.*
+ 155: 3dd8.*
+ 156: 3cd8.*
+ 157: 3ed8.*
+ 158: 3fd8.*
+ 159: 3fd8.*
+ 15a: 3ce0.*
+ 15b: 0006.*
+ 15c: 3de0.*
+ 15d: 0007.*
+ 15e: 3ce0.*
+ 15f: 0008.*
+ 160: 3ee0.*
+ 161: 0009.*
+ 162: 3fe0.*
+ 163: 000a.*
+ 164: 3fe0.*
+ 165: 000b.*
+ 166: 3ce8.*
+ 167: 000c.*
+ 168: 3de8.*
+ 169: 000d.*
+ 16a: 3ce8.*
+ 16b: 000e.*
+ 16c: 3ee8.*
+ 16d: 000f.*
+ 16e: 3fe8.*
+ 16f: 0010.*
+ 170: 3fe8.*
+ 171: 0011.*
+ 172: 3cf0.*
+ 173: 0012.*
+ 174: 3df0.*
+ 175: 0013.*
+ 176: 3cf0.*
+ 177: 0014.*
+ 178: 3ef0.*
+ 179: 0015.*
+ 17a: 3ff0.*
+ 17b: 0016.*
+ 17c: 3ff0.*
+ 17d: 0017.*
+ 17e: 3cf8.*
+ 17f: 0018.*
+ 180: 3df8.*
+ 181: 0019.*
+ 182: 3cf8.*
+ 183: 001a.*
+ 184: 3ef8.*
+ 185: 001b.*
+ 186: 3ff8.*
+ 187: 001c.*
+ 188: 3ff8.*
+ 189: 001d.*
+ 18a: 3c81.*
+ 18b: 3d81.*
+ 18c: 3c81.*
+ 18d: 3e81.*
+ 18e: 3f81.*
+ 18f: 3f81.*
+ 190: 3c89.*
+ 191: 3d89.*
+ 192: 3c89.*
+ 193: 3e89.*
+ 194: 3f89.*
+ 195: 3f89.*
+ 196: 3c91.*
+ 197: 3d91.*
+ 198: 3c91.*
+ 199: 3e91.*
+ 19a: 3f91.*
+ 19b: 3f91.*
+ 19c: 3ca1.*
+ 19d: 3da1.*
+ 19e: 3ca1.*
+ 19f: 3ea1.*
+ 1a0: 3fa1.*
+ 1a1: 3fa1.*
+ 1a2: 3ca9.*
+ 1a3: 3da9.*
+ 1a4: 3ca9.*
+ 1a5: 3ea9.*
+ 1a6: 3fa9.*
+ 1a7: 3fa9.*
+ 1a8: 3cb1.*
+ 1a9: 3db1.*
+ 1aa: 3cb1.*
+ 1ab: 3eb1.*
+ 1ac: 3fb1.*
+ 1ad: 3fb1.*
+ 1ae: 3cb9.*
+ 1af: 3db9.*
+ 1b0: 3cb9.*
+ 1b1: 3eb9.*
+ 1b2: 3fb9.*
+ 1b3: 3fb9.*
+ 1b4: 3cc1.*
+ 1b5: 3dc1.*
+ 1b6: 3cc1.*
+ 1b7: 3ec1.*
+ 1b8: 3fc1.*
+ 1b9: 3fc1.*
+ 1ba: 3cc9.*
+ 1bb: 3dc9.*
+ 1bc: 3cc9.*
+ 1bd: 3ec9.*
+ 1be: 3fc9.*
+ 1bf: 3fc9.*
+ 1c0: 3cd1.*
+ 1c1: 3dd1.*
+ 1c2: 3cd1.*
+ 1c3: 3ed1.*
+ 1c4: 3fd1.*
+ 1c5: 3fd1.*
+ 1c6: 3cd9.*
+ 1c7: 3dd9.*
+ 1c8: 3cd9.*
+ 1c9: 3ed9.*
+ 1ca: 3fd9.*
+ 1cb: 3fd9.*
+ 1cc: 3ce1.*
+ 1cd: 001e.*
+ 1ce: 3de1.*
+ 1cf: 001f.*
+ 1d0: 3ce1.*
+ 1d1: 0020.*
+ 1d2: 3ee1.*
+ 1d3: 0021.*
+ 1d4: 3fe1.*
+ 1d5: 0022.*
+ 1d6: 3fe1.*
+ 1d7: 0023.*
+ 1d8: 3ce9.*
+ 1d9: 0024.*
+ 1da: 3de9.*
+ 1db: 0025.*
+ 1dc: 3ce9.*
+ 1dd: 0026.*
+ 1de: 3ee9.*
+ 1df: 0027.*
+ 1e0: 3fe9.*
+ 1e1: 0028.*
+ 1e2: 3fe9.*
+ 1e3: 0029.*
+ 1e4: 3cf1.*
+ 1e5: 002a.*
+ 1e6: 3df1.*
+ 1e7: 002b.*
+ 1e8: 3cf1.*
+ 1e9: 002c.*
+ 1ea: 3ef1.*
+ 1eb: 002d.*
+ 1ec: 3ff1.*
+ 1ed: 002e.*
+ 1ee: 3ff1.*
+ 1ef: 002f.*
+ 1f0: 3cf8.*
+ 1f1: 0030.*
+ 1f2: 3df8.*
+ 1f3: 0031.*
+ 1f4: 3cf8.*
+ 1f5: 0032.*
+ 1f6: 3ef8.*
+ 1f7: 0033.*
+ 1f8: 3ff8.*
+ 1f9: 0034.*
+ 1fa: 3ff8.*
+ 1fb: 0035.*
+ 1fc: 3c82.*
+ 1fd: 3d82.*
+ 1fe: 3c82.*
+ 1ff: 3e82.*
+ 200: 3f82.*
+ 201: 3f82.*
+ 202: 3c8a.*
+ 203: 3d8a.*
+ 204: 3c8a.*
+ 205: 3e8a.*
+ 206: 3f8a.*
+ 207: 3f8a.*
+ 208: 3c92.*
+ 209: 3d92.*
+ 20a: 3c92.*
+ 20b: 3e92.*
+ 20c: 3f92.*
+ 20d: 3f92.*
+ 20e: 3ca2.*
+ 20f: 3da2.*
+ 210: 3ca2.*
+ 211: 3ea2.*
+ 212: 3fa2.*
+ 213: 3fa2.*
+ 214: 3caa.*
+ 215: 3daa.*
+ 216: 3caa.*
+ 217: 3eaa.*
+ 218: 3faa.*
+ 219: 3faa.*
+ 21a: 3cb2.*
+ 21b: 3db2.*
+ 21c: 3cb2.*
+ 21d: 3eb2.*
+ 21e: 3fb2.*
+ 21f: 3fb2.*
+ 220: 3cba.*
+ 221: 3dba.*
+ 222: 3cba.*
+ 223: 3eba.*
+ 224: 3fba.*
+ 225: 3fba.*
+ 226: 3cc2.*
+ 227: 3dc2.*
+ 228: 3cc2.*
+ 229: 3ec2.*
+ 22a: 3fc2.*
+ 22b: 3fc2.*
+ 22c: 3cca.*
+ 22d: 3dca.*
+ 22e: 3cca.*
+ 22f: 3eca.*
+ 230: 3fca.*
+ 231: 3fca.*
+ 232: 3cd2.*
+ 233: 3dd2.*
+ 234: 3cd2.*
+ 235: 3ed2.*
+ 236: 3fd2.*
+ 237: 3fd2.*
+ 238: 3cda.*
+ 239: 3dda.*
+ 23a: 3cda.*
+ 23b: 3eda.*
+ 23c: 3fda.*
+ 23d: 3fda.*
+ 23e: 3ce2.*
+ 23f: 0036.*
+ 240: 3de2.*
+ 241: 0037.*
+ 242: 3ce2.*
+ 243: 0038.*
+ 244: 3ee2.*
+ 245: 0039.*
+ 246: 3fe2.*
+ 247: 003a.*
+ 248: 3fe2.*
+ 249: 003b.*
+ 24a: 3cea.*
+ 24b: 003c.*
+ 24c: 3dea.*
+ 24d: 003d.*
+ 24e: 3cea.*
+ 24f: 003e.*
+ 250: 3eea.*
+ 251: 003f.*
+ 252: 3fea.*
+ 253: 0040.*
+ 254: 3fea.*
+ 255: 0041.*
+ 256: 3cf2.*
+ 257: 0042.*
+ 258: 3df2.*
+ 259: 0043.*
+ 25a: 3cf2.*
+ 25b: 0044.*
+ 25c: 3ef2.*
+ 25d: 0045.*
+ 25e: 3ff2.*
+ 25f: 0046.*
+ 260: 3ff2.*
+ 261: 0047.*
+ 262: 3cf8.*
+ 263: 0048.*
+ 264: 3df8.*
+ 265: 0049.*
+ 266: 3cf8.*
+ 267: 004a.*
+ 268: 3ef8.*
+ 269: 004b.*
+ 26a: 3ff8.*
+ 26b: 004c.*
+ 26c: 3ff8.*
+ 26d: 004d.*
+ 26e: 3c83.*
+ 26f: 3d83.*
+ 270: 3c83.*
+ 271: 3e83.*
+ 272: 3f83.*
+ 273: 3f83.*
+ 274: 3c8b.*
+ 275: 3d8b.*
+ 276: 3c8b.*
+ 277: 3e8b.*
+ 278: 3f8b.*
+ 279: 3f8b.*
+ 27a: 3c93.*
+ 27b: 3d93.*
+ 27c: 3c93.*
+ 27d: 3e93.*
+ 27e: 3f93.*
+ 27f: 3f93.*
+ 280: 3ca3.*
+ 281: 3da3.*
+ 282: 3ca3.*
+ 283: 3ea3.*
+ 284: 3fa3.*
+ 285: 3fa3.*
+ 286: 3cab.*
+ 287: 3dab.*
+ 288: 3cab.*
+ 289: 3eab.*
+ 28a: 3fab.*
+ 28b: 3fab.*
+ 28c: 3cb3.*
+ 28d: 3db3.*
+ 28e: 3cb3.*
+ 28f: 3eb3.*
+ 290: 3fb3.*
+ 291: 3fb3.*
+ 292: 3cbb.*
+ 293: 3dbb.*
+ 294: 3cbb.*
+ 295: 3ebb.*
+ 296: 3fbb.*
+ 297: 3fbb.*
+ 298: 3cc3.*
+ 299: 3dc3.*
+ 29a: 3cc3.*
+ 29b: 3ec3.*
+ 29c: 3fc3.*
+ 29d: 3fc3.*
+ 29e: 3ccb.*
+ 29f: 3dcb.*
+ 2a0: 3ccb.*
+ 2a1: 3ecb.*
+ 2a2: 3fcb.*
+ 2a3: 3fcb.*
+ 2a4: 3cd3.*
+ 2a5: 3dd3.*
+ 2a6: 3cd3.*
+ 2a7: 3ed3.*
+ 2a8: 3fd3.*
+ 2a9: 3fd3.*
+ 2aa: 3cdb.*
+ 2ab: 3ddb.*
+ 2ac: 3cdb.*
+ 2ad: 3edb.*
+ 2ae: 3fdb.*
+ 2af: 3fdb.*
+ 2b0: 3ce3.*
+ 2b1: 004e.*
+ 2b2: 3de3.*
+ 2b3: 004f.*
+ 2b4: 3ce3.*
+ 2b5: 0050.*
+ 2b6: 3ee3.*
+ 2b7: 0051.*
+ 2b8: 3fe3.*
+ 2b9: 0052.*
+ 2ba: 3fe3.*
+ 2bb: 0053.*
+ 2bc: 3ceb.*
+ 2bd: 0054.*
+ 2be: 3deb.*
+ 2bf: 0055.*
+ 2c0: 3ceb.*
+ 2c1: 0056.*
+ 2c2: 3eeb.*
+ 2c3: 0057.*
+ 2c4: 3feb.*
+ 2c5: 0058.*
+ 2c6: 3feb.*
+ 2c7: 0059.*
+ 2c8: 3cf3.*
+ 2c9: 005a.*
+ 2ca: 3df3.*
+ 2cb: 005b.*
+ 2cc: 3cf3.*
+ 2cd: 005c.*
+ 2ce: 3ef3.*
+ 2cf: 005d.*
+ 2d0: 3ff3.*
+ 2d1: 005e.*
+ 2d2: 3ff3.*
+ 2d3: 005f.*
+ 2d4: 3cf8.*
+ 2d5: 0060.*
+ 2d6: 3df8.*
+ 2d7: 0061.*
+ 2d8: 3cf8.*
+ 2d9: 0062.*
+ 2da: 3ef8.*
+ 2db: 0063.*
+ 2dc: 3ff8.*
+ 2dd: 0064.*
+ 2de: 3ff8.*
+ 2df: 0065.*
+ 2e0: 3c84.*
+ 2e1: 3d84.*
+ 2e2: 3c84.*
+ 2e3: 3e84.*
+ 2e4: 3f84.*
+ 2e5: 3f84.*
+ 2e6: 3c8c.*
+ 2e7: 3d8c.*
+ 2e8: 3c8c.*
+ 2e9: 3e8c.*
+ 2ea: 3f8c.*
+ 2eb: 3f8c.*
+ 2ec: 3c94.*
+ 2ed: 3d94.*
+ 2ee: 3c94.*
+ 2ef: 3e94.*
+ 2f0: 3f94.*
+ 2f1: 3f94.*
+ 2f2: 3ca4.*
+ 2f3: 3da4.*
+ 2f4: 3ca4.*
+ 2f5: 3ea4.*
+ 2f6: 3fa4.*
+ 2f7: 3fa4.*
+ 2f8: 3cac.*
+ 2f9: 3dac.*
+ 2fa: 3cac.*
+ 2fb: 3eac.*
+ 2fc: 3fac.*
+ 2fd: 3fac.*
+ 2fe: 3cb4.*
+ 2ff: 3db4.*
+ 300: 3cb4.*
+ 301: 3eb4.*
+ 302: 3fb4.*
+ 303: 3fb4.*
+ 304: 3cbc.*
+ 305: 3dbc.*
+ 306: 3cbc.*
+ 307: 3ebc.*
+ 308: 3fbc.*
+ 309: 3fbc.*
+ 30a: 3cc4.*
+ 30b: 3dc4.*
+ 30c: 3cc4.*
+ 30d: 3ec4.*
+ 30e: 3fc4.*
+ 30f: 3fc4.*
+ 310: 3ccc.*
+ 311: 3dcc.*
+ 312: 3ccc.*
+ 313: 3ecc.*
+ 314: 3fcc.*
+ 315: 3fcc.*
+ 316: 3cd4.*
+ 317: 3dd4.*
+ 318: 3cd4.*
+ 319: 3ed4.*
+ 31a: 3fd4.*
+ 31b: 3fd4.*
+ 31c: 3cdc.*
+ 31d: 3ddc.*
+ 31e: 3cdc.*
+ 31f: 3edc.*
+ 320: 3fdc.*
+ 321: 3fdc.*
+ 322: 3ce4.*
+ 323: 0066.*
+ 324: 3de4.*
+ 325: 0067.*
+ 326: 3ce4.*
+ 327: 0068.*
+ 328: 3ee4.*
+ 329: 0069.*
+ 32a: 3fe4.*
+ 32b: 006a.*
+ 32c: 3fe4.*
+ 32d: 006b.*
+ 32e: 3cec.*
+ 32f: 006c.*
+ 330: 3dec.*
+ 331: 006d.*
+ 332: 3cec.*
+ 333: 006e.*
+ 334: 3eec.*
+ 335: 006f.*
+ 336: 3fec.*
+ 337: 0070.*
+ 338: 3fec.*
+ 339: 0071.*
+ 33a: 3cf4.*
+ 33b: 0072.*
+ 33c: 3df4.*
+ 33d: 0073.*
+ 33e: 3cf4.*
+ 33f: 0074.*
+ 340: 3ef4.*
+ 341: 0075.*
+ 342: 3ff4.*
+ 343: 0076.*
+ 344: 3ff4.*
+ 345: 0077.*
+ 346: 3cf8.*
+ 347: 0078.*
+ 348: 3df8.*
+ 349: 0079.*
+ 34a: 3cf8.*
+ 34b: 007a.*
+ 34c: 3ef8.*
+ 34d: 007b.*
+ 34e: 3ff8.*
+ 34f: 007c.*
+ 350: 3ff8.*
+ 351: 007d.*
+ 352: 3c85.*
+ 353: 3d85.*
+ 354: 3c85.*
+ 355: 3e85.*
+ 356: 3f85.*
+ 357: 3f85.*
+ 358: 3c8d.*
+ 359: 3d8d.*
+ 35a: 3c8d.*
+ 35b: 3e8d.*
+ 35c: 3f8d.*
+ 35d: 3f8d.*
+ 35e: 3c95.*
+ 35f: 3d95.*
+ 360: 3c95.*
+ 361: 3e95.*
+ 362: 3f95.*
+ 363: 3f95.*
+ 364: 3ca5.*
+ 365: 3da5.*
+ 366: 3ca5.*
+ 367: 3ea5.*
+ 368: 3fa5.*
+ 369: 3fa5.*
+ 36a: 3cad.*
+ 36b: 3dad.*
+ 36c: 3cad.*
+ 36d: 3ead.*
+ 36e: 3fad.*
+ 36f: 3fad.*
+ 370: 3cb5.*
+ 371: 3db5.*
+ 372: 3cb5.*
+ 373: 3eb5.*
+ 374: 3fb5.*
+ 375: 3fb5.*
+ 376: 3cbd.*
+ 377: 3dbd.*
+ 378: 3cbd.*
+ 379: 3ebd.*
+ 37a: 3fbd.*
+ 37b: 3fbd.*
+ 37c: 3cc5.*
+ 37d: 3dc5.*
+ 37e: 3cc5.*
+ 37f: 3ec5.*
+ 380: 3fc5.*
+ 381: 3fc5.*
+ 382: 3ccd.*
+ 383: 3dcd.*
+ 384: 3ccd.*
+ 385: 3ecd.*
+ 386: 3fcd.*
+ 387: 3fcd.*
+ 388: 3cd5.*
+ 389: 3dd5.*
+ 38a: 3cd5.*
+ 38b: 3ed5.*
+ 38c: 3fd5.*
+ 38d: 3fd5.*
+ 38e: 3cdd.*
+ 38f: 3ddd.*
+ 390: 3cdd.*
+ 391: 3edd.*
+ 392: 3fdd.*
+ 393: 3fdd.*
+ 394: 3ce5.*
+ 395: 007e.*
+ 396: 3de5.*
+ 397: 007f.*
+ 398: 3ce5.*
+ 399: 0080.*
+ 39a: 3ee5.*
+ 39b: 0081.*
+ 39c: 3fe5.*
+ 39d: 0082.*
+ 39e: 3fe5.*
+ 39f: 0083.*
+ 3a0: 3ced.*
+ 3a1: 0084.*
+ 3a2: 3ded.*
+ 3a3: 0085.*
+ 3a4: 3ced.*
+ 3a5: 0086.*
+ 3a6: 3eed.*
+ 3a7: 0087.*
+ 3a8: 3fed.*
+ 3a9: 0088.*
+ 3aa: 3fed.*
+ 3ab: 0089.*
+ 3ac: 3cf5.*
+ 3ad: 008a.*
+ 3ae: 3df5.*
+ 3af: 008b.*
+ 3b0: 3cf5.*
+ 3b1: 008c.*
+ 3b2: 3ef5.*
+ 3b3: 008d.*
+ 3b4: 3ff5.*
+ 3b5: 008e.*
+ 3b6: 3ff5.*
+ 3b7: 008f.*
+ 3b8: 3cf8.*
+ 3b9: 0090.*
+ 3ba: 3df8.*
+ 3bb: 0091.*
+ 3bc: 3cf8.*
+ 3bd: 0092.*
+ 3be: 3ef8.*
+ 3bf: 0093.*
+ 3c0: 3ff8.*
+ 3c1: 0094.*
+ 3c2: 3ff8.*
+ 3c3: 0095.*
+ 3c4: 3c86.*
+ 3c5: 3d86.*
+ 3c6: 3c86.*
+ 3c7: 3e86.*
+ 3c8: 3f86.*
+ 3c9: 3f86.*
+ 3ca: 3c8e.*
+ 3cb: 3d8e.*
+ 3cc: 3c8e.*
+ 3cd: 3e8e.*
+ 3ce: 3f8e.*
+ 3cf: 3f8e.*
+ 3d0: 3c96.*
+ 3d1: 3d96.*
+ 3d2: 3c96.*
+ 3d3: 3e96.*
+ 3d4: 3f96.*
+ 3d5: 3f96.*
+ 3d6: 3ca6.*
+ 3d7: 3da6.*
+ 3d8: 3ca6.*
+ 3d9: 3ea6.*
+ 3da: 3fa6.*
+ 3db: 3fa6.*
+ 3dc: 3cae.*
+ 3dd: 3dae.*
+ 3de: 3cae.*
+ 3df: 3eae.*
+ 3e0: 3fae.*
+ 3e1: 3fae.*
+ 3e2: 3cb6.*
+ 3e3: 3db6.*
+ 3e4: 3cb6.*
+ 3e5: 3eb6.*
+ 3e6: 3fb6.*
+ 3e7: 3fb6.*
+ 3e8: 3cbe.*
+ 3e9: 3dbe.*
+ 3ea: 3cbe.*
+ 3eb: 3ebe.*
+ 3ec: 3fbe.*
+ 3ed: 3fbe.*
+ 3ee: 3cc6.*
+ 3ef: 3dc6.*
+ 3f0: 3cc6.*
+ 3f1: 3ec6.*
+ 3f2: 3fc6.*
+ 3f3: 3fc6.*
+ 3f4: 3cce.*
+ 3f5: 3dce.*
+ 3f6: 3cce.*
+ 3f7: 3ece.*
+ 3f8: 3fce.*
+ 3f9: 3fce.*
+ 3fa: 3cd6.*
+ 3fb: 3dd6.*
+ 3fc: 3cd6.*
+ 3fd: 3ed6.*
+ 3fe: 3fd6.*
+ 3ff: 3fd6.*
+ 400: 3cde.*
+ 401: 3dde.*
+ 402: 3cde.*
+ 403: 3ede.*
+ 404: 3fde.*
+ 405: 3fde.*
+ 406: 3ce6.*
+ 407: 0096.*
+ 408: 3de6.*
+ 409: 0097.*
+ 40a: 3ce6.*
+ 40b: 0098.*
+ 40c: 3ee6.*
+ 40d: 0099.*
+ 40e: 3fe6.*
+ 40f: 009a.*
+ 410: 3fe6.*
+ 411: 009b.*
+ 412: 3cee.*
+ 413: 009c.*
+ 414: 3dee.*
+ 415: 009d.*
+ 416: 3cee.*
+ 417: 009e.*
+ 418: 3eee.*
+ 419: 009f.*
+ 41a: 3fee.*
+ 41b: 00a0.*
+ 41c: 3fee.*
+ 41d: 00a1.*
+ 41e: 3cf6.*
+ 41f: 00a2.*
+ 420: 3df6.*
+ 421: 00a3.*
+ 422: 3cf6.*
+ 423: 00a4.*
+ 424: 3ef6.*
+ 425: 00a5.*
+ 426: 3ff6.*
+ 427: 00a6.*
+ 428: 3ff6.*
+ 429: 00a7.*
+ 42a: 3cf8.*
+ 42b: 00a8.*
+ 42c: 3df8.*
+ 42d: 00a9.*
+ 42e: 3cf8.*
+ 42f: 00aa.*
+ 430: 3ef8.*
+ 431: 00ab.*
+ 432: 3ff8.*
+ 433: 00ac.*
+ 434: 3ff8.*
+ 435: 00ad.*
+ 436: 3c87.*
+ 437: 3d87.*
+ 438: 3c87.*
+ 439: 3e87.*
+ 43a: 3f87.*
+ 43b: 3f87.*
+ 43c: 3c8f.*
+ 43d: 3d8f.*
+ 43e: 3c8f.*
+ 43f: 3e8f.*
+ 440: 3f8f.*
+ 441: 3f8f.*
+ 442: 3c97.*
+ 443: 3d97.*
+ 444: 3c97.*
+ 445: 3e97.*
+ 446: 3f97.*
+ 447: 3f97.*
+ 448: 3ca7.*
+ 449: 3da7.*
+ 44a: 3ca7.*
+ 44b: 3ea7.*
+ 44c: 3fa7.*
+ 44d: 3fa7.*
+ 44e: 3caf.*
+ 44f: 3daf.*
+ 450: 3caf.*
+ 451: 3eaf.*
+ 452: 3faf.*
+ 453: 3faf.*
+ 454: 3cb7.*
+ 455: 3db7.*
+ 456: 3cb7.*
+ 457: 3eb7.*
+ 458: 3fb7.*
+ 459: 3fb7.*
+ 45a: 3cbf.*
+ 45b: 3dbf.*
+ 45c: 3cbf.*
+ 45d: 3ebf.*
+ 45e: 3fbf.*
+ 45f: 3fbf.*
+ 460: 3cc7.*
+ 461: 3dc7.*
+ 462: 3cc7.*
+ 463: 3ec7.*
+ 464: 3fc7.*
+ 465: 3fc7.*
+ 466: 3ccf.*
+ 467: 3dcf.*
+ 468: 3ccf.*
+ 469: 3ecf.*
+ 46a: 3fcf.*
+ 46b: 3fcf.*
+ 46c: 3cd7.*
+ 46d: 3dd7.*
+ 46e: 3cd7.*
+ 46f: 3ed7.*
+ 470: 3fd7.*
+ 471: 3fd7.*
+ 472: 3cdf.*
+ 473: 3ddf.*
+ 474: 3cdf.*
+ 475: 3edf.*
+ 476: 3fdf.*
+ 477: 3fdf.*
+ 478: 3ce7.*
+ 479: 00ae.*
+ 47a: 3de7.*
+ 47b: 00af.*
+ 47c: 3ce7.*
+ 47d: 00b0.*
+ 47e: 3ee7.*
+ 47f: 00b1.*
+ 480: 3fe7.*
+ 481: 00b2.*
+ 482: 3fe7.*
+ 483: 00b3.*
+ 484: 3cef.*
+ 485: 00b4.*
+ 486: 3def.*
+ 487: 00b5.*
+ 488: 3cef.*
+ 489: 00b6.*
+ 48a: 3eef.*
+ 48b: 00b7.*
+ 48c: 3fef.*
+ 48d: 00b8.*
+ 48e: 3fef.*
+ 48f: 00b9.*
+ 490: 3cf7.*
+ 491: 00ba.*
+ 492: 3df7.*
+ 493: 00bb.*
+ 494: 3cf7.*
+ 495: 00bc.*
+ 496: 3ef7.*
+ 497: 00bd.*
+ 498: 3ff7.*
+ 499: 00be.*
+ 49a: 3ff7.*
+ 49b: 00bf.*
+ 49c: 3cf8.*
+ 49d: 00c0.*
+ 49e: 3df8.*
+ 49f: 00c1.*
+ 4a0: 3cf8.*
+ 4a1: 00c2.*
+ 4a2: 3ef8.*
+ 4a3: 00c3.*
+ 4a4: 3ff8.*
+ 4a5: 00c4.*
+ 4a6: 3ff8.*
+ 4a7: 00c5.*
+ 4a8: 0606.*
+ 4a9: 0707.*
+ 4aa: 0680.*
+ 4ab: 0780.*
+ 4ac: 0688.*
+ 4ad: 0788.*
+ 4ae: 0690.*
+ 4af: 0790.*
+ 4b0: 06a0.*
+ 4b1: 07a0.*
+ 4b2: 06a8.*
+ 4b3: 07a8.*
+ 4b4: 06b0.*
+ 4b5: 07b0.*
+ 4b6: 06b8.*
+ 4b7: 07b8.*
+ 4b8: 06c0.*
+ 4b9: 07c0.*
+ 4ba: 06c8.*
+ 4bb: 07c8.*
+ 4bc: 06d0.*
+ 4bd: 07d0.*
+ 4be: 06d8.*
+ 4bf: 07d8.*
+ 4c0: 06e0.*
+ 4c1: 00c6.*
+ 4c2: 07e0.*
+ 4c3: 00c7.*
+ 4c4: 06e8.*
+ 4c5: 00c8.*
+ 4c6: 07e8.*
+ 4c7: 00c9.*
+ 4c8: 06f0.*
+ 4c9: 00ca.*
+ 4ca: 07f0.*
+ 4cb: 00cb.*
+ 4cc: 06f8.*
+ 4cd: 00cc.*
+ 4ce: 07f8.*
+ 4cf: 00cd.*
+ 4d0: 0681.*
+ 4d1: 0781.*
+ 4d2: 0689.*
+ 4d3: 0789.*
+ 4d4: 0691.*
+ 4d5: 0791.*
+ 4d6: 06a1.*
+ 4d7: 07a1.*
+ 4d8: 06a9.*
+ 4d9: 07a9.*
+ 4da: 06b1.*
+ 4db: 07b1.*
+ 4dc: 06b9.*
+ 4dd: 07b9.*
+ 4de: 06c1.*
+ 4df: 07c1.*
+ 4e0: 06c9.*
+ 4e1: 07c9.*
+ 4e2: 06d1.*
+ 4e3: 07d1.*
+ 4e4: 06d9.*
+ 4e5: 07d9.*
+ 4e6: 06e1.*
+ 4e7: 00ce.*
+ 4e8: 07e1.*
+ 4e9: 00cf.*
+ 4ea: 06e9.*
+ 4eb: 00d0.*
+ 4ec: 07e9.*
+ 4ed: 00d1.*
+ 4ee: 06f1.*
+ 4ef: 00d2.*
+ 4f0: 07f1.*
+ 4f1: 00d3.*
+ 4f2: 06f8.*
+ 4f3: 00d4.*
+ 4f4: 07f8.*
+ 4f5: 00d5.*
+ 4f6: 0682.*
+ 4f7: 0782.*
+ 4f8: 068a.*
+ 4f9: 078a.*
+ 4fa: 0692.*
+ 4fb: 0792.*
+ 4fc: 06a2.*
+ 4fd: 07a2.*
+ 4fe: 06aa.*
+ 4ff: 07aa.*
+ 500: 06b2.*
+ 501: 07b2.*
+ 502: 06ba.*
+ 503: 07ba.*
+ 504: 06c2.*
+ 505: 07c2.*
+ 506: 06ca.*
+ 507: 07ca.*
+ 508: 06d2.*
+ 509: 07d2.*
+ 50a: 06da.*
+ 50b: 07da.*
+ 50c: 06e2.*
+ 50d: 00d6.*
+ 50e: 07e2.*
+ 50f: 00d7.*
+ 510: 06ea.*
+ 511: 00d8.*
+ 512: 07ea.*
+ 513: 00d9.*
+ 514: 06f2.*
+ 515: 00da.*
+ 516: 07f2.*
+ 517: 00db.*
+ 518: 06f8.*
+ 519: 00dc.*
+ 51a: 07f8.*
+ 51b: 00dd.*
+ 51c: 0683.*
+ 51d: 0783.*
+ 51e: 068b.*
+ 51f: 078b.*
+ 520: 0693.*
+ 521: 0793.*
+ 522: 06a3.*
+ 523: 07a3.*
+ 524: 06ab.*
+ 525: 07ab.*
+ 526: 06b3.*
+ 527: 07b3.*
+ 528: 06bb.*
+ 529: 07bb.*
+ 52a: 06c3.*
+ 52b: 07c3.*
+ 52c: 06cb.*
+ 52d: 07cb.*
+ 52e: 06d3.*
+ 52f: 07d3.*
+ 530: 06db.*
+ 531: 07db.*
+ 532: 06e3.*
+ 533: 00de.*
+ 534: 07e3.*
+ 535: 00df.*
+ 536: 06eb.*
+ 537: 00e0.*
+ 538: 07eb.*
+ 539: 00e1.*
+ 53a: 06f3.*
+ 53b: 00e2.*
+ 53c: 07f3.*
+ 53d: 00e3.*
+ 53e: 06f8.*
+ 53f: 00e4.*
+ 540: 07f8.*
+ 541: 00e5.*
+ 542: 0684.*
+ 543: 0784.*
+ 544: 068c.*
+ 545: 078c.*
+ 546: 0694.*
+ 547: 0794.*
+ 548: 06a4.*
+ 549: 07a4.*
+ 54a: 06ac.*
+ 54b: 07ac.*
+ 54c: 06b4.*
+ 54d: 07b4.*
+ 54e: 06bc.*
+ 54f: 07bc.*
+ 550: 06c4.*
+ 551: 07c4.*
+ 552: 06cc.*
+ 553: 07cc.*
+ 554: 06d4.*
+ 555: 07d4.*
+ 556: 06dc.*
+ 557: 07dc.*
+ 558: 06e4.*
+ 559: 00e6.*
+ 55a: 07e4.*
+ 55b: 00e7.*
+ 55c: 06ec.*
+ 55d: 00e8.*
+ 55e: 07ec.*
+ 55f: 00e9.*
+ 560: 06f4.*
+ 561: 00ea.*
+ 562: 07f4.*
+ 563: 00eb.*
+ 564: 06f8.*
+ 565: 00ec.*
+ 566: 07f8.*
+ 567: 00ed.*
+ 568: 0685.*
+ 569: 0785.*
+ 56a: 068d.*
+ 56b: 078d.*
+ 56c: 0695.*
+ 56d: 0795.*
+ 56e: 06a5.*
+ 56f: 07a5.*
+ 570: 06ad.*
+ 571: 07ad.*
+ 572: 06b5.*
+ 573: 07b5.*
+ 574: 06bd.*
+ 575: 07bd.*
+ 576: 06c5.*
+ 577: 07c5.*
+ 578: 06cd.*
+ 579: 07cd.*
+ 57a: 06d5.*
+ 57b: 07d5.*
+ 57c: 06dd.*
+ 57d: 07dd.*
+ 57e: 06e5.*
+ 57f: 00ee.*
+ 580: 07e5.*
+ 581: 00ef.*
+ 582: 06ed.*
+ 583: 00f0.*
+ 584: 07ed.*
+ 585: 00f1.*
+ 586: 06f5.*
+ 587: 00f2.*
+ 588: 07f5.*
+ 589: 00f3.*
+ 58a: 06f8.*
+ 58b: 00f4.*
+ 58c: 07f8.*
+ 58d: 00f5.*
+ 58e: 0686.*
+ 58f: 0786.*
+ 590: 068e.*
+ 591: 078e.*
+ 592: 0696.*
+ 593: 0796.*
+ 594: 06a6.*
+ 595: 07a6.*
+ 596: 06ae.*
+ 597: 07ae.*
+ 598: 06b6.*
+ 599: 07b6.*
+ 59a: 06be.*
+ 59b: 07be.*
+ 59c: 06c6.*
+ 59d: 07c6.*
+ 59e: 06ce.*
+ 59f: 07ce.*
+ 5a0: 06d6.*
+ 5a1: 07d6.*
+ 5a2: 06de.*
+ 5a3: 07de.*
+ 5a4: 06e6.*
+ 5a5: 00f6.*
+ 5a6: 07e6.*
+ 5a7: 00f7.*
+ 5a8: 06ee.*
+ 5a9: 00f8.*
+ 5aa: 07ee.*
+ 5ab: 00f9.*
+ 5ac: 06f6.*
+ 5ad: 00fa.*
+ 5ae: 07f6.*
+ 5af: 00fb.*
+ 5b0: 06f8.*
+ 5b1: 00fc.*
+ 5b2: 07f8.*
+ 5b3: 00fd.*
+ 5b4: 0687.*
+ 5b5: 0787.*
+ 5b6: 068f.*
+ 5b7: 078f.*
+ 5b8: 0697.*
+ 5b9: 0797.*
+ 5ba: 06a7.*
+ 5bb: 07a7.*
+ 5bc: 06af.*
+ 5bd: 07af.*
+ 5be: 06b7.*
+ 5bf: 07b7.*
+ 5c0: 06bf.*
+ 5c1: 07bf.*
+ 5c2: 06c7.*
+ 5c3: 07c7.*
+ 5c4: 06cf.*
+ 5c5: 07cf.*
+ 5c6: 06d7.*
+ 5c7: 07d7.*
+ 5c8: 06df.*
+ 5c9: 07df.*
+ 5ca: 06e7.*
+ 5cb: 00fe.*
+ 5cc: 07e7.*
+ 5cd: 00ff.*
+ 5ce: 06ef.*
+ 5cf: 0100.*
+ 5d0: 07ef.*
+ 5d1: 0101.*
+ 5d2: 06f7.*
+ 5d3: 0102.*
+ 5d4: 07f7.*
+ 5d5: 0103.*
+ 5d6: 06f8.*
+ 5d7: 0104.*
+ 5d8: 07f8.*
+ 5d9: 0105.*
+ 5da: 6b08.*
+ 5db: 0106.*
+ 5dc: 6b80.*
+ 5dd: 0107.*
+ 5de: 6b88.*
+ 5df: 0108.*
+ 5e0: 6b90.*
+ 5e1: 0109.*
+ 5e2: 6ba0.*
+ 5e3: 010a.*
+ 5e4: 6ba8.*
+ 5e5: 010b.*
+ 5e6: 6bb0.*
+ 5e7: 010c.*
+ 5e8: 6bb8.*
+ 5e9: 010d.*
+ 5ea: 6bc0.*
+ 5eb: 010e.*
+ 5ec: 6bc8.*
+ 5ed: 010f.*
+ 5ee: 6bd0.*
+ 5ef: 0110.*
+ 5f0: 6bd8.*
+ 5f1: 0111.*
+ 5f2: 6be0.*
+ 5f3: 0112.*
+ 5f4: 0113.*
+ 5f5: 6be8.*
+ 5f6: 0114.*
+ 5f7: 0115.*
+ 5f8: 6bf0.*
+ 5f9: 0116.*
+ 5fa: 0117.*
+ 5fb: 6bf8.*
+ 5fc: 0118.*
+ 5fd: 0119.*
+ 5fe: 6b81.*
+ 5ff: 011a.*
+ 600: 6b89.*
+ 601: 011b.*
+ 602: 6b91.*
+ 603: 011c.*
+ 604: 6ba1.*
+ 605: 011d.*
+ 606: 6ba9.*
+ 607: 011e.*
+ 608: 6bb1.*
+ 609: 011f.*
+ 60a: 6bb9.*
+ 60b: 0120.*
+ 60c: 6bc1.*
+ 60d: 0121.*
+ 60e: 6bc9.*
+ 60f: 0122.*
+ 610: 6bd1.*
+ 611: 0123.*
+ 612: 6bd9.*
+ 613: 0124.*
+ 614: 6be1.*
+ 615: 0125.*
+ 616: 0126.*
+ 617: 6be9.*
+ 618: 0127.*
+ 619: 0128.*
+ 61a: 6bf1.*
+ 61b: 0129.*
+ 61c: 012a.*
+ 61d: 6bf8.*
+ 61e: 012b.*
+ 61f: 012c.*
+ 620: 6b82.*
+ 621: 012d.*
+ 622: 6b8a.*
+ 623: 012e.*
+ 624: 6b92.*
+ 625: 012f.*
+ 626: 6ba2.*
+ 627: 0130.*
+ 628: 6baa.*
+ 629: 0131.*
+ 62a: 6bb2.*
+ 62b: 0132.*
+ 62c: 6bba.*
+ 62d: 0133.*
+ 62e: 6bc2.*
+ 62f: 0134.*
+ 630: 6bca.*
+ 631: 0135.*
+ 632: 6bd2.*
+ 633: 0136.*
+ 634: 6bda.*
+ 635: 0137.*
+ 636: 6be2.*
+ 637: 0138.*
+ 638: 0139.*
+ 639: 6bea.*
+ 63a: 013a.*
+ 63b: 013b.*
+ 63c: 6bf2.*
+ 63d: 013c.*
+ 63e: 013d.*
+ 63f: 6bf8.*
+ 640: 013e.*
+ 641: 013f.*
+ 642: 6b83.*
+ 643: 0140.*
+ 644: 6b8b.*
+ 645: 0141.*
+ 646: 6b93.*
+ 647: 0142.*
+ 648: 6ba3.*
+ 649: 0143.*
+ 64a: 6bab.*
+ 64b: 0144.*
+ 64c: 6bb3.*
+ 64d: 0145.*
+ 64e: 6bbb.*
+ 64f: 0146.*
+ 650: 6bc3.*
+ 651: 0147.*
+ 652: 6bcb.*
+ 653: 0148.*
+ 654: 6bd3.*
+ 655: 0149.*
+ 656: 6bdb.*
+ 657: 014a.*
+ 658: 6be3.*
+ 659: 014b.*
+ 65a: 014c.*
+ 65b: 6beb.*
+ 65c: 014d.*
+ 65d: 014e.*
+ 65e: 6bf3.*
+ 65f: 014f.*
+ 660: 0150.*
+ 661: 6bf8.*
+ 662: 0151.*
+ 663: 0152.*
+ 664: 6b84.*
+ 665: 0153.*
+ 666: 6b8c.*
+ 667: 0154.*
+ 668: 6b94.*
+ 669: 0155.*
+ 66a: 6ba4.*
+ 66b: 0156.*
+ 66c: 6bac.*
+ 66d: 0157.*
+ 66e: 6bb4.*
+ 66f: 0158.*
+ 670: 6bbc.*
+ 671: 0159.*
+ 672: 6bc4.*
+ 673: 015a.*
+ 674: 6bcc.*
+ 675: 015b.*
+ 676: 6bd4.*
+ 677: 015c.*
+ 678: 6bdc.*
+ 679: 015d.*
+ 67a: 6be4.*
+ 67b: 015e.*
+ 67c: 015f.*
+ 67d: 6bec.*
+ 67e: 0160.*
+ 67f: 0161.*
+ 680: 6bf4.*
+ 681: 0162.*
+ 682: 0163.*
+ 683: 6bf8.*
+ 684: 0164.*
+ 685: 0165.*
+ 686: 6b85.*
+ 687: 0166.*
+ 688: 6b8d.*
+ 689: 0167.*
+ 68a: 6b95.*
+ 68b: 0168.*
+ 68c: 6ba5.*
+ 68d: 0169.*
+ 68e: 6bad.*
+ 68f: 016a.*
+ 690: 6bb5.*
+ 691: 016b.*
+ 692: 6bbd.*
+ 693: 016c.*
+ 694: 6bc5.*
+ 695: 016d.*
+ 696: 6bcd.*
+ 697: 016e.*
+ 698: 6bd5.*
+ 699: 016f.*
+ 69a: 6bdd.*
+ 69b: 0170.*
+ 69c: 6be5.*
+ 69d: 0171.*
+ 69e: 0172.*
+ 69f: 6bed.*
+ 6a0: 0173.*
+ 6a1: 0174.*
+ 6a2: 6bf5.*
+ 6a3: 0175.*
+ 6a4: 0176.*
+ 6a5: 6bf8.*
+ 6a6: 0177.*
+ 6a7: 0178.*
+ 6a8: 6b86.*
+ 6a9: 0179.*
+ 6aa: 6b8e.*
+ 6ab: 017a.*
+ 6ac: 6b96.*
+ 6ad: 017b.*
+ 6ae: 6ba6.*
+ 6af: 017c.*
+ 6b0: 6bae.*
+ 6b1: 017d.*
+ 6b2: 6bb6.*
+ 6b3: 017e.*
+ 6b4: 6bbe.*
+ 6b5: 017f.*
+ 6b6: 6bc6.*
+ 6b7: 0180.*
+ 6b8: 6bce.*
+ 6b9: 0181.*
+ 6ba: 6bd6.*
+ 6bb: 0182.*
+ 6bc: 6bde.*
+ 6bd: 0183.*
+ 6be: 6be6.*
+ 6bf: 0184.*
+ 6c0: 0185.*
+ 6c1: 6bee.*
+ 6c2: 0186.*
+ 6c3: 0187.*
+ 6c4: 6bf6.*
+ 6c5: 0188.*
+ 6c6: 0189.*
+ 6c7: 6bf8.*
+ 6c8: 018a.*
+ 6c9: 018b.*
+ 6ca: 6b87.*
+ 6cb: 018c.*
+ 6cc: 6b8f.*
+ 6cd: 018d.*
+ 6ce: 6b97.*
+ 6cf: 018e.*
+ 6d0: 6ba7.*
+ 6d1: 018f.*
+ 6d2: 6baf.*
+ 6d3: 0190.*
+ 6d4: 6bb7.*
+ 6d5: 0191.*
+ 6d6: 6bbf.*
+ 6d7: 0192.*
+ 6d8: 6bc7.*
+ 6d9: 0193.*
+ 6da: 6bcf.*
+ 6db: 0194.*
+ 6dc: 6bd7.*
+ 6dd: 0195.*
+ 6de: 6bdf.*
+ 6df: 0196.*
+ 6e0: 6be7.*
+ 6e1: 0197.*
+ 6e2: 0198.*
+ 6e3: 6bef.*
+ 6e4: 0199.*
+ 6e5: 019a.*
+ 6e6: 6bf7.*
+ 6e7: 019b.*
+ 6e8: 019c.*
+ 6e9: 6bf8.*
+ 6ea: 019d.*
+ 6eb: 019e.*
+ 6ec: 0209.*
+ 6ed: 030a.*
+ 6ee: 0280.*
+ 6ef: 0380.*
+ 6f0: 0288.*
+ 6f1: 0388.*
+ 6f2: 0290.*
+ 6f3: 0390.*
+ 6f4: 02a0.*
+ 6f5: 03a0.*
+ 6f6: 02a8.*
+ 6f7: 03a8.*
+ 6f8: 02b0.*
+ 6f9: 03b0.*
+ 6fa: 02b8.*
+ 6fb: 03b8.*
+ 6fc: 02c0.*
+ 6fd: 03c0.*
+ 6fe: 02c8.*
+ 6ff: 03c8.*
+ 700: 02d0.*
+ 701: 03d0.*
+ 702: 02d8.*
+ 703: 03d8.*
+ 704: 02e0.*
+ 705: 019f.*
+ 706: 03e0.*
+ 707: 01a0.*
+ 708: 02e8.*
+ 709: 01a1.*
+ 70a: 03e8.*
+ 70b: 01a2.*
+ 70c: 02f0.*
+ 70d: 01a3.*
+ 70e: 03f0.*
+ 70f: 01a4.*
+ 710: 02f8.*
+ 711: 01a5.*
+ 712: 03f8.*
+ 713: 01a6.*
+ 714: 0281.*
+ 715: 0381.*
+ 716: 0289.*
+ 717: 0389.*
+ 718: 0291.*
+ 719: 0391.*
+ 71a: 02a1.*
+ 71b: 03a1.*
+ 71c: 02a9.*
+ 71d: 03a9.*
+ 71e: 02b1.*
+ 71f: 03b1.*
+ 720: 02b9.*
+ 721: 03b9.*
+ 722: 02c1.*
+ 723: 03c1.*
+ 724: 02c9.*
+ 725: 03c9.*
+ 726: 02d1.*
+ 727: 03d1.*
+ 728: 02d9.*
+ 729: 03d9.*
+ 72a: 02e1.*
+ 72b: 01a7.*
+ 72c: 03e1.*
+ 72d: 01a8.*
+ 72e: 02e9.*
+ 72f: 01a9.*
+ 730: 03e9.*
+ 731: 01aa.*
+ 732: 02f1.*
+ 733: 01ab.*
+ 734: 03f1.*
+ 735: 01ac.*
+ 736: 02f8.*
+ 737: 01ad.*
+ 738: 03f8.*
+ 739: 01ae.*
+ 73a: 0282.*
+ 73b: 0382.*
+ 73c: 028a.*
+ 73d: 038a.*
+ 73e: 0292.*
+ 73f: 0392.*
+ 740: 02a2.*
+ 741: 03a2.*
+ 742: 02aa.*
+ 743: 03aa.*
+ 744: 02b2.*
+ 745: 03b2.*
+ 746: 02ba.*
+ 747: 03ba.*
+ 748: 02c2.*
+ 749: 03c2.*
+ 74a: 02ca.*
+ 74b: 03ca.*
+ 74c: 02d2.*
+ 74d: 03d2.*
+ 74e: 02da.*
+ 74f: 03da.*
+ 750: 02e2.*
+ 751: 01af.*
+ 752: 03e2.*
+ 753: 01b0.*
+ 754: 02ea.*
+ 755: 01b1.*
+ 756: 03ea.*
+ 757: 01b2.*
+ 758: 02f2.*
+ 759: 01b3.*
+ 75a: 03f2.*
+ 75b: 01b4.*
+ 75c: 02f8.*
+ 75d: 01b5.*
+ 75e: 03f8.*
+ 75f: 01b6.*
+ 760: 0283.*
+ 761: 0383.*
+ 762: 028b.*
+ 763: 038b.*
+ 764: 0293.*
+ 765: 0393.*
+ 766: 02a3.*
+ 767: 03a3.*
+ 768: 02ab.*
+ 769: 03ab.*
+ 76a: 02b3.*
+ 76b: 03b3.*
+ 76c: 02bb.*
+ 76d: 03bb.*
+ 76e: 02c3.*
+ 76f: 03c3.*
+ 770: 02cb.*
+ 771: 03cb.*
+ 772: 02d3.*
+ 773: 03d3.*
+ 774: 02db.*
+ 775: 03db.*
+ 776: 02e3.*
+ 777: 01b7.*
+ 778: 03e3.*
+ 779: 01b8.*
+ 77a: 02eb.*
+ 77b: 01b9.*
+ 77c: 03eb.*
+ 77d: 01ba.*
+ 77e: 02f3.*
+ 77f: 01bb.*
+ 780: 03f3.*
+ 781: 01bc.*
+ 782: 02f8.*
+ 783: 01bd.*
+ 784: 03f8.*
+ 785: 01be.*
+ 786: 0284.*
+ 787: 0384.*
+ 788: 028c.*
+ 789: 038c.*
+ 78a: 0294.*
+ 78b: 0394.*
+ 78c: 02a4.*
+ 78d: 03a4.*
+ 78e: 02ac.*
+ 78f: 03ac.*
+ 790: 02b4.*
+ 791: 03b4.*
+ 792: 02bc.*
+ 793: 03bc.*
+ 794: 02c4.*
+ 795: 03c4.*
+ 796: 02cc.*
+ 797: 03cc.*
+ 798: 02d4.*
+ 799: 03d4.*
+ 79a: 02dc.*
+ 79b: 03dc.*
+ 79c: 02e4.*
+ 79d: 01bf.*
+ 79e: 03e4.*
+ 79f: 01c0.*
+ 7a0: 02ec.*
+ 7a1: 01c1.*
+ 7a2: 03ec.*
+ 7a3: 01c2.*
+ 7a4: 02f4.*
+ 7a5: 01c3.*
+ 7a6: 03f4.*
+ 7a7: 01c4.*
+ 7a8: 02f8.*
+ 7a9: 01c5.*
+ 7aa: 03f8.*
+ 7ab: 01c6.*
+ 7ac: 0285.*
+ 7ad: 0385.*
+ 7ae: 028d.*
+ 7af: 038d.*
+ 7b0: 0295.*
+ 7b1: 0395.*
+ 7b2: 02a5.*
+ 7b3: 03a5.*
+ 7b4: 02ad.*
+ 7b5: 03ad.*
+ 7b6: 02b5.*
+ 7b7: 03b5.*
+ 7b8: 02bd.*
+ 7b9: 03bd.*
+ 7ba: 02c5.*
+ 7bb: 03c5.*
+ 7bc: 02cd.*
+ 7bd: 03cd.*
+ 7be: 02d5.*
+ 7bf: 03d5.*
+ 7c0: 02dd.*
+ 7c1: 03dd.*
+ 7c2: 02e5.*
+ 7c3: 01c7.*
+ 7c4: 03e5.*
+ 7c5: 01c8.*
+ 7c6: 02ed.*
+ 7c7: 01c9.*
+ 7c8: 03ed.*
+ 7c9: 01ca.*
+ 7ca: 02f5.*
+ 7cb: 01cb.*
+ 7cc: 03f5.*
+ 7cd: 01cc.*
+ 7ce: 02f8.*
+ 7cf: 01cd.*
+ 7d0: 03f8.*
+ 7d1: 01ce.*
+ 7d2: 0286.*
+ 7d3: 0386.*
+ 7d4: 028e.*
+ 7d5: 038e.*
+ 7d6: 0296.*
+ 7d7: 0396.*
+ 7d8: 02a6.*
+ 7d9: 03a6.*
+ 7da: 02ae.*
+ 7db: 03ae.*
+ 7dc: 02b6.*
+ 7dd: 03b6.*
+ 7de: 02be.*
+ 7df: 03be.*
+ 7e0: 02c6.*
+ 7e1: 03c6.*
+ 7e2: 02ce.*
+ 7e3: 03ce.*
+ 7e4: 02d6.*
+ 7e5: 03d6.*
+ 7e6: 02de.*
+ 7e7: 03de.*
+ 7e8: 02e6.*
+ 7e9: 01cf.*
+ 7ea: 03e6.*
+ 7eb: 01d0.*
+ 7ec: 02ee.*
+ 7ed: 01d1.*
+ 7ee: 03ee.*
+ 7ef: 01d2.*
+ 7f0: 02f6.*
+ 7f1: 01d3.*
+ 7f2: 03f6.*
+ 7f3: 01d4.*
+ 7f4: 02f8.*
+ 7f5: 01d5.*
+ 7f6: 03f8.*
+ 7f7: 01d6.*
+ 7f8: 0287.*
+ 7f9: 0387.*
+ 7fa: 028f.*
+ 7fb: 038f.*
+ 7fc: 0297.*
+ 7fd: 0397.*
+ 7fe: 02a7.*
+ 7ff: 03a7.*
+ 800: 02af.*
+ 801: 03af.*
+ 802: 02b7.*
+ 803: 03b7.*
+ 804: 02bf.*
+ 805: 03bf.*
+ 806: 02c7.*
+ 807: 03c7.*
+ 808: 02cf.*
+ 809: 03cf.*
+ 80a: 02d7.*
+ 80b: 03d7.*
+ 80c: 02df.*
+ 80d: 03df.*
+ 80e: 02e7.*
+ 80f: 01d7.*
+ 810: 03e7.*
+ 811: 01d8.*
+ 812: 02ef.*
+ 813: 01d9.*
+ 814: 03ef.*
+ 815: 01da.*
+ 816: 02f7.*
+ 817: 01db.*
+ 818: 03f7.*
+ 819: 01dc.*
+ 81a: 02f8.*
+ 81b: 01dd.*
+ 81c: 03f8.*
+ 81d: 01de.*
+ 81e: f480.*
+ 81f: f580.*
+ 820: f480.*
+ 821: f680.*
+ 822: f780.*
+ 823: f780.*
+ 824: f000.*
+ 825: 01df.*
+ 826: f100.*
+ 827: 01e0.*
+ 828: f000.*
+ 829: 01e1.*
+ 82a: f200.*
+ 82b: 01e2.*
+ 82c: f300.*
+ 82d: 01e3.*
+ 82e: f300.*
+ 82f: 01e4.*
+ 830: f000.*
+ 831: 01e5.*
+ 832: f100.*
+ 833: 01e6.*
+ 834: f000.*
+ 835: 01e7.*
+ 836: f200.*
+ 837: 01e8.*
+ 838: f300.*
+ 839: 01e9.*
+ 83a: f300.*
+ 83b: 01ea.*
+ 83c: f001.*
+ 83d: 01eb.*
+ 83e: f101.*
+ 83f: 01ec.*
+ 840: f001.*
+ 841: 01ed.*
+ 842: f201.*
+ 843: 01ee.*
+ 844: f301.*
+ 845: 01ef.*
+ 846: f301.*
+ 847: 01f0.*
+ 848: f002.*
+ 849: 01f1.*
+ 84a: f102.*
+ 84b: 01f2.*
+ 84c: f002.*
+ 84d: 01f3.*
+ 84e: f202.*
+ 84f: 01f4.*
+ 850: f302.*
+ 851: 01f5.*
+ 852: f302.*
+ 853: 01f6.*
+ 854: f003.*
+ 855: 01f7.*
+ 856: f103.*
+ 857: 01f8.*
+ 858: f003.*
+ 859: 01f9.*
+ 85a: f203.*
+ 85b: 01fa.*
+ 85c: f303.*
+ 85d: 01fb.*
+ 85e: f303.*
+ 85f: 01fc.*
+ 860: f004.*
+ 861: 01fd.*
+ 862: f104.*
+ 863: 01fe.*
+ 864: f004.*
+ 865: 01ff.*
+ 866: f204.*
+ 867: 0200.*
+ 868: f304.*
+ 869: 0201.*
+ 86a: f304.*
+ 86b: 0202.*
+ 86c: f005.*
+ 86d: 0203.*
+ 86e: f105.*
+ 86f: 0204.*
+ 870: f005.*
+ 871: 0205.*
+ 872: f205.*
+ 873: 0206.*
+ 874: f305.*
+ 875: 0207.*
+ 876: f305.*
+ 877: 0208.*
+ 878: f006.*
+ 879: 0209.*
+ 87a: f106.*
+ 87b: 020a.*
+ 87c: f006.*
+ 87d: 020b.*
+ 87e: f206.*
+ 87f: 020c.*
+ 880: f306.*
+ 881: 020d.*
+ 882: f306.*
+ 883: 020e.*
+ 884: f007.*
+ 885: 020f.*
+ 886: f107.*
+ 887: 0210.*
+ 888: f007.*
+ 889: 0211.*
+ 88a: f207.*
+ 88b: 0212.*
+ 88c: f307.*
+ 88d: 0213.*
+ 88e: f307.*
+ 88f: 0214.*
+ 890: f008.*
+ 891: 0215.*
+ 892: f108.*
+ 893: 0216.*
+ 894: f008.*
+ 895: 0217.*
+ 896: f208.*
+ 897: 0218.*
+ 898: f308.*
+ 899: 0219.*
+ 89a: f308.*
+ 89b: 021a.*
+ 89c: f009.*
+ 89d: 021b.*
+ 89e: f109.*
+ 89f: 021c.*
+ 8a0: f009.*
+ 8a1: 021d.*
+ 8a2: f209.*
+ 8a3: 021e.*
+ 8a4: f309.*
+ 8a5: 021f.*
+ 8a6: f309.*
+ 8a7: 0220.*
+ 8a8: f00a.*
+ 8a9: 0221.*
+ 8aa: f10a.*
+ 8ab: 0222.*
+ 8ac: f00a.*
+ 8ad: 0223.*
+ 8ae: f20a.*
+ 8af: 0224.*
+ 8b0: f30a.*
+ 8b1: 0225.*
+ 8b2: f30a.*
+ 8b3: 0226.*
+ 8b4: f00b.*
+ 8b5: 0227.*
+ 8b6: f10b.*
+ 8b7: 0228.*
+ 8b8: f00b.*
+ 8b9: 0229.*
+ 8ba: f20b.*
+ 8bb: 022a.*
+ 8bc: f30b.*
+ 8bd: 022b.*
+ 8be: f30b.*
+ 8bf: 022c.*
+ 8c0: f00c.*
+ 8c1: 022d.*
+ 8c2: f10c.*
+ 8c3: 022e.*
+ 8c4: f00c.*
+ 8c5: 022f.*
+ 8c6: f20c.*
+ 8c7: 0230.*
+ 8c8: f30c.*
+ 8c9: 0231.*
+ 8ca: f30c.*
+ 8cb: 0232.*
+ 8cc: f00d.*
+ 8cd: 0233.*
+ 8ce: f10d.*
+ 8cf: 0234.*
+ 8d0: f00d.*
+ 8d1: 0235.*
+ 8d2: f20d.*
+ 8d3: 0236.*
+ 8d4: f30d.*
+ 8d5: 0237.*
+ 8d6: f30d.*
+ 8d7: 0238.*
+ 8d8: f00e.*
+ 8d9: 0239.*
+ 8da: f10e.*
+ 8db: 023a.*
+ 8dc: f00e.*
+ 8dd: 023b.*
+ 8de: f20e.*
+ 8df: 023c.*
+ 8e0: f30e.*
+ 8e1: 023d.*
+ 8e2: f30e.*
+ 8e3: 023e.*
+ 8e4: f00f.*
+ 8e5: 023f.*
+ 8e6: f10f.*
+ 8e7: 0240.*
+ 8e8: f00f.*
+ 8e9: 0241.*
+ 8ea: f20f.*
+ 8eb: 0242.*
+ 8ec: f30f.*
+ 8ed: 0243.*
+ 8ee: f30f.*
+ 8ef: 0244.*
+ 8f0: f400.*
+ 8f1: f500.*
+ 8f2: f400.*
+ 8f3: f410.*
+ 8f4: f510.*
+ 8f5: f410.*
+ 8f6: f411.*
+ 8f7: f511.*
+ 8f8: f411.*
+ 8f9: f412.*
+ 8fa: f512.*
+ 8fb: f412.*
+ 8fc: f413.*
+ 8fd: f513.*
+ 8fe: f413.*
+ 8ff: f414.*
+ 900: f514.*
+ 901: f414.*
+ 902: f415.*
+ 903: f515.*
+ 904: f415.*
+ 905: f416.*
+ 906: f516.*
+ 907: f416.*
+ 908: f417.*
+ 909: f517.*
+ 90a: f417.*
+ 90b: f418.*
+ 90c: f518.*
+ 90d: f418.*
+ 90e: f419.*
+ 90f: f519.*
+ 910: f419.*
+ 911: f41a.*
+ 912: f51a.*
+ 913: f41a.*
+ 914: f41b.*
+ 915: f51b.*
+ 916: f41b.*
+ 917: f41c.*
+ 918: f51c.*
+ 919: f41c.*
+ 91a: f41d.*
+ 91b: f51d.*
+ 91c: f41d.*
+ 91d: f41e.*
+ 91e: f51e.*
+ 91f: f41e.*
+ 920: f41f.*
+ 921: f51f.*
+ 922: f41f.*
+ 923: f400.*
+ 924: f500.*
+ 925: f400.*
+ 926: f401.*
+ 927: f501.*
+ 928: f401.*
+ 929: f402.*
+ 92a: f502.*
+ 92b: f402.*
+ 92c: f403.*
+ 92d: f503.*
+ 92e: f403.*
+ 92f: f404.*
+ 930: f504.*
+ 931: f404.*
+ 932: f405.*
+ 933: f505.*
+ 934: f405.*
+ 935: f406.*
+ 936: f506.*
+ 937: f406.*
+ 938: f407.*
+ 939: f507.*
+ 93a: f407.*
+ 93b: f408.*
+ 93c: f508.*
+ 93d: f408.*
+ 93e: f409.*
+ 93f: f509.*
+ 940: f409.*
+ 941: f40a.*
+ 942: f50a.*
+ 943: f40a.*
+ 944: f40b.*
+ 945: f50b.*
+ 946: f40b.*
+ 947: f40c.*
+ 948: f50c.*
+ 949: f40c.*
+ 94a: f40d.*
+ 94b: f50d.*
+ 94c: f40d.*
+ 94d: f40e.*
+ 94e: f50e.*
+ 94f: f40e.*
+ 950: f40f.*
+ 951: f50f.*
+ 952: f40f.*
+ 953: f600.*
+ 954: f700.*
+ 955: f700.*
+ 956: f610.*
+ 957: f710.*
+ 958: f710.*
+ 959: f611.*
+ 95a: f711.*
+ 95b: f711.*
+ 95c: f612.*
+ 95d: f712.*
+ 95e: f712.*
+ 95f: f613.*
+ 960: f713.*
+ 961: f713.*
+ 962: f614.*
+ 963: f714.*
+ 964: f714.*
+ 965: f615.*
+ 966: f715.*
+ 967: f715.*
+ 968: f616.*
+ 969: f716.*
+ 96a: f716.*
+ 96b: f617.*
+ 96c: f717.*
+ 96d: f717.*
+ 96e: f618.*
+ 96f: f718.*
+ 970: f718.*
+ 971: f619.*
+ 972: f719.*
+ 973: f719.*
+ 974: f61a.*
+ 975: f71a.*
+ 976: f71a.*
+ 977: f61b.*
+ 978: f71b.*
+ 979: f71b.*
+ 97a: f61c.*
+ 97b: f71c.*
+ 97c: f71c.*
+ 97d: f61d.*
+ 97e: f71d.*
+ 97f: f71d.*
+ 980: f61e.*
+ 981: f71e.*
+ 982: f71e.*
+ 983: f61f.*
+ 984: f71f.*
+ 985: f71f.*
+ 986: f600.*
+ 987: f700.*
+ 988: f700.*
+ 989: f601.*
+ 98a: f701.*
+ 98b: f701.*
+ 98c: f602.*
+ 98d: f702.*
+ 98e: f702.*
+ 98f: f603.*
+ 990: f703.*
+ 991: f703.*
+ 992: f604.*
+ 993: f704.*
+ 994: f704.*
+ 995: f605.*
+ 996: f705.*
+ 997: f705.*
+ 998: f606.*
+ 999: f706.*
+ 99a: f706.*
+ 99b: f607.*
+ 99c: f707.*
+ 99d: f707.*
+ 99e: f608.*
+ 99f: f708.*
+ 9a0: f708.*
+ 9a1: f609.*
+ 9a2: f709.*
+ 9a3: f709.*
+ 9a4: f60a.*
+ 9a5: f70a.*
+ 9a6: f70a.*
+ 9a7: f60b.*
+ 9a8: f70b.*
+ 9a9: f70b.*
+ 9aa: f60c.*
+ 9ab: f70c.*
+ 9ac: f70c.*
+ 9ad: f60d.*
+ 9ae: f70d.*
+ 9af: f70d.*
+ 9b0: f60e.*
+ 9b1: f70e.*
+ 9b2: f70e.*
+ 9b3: f60f.*
+ 9b4: f70f.*
+ 9b5: f70f.*
+ 9b6: 000b.*
+ 9b7: 010c.*
+ 9b8: 000d.*
+ 9b9: 6f0e.*
+ 9ba: 0d00.*
+ 9bb: 000f.*
+ 9bc: 6f10.*
+ 9bd: 0e00.*
+ 9be: 0111.*
+ 9bf: 0112.*
+ 9c0: 6f13.*
+ 9c1: 0c10.*
+ 9c2: 6f14.*
+ 9c3: 0d10.*
+ 9c4: 6f15.*
+ 9c5: 0c10.*
+ 9c6: 6f16.*
+ 9c7: 0e10.*
+ 9c8: 6f17.*
+ 9c9: 0f10.*
+ 9ca: 6f18.*
+ 9cb: 0f10.*
+ 9cc: 6f19.*
+ 9cd: 0c11.*
+ 9ce: 6f1a.*
+ 9cf: 0d11.*
+ 9d0: 6f1b.*
+ 9d1: 0c11.*
+ 9d2: 6f1c.*
+ 9d3: 0e11.*
+ 9d4: 6f1d.*
+ 9d5: 0f11.*
+ 9d6: 6f1e.*
+ 9d7: 0f11.*
+ 9d8: 6f1f.*
+ 9d9: 0c12.*
+ 9da: 6f20.*
+ 9db: 0d12.*
+ 9dc: 6f21.*
+ 9dd: 0c12.*
+ 9de: 6f22.*
+ 9df: 0e12.*
+ 9e0: 6f23.*
+ 9e1: 0f12.*
+ 9e2: 6f24.*
+ 9e3: 0f12.*
+ 9e4: 6f25.*
+ 9e5: 0c13.*
+ 9e6: 6f26.*
+ 9e7: 0d13.*
+ 9e8: 6f27.*
+ 9e9: 0c13.*
+ 9ea: 6f28.*
+ 9eb: 0e13.*
+ 9ec: 6f29.*
+ 9ed: 0f13.*
+ 9ee: 6f2a.*
+ 9ef: 0f13.*
+ 9f0: 6f2b.*
+ 9f1: 0c14.*
+ 9f2: 6f2c.*
+ 9f3: 0d14.*
+ 9f4: 6f2d.*
+ 9f5: 0c14.*
+ 9f6: 6f2e.*
+ 9f7: 0e14.*
+ 9f8: 6f2f.*
+ 9f9: 0f14.*
+ 9fa: 6f30.*
+ 9fb: 0f14.*
+ 9fc: 6f31.*
+ 9fd: 0c15.*
+ 9fe: 6f32.*
+ 9ff: 0d15.*
+ a00: 6f33.*
+ a01: 0c15.*
+ a02: 6f34.*
+ a03: 0e15.*
+ a04: 6f35.*
+ a05: 0f15.*
+ a06: 6f36.*
+ a07: 0f15.*
+ a08: 6f37.*
+ a09: 0c16.*
+ a0a: 6f38.*
+ a0b: 0d16.*
+ a0c: 6f39.*
+ a0d: 0c16.*
+ a0e: 6f3a.*
+ a0f: 0e16.*
+ a10: 6f3b.*
+ a11: 0f16.*
+ a12: 6f3c.*
+ a13: 0f16.*
+ a14: 6f3d.*
+ a15: 0c17.*
+ a16: 6f3e.*
+ a17: 0d17.*
+ a18: 6f3f.*
+ a19: 0c17.*
+ a1a: 6f40.*
+ a1b: 0e17.*
+ a1c: 6f41.*
+ a1d: 0f17.*
+ a1e: 6f42.*
+ a1f: 0f17.*
+ a20: 6f43.*
+ a21: 0c18.*
+ a22: 6f44.*
+ a23: 0d18.*
+ a24: 6f45.*
+ a25: 0c18.*
+ a26: 6f46.*
+ a27: 0e18.*
+ a28: 6f47.*
+ a29: 0f18.*
+ a2a: 6f48.*
+ a2b: 0f18.*
+ a2c: 6f49.*
+ a2d: 0c19.*
+ a2e: 6f4a.*
+ a2f: 0d19.*
+ a30: 6f4b.*
+ a31: 0c19.*
+ a32: 6f4c.*
+ a33: 0e19.*
+ a34: 6f4d.*
+ a35: 0f19.*
+ a36: 6f4e.*
+ a37: 0f19.*
+ a38: 6f4f.*
+ a39: 0c1a.*
+ a3a: 6f50.*
+ a3b: 0d1a.*
+ a3c: 6f51.*
+ a3d: 0c1a.*
+ a3e: 6f52.*
+ a3f: 0e1a.*
+ a40: 6f53.*
+ a41: 0f1a.*
+ a42: 6f54.*
+ a43: 0f1a.*
+ a44: 6f55.*
+ a45: 0c1b.*
+ a46: 6f56.*
+ a47: 0d1b.*
+ a48: 6f57.*
+ a49: 0c1b.*
+ a4a: 6f58.*
+ a4b: 0e1b.*
+ a4c: 6f59.*
+ a4d: 0f1b.*
+ a4e: 6f5a.*
+ a4f: 0f1b.*
+ a50: 6f5b.*
+ a51: 0c1c.*
+ a52: 6f5c.*
+ a53: 0d1c.*
+ a54: 6f5d.*
+ a55: 0c1c.*
+ a56: 6f5e.*
+ a57: 0e1c.*
+ a58: 6f5f.*
+ a59: 0f1c.*
+ a5a: 6f60.*
+ a5b: 0f1c.*
+ a5c: 6f61.*
+ a5d: 0c1d.*
+ a5e: 6f62.*
+ a5f: 0d1d.*
+ a60: 6f63.*
+ a61: 0c1d.*
+ a62: 6f64.*
+ a63: 0e1d.*
+ a64: 6f65.*
+ a65: 0f1d.*
+ a66: 6f66.*
+ a67: 0f1d.*
+ a68: 6f67.*
+ a69: 0c1e.*
+ a6a: 6f68.*
+ a6b: 0d1e.*
+ a6c: 6f69.*
+ a6d: 0c1e.*
+ a6e: 6f6a.*
+ a6f: 0e1e.*
+ a70: 6f6b.*
+ a71: 0f1e.*
+ a72: 6f6c.*
+ a73: 0f1e.*
+ a74: 6f6d.*
+ a75: 0c1f.*
+ a76: 6f6e.*
+ a77: 0d1f.*
+ a78: 6f6f.*
+ a79: 0c1f.*
+ a7a: 6f70.*
+ a7b: 0e1f.*
+ a7c: 6f71.*
+ a7d: 0f1f.*
+ a7e: 6f72.*
+ a7f: 0f1f.*
+ a80: 0073.*
+ a81: 6f74.*
+ a82: 0d00.*
+ a83: 0075.*
+ a84: 6f76.*
+ a85: 0e00.*
+ a86: 0177.*
+ a87: 0178.*
+ a88: 6f79.*
+ a89: 0c01.*
+ a8a: 6f7a.*
+ a8b: 0d01.*
+ a8c: 6f7b.*
+ a8d: 0c01.*
+ a8e: 6f7c.*
+ a8f: 0e01.*
+ a90: 6f7d.*
+ a91: 0f01.*
+ a92: 6f7e.*
+ a93: 0f01.*
+ a94: 6f7f.*
+ a95: 0c02.*
+ a96: 6f00.*
+ a97: 0d02.*
+ a98: 6f01.*
+ a99: 0c02.*
+ a9a: 6f02.*
+ a9b: 0e02.*
+ a9c: 6f03.*
+ a9d: 0f02.*
+ a9e: 6f04.*
+ a9f: 0f02.*
+ aa0: 6f05.*
+ aa1: 0c03.*
+ aa2: 6f06.*
+ aa3: 0d03.*
+ aa4: 6f07.*
+ aa5: 0c03.*
+ aa6: 6f08.*
+ aa7: 0e03.*
+ aa8: 6f09.*
+ aa9: 0f03.*
+ aaa: 6f0a.*
+ aab: 0f03.*
+ aac: 6f0b.*
+ aad: 0c04.*
+ aae: 6f0c.*
+ aaf: 0d04.*
+ ab0: 6f0d.*
+ ab1: 0c04.*
+ ab2: 6f0e.*
+ ab3: 0e04.*
+ ab4: 6f0f.*
+ ab5: 0f04.*
+ ab6: 6f10.*
+ ab7: 0f04.*
+ ab8: 6f11.*
+ ab9: 0c05.*
+ aba: 6f12.*
+ abb: 0d05.*
+ abc: 6f13.*
+ abd: 0c05.*
+ abe: 6f14.*
+ abf: 0e05.*
+ ac0: 6f15.*
+ ac1: 0f05.*
+ ac2: 6f16.*
+ ac3: 0f05.*
+ ac4: 6f17.*
+ ac5: 0c06.*
+ ac6: 6f18.*
+ ac7: 0d06.*
+ ac8: 6f19.*
+ ac9: 0c06.*
+ aca: 6f1a.*
+ acb: 0e06.*
+ acc: 6f1b.*
+ acd: 0f06.*
+ ace: 6f1c.*
+ acf: 0f06.*
+ ad0: 6f1d.*
+ ad1: 0c07.*
+ ad2: 6f1e.*
+ ad3: 0d07.*
+ ad4: 6f1f.*
+ ad5: 0c07.*
+ ad6: 6f20.*
+ ad7: 0e07.*
+ ad8: 6f21.*
+ ad9: 0f07.*
+ ada: 6f22.*
+ adb: 0f07.*
+ adc: 6f23.*
+ add: 0c08.*
+ ade: 6f24.*
+ adf: 0d08.*
+ ae0: 6f25.*
+ ae1: 0c08.*
+ ae2: 6f26.*
+ ae3: 0e08.*
+ ae4: 6f27.*
+ ae5: 0f08.*
+ ae6: 6f28.*
+ ae7: 0f08.*
+ ae8: 6f29.*
+ ae9: 0c09.*
+ aea: 6f2a.*
+ aeb: 0d09.*
+ aec: 6f2b.*
+ aed: 0c09.*
+ aee: 6f2c.*
+ aef: 0e09.*
+ af0: 6f2d.*
+ af1: 0f09.*
+ af2: 6f2e.*
+ af3: 0f09.*
+ af4: 6f2f.*
+ af5: 0c0a.*
+ af6: 6f30.*
+ af7: 0d0a.*
+ af8: 6f31.*
+ af9: 0c0a.*
+ afa: 6f32.*
+ afb: 0e0a.*
+ afc: 6f33.*
+ afd: 0f0a.*
+ afe: 6f34.*
+ aff: 0f0a.*
+ b00: 6f35.*
+ b01: 0c0b.*
+ b02: 6f36.*
+ b03: 0d0b.*
+ b04: 6f37.*
+ b05: 0c0b.*
+ b06: 6f38.*
+ b07: 0e0b.*
+ b08: 6f39.*
+ b09: 0f0b.*
+ b0a: 6f3a.*
+ b0b: 0f0b.*
+ b0c: 6f3b.*
+ b0d: 0c0c.*
+ b0e: 6f3c.*
+ b0f: 0d0c.*
+ b10: 6f3d.*
+ b11: 0c0c.*
+ b12: 6f3e.*
+ b13: 0e0c.*
+ b14: 6f3f.*
+ b15: 0f0c.*
+ b16: 6f40.*
+ b17: 0f0c.*
+ b18: 6f41.*
+ b19: 0c0d.*
+ b1a: 6f42.*
+ b1b: 0d0d.*
+ b1c: 6f43.*
+ b1d: 0c0d.*
+ b1e: 6f44.*
+ b1f: 0e0d.*
+ b20: 6f45.*
+ b21: 0f0d.*
+ b22: 6f46.*
+ b23: 0f0d.*
+ b24: 6f47.*
+ b25: 0c0e.*
+ b26: 6f48.*
+ b27: 0d0e.*
+ b28: 6f49.*
+ b29: 0c0e.*
+ b2a: 6f4a.*
+ b2b: 0e0e.*
+ b2c: 6f4b.*
+ b2d: 0f0e.*
+ b2e: 6f4c.*
+ b2f: 0f0e.*
+ b30: 6f4d.*
+ b31: 0c0f.*
+ b32: 6f4e.*
+ b33: 0d0f.*
+ b34: 6f4f.*
+ b35: 0c0f.*
+ b36: 6f50.*
+ b37: 0e0f.*
+ b38: 6f51.*
+ b39: 0f0f.*
+ b3a: 6f52.*
+ b3b: 0f0f.*
+ b3c: 0080.*
+ b3d: 6f80.*
+ b3e: 0d00.*
+ b3f: 0080.*
+ b40: 6f80.*
+ b41: 0e00.*
+ b42: 0180.*
+ b43: 0180.*
+ b44: 6f80.*
+ b45: 0c10.*
+ b46: 6f80.*
+ b47: 0d10.*
+ b48: 6f80.*
+ b49: 0c10.*
+ b4a: 6f80.*
+ b4b: 0e10.*
+ b4c: 6f80.*
+ b4d: 0f10.*
+ b4e: 6f80.*
+ b4f: 0f10.*
+ b50: 6f80.*
+ b51: 0c11.*
+ b52: 6f80.*
+ b53: 0d11.*
+ b54: 6f80.*
+ b55: 0c11.*
+ b56: 6f80.*
+ b57: 0e11.*
+ b58: 6f80.*
+ b59: 0f11.*
+ b5a: 6f80.*
+ b5b: 0f11.*
+ b5c: 6f80.*
+ b5d: 0c12.*
+ b5e: 6f80.*
+ b5f: 0d12.*
+ b60: 6f80.*
+ b61: 0c12.*
+ b62: 6f80.*
+ b63: 0e12.*
+ b64: 6f80.*
+ b65: 0f12.*
+ b66: 6f80.*
+ b67: 0f12.*
+ b68: 6f80.*
+ b69: 0c13.*
+ b6a: 6f80.*
+ b6b: 0d13.*
+ b6c: 6f80.*
+ b6d: 0c13.*
+ b6e: 6f80.*
+ b6f: 0e13.*
+ b70: 6f80.*
+ b71: 0f13.*
+ b72: 6f80.*
+ b73: 0f13.*
+ b74: 6f80.*
+ b75: 0c14.*
+ b76: 6f80.*
+ b77: 0d14.*
+ b78: 6f80.*
+ b79: 0c14.*
+ b7a: 6f80.*
+ b7b: 0e14.*
+ b7c: 6f80.*
+ b7d: 0f14.*
+ b7e: 6f80.*
+ b7f: 0f14.*
+ b80: 6f80.*
+ b81: 0c15.*
+ b82: 6f80.*
+ b83: 0d15.*
+ b84: 6f80.*
+ b85: 0c15.*
+ b86: 6f80.*
+ b87: 0e15.*
+ b88: 6f80.*
+ b89: 0f15.*
+ b8a: 6f80.*
+ b8b: 0f15.*
+ b8c: 6f80.*
+ b8d: 0c16.*
+ b8e: 6f80.*
+ b8f: 0d16.*
+ b90: 6f80.*
+ b91: 0c16.*
+ b92: 6f80.*
+ b93: 0e16.*
+ b94: 6f80.*
+ b95: 0f16.*
+ b96: 6f80.*
+ b97: 0f16.*
+ b98: 6f80.*
+ b99: 0c17.*
+ b9a: 6f80.*
+ b9b: 0d17.*
+ b9c: 6f80.*
+ b9d: 0c17.*
+ b9e: 6f80.*
+ b9f: 0e17.*
+ ba0: 6f80.*
+ ba1: 0f17.*
+ ba2: 6f80.*
+ ba3: 0f17.*
+ ba4: 6f80.*
+ ba5: 0c18.*
+ ba6: 6f80.*
+ ba7: 0d18.*
+ ba8: 6f80.*
+ ba9: 0c18.*
+ baa: 6f80.*
+ bab: 0e18.*
+ bac: 6f80.*
+ bad: 0f18.*
+ bae: 6f80.*
+ baf: 0f18.*
+ bb0: 6f80.*
+ bb1: 0c19.*
+ bb2: 6f80.*
+ bb3: 0d19.*
+ bb4: 6f80.*
+ bb5: 0c19.*
+ bb6: 6f80.*
+ bb7: 0e19.*
+ bb8: 6f80.*
+ bb9: 0f19.*
+ bba: 6f80.*
+ bbb: 0f19.*
+ bbc: 6f80.*
+ bbd: 0c1a.*
+ bbe: 6f80.*
+ bbf: 0d1a.*
+ bc0: 6f80.*
+ bc1: 0c1a.*
+ bc2: 6f80.*
+ bc3: 0e1a.*
+ bc4: 6f80.*
+ bc5: 0f1a.*
+ bc6: 6f80.*
+ bc7: 0f1a.*
+ bc8: 6f80.*
+ bc9: 0c1b.*
+ bca: 6f80.*
+ bcb: 0d1b.*
+ bcc: 6f80.*
+ bcd: 0c1b.*
+ bce: 6f80.*
+ bcf: 0e1b.*
+ bd0: 6f80.*
+ bd1: 0f1b.*
+ bd2: 6f80.*
+ bd3: 0f1b.*
+ bd4: 6f80.*
+ bd5: 0c1c.*
+ bd6: 6f80.*
+ bd7: 0d1c.*
+ bd8: 6f80.*
+ bd9: 0c1c.*
+ bda: 6f80.*
+ bdb: 0e1c.*
+ bdc: 6f80.*
+ bdd: 0f1c.*
+ bde: 6f80.*
+ bdf: 0f1c.*
+ be0: 6f80.*
+ be1: 0c1d.*
+ be2: 6f80.*
+ be3: 0d1d.*
+ be4: 6f80.*
+ be5: 0c1d.*
+ be6: 6f80.*
+ be7: 0e1d.*
+ be8: 6f80.*
+ be9: 0f1d.*
+ bea: 6f80.*
+ beb: 0f1d.*
+ bec: 6f80.*
+ bed: 0c1e.*
+ bee: 6f80.*
+ bef: 0d1e.*
+ bf0: 6f80.*
+ bf1: 0c1e.*
+ bf2: 6f80.*
+ bf3: 0e1e.*
+ bf4: 6f80.*
+ bf5: 0f1e.*
+ bf6: 6f80.*
+ bf7: 0f1e.*
+ bf8: 6f80.*
+ bf9: 0c1f.*
+ bfa: 6f80.*
+ bfb: 0d1f.*
+ bfc: 6f80.*
+ bfd: 0c1f.*
+ bfe: 6f80.*
+ bff: 0e1f.*
+ c00: 6f80.*
+ c01: 0f1f.*
+ c02: 6f80.*
+ c03: 0f1f.*
+ c04: 0080.*
+ c05: 6f80.*
+ c06: 0d00.*
+ c07: 0080.*
+ c08: 6f80.*
+ c09: 0e00.*
+ c0a: 0180.*
+ c0b: 0180.*
+ c0c: 6f80.*
+ c0d: 0c01.*
+ c0e: 6f80.*
+ c0f: 0d01.*
+ c10: 6f80.*
+ c11: 0c01.*
+ c12: 6f80.*
+ c13: 0e01.*
+ c14: 6f80.*
+ c15: 0f01.*
+ c16: 6f80.*
+ c17: 0f01.*
+ c18: 6f80.*
+ c19: 0c02.*
+ c1a: 6f80.*
+ c1b: 0d02.*
+ c1c: 6f80.*
+ c1d: 0c02.*
+ c1e: 6f80.*
+ c1f: 0e02.*
+ c20: 6f80.*
+ c21: 0f02.*
+ c22: 6f80.*
+ c23: 0f02.*
+ c24: 6f80.*
+ c25: 0c03.*
+ c26: 6f80.*
+ c27: 0d03.*
+ c28: 6f80.*
+ c29: 0c03.*
+ c2a: 6f80.*
+ c2b: 0e03.*
+ c2c: 6f80.*
+ c2d: 0f03.*
+ c2e: 6f80.*
+ c2f: 0f03.*
+ c30: 6f80.*
+ c31: 0c04.*
+ c32: 6f80.*
+ c33: 0d04.*
+ c34: 6f80.*
+ c35: 0c04.*
+ c36: 6f80.*
+ c37: 0e04.*
+ c38: 6f80.*
+ c39: 0f04.*
+ c3a: 6f80.*
+ c3b: 0f04.*
+ c3c: 6f80.*
+ c3d: 0c05.*
+ c3e: 6f80.*
+ c3f: 0d05.*
+ c40: 6f80.*
+ c41: 0c05.*
+ c42: 6f80.*
+ c43: 0e05.*
+ c44: 6f80.*
+ c45: 0f05.*
+ c46: 6f80.*
+ c47: 0f05.*
+ c48: 6f80.*
+ c49: 0c06.*
+ c4a: 6f80.*
+ c4b: 0d06.*
+ c4c: 6f80.*
+ c4d: 0c06.*
+ c4e: 6f80.*
+ c4f: 0e06.*
+ c50: 6f80.*
+ c51: 0f06.*
+ c52: 6f80.*
+ c53: 0f06.*
+ c54: 6f80.*
+ c55: 0c07.*
+ c56: 6f80.*
+ c57: 0d07.*
+ c58: 6f80.*
+ c59: 0c07.*
+ c5a: 6f80.*
+ c5b: 0e07.*
+ c5c: 6f80.*
+ c5d: 0f07.*
+ c5e: 6f80.*
+ c5f: 0f07.*
+ c60: 6f80.*
+ c61: 0c08.*
+ c62: 6f80.*
+ c63: 0d08.*
+ c64: 6f80.*
+ c65: 0c08.*
+ c66: 6f80.*
+ c67: 0e08.*
+ c68: 6f80.*
+ c69: 0f08.*
+ c6a: 6f80.*
+ c6b: 0f08.*
+ c6c: 6f80.*
+ c6d: 0c09.*
+ c6e: 6f80.*
+ c6f: 0d09.*
+ c70: 6f80.*
+ c71: 0c09.*
+ c72: 6f80.*
+ c73: 0e09.*
+ c74: 6f80.*
+ c75: 0f09.*
+ c76: 6f80.*
+ c77: 0f09.*
+ c78: 6f80.*
+ c79: 0c0a.*
+ c7a: 6f80.*
+ c7b: 0d0a.*
+ c7c: 6f80.*
+ c7d: 0c0a.*
+ c7e: 6f80.*
+ c7f: 0e0a.*
+ c80: 6f80.*
+ c81: 0f0a.*
+ c82: 6f80.*
+ c83: 0f0a.*
+ c84: 6f80.*
+ c85: 0c0b.*
+ c86: 6f80.*
+ c87: 0d0b.*
+ c88: 6f80.*
+ c89: 0c0b.*
+ c8a: 6f80.*
+ c8b: 0e0b.*
+ c8c: 6f80.*
+ c8d: 0f0b.*
+ c8e: 6f80.*
+ c8f: 0f0b.*
+ c90: 6f80.*
+ c91: 0c0c.*
+ c92: 6f80.*
+ c93: 0d0c.*
+ c94: 6f80.*
+ c95: 0c0c.*
+ c96: 6f80.*
+ c97: 0e0c.*
+ c98: 6f80.*
+ c99: 0f0c.*
+ c9a: 6f80.*
+ c9b: 0f0c.*
+ c9c: 6f80.*
+ c9d: 0c0d.*
+ c9e: 6f80.*
+ c9f: 0d0d.*
+ ca0: 6f80.*
+ ca1: 0c0d.*
+ ca2: 6f80.*
+ ca3: 0e0d.*
+ ca4: 6f80.*
+ ca5: 0f0d.*
+ ca6: 6f80.*
+ ca7: 0f0d.*
+ ca8: 6f80.*
+ ca9: 0c0e.*
+ caa: 6f80.*
+ cab: 0d0e.*
+ cac: 6f80.*
+ cad: 0c0e.*
+ cae: 6f80.*
+ caf: 0e0e.*
+ cb0: 6f80.*
+ cb1: 0f0e.*
+ cb2: 6f80.*
+ cb3: 0f0e.*
+ cb4: 6f80.*
+ cb5: 0c0f.*
+ cb6: 6f80.*
+ cb7: 0d0f.*
+ cb8: 6f80.*
+ cb9: 0c0f.*
+ cba: 6f80.*
+ cbb: 0e0f.*
+ cbc: 6f80.*
+ cbd: 0f0f.*
+ cbe: 6f80.*
+ cbf: 0f0f.*
+ cc0: 0088.*
+ cc1: 6f88.*
+ cc2: 0d00.*
+ cc3: 0088.*
+ cc4: 6f88.*
+ cc5: 0e00.*
+ cc6: 0188.*
+ cc7: 0188.*
+ cc8: 6f88.*
+ cc9: 0c10.*
+ cca: 6f88.*
+ ccb: 0d10.*
+ ccc: 6f88.*
+ ccd: 0c10.*
+ cce: 6f88.*
+ ccf: 0e10.*
+ cd0: 6f88.*
+ cd1: 0f10.*
+ cd2: 6f88.*
+ cd3: 0f10.*
+ cd4: 6f88.*
+ cd5: 0c11.*
+ cd6: 6f88.*
+ cd7: 0d11.*
+ cd8: 6f88.*
+ cd9: 0c11.*
+ cda: 6f88.*
+ cdb: 0e11.*
+ cdc: 6f88.*
+ cdd: 0f11.*
+ cde: 6f88.*
+ cdf: 0f11.*
+ ce0: 6f88.*
+ ce1: 0c12.*
+ ce2: 6f88.*
+ ce3: 0d12.*
+ ce4: 6f88.*
+ ce5: 0c12.*
+ ce6: 6f88.*
+ ce7: 0e12.*
+ ce8: 6f88.*
+ ce9: 0f12.*
+ cea: 6f88.*
+ ceb: 0f12.*
+ cec: 6f88.*
+ ced: 0c13.*
+ cee: 6f88.*
+ cef: 0d13.*
+ cf0: 6f88.*
+ cf1: 0c13.*
+ cf2: 6f88.*
+ cf3: 0e13.*
+ cf4: 6f88.*
+ cf5: 0f13.*
+ cf6: 6f88.*
+ cf7: 0f13.*
+ cf8: 6f88.*
+ cf9: 0c14.*
+ cfa: 6f88.*
+ cfb: 0d14.*
+ cfc: 6f88.*
+ cfd: 0c14.*
+ cfe: 6f88.*
+ cff: 0e14.*
+ d00: 6f88.*
+ d01: 0f14.*
+ d02: 6f88.*
+ d03: 0f14.*
+ d04: 6f88.*
+ d05: 0c15.*
+ d06: 6f88.*
+ d07: 0d15.*
+ d08: 6f88.*
+ d09: 0c15.*
+ d0a: 6f88.*
+ d0b: 0e15.*
+ d0c: 6f88.*
+ d0d: 0f15.*
+ d0e: 6f88.*
+ d0f: 0f15.*
+ d10: 6f88.*
+ d11: 0c16.*
+ d12: 6f88.*
+ d13: 0d16.*
+ d14: 6f88.*
+ d15: 0c16.*
+ d16: 6f88.*
+ d17: 0e16.*
+ d18: 6f88.*
+ d19: 0f16.*
+ d1a: 6f88.*
+ d1b: 0f16.*
+ d1c: 6f88.*
+ d1d: 0c17.*
+ d1e: 6f88.*
+ d1f: 0d17.*
+ d20: 6f88.*
+ d21: 0c17.*
+ d22: 6f88.*
+ d23: 0e17.*
+ d24: 6f88.*
+ d25: 0f17.*
+ d26: 6f88.*
+ d27: 0f17.*
+ d28: 6f88.*
+ d29: 0c18.*
+ d2a: 6f88.*
+ d2b: 0d18.*
+ d2c: 6f88.*
+ d2d: 0c18.*
+ d2e: 6f88.*
+ d2f: 0e18.*
+ d30: 6f88.*
+ d31: 0f18.*
+ d32: 6f88.*
+ d33: 0f18.*
+ d34: 6f88.*
+ d35: 0c19.*
+ d36: 6f88.*
+ d37: 0d19.*
+ d38: 6f88.*
+ d39: 0c19.*
+ d3a: 6f88.*
+ d3b: 0e19.*
+ d3c: 6f88.*
+ d3d: 0f19.*
+ d3e: 6f88.*
+ d3f: 0f19.*
+ d40: 6f88.*
+ d41: 0c1a.*
+ d42: 6f88.*
+ d43: 0d1a.*
+ d44: 6f88.*
+ d45: 0c1a.*
+ d46: 6f88.*
+ d47: 0e1a.*
+ d48: 6f88.*
+ d49: 0f1a.*
+ d4a: 6f88.*
+ d4b: 0f1a.*
+ d4c: 6f88.*
+ d4d: 0c1b.*
+ d4e: 6f88.*
+ d4f: 0d1b.*
+ d50: 6f88.*
+ d51: 0c1b.*
+ d52: 6f88.*
+ d53: 0e1b.*
+ d54: 6f88.*
+ d55: 0f1b.*
+ d56: 6f88.*
+ d57: 0f1b.*
+ d58: 6f88.*
+ d59: 0c1c.*
+ d5a: 6f88.*
+ d5b: 0d1c.*
+ d5c: 6f88.*
+ d5d: 0c1c.*
+ d5e: 6f88.*
+ d5f: 0e1c.*
+ d60: 6f88.*
+ d61: 0f1c.*
+ d62: 6f88.*
+ d63: 0f1c.*
+ d64: 6f88.*
+ d65: 0c1d.*
+ d66: 6f88.*
+ d67: 0d1d.*
+ d68: 6f88.*
+ d69: 0c1d.*
+ d6a: 6f88.*
+ d6b: 0e1d.*
+ d6c: 6f88.*
+ d6d: 0f1d.*
+ d6e: 6f88.*
+ d6f: 0f1d.*
+ d70: 6f88.*
+ d71: 0c1e.*
+ d72: 6f88.*
+ d73: 0d1e.*
+ d74: 6f88.*
+ d75: 0c1e.*
+ d76: 6f88.*
+ d77: 0e1e.*
+ d78: 6f88.*
+ d79: 0f1e.*
+ d7a: 6f88.*
+ d7b: 0f1e.*
+ d7c: 6f88.*
+ d7d: 0c1f.*
+ d7e: 6f88.*
+ d7f: 0d1f.*
+ d80: 6f88.*
+ d81: 0c1f.*
+ d82: 6f88.*
+ d83: 0e1f.*
+ d84: 6f88.*
+ d85: 0f1f.*
+ d86: 6f88.*
+ d87: 0f1f.*
+ d88: 0088.*
+ d89: 6f88.*
+ d8a: 0d00.*
+ d8b: 0088.*
+ d8c: 6f88.*
+ d8d: 0e00.*
+ d8e: 0188.*
+ d8f: 0188.*
+ d90: 6f88.*
+ d91: 0c01.*
+ d92: 6f88.*
+ d93: 0d01.*
+ d94: 6f88.*
+ d95: 0c01.*
+ d96: 6f88.*
+ d97: 0e01.*
+ d98: 6f88.*
+ d99: 0f01.*
+ d9a: 6f88.*
+ d9b: 0f01.*
+ d9c: 6f88.*
+ d9d: 0c02.*
+ d9e: 6f88.*
+ d9f: 0d02.*
+ da0: 6f88.*
+ da1: 0c02.*
+ da2: 6f88.*
+ da3: 0e02.*
+ da4: 6f88.*
+ da5: 0f02.*
+ da6: 6f88.*
+ da7: 0f02.*
+ da8: 6f88.*
+ da9: 0c03.*
+ daa: 6f88.*
+ dab: 0d03.*
+ dac: 6f88.*
+ dad: 0c03.*
+ dae: 6f88.*
+ daf: 0e03.*
+ db0: 6f88.*
+ db1: 0f03.*
+ db2: 6f88.*
+ db3: 0f03.*
+ db4: 6f88.*
+ db5: 0c04.*
+ db6: 6f88.*
+ db7: 0d04.*
+ db8: 6f88.*
+ db9: 0c04.*
+ dba: 6f88.*
+ dbb: 0e04.*
+ dbc: 6f88.*
+ dbd: 0f04.*
+ dbe: 6f88.*
+ dbf: 0f04.*
+ dc0: 6f88.*
+ dc1: 0c05.*
+ dc2: 6f88.*
+ dc3: 0d05.*
+ dc4: 6f88.*
+ dc5: 0c05.*
+ dc6: 6f88.*
+ dc7: 0e05.*
+ dc8: 6f88.*
+ dc9: 0f05.*
+ dca: 6f88.*
+ dcb: 0f05.*
+ dcc: 6f88.*
+ dcd: 0c06.*
+ dce: 6f88.*
+ dcf: 0d06.*
+ dd0: 6f88.*
+ dd1: 0c06.*
+ dd2: 6f88.*
+ dd3: 0e06.*
+ dd4: 6f88.*
+ dd5: 0f06.*
+ dd6: 6f88.*
+ dd7: 0f06.*
+ dd8: 6f88.*
+ dd9: 0c07.*
+ dda: 6f88.*
+ ddb: 0d07.*
+ ddc: 6f88.*
+ ddd: 0c07.*
+ dde: 6f88.*
+ ddf: 0e07.*
+ de0: 6f88.*
+ de1: 0f07.*
+ de2: 6f88.*
+ de3: 0f07.*
+ de4: 6f88.*
+ de5: 0c08.*
+ de6: 6f88.*
+ de7: 0d08.*
+ de8: 6f88.*
+ de9: 0c08.*
+ dea: 6f88.*
+ deb: 0e08.*
+ dec: 6f88.*
+ ded: 0f08.*
+ dee: 6f88.*
+ def: 0f08.*
+ df0: 6f88.*
+ df1: 0c09.*
+ df2: 6f88.*
+ df3: 0d09.*
+ df4: 6f88.*
+ df5: 0c09.*
+ df6: 6f88.*
+ df7: 0e09.*
+ df8: 6f88.*
+ df9: 0f09.*
+ dfa: 6f88.*
+ dfb: 0f09.*
+ dfc: 6f88.*
+ dfd: 0c0a.*
+ dfe: 6f88.*
+ dff: 0d0a.*
+ e00: 6f88.*
+ e01: 0c0a.*
+ e02: 6f88.*
+ e03: 0e0a.*
+ e04: 6f88.*
+ e05: 0f0a.*
+ e06: 6f88.*
+ e07: 0f0a.*
+ e08: 6f88.*
+ e09: 0c0b.*
+ e0a: 6f88.*
+ e0b: 0d0b.*
+ e0c: 6f88.*
+ e0d: 0c0b.*
+ e0e: 6f88.*
+ e0f: 0e0b.*
+ e10: 6f88.*
+ e11: 0f0b.*
+ e12: 6f88.*
+ e13: 0f0b.*
+ e14: 6f88.*
+ e15: 0c0c.*
+ e16: 6f88.*
+ e17: 0d0c.*
+ e18: 6f88.*
+ e19: 0c0c.*
+ e1a: 6f88.*
+ e1b: 0e0c.*
+ e1c: 6f88.*
+ e1d: 0f0c.*
+ e1e: 6f88.*
+ e1f: 0f0c.*
+ e20: 6f88.*
+ e21: 0c0d.*
+ e22: 6f88.*
+ e23: 0d0d.*
+ e24: 6f88.*
+ e25: 0c0d.*
+ e26: 6f88.*
+ e27: 0e0d.*
+ e28: 6f88.*
+ e29: 0f0d.*
+ e2a: 6f88.*
+ e2b: 0f0d.*
+ e2c: 6f88.*
+ e2d: 0c0e.*
+ e2e: 6f88.*
+ e2f: 0d0e.*
+ e30: 6f88.*
+ e31: 0c0e.*
+ e32: 6f88.*
+ e33: 0e0e.*
+ e34: 6f88.*
+ e35: 0f0e.*
+ e36: 6f88.*
+ e37: 0f0e.*
+ e38: 6f88.*
+ e39: 0c0f.*
+ e3a: 6f88.*
+ e3b: 0d0f.*
+ e3c: 6f88.*
+ e3d: 0c0f.*
+ e3e: 6f88.*
+ e3f: 0e0f.*
+ e40: 6f88.*
+ e41: 0f0f.*
+ e42: 6f88.*
+ e43: 0f0f.*
+ e44: 0090.*
+ e45: 6f90.*
+ e46: 0d00.*
+ e47: 0090.*
+ e48: 6f90.*
+ e49: 0e00.*
+ e4a: 0190.*
+ e4b: 0190.*
+ e4c: 6f90.*
+ e4d: 0c10.*
+ e4e: 6f90.*
+ e4f: 0d10.*
+ e50: 6f90.*
+ e51: 0c10.*
+ e52: 6f90.*
+ e53: 0e10.*
+ e54: 6f90.*
+ e55: 0f10.*
+ e56: 6f90.*
+ e57: 0f10.*
+ e58: 6f90.*
+ e59: 0c11.*
+ e5a: 6f90.*
+ e5b: 0d11.*
+ e5c: 6f90.*
+ e5d: 0c11.*
+ e5e: 6f90.*
+ e5f: 0e11.*
+ e60: 6f90.*
+ e61: 0f11.*
+ e62: 6f90.*
+ e63: 0f11.*
+ e64: 6f90.*
+ e65: 0c12.*
+ e66: 6f90.*
+ e67: 0d12.*
+ e68: 6f90.*
+ e69: 0c12.*
+ e6a: 6f90.*
+ e6b: 0e12.*
+ e6c: 6f90.*
+ e6d: 0f12.*
+ e6e: 6f90.*
+ e6f: 0f12.*
+ e70: 6f90.*
+ e71: 0c13.*
+ e72: 6f90.*
+ e73: 0d13.*
+ e74: 6f90.*
+ e75: 0c13.*
+ e76: 6f90.*
+ e77: 0e13.*
+ e78: 6f90.*
+ e79: 0f13.*
+ e7a: 6f90.*
+ e7b: 0f13.*
+ e7c: 6f90.*
+ e7d: 0c14.*
+ e7e: 6f90.*
+ e7f: 0d14.*
+ e80: 6f90.*
+ e81: 0c14.*
+ e82: 6f90.*
+ e83: 0e14.*
+ e84: 6f90.*
+ e85: 0f14.*
+ e86: 6f90.*
+ e87: 0f14.*
+ e88: 6f90.*
+ e89: 0c15.*
+ e8a: 6f90.*
+ e8b: 0d15.*
+ e8c: 6f90.*
+ e8d: 0c15.*
+ e8e: 6f90.*
+ e8f: 0e15.*
+ e90: 6f90.*
+ e91: 0f15.*
+ e92: 6f90.*
+ e93: 0f15.*
+ e94: 6f90.*
+ e95: 0c16.*
+ e96: 6f90.*
+ e97: 0d16.*
+ e98: 6f90.*
+ e99: 0c16.*
+ e9a: 6f90.*
+ e9b: 0e16.*
+ e9c: 6f90.*
+ e9d: 0f16.*
+ e9e: 6f90.*
+ e9f: 0f16.*
+ ea0: 6f90.*
+ ea1: 0c17.*
+ ea2: 6f90.*
+ ea3: 0d17.*
+ ea4: 6f90.*
+ ea5: 0c17.*
+ ea6: 6f90.*
+ ea7: 0e17.*
+ ea8: 6f90.*
+ ea9: 0f17.*
+ eaa: 6f90.*
+ eab: 0f17.*
+ eac: 6f90.*
+ ead: 0c18.*
+ eae: 6f90.*
+ eaf: 0d18.*
+ eb0: 6f90.*
+ eb1: 0c18.*
+ eb2: 6f90.*
+ eb3: 0e18.*
+ eb4: 6f90.*
+ eb5: 0f18.*
+ eb6: 6f90.*
+ eb7: 0f18.*
+ eb8: 6f90.*
+ eb9: 0c19.*
+ eba: 6f90.*
+ ebb: 0d19.*
+ ebc: 6f90.*
+ ebd: 0c19.*
+ ebe: 6f90.*
+ ebf: 0e19.*
+ ec0: 6f90.*
+ ec1: 0f19.*
+ ec2: 6f90.*
+ ec3: 0f19.*
+ ec4: 6f90.*
+ ec5: 0c1a.*
+ ec6: 6f90.*
+ ec7: 0d1a.*
+ ec8: 6f90.*
+ ec9: 0c1a.*
+ eca: 6f90.*
+ ecb: 0e1a.*
+ ecc: 6f90.*
+ ecd: 0f1a.*
+ ece: 6f90.*
+ ecf: 0f1a.*
+ ed0: 6f90.*
+ ed1: 0c1b.*
+ ed2: 6f90.*
+ ed3: 0d1b.*
+ ed4: 6f90.*
+ ed5: 0c1b.*
+ ed6: 6f90.*
+ ed7: 0e1b.*
+ ed8: 6f90.*
+ ed9: 0f1b.*
+ eda: 6f90.*
+ edb: 0f1b.*
+ edc: 6f90.*
+ edd: 0c1c.*
+ ede: 6f90.*
+ edf: 0d1c.*
+ ee0: 6f90.*
+ ee1: 0c1c.*
+ ee2: 6f90.*
+ ee3: 0e1c.*
+ ee4: 6f90.*
+ ee5: 0f1c.*
+ ee6: 6f90.*
+ ee7: 0f1c.*
+ ee8: 6f90.*
+ ee9: 0c1d.*
+ eea: 6f90.*
+ eeb: 0d1d.*
+ eec: 6f90.*
+ eed: 0c1d.*
+ eee: 6f90.*
+ eef: 0e1d.*
+ ef0: 6f90.*
+ ef1: 0f1d.*
+ ef2: 6f90.*
+ ef3: 0f1d.*
+ ef4: 6f90.*
+ ef5: 0c1e.*
+ ef6: 6f90.*
+ ef7: 0d1e.*
+ ef8: 6f90.*
+ ef9: 0c1e.*
+ efa: 6f90.*
+ efb: 0e1e.*
+ efc: 6f90.*
+ efd: 0f1e.*
+ efe: 6f90.*
+ eff: 0f1e.*
+ f00: 6f90.*
+ f01: 0c1f.*
+ f02: 6f90.*
+ f03: 0d1f.*
+ f04: 6f90.*
+ f05: 0c1f.*
+ f06: 6f90.*
+ f07: 0e1f.*
+ f08: 6f90.*
+ f09: 0f1f.*
+ f0a: 6f90.*
+ f0b: 0f1f.*
+ f0c: 0090.*
+ f0d: 6f90.*
+ f0e: 0d00.*
+ f0f: 0090.*
+ f10: 6f90.*
+ f11: 0e00.*
+ f12: 0190.*
+ f13: 0190.*
+ f14: 6f90.*
+ f15: 0c01.*
+ f16: 6f90.*
+ f17: 0d01.*
+ f18: 6f90.*
+ f19: 0c01.*
+ f1a: 6f90.*
+ f1b: 0e01.*
+ f1c: 6f90.*
+ f1d: 0f01.*
+ f1e: 6f90.*
+ f1f: 0f01.*
+ f20: 6f90.*
+ f21: 0c02.*
+ f22: 6f90.*
+ f23: 0d02.*
+ f24: 6f90.*
+ f25: 0c02.*
+ f26: 6f90.*
+ f27: 0e02.*
+ f28: 6f90.*
+ f29: 0f02.*
+ f2a: 6f90.*
+ f2b: 0f02.*
+ f2c: 6f90.*
+ f2d: 0c03.*
+ f2e: 6f90.*
+ f2f: 0d03.*
+ f30: 6f90.*
+ f31: 0c03.*
+ f32: 6f90.*
+ f33: 0e03.*
+ f34: 6f90.*
+ f35: 0f03.*
+ f36: 6f90.*
+ f37: 0f03.*
+ f38: 6f90.*
+ f39: 0c04.*
+ f3a: 6f90.*
+ f3b: 0d04.*
+ f3c: 6f90.*
+ f3d: 0c04.*
+ f3e: 6f90.*
+ f3f: 0e04.*
+ f40: 6f90.*
+ f41: 0f04.*
+ f42: 6f90.*
+ f43: 0f04.*
+ f44: 6f90.*
+ f45: 0c05.*
+ f46: 6f90.*
+ f47: 0d05.*
+ f48: 6f90.*
+ f49: 0c05.*
+ f4a: 6f90.*
+ f4b: 0e05.*
+ f4c: 6f90.*
+ f4d: 0f05.*
+ f4e: 6f90.*
+ f4f: 0f05.*
+ f50: 6f90.*
+ f51: 0c06.*
+ f52: 6f90.*
+ f53: 0d06.*
+ f54: 6f90.*
+ f55: 0c06.*
+ f56: 6f90.*
+ f57: 0e06.*
+ f58: 6f90.*
+ f59: 0f06.*
+ f5a: 6f90.*
+ f5b: 0f06.*
+ f5c: 6f90.*
+ f5d: 0c07.*
+ f5e: 6f90.*
+ f5f: 0d07.*
+ f60: 6f90.*
+ f61: 0c07.*
+ f62: 6f90.*
+ f63: 0e07.*
+ f64: 6f90.*
+ f65: 0f07.*
+ f66: 6f90.*
+ f67: 0f07.*
+ f68: 6f90.*
+ f69: 0c08.*
+ f6a: 6f90.*
+ f6b: 0d08.*
+ f6c: 6f90.*
+ f6d: 0c08.*
+ f6e: 6f90.*
+ f6f: 0e08.*
+ f70: 6f90.*
+ f71: 0f08.*
+ f72: 6f90.*
+ f73: 0f08.*
+ f74: 6f90.*
+ f75: 0c09.*
+ f76: 6f90.*
+ f77: 0d09.*
+ f78: 6f90.*
+ f79: 0c09.*
+ f7a: 6f90.*
+ f7b: 0e09.*
+ f7c: 6f90.*
+ f7d: 0f09.*
+ f7e: 6f90.*
+ f7f: 0f09.*
+ f80: 6f90.*
+ f81: 0c0a.*
+ f82: 6f90.*
+ f83: 0d0a.*
+ f84: 6f90.*
+ f85: 0c0a.*
+ f86: 6f90.*
+ f87: 0e0a.*
+ f88: 6f90.*
+ f89: 0f0a.*
+ f8a: 6f90.*
+ f8b: 0f0a.*
+ f8c: 6f90.*
+ f8d: 0c0b.*
+ f8e: 6f90.*
+ f8f: 0d0b.*
+ f90: 6f90.*
+ f91: 0c0b.*
+ f92: 6f90.*
+ f93: 0e0b.*
+ f94: 6f90.*
+ f95: 0f0b.*
+ f96: 6f90.*
+ f97: 0f0b.*
+ f98: 6f90.*
+ f99: 0c0c.*
+ f9a: 6f90.*
+ f9b: 0d0c.*
+ f9c: 6f90.*
+ f9d: 0c0c.*
+ f9e: 6f90.*
+ f9f: 0e0c.*
+ fa0: 6f90.*
+ fa1: 0f0c.*
+ fa2: 6f90.*
+ fa3: 0f0c.*
+ fa4: 6f90.*
+ fa5: 0c0d.*
+ fa6: 6f90.*
+ fa7: 0d0d.*
+ fa8: 6f90.*
+ fa9: 0c0d.*
+ faa: 6f90.*
+ fab: 0e0d.*
+ fac: 6f90.*
+ fad: 0f0d.*
+ fae: 6f90.*
+ faf: 0f0d.*
+ fb0: 6f90.*
+ fb1: 0c0e.*
+ fb2: 6f90.*
+ fb3: 0d0e.*
+ fb4: 6f90.*
+ fb5: 0c0e.*
+ fb6: 6f90.*
+ fb7: 0e0e.*
+ fb8: 6f90.*
+ fb9: 0f0e.*
+ fba: 6f90.*
+ fbb: 0f0e.*
+ fbc: 6f90.*
+ fbd: 0c0f.*
+ fbe: 6f90.*
+ fbf: 0d0f.*
+ fc0: 6f90.*
+ fc1: 0c0f.*
+ fc2: 6f90.*
+ fc3: 0e0f.*
+ fc4: 6f90.*
+ fc5: 0f0f.*
+ fc6: 6f90.*
+ fc7: 0f0f.*
+ fc8: 00a0.*
+ fc9: 6fa0.*
+ fca: 0d00.*
+ fcb: 00a0.*
+ fcc: 6fa0.*
+ fcd: 0e00.*
+ fce: 01a0.*
+ fcf: 01a0.*
+ fd0: 6fa0.*
+ fd1: 0c10.*
+ fd2: 6fa0.*
+ fd3: 0d10.*
+ fd4: 6fa0.*
+ fd5: 0c10.*
+ fd6: 6fa0.*
+ fd7: 0e10.*
+ fd8: 6fa0.*
+ fd9: 0f10.*
+ fda: 6fa0.*
+ fdb: 0f10.*
+ fdc: 6fa0.*
+ fdd: 0c11.*
+ fde: 6fa0.*
+ fdf: 0d11.*
+ fe0: 6fa0.*
+ fe1: 0c11.*
+ fe2: 6fa0.*
+ fe3: 0e11.*
+ fe4: 6fa0.*
+ fe5: 0f11.*
+ fe6: 6fa0.*
+ fe7: 0f11.*
+ fe8: 6fa0.*
+ fe9: 0c12.*
+ fea: 6fa0.*
+ feb: 0d12.*
+ fec: 6fa0.*
+ fed: 0c12.*
+ fee: 6fa0.*
+ fef: 0e12.*
+ ff0: 6fa0.*
+ ff1: 0f12.*
+ ff2: 6fa0.*
+ ff3: 0f12.*
+ ff4: 6fa0.*
+ ff5: 0c13.*
+ ff6: 6fa0.*
+ ff7: 0d13.*
+ ff8: 6fa0.*
+ ff9: 0c13.*
+ ffa: 6fa0.*
+ ffb: 0e13.*
+ ffc: 6fa0.*
+ ffd: 0f13.*
+ ffe: 6fa0.*
+ fff: 0f13.*
+ 1000: 6fa0.*
+ 1001: 0c14.*
+ 1002: 6fa0.*
+ 1003: 0d14.*
+ 1004: 6fa0.*
+ 1005: 0c14.*
+ 1006: 6fa0.*
+ 1007: 0e14.*
+ 1008: 6fa0.*
+ 1009: 0f14.*
+ 100a: 6fa0.*
+ 100b: 0f14.*
+ 100c: 6fa0.*
+ 100d: 0c15.*
+ 100e: 6fa0.*
+ 100f: 0d15.*
+ 1010: 6fa0.*
+ 1011: 0c15.*
+ 1012: 6fa0.*
+ 1013: 0e15.*
+ 1014: 6fa0.*
+ 1015: 0f15.*
+ 1016: 6fa0.*
+ 1017: 0f15.*
+ 1018: 6fa0.*
+ 1019: 0c16.*
+ 101a: 6fa0.*
+ 101b: 0d16.*
+ 101c: 6fa0.*
+ 101d: 0c16.*
+ 101e: 6fa0.*
+ 101f: 0e16.*
+ 1020: 6fa0.*
+ 1021: 0f16.*
+ 1022: 6fa0.*
+ 1023: 0f16.*
+ 1024: 6fa0.*
+ 1025: 0c17.*
+ 1026: 6fa0.*
+ 1027: 0d17.*
+ 1028: 6fa0.*
+ 1029: 0c17.*
+ 102a: 6fa0.*
+ 102b: 0e17.*
+ 102c: 6fa0.*
+ 102d: 0f17.*
+ 102e: 6fa0.*
+ 102f: 0f17.*
+ 1030: 6fa0.*
+ 1031: 0c18.*
+ 1032: 6fa0.*
+ 1033: 0d18.*
+ 1034: 6fa0.*
+ 1035: 0c18.*
+ 1036: 6fa0.*
+ 1037: 0e18.*
+ 1038: 6fa0.*
+ 1039: 0f18.*
+ 103a: 6fa0.*
+ 103b: 0f18.*
+ 103c: 6fa0.*
+ 103d: 0c19.*
+ 103e: 6fa0.*
+ 103f: 0d19.*
+ 1040: 6fa0.*
+ 1041: 0c19.*
+ 1042: 6fa0.*
+ 1043: 0e19.*
+ 1044: 6fa0.*
+ 1045: 0f19.*
+ 1046: 6fa0.*
+ 1047: 0f19.*
+ 1048: 6fa0.*
+ 1049: 0c1a.*
+ 104a: 6fa0.*
+ 104b: 0d1a.*
+ 104c: 6fa0.*
+ 104d: 0c1a.*
+ 104e: 6fa0.*
+ 104f: 0e1a.*
+ 1050: 6fa0.*
+ 1051: 0f1a.*
+ 1052: 6fa0.*
+ 1053: 0f1a.*
+ 1054: 6fa0.*
+ 1055: 0c1b.*
+ 1056: 6fa0.*
+ 1057: 0d1b.*
+ 1058: 6fa0.*
+ 1059: 0c1b.*
+ 105a: 6fa0.*
+ 105b: 0e1b.*
+ 105c: 6fa0.*
+ 105d: 0f1b.*
+ 105e: 6fa0.*
+ 105f: 0f1b.*
+ 1060: 6fa0.*
+ 1061: 0c1c.*
+ 1062: 6fa0.*
+ 1063: 0d1c.*
+ 1064: 6fa0.*
+ 1065: 0c1c.*
+ 1066: 6fa0.*
+ 1067: 0e1c.*
+ 1068: 6fa0.*
+ 1069: 0f1c.*
+ 106a: 6fa0.*
+ 106b: 0f1c.*
+ 106c: 6fa0.*
+ 106d: 0c1d.*
+ 106e: 6fa0.*
+ 106f: 0d1d.*
+ 1070: 6fa0.*
+ 1071: 0c1d.*
+ 1072: 6fa0.*
+ 1073: 0e1d.*
+ 1074: 6fa0.*
+ 1075: 0f1d.*
+ 1076: 6fa0.*
+ 1077: 0f1d.*
+ 1078: 6fa0.*
+ 1079: 0c1e.*
+ 107a: 6fa0.*
+ 107b: 0d1e.*
+ 107c: 6fa0.*
+ 107d: 0c1e.*
+ 107e: 6fa0.*
+ 107f: 0e1e.*
+ 1080: 6fa0.*
+ 1081: 0f1e.*
+ 1082: 6fa0.*
+ 1083: 0f1e.*
+ 1084: 6fa0.*
+ 1085: 0c1f.*
+ 1086: 6fa0.*
+ 1087: 0d1f.*
+ 1088: 6fa0.*
+ 1089: 0c1f.*
+ 108a: 6fa0.*
+ 108b: 0e1f.*
+ 108c: 6fa0.*
+ 108d: 0f1f.*
+ 108e: 6fa0.*
+ 108f: 0f1f.*
+ 1090: 00a0.*
+ 1091: 6fa0.*
+ 1092: 0d00.*
+ 1093: 00a0.*
+ 1094: 6fa0.*
+ 1095: 0e00.*
+ 1096: 01a0.*
+ 1097: 01a0.*
+ 1098: 6fa0.*
+ 1099: 0c01.*
+ 109a: 6fa0.*
+ 109b: 0d01.*
+ 109c: 6fa0.*
+ 109d: 0c01.*
+ 109e: 6fa0.*
+ 109f: 0e01.*
+ 10a0: 6fa0.*
+ 10a1: 0f01.*
+ 10a2: 6fa0.*
+ 10a3: 0f01.*
+ 10a4: 6fa0.*
+ 10a5: 0c02.*
+ 10a6: 6fa0.*
+ 10a7: 0d02.*
+ 10a8: 6fa0.*
+ 10a9: 0c02.*
+ 10aa: 6fa0.*
+ 10ab: 0e02.*
+ 10ac: 6fa0.*
+ 10ad: 0f02.*
+ 10ae: 6fa0.*
+ 10af: 0f02.*
+ 10b0: 6fa0.*
+ 10b1: 0c03.*
+ 10b2: 6fa0.*
+ 10b3: 0d03.*
+ 10b4: 6fa0.*
+ 10b5: 0c03.*
+ 10b6: 6fa0.*
+ 10b7: 0e03.*
+ 10b8: 6fa0.*
+ 10b9: 0f03.*
+ 10ba: 6fa0.*
+ 10bb: 0f03.*
+ 10bc: 6fa0.*
+ 10bd: 0c04.*
+ 10be: 6fa0.*
+ 10bf: 0d04.*
+ 10c0: 6fa0.*
+ 10c1: 0c04.*
+ 10c2: 6fa0.*
+ 10c3: 0e04.*
+ 10c4: 6fa0.*
+ 10c5: 0f04.*
+ 10c6: 6fa0.*
+ 10c7: 0f04.*
+ 10c8: 6fa0.*
+ 10c9: 0c05.*
+ 10ca: 6fa0.*
+ 10cb: 0d05.*
+ 10cc: 6fa0.*
+ 10cd: 0c05.*
+ 10ce: 6fa0.*
+ 10cf: 0e05.*
+ 10d0: 6fa0.*
+ 10d1: 0f05.*
+ 10d2: 6fa0.*
+ 10d3: 0f05.*
+ 10d4: 6fa0.*
+ 10d5: 0c06.*
+ 10d6: 6fa0.*
+ 10d7: 0d06.*
+ 10d8: 6fa0.*
+ 10d9: 0c06.*
+ 10da: 6fa0.*
+ 10db: 0e06.*
+ 10dc: 6fa0.*
+ 10dd: 0f06.*
+ 10de: 6fa0.*
+ 10df: 0f06.*
+ 10e0: 6fa0.*
+ 10e1: 0c07.*
+ 10e2: 6fa0.*
+ 10e3: 0d07.*
+ 10e4: 6fa0.*
+ 10e5: 0c07.*
+ 10e6: 6fa0.*
+ 10e7: 0e07.*
+ 10e8: 6fa0.*
+ 10e9: 0f07.*
+ 10ea: 6fa0.*
+ 10eb: 0f07.*
+ 10ec: 6fa0.*
+ 10ed: 0c08.*
+ 10ee: 6fa0.*
+ 10ef: 0d08.*
+ 10f0: 6fa0.*
+ 10f1: 0c08.*
+ 10f2: 6fa0.*
+ 10f3: 0e08.*
+ 10f4: 6fa0.*
+ 10f5: 0f08.*
+ 10f6: 6fa0.*
+ 10f7: 0f08.*
+ 10f8: 6fa0.*
+ 10f9: 0c09.*
+ 10fa: 6fa0.*
+ 10fb: 0d09.*
+ 10fc: 6fa0.*
+ 10fd: 0c09.*
+ 10fe: 6fa0.*
+ 10ff: 0e09.*
+ 1100: 6fa0.*
+ 1101: 0f09.*
+ 1102: 6fa0.*
+ 1103: 0f09.*
+ 1104: 6fa0.*
+ 1105: 0c0a.*
+ 1106: 6fa0.*
+ 1107: 0d0a.*
+ 1108: 6fa0.*
+ 1109: 0c0a.*
+ 110a: 6fa0.*
+ 110b: 0e0a.*
+ 110c: 6fa0.*
+ 110d: 0f0a.*
+ 110e: 6fa0.*
+ 110f: 0f0a.*
+ 1110: 6fa0.*
+ 1111: 0c0b.*
+ 1112: 6fa0.*
+ 1113: 0d0b.*
+ 1114: 6fa0.*
+ 1115: 0c0b.*
+ 1116: 6fa0.*
+ 1117: 0e0b.*
+ 1118: 6fa0.*
+ 1119: 0f0b.*
+ 111a: 6fa0.*
+ 111b: 0f0b.*
+ 111c: 6fa0.*
+ 111d: 0c0c.*
+ 111e: 6fa0.*
+ 111f: 0d0c.*
+ 1120: 6fa0.*
+ 1121: 0c0c.*
+ 1122: 6fa0.*
+ 1123: 0e0c.*
+ 1124: 6fa0.*
+ 1125: 0f0c.*
+ 1126: 6fa0.*
+ 1127: 0f0c.*
+ 1128: 6fa0.*
+ 1129: 0c0d.*
+ 112a: 6fa0.*
+ 112b: 0d0d.*
+ 112c: 6fa0.*
+ 112d: 0c0d.*
+ 112e: 6fa0.*
+ 112f: 0e0d.*
+ 1130: 6fa0.*
+ 1131: 0f0d.*
+ 1132: 6fa0.*
+ 1133: 0f0d.*
+ 1134: 6fa0.*
+ 1135: 0c0e.*
+ 1136: 6fa0.*
+ 1137: 0d0e.*
+ 1138: 6fa0.*
+ 1139: 0c0e.*
+ 113a: 6fa0.*
+ 113b: 0e0e.*
+ 113c: 6fa0.*
+ 113d: 0f0e.*
+ 113e: 6fa0.*
+ 113f: 0f0e.*
+ 1140: 6fa0.*
+ 1141: 0c0f.*
+ 1142: 6fa0.*
+ 1143: 0d0f.*
+ 1144: 6fa0.*
+ 1145: 0c0f.*
+ 1146: 6fa0.*
+ 1147: 0e0f.*
+ 1148: 6fa0.*
+ 1149: 0f0f.*
+ 114a: 6fa0.*
+ 114b: 0f0f.*
+ 114c: 00a8.*
+ 114d: 6fa8.*
+ 114e: 0d00.*
+ 114f: 00a8.*
+ 1150: 6fa8.*
+ 1151: 0e00.*
+ 1152: 01a8.*
+ 1153: 01a8.*
+ 1154: 6fa8.*
+ 1155: 0c10.*
+ 1156: 6fa8.*
+ 1157: 0d10.*
+ 1158: 6fa8.*
+ 1159: 0c10.*
+ 115a: 6fa8.*
+ 115b: 0e10.*
+ 115c: 6fa8.*
+ 115d: 0f10.*
+ 115e: 6fa8.*
+ 115f: 0f10.*
+ 1160: 6fa8.*
+ 1161: 0c11.*
+ 1162: 6fa8.*
+ 1163: 0d11.*
+ 1164: 6fa8.*
+ 1165: 0c11.*
+ 1166: 6fa8.*
+ 1167: 0e11.*
+ 1168: 6fa8.*
+ 1169: 0f11.*
+ 116a: 6fa8.*
+ 116b: 0f11.*
+ 116c: 6fa8.*
+ 116d: 0c12.*
+ 116e: 6fa8.*
+ 116f: 0d12.*
+ 1170: 6fa8.*
+ 1171: 0c12.*
+ 1172: 6fa8.*
+ 1173: 0e12.*
+ 1174: 6fa8.*
+ 1175: 0f12.*
+ 1176: 6fa8.*
+ 1177: 0f12.*
+ 1178: 6fa8.*
+ 1179: 0c13.*
+ 117a: 6fa8.*
+ 117b: 0d13.*
+ 117c: 6fa8.*
+ 117d: 0c13.*
+ 117e: 6fa8.*
+ 117f: 0e13.*
+ 1180: 6fa8.*
+ 1181: 0f13.*
+ 1182: 6fa8.*
+ 1183: 0f13.*
+ 1184: 6fa8.*
+ 1185: 0c14.*
+ 1186: 6fa8.*
+ 1187: 0d14.*
+ 1188: 6fa8.*
+ 1189: 0c14.*
+ 118a: 6fa8.*
+ 118b: 0e14.*
+ 118c: 6fa8.*
+ 118d: 0f14.*
+ 118e: 6fa8.*
+ 118f: 0f14.*
+ 1190: 6fa8.*
+ 1191: 0c15.*
+ 1192: 6fa8.*
+ 1193: 0d15.*
+ 1194: 6fa8.*
+ 1195: 0c15.*
+ 1196: 6fa8.*
+ 1197: 0e15.*
+ 1198: 6fa8.*
+ 1199: 0f15.*
+ 119a: 6fa8.*
+ 119b: 0f15.*
+ 119c: 6fa8.*
+ 119d: 0c16.*
+ 119e: 6fa8.*
+ 119f: 0d16.*
+ 11a0: 6fa8.*
+ 11a1: 0c16.*
+ 11a2: 6fa8.*
+ 11a3: 0e16.*
+ 11a4: 6fa8.*
+ 11a5: 0f16.*
+ 11a6: 6fa8.*
+ 11a7: 0f16.*
+ 11a8: 6fa8.*
+ 11a9: 0c17.*
+ 11aa: 6fa8.*
+ 11ab: 0d17.*
+ 11ac: 6fa8.*
+ 11ad: 0c17.*
+ 11ae: 6fa8.*
+ 11af: 0e17.*
+ 11b0: 6fa8.*
+ 11b1: 0f17.*
+ 11b2: 6fa8.*
+ 11b3: 0f17.*
+ 11b4: 6fa8.*
+ 11b5: 0c18.*
+ 11b6: 6fa8.*
+ 11b7: 0d18.*
+ 11b8: 6fa8.*
+ 11b9: 0c18.*
+ 11ba: 6fa8.*
+ 11bb: 0e18.*
+ 11bc: 6fa8.*
+ 11bd: 0f18.*
+ 11be: 6fa8.*
+ 11bf: 0f18.*
+ 11c0: 6fa8.*
+ 11c1: 0c19.*
+ 11c2: 6fa8.*
+ 11c3: 0d19.*
+ 11c4: 6fa8.*
+ 11c5: 0c19.*
+ 11c6: 6fa8.*
+ 11c7: 0e19.*
+ 11c8: 6fa8.*
+ 11c9: 0f19.*
+ 11ca: 6fa8.*
+ 11cb: 0f19.*
+ 11cc: 6fa8.*
+ 11cd: 0c1a.*
+ 11ce: 6fa8.*
+ 11cf: 0d1a.*
+ 11d0: 6fa8.*
+ 11d1: 0c1a.*
+ 11d2: 6fa8.*
+ 11d3: 0e1a.*
+ 11d4: 6fa8.*
+ 11d5: 0f1a.*
+ 11d6: 6fa8.*
+ 11d7: 0f1a.*
+ 11d8: 6fa8.*
+ 11d9: 0c1b.*
+ 11da: 6fa8.*
+ 11db: 0d1b.*
+ 11dc: 6fa8.*
+ 11dd: 0c1b.*
+ 11de: 6fa8.*
+ 11df: 0e1b.*
+ 11e0: 6fa8.*
+ 11e1: 0f1b.*
+ 11e2: 6fa8.*
+ 11e3: 0f1b.*
+ 11e4: 6fa8.*
+ 11e5: 0c1c.*
+ 11e6: 6fa8.*
+ 11e7: 0d1c.*
+ 11e8: 6fa8.*
+ 11e9: 0c1c.*
+ 11ea: 6fa8.*
+ 11eb: 0e1c.*
+ 11ec: 6fa8.*
+ 11ed: 0f1c.*
+ 11ee: 6fa8.*
+ 11ef: 0f1c.*
+ 11f0: 6fa8.*
+ 11f1: 0c1d.*
+ 11f2: 6fa8.*
+ 11f3: 0d1d.*
+ 11f4: 6fa8.*
+ 11f5: 0c1d.*
+ 11f6: 6fa8.*
+ 11f7: 0e1d.*
+ 11f8: 6fa8.*
+ 11f9: 0f1d.*
+ 11fa: 6fa8.*
+ 11fb: 0f1d.*
+ 11fc: 6fa8.*
+ 11fd: 0c1e.*
+ 11fe: 6fa8.*
+ 11ff: 0d1e.*
+ 1200: 6fa8.*
+ 1201: 0c1e.*
+ 1202: 6fa8.*
+ 1203: 0e1e.*
+ 1204: 6fa8.*
+ 1205: 0f1e.*
+ 1206: 6fa8.*
+ 1207: 0f1e.*
+ 1208: 6fa8.*
+ 1209: 0c1f.*
+ 120a: 6fa8.*
+ 120b: 0d1f.*
+ 120c: 6fa8.*
+ 120d: 0c1f.*
+ 120e: 6fa8.*
+ 120f: 0e1f.*
+ 1210: 6fa8.*
+ 1211: 0f1f.*
+ 1212: 6fa8.*
+ 1213: 0f1f.*
+ 1214: 00a8.*
+ 1215: 6fa8.*
+ 1216: 0d00.*
+ 1217: 00a8.*
+ 1218: 6fa8.*
+ 1219: 0e00.*
+ 121a: 01a8.*
+ 121b: 01a8.*
+ 121c: 6fa8.*
+ 121d: 0c01.*
+ 121e: 6fa8.*
+ 121f: 0d01.*
+ 1220: 6fa8.*
+ 1221: 0c01.*
+ 1222: 6fa8.*
+ 1223: 0e01.*
+ 1224: 6fa8.*
+ 1225: 0f01.*
+ 1226: 6fa8.*
+ 1227: 0f01.*
+ 1228: 6fa8.*
+ 1229: 0c02.*
+ 122a: 6fa8.*
+ 122b: 0d02.*
+ 122c: 6fa8.*
+ 122d: 0c02.*
+ 122e: 6fa8.*
+ 122f: 0e02.*
+ 1230: 6fa8.*
+ 1231: 0f02.*
+ 1232: 6fa8.*
+ 1233: 0f02.*
+ 1234: 6fa8.*
+ 1235: 0c03.*
+ 1236: 6fa8.*
+ 1237: 0d03.*
+ 1238: 6fa8.*
+ 1239: 0c03.*
+ 123a: 6fa8.*
+ 123b: 0e03.*
+ 123c: 6fa8.*
+ 123d: 0f03.*
+ 123e: 6fa8.*
+ 123f: 0f03.*
+ 1240: 6fa8.*
+ 1241: 0c04.*
+ 1242: 6fa8.*
+ 1243: 0d04.*
+ 1244: 6fa8.*
+ 1245: 0c04.*
+ 1246: 6fa8.*
+ 1247: 0e04.*
+ 1248: 6fa8.*
+ 1249: 0f04.*
+ 124a: 6fa8.*
+ 124b: 0f04.*
+ 124c: 6fa8.*
+ 124d: 0c05.*
+ 124e: 6fa8.*
+ 124f: 0d05.*
+ 1250: 6fa8.*
+ 1251: 0c05.*
+ 1252: 6fa8.*
+ 1253: 0e05.*
+ 1254: 6fa8.*
+ 1255: 0f05.*
+ 1256: 6fa8.*
+ 1257: 0f05.*
+ 1258: 6fa8.*
+ 1259: 0c06.*
+ 125a: 6fa8.*
+ 125b: 0d06.*
+ 125c: 6fa8.*
+ 125d: 0c06.*
+ 125e: 6fa8.*
+ 125f: 0e06.*
+ 1260: 6fa8.*
+ 1261: 0f06.*
+ 1262: 6fa8.*
+ 1263: 0f06.*
+ 1264: 6fa8.*
+ 1265: 0c07.*
+ 1266: 6fa8.*
+ 1267: 0d07.*
+ 1268: 6fa8.*
+ 1269: 0c07.*
+ 126a: 6fa8.*
+ 126b: 0e07.*
+ 126c: 6fa8.*
+ 126d: 0f07.*
+ 126e: 6fa8.*
+ 126f: 0f07.*
+ 1270: 6fa8.*
+ 1271: 0c08.*
+ 1272: 6fa8.*
+ 1273: 0d08.*
+ 1274: 6fa8.*
+ 1275: 0c08.*
+ 1276: 6fa8.*
+ 1277: 0e08.*
+ 1278: 6fa8.*
+ 1279: 0f08.*
+ 127a: 6fa8.*
+ 127b: 0f08.*
+ 127c: 6fa8.*
+ 127d: 0c09.*
+ 127e: 6fa8.*
+ 127f: 0d09.*
+ 1280: 6fa8.*
+ 1281: 0c09.*
+ 1282: 6fa8.*
+ 1283: 0e09.*
+ 1284: 6fa8.*
+ 1285: 0f09.*
+ 1286: 6fa8.*
+ 1287: 0f09.*
+ 1288: 6fa8.*
+ 1289: 0c0a.*
+ 128a: 6fa8.*
+ 128b: 0d0a.*
+ 128c: 6fa8.*
+ 128d: 0c0a.*
+ 128e: 6fa8.*
+ 128f: 0e0a.*
+ 1290: 6fa8.*
+ 1291: 0f0a.*
+ 1292: 6fa8.*
+ 1293: 0f0a.*
+ 1294: 6fa8.*
+ 1295: 0c0b.*
+ 1296: 6fa8.*
+ 1297: 0d0b.*
+ 1298: 6fa8.*
+ 1299: 0c0b.*
+ 129a: 6fa8.*
+ 129b: 0e0b.*
+ 129c: 6fa8.*
+ 129d: 0f0b.*
+ 129e: 6fa8.*
+ 129f: 0f0b.*
+ 12a0: 6fa8.*
+ 12a1: 0c0c.*
+ 12a2: 6fa8.*
+ 12a3: 0d0c.*
+ 12a4: 6fa8.*
+ 12a5: 0c0c.*
+ 12a6: 6fa8.*
+ 12a7: 0e0c.*
+ 12a8: 6fa8.*
+ 12a9: 0f0c.*
+ 12aa: 6fa8.*
+ 12ab: 0f0c.*
+ 12ac: 6fa8.*
+ 12ad: 0c0d.*
+ 12ae: 6fa8.*
+ 12af: 0d0d.*
+ 12b0: 6fa8.*
+ 12b1: 0c0d.*
+ 12b2: 6fa8.*
+ 12b3: 0e0d.*
+ 12b4: 6fa8.*
+ 12b5: 0f0d.*
+ 12b6: 6fa8.*
+ 12b7: 0f0d.*
+ 12b8: 6fa8.*
+ 12b9: 0c0e.*
+ 12ba: 6fa8.*
+ 12bb: 0d0e.*
+ 12bc: 6fa8.*
+ 12bd: 0c0e.*
+ 12be: 6fa8.*
+ 12bf: 0e0e.*
+ 12c0: 6fa8.*
+ 12c1: 0f0e.*
+ 12c2: 6fa8.*
+ 12c3: 0f0e.*
+ 12c4: 6fa8.*
+ 12c5: 0c0f.*
+ 12c6: 6fa8.*
+ 12c7: 0d0f.*
+ 12c8: 6fa8.*
+ 12c9: 0c0f.*
+ 12ca: 6fa8.*
+ 12cb: 0e0f.*
+ 12cc: 6fa8.*
+ 12cd: 0f0f.*
+ 12ce: 6fa8.*
+ 12cf: 0f0f.*
+ 12d0: 00b0.*
+ 12d1: 6fb0.*
+ 12d2: 0d00.*
+ 12d3: 00b0.*
+ 12d4: 6fb0.*
+ 12d5: 0e00.*
+ 12d6: 01b0.*
+ 12d7: 01b0.*
+ 12d8: 6fb0.*
+ 12d9: 0c10.*
+ 12da: 6fb0.*
+ 12db: 0d10.*
+ 12dc: 6fb0.*
+ 12dd: 0c10.*
+ 12de: 6fb0.*
+ 12df: 0e10.*
+ 12e0: 6fb0.*
+ 12e1: 0f10.*
+ 12e2: 6fb0.*
+ 12e3: 0f10.*
+ 12e4: 6fb0.*
+ 12e5: 0c11.*
+ 12e6: 6fb0.*
+ 12e7: 0d11.*
+ 12e8: 6fb0.*
+ 12e9: 0c11.*
+ 12ea: 6fb0.*
+ 12eb: 0e11.*
+ 12ec: 6fb0.*
+ 12ed: 0f11.*
+ 12ee: 6fb0.*
+ 12ef: 0f11.*
+ 12f0: 6fb0.*
+ 12f1: 0c12.*
+ 12f2: 6fb0.*
+ 12f3: 0d12.*
+ 12f4: 6fb0.*
+ 12f5: 0c12.*
+ 12f6: 6fb0.*
+ 12f7: 0e12.*
+ 12f8: 6fb0.*
+ 12f9: 0f12.*
+ 12fa: 6fb0.*
+ 12fb: 0f12.*
+ 12fc: 6fb0.*
+ 12fd: 0c13.*
+ 12fe: 6fb0.*
+ 12ff: 0d13.*
+ 1300: 6fb0.*
+ 1301: 0c13.*
+ 1302: 6fb0.*
+ 1303: 0e13.*
+ 1304: 6fb0.*
+ 1305: 0f13.*
+ 1306: 6fb0.*
+ 1307: 0f13.*
+ 1308: 6fb0.*
+ 1309: 0c14.*
+ 130a: 6fb0.*
+ 130b: 0d14.*
+ 130c: 6fb0.*
+ 130d: 0c14.*
+ 130e: 6fb0.*
+ 130f: 0e14.*
+ 1310: 6fb0.*
+ 1311: 0f14.*
+ 1312: 6fb0.*
+ 1313: 0f14.*
+ 1314: 6fb0.*
+ 1315: 0c15.*
+ 1316: 6fb0.*
+ 1317: 0d15.*
+ 1318: 6fb0.*
+ 1319: 0c15.*
+ 131a: 6fb0.*
+ 131b: 0e15.*
+ 131c: 6fb0.*
+ 131d: 0f15.*
+ 131e: 6fb0.*
+ 131f: 0f15.*
+ 1320: 6fb0.*
+ 1321: 0c16.*
+ 1322: 6fb0.*
+ 1323: 0d16.*
+ 1324: 6fb0.*
+ 1325: 0c16.*
+ 1326: 6fb0.*
+ 1327: 0e16.*
+ 1328: 6fb0.*
+ 1329: 0f16.*
+ 132a: 6fb0.*
+ 132b: 0f16.*
+ 132c: 6fb0.*
+ 132d: 0c17.*
+ 132e: 6fb0.*
+ 132f: 0d17.*
+ 1330: 6fb0.*
+ 1331: 0c17.*
+ 1332: 6fb0.*
+ 1333: 0e17.*
+ 1334: 6fb0.*
+ 1335: 0f17.*
+ 1336: 6fb0.*
+ 1337: 0f17.*
+ 1338: 6fb0.*
+ 1339: 0c18.*
+ 133a: 6fb0.*
+ 133b: 0d18.*
+ 133c: 6fb0.*
+ 133d: 0c18.*
+ 133e: 6fb0.*
+ 133f: 0e18.*
+ 1340: 6fb0.*
+ 1341: 0f18.*
+ 1342: 6fb0.*
+ 1343: 0f18.*
+ 1344: 6fb0.*
+ 1345: 0c19.*
+ 1346: 6fb0.*
+ 1347: 0d19.*
+ 1348: 6fb0.*
+ 1349: 0c19.*
+ 134a: 6fb0.*
+ 134b: 0e19.*
+ 134c: 6fb0.*
+ 134d: 0f19.*
+ 134e: 6fb0.*
+ 134f: 0f19.*
+ 1350: 6fb0.*
+ 1351: 0c1a.*
+ 1352: 6fb0.*
+ 1353: 0d1a.*
+ 1354: 6fb0.*
+ 1355: 0c1a.*
+ 1356: 6fb0.*
+ 1357: 0e1a.*
+ 1358: 6fb0.*
+ 1359: 0f1a.*
+ 135a: 6fb0.*
+ 135b: 0f1a.*
+ 135c: 6fb0.*
+ 135d: 0c1b.*
+ 135e: 6fb0.*
+ 135f: 0d1b.*
+ 1360: 6fb0.*
+ 1361: 0c1b.*
+ 1362: 6fb0.*
+ 1363: 0e1b.*
+ 1364: 6fb0.*
+ 1365: 0f1b.*
+ 1366: 6fb0.*
+ 1367: 0f1b.*
+ 1368: 6fb0.*
+ 1369: 0c1c.*
+ 136a: 6fb0.*
+ 136b: 0d1c.*
+ 136c: 6fb0.*
+ 136d: 0c1c.*
+ 136e: 6fb0.*
+ 136f: 0e1c.*
+ 1370: 6fb0.*
+ 1371: 0f1c.*
+ 1372: 6fb0.*
+ 1373: 0f1c.*
+ 1374: 6fb0.*
+ 1375: 0c1d.*
+ 1376: 6fb0.*
+ 1377: 0d1d.*
+ 1378: 6fb0.*
+ 1379: 0c1d.*
+ 137a: 6fb0.*
+ 137b: 0e1d.*
+ 137c: 6fb0.*
+ 137d: 0f1d.*
+ 137e: 6fb0.*
+ 137f: 0f1d.*
+ 1380: 6fb0.*
+ 1381: 0c1e.*
+ 1382: 6fb0.*
+ 1383: 0d1e.*
+ 1384: 6fb0.*
+ 1385: 0c1e.*
+ 1386: 6fb0.*
+ 1387: 0e1e.*
+ 1388: 6fb0.*
+ 1389: 0f1e.*
+ 138a: 6fb0.*
+ 138b: 0f1e.*
+ 138c: 6fb0.*
+ 138d: 0c1f.*
+ 138e: 6fb0.*
+ 138f: 0d1f.*
+ 1390: 6fb0.*
+ 1391: 0c1f.*
+ 1392: 6fb0.*
+ 1393: 0e1f.*
+ 1394: 6fb0.*
+ 1395: 0f1f.*
+ 1396: 6fb0.*
+ 1397: 0f1f.*
+ 1398: 00b0.*
+ 1399: 6fb0.*
+ 139a: 0d00.*
+ 139b: 00b0.*
+ 139c: 6fb0.*
+ 139d: 0e00.*
+ 139e: 01b0.*
+ 139f: 01b0.*
+ 13a0: 6fb0.*
+ 13a1: 0c01.*
+ 13a2: 6fb0.*
+ 13a3: 0d01.*
+ 13a4: 6fb0.*
+ 13a5: 0c01.*
+ 13a6: 6fb0.*
+ 13a7: 0e01.*
+ 13a8: 6fb0.*
+ 13a9: 0f01.*
+ 13aa: 6fb0.*
+ 13ab: 0f01.*
+ 13ac: 6fb0.*
+ 13ad: 0c02.*
+ 13ae: 6fb0.*
+ 13af: 0d02.*
+ 13b0: 6fb0.*
+ 13b1: 0c02.*
+ 13b2: 6fb0.*
+ 13b3: 0e02.*
+ 13b4: 6fb0.*
+ 13b5: 0f02.*
+ 13b6: 6fb0.*
+ 13b7: 0f02.*
+ 13b8: 6fb0.*
+ 13b9: 0c03.*
+ 13ba: 6fb0.*
+ 13bb: 0d03.*
+ 13bc: 6fb0.*
+ 13bd: 0c03.*
+ 13be: 6fb0.*
+ 13bf: 0e03.*
+ 13c0: 6fb0.*
+ 13c1: 0f03.*
+ 13c2: 6fb0.*
+ 13c3: 0f03.*
+ 13c4: 6fb0.*
+ 13c5: 0c04.*
+ 13c6: 6fb0.*
+ 13c7: 0d04.*
+ 13c8: 6fb0.*
+ 13c9: 0c04.*
+ 13ca: 6fb0.*
+ 13cb: 0e04.*
+ 13cc: 6fb0.*
+ 13cd: 0f04.*
+ 13ce: 6fb0.*
+ 13cf: 0f04.*
+ 13d0: 6fb0.*
+ 13d1: 0c05.*
+ 13d2: 6fb0.*
+ 13d3: 0d05.*
+ 13d4: 6fb0.*
+ 13d5: 0c05.*
+ 13d6: 6fb0.*
+ 13d7: 0e05.*
+ 13d8: 6fb0.*
+ 13d9: 0f05.*
+ 13da: 6fb0.*
+ 13db: 0f05.*
+ 13dc: 6fb0.*
+ 13dd: 0c06.*
+ 13de: 6fb0.*
+ 13df: 0d06.*
+ 13e0: 6fb0.*
+ 13e1: 0c06.*
+ 13e2: 6fb0.*
+ 13e3: 0e06.*
+ 13e4: 6fb0.*
+ 13e5: 0f06.*
+ 13e6: 6fb0.*
+ 13e7: 0f06.*
+ 13e8: 6fb0.*
+ 13e9: 0c07.*
+ 13ea: 6fb0.*
+ 13eb: 0d07.*
+ 13ec: 6fb0.*
+ 13ed: 0c07.*
+ 13ee: 6fb0.*
+ 13ef: 0e07.*
+ 13f0: 6fb0.*
+ 13f1: 0f07.*
+ 13f2: 6fb0.*
+ 13f3: 0f07.*
+ 13f4: 6fb0.*
+ 13f5: 0c08.*
+ 13f6: 6fb0.*
+ 13f7: 0d08.*
+ 13f8: 6fb0.*
+ 13f9: 0c08.*
+ 13fa: 6fb0.*
+ 13fb: 0e08.*
+ 13fc: 6fb0.*
+ 13fd: 0f08.*
+ 13fe: 6fb0.*
+ 13ff: 0f08.*
+ 1400: 6fb0.*
+ 1401: 0c09.*
+ 1402: 6fb0.*
+ 1403: 0d09.*
+ 1404: 6fb0.*
+ 1405: 0c09.*
+ 1406: 6fb0.*
+ 1407: 0e09.*
+ 1408: 6fb0.*
+ 1409: 0f09.*
+ 140a: 6fb0.*
+ 140b: 0f09.*
+ 140c: 6fb0.*
+ 140d: 0c0a.*
+ 140e: 6fb0.*
+ 140f: 0d0a.*
+ 1410: 6fb0.*
+ 1411: 0c0a.*
+ 1412: 6fb0.*
+ 1413: 0e0a.*
+ 1414: 6fb0.*
+ 1415: 0f0a.*
+ 1416: 6fb0.*
+ 1417: 0f0a.*
+ 1418: 6fb0.*
+ 1419: 0c0b.*
+ 141a: 6fb0.*
+ 141b: 0d0b.*
+ 141c: 6fb0.*
+ 141d: 0c0b.*
+ 141e: 6fb0.*
+ 141f: 0e0b.*
+ 1420: 6fb0.*
+ 1421: 0f0b.*
+ 1422: 6fb0.*
+ 1423: 0f0b.*
+ 1424: 6fb0.*
+ 1425: 0c0c.*
+ 1426: 6fb0.*
+ 1427: 0d0c.*
+ 1428: 6fb0.*
+ 1429: 0c0c.*
+ 142a: 6fb0.*
+ 142b: 0e0c.*
+ 142c: 6fb0.*
+ 142d: 0f0c.*
+ 142e: 6fb0.*
+ 142f: 0f0c.*
+ 1430: 6fb0.*
+ 1431: 0c0d.*
+ 1432: 6fb0.*
+ 1433: 0d0d.*
+ 1434: 6fb0.*
+ 1435: 0c0d.*
+ 1436: 6fb0.*
+ 1437: 0e0d.*
+ 1438: 6fb0.*
+ 1439: 0f0d.*
+ 143a: 6fb0.*
+ 143b: 0f0d.*
+ 143c: 6fb0.*
+ 143d: 0c0e.*
+ 143e: 6fb0.*
+ 143f: 0d0e.*
+ 1440: 6fb0.*
+ 1441: 0c0e.*
+ 1442: 6fb0.*
+ 1443: 0e0e.*
+ 1444: 6fb0.*
+ 1445: 0f0e.*
+ 1446: 6fb0.*
+ 1447: 0f0e.*
+ 1448: 6fb0.*
+ 1449: 0c0f.*
+ 144a: 6fb0.*
+ 144b: 0d0f.*
+ 144c: 6fb0.*
+ 144d: 0c0f.*
+ 144e: 6fb0.*
+ 144f: 0e0f.*
+ 1450: 6fb0.*
+ 1451: 0f0f.*
+ 1452: 6fb0.*
+ 1453: 0f0f.*
+ 1454: 00b8.*
+ 1455: 6fb8.*
+ 1456: 0d00.*
+ 1457: 00b8.*
+ 1458: 6fb8.*
+ 1459: 0e00.*
+ 145a: 01b8.*
+ 145b: 01b8.*
+ 145c: 6fb8.*
+ 145d: 0c10.*
+ 145e: 6fb8.*
+ 145f: 0d10.*
+ 1460: 6fb8.*
+ 1461: 0c10.*
+ 1462: 6fb8.*
+ 1463: 0e10.*
+ 1464: 6fb8.*
+ 1465: 0f10.*
+ 1466: 6fb8.*
+ 1467: 0f10.*
+ 1468: 6fb8.*
+ 1469: 0c11.*
+ 146a: 6fb8.*
+ 146b: 0d11.*
+ 146c: 6fb8.*
+ 146d: 0c11.*
+ 146e: 6fb8.*
+ 146f: 0e11.*
+ 1470: 6fb8.*
+ 1471: 0f11.*
+ 1472: 6fb8.*
+ 1473: 0f11.*
+ 1474: 6fb8.*
+ 1475: 0c12.*
+ 1476: 6fb8.*
+ 1477: 0d12.*
+ 1478: 6fb8.*
+ 1479: 0c12.*
+ 147a: 6fb8.*
+ 147b: 0e12.*
+ 147c: 6fb8.*
+ 147d: 0f12.*
+ 147e: 6fb8.*
+ 147f: 0f12.*
+ 1480: 6fb8.*
+ 1481: 0c13.*
+ 1482: 6fb8.*
+ 1483: 0d13.*
+ 1484: 6fb8.*
+ 1485: 0c13.*
+ 1486: 6fb8.*
+ 1487: 0e13.*
+ 1488: 6fb8.*
+ 1489: 0f13.*
+ 148a: 6fb8.*
+ 148b: 0f13.*
+ 148c: 6fb8.*
+ 148d: 0c14.*
+ 148e: 6fb8.*
+ 148f: 0d14.*
+ 1490: 6fb8.*
+ 1491: 0c14.*
+ 1492: 6fb8.*
+ 1493: 0e14.*
+ 1494: 6fb8.*
+ 1495: 0f14.*
+ 1496: 6fb8.*
+ 1497: 0f14.*
+ 1498: 6fb8.*
+ 1499: 0c15.*
+ 149a: 6fb8.*
+ 149b: 0d15.*
+ 149c: 6fb8.*
+ 149d: 0c15.*
+ 149e: 6fb8.*
+ 149f: 0e15.*
+ 14a0: 6fb8.*
+ 14a1: 0f15.*
+ 14a2: 6fb8.*
+ 14a3: 0f15.*
+ 14a4: 6fb8.*
+ 14a5: 0c16.*
+ 14a6: 6fb8.*
+ 14a7: 0d16.*
+ 14a8: 6fb8.*
+ 14a9: 0c16.*
+ 14aa: 6fb8.*
+ 14ab: 0e16.*
+ 14ac: 6fb8.*
+ 14ad: 0f16.*
+ 14ae: 6fb8.*
+ 14af: 0f16.*
+ 14b0: 6fb8.*
+ 14b1: 0c17.*
+ 14b2: 6fb8.*
+ 14b3: 0d17.*
+ 14b4: 6fb8.*
+ 14b5: 0c17.*
+ 14b6: 6fb8.*
+ 14b7: 0e17.*
+ 14b8: 6fb8.*
+ 14b9: 0f17.*
+ 14ba: 6fb8.*
+ 14bb: 0f17.*
+ 14bc: 6fb8.*
+ 14bd: 0c18.*
+ 14be: 6fb8.*
+ 14bf: 0d18.*
+ 14c0: 6fb8.*
+ 14c1: 0c18.*
+ 14c2: 6fb8.*
+ 14c3: 0e18.*
+ 14c4: 6fb8.*
+ 14c5: 0f18.*
+ 14c6: 6fb8.*
+ 14c7: 0f18.*
+ 14c8: 6fb8.*
+ 14c9: 0c19.*
+ 14ca: 6fb8.*
+ 14cb: 0d19.*
+ 14cc: 6fb8.*
+ 14cd: 0c19.*
+ 14ce: 6fb8.*
+ 14cf: 0e19.*
+ 14d0: 6fb8.*
+ 14d1: 0f19.*
+ 14d2: 6fb8.*
+ 14d3: 0f19.*
+ 14d4: 6fb8.*
+ 14d5: 0c1a.*
+ 14d6: 6fb8.*
+ 14d7: 0d1a.*
+ 14d8: 6fb8.*
+ 14d9: 0c1a.*
+ 14da: 6fb8.*
+ 14db: 0e1a.*
+ 14dc: 6fb8.*
+ 14dd: 0f1a.*
+ 14de: 6fb8.*
+ 14df: 0f1a.*
+ 14e0: 6fb8.*
+ 14e1: 0c1b.*
+ 14e2: 6fb8.*
+ 14e3: 0d1b.*
+ 14e4: 6fb8.*
+ 14e5: 0c1b.*
+ 14e6: 6fb8.*
+ 14e7: 0e1b.*
+ 14e8: 6fb8.*
+ 14e9: 0f1b.*
+ 14ea: 6fb8.*
+ 14eb: 0f1b.*
+ 14ec: 6fb8.*
+ 14ed: 0c1c.*
+ 14ee: 6fb8.*
+ 14ef: 0d1c.*
+ 14f0: 6fb8.*
+ 14f1: 0c1c.*
+ 14f2: 6fb8.*
+ 14f3: 0e1c.*
+ 14f4: 6fb8.*
+ 14f5: 0f1c.*
+ 14f6: 6fb8.*
+ 14f7: 0f1c.*
+ 14f8: 6fb8.*
+ 14f9: 0c1d.*
+ 14fa: 6fb8.*
+ 14fb: 0d1d.*
+ 14fc: 6fb8.*
+ 14fd: 0c1d.*
+ 14fe: 6fb8.*
+ 14ff: 0e1d.*
+ 1500: 6fb8.*
+ 1501: 0f1d.*
+ 1502: 6fb8.*
+ 1503: 0f1d.*
+ 1504: 6fb8.*
+ 1505: 0c1e.*
+ 1506: 6fb8.*
+ 1507: 0d1e.*
+ 1508: 6fb8.*
+ 1509: 0c1e.*
+ 150a: 6fb8.*
+ 150b: 0e1e.*
+ 150c: 6fb8.*
+ 150d: 0f1e.*
+ 150e: 6fb8.*
+ 150f: 0f1e.*
+ 1510: 6fb8.*
+ 1511: 0c1f.*
+ 1512: 6fb8.*
+ 1513: 0d1f.*
+ 1514: 6fb8.*
+ 1515: 0c1f.*
+ 1516: 6fb8.*
+ 1517: 0e1f.*
+ 1518: 6fb8.*
+ 1519: 0f1f.*
+ 151a: 6fb8.*
+ 151b: 0f1f.*
+ 151c: 00b8.*
+ 151d: 6fb8.*
+ 151e: 0d00.*
+ 151f: 00b8.*
+ 1520: 6fb8.*
+ 1521: 0e00.*
+ 1522: 01b8.*
+ 1523: 01b8.*
+ 1524: 6fb8.*
+ 1525: 0c01.*
+ 1526: 6fb8.*
+ 1527: 0d01.*
+ 1528: 6fb8.*
+ 1529: 0c01.*
+ 152a: 6fb8.*
+ 152b: 0e01.*
+ 152c: 6fb8.*
+ 152d: 0f01.*
+ 152e: 6fb8.*
+ 152f: 0f01.*
+ 1530: 6fb8.*
+ 1531: 0c02.*
+ 1532: 6fb8.*
+ 1533: 0d02.*
+ 1534: 6fb8.*
+ 1535: 0c02.*
+ 1536: 6fb8.*
+ 1537: 0e02.*
+ 1538: 6fb8.*
+ 1539: 0f02.*
+ 153a: 6fb8.*
+ 153b: 0f02.*
+ 153c: 6fb8.*
+ 153d: 0c03.*
+ 153e: 6fb8.*
+ 153f: 0d03.*
+ 1540: 6fb8.*
+ 1541: 0c03.*
+ 1542: 6fb8.*
+ 1543: 0e03.*
+ 1544: 6fb8.*
+ 1545: 0f03.*
+ 1546: 6fb8.*
+ 1547: 0f03.*
+ 1548: 6fb8.*
+ 1549: 0c04.*
+ 154a: 6fb8.*
+ 154b: 0d04.*
+ 154c: 6fb8.*
+ 154d: 0c04.*
+ 154e: 6fb8.*
+ 154f: 0e04.*
+ 1550: 6fb8.*
+ 1551: 0f04.*
+ 1552: 6fb8.*
+ 1553: 0f04.*
+ 1554: 6fb8.*
+ 1555: 0c05.*
+ 1556: 6fb8.*
+ 1557: 0d05.*
+ 1558: 6fb8.*
+ 1559: 0c05.*
+ 155a: 6fb8.*
+ 155b: 0e05.*
+ 155c: 6fb8.*
+ 155d: 0f05.*
+ 155e: 6fb8.*
+ 155f: 0f05.*
+ 1560: 6fb8.*
+ 1561: 0c06.*
+ 1562: 6fb8.*
+ 1563: 0d06.*
+ 1564: 6fb8.*
+ 1565: 0c06.*
+ 1566: 6fb8.*
+ 1567: 0e06.*
+ 1568: 6fb8.*
+ 1569: 0f06.*
+ 156a: 6fb8.*
+ 156b: 0f06.*
+ 156c: 6fb8.*
+ 156d: 0c07.*
+ 156e: 6fb8.*
+ 156f: 0d07.*
+ 1570: 6fb8.*
+ 1571: 0c07.*
+ 1572: 6fb8.*
+ 1573: 0e07.*
+ 1574: 6fb8.*
+ 1575: 0f07.*
+ 1576: 6fb8.*
+ 1577: 0f07.*
+ 1578: 6fb8.*
+ 1579: 0c08.*
+ 157a: 6fb8.*
+ 157b: 0d08.*
+ 157c: 6fb8.*
+ 157d: 0c08.*
+ 157e: 6fb8.*
+ 157f: 0e08.*
+ 1580: 6fb8.*
+ 1581: 0f08.*
+ 1582: 6fb8.*
+ 1583: 0f08.*
+ 1584: 6fb8.*
+ 1585: 0c09.*
+ 1586: 6fb8.*
+ 1587: 0d09.*
+ 1588: 6fb8.*
+ 1589: 0c09.*
+ 158a: 6fb8.*
+ 158b: 0e09.*
+ 158c: 6fb8.*
+ 158d: 0f09.*
+ 158e: 6fb8.*
+ 158f: 0f09.*
+ 1590: 6fb8.*
+ 1591: 0c0a.*
+ 1592: 6fb8.*
+ 1593: 0d0a.*
+ 1594: 6fb8.*
+ 1595: 0c0a.*
+ 1596: 6fb8.*
+ 1597: 0e0a.*
+ 1598: 6fb8.*
+ 1599: 0f0a.*
+ 159a: 6fb8.*
+ 159b: 0f0a.*
+ 159c: 6fb8.*
+ 159d: 0c0b.*
+ 159e: 6fb8.*
+ 159f: 0d0b.*
+ 15a0: 6fb8.*
+ 15a1: 0c0b.*
+ 15a2: 6fb8.*
+ 15a3: 0e0b.*
+ 15a4: 6fb8.*
+ 15a5: 0f0b.*
+ 15a6: 6fb8.*
+ 15a7: 0f0b.*
+ 15a8: 6fb8.*
+ 15a9: 0c0c.*
+ 15aa: 6fb8.*
+ 15ab: 0d0c.*
+ 15ac: 6fb8.*
+ 15ad: 0c0c.*
+ 15ae: 6fb8.*
+ 15af: 0e0c.*
+ 15b0: 6fb8.*
+ 15b1: 0f0c.*
+ 15b2: 6fb8.*
+ 15b3: 0f0c.*
+ 15b4: 6fb8.*
+ 15b5: 0c0d.*
+ 15b6: 6fb8.*
+ 15b7: 0d0d.*
+ 15b8: 6fb8.*
+ 15b9: 0c0d.*
+ 15ba: 6fb8.*
+ 15bb: 0e0d.*
+ 15bc: 6fb8.*
+ 15bd: 0f0d.*
+ 15be: 6fb8.*
+ 15bf: 0f0d.*
+ 15c0: 6fb8.*
+ 15c1: 0c0e.*
+ 15c2: 6fb8.*
+ 15c3: 0d0e.*
+ 15c4: 6fb8.*
+ 15c5: 0c0e.*
+ 15c6: 6fb8.*
+ 15c7: 0e0e.*
+ 15c8: 6fb8.*
+ 15c9: 0f0e.*
+ 15ca: 6fb8.*
+ 15cb: 0f0e.*
+ 15cc: 6fb8.*
+ 15cd: 0c0f.*
+ 15ce: 6fb8.*
+ 15cf: 0d0f.*
+ 15d0: 6fb8.*
+ 15d1: 0c0f.*
+ 15d2: 6fb8.*
+ 15d3: 0e0f.*
+ 15d4: 6fb8.*
+ 15d5: 0f0f.*
+ 15d6: 6fb8.*
+ 15d7: 0f0f.*
+ 15d8: 00c0.*
+ 15d9: 6fc0.*
+ 15da: 0d00.*
+ 15db: 00c0.*
+ 15dc: 6fc0.*
+ 15dd: 0e00.*
+ 15de: 01c0.*
+ 15df: 01c0.*
+ 15e0: 6fc0.*
+ 15e1: 0c10.*
+ 15e2: 6fc0.*
+ 15e3: 0d10.*
+ 15e4: 6fc0.*
+ 15e5: 0c10.*
+ 15e6: 6fc0.*
+ 15e7: 0e10.*
+ 15e8: 6fc0.*
+ 15e9: 0f10.*
+ 15ea: 6fc0.*
+ 15eb: 0f10.*
+ 15ec: 6fc0.*
+ 15ed: 0c11.*
+ 15ee: 6fc0.*
+ 15ef: 0d11.*
+ 15f0: 6fc0.*
+ 15f1: 0c11.*
+ 15f2: 6fc0.*
+ 15f3: 0e11.*
+ 15f4: 6fc0.*
+ 15f5: 0f11.*
+ 15f6: 6fc0.*
+ 15f7: 0f11.*
+ 15f8: 6fc0.*
+ 15f9: 0c12.*
+ 15fa: 6fc0.*
+ 15fb: 0d12.*
+ 15fc: 6fc0.*
+ 15fd: 0c12.*
+ 15fe: 6fc0.*
+ 15ff: 0e12.*
+ 1600: 6fc0.*
+ 1601: 0f12.*
+ 1602: 6fc0.*
+ 1603: 0f12.*
+ 1604: 6fc0.*
+ 1605: 0c13.*
+ 1606: 6fc0.*
+ 1607: 0d13.*
+ 1608: 6fc0.*
+ 1609: 0c13.*
+ 160a: 6fc0.*
+ 160b: 0e13.*
+ 160c: 6fc0.*
+ 160d: 0f13.*
+ 160e: 6fc0.*
+ 160f: 0f13.*
+ 1610: 6fc0.*
+ 1611: 0c14.*
+ 1612: 6fc0.*
+ 1613: 0d14.*
+ 1614: 6fc0.*
+ 1615: 0c14.*
+ 1616: 6fc0.*
+ 1617: 0e14.*
+ 1618: 6fc0.*
+ 1619: 0f14.*
+ 161a: 6fc0.*
+ 161b: 0f14.*
+ 161c: 6fc0.*
+ 161d: 0c15.*
+ 161e: 6fc0.*
+ 161f: 0d15.*
+ 1620: 6fc0.*
+ 1621: 0c15.*
+ 1622: 6fc0.*
+ 1623: 0e15.*
+ 1624: 6fc0.*
+ 1625: 0f15.*
+ 1626: 6fc0.*
+ 1627: 0f15.*
+ 1628: 6fc0.*
+ 1629: 0c16.*
+ 162a: 6fc0.*
+ 162b: 0d16.*
+ 162c: 6fc0.*
+ 162d: 0c16.*
+ 162e: 6fc0.*
+ 162f: 0e16.*
+ 1630: 6fc0.*
+ 1631: 0f16.*
+ 1632: 6fc0.*
+ 1633: 0f16.*
+ 1634: 6fc0.*
+ 1635: 0c17.*
+ 1636: 6fc0.*
+ 1637: 0d17.*
+ 1638: 6fc0.*
+ 1639: 0c17.*
+ 163a: 6fc0.*
+ 163b: 0e17.*
+ 163c: 6fc0.*
+ 163d: 0f17.*
+ 163e: 6fc0.*
+ 163f: 0f17.*
+ 1640: 6fc0.*
+ 1641: 0c18.*
+ 1642: 6fc0.*
+ 1643: 0d18.*
+ 1644: 6fc0.*
+ 1645: 0c18.*
+ 1646: 6fc0.*
+ 1647: 0e18.*
+ 1648: 6fc0.*
+ 1649: 0f18.*
+ 164a: 6fc0.*
+ 164b: 0f18.*
+ 164c: 6fc0.*
+ 164d: 0c19.*
+ 164e: 6fc0.*
+ 164f: 0d19.*
+ 1650: 6fc0.*
+ 1651: 0c19.*
+ 1652: 6fc0.*
+ 1653: 0e19.*
+ 1654: 6fc0.*
+ 1655: 0f19.*
+ 1656: 6fc0.*
+ 1657: 0f19.*
+ 1658: 6fc0.*
+ 1659: 0c1a.*
+ 165a: 6fc0.*
+ 165b: 0d1a.*
+ 165c: 6fc0.*
+ 165d: 0c1a.*
+ 165e: 6fc0.*
+ 165f: 0e1a.*
+ 1660: 6fc0.*
+ 1661: 0f1a.*
+ 1662: 6fc0.*
+ 1663: 0f1a.*
+ 1664: 6fc0.*
+ 1665: 0c1b.*
+ 1666: 6fc0.*
+ 1667: 0d1b.*
+ 1668: 6fc0.*
+ 1669: 0c1b.*
+ 166a: 6fc0.*
+ 166b: 0e1b.*
+ 166c: 6fc0.*
+ 166d: 0f1b.*
+ 166e: 6fc0.*
+ 166f: 0f1b.*
+ 1670: 6fc0.*
+ 1671: 0c1c.*
+ 1672: 6fc0.*
+ 1673: 0d1c.*
+ 1674: 6fc0.*
+ 1675: 0c1c.*
+ 1676: 6fc0.*
+ 1677: 0e1c.*
+ 1678: 6fc0.*
+ 1679: 0f1c.*
+ 167a: 6fc0.*
+ 167b: 0f1c.*
+ 167c: 6fc0.*
+ 167d: 0c1d.*
+ 167e: 6fc0.*
+ 167f: 0d1d.*
+ 1680: 6fc0.*
+ 1681: 0c1d.*
+ 1682: 6fc0.*
+ 1683: 0e1d.*
+ 1684: 6fc0.*
+ 1685: 0f1d.*
+ 1686: 6fc0.*
+ 1687: 0f1d.*
+ 1688: 6fc0.*
+ 1689: 0c1e.*
+ 168a: 6fc0.*
+ 168b: 0d1e.*
+ 168c: 6fc0.*
+ 168d: 0c1e.*
+ 168e: 6fc0.*
+ 168f: 0e1e.*
+ 1690: 6fc0.*
+ 1691: 0f1e.*
+ 1692: 6fc0.*
+ 1693: 0f1e.*
+ 1694: 6fc0.*
+ 1695: 0c1f.*
+ 1696: 6fc0.*
+ 1697: 0d1f.*
+ 1698: 6fc0.*
+ 1699: 0c1f.*
+ 169a: 6fc0.*
+ 169b: 0e1f.*
+ 169c: 6fc0.*
+ 169d: 0f1f.*
+ 169e: 6fc0.*
+ 169f: 0f1f.*
+ 16a0: 00c0.*
+ 16a1: 6fc0.*
+ 16a2: 0d00.*
+ 16a3: 00c0.*
+ 16a4: 6fc0.*
+ 16a5: 0e00.*
+ 16a6: 01c0.*
+ 16a7: 01c0.*
+ 16a8: 6fc0.*
+ 16a9: 0c01.*
+ 16aa: 6fc0.*
+ 16ab: 0d01.*
+ 16ac: 6fc0.*
+ 16ad: 0c01.*
+ 16ae: 6fc0.*
+ 16af: 0e01.*
+ 16b0: 6fc0.*
+ 16b1: 0f01.*
+ 16b2: 6fc0.*
+ 16b3: 0f01.*
+ 16b4: 6fc0.*
+ 16b5: 0c02.*
+ 16b6: 6fc0.*
+ 16b7: 0d02.*
+ 16b8: 6fc0.*
+ 16b9: 0c02.*
+ 16ba: 6fc0.*
+ 16bb: 0e02.*
+ 16bc: 6fc0.*
+ 16bd: 0f02.*
+ 16be: 6fc0.*
+ 16bf: 0f02.*
+ 16c0: 6fc0.*
+ 16c1: 0c03.*
+ 16c2: 6fc0.*
+ 16c3: 0d03.*
+ 16c4: 6fc0.*
+ 16c5: 0c03.*
+ 16c6: 6fc0.*
+ 16c7: 0e03.*
+ 16c8: 6fc0.*
+ 16c9: 0f03.*
+ 16ca: 6fc0.*
+ 16cb: 0f03.*
+ 16cc: 6fc0.*
+ 16cd: 0c04.*
+ 16ce: 6fc0.*
+ 16cf: 0d04.*
+ 16d0: 6fc0.*
+ 16d1: 0c04.*
+ 16d2: 6fc0.*
+ 16d3: 0e04.*
+ 16d4: 6fc0.*
+ 16d5: 0f04.*
+ 16d6: 6fc0.*
+ 16d7: 0f04.*
+ 16d8: 6fc0.*
+ 16d9: 0c05.*
+ 16da: 6fc0.*
+ 16db: 0d05.*
+ 16dc: 6fc0.*
+ 16dd: 0c05.*
+ 16de: 6fc0.*
+ 16df: 0e05.*
+ 16e0: 6fc0.*
+ 16e1: 0f05.*
+ 16e2: 6fc0.*
+ 16e3: 0f05.*
+ 16e4: 6fc0.*
+ 16e5: 0c06.*
+ 16e6: 6fc0.*
+ 16e7: 0d06.*
+ 16e8: 6fc0.*
+ 16e9: 0c06.*
+ 16ea: 6fc0.*
+ 16eb: 0e06.*
+ 16ec: 6fc0.*
+ 16ed: 0f06.*
+ 16ee: 6fc0.*
+ 16ef: 0f06.*
+ 16f0: 6fc0.*
+ 16f1: 0c07.*
+ 16f2: 6fc0.*
+ 16f3: 0d07.*
+ 16f4: 6fc0.*
+ 16f5: 0c07.*
+ 16f6: 6fc0.*
+ 16f7: 0e07.*
+ 16f8: 6fc0.*
+ 16f9: 0f07.*
+ 16fa: 6fc0.*
+ 16fb: 0f07.*
+ 16fc: 6fc0.*
+ 16fd: 0c08.*
+ 16fe: 6fc0.*
+ 16ff: 0d08.*
+ 1700: 6fc0.*
+ 1701: 0c08.*
+ 1702: 6fc0.*
+ 1703: 0e08.*
+ 1704: 6fc0.*
+ 1705: 0f08.*
+ 1706: 6fc0.*
+ 1707: 0f08.*
+ 1708: 6fc0.*
+ 1709: 0c09.*
+ 170a: 6fc0.*
+ 170b: 0d09.*
+ 170c: 6fc0.*
+ 170d: 0c09.*
+ 170e: 6fc0.*
+ 170f: 0e09.*
+ 1710: 6fc0.*
+ 1711: 0f09.*
+ 1712: 6fc0.*
+ 1713: 0f09.*
+ 1714: 6fc0.*
+ 1715: 0c0a.*
+ 1716: 6fc0.*
+ 1717: 0d0a.*
+ 1718: 6fc0.*
+ 1719: 0c0a.*
+ 171a: 6fc0.*
+ 171b: 0e0a.*
+ 171c: 6fc0.*
+ 171d: 0f0a.*
+ 171e: 6fc0.*
+ 171f: 0f0a.*
+ 1720: 6fc0.*
+ 1721: 0c0b.*
+ 1722: 6fc0.*
+ 1723: 0d0b.*
+ 1724: 6fc0.*
+ 1725: 0c0b.*
+ 1726: 6fc0.*
+ 1727: 0e0b.*
+ 1728: 6fc0.*
+ 1729: 0f0b.*
+ 172a: 6fc0.*
+ 172b: 0f0b.*
+ 172c: 6fc0.*
+ 172d: 0c0c.*
+ 172e: 6fc0.*
+ 172f: 0d0c.*
+ 1730: 6fc0.*
+ 1731: 0c0c.*
+ 1732: 6fc0.*
+ 1733: 0e0c.*
+ 1734: 6fc0.*
+ 1735: 0f0c.*
+ 1736: 6fc0.*
+ 1737: 0f0c.*
+ 1738: 6fc0.*
+ 1739: 0c0d.*
+ 173a: 6fc0.*
+ 173b: 0d0d.*
+ 173c: 6fc0.*
+ 173d: 0c0d.*
+ 173e: 6fc0.*
+ 173f: 0e0d.*
+ 1740: 6fc0.*
+ 1741: 0f0d.*
+ 1742: 6fc0.*
+ 1743: 0f0d.*
+ 1744: 6fc0.*
+ 1745: 0c0e.*
+ 1746: 6fc0.*
+ 1747: 0d0e.*
+ 1748: 6fc0.*
+ 1749: 0c0e.*
+ 174a: 6fc0.*
+ 174b: 0e0e.*
+ 174c: 6fc0.*
+ 174d: 0f0e.*
+ 174e: 6fc0.*
+ 174f: 0f0e.*
+ 1750: 6fc0.*
+ 1751: 0c0f.*
+ 1752: 6fc0.*
+ 1753: 0d0f.*
+ 1754: 6fc0.*
+ 1755: 0c0f.*
+ 1756: 6fc0.*
+ 1757: 0e0f.*
+ 1758: 6fc0.*
+ 1759: 0f0f.*
+ 175a: 6fc0.*
+ 175b: 0f0f.*
+ 175c: 00c8.*
+ 175d: 6fc8.*
+ 175e: 0d00.*
+ 175f: 00c8.*
+ 1760: 6fc8.*
+ 1761: 0e00.*
+ 1762: 01c8.*
+ 1763: 01c8.*
+ 1764: 6fc8.*
+ 1765: 0c10.*
+ 1766: 6fc8.*
+ 1767: 0d10.*
+ 1768: 6fc8.*
+ 1769: 0c10.*
+ 176a: 6fc8.*
+ 176b: 0e10.*
+ 176c: 6fc8.*
+ 176d: 0f10.*
+ 176e: 6fc8.*
+ 176f: 0f10.*
+ 1770: 6fc8.*
+ 1771: 0c11.*
+ 1772: 6fc8.*
+ 1773: 0d11.*
+ 1774: 6fc8.*
+ 1775: 0c11.*
+ 1776: 6fc8.*
+ 1777: 0e11.*
+ 1778: 6fc8.*
+ 1779: 0f11.*
+ 177a: 6fc8.*
+ 177b: 0f11.*
+ 177c: 6fc8.*
+ 177d: 0c12.*
+ 177e: 6fc8.*
+ 177f: 0d12.*
+ 1780: 6fc8.*
+ 1781: 0c12.*
+ 1782: 6fc8.*
+ 1783: 0e12.*
+ 1784: 6fc8.*
+ 1785: 0f12.*
+ 1786: 6fc8.*
+ 1787: 0f12.*
+ 1788: 6fc8.*
+ 1789: 0c13.*
+ 178a: 6fc8.*
+ 178b: 0d13.*
+ 178c: 6fc8.*
+ 178d: 0c13.*
+ 178e: 6fc8.*
+ 178f: 0e13.*
+ 1790: 6fc8.*
+ 1791: 0f13.*
+ 1792: 6fc8.*
+ 1793: 0f13.*
+ 1794: 6fc8.*
+ 1795: 0c14.*
+ 1796: 6fc8.*
+ 1797: 0d14.*
+ 1798: 6fc8.*
+ 1799: 0c14.*
+ 179a: 6fc8.*
+ 179b: 0e14.*
+ 179c: 6fc8.*
+ 179d: 0f14.*
+ 179e: 6fc8.*
+ 179f: 0f14.*
+ 17a0: 6fc8.*
+ 17a1: 0c15.*
+ 17a2: 6fc8.*
+ 17a3: 0d15.*
+ 17a4: 6fc8.*
+ 17a5: 0c15.*
+ 17a6: 6fc8.*
+ 17a7: 0e15.*
+ 17a8: 6fc8.*
+ 17a9: 0f15.*
+ 17aa: 6fc8.*
+ 17ab: 0f15.*
+ 17ac: 6fc8.*
+ 17ad: 0c16.*
+ 17ae: 6fc8.*
+ 17af: 0d16.*
+ 17b0: 6fc8.*
+ 17b1: 0c16.*
+ 17b2: 6fc8.*
+ 17b3: 0e16.*
+ 17b4: 6fc8.*
+ 17b5: 0f16.*
+ 17b6: 6fc8.*
+ 17b7: 0f16.*
+ 17b8: 6fc8.*
+ 17b9: 0c17.*
+ 17ba: 6fc8.*
+ 17bb: 0d17.*
+ 17bc: 6fc8.*
+ 17bd: 0c17.*
+ 17be: 6fc8.*
+ 17bf: 0e17.*
+ 17c0: 6fc8.*
+ 17c1: 0f17.*
+ 17c2: 6fc8.*
+ 17c3: 0f17.*
+ 17c4: 6fc8.*
+ 17c5: 0c18.*
+ 17c6: 6fc8.*
+ 17c7: 0d18.*
+ 17c8: 6fc8.*
+ 17c9: 0c18.*
+ 17ca: 6fc8.*
+ 17cb: 0e18.*
+ 17cc: 6fc8.*
+ 17cd: 0f18.*
+ 17ce: 6fc8.*
+ 17cf: 0f18.*
+ 17d0: 6fc8.*
+ 17d1: 0c19.*
+ 17d2: 6fc8.*
+ 17d3: 0d19.*
+ 17d4: 6fc8.*
+ 17d5: 0c19.*
+ 17d6: 6fc8.*
+ 17d7: 0e19.*
+ 17d8: 6fc8.*
+ 17d9: 0f19.*
+ 17da: 6fc8.*
+ 17db: 0f19.*
+ 17dc: 6fc8.*
+ 17dd: 0c1a.*
+ 17de: 6fc8.*
+ 17df: 0d1a.*
+ 17e0: 6fc8.*
+ 17e1: 0c1a.*
+ 17e2: 6fc8.*
+ 17e3: 0e1a.*
+ 17e4: 6fc8.*
+ 17e5: 0f1a.*
+ 17e6: 6fc8.*
+ 17e7: 0f1a.*
+ 17e8: 6fc8.*
+ 17e9: 0c1b.*
+ 17ea: 6fc8.*
+ 17eb: 0d1b.*
+ 17ec: 6fc8.*
+ 17ed: 0c1b.*
+ 17ee: 6fc8.*
+ 17ef: 0e1b.*
+ 17f0: 6fc8.*
+ 17f1: 0f1b.*
+ 17f2: 6fc8.*
+ 17f3: 0f1b.*
+ 17f4: 6fc8.*
+ 17f5: 0c1c.*
+ 17f6: 6fc8.*
+ 17f7: 0d1c.*
+ 17f8: 6fc8.*
+ 17f9: 0c1c.*
+ 17fa: 6fc8.*
+ 17fb: 0e1c.*
+ 17fc: 6fc8.*
+ 17fd: 0f1c.*
+ 17fe: 6fc8.*
+ 17ff: 0f1c.*
+ 1800: 6fc8.*
+ 1801: 0c1d.*
+ 1802: 6fc8.*
+ 1803: 0d1d.*
+ 1804: 6fc8.*
+ 1805: 0c1d.*
+ 1806: 6fc8.*
+ 1807: 0e1d.*
+ 1808: 6fc8.*
+ 1809: 0f1d.*
+ 180a: 6fc8.*
+ 180b: 0f1d.*
+ 180c: 6fc8.*
+ 180d: 0c1e.*
+ 180e: 6fc8.*
+ 180f: 0d1e.*
+ 1810: 6fc8.*
+ 1811: 0c1e.*
+ 1812: 6fc8.*
+ 1813: 0e1e.*
+ 1814: 6fc8.*
+ 1815: 0f1e.*
+ 1816: 6fc8.*
+ 1817: 0f1e.*
+ 1818: 6fc8.*
+ 1819: 0c1f.*
+ 181a: 6fc8.*
+ 181b: 0d1f.*
+ 181c: 6fc8.*
+ 181d: 0c1f.*
+ 181e: 6fc8.*
+ 181f: 0e1f.*
+ 1820: 6fc8.*
+ 1821: 0f1f.*
+ 1822: 6fc8.*
+ 1823: 0f1f.*
+ 1824: 00c8.*
+ 1825: 6fc8.*
+ 1826: 0d00.*
+ 1827: 00c8.*
+ 1828: 6fc8.*
+ 1829: 0e00.*
+ 182a: 01c8.*
+ 182b: 01c8.*
+ 182c: 6fc8.*
+ 182d: 0c01.*
+ 182e: 6fc8.*
+ 182f: 0d01.*
+ 1830: 6fc8.*
+ 1831: 0c01.*
+ 1832: 6fc8.*
+ 1833: 0e01.*
+ 1834: 6fc8.*
+ 1835: 0f01.*
+ 1836: 6fc8.*
+ 1837: 0f01.*
+ 1838: 6fc8.*
+ 1839: 0c02.*
+ 183a: 6fc8.*
+ 183b: 0d02.*
+ 183c: 6fc8.*
+ 183d: 0c02.*
+ 183e: 6fc8.*
+ 183f: 0e02.*
+ 1840: 6fc8.*
+ 1841: 0f02.*
+ 1842: 6fc8.*
+ 1843: 0f02.*
+ 1844: 6fc8.*
+ 1845: 0c03.*
+ 1846: 6fc8.*
+ 1847: 0d03.*
+ 1848: 6fc8.*
+ 1849: 0c03.*
+ 184a: 6fc8.*
+ 184b: 0e03.*
+ 184c: 6fc8.*
+ 184d: 0f03.*
+ 184e: 6fc8.*
+ 184f: 0f03.*
+ 1850: 6fc8.*
+ 1851: 0c04.*
+ 1852: 6fc8.*
+ 1853: 0d04.*
+ 1854: 6fc8.*
+ 1855: 0c04.*
+ 1856: 6fc8.*
+ 1857: 0e04.*
+ 1858: 6fc8.*
+ 1859: 0f04.*
+ 185a: 6fc8.*
+ 185b: 0f04.*
+ 185c: 6fc8.*
+ 185d: 0c05.*
+ 185e: 6fc8.*
+ 185f: 0d05.*
+ 1860: 6fc8.*
+ 1861: 0c05.*
+ 1862: 6fc8.*
+ 1863: 0e05.*
+ 1864: 6fc8.*
+ 1865: 0f05.*
+ 1866: 6fc8.*
+ 1867: 0f05.*
+ 1868: 6fc8.*
+ 1869: 0c06.*
+ 186a: 6fc8.*
+ 186b: 0d06.*
+ 186c: 6fc8.*
+ 186d: 0c06.*
+ 186e: 6fc8.*
+ 186f: 0e06.*
+ 1870: 6fc8.*
+ 1871: 0f06.*
+ 1872: 6fc8.*
+ 1873: 0f06.*
+ 1874: 6fc8.*
+ 1875: 0c07.*
+ 1876: 6fc8.*
+ 1877: 0d07.*
+ 1878: 6fc8.*
+ 1879: 0c07.*
+ 187a: 6fc8.*
+ 187b: 0e07.*
+ 187c: 6fc8.*
+ 187d: 0f07.*
+ 187e: 6fc8.*
+ 187f: 0f07.*
+ 1880: 6fc8.*
+ 1881: 0c08.*
+ 1882: 6fc8.*
+ 1883: 0d08.*
+ 1884: 6fc8.*
+ 1885: 0c08.*
+ 1886: 6fc8.*
+ 1887: 0e08.*
+ 1888: 6fc8.*
+ 1889: 0f08.*
+ 188a: 6fc8.*
+ 188b: 0f08.*
+ 188c: 6fc8.*
+ 188d: 0c09.*
+ 188e: 6fc8.*
+ 188f: 0d09.*
+ 1890: 6fc8.*
+ 1891: 0c09.*
+ 1892: 6fc8.*
+ 1893: 0e09.*
+ 1894: 6fc8.*
+ 1895: 0f09.*
+ 1896: 6fc8.*
+ 1897: 0f09.*
+ 1898: 6fc8.*
+ 1899: 0c0a.*
+ 189a: 6fc8.*
+ 189b: 0d0a.*
+ 189c: 6fc8.*
+ 189d: 0c0a.*
+ 189e: 6fc8.*
+ 189f: 0e0a.*
+ 18a0: 6fc8.*
+ 18a1: 0f0a.*
+ 18a2: 6fc8.*
+ 18a3: 0f0a.*
+ 18a4: 6fc8.*
+ 18a5: 0c0b.*
+ 18a6: 6fc8.*
+ 18a7: 0d0b.*
+ 18a8: 6fc8.*
+ 18a9: 0c0b.*
+ 18aa: 6fc8.*
+ 18ab: 0e0b.*
+ 18ac: 6fc8.*
+ 18ad: 0f0b.*
+ 18ae: 6fc8.*
+ 18af: 0f0b.*
+ 18b0: 6fc8.*
+ 18b1: 0c0c.*
+ 18b2: 6fc8.*
+ 18b3: 0d0c.*
+ 18b4: 6fc8.*
+ 18b5: 0c0c.*
+ 18b6: 6fc8.*
+ 18b7: 0e0c.*
+ 18b8: 6fc8.*
+ 18b9: 0f0c.*
+ 18ba: 6fc8.*
+ 18bb: 0f0c.*
+ 18bc: 6fc8.*
+ 18bd: 0c0d.*
+ 18be: 6fc8.*
+ 18bf: 0d0d.*
+ 18c0: 6fc8.*
+ 18c1: 0c0d.*
+ 18c2: 6fc8.*
+ 18c3: 0e0d.*
+ 18c4: 6fc8.*
+ 18c5: 0f0d.*
+ 18c6: 6fc8.*
+ 18c7: 0f0d.*
+ 18c8: 6fc8.*
+ 18c9: 0c0e.*
+ 18ca: 6fc8.*
+ 18cb: 0d0e.*
+ 18cc: 6fc8.*
+ 18cd: 0c0e.*
+ 18ce: 6fc8.*
+ 18cf: 0e0e.*
+ 18d0: 6fc8.*
+ 18d1: 0f0e.*
+ 18d2: 6fc8.*
+ 18d3: 0f0e.*
+ 18d4: 6fc8.*
+ 18d5: 0c0f.*
+ 18d6: 6fc8.*
+ 18d7: 0d0f.*
+ 18d8: 6fc8.*
+ 18d9: 0c0f.*
+ 18da: 6fc8.*
+ 18db: 0e0f.*
+ 18dc: 6fc8.*
+ 18dd: 0f0f.*
+ 18de: 6fc8.*
+ 18df: 0f0f.*
+ 18e0: 00d0.*
+ 18e1: 6fd0.*
+ 18e2: 0d00.*
+ 18e3: 00d0.*
+ 18e4: 6fd0.*
+ 18e5: 0e00.*
+ 18e6: 01d0.*
+ 18e7: 01d0.*
+ 18e8: 6fd0.*
+ 18e9: 0c10.*
+ 18ea: 6fd0.*
+ 18eb: 0d10.*
+ 18ec: 6fd0.*
+ 18ed: 0c10.*
+ 18ee: 6fd0.*
+ 18ef: 0e10.*
+ 18f0: 6fd0.*
+ 18f1: 0f10.*
+ 18f2: 6fd0.*
+ 18f3: 0f10.*
+ 18f4: 6fd0.*
+ 18f5: 0c11.*
+ 18f6: 6fd0.*
+ 18f7: 0d11.*
+ 18f8: 6fd0.*
+ 18f9: 0c11.*
+ 18fa: 6fd0.*
+ 18fb: 0e11.*
+ 18fc: 6fd0.*
+ 18fd: 0f11.*
+ 18fe: 6fd0.*
+ 18ff: 0f11.*
+ 1900: 6fd0.*
+ 1901: 0c12.*
+ 1902: 6fd0.*
+ 1903: 0d12.*
+ 1904: 6fd0.*
+ 1905: 0c12.*
+ 1906: 6fd0.*
+ 1907: 0e12.*
+ 1908: 6fd0.*
+ 1909: 0f12.*
+ 190a: 6fd0.*
+ 190b: 0f12.*
+ 190c: 6fd0.*
+ 190d: 0c13.*
+ 190e: 6fd0.*
+ 190f: 0d13.*
+ 1910: 6fd0.*
+ 1911: 0c13.*
+ 1912: 6fd0.*
+ 1913: 0e13.*
+ 1914: 6fd0.*
+ 1915: 0f13.*
+ 1916: 6fd0.*
+ 1917: 0f13.*
+ 1918: 6fd0.*
+ 1919: 0c14.*
+ 191a: 6fd0.*
+ 191b: 0d14.*
+ 191c: 6fd0.*
+ 191d: 0c14.*
+ 191e: 6fd0.*
+ 191f: 0e14.*
+ 1920: 6fd0.*
+ 1921: 0f14.*
+ 1922: 6fd0.*
+ 1923: 0f14.*
+ 1924: 6fd0.*
+ 1925: 0c15.*
+ 1926: 6fd0.*
+ 1927: 0d15.*
+ 1928: 6fd0.*
+ 1929: 0c15.*
+ 192a: 6fd0.*
+ 192b: 0e15.*
+ 192c: 6fd0.*
+ 192d: 0f15.*
+ 192e: 6fd0.*
+ 192f: 0f15.*
+ 1930: 6fd0.*
+ 1931: 0c16.*
+ 1932: 6fd0.*
+ 1933: 0d16.*
+ 1934: 6fd0.*
+ 1935: 0c16.*
+ 1936: 6fd0.*
+ 1937: 0e16.*
+ 1938: 6fd0.*
+ 1939: 0f16.*
+ 193a: 6fd0.*
+ 193b: 0f16.*
+ 193c: 6fd0.*
+ 193d: 0c17.*
+ 193e: 6fd0.*
+ 193f: 0d17.*
+ 1940: 6fd0.*
+ 1941: 0c17.*
+ 1942: 6fd0.*
+ 1943: 0e17.*
+ 1944: 6fd0.*
+ 1945: 0f17.*
+ 1946: 6fd0.*
+ 1947: 0f17.*
+ 1948: 6fd0.*
+ 1949: 0c18.*
+ 194a: 6fd0.*
+ 194b: 0d18.*
+ 194c: 6fd0.*
+ 194d: 0c18.*
+ 194e: 6fd0.*
+ 194f: 0e18.*
+ 1950: 6fd0.*
+ 1951: 0f18.*
+ 1952: 6fd0.*
+ 1953: 0f18.*
+ 1954: 6fd0.*
+ 1955: 0c19.*
+ 1956: 6fd0.*
+ 1957: 0d19.*
+ 1958: 6fd0.*
+ 1959: 0c19.*
+ 195a: 6fd0.*
+ 195b: 0e19.*
+ 195c: 6fd0.*
+ 195d: 0f19.*
+ 195e: 6fd0.*
+ 195f: 0f19.*
+ 1960: 6fd0.*
+ 1961: 0c1a.*
+ 1962: 6fd0.*
+ 1963: 0d1a.*
+ 1964: 6fd0.*
+ 1965: 0c1a.*
+ 1966: 6fd0.*
+ 1967: 0e1a.*
+ 1968: 6fd0.*
+ 1969: 0f1a.*
+ 196a: 6fd0.*
+ 196b: 0f1a.*
+ 196c: 6fd0.*
+ 196d: 0c1b.*
+ 196e: 6fd0.*
+ 196f: 0d1b.*
+ 1970: 6fd0.*
+ 1971: 0c1b.*
+ 1972: 6fd0.*
+ 1973: 0e1b.*
+ 1974: 6fd0.*
+ 1975: 0f1b.*
+ 1976: 6fd0.*
+ 1977: 0f1b.*
+ 1978: 6fd0.*
+ 1979: 0c1c.*
+ 197a: 6fd0.*
+ 197b: 0d1c.*
+ 197c: 6fd0.*
+ 197d: 0c1c.*
+ 197e: 6fd0.*
+ 197f: 0e1c.*
+ 1980: 6fd0.*
+ 1981: 0f1c.*
+ 1982: 6fd0.*
+ 1983: 0f1c.*
+ 1984: 6fd0.*
+ 1985: 0c1d.*
+ 1986: 6fd0.*
+ 1987: 0d1d.*
+ 1988: 6fd0.*
+ 1989: 0c1d.*
+ 198a: 6fd0.*
+ 198b: 0e1d.*
+ 198c: 6fd0.*
+ 198d: 0f1d.*
+ 198e: 6fd0.*
+ 198f: 0f1d.*
+ 1990: 6fd0.*
+ 1991: 0c1e.*
+ 1992: 6fd0.*
+ 1993: 0d1e.*
+ 1994: 6fd0.*
+ 1995: 0c1e.*
+ 1996: 6fd0.*
+ 1997: 0e1e.*
+ 1998: 6fd0.*
+ 1999: 0f1e.*
+ 199a: 6fd0.*
+ 199b: 0f1e.*
+ 199c: 6fd0.*
+ 199d: 0c1f.*
+ 199e: 6fd0.*
+ 199f: 0d1f.*
+ 19a0: 6fd0.*
+ 19a1: 0c1f.*
+ 19a2: 6fd0.*
+ 19a3: 0e1f.*
+ 19a4: 6fd0.*
+ 19a5: 0f1f.*
+ 19a6: 6fd0.*
+ 19a7: 0f1f.*
+ 19a8: 00d0.*
+ 19a9: 6fd0.*
+ 19aa: 0d00.*
+ 19ab: 00d0.*
+ 19ac: 6fd0.*
+ 19ad: 0e00.*
+ 19ae: 01d0.*
+ 19af: 01d0.*
+ 19b0: 6fd0.*
+ 19b1: 0c01.*
+ 19b2: 6fd0.*
+ 19b3: 0d01.*
+ 19b4: 6fd0.*
+ 19b5: 0c01.*
+ 19b6: 6fd0.*
+ 19b7: 0e01.*
+ 19b8: 6fd0.*
+ 19b9: 0f01.*
+ 19ba: 6fd0.*
+ 19bb: 0f01.*
+ 19bc: 6fd0.*
+ 19bd: 0c02.*
+ 19be: 6fd0.*
+ 19bf: 0d02.*
+ 19c0: 6fd0.*
+ 19c1: 0c02.*
+ 19c2: 6fd0.*
+ 19c3: 0e02.*
+ 19c4: 6fd0.*
+ 19c5: 0f02.*
+ 19c6: 6fd0.*
+ 19c7: 0f02.*
+ 19c8: 6fd0.*
+ 19c9: 0c03.*
+ 19ca: 6fd0.*
+ 19cb: 0d03.*
+ 19cc: 6fd0.*
+ 19cd: 0c03.*
+ 19ce: 6fd0.*
+ 19cf: 0e03.*
+ 19d0: 6fd0.*
+ 19d1: 0f03.*
+ 19d2: 6fd0.*
+ 19d3: 0f03.*
+ 19d4: 6fd0.*
+ 19d5: 0c04.*
+ 19d6: 6fd0.*
+ 19d7: 0d04.*
+ 19d8: 6fd0.*
+ 19d9: 0c04.*
+ 19da: 6fd0.*
+ 19db: 0e04.*
+ 19dc: 6fd0.*
+ 19dd: 0f04.*
+ 19de: 6fd0.*
+ 19df: 0f04.*
+ 19e0: 6fd0.*
+ 19e1: 0c05.*
+ 19e2: 6fd0.*
+ 19e3: 0d05.*
+ 19e4: 6fd0.*
+ 19e5: 0c05.*
+ 19e6: 6fd0.*
+ 19e7: 0e05.*
+ 19e8: 6fd0.*
+ 19e9: 0f05.*
+ 19ea: 6fd0.*
+ 19eb: 0f05.*
+ 19ec: 6fd0.*
+ 19ed: 0c06.*
+ 19ee: 6fd0.*
+ 19ef: 0d06.*
+ 19f0: 6fd0.*
+ 19f1: 0c06.*
+ 19f2: 6fd0.*
+ 19f3: 0e06.*
+ 19f4: 6fd0.*
+ 19f5: 0f06.*
+ 19f6: 6fd0.*
+ 19f7: 0f06.*
+ 19f8: 6fd0.*
+ 19f9: 0c07.*
+ 19fa: 6fd0.*
+ 19fb: 0d07.*
+ 19fc: 6fd0.*
+ 19fd: 0c07.*
+ 19fe: 6fd0.*
+ 19ff: 0e07.*
+ 1a00: 6fd0.*
+ 1a01: 0f07.*
+ 1a02: 6fd0.*
+ 1a03: 0f07.*
+ 1a04: 6fd0.*
+ 1a05: 0c08.*
+ 1a06: 6fd0.*
+ 1a07: 0d08.*
+ 1a08: 6fd0.*
+ 1a09: 0c08.*
+ 1a0a: 6fd0.*
+ 1a0b: 0e08.*
+ 1a0c: 6fd0.*
+ 1a0d: 0f08.*
+ 1a0e: 6fd0.*
+ 1a0f: 0f08.*
+ 1a10: 6fd0.*
+ 1a11: 0c09.*
+ 1a12: 6fd0.*
+ 1a13: 0d09.*
+ 1a14: 6fd0.*
+ 1a15: 0c09.*
+ 1a16: 6fd0.*
+ 1a17: 0e09.*
+ 1a18: 6fd0.*
+ 1a19: 0f09.*
+ 1a1a: 6fd0.*
+ 1a1b: 0f09.*
+ 1a1c: 6fd0.*
+ 1a1d: 0c0a.*
+ 1a1e: 6fd0.*
+ 1a1f: 0d0a.*
+ 1a20: 6fd0.*
+ 1a21: 0c0a.*
+ 1a22: 6fd0.*
+ 1a23: 0e0a.*
+ 1a24: 6fd0.*
+ 1a25: 0f0a.*
+ 1a26: 6fd0.*
+ 1a27: 0f0a.*
+ 1a28: 6fd0.*
+ 1a29: 0c0b.*
+ 1a2a: 6fd0.*
+ 1a2b: 0d0b.*
+ 1a2c: 6fd0.*
+ 1a2d: 0c0b.*
+ 1a2e: 6fd0.*
+ 1a2f: 0e0b.*
+ 1a30: 6fd0.*
+ 1a31: 0f0b.*
+ 1a32: 6fd0.*
+ 1a33: 0f0b.*
+ 1a34: 6fd0.*
+ 1a35: 0c0c.*
+ 1a36: 6fd0.*
+ 1a37: 0d0c.*
+ 1a38: 6fd0.*
+ 1a39: 0c0c.*
+ 1a3a: 6fd0.*
+ 1a3b: 0e0c.*
+ 1a3c: 6fd0.*
+ 1a3d: 0f0c.*
+ 1a3e: 6fd0.*
+ 1a3f: 0f0c.*
+ 1a40: 6fd0.*
+ 1a41: 0c0d.*
+ 1a42: 6fd0.*
+ 1a43: 0d0d.*
+ 1a44: 6fd0.*
+ 1a45: 0c0d.*
+ 1a46: 6fd0.*
+ 1a47: 0e0d.*
+ 1a48: 6fd0.*
+ 1a49: 0f0d.*
+ 1a4a: 6fd0.*
+ 1a4b: 0f0d.*
+ 1a4c: 6fd0.*
+ 1a4d: 0c0e.*
+ 1a4e: 6fd0.*
+ 1a4f: 0d0e.*
+ 1a50: 6fd0.*
+ 1a51: 0c0e.*
+ 1a52: 6fd0.*
+ 1a53: 0e0e.*
+ 1a54: 6fd0.*
+ 1a55: 0f0e.*
+ 1a56: 6fd0.*
+ 1a57: 0f0e.*
+ 1a58: 6fd0.*
+ 1a59: 0c0f.*
+ 1a5a: 6fd0.*
+ 1a5b: 0d0f.*
+ 1a5c: 6fd0.*
+ 1a5d: 0c0f.*
+ 1a5e: 6fd0.*
+ 1a5f: 0e0f.*
+ 1a60: 6fd0.*
+ 1a61: 0f0f.*
+ 1a62: 6fd0.*
+ 1a63: 0f0f.*
+ 1a64: 00d8.*
+ 1a65: 6fd8.*
+ 1a66: 0d00.*
+ 1a67: 00d8.*
+ 1a68: 6fd8.*
+ 1a69: 0e00.*
+ 1a6a: 01d8.*
+ 1a6b: 01d8.*
+ 1a6c: 6fd8.*
+ 1a6d: 0c10.*
+ 1a6e: 6fd8.*
+ 1a6f: 0d10.*
+ 1a70: 6fd8.*
+ 1a71: 0c10.*
+ 1a72: 6fd8.*
+ 1a73: 0e10.*
+ 1a74: 6fd8.*
+ 1a75: 0f10.*
+ 1a76: 6fd8.*
+ 1a77: 0f10.*
+ 1a78: 6fd8.*
+ 1a79: 0c11.*
+ 1a7a: 6fd8.*
+ 1a7b: 0d11.*
+ 1a7c: 6fd8.*
+ 1a7d: 0c11.*
+ 1a7e: 6fd8.*
+ 1a7f: 0e11.*
+ 1a80: 6fd8.*
+ 1a81: 0f11.*
+ 1a82: 6fd8.*
+ 1a83: 0f11.*
+ 1a84: 6fd8.*
+ 1a85: 0c12.*
+ 1a86: 6fd8.*
+ 1a87: 0d12.*
+ 1a88: 6fd8.*
+ 1a89: 0c12.*
+ 1a8a: 6fd8.*
+ 1a8b: 0e12.*
+ 1a8c: 6fd8.*
+ 1a8d: 0f12.*
+ 1a8e: 6fd8.*
+ 1a8f: 0f12.*
+ 1a90: 6fd8.*
+ 1a91: 0c13.*
+ 1a92: 6fd8.*
+ 1a93: 0d13.*
+ 1a94: 6fd8.*
+ 1a95: 0c13.*
+ 1a96: 6fd8.*
+ 1a97: 0e13.*
+ 1a98: 6fd8.*
+ 1a99: 0f13.*
+ 1a9a: 6fd8.*
+ 1a9b: 0f13.*
+ 1a9c: 6fd8.*
+ 1a9d: 0c14.*
+ 1a9e: 6fd8.*
+ 1a9f: 0d14.*
+ 1aa0: 6fd8.*
+ 1aa1: 0c14.*
+ 1aa2: 6fd8.*
+ 1aa3: 0e14.*
+ 1aa4: 6fd8.*
+ 1aa5: 0f14.*
+ 1aa6: 6fd8.*
+ 1aa7: 0f14.*
+ 1aa8: 6fd8.*
+ 1aa9: 0c15.*
+ 1aaa: 6fd8.*
+ 1aab: 0d15.*
+ 1aac: 6fd8.*
+ 1aad: 0c15.*
+ 1aae: 6fd8.*
+ 1aaf: 0e15.*
+ 1ab0: 6fd8.*
+ 1ab1: 0f15.*
+ 1ab2: 6fd8.*
+ 1ab3: 0f15.*
+ 1ab4: 6fd8.*
+ 1ab5: 0c16.*
+ 1ab6: 6fd8.*
+ 1ab7: 0d16.*
+ 1ab8: 6fd8.*
+ 1ab9: 0c16.*
+ 1aba: 6fd8.*
+ 1abb: 0e16.*
+ 1abc: 6fd8.*
+ 1abd: 0f16.*
+ 1abe: 6fd8.*
+ 1abf: 0f16.*
+ 1ac0: 6fd8.*
+ 1ac1: 0c17.*
+ 1ac2: 6fd8.*
+ 1ac3: 0d17.*
+ 1ac4: 6fd8.*
+ 1ac5: 0c17.*
+ 1ac6: 6fd8.*
+ 1ac7: 0e17.*
+ 1ac8: 6fd8.*
+ 1ac9: 0f17.*
+ 1aca: 6fd8.*
+ 1acb: 0f17.*
+ 1acc: 6fd8.*
+ 1acd: 0c18.*
+ 1ace: 6fd8.*
+ 1acf: 0d18.*
+ 1ad0: 6fd8.*
+ 1ad1: 0c18.*
+ 1ad2: 6fd8.*
+ 1ad3: 0e18.*
+ 1ad4: 6fd8.*
+ 1ad5: 0f18.*
+ 1ad6: 6fd8.*
+ 1ad7: 0f18.*
+ 1ad8: 6fd8.*
+ 1ad9: 0c19.*
+ 1ada: 6fd8.*
+ 1adb: 0d19.*
+ 1adc: 6fd8.*
+ 1add: 0c19.*
+ 1ade: 6fd8.*
+ 1adf: 0e19.*
+ 1ae0: 6fd8.*
+ 1ae1: 0f19.*
+ 1ae2: 6fd8.*
+ 1ae3: 0f19.*
+ 1ae4: 6fd8.*
+ 1ae5: 0c1a.*
+ 1ae6: 6fd8.*
+ 1ae7: 0d1a.*
+ 1ae8: 6fd8.*
+ 1ae9: 0c1a.*
+ 1aea: 6fd8.*
+ 1aeb: 0e1a.*
+ 1aec: 6fd8.*
+ 1aed: 0f1a.*
+ 1aee: 6fd8.*
+ 1aef: 0f1a.*
+ 1af0: 6fd8.*
+ 1af1: 0c1b.*
+ 1af2: 6fd8.*
+ 1af3: 0d1b.*
+ 1af4: 6fd8.*
+ 1af5: 0c1b.*
+ 1af6: 6fd8.*
+ 1af7: 0e1b.*
+ 1af8: 6fd8.*
+ 1af9: 0f1b.*
+ 1afa: 6fd8.*
+ 1afb: 0f1b.*
+ 1afc: 6fd8.*
+ 1afd: 0c1c.*
+ 1afe: 6fd8.*
+ 1aff: 0d1c.*
+ 1b00: 6fd8.*
+ 1b01: 0c1c.*
+ 1b02: 6fd8.*
+ 1b03: 0e1c.*
+ 1b04: 6fd8.*
+ 1b05: 0f1c.*
+ 1b06: 6fd8.*
+ 1b07: 0f1c.*
+ 1b08: 6fd8.*
+ 1b09: 0c1d.*
+ 1b0a: 6fd8.*
+ 1b0b: 0d1d.*
+ 1b0c: 6fd8.*
+ 1b0d: 0c1d.*
+ 1b0e: 6fd8.*
+ 1b0f: 0e1d.*
+ 1b10: 6fd8.*
+ 1b11: 0f1d.*
+ 1b12: 6fd8.*
+ 1b13: 0f1d.*
+ 1b14: 6fd8.*
+ 1b15: 0c1e.*
+ 1b16: 6fd8.*
+ 1b17: 0d1e.*
+ 1b18: 6fd8.*
+ 1b19: 0c1e.*
+ 1b1a: 6fd8.*
+ 1b1b: 0e1e.*
+ 1b1c: 6fd8.*
+ 1b1d: 0f1e.*
+ 1b1e: 6fd8.*
+ 1b1f: 0f1e.*
+ 1b20: 6fd8.*
+ 1b21: 0c1f.*
+ 1b22: 6fd8.*
+ 1b23: 0d1f.*
+ 1b24: 6fd8.*
+ 1b25: 0c1f.*
+ 1b26: 6fd8.*
+ 1b27: 0e1f.*
+ 1b28: 6fd8.*
+ 1b29: 0f1f.*
+ 1b2a: 6fd8.*
+ 1b2b: 0f1f.*
+ 1b2c: 00d8.*
+ 1b2d: 6fd8.*
+ 1b2e: 0d00.*
+ 1b2f: 00d8.*
+ 1b30: 6fd8.*
+ 1b31: 0e00.*
+ 1b32: 01d8.*
+ 1b33: 01d8.*
+ 1b34: 6fd8.*
+ 1b35: 0c01.*
+ 1b36: 6fd8.*
+ 1b37: 0d01.*
+ 1b38: 6fd8.*
+ 1b39: 0c01.*
+ 1b3a: 6fd8.*
+ 1b3b: 0e01.*
+ 1b3c: 6fd8.*
+ 1b3d: 0f01.*
+ 1b3e: 6fd8.*
+ 1b3f: 0f01.*
+ 1b40: 6fd8.*
+ 1b41: 0c02.*
+ 1b42: 6fd8.*
+ 1b43: 0d02.*
+ 1b44: 6fd8.*
+ 1b45: 0c02.*
+ 1b46: 6fd8.*
+ 1b47: 0e02.*
+ 1b48: 6fd8.*
+ 1b49: 0f02.*
+ 1b4a: 6fd8.*
+ 1b4b: 0f02.*
+ 1b4c: 6fd8.*
+ 1b4d: 0c03.*
+ 1b4e: 6fd8.*
+ 1b4f: 0d03.*
+ 1b50: 6fd8.*
+ 1b51: 0c03.*
+ 1b52: 6fd8.*
+ 1b53: 0e03.*
+ 1b54: 6fd8.*
+ 1b55: 0f03.*
+ 1b56: 6fd8.*
+ 1b57: 0f03.*
+ 1b58: 6fd8.*
+ 1b59: 0c04.*
+ 1b5a: 6fd8.*
+ 1b5b: 0d04.*
+ 1b5c: 6fd8.*
+ 1b5d: 0c04.*
+ 1b5e: 6fd8.*
+ 1b5f: 0e04.*
+ 1b60: 6fd8.*
+ 1b61: 0f04.*
+ 1b62: 6fd8.*
+ 1b63: 0f04.*
+ 1b64: 6fd8.*
+ 1b65: 0c05.*
+ 1b66: 6fd8.*
+ 1b67: 0d05.*
+ 1b68: 6fd8.*
+ 1b69: 0c05.*
+ 1b6a: 6fd8.*
+ 1b6b: 0e05.*
+ 1b6c: 6fd8.*
+ 1b6d: 0f05.*
+ 1b6e: 6fd8.*
+ 1b6f: 0f05.*
+ 1b70: 6fd8.*
+ 1b71: 0c06.*
+ 1b72: 6fd8.*
+ 1b73: 0d06.*
+ 1b74: 6fd8.*
+ 1b75: 0c06.*
+ 1b76: 6fd8.*
+ 1b77: 0e06.*
+ 1b78: 6fd8.*
+ 1b79: 0f06.*
+ 1b7a: 6fd8.*
+ 1b7b: 0f06.*
+ 1b7c: 6fd8.*
+ 1b7d: 0c07.*
+ 1b7e: 6fd8.*
+ 1b7f: 0d07.*
+ 1b80: 6fd8.*
+ 1b81: 0c07.*
+ 1b82: 6fd8.*
+ 1b83: 0e07.*
+ 1b84: 6fd8.*
+ 1b85: 0f07.*
+ 1b86: 6fd8.*
+ 1b87: 0f07.*
+ 1b88: 6fd8.*
+ 1b89: 0c08.*
+ 1b8a: 6fd8.*
+ 1b8b: 0d08.*
+ 1b8c: 6fd8.*
+ 1b8d: 0c08.*
+ 1b8e: 6fd8.*
+ 1b8f: 0e08.*
+ 1b90: 6fd8.*
+ 1b91: 0f08.*
+ 1b92: 6fd8.*
+ 1b93: 0f08.*
+ 1b94: 6fd8.*
+ 1b95: 0c09.*
+ 1b96: 6fd8.*
+ 1b97: 0d09.*
+ 1b98: 6fd8.*
+ 1b99: 0c09.*
+ 1b9a: 6fd8.*
+ 1b9b: 0e09.*
+ 1b9c: 6fd8.*
+ 1b9d: 0f09.*
+ 1b9e: 6fd8.*
+ 1b9f: 0f09.*
+ 1ba0: 6fd8.*
+ 1ba1: 0c0a.*
+ 1ba2: 6fd8.*
+ 1ba3: 0d0a.*
+ 1ba4: 6fd8.*
+ 1ba5: 0c0a.*
+ 1ba6: 6fd8.*
+ 1ba7: 0e0a.*
+ 1ba8: 6fd8.*
+ 1ba9: 0f0a.*
+ 1baa: 6fd8.*
+ 1bab: 0f0a.*
+ 1bac: 6fd8.*
+ 1bad: 0c0b.*
+ 1bae: 6fd8.*
+ 1baf: 0d0b.*
+ 1bb0: 6fd8.*
+ 1bb1: 0c0b.*
+ 1bb2: 6fd8.*
+ 1bb3: 0e0b.*
+ 1bb4: 6fd8.*
+ 1bb5: 0f0b.*
+ 1bb6: 6fd8.*
+ 1bb7: 0f0b.*
+ 1bb8: 6fd8.*
+ 1bb9: 0c0c.*
+ 1bba: 6fd8.*
+ 1bbb: 0d0c.*
+ 1bbc: 6fd8.*
+ 1bbd: 0c0c.*
+ 1bbe: 6fd8.*
+ 1bbf: 0e0c.*
+ 1bc0: 6fd8.*
+ 1bc1: 0f0c.*
+ 1bc2: 6fd8.*
+ 1bc3: 0f0c.*
+ 1bc4: 6fd8.*
+ 1bc5: 0c0d.*
+ 1bc6: 6fd8.*
+ 1bc7: 0d0d.*
+ 1bc8: 6fd8.*
+ 1bc9: 0c0d.*
+ 1bca: 6fd8.*
+ 1bcb: 0e0d.*
+ 1bcc: 6fd8.*
+ 1bcd: 0f0d.*
+ 1bce: 6fd8.*
+ 1bcf: 0f0d.*
+ 1bd0: 6fd8.*
+ 1bd1: 0c0e.*
+ 1bd2: 6fd8.*
+ 1bd3: 0d0e.*
+ 1bd4: 6fd8.*
+ 1bd5: 0c0e.*
+ 1bd6: 6fd8.*
+ 1bd7: 0e0e.*
+ 1bd8: 6fd8.*
+ 1bd9: 0f0e.*
+ 1bda: 6fd8.*
+ 1bdb: 0f0e.*
+ 1bdc: 6fd8.*
+ 1bdd: 0c0f.*
+ 1bde: 6fd8.*
+ 1bdf: 0d0f.*
+ 1be0: 6fd8.*
+ 1be1: 0c0f.*
+ 1be2: 6fd8.*
+ 1be3: 0e0f.*
+ 1be4: 6fd8.*
+ 1be5: 0f0f.*
+ 1be6: 6fd8.*
+ 1be7: 0f0f.*
+ 1be8: 00e0.*
+ 1be9: 0245.*
+ 1bea: 6fe0.*
+ 1beb: 0246.*
+ 1bec: 0d00.*
+ 1bed: 00e0.*
+ 1bee: 0247.*
+ 1bef: 6fe0.*
+ 1bf0: 0248.*
+ 1bf1: 0e00.*
+ 1bf2: 01e0.*
+ 1bf3: 0249.*
+ 1bf4: 01e0.*
+ 1bf5: 024a.*
+ 1bf6: 6fe0.*
+ 1bf7: 024b.*
+ 1bf8: 0c10.*
+ 1bf9: 6fe0.*
+ 1bfa: 024c.*
+ 1bfb: 0d10.*
+ 1bfc: 6fe0.*
+ 1bfd: 024d.*
+ 1bfe: 0c10.*
+ 1bff: 6fe0.*
+ 1c00: 024e.*
+ 1c01: 0e10.*
+ 1c02: 6fe0.*
+ 1c03: 024f.*
+ 1c04: 0f10.*
+ 1c05: 6fe0.*
+ 1c06: 0250.*
+ 1c07: 0f10.*
+ 1c08: 6fe0.*
+ 1c09: 0251.*
+ 1c0a: 0c11.*
+ 1c0b: 6fe0.*
+ 1c0c: 0252.*
+ 1c0d: 0d11.*
+ 1c0e: 6fe0.*
+ 1c0f: 0253.*
+ 1c10: 0c11.*
+ 1c11: 6fe0.*
+ 1c12: 0254.*
+ 1c13: 0e11.*
+ 1c14: 6fe0.*
+ 1c15: 0255.*
+ 1c16: 0f11.*
+ 1c17: 6fe0.*
+ 1c18: 0256.*
+ 1c19: 0f11.*
+ 1c1a: 6fe0.*
+ 1c1b: 0257.*
+ 1c1c: 0c12.*
+ 1c1d: 6fe0.*
+ 1c1e: 0258.*
+ 1c1f: 0d12.*
+ 1c20: 6fe0.*
+ 1c21: 0259.*
+ 1c22: 0c12.*
+ 1c23: 6fe0.*
+ 1c24: 025a.*
+ 1c25: 0e12.*
+ 1c26: 6fe0.*
+ 1c27: 025b.*
+ 1c28: 0f12.*
+ 1c29: 6fe0.*
+ 1c2a: 025c.*
+ 1c2b: 0f12.*
+ 1c2c: 6fe0.*
+ 1c2d: 025d.*
+ 1c2e: 0c13.*
+ 1c2f: 6fe0.*
+ 1c30: 025e.*
+ 1c31: 0d13.*
+ 1c32: 6fe0.*
+ 1c33: 025f.*
+ 1c34: 0c13.*
+ 1c35: 6fe0.*
+ 1c36: 0260.*
+ 1c37: 0e13.*
+ 1c38: 6fe0.*
+ 1c39: 0261.*
+ 1c3a: 0f13.*
+ 1c3b: 6fe0.*
+ 1c3c: 0262.*
+ 1c3d: 0f13.*
+ 1c3e: 6fe0.*
+ 1c3f: 0263.*
+ 1c40: 0c14.*
+ 1c41: 6fe0.*
+ 1c42: 0264.*
+ 1c43: 0d14.*
+ 1c44: 6fe0.*
+ 1c45: 0265.*
+ 1c46: 0c14.*
+ 1c47: 6fe0.*
+ 1c48: 0266.*
+ 1c49: 0e14.*
+ 1c4a: 6fe0.*
+ 1c4b: 0267.*
+ 1c4c: 0f14.*
+ 1c4d: 6fe0.*
+ 1c4e: 0268.*
+ 1c4f: 0f14.*
+ 1c50: 6fe0.*
+ 1c51: 0269.*
+ 1c52: 0c15.*
+ 1c53: 6fe0.*
+ 1c54: 026a.*
+ 1c55: 0d15.*
+ 1c56: 6fe0.*
+ 1c57: 026b.*
+ 1c58: 0c15.*
+ 1c59: 6fe0.*
+ 1c5a: 026c.*
+ 1c5b: 0e15.*
+ 1c5c: 6fe0.*
+ 1c5d: 026d.*
+ 1c5e: 0f15.*
+ 1c5f: 6fe0.*
+ 1c60: 026e.*
+ 1c61: 0f15.*
+ 1c62: 6fe0.*
+ 1c63: 026f.*
+ 1c64: 0c16.*
+ 1c65: 6fe0.*
+ 1c66: 0270.*
+ 1c67: 0d16.*
+ 1c68: 6fe0.*
+ 1c69: 0271.*
+ 1c6a: 0c16.*
+ 1c6b: 6fe0.*
+ 1c6c: 0272.*
+ 1c6d: 0e16.*
+ 1c6e: 6fe0.*
+ 1c6f: 0273.*
+ 1c70: 0f16.*
+ 1c71: 6fe0.*
+ 1c72: 0274.*
+ 1c73: 0f16.*
+ 1c74: 6fe0.*
+ 1c75: 0275.*
+ 1c76: 0c17.*
+ 1c77: 6fe0.*
+ 1c78: 0276.*
+ 1c79: 0d17.*
+ 1c7a: 6fe0.*
+ 1c7b: 0277.*
+ 1c7c: 0c17.*
+ 1c7d: 6fe0.*
+ 1c7e: 0278.*
+ 1c7f: 0e17.*
+ 1c80: 6fe0.*
+ 1c81: 0279.*
+ 1c82: 0f17.*
+ 1c83: 6fe0.*
+ 1c84: 027a.*
+ 1c85: 0f17.*
+ 1c86: 6fe0.*
+ 1c87: 027b.*
+ 1c88: 0c18.*
+ 1c89: 6fe0.*
+ 1c8a: 027c.*
+ 1c8b: 0d18.*
+ 1c8c: 6fe0.*
+ 1c8d: 027d.*
+ 1c8e: 0c18.*
+ 1c8f: 6fe0.*
+ 1c90: 027e.*
+ 1c91: 0e18.*
+ 1c92: 6fe0.*
+ 1c93: 027f.*
+ 1c94: 0f18.*
+ 1c95: 6fe0.*
+ 1c96: 0280.*
+ 1c97: 0f18.*
+ 1c98: 6fe0.*
+ 1c99: 0281.*
+ 1c9a: 0c19.*
+ 1c9b: 6fe0.*
+ 1c9c: 0282.*
+ 1c9d: 0d19.*
+ 1c9e: 6fe0.*
+ 1c9f: 0283.*
+ 1ca0: 0c19.*
+ 1ca1: 6fe0.*
+ 1ca2: 0284.*
+ 1ca3: 0e19.*
+ 1ca4: 6fe0.*
+ 1ca5: 0285.*
+ 1ca6: 0f19.*
+ 1ca7: 6fe0.*
+ 1ca8: 0286.*
+ 1ca9: 0f19.*
+ 1caa: 6fe0.*
+ 1cab: 0287.*
+ 1cac: 0c1a.*
+ 1cad: 6fe0.*
+ 1cae: 0288.*
+ 1caf: 0d1a.*
+ 1cb0: 6fe0.*
+ 1cb1: 0289.*
+ 1cb2: 0c1a.*
+ 1cb3: 6fe0.*
+ 1cb4: 028a.*
+ 1cb5: 0e1a.*
+ 1cb6: 6fe0.*
+ 1cb7: 028b.*
+ 1cb8: 0f1a.*
+ 1cb9: 6fe0.*
+ 1cba: 028c.*
+ 1cbb: 0f1a.*
+ 1cbc: 6fe0.*
+ 1cbd: 028d.*
+ 1cbe: 0c1b.*
+ 1cbf: 6fe0.*
+ 1cc0: 028e.*
+ 1cc1: 0d1b.*
+ 1cc2: 6fe0.*
+ 1cc3: 028f.*
+ 1cc4: 0c1b.*
+ 1cc5: 6fe0.*
+ 1cc6: 0290.*
+ 1cc7: 0e1b.*
+ 1cc8: 6fe0.*
+ 1cc9: 0291.*
+ 1cca: 0f1b.*
+ 1ccb: 6fe0.*
+ 1ccc: 0292.*
+ 1ccd: 0f1b.*
+ 1cce: 6fe0.*
+ 1ccf: 0293.*
+ 1cd0: 0c1c.*
+ 1cd1: 6fe0.*
+ 1cd2: 0294.*
+ 1cd3: 0d1c.*
+ 1cd4: 6fe0.*
+ 1cd5: 0295.*
+ 1cd6: 0c1c.*
+ 1cd7: 6fe0.*
+ 1cd8: 0296.*
+ 1cd9: 0e1c.*
+ 1cda: 6fe0.*
+ 1cdb: 0297.*
+ 1cdc: 0f1c.*
+ 1cdd: 6fe0.*
+ 1cde: 0298.*
+ 1cdf: 0f1c.*
+ 1ce0: 6fe0.*
+ 1ce1: 0299.*
+ 1ce2: 0c1d.*
+ 1ce3: 6fe0.*
+ 1ce4: 029a.*
+ 1ce5: 0d1d.*
+ 1ce6: 6fe0.*
+ 1ce7: 029b.*
+ 1ce8: 0c1d.*
+ 1ce9: 6fe0.*
+ 1cea: 029c.*
+ 1ceb: 0e1d.*
+ 1cec: 6fe0.*
+ 1ced: 029d.*
+ 1cee: 0f1d.*
+ 1cef: 6fe0.*
+ 1cf0: 029e.*
+ 1cf1: 0f1d.*
+ 1cf2: 6fe0.*
+ 1cf3: 029f.*
+ 1cf4: 0c1e.*
+ 1cf5: 6fe0.*
+ 1cf6: 02a0.*
+ 1cf7: 0d1e.*
+ 1cf8: 6fe0.*
+ 1cf9: 02a1.*
+ 1cfa: 0c1e.*
+ 1cfb: 6fe0.*
+ 1cfc: 02a2.*
+ 1cfd: 0e1e.*
+ 1cfe: 6fe0.*
+ 1cff: 02a3.*
+ 1d00: 0f1e.*
+ 1d01: 6fe0.*
+ 1d02: 02a4.*
+ 1d03: 0f1e.*
+ 1d04: 6fe0.*
+ 1d05: 02a5.*
+ 1d06: 0c1f.*
+ 1d07: 6fe0.*
+ 1d08: 02a6.*
+ 1d09: 0d1f.*
+ 1d0a: 6fe0.*
+ 1d0b: 02a7.*
+ 1d0c: 0c1f.*
+ 1d0d: 6fe0.*
+ 1d0e: 02a8.*
+ 1d0f: 0e1f.*
+ 1d10: 6fe0.*
+ 1d11: 02a9.*
+ 1d12: 0f1f.*
+ 1d13: 6fe0.*
+ 1d14: 02aa.*
+ 1d15: 0f1f.*
+ 1d16: 00e0.*
+ 1d17: 02ab.*
+ 1d18: 6fe0.*
+ 1d19: 02ac.*
+ 1d1a: 0d00.*
+ 1d1b: 00e0.*
+ 1d1c: 02ad.*
+ 1d1d: 6fe0.*
+ 1d1e: 02ae.*
+ 1d1f: 0e00.*
+ 1d20: 01e0.*
+ 1d21: 02af.*
+ 1d22: 01e0.*
+ 1d23: 02b0.*
+ 1d24: 6fe0.*
+ 1d25: 02b1.*
+ 1d26: 0c01.*
+ 1d27: 6fe0.*
+ 1d28: 02b2.*
+ 1d29: 0d01.*
+ 1d2a: 6fe0.*
+ 1d2b: 02b3.*
+ 1d2c: 0c01.*
+ 1d2d: 6fe0.*
+ 1d2e: 02b4.*
+ 1d2f: 0e01.*
+ 1d30: 6fe0.*
+ 1d31: 02b5.*
+ 1d32: 0f01.*
+ 1d33: 6fe0.*
+ 1d34: 02b6.*
+ 1d35: 0f01.*
+ 1d36: 6fe0.*
+ 1d37: 02b7.*
+ 1d38: 0c02.*
+ 1d39: 6fe0.*
+ 1d3a: 02b8.*
+ 1d3b: 0d02.*
+ 1d3c: 6fe0.*
+ 1d3d: 02b9.*
+ 1d3e: 0c02.*
+ 1d3f: 6fe0.*
+ 1d40: 02ba.*
+ 1d41: 0e02.*
+ 1d42: 6fe0.*
+ 1d43: 02bb.*
+ 1d44: 0f02.*
+ 1d45: 6fe0.*
+ 1d46: 02bc.*
+ 1d47: 0f02.*
+ 1d48: 6fe0.*
+ 1d49: 02bd.*
+ 1d4a: 0c03.*
+ 1d4b: 6fe0.*
+ 1d4c: 02be.*
+ 1d4d: 0d03.*
+ 1d4e: 6fe0.*
+ 1d4f: 02bf.*
+ 1d50: 0c03.*
+ 1d51: 6fe0.*
+ 1d52: 02c0.*
+ 1d53: 0e03.*
+ 1d54: 6fe0.*
+ 1d55: 02c1.*
+ 1d56: 0f03.*
+ 1d57: 6fe0.*
+ 1d58: 02c2.*
+ 1d59: 0f03.*
+ 1d5a: 6fe0.*
+ 1d5b: 02c3.*
+ 1d5c: 0c04.*
+ 1d5d: 6fe0.*
+ 1d5e: 02c4.*
+ 1d5f: 0d04.*
+ 1d60: 6fe0.*
+ 1d61: 02c5.*
+ 1d62: 0c04.*
+ 1d63: 6fe0.*
+ 1d64: 02c6.*
+ 1d65: 0e04.*
+ 1d66: 6fe0.*
+ 1d67: 02c7.*
+ 1d68: 0f04.*
+ 1d69: 6fe0.*
+ 1d6a: 02c8.*
+ 1d6b: 0f04.*
+ 1d6c: 6fe0.*
+ 1d6d: 02c9.*
+ 1d6e: 0c05.*
+ 1d6f: 6fe0.*
+ 1d70: 02ca.*
+ 1d71: 0d05.*
+ 1d72: 6fe0.*
+ 1d73: 02cb.*
+ 1d74: 0c05.*
+ 1d75: 6fe0.*
+ 1d76: 02cc.*
+ 1d77: 0e05.*
+ 1d78: 6fe0.*
+ 1d79: 02cd.*
+ 1d7a: 0f05.*
+ 1d7b: 6fe0.*
+ 1d7c: 02ce.*
+ 1d7d: 0f05.*
+ 1d7e: 6fe0.*
+ 1d7f: 02cf.*
+ 1d80: 0c06.*
+ 1d81: 6fe0.*
+ 1d82: 02d0.*
+ 1d83: 0d06.*
+ 1d84: 6fe0.*
+ 1d85: 02d1.*
+ 1d86: 0c06.*
+ 1d87: 6fe0.*
+ 1d88: 02d2.*
+ 1d89: 0e06.*
+ 1d8a: 6fe0.*
+ 1d8b: 02d3.*
+ 1d8c: 0f06.*
+ 1d8d: 6fe0.*
+ 1d8e: 02d4.*
+ 1d8f: 0f06.*
+ 1d90: 6fe0.*
+ 1d91: 02d5.*
+ 1d92: 0c07.*
+ 1d93: 6fe0.*
+ 1d94: 02d6.*
+ 1d95: 0d07.*
+ 1d96: 6fe0.*
+ 1d97: 02d7.*
+ 1d98: 0c07.*
+ 1d99: 6fe0.*
+ 1d9a: 02d8.*
+ 1d9b: 0e07.*
+ 1d9c: 6fe0.*
+ 1d9d: 02d9.*
+ 1d9e: 0f07.*
+ 1d9f: 6fe0.*
+ 1da0: 02da.*
+ 1da1: 0f07.*
+ 1da2: 6fe0.*
+ 1da3: 02db.*
+ 1da4: 0c08.*
+ 1da5: 6fe0.*
+ 1da6: 02dc.*
+ 1da7: 0d08.*
+ 1da8: 6fe0.*
+ 1da9: 02dd.*
+ 1daa: 0c08.*
+ 1dab: 6fe0.*
+ 1dac: 02de.*
+ 1dad: 0e08.*
+ 1dae: 6fe0.*
+ 1daf: 02df.*
+ 1db0: 0f08.*
+ 1db1: 6fe0.*
+ 1db2: 02e0.*
+ 1db3: 0f08.*
+ 1db4: 6fe0.*
+ 1db5: 02e1.*
+ 1db6: 0c09.*
+ 1db7: 6fe0.*
+ 1db8: 02e2.*
+ 1db9: 0d09.*
+ 1dba: 6fe0.*
+ 1dbb: 02e3.*
+ 1dbc: 0c09.*
+ 1dbd: 6fe0.*
+ 1dbe: 02e4.*
+ 1dbf: 0e09.*
+ 1dc0: 6fe0.*
+ 1dc1: 02e5.*
+ 1dc2: 0f09.*
+ 1dc3: 6fe0.*
+ 1dc4: 02e6.*
+ 1dc5: 0f09.*
+ 1dc6: 6fe0.*
+ 1dc7: 02e7.*
+ 1dc8: 0c0a.*
+ 1dc9: 6fe0.*
+ 1dca: 02e8.*
+ 1dcb: 0d0a.*
+ 1dcc: 6fe0.*
+ 1dcd: 02e9.*
+ 1dce: 0c0a.*
+ 1dcf: 6fe0.*
+ 1dd0: 02ea.*
+ 1dd1: 0e0a.*
+ 1dd2: 6fe0.*
+ 1dd3: 02eb.*
+ 1dd4: 0f0a.*
+ 1dd5: 6fe0.*
+ 1dd6: 02ec.*
+ 1dd7: 0f0a.*
+ 1dd8: 6fe0.*
+ 1dd9: 02ed.*
+ 1dda: 0c0b.*
+ 1ddb: 6fe0.*
+ 1ddc: 02ee.*
+ 1ddd: 0d0b.*
+ 1dde: 6fe0.*
+ 1ddf: 02ef.*
+ 1de0: 0c0b.*
+ 1de1: 6fe0.*
+ 1de2: 02f0.*
+ 1de3: 0e0b.*
+ 1de4: 6fe0.*
+ 1de5: 02f1.*
+ 1de6: 0f0b.*
+ 1de7: 6fe0.*
+ 1de8: 02f2.*
+ 1de9: 0f0b.*
+ 1dea: 6fe0.*
+ 1deb: 02f3.*
+ 1dec: 0c0c.*
+ 1ded: 6fe0.*
+ 1dee: 02f4.*
+ 1def: 0d0c.*
+ 1df0: 6fe0.*
+ 1df1: 02f5.*
+ 1df2: 0c0c.*
+ 1df3: 6fe0.*
+ 1df4: 02f6.*
+ 1df5: 0e0c.*
+ 1df6: 6fe0.*
+ 1df7: 02f7.*
+ 1df8: 0f0c.*
+ 1df9: 6fe0.*
+ 1dfa: 02f8.*
+ 1dfb: 0f0c.*
+ 1dfc: 6fe0.*
+ 1dfd: 02f9.*
+ 1dfe: 0c0d.*
+ 1dff: 6fe0.*
+ 1e00: 02fa.*
+ 1e01: 0d0d.*
+ 1e02: 6fe0.*
+ 1e03: 02fb.*
+ 1e04: 0c0d.*
+ 1e05: 6fe0.*
+ 1e06: 02fc.*
+ 1e07: 0e0d.*
+ 1e08: 6fe0.*
+ 1e09: 02fd.*
+ 1e0a: 0f0d.*
+ 1e0b: 6fe0.*
+ 1e0c: 02fe.*
+ 1e0d: 0f0d.*
+ 1e0e: 6fe0.*
+ 1e0f: 02ff.*
+ 1e10: 0c0e.*
+ 1e11: 6fe0.*
+ 1e12: 0300.*
+ 1e13: 0d0e.*
+ 1e14: 6fe0.*
+ 1e15: 0301.*
+ 1e16: 0c0e.*
+ 1e17: 6fe0.*
+ 1e18: 0302.*
+ 1e19: 0e0e.*
+ 1e1a: 6fe0.*
+ 1e1b: 0303.*
+ 1e1c: 0f0e.*
+ 1e1d: 6fe0.*
+ 1e1e: 0304.*
+ 1e1f: 0f0e.*
+ 1e20: 6fe0.*
+ 1e21: 0305.*
+ 1e22: 0c0f.*
+ 1e23: 6fe0.*
+ 1e24: 0306.*
+ 1e25: 0d0f.*
+ 1e26: 6fe0.*
+ 1e27: 0307.*
+ 1e28: 0c0f.*
+ 1e29: 6fe0.*
+ 1e2a: 0308.*
+ 1e2b: 0e0f.*
+ 1e2c: 6fe0.*
+ 1e2d: 0309.*
+ 1e2e: 0f0f.*
+ 1e2f: 6fe0.*
+ 1e30: 030a.*
+ 1e31: 0f0f.*
+ 1e32: 00e8.*
+ 1e33: 030b.*
+ 1e34: 6fe8.*
+ 1e35: 030c.*
+ 1e36: 0d00.*
+ 1e37: 00e8.*
+ 1e38: 030d.*
+ 1e39: 6fe8.*
+ 1e3a: 030e.*
+ 1e3b: 0e00.*
+ 1e3c: 01e8.*
+ 1e3d: 030f.*
+ 1e3e: 01e8.*
+ 1e3f: 0310.*
+ 1e40: 6fe8.*
+ 1e41: 0311.*
+ 1e42: 0c10.*
+ 1e43: 6fe8.*
+ 1e44: 0312.*
+ 1e45: 0d10.*
+ 1e46: 6fe8.*
+ 1e47: 0313.*
+ 1e48: 0c10.*
+ 1e49: 6fe8.*
+ 1e4a: 0314.*
+ 1e4b: 0e10.*
+ 1e4c: 6fe8.*
+ 1e4d: 0315.*
+ 1e4e: 0f10.*
+ 1e4f: 6fe8.*
+ 1e50: 0316.*
+ 1e51: 0f10.*
+ 1e52: 6fe8.*
+ 1e53: 0317.*
+ 1e54: 0c11.*
+ 1e55: 6fe8.*
+ 1e56: 0318.*
+ 1e57: 0d11.*
+ 1e58: 6fe8.*
+ 1e59: 0319.*
+ 1e5a: 0c11.*
+ 1e5b: 6fe8.*
+ 1e5c: 031a.*
+ 1e5d: 0e11.*
+ 1e5e: 6fe8.*
+ 1e5f: 031b.*
+ 1e60: 0f11.*
+ 1e61: 6fe8.*
+ 1e62: 031c.*
+ 1e63: 0f11.*
+ 1e64: 6fe8.*
+ 1e65: 031d.*
+ 1e66: 0c12.*
+ 1e67: 6fe8.*
+ 1e68: 031e.*
+ 1e69: 0d12.*
+ 1e6a: 6fe8.*
+ 1e6b: 031f.*
+ 1e6c: 0c12.*
+ 1e6d: 6fe8.*
+ 1e6e: 0320.*
+ 1e6f: 0e12.*
+ 1e70: 6fe8.*
+ 1e71: 0321.*
+ 1e72: 0f12.*
+ 1e73: 6fe8.*
+ 1e74: 0322.*
+ 1e75: 0f12.*
+ 1e76: 6fe8.*
+ 1e77: 0323.*
+ 1e78: 0c13.*
+ 1e79: 6fe8.*
+ 1e7a: 0324.*
+ 1e7b: 0d13.*
+ 1e7c: 6fe8.*
+ 1e7d: 0325.*
+ 1e7e: 0c13.*
+ 1e7f: 6fe8.*
+ 1e80: 0326.*
+ 1e81: 0e13.*
+ 1e82: 6fe8.*
+ 1e83: 0327.*
+ 1e84: 0f13.*
+ 1e85: 6fe8.*
+ 1e86: 0328.*
+ 1e87: 0f13.*
+ 1e88: 6fe8.*
+ 1e89: 0329.*
+ 1e8a: 0c14.*
+ 1e8b: 6fe8.*
+ 1e8c: 032a.*
+ 1e8d: 0d14.*
+ 1e8e: 6fe8.*
+ 1e8f: 032b.*
+ 1e90: 0c14.*
+ 1e91: 6fe8.*
+ 1e92: 032c.*
+ 1e93: 0e14.*
+ 1e94: 6fe8.*
+ 1e95: 032d.*
+ 1e96: 0f14.*
+ 1e97: 6fe8.*
+ 1e98: 032e.*
+ 1e99: 0f14.*
+ 1e9a: 6fe8.*
+ 1e9b: 032f.*
+ 1e9c: 0c15.*
+ 1e9d: 6fe8.*
+ 1e9e: 0330.*
+ 1e9f: 0d15.*
+ 1ea0: 6fe8.*
+ 1ea1: 0331.*
+ 1ea2: 0c15.*
+ 1ea3: 6fe8.*
+ 1ea4: 0332.*
+ 1ea5: 0e15.*
+ 1ea6: 6fe8.*
+ 1ea7: 0333.*
+ 1ea8: 0f15.*
+ 1ea9: 6fe8.*
+ 1eaa: 0334.*
+ 1eab: 0f15.*
+ 1eac: 6fe8.*
+ 1ead: 0335.*
+ 1eae: 0c16.*
+ 1eaf: 6fe8.*
+ 1eb0: 0336.*
+ 1eb1: 0d16.*
+ 1eb2: 6fe8.*
+ 1eb3: 0337.*
+ 1eb4: 0c16.*
+ 1eb5: 6fe8.*
+ 1eb6: 0338.*
+ 1eb7: 0e16.*
+ 1eb8: 6fe8.*
+ 1eb9: 0339.*
+ 1eba: 0f16.*
+ 1ebb: 6fe8.*
+ 1ebc: 033a.*
+ 1ebd: 0f16.*
+ 1ebe: 6fe8.*
+ 1ebf: 033b.*
+ 1ec0: 0c17.*
+ 1ec1: 6fe8.*
+ 1ec2: 033c.*
+ 1ec3: 0d17.*
+ 1ec4: 6fe8.*
+ 1ec5: 033d.*
+ 1ec6: 0c17.*
+ 1ec7: 6fe8.*
+ 1ec8: 033e.*
+ 1ec9: 0e17.*
+ 1eca: 6fe8.*
+ 1ecb: 033f.*
+ 1ecc: 0f17.*
+ 1ecd: 6fe8.*
+ 1ece: 0340.*
+ 1ecf: 0f17.*
+ 1ed0: 6fe8.*
+ 1ed1: 0341.*
+ 1ed2: 0c18.*
+ 1ed3: 6fe8.*
+ 1ed4: 0342.*
+ 1ed5: 0d18.*
+ 1ed6: 6fe8.*
+ 1ed7: 0343.*
+ 1ed8: 0c18.*
+ 1ed9: 6fe8.*
+ 1eda: 0344.*
+ 1edb: 0e18.*
+ 1edc: 6fe8.*
+ 1edd: 0345.*
+ 1ede: 0f18.*
+ 1edf: 6fe8.*
+ 1ee0: 0346.*
+ 1ee1: 0f18.*
+ 1ee2: 6fe8.*
+ 1ee3: 0347.*
+ 1ee4: 0c19.*
+ 1ee5: 6fe8.*
+ 1ee6: 0348.*
+ 1ee7: 0d19.*
+ 1ee8: 6fe8.*
+ 1ee9: 0349.*
+ 1eea: 0c19.*
+ 1eeb: 6fe8.*
+ 1eec: 034a.*
+ 1eed: 0e19.*
+ 1eee: 6fe8.*
+ 1eef: 034b.*
+ 1ef0: 0f19.*
+ 1ef1: 6fe8.*
+ 1ef2: 034c.*
+ 1ef3: 0f19.*
+ 1ef4: 6fe8.*
+ 1ef5: 034d.*
+ 1ef6: 0c1a.*
+ 1ef7: 6fe8.*
+ 1ef8: 034e.*
+ 1ef9: 0d1a.*
+ 1efa: 6fe8.*
+ 1efb: 034f.*
+ 1efc: 0c1a.*
+ 1efd: 6fe8.*
+ 1efe: 0350.*
+ 1eff: 0e1a.*
+ 1f00: 6fe8.*
+ 1f01: 0351.*
+ 1f02: 0f1a.*
+ 1f03: 6fe8.*
+ 1f04: 0352.*
+ 1f05: 0f1a.*
+ 1f06: 6fe8.*
+ 1f07: 0353.*
+ 1f08: 0c1b.*
+ 1f09: 6fe8.*
+ 1f0a: 0354.*
+ 1f0b: 0d1b.*
+ 1f0c: 6fe8.*
+ 1f0d: 0355.*
+ 1f0e: 0c1b.*
+ 1f0f: 6fe8.*
+ 1f10: 0356.*
+ 1f11: 0e1b.*
+ 1f12: 6fe8.*
+ 1f13: 0357.*
+ 1f14: 0f1b.*
+ 1f15: 6fe8.*
+ 1f16: 0358.*
+ 1f17: 0f1b.*
+ 1f18: 6fe8.*
+ 1f19: 0359.*
+ 1f1a: 0c1c.*
+ 1f1b: 6fe8.*
+ 1f1c: 035a.*
+ 1f1d: 0d1c.*
+ 1f1e: 6fe8.*
+ 1f1f: 035b.*
+ 1f20: 0c1c.*
+ 1f21: 6fe8.*
+ 1f22: 035c.*
+ 1f23: 0e1c.*
+ 1f24: 6fe8.*
+ 1f25: 035d.*
+ 1f26: 0f1c.*
+ 1f27: 6fe8.*
+ 1f28: 035e.*
+ 1f29: 0f1c.*
+ 1f2a: 6fe8.*
+ 1f2b: 035f.*
+ 1f2c: 0c1d.*
+ 1f2d: 6fe8.*
+ 1f2e: 0360.*
+ 1f2f: 0d1d.*
+ 1f30: 6fe8.*
+ 1f31: 0361.*
+ 1f32: 0c1d.*
+ 1f33: 6fe8.*
+ 1f34: 0362.*
+ 1f35: 0e1d.*
+ 1f36: 6fe8.*
+ 1f37: 0363.*
+ 1f38: 0f1d.*
+ 1f39: 6fe8.*
+ 1f3a: 0364.*
+ 1f3b: 0f1d.*
+ 1f3c: 6fe8.*
+ 1f3d: 0365.*
+ 1f3e: 0c1e.*
+ 1f3f: 6fe8.*
+ 1f40: 0366.*
+ 1f41: 0d1e.*
+ 1f42: 6fe8.*
+ 1f43: 0367.*
+ 1f44: 0c1e.*
+ 1f45: 6fe8.*
+ 1f46: 0368.*
+ 1f47: 0e1e.*
+ 1f48: 6fe8.*
+ 1f49: 0369.*
+ 1f4a: 0f1e.*
+ 1f4b: 6fe8.*
+ 1f4c: 036a.*
+ 1f4d: 0f1e.*
+ 1f4e: 6fe8.*
+ 1f4f: 036b.*
+ 1f50: 0c1f.*
+ 1f51: 6fe8.*
+ 1f52: 036c.*
+ 1f53: 0d1f.*
+ 1f54: 6fe8.*
+ 1f55: 036d.*
+ 1f56: 0c1f.*
+ 1f57: 6fe8.*
+ 1f58: 036e.*
+ 1f59: 0e1f.*
+ 1f5a: 6fe8.*
+ 1f5b: 036f.*
+ 1f5c: 0f1f.*
+ 1f5d: 6fe8.*
+ 1f5e: 0370.*
+ 1f5f: 0f1f.*
+ 1f60: 00e8.*
+ 1f61: 0371.*
+ 1f62: 6fe8.*
+ 1f63: 0372.*
+ 1f64: 0d00.*
+ 1f65: 00e8.*
+ 1f66: 0373.*
+ 1f67: 6fe8.*
+ 1f68: 0374.*
+ 1f69: 0e00.*
+ 1f6a: 01e8.*
+ 1f6b: 0375.*
+ 1f6c: 01e8.*
+ 1f6d: 0376.*
+ 1f6e: 6fe8.*
+ 1f6f: 0377.*
+ 1f70: 0c01.*
+ 1f71: 6fe8.*
+ 1f72: 0378.*
+ 1f73: 0d01.*
+ 1f74: 6fe8.*
+ 1f75: 0379.*
+ 1f76: 0c01.*
+ 1f77: 6fe8.*
+ 1f78: 037a.*
+ 1f79: 0e01.*
+ 1f7a: 6fe8.*
+ 1f7b: 037b.*
+ 1f7c: 0f01.*
+ 1f7d: 6fe8.*
+ 1f7e: 037c.*
+ 1f7f: 0f01.*
+ 1f80: 6fe8.*
+ 1f81: 037d.*
+ 1f82: 0c02.*
+ 1f83: 6fe8.*
+ 1f84: 037e.*
+ 1f85: 0d02.*
+ 1f86: 6fe8.*
+ 1f87: 037f.*
+ 1f88: 0c02.*
+ 1f89: 6fe8.*
+ 1f8a: 0380.*
+ 1f8b: 0e02.*
+ 1f8c: 6fe8.*
+ 1f8d: 0381.*
+ 1f8e: 0f02.*
+ 1f8f: 6fe8.*
+ 1f90: 0382.*
+ 1f91: 0f02.*
+ 1f92: 6fe8.*
+ 1f93: 0383.*
+ 1f94: 0c03.*
+ 1f95: 6fe8.*
+ 1f96: 0384.*
+ 1f97: 0d03.*
+ 1f98: 6fe8.*
+ 1f99: 0385.*
+ 1f9a: 0c03.*
+ 1f9b: 6fe8.*
+ 1f9c: 0386.*
+ 1f9d: 0e03.*
+ 1f9e: 6fe8.*
+ 1f9f: 0387.*
+ 1fa0: 0f03.*
+ 1fa1: 6fe8.*
+ 1fa2: 0388.*
+ 1fa3: 0f03.*
+ 1fa4: 6fe8.*
+ 1fa5: 0389.*
+ 1fa6: 0c04.*
+ 1fa7: 6fe8.*
+ 1fa8: 038a.*
+ 1fa9: 0d04.*
+ 1faa: 6fe8.*
+ 1fab: 038b.*
+ 1fac: 0c04.*
+ 1fad: 6fe8.*
+ 1fae: 038c.*
+ 1faf: 0e04.*
+ 1fb0: 6fe8.*
+ 1fb1: 038d.*
+ 1fb2: 0f04.*
+ 1fb3: 6fe8.*
+ 1fb4: 038e.*
+ 1fb5: 0f04.*
+ 1fb6: 6fe8.*
+ 1fb7: 038f.*
+ 1fb8: 0c05.*
+ 1fb9: 6fe8.*
+ 1fba: 0390.*
+ 1fbb: 0d05.*
+ 1fbc: 6fe8.*
+ 1fbd: 0391.*
+ 1fbe: 0c05.*
+ 1fbf: 6fe8.*
+ 1fc0: 0392.*
+ 1fc1: 0e05.*
+ 1fc2: 6fe8.*
+ 1fc3: 0393.*
+ 1fc4: 0f05.*
+ 1fc5: 6fe8.*
+ 1fc6: 0394.*
+ 1fc7: 0f05.*
+ 1fc8: 6fe8.*
+ 1fc9: 0395.*
+ 1fca: 0c06.*
+ 1fcb: 6fe8.*
+ 1fcc: 0396.*
+ 1fcd: 0d06.*
+ 1fce: 6fe8.*
+ 1fcf: 0397.*
+ 1fd0: 0c06.*
+ 1fd1: 6fe8.*
+ 1fd2: 0398.*
+ 1fd3: 0e06.*
+ 1fd4: 6fe8.*
+ 1fd5: 0399.*
+ 1fd6: 0f06.*
+ 1fd7: 6fe8.*
+ 1fd8: 039a.*
+ 1fd9: 0f06.*
+ 1fda: 6fe8.*
+ 1fdb: 039b.*
+ 1fdc: 0c07.*
+ 1fdd: 6fe8.*
+ 1fde: 039c.*
+ 1fdf: 0d07.*
+ 1fe0: 6fe8.*
+ 1fe1: 039d.*
+ 1fe2: 0c07.*
+ 1fe3: 6fe8.*
+ 1fe4: 039e.*
+ 1fe5: 0e07.*
+ 1fe6: 6fe8.*
+ 1fe7: 039f.*
+ 1fe8: 0f07.*
+ 1fe9: 6fe8.*
+ 1fea: 03a0.*
+ 1feb: 0f07.*
+ 1fec: 6fe8.*
+ 1fed: 03a1.*
+ 1fee: 0c08.*
+ 1fef: 6fe8.*
+ 1ff0: 03a2.*
+ 1ff1: 0d08.*
+ 1ff2: 6fe8.*
+ 1ff3: 03a3.*
+ 1ff4: 0c08.*
+ 1ff5: 6fe8.*
+ 1ff6: 03a4.*
+ 1ff7: 0e08.*
+ 1ff8: 6fe8.*
+ 1ff9: 03a5.*
+ 1ffa: 0f08.*
+ 1ffb: 6fe8.*
+ 1ffc: 03a6.*
+ 1ffd: 0f08.*
+ 1ffe: 6fe8.*
+ 1fff: 03a7.*
+ 2000: 0c09.*
+ 2001: 6fe8.*
+ 2002: 03a8.*
+ 2003: 0d09.*
+ 2004: 6fe8.*
+ 2005: 03a9.*
+ 2006: 0c09.*
+ 2007: 6fe8.*
+ 2008: 03aa.*
+ 2009: 0e09.*
+ 200a: 6fe8.*
+ 200b: 03ab.*
+ 200c: 0f09.*
+ 200d: 6fe8.*
+ 200e: 03ac.*
+ 200f: 0f09.*
+ 2010: 6fe8.*
+ 2011: 03ad.*
+ 2012: 0c0a.*
+ 2013: 6fe8.*
+ 2014: 03ae.*
+ 2015: 0d0a.*
+ 2016: 6fe8.*
+ 2017: 03af.*
+ 2018: 0c0a.*
+ 2019: 6fe8.*
+ 201a: 03b0.*
+ 201b: 0e0a.*
+ 201c: 6fe8.*
+ 201d: 03b1.*
+ 201e: 0f0a.*
+ 201f: 6fe8.*
+ 2020: 03b2.*
+ 2021: 0f0a.*
+ 2022: 6fe8.*
+ 2023: 03b3.*
+ 2024: 0c0b.*
+ 2025: 6fe8.*
+ 2026: 03b4.*
+ 2027: 0d0b.*
+ 2028: 6fe8.*
+ 2029: 03b5.*
+ 202a: 0c0b.*
+ 202b: 6fe8.*
+ 202c: 03b6.*
+ 202d: 0e0b.*
+ 202e: 6fe8.*
+ 202f: 03b7.*
+ 2030: 0f0b.*
+ 2031: 6fe8.*
+ 2032: 03b8.*
+ 2033: 0f0b.*
+ 2034: 6fe8.*
+ 2035: 03b9.*
+ 2036: 0c0c.*
+ 2037: 6fe8.*
+ 2038: 03ba.*
+ 2039: 0d0c.*
+ 203a: 6fe8.*
+ 203b: 03bb.*
+ 203c: 0c0c.*
+ 203d: 6fe8.*
+ 203e: 03bc.*
+ 203f: 0e0c.*
+ 2040: 6fe8.*
+ 2041: 03bd.*
+ 2042: 0f0c.*
+ 2043: 6fe8.*
+ 2044: 03be.*
+ 2045: 0f0c.*
+ 2046: 6fe8.*
+ 2047: 03bf.*
+ 2048: 0c0d.*
+ 2049: 6fe8.*
+ 204a: 03c0.*
+ 204b: 0d0d.*
+ 204c: 6fe8.*
+ 204d: 03c1.*
+ 204e: 0c0d.*
+ 204f: 6fe8.*
+ 2050: 03c2.*
+ 2051: 0e0d.*
+ 2052: 6fe8.*
+ 2053: 03c3.*
+ 2054: 0f0d.*
+ 2055: 6fe8.*
+ 2056: 03c4.*
+ 2057: 0f0d.*
+ 2058: 6fe8.*
+ 2059: 03c5.*
+ 205a: 0c0e.*
+ 205b: 6fe8.*
+ 205c: 03c6.*
+ 205d: 0d0e.*
+ 205e: 6fe8.*
+ 205f: 03c7.*
+ 2060: 0c0e.*
+ 2061: 6fe8.*
+ 2062: 03c8.*
+ 2063: 0e0e.*
+ 2064: 6fe8.*
+ 2065: 03c9.*
+ 2066: 0f0e.*
+ 2067: 6fe8.*
+ 2068: 03ca.*
+ 2069: 0f0e.*
+ 206a: 6fe8.*
+ 206b: 03cb.*
+ 206c: 0c0f.*
+ 206d: 6fe8.*
+ 206e: 03cc.*
+ 206f: 0d0f.*
+ 2070: 6fe8.*
+ 2071: 03cd.*
+ 2072: 0c0f.*
+ 2073: 6fe8.*
+ 2074: 03ce.*
+ 2075: 0e0f.*
+ 2076: 6fe8.*
+ 2077: 03cf.*
+ 2078: 0f0f.*
+ 2079: 6fe8.*
+ 207a: 03d0.*
+ 207b: 0f0f.*
+ 207c: 00f0.*
+ 207d: 03d1.*
+ 207e: 6ff0.*
+ 207f: 03d2.*
+ 2080: 0d00.*
+ 2081: 00f0.*
+ 2082: 03d3.*
+ 2083: 6ff0.*
+ 2084: 03d4.*
+ 2085: 0e00.*
+ 2086: 01f0.*
+ 2087: 03d5.*
+ 2088: 01f0.*
+ 2089: 03d6.*
+ 208a: 6ff0.*
+ 208b: 03d7.*
+ 208c: 0c10.*
+ 208d: 6ff0.*
+ 208e: 03d8.*
+ 208f: 0d10.*
+ 2090: 6ff0.*
+ 2091: 03d9.*
+ 2092: 0c10.*
+ 2093: 6ff0.*
+ 2094: 03da.*
+ 2095: 0e10.*
+ 2096: 6ff0.*
+ 2097: 03db.*
+ 2098: 0f10.*
+ 2099: 6ff0.*
+ 209a: 03dc.*
+ 209b: 0f10.*
+ 209c: 6ff0.*
+ 209d: 03dd.*
+ 209e: 0c11.*
+ 209f: 6ff0.*
+ 20a0: 03de.*
+ 20a1: 0d11.*
+ 20a2: 6ff0.*
+ 20a3: 03df.*
+ 20a4: 0c11.*
+ 20a5: 6ff0.*
+ 20a6: 03e0.*
+ 20a7: 0e11.*
+ 20a8: 6ff0.*
+ 20a9: 03e1.*
+ 20aa: 0f11.*
+ 20ab: 6ff0.*
+ 20ac: 03e2.*
+ 20ad: 0f11.*
+ 20ae: 6ff0.*
+ 20af: 03e3.*
+ 20b0: 0c12.*
+ 20b1: 6ff0.*
+ 20b2: 03e4.*
+ 20b3: 0d12.*
+ 20b4: 6ff0.*
+ 20b5: 03e5.*
+ 20b6: 0c12.*
+ 20b7: 6ff0.*
+ 20b8: 03e6.*
+ 20b9: 0e12.*
+ 20ba: 6ff0.*
+ 20bb: 03e7.*
+ 20bc: 0f12.*
+ 20bd: 6ff0.*
+ 20be: 03e8.*
+ 20bf: 0f12.*
+ 20c0: 6ff0.*
+ 20c1: 03e9.*
+ 20c2: 0c13.*
+ 20c3: 6ff0.*
+ 20c4: 03ea.*
+ 20c5: 0d13.*
+ 20c6: 6ff0.*
+ 20c7: 03eb.*
+ 20c8: 0c13.*
+ 20c9: 6ff0.*
+ 20ca: 03ec.*
+ 20cb: 0e13.*
+ 20cc: 6ff0.*
+ 20cd: 03ed.*
+ 20ce: 0f13.*
+ 20cf: 6ff0.*
+ 20d0: 03ee.*
+ 20d1: 0f13.*
+ 20d2: 6ff0.*
+ 20d3: 03ef.*
+ 20d4: 0c14.*
+ 20d5: 6ff0.*
+ 20d6: 03f0.*
+ 20d7: 0d14.*
+ 20d8: 6ff0.*
+ 20d9: 03f1.*
+ 20da: 0c14.*
+ 20db: 6ff0.*
+ 20dc: 03f2.*
+ 20dd: 0e14.*
+ 20de: 6ff0.*
+ 20df: 03f3.*
+ 20e0: 0f14.*
+ 20e1: 6ff0.*
+ 20e2: 03f4.*
+ 20e3: 0f14.*
+ 20e4: 6ff0.*
+ 20e5: 03f5.*
+ 20e6: 0c15.*
+ 20e7: 6ff0.*
+ 20e8: 03f6.*
+ 20e9: 0d15.*
+ 20ea: 6ff0.*
+ 20eb: 03f7.*
+ 20ec: 0c15.*
+ 20ed: 6ff0.*
+ 20ee: 03f8.*
+ 20ef: 0e15.*
+ 20f0: 6ff0.*
+ 20f1: 03f9.*
+ 20f2: 0f15.*
+ 20f3: 6ff0.*
+ 20f4: 03fa.*
+ 20f5: 0f15.*
+ 20f6: 6ff0.*
+ 20f7: 03fb.*
+ 20f8: 0c16.*
+ 20f9: 6ff0.*
+ 20fa: 03fc.*
+ 20fb: 0d16.*
+ 20fc: 6ff0.*
+ 20fd: 03fd.*
+ 20fe: 0c16.*
+ 20ff: 6ff0.*
+ 2100: 03fe.*
+ 2101: 0e16.*
+ 2102: 6ff0.*
+ 2103: 03ff.*
+ 2104: 0f16.*
+ 2105: 6ff0.*
+ 2106: 0400.*
+ 2107: 0f16.*
+ 2108: 6ff0.*
+ 2109: 0401.*
+ 210a: 0c17.*
+ 210b: 6ff0.*
+ 210c: 0402.*
+ 210d: 0d17.*
+ 210e: 6ff0.*
+ 210f: 0403.*
+ 2110: 0c17.*
+ 2111: 6ff0.*
+ 2112: 0404.*
+ 2113: 0e17.*
+ 2114: 6ff0.*
+ 2115: 0405.*
+ 2116: 0f17.*
+ 2117: 6ff0.*
+ 2118: 0406.*
+ 2119: 0f17.*
+ 211a: 6ff0.*
+ 211b: 0407.*
+ 211c: 0c18.*
+ 211d: 6ff0.*
+ 211e: 0408.*
+ 211f: 0d18.*
+ 2120: 6ff0.*
+ 2121: 0409.*
+ 2122: 0c18.*
+ 2123: 6ff0.*
+ 2124: 040a.*
+ 2125: 0e18.*
+ 2126: 6ff0.*
+ 2127: 040b.*
+ 2128: 0f18.*
+ 2129: 6ff0.*
+ 212a: 040c.*
+ 212b: 0f18.*
+ 212c: 6ff0.*
+ 212d: 040d.*
+ 212e: 0c19.*
+ 212f: 6ff0.*
+ 2130: 040e.*
+ 2131: 0d19.*
+ 2132: 6ff0.*
+ 2133: 040f.*
+ 2134: 0c19.*
+ 2135: 6ff0.*
+ 2136: 0410.*
+ 2137: 0e19.*
+ 2138: 6ff0.*
+ 2139: 0411.*
+ 213a: 0f19.*
+ 213b: 6ff0.*
+ 213c: 0412.*
+ 213d: 0f19.*
+ 213e: 6ff0.*
+ 213f: 0413.*
+ 2140: 0c1a.*
+ 2141: 6ff0.*
+ 2142: 0414.*
+ 2143: 0d1a.*
+ 2144: 6ff0.*
+ 2145: 0415.*
+ 2146: 0c1a.*
+ 2147: 6ff0.*
+ 2148: 0416.*
+ 2149: 0e1a.*
+ 214a: 6ff0.*
+ 214b: 0417.*
+ 214c: 0f1a.*
+ 214d: 6ff0.*
+ 214e: 0418.*
+ 214f: 0f1a.*
+ 2150: 6ff0.*
+ 2151: 0419.*
+ 2152: 0c1b.*
+ 2153: 6ff0.*
+ 2154: 041a.*
+ 2155: 0d1b.*
+ 2156: 6ff0.*
+ 2157: 041b.*
+ 2158: 0c1b.*
+ 2159: 6ff0.*
+ 215a: 041c.*
+ 215b: 0e1b.*
+ 215c: 6ff0.*
+ 215d: 041d.*
+ 215e: 0f1b.*
+ 215f: 6ff0.*
+ 2160: 041e.*
+ 2161: 0f1b.*
+ 2162: 6ff0.*
+ 2163: 041f.*
+ 2164: 0c1c.*
+ 2165: 6ff0.*
+ 2166: 0420.*
+ 2167: 0d1c.*
+ 2168: 6ff0.*
+ 2169: 0421.*
+ 216a: 0c1c.*
+ 216b: 6ff0.*
+ 216c: 0422.*
+ 216d: 0e1c.*
+ 216e: 6ff0.*
+ 216f: 0423.*
+ 2170: 0f1c.*
+ 2171: 6ff0.*
+ 2172: 0424.*
+ 2173: 0f1c.*
+ 2174: 6ff0.*
+ 2175: 0425.*
+ 2176: 0c1d.*
+ 2177: 6ff0.*
+ 2178: 0426.*
+ 2179: 0d1d.*
+ 217a: 6ff0.*
+ 217b: 0427.*
+ 217c: 0c1d.*
+ 217d: 6ff0.*
+ 217e: 0428.*
+ 217f: 0e1d.*
+ 2180: 6ff0.*
+ 2181: 0429.*
+ 2182: 0f1d.*
+ 2183: 6ff0.*
+ 2184: 042a.*
+ 2185: 0f1d.*
+ 2186: 6ff0.*
+ 2187: 042b.*
+ 2188: 0c1e.*
+ 2189: 6ff0.*
+ 218a: 042c.*
+ 218b: 0d1e.*
+ 218c: 6ff0.*
+ 218d: 042d.*
+ 218e: 0c1e.*
+ 218f: 6ff0.*
+ 2190: 042e.*
+ 2191: 0e1e.*
+ 2192: 6ff0.*
+ 2193: 042f.*
+ 2194: 0f1e.*
+ 2195: 6ff0.*
+ 2196: 0430.*
+ 2197: 0f1e.*
+ 2198: 6ff0.*
+ 2199: 0431.*
+ 219a: 0c1f.*
+ 219b: 6ff0.*
+ 219c: 0432.*
+ 219d: 0d1f.*
+ 219e: 6ff0.*
+ 219f: 0433.*
+ 21a0: 0c1f.*
+ 21a1: 6ff0.*
+ 21a2: 0434.*
+ 21a3: 0e1f.*
+ 21a4: 6ff0.*
+ 21a5: 0435.*
+ 21a6: 0f1f.*
+ 21a7: 6ff0.*
+ 21a8: 0436.*
+ 21a9: 0f1f.*
+ 21aa: 00f0.*
+ 21ab: 0437.*
+ 21ac: 6ff0.*
+ 21ad: 0438.*
+ 21ae: 0d00.*
+ 21af: 00f0.*
+ 21b0: 0439.*
+ 21b1: 6ff0.*
+ 21b2: 043a.*
+ 21b3: 0e00.*
+ 21b4: 01f0.*
+ 21b5: 043b.*
+ 21b6: 01f0.*
+ 21b7: 043c.*
+ 21b8: 6ff0.*
+ 21b9: 043d.*
+ 21ba: 0c01.*
+ 21bb: 6ff0.*
+ 21bc: 043e.*
+ 21bd: 0d01.*
+ 21be: 6ff0.*
+ 21bf: 043f.*
+ 21c0: 0c01.*
+ 21c1: 6ff0.*
+ 21c2: 0440.*
+ 21c3: 0e01.*
+ 21c4: 6ff0.*
+ 21c5: 0441.*
+ 21c6: 0f01.*
+ 21c7: 6ff0.*
+ 21c8: 0442.*
+ 21c9: 0f01.*
+ 21ca: 6ff0.*
+ 21cb: 0443.*
+ 21cc: 0c02.*
+ 21cd: 6ff0.*
+ 21ce: 0444.*
+ 21cf: 0d02.*
+ 21d0: 6ff0.*
+ 21d1: 0445.*
+ 21d2: 0c02.*
+ 21d3: 6ff0.*
+ 21d4: 0446.*
+ 21d5: 0e02.*
+ 21d6: 6ff0.*
+ 21d7: 0447.*
+ 21d8: 0f02.*
+ 21d9: 6ff0.*
+ 21da: 0448.*
+ 21db: 0f02.*
+ 21dc: 6ff0.*
+ 21dd: 0449.*
+ 21de: 0c03.*
+ 21df: 6ff0.*
+ 21e0: 044a.*
+ 21e1: 0d03.*
+ 21e2: 6ff0.*
+ 21e3: 044b.*
+ 21e4: 0c03.*
+ 21e5: 6ff0.*
+ 21e6: 044c.*
+ 21e7: 0e03.*
+ 21e8: 6ff0.*
+ 21e9: 044d.*
+ 21ea: 0f03.*
+ 21eb: 6ff0.*
+ 21ec: 044e.*
+ 21ed: 0f03.*
+ 21ee: 6ff0.*
+ 21ef: 044f.*
+ 21f0: 0c04.*
+ 21f1: 6ff0.*
+ 21f2: 0450.*
+ 21f3: 0d04.*
+ 21f4: 6ff0.*
+ 21f5: 0451.*
+ 21f6: 0c04.*
+ 21f7: 6ff0.*
+ 21f8: 0452.*
+ 21f9: 0e04.*
+ 21fa: 6ff0.*
+ 21fb: 0453.*
+ 21fc: 0f04.*
+ 21fd: 6ff0.*
+ 21fe: 0454.*
+ 21ff: 0f04.*
+ 2200: 6ff0.*
+ 2201: 0455.*
+ 2202: 0c05.*
+ 2203: 6ff0.*
+ 2204: 0456.*
+ 2205: 0d05.*
+ 2206: 6ff0.*
+ 2207: 0457.*
+ 2208: 0c05.*
+ 2209: 6ff0.*
+ 220a: 0458.*
+ 220b: 0e05.*
+ 220c: 6ff0.*
+ 220d: 0459.*
+ 220e: 0f05.*
+ 220f: 6ff0.*
+ 2210: 045a.*
+ 2211: 0f05.*
+ 2212: 6ff0.*
+ 2213: 045b.*
+ 2214: 0c06.*
+ 2215: 6ff0.*
+ 2216: 045c.*
+ 2217: 0d06.*
+ 2218: 6ff0.*
+ 2219: 045d.*
+ 221a: 0c06.*
+ 221b: 6ff0.*
+ 221c: 045e.*
+ 221d: 0e06.*
+ 221e: 6ff0.*
+ 221f: 045f.*
+ 2220: 0f06.*
+ 2221: 6ff0.*
+ 2222: 0460.*
+ 2223: 0f06.*
+ 2224: 6ff0.*
+ 2225: 0461.*
+ 2226: 0c07.*
+ 2227: 6ff0.*
+ 2228: 0462.*
+ 2229: 0d07.*
+ 222a: 6ff0.*
+ 222b: 0463.*
+ 222c: 0c07.*
+ 222d: 6ff0.*
+ 222e: 0464.*
+ 222f: 0e07.*
+ 2230: 6ff0.*
+ 2231: 0465.*
+ 2232: 0f07.*
+ 2233: 6ff0.*
+ 2234: 0466.*
+ 2235: 0f07.*
+ 2236: 6ff0.*
+ 2237: 0467.*
+ 2238: 0c08.*
+ 2239: 6ff0.*
+ 223a: 0468.*
+ 223b: 0d08.*
+ 223c: 6ff0.*
+ 223d: 0469.*
+ 223e: 0c08.*
+ 223f: 6ff0.*
+ 2240: 046a.*
+ 2241: 0e08.*
+ 2242: 6ff0.*
+ 2243: 046b.*
+ 2244: 0f08.*
+ 2245: 6ff0.*
+ 2246: 046c.*
+ 2247: 0f08.*
+ 2248: 6ff0.*
+ 2249: 046d.*
+ 224a: 0c09.*
+ 224b: 6ff0.*
+ 224c: 046e.*
+ 224d: 0d09.*
+ 224e: 6ff0.*
+ 224f: 046f.*
+ 2250: 0c09.*
+ 2251: 6ff0.*
+ 2252: 0470.*
+ 2253: 0e09.*
+ 2254: 6ff0.*
+ 2255: 0471.*
+ 2256: 0f09.*
+ 2257: 6ff0.*
+ 2258: 0472.*
+ 2259: 0f09.*
+ 225a: 6ff0.*
+ 225b: 0473.*
+ 225c: 0c0a.*
+ 225d: 6ff0.*
+ 225e: 0474.*
+ 225f: 0d0a.*
+ 2260: 6ff0.*
+ 2261: 0475.*
+ 2262: 0c0a.*
+ 2263: 6ff0.*
+ 2264: 0476.*
+ 2265: 0e0a.*
+ 2266: 6ff0.*
+ 2267: 0477.*
+ 2268: 0f0a.*
+ 2269: 6ff0.*
+ 226a: 0478.*
+ 226b: 0f0a.*
+ 226c: 6ff0.*
+ 226d: 0479.*
+ 226e: 0c0b.*
+ 226f: 6ff0.*
+ 2270: 047a.*
+ 2271: 0d0b.*
+ 2272: 6ff0.*
+ 2273: 047b.*
+ 2274: 0c0b.*
+ 2275: 6ff0.*
+ 2276: 047c.*
+ 2277: 0e0b.*
+ 2278: 6ff0.*
+ 2279: 047d.*
+ 227a: 0f0b.*
+ 227b: 6ff0.*
+ 227c: 047e.*
+ 227d: 0f0b.*
+ 227e: 6ff0.*
+ 227f: 047f.*
+ 2280: 0c0c.*
+ 2281: 6ff0.*
+ 2282: 0480.*
+ 2283: 0d0c.*
+ 2284: 6ff0.*
+ 2285: 0481.*
+ 2286: 0c0c.*
+ 2287: 6ff0.*
+ 2288: 0482.*
+ 2289: 0e0c.*
+ 228a: 6ff0.*
+ 228b: 0483.*
+ 228c: 0f0c.*
+ 228d: 6ff0.*
+ 228e: 0484.*
+ 228f: 0f0c.*
+ 2290: 6ff0.*
+ 2291: 0485.*
+ 2292: 0c0d.*
+ 2293: 6ff0.*
+ 2294: 0486.*
+ 2295: 0d0d.*
+ 2296: 6ff0.*
+ 2297: 0487.*
+ 2298: 0c0d.*
+ 2299: 6ff0.*
+ 229a: 0488.*
+ 229b: 0e0d.*
+ 229c: 6ff0.*
+ 229d: 0489.*
+ 229e: 0f0d.*
+ 229f: 6ff0.*
+ 22a0: 048a.*
+ 22a1: 0f0d.*
+ 22a2: 6ff0.*
+ 22a3: 048b.*
+ 22a4: 0c0e.*
+ 22a5: 6ff0.*
+ 22a6: 048c.*
+ 22a7: 0d0e.*
+ 22a8: 6ff0.*
+ 22a9: 048d.*
+ 22aa: 0c0e.*
+ 22ab: 6ff0.*
+ 22ac: 048e.*
+ 22ad: 0e0e.*
+ 22ae: 6ff0.*
+ 22af: 048f.*
+ 22b0: 0f0e.*
+ 22b1: 6ff0.*
+ 22b2: 0490.*
+ 22b3: 0f0e.*
+ 22b4: 6ff0.*
+ 22b5: 0491.*
+ 22b6: 0c0f.*
+ 22b7: 6ff0.*
+ 22b8: 0492.*
+ 22b9: 0d0f.*
+ 22ba: 6ff0.*
+ 22bb: 0493.*
+ 22bc: 0c0f.*
+ 22bd: 6ff0.*
+ 22be: 0494.*
+ 22bf: 0e0f.*
+ 22c0: 6ff0.*
+ 22c1: 0495.*
+ 22c2: 0f0f.*
+ 22c3: 6ff0.*
+ 22c4: 0496.*
+ 22c5: 0f0f.*
+ 22c6: 00f8.*
+ 22c7: 0497.*
+ 22c8: 6ff8.*
+ 22c9: 0498.*
+ 22ca: 0d00.*
+ 22cb: 00f8.*
+ 22cc: 0499.*
+ 22cd: 6ff8.*
+ 22ce: 049a.*
+ 22cf: 0e00.*
+ 22d0: 01f8.*
+ 22d1: 049b.*
+ 22d2: 01f8.*
+ 22d3: 049c.*
+ 22d4: 6ff8.*
+ 22d5: 049d.*
+ 22d6: 0c10.*
+ 22d7: 6ff8.*
+ 22d8: 049e.*
+ 22d9: 0d10.*
+ 22da: 6ff8.*
+ 22db: 049f.*
+ 22dc: 0c10.*
+ 22dd: 6ff8.*
+ 22de: 04a0.*
+ 22df: 0e10.*
+ 22e0: 6ff8.*
+ 22e1: 04a1.*
+ 22e2: 0f10.*
+ 22e3: 6ff8.*
+ 22e4: 04a2.*
+ 22e5: 0f10.*
+ 22e6: 6ff8.*
+ 22e7: 04a3.*
+ 22e8: 0c11.*
+ 22e9: 6ff8.*
+ 22ea: 04a4.*
+ 22eb: 0d11.*
+ 22ec: 6ff8.*
+ 22ed: 04a5.*
+ 22ee: 0c11.*
+ 22ef: 6ff8.*
+ 22f0: 04a6.*
+ 22f1: 0e11.*
+ 22f2: 6ff8.*
+ 22f3: 04a7.*
+ 22f4: 0f11.*
+ 22f5: 6ff8.*
+ 22f6: 04a8.*
+ 22f7: 0f11.*
+ 22f8: 6ff8.*
+ 22f9: 04a9.*
+ 22fa: 0c12.*
+ 22fb: 6ff8.*
+ 22fc: 04aa.*
+ 22fd: 0d12.*
+ 22fe: 6ff8.*
+ 22ff: 04ab.*
+ 2300: 0c12.*
+ 2301: 6ff8.*
+ 2302: 04ac.*
+ 2303: 0e12.*
+ 2304: 6ff8.*
+ 2305: 04ad.*
+ 2306: 0f12.*
+ 2307: 6ff8.*
+ 2308: 04ae.*
+ 2309: 0f12.*
+ 230a: 6ff8.*
+ 230b: 04af.*
+ 230c: 0c13.*
+ 230d: 6ff8.*
+ 230e: 04b0.*
+ 230f: 0d13.*
+ 2310: 6ff8.*
+ 2311: 04b1.*
+ 2312: 0c13.*
+ 2313: 6ff8.*
+ 2314: 04b2.*
+ 2315: 0e13.*
+ 2316: 6ff8.*
+ 2317: 04b3.*
+ 2318: 0f13.*
+ 2319: 6ff8.*
+ 231a: 04b4.*
+ 231b: 0f13.*
+ 231c: 6ff8.*
+ 231d: 04b5.*
+ 231e: 0c14.*
+ 231f: 6ff8.*
+ 2320: 04b6.*
+ 2321: 0d14.*
+ 2322: 6ff8.*
+ 2323: 04b7.*
+ 2324: 0c14.*
+ 2325: 6ff8.*
+ 2326: 04b8.*
+ 2327: 0e14.*
+ 2328: 6ff8.*
+ 2329: 04b9.*
+ 232a: 0f14.*
+ 232b: 6ff8.*
+ 232c: 04ba.*
+ 232d: 0f14.*
+ 232e: 6ff8.*
+ 232f: 04bb.*
+ 2330: 0c15.*
+ 2331: 6ff8.*
+ 2332: 04bc.*
+ 2333: 0d15.*
+ 2334: 6ff8.*
+ 2335: 04bd.*
+ 2336: 0c15.*
+ 2337: 6ff8.*
+ 2338: 04be.*
+ 2339: 0e15.*
+ 233a: 6ff8.*
+ 233b: 04bf.*
+ 233c: 0f15.*
+ 233d: 6ff8.*
+ 233e: 04c0.*
+ 233f: 0f15.*
+ 2340: 6ff8.*
+ 2341: 04c1.*
+ 2342: 0c16.*
+ 2343: 6ff8.*
+ 2344: 04c2.*
+ 2345: 0d16.*
+ 2346: 6ff8.*
+ 2347: 04c3.*
+ 2348: 0c16.*
+ 2349: 6ff8.*
+ 234a: 04c4.*
+ 234b: 0e16.*
+ 234c: 6ff8.*
+ 234d: 04c5.*
+ 234e: 0f16.*
+ 234f: 6ff8.*
+ 2350: 04c6.*
+ 2351: 0f16.*
+ 2352: 6ff8.*
+ 2353: 04c7.*
+ 2354: 0c17.*
+ 2355: 6ff8.*
+ 2356: 04c8.*
+ 2357: 0d17.*
+ 2358: 6ff8.*
+ 2359: 04c9.*
+ 235a: 0c17.*
+ 235b: 6ff8.*
+ 235c: 04ca.*
+ 235d: 0e17.*
+ 235e: 6ff8.*
+ 235f: 04cb.*
+ 2360: 0f17.*
+ 2361: 6ff8.*
+ 2362: 04cc.*
+ 2363: 0f17.*
+ 2364: 6ff8.*
+ 2365: 04cd.*
+ 2366: 0c18.*
+ 2367: 6ff8.*
+ 2368: 04ce.*
+ 2369: 0d18.*
+ 236a: 6ff8.*
+ 236b: 04cf.*
+ 236c: 0c18.*
+ 236d: 6ff8.*
+ 236e: 04d0.*
+ 236f: 0e18.*
+ 2370: 6ff8.*
+ 2371: 04d1.*
+ 2372: 0f18.*
+ 2373: 6ff8.*
+ 2374: 04d2.*
+ 2375: 0f18.*
+ 2376: 6ff8.*
+ 2377: 04d3.*
+ 2378: 0c19.*
+ 2379: 6ff8.*
+ 237a: 04d4.*
+ 237b: 0d19.*
+ 237c: 6ff8.*
+ 237d: 04d5.*
+ 237e: 0c19.*
+ 237f: 6ff8.*
+ 2380: 04d6.*
+ 2381: 0e19.*
+ 2382: 6ff8.*
+ 2383: 04d7.*
+ 2384: 0f19.*
+ 2385: 6ff8.*
+ 2386: 04d8.*
+ 2387: 0f19.*
+ 2388: 6ff8.*
+ 2389: 04d9.*
+ 238a: 0c1a.*
+ 238b: 6ff8.*
+ 238c: 04da.*
+ 238d: 0d1a.*
+ 238e: 6ff8.*
+ 238f: 04db.*
+ 2390: 0c1a.*
+ 2391: 6ff8.*
+ 2392: 04dc.*
+ 2393: 0e1a.*
+ 2394: 6ff8.*
+ 2395: 04dd.*
+ 2396: 0f1a.*
+ 2397: 6ff8.*
+ 2398: 04de.*
+ 2399: 0f1a.*
+ 239a: 6ff8.*
+ 239b: 04df.*
+ 239c: 0c1b.*
+ 239d: 6ff8.*
+ 239e: 04e0.*
+ 239f: 0d1b.*
+ 23a0: 6ff8.*
+ 23a1: 04e1.*
+ 23a2: 0c1b.*
+ 23a3: 6ff8.*
+ 23a4: 04e2.*
+ 23a5: 0e1b.*
+ 23a6: 6ff8.*
+ 23a7: 04e3.*
+ 23a8: 0f1b.*
+ 23a9: 6ff8.*
+ 23aa: 04e4.*
+ 23ab: 0f1b.*
+ 23ac: 6ff8.*
+ 23ad: 04e5.*
+ 23ae: 0c1c.*
+ 23af: 6ff8.*
+ 23b0: 04e6.*
+ 23b1: 0d1c.*
+ 23b2: 6ff8.*
+ 23b3: 04e7.*
+ 23b4: 0c1c.*
+ 23b5: 6ff8.*
+ 23b6: 04e8.*
+ 23b7: 0e1c.*
+ 23b8: 6ff8.*
+ 23b9: 04e9.*
+ 23ba: 0f1c.*
+ 23bb: 6ff8.*
+ 23bc: 04ea.*
+ 23bd: 0f1c.*
+ 23be: 6ff8.*
+ 23bf: 04eb.*
+ 23c0: 0c1d.*
+ 23c1: 6ff8.*
+ 23c2: 04ec.*
+ 23c3: 0d1d.*
+ 23c4: 6ff8.*
+ 23c5: 04ed.*
+ 23c6: 0c1d.*
+ 23c7: 6ff8.*
+ 23c8: 04ee.*
+ 23c9: 0e1d.*
+ 23ca: 6ff8.*
+ 23cb: 04ef.*
+ 23cc: 0f1d.*
+ 23cd: 6ff8.*
+ 23ce: 04f0.*
+ 23cf: 0f1d.*
+ 23d0: 6ff8.*
+ 23d1: 04f1.*
+ 23d2: 0c1e.*
+ 23d3: 6ff8.*
+ 23d4: 04f2.*
+ 23d5: 0d1e.*
+ 23d6: 6ff8.*
+ 23d7: 04f3.*
+ 23d8: 0c1e.*
+ 23d9: 6ff8.*
+ 23da: 04f4.*
+ 23db: 0e1e.*
+ 23dc: 6ff8.*
+ 23dd: 04f5.*
+ 23de: 0f1e.*
+ 23df: 6ff8.*
+ 23e0: 04f6.*
+ 23e1: 0f1e.*
+ 23e2: 6ff8.*
+ 23e3: 04f7.*
+ 23e4: 0c1f.*
+ 23e5: 6ff8.*
+ 23e6: 04f8.*
+ 23e7: 0d1f.*
+ 23e8: 6ff8.*
+ 23e9: 04f9.*
+ 23ea: 0c1f.*
+ 23eb: 6ff8.*
+ 23ec: 04fa.*
+ 23ed: 0e1f.*
+ 23ee: 6ff8.*
+ 23ef: 04fb.*
+ 23f0: 0f1f.*
+ 23f1: 6ff8.*
+ 23f2: 04fc.*
+ 23f3: 0f1f.*
+ 23f4: 00f8.*
+ 23f5: 04fd.*
+ 23f6: 6ff8.*
+ 23f7: 04fe.*
+ 23f8: 0d00.*
+ 23f9: 00f8.*
+ 23fa: 04ff.*
+ 23fb: 6ff8.*
+ 23fc: 0500.*
+ 23fd: 0e00.*
+ 23fe: 01f8.*
+ 23ff: 0501.*
+ 2400: 01f8.*
+ 2401: 0502.*
+ 2402: 6ff8.*
+ 2403: 0503.*
+ 2404: 0c01.*
+ 2405: 6ff8.*
+ 2406: 0504.*
+ 2407: 0d01.*
+ 2408: 6ff8.*
+ 2409: 0505.*
+ 240a: 0c01.*
+ 240b: 6ff8.*
+ 240c: 0506.*
+ 240d: 0e01.*
+ 240e: 6ff8.*
+ 240f: 0507.*
+ 2410: 0f01.*
+ 2411: 6ff8.*
+ 2412: 0508.*
+ 2413: 0f01.*
+ 2414: 6ff8.*
+ 2415: 0509.*
+ 2416: 0c02.*
+ 2417: 6ff8.*
+ 2418: 050a.*
+ 2419: 0d02.*
+ 241a: 6ff8.*
+ 241b: 050b.*
+ 241c: 0c02.*
+ 241d: 6ff8.*
+ 241e: 050c.*
+ 241f: 0e02.*
+ 2420: 6ff8.*
+ 2421: 050d.*
+ 2422: 0f02.*
+ 2423: 6ff8.*
+ 2424: 050e.*
+ 2425: 0f02.*
+ 2426: 6ff8.*
+ 2427: 050f.*
+ 2428: 0c03.*
+ 2429: 6ff8.*
+ 242a: 0510.*
+ 242b: 0d03.*
+ 242c: 6ff8.*
+ 242d: 0511.*
+ 242e: 0c03.*
+ 242f: 6ff8.*
+ 2430: 0512.*
+ 2431: 0e03.*
+ 2432: 6ff8.*
+ 2433: 0513.*
+ 2434: 0f03.*
+ 2435: 6ff8.*
+ 2436: 0514.*
+ 2437: 0f03.*
+ 2438: 6ff8.*
+ 2439: 0515.*
+ 243a: 0c04.*
+ 243b: 6ff8.*
+ 243c: 0516.*
+ 243d: 0d04.*
+ 243e: 6ff8.*
+ 243f: 0517.*
+ 2440: 0c04.*
+ 2441: 6ff8.*
+ 2442: 0518.*
+ 2443: 0e04.*
+ 2444: 6ff8.*
+ 2445: 0519.*
+ 2446: 0f04.*
+ 2447: 6ff8.*
+ 2448: 051a.*
+ 2449: 0f04.*
+ 244a: 6ff8.*
+ 244b: 051b.*
+ 244c: 0c05.*
+ 244d: 6ff8.*
+ 244e: 051c.*
+ 244f: 0d05.*
+ 2450: 6ff8.*
+ 2451: 051d.*
+ 2452: 0c05.*
+ 2453: 6ff8.*
+ 2454: 051e.*
+ 2455: 0e05.*
+ 2456: 6ff8.*
+ 2457: 051f.*
+ 2458: 0f05.*
+ 2459: 6ff8.*
+ 245a: 0520.*
+ 245b: 0f05.*
+ 245c: 6ff8.*
+ 245d: 0521.*
+ 245e: 0c06.*
+ 245f: 6ff8.*
+ 2460: 0522.*
+ 2461: 0d06.*
+ 2462: 6ff8.*
+ 2463: 0523.*
+ 2464: 0c06.*
+ 2465: 6ff8.*
+ 2466: 0524.*
+ 2467: 0e06.*
+ 2468: 6ff8.*
+ 2469: 0525.*
+ 246a: 0f06.*
+ 246b: 6ff8.*
+ 246c: 0526.*
+ 246d: 0f06.*
+ 246e: 6ff8.*
+ 246f: 0527.*
+ 2470: 0c07.*
+ 2471: 6ff8.*
+ 2472: 0528.*
+ 2473: 0d07.*
+ 2474: 6ff8.*
+ 2475: 0529.*
+ 2476: 0c07.*
+ 2477: 6ff8.*
+ 2478: 052a.*
+ 2479: 0e07.*
+ 247a: 6ff8.*
+ 247b: 052b.*
+ 247c: 0f07.*
+ 247d: 6ff8.*
+ 247e: 052c.*
+ 247f: 0f07.*
+ 2480: 6ff8.*
+ 2481: 052d.*
+ 2482: 0c08.*
+ 2483: 6ff8.*
+ 2484: 052e.*
+ 2485: 0d08.*
+ 2486: 6ff8.*
+ 2487: 052f.*
+ 2488: 0c08.*
+ 2489: 6ff8.*
+ 248a: 0530.*
+ 248b: 0e08.*
+ 248c: 6ff8.*
+ 248d: 0531.*
+ 248e: 0f08.*
+ 248f: 6ff8.*
+ 2490: 0532.*
+ 2491: 0f08.*
+ 2492: 6ff8.*
+ 2493: 0533.*
+ 2494: 0c09.*
+ 2495: 6ff8.*
+ 2496: 0534.*
+ 2497: 0d09.*
+ 2498: 6ff8.*
+ 2499: 0535.*
+ 249a: 0c09.*
+ 249b: 6ff8.*
+ 249c: 0536.*
+ 249d: 0e09.*
+ 249e: 6ff8.*
+ 249f: 0537.*
+ 24a0: 0f09.*
+ 24a1: 6ff8.*
+ 24a2: 0538.*
+ 24a3: 0f09.*
+ 24a4: 6ff8.*
+ 24a5: 0539.*
+ 24a6: 0c0a.*
+ 24a7: 6ff8.*
+ 24a8: 053a.*
+ 24a9: 0d0a.*
+ 24aa: 6ff8.*
+ 24ab: 053b.*
+ 24ac: 0c0a.*
+ 24ad: 6ff8.*
+ 24ae: 053c.*
+ 24af: 0e0a.*
+ 24b0: 6ff8.*
+ 24b1: 053d.*
+ 24b2: 0f0a.*
+ 24b3: 6ff8.*
+ 24b4: 053e.*
+ 24b5: 0f0a.*
+ 24b6: 6ff8.*
+ 24b7: 053f.*
+ 24b8: 0c0b.*
+ 24b9: 6ff8.*
+ 24ba: 0540.*
+ 24bb: 0d0b.*
+ 24bc: 6ff8.*
+ 24bd: 0541.*
+ 24be: 0c0b.*
+ 24bf: 6ff8.*
+ 24c0: 0542.*
+ 24c1: 0e0b.*
+ 24c2: 6ff8.*
+ 24c3: 0543.*
+ 24c4: 0f0b.*
+ 24c5: 6ff8.*
+ 24c6: 0544.*
+ 24c7: 0f0b.*
+ 24c8: 6ff8.*
+ 24c9: 0545.*
+ 24ca: 0c0c.*
+ 24cb: 6ff8.*
+ 24cc: 0546.*
+ 24cd: 0d0c.*
+ 24ce: 6ff8.*
+ 24cf: 0547.*
+ 24d0: 0c0c.*
+ 24d1: 6ff8.*
+ 24d2: 0548.*
+ 24d3: 0e0c.*
+ 24d4: 6ff8.*
+ 24d5: 0549.*
+ 24d6: 0f0c.*
+ 24d7: 6ff8.*
+ 24d8: 054a.*
+ 24d9: 0f0c.*
+ 24da: 6ff8.*
+ 24db: 054b.*
+ 24dc: 0c0d.*
+ 24dd: 6ff8.*
+ 24de: 054c.*
+ 24df: 0d0d.*
+ 24e0: 6ff8.*
+ 24e1: 054d.*
+ 24e2: 0c0d.*
+ 24e3: 6ff8.*
+ 24e4: 054e.*
+ 24e5: 0e0d.*
+ 24e6: 6ff8.*
+ 24e7: 054f.*
+ 24e8: 0f0d.*
+ 24e9: 6ff8.*
+ 24ea: 0550.*
+ 24eb: 0f0d.*
+ 24ec: 6ff8.*
+ 24ed: 0551.*
+ 24ee: 0c0e.*
+ 24ef: 6ff8.*
+ 24f0: 0552.*
+ 24f1: 0d0e.*
+ 24f2: 6ff8.*
+ 24f3: 0553.*
+ 24f4: 0c0e.*
+ 24f5: 6ff8.*
+ 24f6: 0554.*
+ 24f7: 0e0e.*
+ 24f8: 6ff8.*
+ 24f9: 0555.*
+ 24fa: 0f0e.*
+ 24fb: 6ff8.*
+ 24fc: 0556.*
+ 24fd: 0f0e.*
+ 24fe: 6ff8.*
+ 24ff: 0557.*
+ 2500: 0c0f.*
+ 2501: 6ff8.*
+ 2502: 0558.*
+ 2503: 0d0f.*
+ 2504: 6ff8.*
+ 2505: 0559.*
+ 2506: 0c0f.*
+ 2507: 6ff8.*
+ 2508: 055a.*
+ 2509: 0e0f.*
+ 250a: 6ff8.*
+ 250b: 055b.*
+ 250c: 0f0f.*
+ 250d: 6ff8.*
+ 250e: 055c.*
+ 250f: 0f0f.*
+ 2510: 0081.*
+ 2511: 6f81.*
+ 2512: 0d00.*
+ 2513: 0081.*
+ 2514: 6f81.*
+ 2515: 0e00.*
+ 2516: 0181.*
+ 2517: 0181.*
+ 2518: 6f81.*
+ 2519: 0c10.*
+ 251a: 6f81.*
+ 251b: 0d10.*
+ 251c: 6f81.*
+ 251d: 0c10.*
+ 251e: 6f81.*
+ 251f: 0e10.*
+ 2520: 6f81.*
+ 2521: 0f10.*
+ 2522: 6f81.*
+ 2523: 0f10.*
+ 2524: 6f81.*
+ 2525: 0c11.*
+ 2526: 6f81.*
+ 2527: 0d11.*
+ 2528: 6f81.*
+ 2529: 0c11.*
+ 252a: 6f81.*
+ 252b: 0e11.*
+ 252c: 6f81.*
+ 252d: 0f11.*
+ 252e: 6f81.*
+ 252f: 0f11.*
+ 2530: 6f81.*
+ 2531: 0c12.*
+ 2532: 6f81.*
+ 2533: 0d12.*
+ 2534: 6f81.*
+ 2535: 0c12.*
+ 2536: 6f81.*
+ 2537: 0e12.*
+ 2538: 6f81.*
+ 2539: 0f12.*
+ 253a: 6f81.*
+ 253b: 0f12.*
+ 253c: 6f81.*
+ 253d: 0c13.*
+ 253e: 6f81.*
+ 253f: 0d13.*
+ 2540: 6f81.*
+ 2541: 0c13.*
+ 2542: 6f81.*
+ 2543: 0e13.*
+ 2544: 6f81.*
+ 2545: 0f13.*
+ 2546: 6f81.*
+ 2547: 0f13.*
+ 2548: 6f81.*
+ 2549: 0c14.*
+ 254a: 6f81.*
+ 254b: 0d14.*
+ 254c: 6f81.*
+ 254d: 0c14.*
+ 254e: 6f81.*
+ 254f: 0e14.*
+ 2550: 6f81.*
+ 2551: 0f14.*
+ 2552: 6f81.*
+ 2553: 0f14.*
+ 2554: 6f81.*
+ 2555: 0c15.*
+ 2556: 6f81.*
+ 2557: 0d15.*
+ 2558: 6f81.*
+ 2559: 0c15.*
+ 255a: 6f81.*
+ 255b: 0e15.*
+ 255c: 6f81.*
+ 255d: 0f15.*
+ 255e: 6f81.*
+ 255f: 0f15.*
+ 2560: 6f81.*
+ 2561: 0c16.*
+ 2562: 6f81.*
+ 2563: 0d16.*
+ 2564: 6f81.*
+ 2565: 0c16.*
+ 2566: 6f81.*
+ 2567: 0e16.*
+ 2568: 6f81.*
+ 2569: 0f16.*
+ 256a: 6f81.*
+ 256b: 0f16.*
+ 256c: 6f81.*
+ 256d: 0c17.*
+ 256e: 6f81.*
+ 256f: 0d17.*
+ 2570: 6f81.*
+ 2571: 0c17.*
+ 2572: 6f81.*
+ 2573: 0e17.*
+ 2574: 6f81.*
+ 2575: 0f17.*
+ 2576: 6f81.*
+ 2577: 0f17.*
+ 2578: 6f81.*
+ 2579: 0c18.*
+ 257a: 6f81.*
+ 257b: 0d18.*
+ 257c: 6f81.*
+ 257d: 0c18.*
+ 257e: 6f81.*
+ 257f: 0e18.*
+ 2580: 6f81.*
+ 2581: 0f18.*
+ 2582: 6f81.*
+ 2583: 0f18.*
+ 2584: 6f81.*
+ 2585: 0c19.*
+ 2586: 6f81.*
+ 2587: 0d19.*
+ 2588: 6f81.*
+ 2589: 0c19.*
+ 258a: 6f81.*
+ 258b: 0e19.*
+ 258c: 6f81.*
+ 258d: 0f19.*
+ 258e: 6f81.*
+ 258f: 0f19.*
+ 2590: 6f81.*
+ 2591: 0c1a.*
+ 2592: 6f81.*
+ 2593: 0d1a.*
+ 2594: 6f81.*
+ 2595: 0c1a.*
+ 2596: 6f81.*
+ 2597: 0e1a.*
+ 2598: 6f81.*
+ 2599: 0f1a.*
+ 259a: 6f81.*
+ 259b: 0f1a.*
+ 259c: 6f81.*
+ 259d: 0c1b.*
+ 259e: 6f81.*
+ 259f: 0d1b.*
+ 25a0: 6f81.*
+ 25a1: 0c1b.*
+ 25a2: 6f81.*
+ 25a3: 0e1b.*
+ 25a4: 6f81.*
+ 25a5: 0f1b.*
+ 25a6: 6f81.*
+ 25a7: 0f1b.*
+ 25a8: 6f81.*
+ 25a9: 0c1c.*
+ 25aa: 6f81.*
+ 25ab: 0d1c.*
+ 25ac: 6f81.*
+ 25ad: 0c1c.*
+ 25ae: 6f81.*
+ 25af: 0e1c.*
+ 25b0: 6f81.*
+ 25b1: 0f1c.*
+ 25b2: 6f81.*
+ 25b3: 0f1c.*
+ 25b4: 6f81.*
+ 25b5: 0c1d.*
+ 25b6: 6f81.*
+ 25b7: 0d1d.*
+ 25b8: 6f81.*
+ 25b9: 0c1d.*
+ 25ba: 6f81.*
+ 25bb: 0e1d.*
+ 25bc: 6f81.*
+ 25bd: 0f1d.*
+ 25be: 6f81.*
+ 25bf: 0f1d.*
+ 25c0: 6f81.*
+ 25c1: 0c1e.*
+ 25c2: 6f81.*
+ 25c3: 0d1e.*
+ 25c4: 6f81.*
+ 25c5: 0c1e.*
+ 25c6: 6f81.*
+ 25c7: 0e1e.*
+ 25c8: 6f81.*
+ 25c9: 0f1e.*
+ 25ca: 6f81.*
+ 25cb: 0f1e.*
+ 25cc: 6f81.*
+ 25cd: 0c1f.*
+ 25ce: 6f81.*
+ 25cf: 0d1f.*
+ 25d0: 6f81.*
+ 25d1: 0c1f.*
+ 25d2: 6f81.*
+ 25d3: 0e1f.*
+ 25d4: 6f81.*
+ 25d5: 0f1f.*
+ 25d6: 6f81.*
+ 25d7: 0f1f.*
+ 25d8: 0081.*
+ 25d9: 6f81.*
+ 25da: 0d00.*
+ 25db: 0081.*
+ 25dc: 6f81.*
+ 25dd: 0e00.*
+ 25de: 0181.*
+ 25df: 0181.*
+ 25e0: 6f81.*
+ 25e1: 0c01.*
+ 25e2: 6f81.*
+ 25e3: 0d01.*
+ 25e4: 6f81.*
+ 25e5: 0c01.*
+ 25e6: 6f81.*
+ 25e7: 0e01.*
+ 25e8: 6f81.*
+ 25e9: 0f01.*
+ 25ea: 6f81.*
+ 25eb: 0f01.*
+ 25ec: 6f81.*
+ 25ed: 0c02.*
+ 25ee: 6f81.*
+ 25ef: 0d02.*
+ 25f0: 6f81.*
+ 25f1: 0c02.*
+ 25f2: 6f81.*
+ 25f3: 0e02.*
+ 25f4: 6f81.*
+ 25f5: 0f02.*
+ 25f6: 6f81.*
+ 25f7: 0f02.*
+ 25f8: 6f81.*
+ 25f9: 0c03.*
+ 25fa: 6f81.*
+ 25fb: 0d03.*
+ 25fc: 6f81.*
+ 25fd: 0c03.*
+ 25fe: 6f81.*
+ 25ff: 0e03.*
+ 2600: 6f81.*
+ 2601: 0f03.*
+ 2602: 6f81.*
+ 2603: 0f03.*
+ 2604: 6f81.*
+ 2605: 0c04.*
+ 2606: 6f81.*
+ 2607: 0d04.*
+ 2608: 6f81.*
+ 2609: 0c04.*
+ 260a: 6f81.*
+ 260b: 0e04.*
+ 260c: 6f81.*
+ 260d: 0f04.*
+ 260e: 6f81.*
+ 260f: 0f04.*
+ 2610: 6f81.*
+ 2611: 0c05.*
+ 2612: 6f81.*
+ 2613: 0d05.*
+ 2614: 6f81.*
+ 2615: 0c05.*
+ 2616: 6f81.*
+ 2617: 0e05.*
+ 2618: 6f81.*
+ 2619: 0f05.*
+ 261a: 6f81.*
+ 261b: 0f05.*
+ 261c: 6f81.*
+ 261d: 0c06.*
+ 261e: 6f81.*
+ 261f: 0d06.*
+ 2620: 6f81.*
+ 2621: 0c06.*
+ 2622: 6f81.*
+ 2623: 0e06.*
+ 2624: 6f81.*
+ 2625: 0f06.*
+ 2626: 6f81.*
+ 2627: 0f06.*
+ 2628: 6f81.*
+ 2629: 0c07.*
+ 262a: 6f81.*
+ 262b: 0d07.*
+ 262c: 6f81.*
+ 262d: 0c07.*
+ 262e: 6f81.*
+ 262f: 0e07.*
+ 2630: 6f81.*
+ 2631: 0f07.*
+ 2632: 6f81.*
+ 2633: 0f07.*
+ 2634: 6f81.*
+ 2635: 0c08.*
+ 2636: 6f81.*
+ 2637: 0d08.*
+ 2638: 6f81.*
+ 2639: 0c08.*
+ 263a: 6f81.*
+ 263b: 0e08.*
+ 263c: 6f81.*
+ 263d: 0f08.*
+ 263e: 6f81.*
+ 263f: 0f08.*
+ 2640: 6f81.*
+ 2641: 0c09.*
+ 2642: 6f81.*
+ 2643: 0d09.*
+ 2644: 6f81.*
+ 2645: 0c09.*
+ 2646: 6f81.*
+ 2647: 0e09.*
+ 2648: 6f81.*
+ 2649: 0f09.*
+ 264a: 6f81.*
+ 264b: 0f09.*
+ 264c: 6f81.*
+ 264d: 0c0a.*
+ 264e: 6f81.*
+ 264f: 0d0a.*
+ 2650: 6f81.*
+ 2651: 0c0a.*
+ 2652: 6f81.*
+ 2653: 0e0a.*
+ 2654: 6f81.*
+ 2655: 0f0a.*
+ 2656: 6f81.*
+ 2657: 0f0a.*
+ 2658: 6f81.*
+ 2659: 0c0b.*
+ 265a: 6f81.*
+ 265b: 0d0b.*
+ 265c: 6f81.*
+ 265d: 0c0b.*
+ 265e: 6f81.*
+ 265f: 0e0b.*
+ 2660: 6f81.*
+ 2661: 0f0b.*
+ 2662: 6f81.*
+ 2663: 0f0b.*
+ 2664: 6f81.*
+ 2665: 0c0c.*
+ 2666: 6f81.*
+ 2667: 0d0c.*
+ 2668: 6f81.*
+ 2669: 0c0c.*
+ 266a: 6f81.*
+ 266b: 0e0c.*
+ 266c: 6f81.*
+ 266d: 0f0c.*
+ 266e: 6f81.*
+ 266f: 0f0c.*
+ 2670: 6f81.*
+ 2671: 0c0d.*
+ 2672: 6f81.*
+ 2673: 0d0d.*
+ 2674: 6f81.*
+ 2675: 0c0d.*
+ 2676: 6f81.*
+ 2677: 0e0d.*
+ 2678: 6f81.*
+ 2679: 0f0d.*
+ 267a: 6f81.*
+ 267b: 0f0d.*
+ 267c: 6f81.*
+ 267d: 0c0e.*
+ 267e: 6f81.*
+ 267f: 0d0e.*
+ 2680: 6f81.*
+ 2681: 0c0e.*
+ 2682: 6f81.*
+ 2683: 0e0e.*
+ 2684: 6f81.*
+ 2685: 0f0e.*
+ 2686: 6f81.*
+ 2687: 0f0e.*
+ 2688: 6f81.*
+ 2689: 0c0f.*
+ 268a: 6f81.*
+ 268b: 0d0f.*
+ 268c: 6f81.*
+ 268d: 0c0f.*
+ 268e: 6f81.*
+ 268f: 0e0f.*
+ 2690: 6f81.*
+ 2691: 0f0f.*
+ 2692: 6f81.*
+ 2693: 0f0f.*
+ 2694: 0089.*
+ 2695: 6f89.*
+ 2696: 0d00.*
+ 2697: 0089.*
+ 2698: 6f89.*
+ 2699: 0e00.*
+ 269a: 0189.*
+ 269b: 0189.*
+ 269c: 6f89.*
+ 269d: 0c10.*
+ 269e: 6f89.*
+ 269f: 0d10.*
+ 26a0: 6f89.*
+ 26a1: 0c10.*
+ 26a2: 6f89.*
+ 26a3: 0e10.*
+ 26a4: 6f89.*
+ 26a5: 0f10.*
+ 26a6: 6f89.*
+ 26a7: 0f10.*
+ 26a8: 6f89.*
+ 26a9: 0c11.*
+ 26aa: 6f89.*
+ 26ab: 0d11.*
+ 26ac: 6f89.*
+ 26ad: 0c11.*
+ 26ae: 6f89.*
+ 26af: 0e11.*
+ 26b0: 6f89.*
+ 26b1: 0f11.*
+ 26b2: 6f89.*
+ 26b3: 0f11.*
+ 26b4: 6f89.*
+ 26b5: 0c12.*
+ 26b6: 6f89.*
+ 26b7: 0d12.*
+ 26b8: 6f89.*
+ 26b9: 0c12.*
+ 26ba: 6f89.*
+ 26bb: 0e12.*
+ 26bc: 6f89.*
+ 26bd: 0f12.*
+ 26be: 6f89.*
+ 26bf: 0f12.*
+ 26c0: 6f89.*
+ 26c1: 0c13.*
+ 26c2: 6f89.*
+ 26c3: 0d13.*
+ 26c4: 6f89.*
+ 26c5: 0c13.*
+ 26c6: 6f89.*
+ 26c7: 0e13.*
+ 26c8: 6f89.*
+ 26c9: 0f13.*
+ 26ca: 6f89.*
+ 26cb: 0f13.*
+ 26cc: 6f89.*
+ 26cd: 0c14.*
+ 26ce: 6f89.*
+ 26cf: 0d14.*
+ 26d0: 6f89.*
+ 26d1: 0c14.*
+ 26d2: 6f89.*
+ 26d3: 0e14.*
+ 26d4: 6f89.*
+ 26d5: 0f14.*
+ 26d6: 6f89.*
+ 26d7: 0f14.*
+ 26d8: 6f89.*
+ 26d9: 0c15.*
+ 26da: 6f89.*
+ 26db: 0d15.*
+ 26dc: 6f89.*
+ 26dd: 0c15.*
+ 26de: 6f89.*
+ 26df: 0e15.*
+ 26e0: 6f89.*
+ 26e1: 0f15.*
+ 26e2: 6f89.*
+ 26e3: 0f15.*
+ 26e4: 6f89.*
+ 26e5: 0c16.*
+ 26e6: 6f89.*
+ 26e7: 0d16.*
+ 26e8: 6f89.*
+ 26e9: 0c16.*
+ 26ea: 6f89.*
+ 26eb: 0e16.*
+ 26ec: 6f89.*
+ 26ed: 0f16.*
+ 26ee: 6f89.*
+ 26ef: 0f16.*
+ 26f0: 6f89.*
+ 26f1: 0c17.*
+ 26f2: 6f89.*
+ 26f3: 0d17.*
+ 26f4: 6f89.*
+ 26f5: 0c17.*
+ 26f6: 6f89.*
+ 26f7: 0e17.*
+ 26f8: 6f89.*
+ 26f9: 0f17.*
+ 26fa: 6f89.*
+ 26fb: 0f17.*
+ 26fc: 6f89.*
+ 26fd: 0c18.*
+ 26fe: 6f89.*
+ 26ff: 0d18.*
+ 2700: 6f89.*
+ 2701: 0c18.*
+ 2702: 6f89.*
+ 2703: 0e18.*
+ 2704: 6f89.*
+ 2705: 0f18.*
+ 2706: 6f89.*
+ 2707: 0f18.*
+ 2708: 6f89.*
+ 2709: 0c19.*
+ 270a: 6f89.*
+ 270b: 0d19.*
+ 270c: 6f89.*
+ 270d: 0c19.*
+ 270e: 6f89.*
+ 270f: 0e19.*
+ 2710: 6f89.*
+ 2711: 0f19.*
+ 2712: 6f89.*
+ 2713: 0f19.*
+ 2714: 6f89.*
+ 2715: 0c1a.*
+ 2716: 6f89.*
+ 2717: 0d1a.*
+ 2718: 6f89.*
+ 2719: 0c1a.*
+ 271a: 6f89.*
+ 271b: 0e1a.*
+ 271c: 6f89.*
+ 271d: 0f1a.*
+ 271e: 6f89.*
+ 271f: 0f1a.*
+ 2720: 6f89.*
+ 2721: 0c1b.*
+ 2722: 6f89.*
+ 2723: 0d1b.*
+ 2724: 6f89.*
+ 2725: 0c1b.*
+ 2726: 6f89.*
+ 2727: 0e1b.*
+ 2728: 6f89.*
+ 2729: 0f1b.*
+ 272a: 6f89.*
+ 272b: 0f1b.*
+ 272c: 6f89.*
+ 272d: 0c1c.*
+ 272e: 6f89.*
+ 272f: 0d1c.*
+ 2730: 6f89.*
+ 2731: 0c1c.*
+ 2732: 6f89.*
+ 2733: 0e1c.*
+ 2734: 6f89.*
+ 2735: 0f1c.*
+ 2736: 6f89.*
+ 2737: 0f1c.*
+ 2738: 6f89.*
+ 2739: 0c1d.*
+ 273a: 6f89.*
+ 273b: 0d1d.*
+ 273c: 6f89.*
+ 273d: 0c1d.*
+ 273e: 6f89.*
+ 273f: 0e1d.*
+ 2740: 6f89.*
+ 2741: 0f1d.*
+ 2742: 6f89.*
+ 2743: 0f1d.*
+ 2744: 6f89.*
+ 2745: 0c1e.*
+ 2746: 6f89.*
+ 2747: 0d1e.*
+ 2748: 6f89.*
+ 2749: 0c1e.*
+ 274a: 6f89.*
+ 274b: 0e1e.*
+ 274c: 6f89.*
+ 274d: 0f1e.*
+ 274e: 6f89.*
+ 274f: 0f1e.*
+ 2750: 6f89.*
+ 2751: 0c1f.*
+ 2752: 6f89.*
+ 2753: 0d1f.*
+ 2754: 6f89.*
+ 2755: 0c1f.*
+ 2756: 6f89.*
+ 2757: 0e1f.*
+ 2758: 6f89.*
+ 2759: 0f1f.*
+ 275a: 6f89.*
+ 275b: 0f1f.*
+ 275c: 0089.*
+ 275d: 6f89.*
+ 275e: 0d00.*
+ 275f: 0089.*
+ 2760: 6f89.*
+ 2761: 0e00.*
+ 2762: 0189.*
+ 2763: 0189.*
+ 2764: 6f89.*
+ 2765: 0c01.*
+ 2766: 6f89.*
+ 2767: 0d01.*
+ 2768: 6f89.*
+ 2769: 0c01.*
+ 276a: 6f89.*
+ 276b: 0e01.*
+ 276c: 6f89.*
+ 276d: 0f01.*
+ 276e: 6f89.*
+ 276f: 0f01.*
+ 2770: 6f89.*
+ 2771: 0c02.*
+ 2772: 6f89.*
+ 2773: 0d02.*
+ 2774: 6f89.*
+ 2775: 0c02.*
+ 2776: 6f89.*
+ 2777: 0e02.*
+ 2778: 6f89.*
+ 2779: 0f02.*
+ 277a: 6f89.*
+ 277b: 0f02.*
+ 277c: 6f89.*
+ 277d: 0c03.*
+ 277e: 6f89.*
+ 277f: 0d03.*
+ 2780: 6f89.*
+ 2781: 0c03.*
+ 2782: 6f89.*
+ 2783: 0e03.*
+ 2784: 6f89.*
+ 2785: 0f03.*
+ 2786: 6f89.*
+ 2787: 0f03.*
+ 2788: 6f89.*
+ 2789: 0c04.*
+ 278a: 6f89.*
+ 278b: 0d04.*
+ 278c: 6f89.*
+ 278d: 0c04.*
+ 278e: 6f89.*
+ 278f: 0e04.*
+ 2790: 6f89.*
+ 2791: 0f04.*
+ 2792: 6f89.*
+ 2793: 0f04.*
+ 2794: 6f89.*
+ 2795: 0c05.*
+ 2796: 6f89.*
+ 2797: 0d05.*
+ 2798: 6f89.*
+ 2799: 0c05.*
+ 279a: 6f89.*
+ 279b: 0e05.*
+ 279c: 6f89.*
+ 279d: 0f05.*
+ 279e: 6f89.*
+ 279f: 0f05.*
+ 27a0: 6f89.*
+ 27a1: 0c06.*
+ 27a2: 6f89.*
+ 27a3: 0d06.*
+ 27a4: 6f89.*
+ 27a5: 0c06.*
+ 27a6: 6f89.*
+ 27a7: 0e06.*
+ 27a8: 6f89.*
+ 27a9: 0f06.*
+ 27aa: 6f89.*
+ 27ab: 0f06.*
+ 27ac: 6f89.*
+ 27ad: 0c07.*
+ 27ae: 6f89.*
+ 27af: 0d07.*
+ 27b0: 6f89.*
+ 27b1: 0c07.*
+ 27b2: 6f89.*
+ 27b3: 0e07.*
+ 27b4: 6f89.*
+ 27b5: 0f07.*
+ 27b6: 6f89.*
+ 27b7: 0f07.*
+ 27b8: 6f89.*
+ 27b9: 0c08.*
+ 27ba: 6f89.*
+ 27bb: 0d08.*
+ 27bc: 6f89.*
+ 27bd: 0c08.*
+ 27be: 6f89.*
+ 27bf: 0e08.*
+ 27c0: 6f89.*
+ 27c1: 0f08.*
+ 27c2: 6f89.*
+ 27c3: 0f08.*
+ 27c4: 6f89.*
+ 27c5: 0c09.*
+ 27c6: 6f89.*
+ 27c7: 0d09.*
+ 27c8: 6f89.*
+ 27c9: 0c09.*
+ 27ca: 6f89.*
+ 27cb: 0e09.*
+ 27cc: 6f89.*
+ 27cd: 0f09.*
+ 27ce: 6f89.*
+ 27cf: 0f09.*
+ 27d0: 6f89.*
+ 27d1: 0c0a.*
+ 27d2: 6f89.*
+ 27d3: 0d0a.*
+ 27d4: 6f89.*
+ 27d5: 0c0a.*
+ 27d6: 6f89.*
+ 27d7: 0e0a.*
+ 27d8: 6f89.*
+ 27d9: 0f0a.*
+ 27da: 6f89.*
+ 27db: 0f0a.*
+ 27dc: 6f89.*
+ 27dd: 0c0b.*
+ 27de: 6f89.*
+ 27df: 0d0b.*
+ 27e0: 6f89.*
+ 27e1: 0c0b.*
+ 27e2: 6f89.*
+ 27e3: 0e0b.*
+ 27e4: 6f89.*
+ 27e5: 0f0b.*
+ 27e6: 6f89.*
+ 27e7: 0f0b.*
+ 27e8: 6f89.*
+ 27e9: 0c0c.*
+ 27ea: 6f89.*
+ 27eb: 0d0c.*
+ 27ec: 6f89.*
+ 27ed: 0c0c.*
+ 27ee: 6f89.*
+ 27ef: 0e0c.*
+ 27f0: 6f89.*
+ 27f1: 0f0c.*
+ 27f2: 6f89.*
+ 27f3: 0f0c.*
+ 27f4: 6f89.*
+ 27f5: 0c0d.*
+ 27f6: 6f89.*
+ 27f7: 0d0d.*
+ 27f8: 6f89.*
+ 27f9: 0c0d.*
+ 27fa: 6f89.*
+ 27fb: 0e0d.*
+ 27fc: 6f89.*
+ 27fd: 0f0d.*
+ 27fe: 6f89.*
+ 27ff: 0f0d.*
+ 2800: 6f89.*
+ 2801: 0c0e.*
+ 2802: 6f89.*
+ 2803: 0d0e.*
+ 2804: 6f89.*
+ 2805: 0c0e.*
+ 2806: 6f89.*
+ 2807: 0e0e.*
+ 2808: 6f89.*
+ 2809: 0f0e.*
+ 280a: 6f89.*
+ 280b: 0f0e.*
+ 280c: 6f89.*
+ 280d: 0c0f.*
+ 280e: 6f89.*
+ 280f: 0d0f.*
+ 2810: 6f89.*
+ 2811: 0c0f.*
+ 2812: 6f89.*
+ 2813: 0e0f.*
+ 2814: 6f89.*
+ 2815: 0f0f.*
+ 2816: 6f89.*
+ 2817: 0f0f.*
+ 2818: 0091.*
+ 2819: 6f91.*
+ 281a: 0d00.*
+ 281b: 0091.*
+ 281c: 6f91.*
+ 281d: 0e00.*
+ 281e: 0191.*
+ 281f: 0191.*
+ 2820: 6f91.*
+ 2821: 0c10.*
+ 2822: 6f91.*
+ 2823: 0d10.*
+ 2824: 6f91.*
+ 2825: 0c10.*
+ 2826: 6f91.*
+ 2827: 0e10.*
+ 2828: 6f91.*
+ 2829: 0f10.*
+ 282a: 6f91.*
+ 282b: 0f10.*
+ 282c: 6f91.*
+ 282d: 0c11.*
+ 282e: 6f91.*
+ 282f: 0d11.*
+ 2830: 6f91.*
+ 2831: 0c11.*
+ 2832: 6f91.*
+ 2833: 0e11.*
+ 2834: 6f91.*
+ 2835: 0f11.*
+ 2836: 6f91.*
+ 2837: 0f11.*
+ 2838: 6f91.*
+ 2839: 0c12.*
+ 283a: 6f91.*
+ 283b: 0d12.*
+ 283c: 6f91.*
+ 283d: 0c12.*
+ 283e: 6f91.*
+ 283f: 0e12.*
+ 2840: 6f91.*
+ 2841: 0f12.*
+ 2842: 6f91.*
+ 2843: 0f12.*
+ 2844: 6f91.*
+ 2845: 0c13.*
+ 2846: 6f91.*
+ 2847: 0d13.*
+ 2848: 6f91.*
+ 2849: 0c13.*
+ 284a: 6f91.*
+ 284b: 0e13.*
+ 284c: 6f91.*
+ 284d: 0f13.*
+ 284e: 6f91.*
+ 284f: 0f13.*
+ 2850: 6f91.*
+ 2851: 0c14.*
+ 2852: 6f91.*
+ 2853: 0d14.*
+ 2854: 6f91.*
+ 2855: 0c14.*
+ 2856: 6f91.*
+ 2857: 0e14.*
+ 2858: 6f91.*
+ 2859: 0f14.*
+ 285a: 6f91.*
+ 285b: 0f14.*
+ 285c: 6f91.*
+ 285d: 0c15.*
+ 285e: 6f91.*
+ 285f: 0d15.*
+ 2860: 6f91.*
+ 2861: 0c15.*
+ 2862: 6f91.*
+ 2863: 0e15.*
+ 2864: 6f91.*
+ 2865: 0f15.*
+ 2866: 6f91.*
+ 2867: 0f15.*
+ 2868: 6f91.*
+ 2869: 0c16.*
+ 286a: 6f91.*
+ 286b: 0d16.*
+ 286c: 6f91.*
+ 286d: 0c16.*
+ 286e: 6f91.*
+ 286f: 0e16.*
+ 2870: 6f91.*
+ 2871: 0f16.*
+ 2872: 6f91.*
+ 2873: 0f16.*
+ 2874: 6f91.*
+ 2875: 0c17.*
+ 2876: 6f91.*
+ 2877: 0d17.*
+ 2878: 6f91.*
+ 2879: 0c17.*
+ 287a: 6f91.*
+ 287b: 0e17.*
+ 287c: 6f91.*
+ 287d: 0f17.*
+ 287e: 6f91.*
+ 287f: 0f17.*
+ 2880: 6f91.*
+ 2881: 0c18.*
+ 2882: 6f91.*
+ 2883: 0d18.*
+ 2884: 6f91.*
+ 2885: 0c18.*
+ 2886: 6f91.*
+ 2887: 0e18.*
+ 2888: 6f91.*
+ 2889: 0f18.*
+ 288a: 6f91.*
+ 288b: 0f18.*
+ 288c: 6f91.*
+ 288d: 0c19.*
+ 288e: 6f91.*
+ 288f: 0d19.*
+ 2890: 6f91.*
+ 2891: 0c19.*
+ 2892: 6f91.*
+ 2893: 0e19.*
+ 2894: 6f91.*
+ 2895: 0f19.*
+ 2896: 6f91.*
+ 2897: 0f19.*
+ 2898: 6f91.*
+ 2899: 0c1a.*
+ 289a: 6f91.*
+ 289b: 0d1a.*
+ 289c: 6f91.*
+ 289d: 0c1a.*
+ 289e: 6f91.*
+ 289f: 0e1a.*
+ 28a0: 6f91.*
+ 28a1: 0f1a.*
+ 28a2: 6f91.*
+ 28a3: 0f1a.*
+ 28a4: 6f91.*
+ 28a5: 0c1b.*
+ 28a6: 6f91.*
+ 28a7: 0d1b.*
+ 28a8: 6f91.*
+ 28a9: 0c1b.*
+ 28aa: 6f91.*
+ 28ab: 0e1b.*
+ 28ac: 6f91.*
+ 28ad: 0f1b.*
+ 28ae: 6f91.*
+ 28af: 0f1b.*
+ 28b0: 6f91.*
+ 28b1: 0c1c.*
+ 28b2: 6f91.*
+ 28b3: 0d1c.*
+ 28b4: 6f91.*
+ 28b5: 0c1c.*
+ 28b6: 6f91.*
+ 28b7: 0e1c.*
+ 28b8: 6f91.*
+ 28b9: 0f1c.*
+ 28ba: 6f91.*
+ 28bb: 0f1c.*
+ 28bc: 6f91.*
+ 28bd: 0c1d.*
+ 28be: 6f91.*
+ 28bf: 0d1d.*
+ 28c0: 6f91.*
+ 28c1: 0c1d.*
+ 28c2: 6f91.*
+ 28c3: 0e1d.*
+ 28c4: 6f91.*
+ 28c5: 0f1d.*
+ 28c6: 6f91.*
+ 28c7: 0f1d.*
+ 28c8: 6f91.*
+ 28c9: 0c1e.*
+ 28ca: 6f91.*
+ 28cb: 0d1e.*
+ 28cc: 6f91.*
+ 28cd: 0c1e.*
+ 28ce: 6f91.*
+ 28cf: 0e1e.*
+ 28d0: 6f91.*
+ 28d1: 0f1e.*
+ 28d2: 6f91.*
+ 28d3: 0f1e.*
+ 28d4: 6f91.*
+ 28d5: 0c1f.*
+ 28d6: 6f91.*
+ 28d7: 0d1f.*
+ 28d8: 6f91.*
+ 28d9: 0c1f.*
+ 28da: 6f91.*
+ 28db: 0e1f.*
+ 28dc: 6f91.*
+ 28dd: 0f1f.*
+ 28de: 6f91.*
+ 28df: 0f1f.*
+ 28e0: 0091.*
+ 28e1: 6f91.*
+ 28e2: 0d00.*
+ 28e3: 0091.*
+ 28e4: 6f91.*
+ 28e5: 0e00.*
+ 28e6: 0191.*
+ 28e7: 0191.*
+ 28e8: 6f91.*
+ 28e9: 0c01.*
+ 28ea: 6f91.*
+ 28eb: 0d01.*
+ 28ec: 6f91.*
+ 28ed: 0c01.*
+ 28ee: 6f91.*
+ 28ef: 0e01.*
+ 28f0: 6f91.*
+ 28f1: 0f01.*
+ 28f2: 6f91.*
+ 28f3: 0f01.*
+ 28f4: 6f91.*
+ 28f5: 0c02.*
+ 28f6: 6f91.*
+ 28f7: 0d02.*
+ 28f8: 6f91.*
+ 28f9: 0c02.*
+ 28fa: 6f91.*
+ 28fb: 0e02.*
+ 28fc: 6f91.*
+ 28fd: 0f02.*
+ 28fe: 6f91.*
+ 28ff: 0f02.*
+ 2900: 6f91.*
+ 2901: 0c03.*
+ 2902: 6f91.*
+ 2903: 0d03.*
+ 2904: 6f91.*
+ 2905: 0c03.*
+ 2906: 6f91.*
+ 2907: 0e03.*
+ 2908: 6f91.*
+ 2909: 0f03.*
+ 290a: 6f91.*
+ 290b: 0f03.*
+ 290c: 6f91.*
+ 290d: 0c04.*
+ 290e: 6f91.*
+ 290f: 0d04.*
+ 2910: 6f91.*
+ 2911: 0c04.*
+ 2912: 6f91.*
+ 2913: 0e04.*
+ 2914: 6f91.*
+ 2915: 0f04.*
+ 2916: 6f91.*
+ 2917: 0f04.*
+ 2918: 6f91.*
+ 2919: 0c05.*
+ 291a: 6f91.*
+ 291b: 0d05.*
+ 291c: 6f91.*
+ 291d: 0c05.*
+ 291e: 6f91.*
+ 291f: 0e05.*
+ 2920: 6f91.*
+ 2921: 0f05.*
+ 2922: 6f91.*
+ 2923: 0f05.*
+ 2924: 6f91.*
+ 2925: 0c06.*
+ 2926: 6f91.*
+ 2927: 0d06.*
+ 2928: 6f91.*
+ 2929: 0c06.*
+ 292a: 6f91.*
+ 292b: 0e06.*
+ 292c: 6f91.*
+ 292d: 0f06.*
+ 292e: 6f91.*
+ 292f: 0f06.*
+ 2930: 6f91.*
+ 2931: 0c07.*
+ 2932: 6f91.*
+ 2933: 0d07.*
+ 2934: 6f91.*
+ 2935: 0c07.*
+ 2936: 6f91.*
+ 2937: 0e07.*
+ 2938: 6f91.*
+ 2939: 0f07.*
+ 293a: 6f91.*
+ 293b: 0f07.*
+ 293c: 6f91.*
+ 293d: 0c08.*
+ 293e: 6f91.*
+ 293f: 0d08.*
+ 2940: 6f91.*
+ 2941: 0c08.*
+ 2942: 6f91.*
+ 2943: 0e08.*
+ 2944: 6f91.*
+ 2945: 0f08.*
+ 2946: 6f91.*
+ 2947: 0f08.*
+ 2948: 6f91.*
+ 2949: 0c09.*
+ 294a: 6f91.*
+ 294b: 0d09.*
+ 294c: 6f91.*
+ 294d: 0c09.*
+ 294e: 6f91.*
+ 294f: 0e09.*
+ 2950: 6f91.*
+ 2951: 0f09.*
+ 2952: 6f91.*
+ 2953: 0f09.*
+ 2954: 6f91.*
+ 2955: 0c0a.*
+ 2956: 6f91.*
+ 2957: 0d0a.*
+ 2958: 6f91.*
+ 2959: 0c0a.*
+ 295a: 6f91.*
+ 295b: 0e0a.*
+ 295c: 6f91.*
+ 295d: 0f0a.*
+ 295e: 6f91.*
+ 295f: 0f0a.*
+ 2960: 6f91.*
+ 2961: 0c0b.*
+ 2962: 6f91.*
+ 2963: 0d0b.*
+ 2964: 6f91.*
+ 2965: 0c0b.*
+ 2966: 6f91.*
+ 2967: 0e0b.*
+ 2968: 6f91.*
+ 2969: 0f0b.*
+ 296a: 6f91.*
+ 296b: 0f0b.*
+ 296c: 6f91.*
+ 296d: 0c0c.*
+ 296e: 6f91.*
+ 296f: 0d0c.*
+ 2970: 6f91.*
+ 2971: 0c0c.*
+ 2972: 6f91.*
+ 2973: 0e0c.*
+ 2974: 6f91.*
+ 2975: 0f0c.*
+ 2976: 6f91.*
+ 2977: 0f0c.*
+ 2978: 6f91.*
+ 2979: 0c0d.*
+ 297a: 6f91.*
+ 297b: 0d0d.*
+ 297c: 6f91.*
+ 297d: 0c0d.*
+ 297e: 6f91.*
+ 297f: 0e0d.*
+ 2980: 6f91.*
+ 2981: 0f0d.*
+ 2982: 6f91.*
+ 2983: 0f0d.*
+ 2984: 6f91.*
+ 2985: 0c0e.*
+ 2986: 6f91.*
+ 2987: 0d0e.*
+ 2988: 6f91.*
+ 2989: 0c0e.*
+ 298a: 6f91.*
+ 298b: 0e0e.*
+ 298c: 6f91.*
+ 298d: 0f0e.*
+ 298e: 6f91.*
+ 298f: 0f0e.*
+ 2990: 6f91.*
+ 2991: 0c0f.*
+ 2992: 6f91.*
+ 2993: 0d0f.*
+ 2994: 6f91.*
+ 2995: 0c0f.*
+ 2996: 6f91.*
+ 2997: 0e0f.*
+ 2998: 6f91.*
+ 2999: 0f0f.*
+ 299a: 6f91.*
+ 299b: 0f0f.*
+ 299c: 00a1.*
+ 299d: 6fa1.*
+ 299e: 0d00.*
+ 299f: 00a1.*
+ 29a0: 6fa1.*
+ 29a1: 0e00.*
+ 29a2: 01a1.*
+ 29a3: 01a1.*
+ 29a4: 6fa1.*
+ 29a5: 0c10.*
+ 29a6: 6fa1.*
+ 29a7: 0d10.*
+ 29a8: 6fa1.*
+ 29a9: 0c10.*
+ 29aa: 6fa1.*
+ 29ab: 0e10.*
+ 29ac: 6fa1.*
+ 29ad: 0f10.*
+ 29ae: 6fa1.*
+ 29af: 0f10.*
+ 29b0: 6fa1.*
+ 29b1: 0c11.*
+ 29b2: 6fa1.*
+ 29b3: 0d11.*
+ 29b4: 6fa1.*
+ 29b5: 0c11.*
+ 29b6: 6fa1.*
+ 29b7: 0e11.*
+ 29b8: 6fa1.*
+ 29b9: 0f11.*
+ 29ba: 6fa1.*
+ 29bb: 0f11.*
+ 29bc: 6fa1.*
+ 29bd: 0c12.*
+ 29be: 6fa1.*
+ 29bf: 0d12.*
+ 29c0: 6fa1.*
+ 29c1: 0c12.*
+ 29c2: 6fa1.*
+ 29c3: 0e12.*
+ 29c4: 6fa1.*
+ 29c5: 0f12.*
+ 29c6: 6fa1.*
+ 29c7: 0f12.*
+ 29c8: 6fa1.*
+ 29c9: 0c13.*
+ 29ca: 6fa1.*
+ 29cb: 0d13.*
+ 29cc: 6fa1.*
+ 29cd: 0c13.*
+ 29ce: 6fa1.*
+ 29cf: 0e13.*
+ 29d0: 6fa1.*
+ 29d1: 0f13.*
+ 29d2: 6fa1.*
+ 29d3: 0f13.*
+ 29d4: 6fa1.*
+ 29d5: 0c14.*
+ 29d6: 6fa1.*
+ 29d7: 0d14.*
+ 29d8: 6fa1.*
+ 29d9: 0c14.*
+ 29da: 6fa1.*
+ 29db: 0e14.*
+ 29dc: 6fa1.*
+ 29dd: 0f14.*
+ 29de: 6fa1.*
+ 29df: 0f14.*
+ 29e0: 6fa1.*
+ 29e1: 0c15.*
+ 29e2: 6fa1.*
+ 29e3: 0d15.*
+ 29e4: 6fa1.*
+ 29e5: 0c15.*
+ 29e6: 6fa1.*
+ 29e7: 0e15.*
+ 29e8: 6fa1.*
+ 29e9: 0f15.*
+ 29ea: 6fa1.*
+ 29eb: 0f15.*
+ 29ec: 6fa1.*
+ 29ed: 0c16.*
+ 29ee: 6fa1.*
+ 29ef: 0d16.*
+ 29f0: 6fa1.*
+ 29f1: 0c16.*
+ 29f2: 6fa1.*
+ 29f3: 0e16.*
+ 29f4: 6fa1.*
+ 29f5: 0f16.*
+ 29f6: 6fa1.*
+ 29f7: 0f16.*
+ 29f8: 6fa1.*
+ 29f9: 0c17.*
+ 29fa: 6fa1.*
+ 29fb: 0d17.*
+ 29fc: 6fa1.*
+ 29fd: 0c17.*
+ 29fe: 6fa1.*
+ 29ff: 0e17.*
+ 2a00: 6fa1.*
+ 2a01: 0f17.*
+ 2a02: 6fa1.*
+ 2a03: 0f17.*
+ 2a04: 6fa1.*
+ 2a05: 0c18.*
+ 2a06: 6fa1.*
+ 2a07: 0d18.*
+ 2a08: 6fa1.*
+ 2a09: 0c18.*
+ 2a0a: 6fa1.*
+ 2a0b: 0e18.*
+ 2a0c: 6fa1.*
+ 2a0d: 0f18.*
+ 2a0e: 6fa1.*
+ 2a0f: 0f18.*
+ 2a10: 6fa1.*
+ 2a11: 0c19.*
+ 2a12: 6fa1.*
+ 2a13: 0d19.*
+ 2a14: 6fa1.*
+ 2a15: 0c19.*
+ 2a16: 6fa1.*
+ 2a17: 0e19.*
+ 2a18: 6fa1.*
+ 2a19: 0f19.*
+ 2a1a: 6fa1.*
+ 2a1b: 0f19.*
+ 2a1c: 6fa1.*
+ 2a1d: 0c1a.*
+ 2a1e: 6fa1.*
+ 2a1f: 0d1a.*
+ 2a20: 6fa1.*
+ 2a21: 0c1a.*
+ 2a22: 6fa1.*
+ 2a23: 0e1a.*
+ 2a24: 6fa1.*
+ 2a25: 0f1a.*
+ 2a26: 6fa1.*
+ 2a27: 0f1a.*
+ 2a28: 6fa1.*
+ 2a29: 0c1b.*
+ 2a2a: 6fa1.*
+ 2a2b: 0d1b.*
+ 2a2c: 6fa1.*
+ 2a2d: 0c1b.*
+ 2a2e: 6fa1.*
+ 2a2f: 0e1b.*
+ 2a30: 6fa1.*
+ 2a31: 0f1b.*
+ 2a32: 6fa1.*
+ 2a33: 0f1b.*
+ 2a34: 6fa1.*
+ 2a35: 0c1c.*
+ 2a36: 6fa1.*
+ 2a37: 0d1c.*
+ 2a38: 6fa1.*
+ 2a39: 0c1c.*
+ 2a3a: 6fa1.*
+ 2a3b: 0e1c.*
+ 2a3c: 6fa1.*
+ 2a3d: 0f1c.*
+ 2a3e: 6fa1.*
+ 2a3f: 0f1c.*
+ 2a40: 6fa1.*
+ 2a41: 0c1d.*
+ 2a42: 6fa1.*
+ 2a43: 0d1d.*
+ 2a44: 6fa1.*
+ 2a45: 0c1d.*
+ 2a46: 6fa1.*
+ 2a47: 0e1d.*
+ 2a48: 6fa1.*
+ 2a49: 0f1d.*
+ 2a4a: 6fa1.*
+ 2a4b: 0f1d.*
+ 2a4c: 6fa1.*
+ 2a4d: 0c1e.*
+ 2a4e: 6fa1.*
+ 2a4f: 0d1e.*
+ 2a50: 6fa1.*
+ 2a51: 0c1e.*
+ 2a52: 6fa1.*
+ 2a53: 0e1e.*
+ 2a54: 6fa1.*
+ 2a55: 0f1e.*
+ 2a56: 6fa1.*
+ 2a57: 0f1e.*
+ 2a58: 6fa1.*
+ 2a59: 0c1f.*
+ 2a5a: 6fa1.*
+ 2a5b: 0d1f.*
+ 2a5c: 6fa1.*
+ 2a5d: 0c1f.*
+ 2a5e: 6fa1.*
+ 2a5f: 0e1f.*
+ 2a60: 6fa1.*
+ 2a61: 0f1f.*
+ 2a62: 6fa1.*
+ 2a63: 0f1f.*
+ 2a64: 00a1.*
+ 2a65: 6fa1.*
+ 2a66: 0d00.*
+ 2a67: 00a1.*
+ 2a68: 6fa1.*
+ 2a69: 0e00.*
+ 2a6a: 01a1.*
+ 2a6b: 01a1.*
+ 2a6c: 6fa1.*
+ 2a6d: 0c01.*
+ 2a6e: 6fa1.*
+ 2a6f: 0d01.*
+ 2a70: 6fa1.*
+ 2a71: 0c01.*
+ 2a72: 6fa1.*
+ 2a73: 0e01.*
+ 2a74: 6fa1.*
+ 2a75: 0f01.*
+ 2a76: 6fa1.*
+ 2a77: 0f01.*
+ 2a78: 6fa1.*
+ 2a79: 0c02.*
+ 2a7a: 6fa1.*
+ 2a7b: 0d02.*
+ 2a7c: 6fa1.*
+ 2a7d: 0c02.*
+ 2a7e: 6fa1.*
+ 2a7f: 0e02.*
+ 2a80: 6fa1.*
+ 2a81: 0f02.*
+ 2a82: 6fa1.*
+ 2a83: 0f02.*
+ 2a84: 6fa1.*
+ 2a85: 0c03.*
+ 2a86: 6fa1.*
+ 2a87: 0d03.*
+ 2a88: 6fa1.*
+ 2a89: 0c03.*
+ 2a8a: 6fa1.*
+ 2a8b: 0e03.*
+ 2a8c: 6fa1.*
+ 2a8d: 0f03.*
+ 2a8e: 6fa1.*
+ 2a8f: 0f03.*
+ 2a90: 6fa1.*
+ 2a91: 0c04.*
+ 2a92: 6fa1.*
+ 2a93: 0d04.*
+ 2a94: 6fa1.*
+ 2a95: 0c04.*
+ 2a96: 6fa1.*
+ 2a97: 0e04.*
+ 2a98: 6fa1.*
+ 2a99: 0f04.*
+ 2a9a: 6fa1.*
+ 2a9b: 0f04.*
+ 2a9c: 6fa1.*
+ 2a9d: 0c05.*
+ 2a9e: 6fa1.*
+ 2a9f: 0d05.*
+ 2aa0: 6fa1.*
+ 2aa1: 0c05.*
+ 2aa2: 6fa1.*
+ 2aa3: 0e05.*
+ 2aa4: 6fa1.*
+ 2aa5: 0f05.*
+ 2aa6: 6fa1.*
+ 2aa7: 0f05.*
+ 2aa8: 6fa1.*
+ 2aa9: 0c06.*
+ 2aaa: 6fa1.*
+ 2aab: 0d06.*
+ 2aac: 6fa1.*
+ 2aad: 0c06.*
+ 2aae: 6fa1.*
+ 2aaf: 0e06.*
+ 2ab0: 6fa1.*
+ 2ab1: 0f06.*
+ 2ab2: 6fa1.*
+ 2ab3: 0f06.*
+ 2ab4: 6fa1.*
+ 2ab5: 0c07.*
+ 2ab6: 6fa1.*
+ 2ab7: 0d07.*
+ 2ab8: 6fa1.*
+ 2ab9: 0c07.*
+ 2aba: 6fa1.*
+ 2abb: 0e07.*
+ 2abc: 6fa1.*
+ 2abd: 0f07.*
+ 2abe: 6fa1.*
+ 2abf: 0f07.*
+ 2ac0: 6fa1.*
+ 2ac1: 0c08.*
+ 2ac2: 6fa1.*
+ 2ac3: 0d08.*
+ 2ac4: 6fa1.*
+ 2ac5: 0c08.*
+ 2ac6: 6fa1.*
+ 2ac7: 0e08.*
+ 2ac8: 6fa1.*
+ 2ac9: 0f08.*
+ 2aca: 6fa1.*
+ 2acb: 0f08.*
+ 2acc: 6fa1.*
+ 2acd: 0c09.*
+ 2ace: 6fa1.*
+ 2acf: 0d09.*
+ 2ad0: 6fa1.*
+ 2ad1: 0c09.*
+ 2ad2: 6fa1.*
+ 2ad3: 0e09.*
+ 2ad4: 6fa1.*
+ 2ad5: 0f09.*
+ 2ad6: 6fa1.*
+ 2ad7: 0f09.*
+ 2ad8: 6fa1.*
+ 2ad9: 0c0a.*
+ 2ada: 6fa1.*
+ 2adb: 0d0a.*
+ 2adc: 6fa1.*
+ 2add: 0c0a.*
+ 2ade: 6fa1.*
+ 2adf: 0e0a.*
+ 2ae0: 6fa1.*
+ 2ae1: 0f0a.*
+ 2ae2: 6fa1.*
+ 2ae3: 0f0a.*
+ 2ae4: 6fa1.*
+ 2ae5: 0c0b.*
+ 2ae6: 6fa1.*
+ 2ae7: 0d0b.*
+ 2ae8: 6fa1.*
+ 2ae9: 0c0b.*
+ 2aea: 6fa1.*
+ 2aeb: 0e0b.*
+ 2aec: 6fa1.*
+ 2aed: 0f0b.*
+ 2aee: 6fa1.*
+ 2aef: 0f0b.*
+ 2af0: 6fa1.*
+ 2af1: 0c0c.*
+ 2af2: 6fa1.*
+ 2af3: 0d0c.*
+ 2af4: 6fa1.*
+ 2af5: 0c0c.*
+ 2af6: 6fa1.*
+ 2af7: 0e0c.*
+ 2af8: 6fa1.*
+ 2af9: 0f0c.*
+ 2afa: 6fa1.*
+ 2afb: 0f0c.*
+ 2afc: 6fa1.*
+ 2afd: 0c0d.*
+ 2afe: 6fa1.*
+ 2aff: 0d0d.*
+ 2b00: 6fa1.*
+ 2b01: 0c0d.*
+ 2b02: 6fa1.*
+ 2b03: 0e0d.*
+ 2b04: 6fa1.*
+ 2b05: 0f0d.*
+ 2b06: 6fa1.*
+ 2b07: 0f0d.*
+ 2b08: 6fa1.*
+ 2b09: 0c0e.*
+ 2b0a: 6fa1.*
+ 2b0b: 0d0e.*
+ 2b0c: 6fa1.*
+ 2b0d: 0c0e.*
+ 2b0e: 6fa1.*
+ 2b0f: 0e0e.*
+ 2b10: 6fa1.*
+ 2b11: 0f0e.*
+ 2b12: 6fa1.*
+ 2b13: 0f0e.*
+ 2b14: 6fa1.*
+ 2b15: 0c0f.*
+ 2b16: 6fa1.*
+ 2b17: 0d0f.*
+ 2b18: 6fa1.*
+ 2b19: 0c0f.*
+ 2b1a: 6fa1.*
+ 2b1b: 0e0f.*
+ 2b1c: 6fa1.*
+ 2b1d: 0f0f.*
+ 2b1e: 6fa1.*
+ 2b1f: 0f0f.*
+ 2b20: 00a9.*
+ 2b21: 6fa9.*
+ 2b22: 0d00.*
+ 2b23: 00a9.*
+ 2b24: 6fa9.*
+ 2b25: 0e00.*
+ 2b26: 01a9.*
+ 2b27: 01a9.*
+ 2b28: 6fa9.*
+ 2b29: 0c10.*
+ 2b2a: 6fa9.*
+ 2b2b: 0d10.*
+ 2b2c: 6fa9.*
+ 2b2d: 0c10.*
+ 2b2e: 6fa9.*
+ 2b2f: 0e10.*
+ 2b30: 6fa9.*
+ 2b31: 0f10.*
+ 2b32: 6fa9.*
+ 2b33: 0f10.*
+ 2b34: 6fa9.*
+ 2b35: 0c11.*
+ 2b36: 6fa9.*
+ 2b37: 0d11.*
+ 2b38: 6fa9.*
+ 2b39: 0c11.*
+ 2b3a: 6fa9.*
+ 2b3b: 0e11.*
+ 2b3c: 6fa9.*
+ 2b3d: 0f11.*
+ 2b3e: 6fa9.*
+ 2b3f: 0f11.*
+ 2b40: 6fa9.*
+ 2b41: 0c12.*
+ 2b42: 6fa9.*
+ 2b43: 0d12.*
+ 2b44: 6fa9.*
+ 2b45: 0c12.*
+ 2b46: 6fa9.*
+ 2b47: 0e12.*
+ 2b48: 6fa9.*
+ 2b49: 0f12.*
+ 2b4a: 6fa9.*
+ 2b4b: 0f12.*
+ 2b4c: 6fa9.*
+ 2b4d: 0c13.*
+ 2b4e: 6fa9.*
+ 2b4f: 0d13.*
+ 2b50: 6fa9.*
+ 2b51: 0c13.*
+ 2b52: 6fa9.*
+ 2b53: 0e13.*
+ 2b54: 6fa9.*
+ 2b55: 0f13.*
+ 2b56: 6fa9.*
+ 2b57: 0f13.*
+ 2b58: 6fa9.*
+ 2b59: 0c14.*
+ 2b5a: 6fa9.*
+ 2b5b: 0d14.*
+ 2b5c: 6fa9.*
+ 2b5d: 0c14.*
+ 2b5e: 6fa9.*
+ 2b5f: 0e14.*
+ 2b60: 6fa9.*
+ 2b61: 0f14.*
+ 2b62: 6fa9.*
+ 2b63: 0f14.*
+ 2b64: 6fa9.*
+ 2b65: 0c15.*
+ 2b66: 6fa9.*
+ 2b67: 0d15.*
+ 2b68: 6fa9.*
+ 2b69: 0c15.*
+ 2b6a: 6fa9.*
+ 2b6b: 0e15.*
+ 2b6c: 6fa9.*
+ 2b6d: 0f15.*
+ 2b6e: 6fa9.*
+ 2b6f: 0f15.*
+ 2b70: 6fa9.*
+ 2b71: 0c16.*
+ 2b72: 6fa9.*
+ 2b73: 0d16.*
+ 2b74: 6fa9.*
+ 2b75: 0c16.*
+ 2b76: 6fa9.*
+ 2b77: 0e16.*
+ 2b78: 6fa9.*
+ 2b79: 0f16.*
+ 2b7a: 6fa9.*
+ 2b7b: 0f16.*
+ 2b7c: 6fa9.*
+ 2b7d: 0c17.*
+ 2b7e: 6fa9.*
+ 2b7f: 0d17.*
+ 2b80: 6fa9.*
+ 2b81: 0c17.*
+ 2b82: 6fa9.*
+ 2b83: 0e17.*
+ 2b84: 6fa9.*
+ 2b85: 0f17.*
+ 2b86: 6fa9.*
+ 2b87: 0f17.*
+ 2b88: 6fa9.*
+ 2b89: 0c18.*
+ 2b8a: 6fa9.*
+ 2b8b: 0d18.*
+ 2b8c: 6fa9.*
+ 2b8d: 0c18.*
+ 2b8e: 6fa9.*
+ 2b8f: 0e18.*
+ 2b90: 6fa9.*
+ 2b91: 0f18.*
+ 2b92: 6fa9.*
+ 2b93: 0f18.*
+ 2b94: 6fa9.*
+ 2b95: 0c19.*
+ 2b96: 6fa9.*
+ 2b97: 0d19.*
+ 2b98: 6fa9.*
+ 2b99: 0c19.*
+ 2b9a: 6fa9.*
+ 2b9b: 0e19.*
+ 2b9c: 6fa9.*
+ 2b9d: 0f19.*
+ 2b9e: 6fa9.*
+ 2b9f: 0f19.*
+ 2ba0: 6fa9.*
+ 2ba1: 0c1a.*
+ 2ba2: 6fa9.*
+ 2ba3: 0d1a.*
+ 2ba4: 6fa9.*
+ 2ba5: 0c1a.*
+ 2ba6: 6fa9.*
+ 2ba7: 0e1a.*
+ 2ba8: 6fa9.*
+ 2ba9: 0f1a.*
+ 2baa: 6fa9.*
+ 2bab: 0f1a.*
+ 2bac: 6fa9.*
+ 2bad: 0c1b.*
+ 2bae: 6fa9.*
+ 2baf: 0d1b.*
+ 2bb0: 6fa9.*
+ 2bb1: 0c1b.*
+ 2bb2: 6fa9.*
+ 2bb3: 0e1b.*
+ 2bb4: 6fa9.*
+ 2bb5: 0f1b.*
+ 2bb6: 6fa9.*
+ 2bb7: 0f1b.*
+ 2bb8: 6fa9.*
+ 2bb9: 0c1c.*
+ 2bba: 6fa9.*
+ 2bbb: 0d1c.*
+ 2bbc: 6fa9.*
+ 2bbd: 0c1c.*
+ 2bbe: 6fa9.*
+ 2bbf: 0e1c.*
+ 2bc0: 6fa9.*
+ 2bc1: 0f1c.*
+ 2bc2: 6fa9.*
+ 2bc3: 0f1c.*
+ 2bc4: 6fa9.*
+ 2bc5: 0c1d.*
+ 2bc6: 6fa9.*
+ 2bc7: 0d1d.*
+ 2bc8: 6fa9.*
+ 2bc9: 0c1d.*
+ 2bca: 6fa9.*
+ 2bcb: 0e1d.*
+ 2bcc: 6fa9.*
+ 2bcd: 0f1d.*
+ 2bce: 6fa9.*
+ 2bcf: 0f1d.*
+ 2bd0: 6fa9.*
+ 2bd1: 0c1e.*
+ 2bd2: 6fa9.*
+ 2bd3: 0d1e.*
+ 2bd4: 6fa9.*
+ 2bd5: 0c1e.*
+ 2bd6: 6fa9.*
+ 2bd7: 0e1e.*
+ 2bd8: 6fa9.*
+ 2bd9: 0f1e.*
+ 2bda: 6fa9.*
+ 2bdb: 0f1e.*
+ 2bdc: 6fa9.*
+ 2bdd: 0c1f.*
+ 2bde: 6fa9.*
+ 2bdf: 0d1f.*
+ 2be0: 6fa9.*
+ 2be1: 0c1f.*
+ 2be2: 6fa9.*
+ 2be3: 0e1f.*
+ 2be4: 6fa9.*
+ 2be5: 0f1f.*
+ 2be6: 6fa9.*
+ 2be7: 0f1f.*
+ 2be8: 00a9.*
+ 2be9: 6fa9.*
+ 2bea: 0d00.*
+ 2beb: 00a9.*
+ 2bec: 6fa9.*
+ 2bed: 0e00.*
+ 2bee: 01a9.*
+ 2bef: 01a9.*
+ 2bf0: 6fa9.*
+ 2bf1: 0c01.*
+ 2bf2: 6fa9.*
+ 2bf3: 0d01.*
+ 2bf4: 6fa9.*
+ 2bf5: 0c01.*
+ 2bf6: 6fa9.*
+ 2bf7: 0e01.*
+ 2bf8: 6fa9.*
+ 2bf9: 0f01.*
+ 2bfa: 6fa9.*
+ 2bfb: 0f01.*
+ 2bfc: 6fa9.*
+ 2bfd: 0c02.*
+ 2bfe: 6fa9.*
+ 2bff: 0d02.*
+ 2c00: 6fa9.*
+ 2c01: 0c02.*
+ 2c02: 6fa9.*
+ 2c03: 0e02.*
+ 2c04: 6fa9.*
+ 2c05: 0f02.*
+ 2c06: 6fa9.*
+ 2c07: 0f02.*
+ 2c08: 6fa9.*
+ 2c09: 0c03.*
+ 2c0a: 6fa9.*
+ 2c0b: 0d03.*
+ 2c0c: 6fa9.*
+ 2c0d: 0c03.*
+ 2c0e: 6fa9.*
+ 2c0f: 0e03.*
+ 2c10: 6fa9.*
+ 2c11: 0f03.*
+ 2c12: 6fa9.*
+ 2c13: 0f03.*
+ 2c14: 6fa9.*
+ 2c15: 0c04.*
+ 2c16: 6fa9.*
+ 2c17: 0d04.*
+ 2c18: 6fa9.*
+ 2c19: 0c04.*
+ 2c1a: 6fa9.*
+ 2c1b: 0e04.*
+ 2c1c: 6fa9.*
+ 2c1d: 0f04.*
+ 2c1e: 6fa9.*
+ 2c1f: 0f04.*
+ 2c20: 6fa9.*
+ 2c21: 0c05.*
+ 2c22: 6fa9.*
+ 2c23: 0d05.*
+ 2c24: 6fa9.*
+ 2c25: 0c05.*
+ 2c26: 6fa9.*
+ 2c27: 0e05.*
+ 2c28: 6fa9.*
+ 2c29: 0f05.*
+ 2c2a: 6fa9.*
+ 2c2b: 0f05.*
+ 2c2c: 6fa9.*
+ 2c2d: 0c06.*
+ 2c2e: 6fa9.*
+ 2c2f: 0d06.*
+ 2c30: 6fa9.*
+ 2c31: 0c06.*
+ 2c32: 6fa9.*
+ 2c33: 0e06.*
+ 2c34: 6fa9.*
+ 2c35: 0f06.*
+ 2c36: 6fa9.*
+ 2c37: 0f06.*
+ 2c38: 6fa9.*
+ 2c39: 0c07.*
+ 2c3a: 6fa9.*
+ 2c3b: 0d07.*
+ 2c3c: 6fa9.*
+ 2c3d: 0c07.*
+ 2c3e: 6fa9.*
+ 2c3f: 0e07.*
+ 2c40: 6fa9.*
+ 2c41: 0f07.*
+ 2c42: 6fa9.*
+ 2c43: 0f07.*
+ 2c44: 6fa9.*
+ 2c45: 0c08.*
+ 2c46: 6fa9.*
+ 2c47: 0d08.*
+ 2c48: 6fa9.*
+ 2c49: 0c08.*
+ 2c4a: 6fa9.*
+ 2c4b: 0e08.*
+ 2c4c: 6fa9.*
+ 2c4d: 0f08.*
+ 2c4e: 6fa9.*
+ 2c4f: 0f08.*
+ 2c50: 6fa9.*
+ 2c51: 0c09.*
+ 2c52: 6fa9.*
+ 2c53: 0d09.*
+ 2c54: 6fa9.*
+ 2c55: 0c09.*
+ 2c56: 6fa9.*
+ 2c57: 0e09.*
+ 2c58: 6fa9.*
+ 2c59: 0f09.*
+ 2c5a: 6fa9.*
+ 2c5b: 0f09.*
+ 2c5c: 6fa9.*
+ 2c5d: 0c0a.*
+ 2c5e: 6fa9.*
+ 2c5f: 0d0a.*
+ 2c60: 6fa9.*
+ 2c61: 0c0a.*
+ 2c62: 6fa9.*
+ 2c63: 0e0a.*
+ 2c64: 6fa9.*
+ 2c65: 0f0a.*
+ 2c66: 6fa9.*
+ 2c67: 0f0a.*
+ 2c68: 6fa9.*
+ 2c69: 0c0b.*
+ 2c6a: 6fa9.*
+ 2c6b: 0d0b.*
+ 2c6c: 6fa9.*
+ 2c6d: 0c0b.*
+ 2c6e: 6fa9.*
+ 2c6f: 0e0b.*
+ 2c70: 6fa9.*
+ 2c71: 0f0b.*
+ 2c72: 6fa9.*
+ 2c73: 0f0b.*
+ 2c74: 6fa9.*
+ 2c75: 0c0c.*
+ 2c76: 6fa9.*
+ 2c77: 0d0c.*
+ 2c78: 6fa9.*
+ 2c79: 0c0c.*
+ 2c7a: 6fa9.*
+ 2c7b: 0e0c.*
+ 2c7c: 6fa9.*
+ 2c7d: 0f0c.*
+ 2c7e: 6fa9.*
+ 2c7f: 0f0c.*
+ 2c80: 6fa9.*
+ 2c81: 0c0d.*
+ 2c82: 6fa9.*
+ 2c83: 0d0d.*
+ 2c84: 6fa9.*
+ 2c85: 0c0d.*
+ 2c86: 6fa9.*
+ 2c87: 0e0d.*
+ 2c88: 6fa9.*
+ 2c89: 0f0d.*
+ 2c8a: 6fa9.*
+ 2c8b: 0f0d.*
+ 2c8c: 6fa9.*
+ 2c8d: 0c0e.*
+ 2c8e: 6fa9.*
+ 2c8f: 0d0e.*
+ 2c90: 6fa9.*
+ 2c91: 0c0e.*
+ 2c92: 6fa9.*
+ 2c93: 0e0e.*
+ 2c94: 6fa9.*
+ 2c95: 0f0e.*
+ 2c96: 6fa9.*
+ 2c97: 0f0e.*
+ 2c98: 6fa9.*
+ 2c99: 0c0f.*
+ 2c9a: 6fa9.*
+ 2c9b: 0d0f.*
+ 2c9c: 6fa9.*
+ 2c9d: 0c0f.*
+ 2c9e: 6fa9.*
+ 2c9f: 0e0f.*
+ 2ca0: 6fa9.*
+ 2ca1: 0f0f.*
+ 2ca2: 6fa9.*
+ 2ca3: 0f0f.*
+ 2ca4: 00b1.*
+ 2ca5: 6fb1.*
+ 2ca6: 0d00.*
+ 2ca7: 00b1.*
+ 2ca8: 6fb1.*
+ 2ca9: 0e00.*
+ 2caa: 01b1.*
+ 2cab: 01b1.*
+ 2cac: 6fb1.*
+ 2cad: 0c10.*
+ 2cae: 6fb1.*
+ 2caf: 0d10.*
+ 2cb0: 6fb1.*
+ 2cb1: 0c10.*
+ 2cb2: 6fb1.*
+ 2cb3: 0e10.*
+ 2cb4: 6fb1.*
+ 2cb5: 0f10.*
+ 2cb6: 6fb1.*
+ 2cb7: 0f10.*
+ 2cb8: 6fb1.*
+ 2cb9: 0c11.*
+ 2cba: 6fb1.*
+ 2cbb: 0d11.*
+ 2cbc: 6fb1.*
+ 2cbd: 0c11.*
+ 2cbe: 6fb1.*
+ 2cbf: 0e11.*
+ 2cc0: 6fb1.*
+ 2cc1: 0f11.*
+ 2cc2: 6fb1.*
+ 2cc3: 0f11.*
+ 2cc4: 6fb1.*
+ 2cc5: 0c12.*
+ 2cc6: 6fb1.*
+ 2cc7: 0d12.*
+ 2cc8: 6fb1.*
+ 2cc9: 0c12.*
+ 2cca: 6fb1.*
+ 2ccb: 0e12.*
+ 2ccc: 6fb1.*
+ 2ccd: 0f12.*
+ 2cce: 6fb1.*
+ 2ccf: 0f12.*
+ 2cd0: 6fb1.*
+ 2cd1: 0c13.*
+ 2cd2: 6fb1.*
+ 2cd3: 0d13.*
+ 2cd4: 6fb1.*
+ 2cd5: 0c13.*
+ 2cd6: 6fb1.*
+ 2cd7: 0e13.*
+ 2cd8: 6fb1.*
+ 2cd9: 0f13.*
+ 2cda: 6fb1.*
+ 2cdb: 0f13.*
+ 2cdc: 6fb1.*
+ 2cdd: 0c14.*
+ 2cde: 6fb1.*
+ 2cdf: 0d14.*
+ 2ce0: 6fb1.*
+ 2ce1: 0c14.*
+ 2ce2: 6fb1.*
+ 2ce3: 0e14.*
+ 2ce4: 6fb1.*
+ 2ce5: 0f14.*
+ 2ce6: 6fb1.*
+ 2ce7: 0f14.*
+ 2ce8: 6fb1.*
+ 2ce9: 0c15.*
+ 2cea: 6fb1.*
+ 2ceb: 0d15.*
+ 2cec: 6fb1.*
+ 2ced: 0c15.*
+ 2cee: 6fb1.*
+ 2cef: 0e15.*
+ 2cf0: 6fb1.*
+ 2cf1: 0f15.*
+ 2cf2: 6fb1.*
+ 2cf3: 0f15.*
+ 2cf4: 6fb1.*
+ 2cf5: 0c16.*
+ 2cf6: 6fb1.*
+ 2cf7: 0d16.*
+ 2cf8: 6fb1.*
+ 2cf9: 0c16.*
+ 2cfa: 6fb1.*
+ 2cfb: 0e16.*
+ 2cfc: 6fb1.*
+ 2cfd: 0f16.*
+ 2cfe: 6fb1.*
+ 2cff: 0f16.*
+ 2d00: 6fb1.*
+ 2d01: 0c17.*
+ 2d02: 6fb1.*
+ 2d03: 0d17.*
+ 2d04: 6fb1.*
+ 2d05: 0c17.*
+ 2d06: 6fb1.*
+ 2d07: 0e17.*
+ 2d08: 6fb1.*
+ 2d09: 0f17.*
+ 2d0a: 6fb1.*
+ 2d0b: 0f17.*
+ 2d0c: 6fb1.*
+ 2d0d: 0c18.*
+ 2d0e: 6fb1.*
+ 2d0f: 0d18.*
+ 2d10: 6fb1.*
+ 2d11: 0c18.*
+ 2d12: 6fb1.*
+ 2d13: 0e18.*
+ 2d14: 6fb1.*
+ 2d15: 0f18.*
+ 2d16: 6fb1.*
+ 2d17: 0f18.*
+ 2d18: 6fb1.*
+ 2d19: 0c19.*
+ 2d1a: 6fb1.*
+ 2d1b: 0d19.*
+ 2d1c: 6fb1.*
+ 2d1d: 0c19.*
+ 2d1e: 6fb1.*
+ 2d1f: 0e19.*
+ 2d20: 6fb1.*
+ 2d21: 0f19.*
+ 2d22: 6fb1.*
+ 2d23: 0f19.*
+ 2d24: 6fb1.*
+ 2d25: 0c1a.*
+ 2d26: 6fb1.*
+ 2d27: 0d1a.*
+ 2d28: 6fb1.*
+ 2d29: 0c1a.*
+ 2d2a: 6fb1.*
+ 2d2b: 0e1a.*
+ 2d2c: 6fb1.*
+ 2d2d: 0f1a.*
+ 2d2e: 6fb1.*
+ 2d2f: 0f1a.*
+ 2d30: 6fb1.*
+ 2d31: 0c1b.*
+ 2d32: 6fb1.*
+ 2d33: 0d1b.*
+ 2d34: 6fb1.*
+ 2d35: 0c1b.*
+ 2d36: 6fb1.*
+ 2d37: 0e1b.*
+ 2d38: 6fb1.*
+ 2d39: 0f1b.*
+ 2d3a: 6fb1.*
+ 2d3b: 0f1b.*
+ 2d3c: 6fb1.*
+ 2d3d: 0c1c.*
+ 2d3e: 6fb1.*
+ 2d3f: 0d1c.*
+ 2d40: 6fb1.*
+ 2d41: 0c1c.*
+ 2d42: 6fb1.*
+ 2d43: 0e1c.*
+ 2d44: 6fb1.*
+ 2d45: 0f1c.*
+ 2d46: 6fb1.*
+ 2d47: 0f1c.*
+ 2d48: 6fb1.*
+ 2d49: 0c1d.*
+ 2d4a: 6fb1.*
+ 2d4b: 0d1d.*
+ 2d4c: 6fb1.*
+ 2d4d: 0c1d.*
+ 2d4e: 6fb1.*
+ 2d4f: 0e1d.*
+ 2d50: 6fb1.*
+ 2d51: 0f1d.*
+ 2d52: 6fb1.*
+ 2d53: 0f1d.*
+ 2d54: 6fb1.*
+ 2d55: 0c1e.*
+ 2d56: 6fb1.*
+ 2d57: 0d1e.*
+ 2d58: 6fb1.*
+ 2d59: 0c1e.*
+ 2d5a: 6fb1.*
+ 2d5b: 0e1e.*
+ 2d5c: 6fb1.*
+ 2d5d: 0f1e.*
+ 2d5e: 6fb1.*
+ 2d5f: 0f1e.*
+ 2d60: 6fb1.*
+ 2d61: 0c1f.*
+ 2d62: 6fb1.*
+ 2d63: 0d1f.*
+ 2d64: 6fb1.*
+ 2d65: 0c1f.*
+ 2d66: 6fb1.*
+ 2d67: 0e1f.*
+ 2d68: 6fb1.*
+ 2d69: 0f1f.*
+ 2d6a: 6fb1.*
+ 2d6b: 0f1f.*
+ 2d6c: 00b1.*
+ 2d6d: 6fb1.*
+ 2d6e: 0d00.*
+ 2d6f: 00b1.*
+ 2d70: 6fb1.*
+ 2d71: 0e00.*
+ 2d72: 01b1.*
+ 2d73: 01b1.*
+ 2d74: 6fb1.*
+ 2d75: 0c01.*
+ 2d76: 6fb1.*
+ 2d77: 0d01.*
+ 2d78: 6fb1.*
+ 2d79: 0c01.*
+ 2d7a: 6fb1.*
+ 2d7b: 0e01.*
+ 2d7c: 6fb1.*
+ 2d7d: 0f01.*
+ 2d7e: 6fb1.*
+ 2d7f: 0f01.*
+ 2d80: 6fb1.*
+ 2d81: 0c02.*
+ 2d82: 6fb1.*
+ 2d83: 0d02.*
+ 2d84: 6fb1.*
+ 2d85: 0c02.*
+ 2d86: 6fb1.*
+ 2d87: 0e02.*
+ 2d88: 6fb1.*
+ 2d89: 0f02.*
+ 2d8a: 6fb1.*
+ 2d8b: 0f02.*
+ 2d8c: 6fb1.*
+ 2d8d: 0c03.*
+ 2d8e: 6fb1.*
+ 2d8f: 0d03.*
+ 2d90: 6fb1.*
+ 2d91: 0c03.*
+ 2d92: 6fb1.*
+ 2d93: 0e03.*
+ 2d94: 6fb1.*
+ 2d95: 0f03.*
+ 2d96: 6fb1.*
+ 2d97: 0f03.*
+ 2d98: 6fb1.*
+ 2d99: 0c04.*
+ 2d9a: 6fb1.*
+ 2d9b: 0d04.*
+ 2d9c: 6fb1.*
+ 2d9d: 0c04.*
+ 2d9e: 6fb1.*
+ 2d9f: 0e04.*
+ 2da0: 6fb1.*
+ 2da1: 0f04.*
+ 2da2: 6fb1.*
+ 2da3: 0f04.*
+ 2da4: 6fb1.*
+ 2da5: 0c05.*
+ 2da6: 6fb1.*
+ 2da7: 0d05.*
+ 2da8: 6fb1.*
+ 2da9: 0c05.*
+ 2daa: 6fb1.*
+ 2dab: 0e05.*
+ 2dac: 6fb1.*
+ 2dad: 0f05.*
+ 2dae: 6fb1.*
+ 2daf: 0f05.*
+ 2db0: 6fb1.*
+ 2db1: 0c06.*
+ 2db2: 6fb1.*
+ 2db3: 0d06.*
+ 2db4: 6fb1.*
+ 2db5: 0c06.*
+ 2db6: 6fb1.*
+ 2db7: 0e06.*
+ 2db8: 6fb1.*
+ 2db9: 0f06.*
+ 2dba: 6fb1.*
+ 2dbb: 0f06.*
+ 2dbc: 6fb1.*
+ 2dbd: 0c07.*
+ 2dbe: 6fb1.*
+ 2dbf: 0d07.*
+ 2dc0: 6fb1.*
+ 2dc1: 0c07.*
+ 2dc2: 6fb1.*
+ 2dc3: 0e07.*
+ 2dc4: 6fb1.*
+ 2dc5: 0f07.*
+ 2dc6: 6fb1.*
+ 2dc7: 0f07.*
+ 2dc8: 6fb1.*
+ 2dc9: 0c08.*
+ 2dca: 6fb1.*
+ 2dcb: 0d08.*
+ 2dcc: 6fb1.*
+ 2dcd: 0c08.*
+ 2dce: 6fb1.*
+ 2dcf: 0e08.*
+ 2dd0: 6fb1.*
+ 2dd1: 0f08.*
+ 2dd2: 6fb1.*
+ 2dd3: 0f08.*
+ 2dd4: 6fb1.*
+ 2dd5: 0c09.*
+ 2dd6: 6fb1.*
+ 2dd7: 0d09.*
+ 2dd8: 6fb1.*
+ 2dd9: 0c09.*
+ 2dda: 6fb1.*
+ 2ddb: 0e09.*
+ 2ddc: 6fb1.*
+ 2ddd: 0f09.*
+ 2dde: 6fb1.*
+ 2ddf: 0f09.*
+ 2de0: 6fb1.*
+ 2de1: 0c0a.*
+ 2de2: 6fb1.*
+ 2de3: 0d0a.*
+ 2de4: 6fb1.*
+ 2de5: 0c0a.*
+ 2de6: 6fb1.*
+ 2de7: 0e0a.*
+ 2de8: 6fb1.*
+ 2de9: 0f0a.*
+ 2dea: 6fb1.*
+ 2deb: 0f0a.*
+ 2dec: 6fb1.*
+ 2ded: 0c0b.*
+ 2dee: 6fb1.*
+ 2def: 0d0b.*
+ 2df0: 6fb1.*
+ 2df1: 0c0b.*
+ 2df2: 6fb1.*
+ 2df3: 0e0b.*
+ 2df4: 6fb1.*
+ 2df5: 0f0b.*
+ 2df6: 6fb1.*
+ 2df7: 0f0b.*
+ 2df8: 6fb1.*
+ 2df9: 0c0c.*
+ 2dfa: 6fb1.*
+ 2dfb: 0d0c.*
+ 2dfc: 6fb1.*
+ 2dfd: 0c0c.*
+ 2dfe: 6fb1.*
+ 2dff: 0e0c.*
+ 2e00: 6fb1.*
+ 2e01: 0f0c.*
+ 2e02: 6fb1.*
+ 2e03: 0f0c.*
+ 2e04: 6fb1.*
+ 2e05: 0c0d.*
+ 2e06: 6fb1.*
+ 2e07: 0d0d.*
+ 2e08: 6fb1.*
+ 2e09: 0c0d.*
+ 2e0a: 6fb1.*
+ 2e0b: 0e0d.*
+ 2e0c: 6fb1.*
+ 2e0d: 0f0d.*
+ 2e0e: 6fb1.*
+ 2e0f: 0f0d.*
+ 2e10: 6fb1.*
+ 2e11: 0c0e.*
+ 2e12: 6fb1.*
+ 2e13: 0d0e.*
+ 2e14: 6fb1.*
+ 2e15: 0c0e.*
+ 2e16: 6fb1.*
+ 2e17: 0e0e.*
+ 2e18: 6fb1.*
+ 2e19: 0f0e.*
+ 2e1a: 6fb1.*
+ 2e1b: 0f0e.*
+ 2e1c: 6fb1.*
+ 2e1d: 0c0f.*
+ 2e1e: 6fb1.*
+ 2e1f: 0d0f.*
+ 2e20: 6fb1.*
+ 2e21: 0c0f.*
+ 2e22: 6fb1.*
+ 2e23: 0e0f.*
+ 2e24: 6fb1.*
+ 2e25: 0f0f.*
+ 2e26: 6fb1.*
+ 2e27: 0f0f.*
+ 2e28: 00b9.*
+ 2e29: 6fb9.*
+ 2e2a: 0d00.*
+ 2e2b: 00b9.*
+ 2e2c: 6fb9.*
+ 2e2d: 0e00.*
+ 2e2e: 01b9.*
+ 2e2f: 01b9.*
+ 2e30: 6fb9.*
+ 2e31: 0c10.*
+ 2e32: 6fb9.*
+ 2e33: 0d10.*
+ 2e34: 6fb9.*
+ 2e35: 0c10.*
+ 2e36: 6fb9.*
+ 2e37: 0e10.*
+ 2e38: 6fb9.*
+ 2e39: 0f10.*
+ 2e3a: 6fb9.*
+ 2e3b: 0f10.*
+ 2e3c: 6fb9.*
+ 2e3d: 0c11.*
+ 2e3e: 6fb9.*
+ 2e3f: 0d11.*
+ 2e40: 6fb9.*
+ 2e41: 0c11.*
+ 2e42: 6fb9.*
+ 2e43: 0e11.*
+ 2e44: 6fb9.*
+ 2e45: 0f11.*
+ 2e46: 6fb9.*
+ 2e47: 0f11.*
+ 2e48: 6fb9.*
+ 2e49: 0c12.*
+ 2e4a: 6fb9.*
+ 2e4b: 0d12.*
+ 2e4c: 6fb9.*
+ 2e4d: 0c12.*
+ 2e4e: 6fb9.*
+ 2e4f: 0e12.*
+ 2e50: 6fb9.*
+ 2e51: 0f12.*
+ 2e52: 6fb9.*
+ 2e53: 0f12.*
+ 2e54: 6fb9.*
+ 2e55: 0c13.*
+ 2e56: 6fb9.*
+ 2e57: 0d13.*
+ 2e58: 6fb9.*
+ 2e59: 0c13.*
+ 2e5a: 6fb9.*
+ 2e5b: 0e13.*
+ 2e5c: 6fb9.*
+ 2e5d: 0f13.*
+ 2e5e: 6fb9.*
+ 2e5f: 0f13.*
+ 2e60: 6fb9.*
+ 2e61: 0c14.*
+ 2e62: 6fb9.*
+ 2e63: 0d14.*
+ 2e64: 6fb9.*
+ 2e65: 0c14.*
+ 2e66: 6fb9.*
+ 2e67: 0e14.*
+ 2e68: 6fb9.*
+ 2e69: 0f14.*
+ 2e6a: 6fb9.*
+ 2e6b: 0f14.*
+ 2e6c: 6fb9.*
+ 2e6d: 0c15.*
+ 2e6e: 6fb9.*
+ 2e6f: 0d15.*
+ 2e70: 6fb9.*
+ 2e71: 0c15.*
+ 2e72: 6fb9.*
+ 2e73: 0e15.*
+ 2e74: 6fb9.*
+ 2e75: 0f15.*
+ 2e76: 6fb9.*
+ 2e77: 0f15.*
+ 2e78: 6fb9.*
+ 2e79: 0c16.*
+ 2e7a: 6fb9.*
+ 2e7b: 0d16.*
+ 2e7c: 6fb9.*
+ 2e7d: 0c16.*
+ 2e7e: 6fb9.*
+ 2e7f: 0e16.*
+ 2e80: 6fb9.*
+ 2e81: 0f16.*
+ 2e82: 6fb9.*
+ 2e83: 0f16.*
+ 2e84: 6fb9.*
+ 2e85: 0c17.*
+ 2e86: 6fb9.*
+ 2e87: 0d17.*
+ 2e88: 6fb9.*
+ 2e89: 0c17.*
+ 2e8a: 6fb9.*
+ 2e8b: 0e17.*
+ 2e8c: 6fb9.*
+ 2e8d: 0f17.*
+ 2e8e: 6fb9.*
+ 2e8f: 0f17.*
+ 2e90: 6fb9.*
+ 2e91: 0c18.*
+ 2e92: 6fb9.*
+ 2e93: 0d18.*
+ 2e94: 6fb9.*
+ 2e95: 0c18.*
+ 2e96: 6fb9.*
+ 2e97: 0e18.*
+ 2e98: 6fb9.*
+ 2e99: 0f18.*
+ 2e9a: 6fb9.*
+ 2e9b: 0f18.*
+ 2e9c: 6fb9.*
+ 2e9d: 0c19.*
+ 2e9e: 6fb9.*
+ 2e9f: 0d19.*
+ 2ea0: 6fb9.*
+ 2ea1: 0c19.*
+ 2ea2: 6fb9.*
+ 2ea3: 0e19.*
+ 2ea4: 6fb9.*
+ 2ea5: 0f19.*
+ 2ea6: 6fb9.*
+ 2ea7: 0f19.*
+ 2ea8: 6fb9.*
+ 2ea9: 0c1a.*
+ 2eaa: 6fb9.*
+ 2eab: 0d1a.*
+ 2eac: 6fb9.*
+ 2ead: 0c1a.*
+ 2eae: 6fb9.*
+ 2eaf: 0e1a.*
+ 2eb0: 6fb9.*
+ 2eb1: 0f1a.*
+ 2eb2: 6fb9.*
+ 2eb3: 0f1a.*
+ 2eb4: 6fb9.*
+ 2eb5: 0c1b.*
+ 2eb6: 6fb9.*
+ 2eb7: 0d1b.*
+ 2eb8: 6fb9.*
+ 2eb9: 0c1b.*
+ 2eba: 6fb9.*
+ 2ebb: 0e1b.*
+ 2ebc: 6fb9.*
+ 2ebd: 0f1b.*
+ 2ebe: 6fb9.*
+ 2ebf: 0f1b.*
+ 2ec0: 6fb9.*
+ 2ec1: 0c1c.*
+ 2ec2: 6fb9.*
+ 2ec3: 0d1c.*
+ 2ec4: 6fb9.*
+ 2ec5: 0c1c.*
+ 2ec6: 6fb9.*
+ 2ec7: 0e1c.*
+ 2ec8: 6fb9.*
+ 2ec9: 0f1c.*
+ 2eca: 6fb9.*
+ 2ecb: 0f1c.*
+ 2ecc: 6fb9.*
+ 2ecd: 0c1d.*
+ 2ece: 6fb9.*
+ 2ecf: 0d1d.*
+ 2ed0: 6fb9.*
+ 2ed1: 0c1d.*
+ 2ed2: 6fb9.*
+ 2ed3: 0e1d.*
+ 2ed4: 6fb9.*
+ 2ed5: 0f1d.*
+ 2ed6: 6fb9.*
+ 2ed7: 0f1d.*
+ 2ed8: 6fb9.*
+ 2ed9: 0c1e.*
+ 2eda: 6fb9.*
+ 2edb: 0d1e.*
+ 2edc: 6fb9.*
+ 2edd: 0c1e.*
+ 2ede: 6fb9.*
+ 2edf: 0e1e.*
+ 2ee0: 6fb9.*
+ 2ee1: 0f1e.*
+ 2ee2: 6fb9.*
+ 2ee3: 0f1e.*
+ 2ee4: 6fb9.*
+ 2ee5: 0c1f.*
+ 2ee6: 6fb9.*
+ 2ee7: 0d1f.*
+ 2ee8: 6fb9.*
+ 2ee9: 0c1f.*
+ 2eea: 6fb9.*
+ 2eeb: 0e1f.*
+ 2eec: 6fb9.*
+ 2eed: 0f1f.*
+ 2eee: 6fb9.*
+ 2eef: 0f1f.*
+ 2ef0: 00b9.*
+ 2ef1: 6fb9.*
+ 2ef2: 0d00.*
+ 2ef3: 00b9.*
+ 2ef4: 6fb9.*
+ 2ef5: 0e00.*
+ 2ef6: 01b9.*
+ 2ef7: 01b9.*
+ 2ef8: 6fb9.*
+ 2ef9: 0c01.*
+ 2efa: 6fb9.*
+ 2efb: 0d01.*
+ 2efc: 6fb9.*
+ 2efd: 0c01.*
+ 2efe: 6fb9.*
+ 2eff: 0e01.*
+ 2f00: 6fb9.*
+ 2f01: 0f01.*
+ 2f02: 6fb9.*
+ 2f03: 0f01.*
+ 2f04: 6fb9.*
+ 2f05: 0c02.*
+ 2f06: 6fb9.*
+ 2f07: 0d02.*
+ 2f08: 6fb9.*
+ 2f09: 0c02.*
+ 2f0a: 6fb9.*
+ 2f0b: 0e02.*
+ 2f0c: 6fb9.*
+ 2f0d: 0f02.*
+ 2f0e: 6fb9.*
+ 2f0f: 0f02.*
+ 2f10: 6fb9.*
+ 2f11: 0c03.*
+ 2f12: 6fb9.*
+ 2f13: 0d03.*
+ 2f14: 6fb9.*
+ 2f15: 0c03.*
+ 2f16: 6fb9.*
+ 2f17: 0e03.*
+ 2f18: 6fb9.*
+ 2f19: 0f03.*
+ 2f1a: 6fb9.*
+ 2f1b: 0f03.*
+ 2f1c: 6fb9.*
+ 2f1d: 0c04.*
+ 2f1e: 6fb9.*
+ 2f1f: 0d04.*
+ 2f20: 6fb9.*
+ 2f21: 0c04.*
+ 2f22: 6fb9.*
+ 2f23: 0e04.*
+ 2f24: 6fb9.*
+ 2f25: 0f04.*
+ 2f26: 6fb9.*
+ 2f27: 0f04.*
+ 2f28: 6fb9.*
+ 2f29: 0c05.*
+ 2f2a: 6fb9.*
+ 2f2b: 0d05.*
+ 2f2c: 6fb9.*
+ 2f2d: 0c05.*
+ 2f2e: 6fb9.*
+ 2f2f: 0e05.*
+ 2f30: 6fb9.*
+ 2f31: 0f05.*
+ 2f32: 6fb9.*
+ 2f33: 0f05.*
+ 2f34: 6fb9.*
+ 2f35: 0c06.*
+ 2f36: 6fb9.*
+ 2f37: 0d06.*
+ 2f38: 6fb9.*
+ 2f39: 0c06.*
+ 2f3a: 6fb9.*
+ 2f3b: 0e06.*
+ 2f3c: 6fb9.*
+ 2f3d: 0f06.*
+ 2f3e: 6fb9.*
+ 2f3f: 0f06.*
+ 2f40: 6fb9.*
+ 2f41: 0c07.*
+ 2f42: 6fb9.*
+ 2f43: 0d07.*
+ 2f44: 6fb9.*
+ 2f45: 0c07.*
+ 2f46: 6fb9.*
+ 2f47: 0e07.*
+ 2f48: 6fb9.*
+ 2f49: 0f07.*
+ 2f4a: 6fb9.*
+ 2f4b: 0f07.*
+ 2f4c: 6fb9.*
+ 2f4d: 0c08.*
+ 2f4e: 6fb9.*
+ 2f4f: 0d08.*
+ 2f50: 6fb9.*
+ 2f51: 0c08.*
+ 2f52: 6fb9.*
+ 2f53: 0e08.*
+ 2f54: 6fb9.*
+ 2f55: 0f08.*
+ 2f56: 6fb9.*
+ 2f57: 0f08.*
+ 2f58: 6fb9.*
+ 2f59: 0c09.*
+ 2f5a: 6fb9.*
+ 2f5b: 0d09.*
+ 2f5c: 6fb9.*
+ 2f5d: 0c09.*
+ 2f5e: 6fb9.*
+ 2f5f: 0e09.*
+ 2f60: 6fb9.*
+ 2f61: 0f09.*
+ 2f62: 6fb9.*
+ 2f63: 0f09.*
+ 2f64: 6fb9.*
+ 2f65: 0c0a.*
+ 2f66: 6fb9.*
+ 2f67: 0d0a.*
+ 2f68: 6fb9.*
+ 2f69: 0c0a.*
+ 2f6a: 6fb9.*
+ 2f6b: 0e0a.*
+ 2f6c: 6fb9.*
+ 2f6d: 0f0a.*
+ 2f6e: 6fb9.*
+ 2f6f: 0f0a.*
+ 2f70: 6fb9.*
+ 2f71: 0c0b.*
+ 2f72: 6fb9.*
+ 2f73: 0d0b.*
+ 2f74: 6fb9.*
+ 2f75: 0c0b.*
+ 2f76: 6fb9.*
+ 2f77: 0e0b.*
+ 2f78: 6fb9.*
+ 2f79: 0f0b.*
+ 2f7a: 6fb9.*
+ 2f7b: 0f0b.*
+ 2f7c: 6fb9.*
+ 2f7d: 0c0c.*
+ 2f7e: 6fb9.*
+ 2f7f: 0d0c.*
+ 2f80: 6fb9.*
+ 2f81: 0c0c.*
+ 2f82: 6fb9.*
+ 2f83: 0e0c.*
+ 2f84: 6fb9.*
+ 2f85: 0f0c.*
+ 2f86: 6fb9.*
+ 2f87: 0f0c.*
+ 2f88: 6fb9.*
+ 2f89: 0c0d.*
+ 2f8a: 6fb9.*
+ 2f8b: 0d0d.*
+ 2f8c: 6fb9.*
+ 2f8d: 0c0d.*
+ 2f8e: 6fb9.*
+ 2f8f: 0e0d.*
+ 2f90: 6fb9.*
+ 2f91: 0f0d.*
+ 2f92: 6fb9.*
+ 2f93: 0f0d.*
+ 2f94: 6fb9.*
+ 2f95: 0c0e.*
+ 2f96: 6fb9.*
+ 2f97: 0d0e.*
+ 2f98: 6fb9.*
+ 2f99: 0c0e.*
+ 2f9a: 6fb9.*
+ 2f9b: 0e0e.*
+ 2f9c: 6fb9.*
+ 2f9d: 0f0e.*
+ 2f9e: 6fb9.*
+ 2f9f: 0f0e.*
+ 2fa0: 6fb9.*
+ 2fa1: 0c0f.*
+ 2fa2: 6fb9.*
+ 2fa3: 0d0f.*
+ 2fa4: 6fb9.*
+ 2fa5: 0c0f.*
+ 2fa6: 6fb9.*
+ 2fa7: 0e0f.*
+ 2fa8: 6fb9.*
+ 2fa9: 0f0f.*
+ 2faa: 6fb9.*
+ 2fab: 0f0f.*
+ 2fac: 00c1.*
+ 2fad: 6fc1.*
+ 2fae: 0d00.*
+ 2faf: 00c1.*
+ 2fb0: 6fc1.*
+ 2fb1: 0e00.*
+ 2fb2: 01c1.*
+ 2fb3: 01c1.*
+ 2fb4: 6fc1.*
+ 2fb5: 0c10.*
+ 2fb6: 6fc1.*
+ 2fb7: 0d10.*
+ 2fb8: 6fc1.*
+ 2fb9: 0c10.*
+ 2fba: 6fc1.*
+ 2fbb: 0e10.*
+ 2fbc: 6fc1.*
+ 2fbd: 0f10.*
+ 2fbe: 6fc1.*
+ 2fbf: 0f10.*
+ 2fc0: 6fc1.*
+ 2fc1: 0c11.*
+ 2fc2: 6fc1.*
+ 2fc3: 0d11.*
+ 2fc4: 6fc1.*
+ 2fc5: 0c11.*
+ 2fc6: 6fc1.*
+ 2fc7: 0e11.*
+ 2fc8: 6fc1.*
+ 2fc9: 0f11.*
+ 2fca: 6fc1.*
+ 2fcb: 0f11.*
+ 2fcc: 6fc1.*
+ 2fcd: 0c12.*
+ 2fce: 6fc1.*
+ 2fcf: 0d12.*
+ 2fd0: 6fc1.*
+ 2fd1: 0c12.*
+ 2fd2: 6fc1.*
+ 2fd3: 0e12.*
+ 2fd4: 6fc1.*
+ 2fd5: 0f12.*
+ 2fd6: 6fc1.*
+ 2fd7: 0f12.*
+ 2fd8: 6fc1.*
+ 2fd9: 0c13.*
+ 2fda: 6fc1.*
+ 2fdb: 0d13.*
+ 2fdc: 6fc1.*
+ 2fdd: 0c13.*
+ 2fde: 6fc1.*
+ 2fdf: 0e13.*
+ 2fe0: 6fc1.*
+ 2fe1: 0f13.*
+ 2fe2: 6fc1.*
+ 2fe3: 0f13.*
+ 2fe4: 6fc1.*
+ 2fe5: 0c14.*
+ 2fe6: 6fc1.*
+ 2fe7: 0d14.*
+ 2fe8: 6fc1.*
+ 2fe9: 0c14.*
+ 2fea: 6fc1.*
+ 2feb: 0e14.*
+ 2fec: 6fc1.*
+ 2fed: 0f14.*
+ 2fee: 6fc1.*
+ 2fef: 0f14.*
+ 2ff0: 6fc1.*
+ 2ff1: 0c15.*
+ 2ff2: 6fc1.*
+ 2ff3: 0d15.*
+ 2ff4: 6fc1.*
+ 2ff5: 0c15.*
+ 2ff6: 6fc1.*
+ 2ff7: 0e15.*
+ 2ff8: 6fc1.*
+ 2ff9: 0f15.*
+ 2ffa: 6fc1.*
+ 2ffb: 0f15.*
+ 2ffc: 6fc1.*
+ 2ffd: 0c16.*
+ 2ffe: 6fc1.*
+ 2fff: 0d16.*
+ 3000: 6fc1.*
+ 3001: 0c16.*
+ 3002: 6fc1.*
+ 3003: 0e16.*
+ 3004: 6fc1.*
+ 3005: 0f16.*
+ 3006: 6fc1.*
+ 3007: 0f16.*
+ 3008: 6fc1.*
+ 3009: 0c17.*
+ 300a: 6fc1.*
+ 300b: 0d17.*
+ 300c: 6fc1.*
+ 300d: 0c17.*
+ 300e: 6fc1.*
+ 300f: 0e17.*
+ 3010: 6fc1.*
+ 3011: 0f17.*
+ 3012: 6fc1.*
+ 3013: 0f17.*
+ 3014: 6fc1.*
+ 3015: 0c18.*
+ 3016: 6fc1.*
+ 3017: 0d18.*
+ 3018: 6fc1.*
+ 3019: 0c18.*
+ 301a: 6fc1.*
+ 301b: 0e18.*
+ 301c: 6fc1.*
+ 301d: 0f18.*
+ 301e: 6fc1.*
+ 301f: 0f18.*
+ 3020: 6fc1.*
+ 3021: 0c19.*
+ 3022: 6fc1.*
+ 3023: 0d19.*
+ 3024: 6fc1.*
+ 3025: 0c19.*
+ 3026: 6fc1.*
+ 3027: 0e19.*
+ 3028: 6fc1.*
+ 3029: 0f19.*
+ 302a: 6fc1.*
+ 302b: 0f19.*
+ 302c: 6fc1.*
+ 302d: 0c1a.*
+ 302e: 6fc1.*
+ 302f: 0d1a.*
+ 3030: 6fc1.*
+ 3031: 0c1a.*
+ 3032: 6fc1.*
+ 3033: 0e1a.*
+ 3034: 6fc1.*
+ 3035: 0f1a.*
+ 3036: 6fc1.*
+ 3037: 0f1a.*
+ 3038: 6fc1.*
+ 3039: 0c1b.*
+ 303a: 6fc1.*
+ 303b: 0d1b.*
+ 303c: 6fc1.*
+ 303d: 0c1b.*
+ 303e: 6fc1.*
+ 303f: 0e1b.*
+ 3040: 6fc1.*
+ 3041: 0f1b.*
+ 3042: 6fc1.*
+ 3043: 0f1b.*
+ 3044: 6fc1.*
+ 3045: 0c1c.*
+ 3046: 6fc1.*
+ 3047: 0d1c.*
+ 3048: 6fc1.*
+ 3049: 0c1c.*
+ 304a: 6fc1.*
+ 304b: 0e1c.*
+ 304c: 6fc1.*
+ 304d: 0f1c.*
+ 304e: 6fc1.*
+ 304f: 0f1c.*
+ 3050: 6fc1.*
+ 3051: 0c1d.*
+ 3052: 6fc1.*
+ 3053: 0d1d.*
+ 3054: 6fc1.*
+ 3055: 0c1d.*
+ 3056: 6fc1.*
+ 3057: 0e1d.*
+ 3058: 6fc1.*
+ 3059: 0f1d.*
+ 305a: 6fc1.*
+ 305b: 0f1d.*
+ 305c: 6fc1.*
+ 305d: 0c1e.*
+ 305e: 6fc1.*
+ 305f: 0d1e.*
+ 3060: 6fc1.*
+ 3061: 0c1e.*
+ 3062: 6fc1.*
+ 3063: 0e1e.*
+ 3064: 6fc1.*
+ 3065: 0f1e.*
+ 3066: 6fc1.*
+ 3067: 0f1e.*
+ 3068: 6fc1.*
+ 3069: 0c1f.*
+ 306a: 6fc1.*
+ 306b: 0d1f.*
+ 306c: 6fc1.*
+ 306d: 0c1f.*
+ 306e: 6fc1.*
+ 306f: 0e1f.*
+ 3070: 6fc1.*
+ 3071: 0f1f.*
+ 3072: 6fc1.*
+ 3073: 0f1f.*
+ 3074: 00c1.*
+ 3075: 6fc1.*
+ 3076: 0d00.*
+ 3077: 00c1.*
+ 3078: 6fc1.*
+ 3079: 0e00.*
+ 307a: 01c1.*
+ 307b: 01c1.*
+ 307c: 6fc1.*
+ 307d: 0c01.*
+ 307e: 6fc1.*
+ 307f: 0d01.*
+ 3080: 6fc1.*
+ 3081: 0c01.*
+ 3082: 6fc1.*
+ 3083: 0e01.*
+ 3084: 6fc1.*
+ 3085: 0f01.*
+ 3086: 6fc1.*
+ 3087: 0f01.*
+ 3088: 6fc1.*
+ 3089: 0c02.*
+ 308a: 6fc1.*
+ 308b: 0d02.*
+ 308c: 6fc1.*
+ 308d: 0c02.*
+ 308e: 6fc1.*
+ 308f: 0e02.*
+ 3090: 6fc1.*
+ 3091: 0f02.*
+ 3092: 6fc1.*
+ 3093: 0f02.*
+ 3094: 6fc1.*
+ 3095: 0c03.*
+ 3096: 6fc1.*
+ 3097: 0d03.*
+ 3098: 6fc1.*
+ 3099: 0c03.*
+ 309a: 6fc1.*
+ 309b: 0e03.*
+ 309c: 6fc1.*
+ 309d: 0f03.*
+ 309e: 6fc1.*
+ 309f: 0f03.*
+ 30a0: 6fc1.*
+ 30a1: 0c04.*
+ 30a2: 6fc1.*
+ 30a3: 0d04.*
+ 30a4: 6fc1.*
+ 30a5: 0c04.*
+ 30a6: 6fc1.*
+ 30a7: 0e04.*
+ 30a8: 6fc1.*
+ 30a9: 0f04.*
+ 30aa: 6fc1.*
+ 30ab: 0f04.*
+ 30ac: 6fc1.*
+ 30ad: 0c05.*
+ 30ae: 6fc1.*
+ 30af: 0d05.*
+ 30b0: 6fc1.*
+ 30b1: 0c05.*
+ 30b2: 6fc1.*
+ 30b3: 0e05.*
+ 30b4: 6fc1.*
+ 30b5: 0f05.*
+ 30b6: 6fc1.*
+ 30b7: 0f05.*
+ 30b8: 6fc1.*
+ 30b9: 0c06.*
+ 30ba: 6fc1.*
+ 30bb: 0d06.*
+ 30bc: 6fc1.*
+ 30bd: 0c06.*
+ 30be: 6fc1.*
+ 30bf: 0e06.*
+ 30c0: 6fc1.*
+ 30c1: 0f06.*
+ 30c2: 6fc1.*
+ 30c3: 0f06.*
+ 30c4: 6fc1.*
+ 30c5: 0c07.*
+ 30c6: 6fc1.*
+ 30c7: 0d07.*
+ 30c8: 6fc1.*
+ 30c9: 0c07.*
+ 30ca: 6fc1.*
+ 30cb: 0e07.*
+ 30cc: 6fc1.*
+ 30cd: 0f07.*
+ 30ce: 6fc1.*
+ 30cf: 0f07.*
+ 30d0: 6fc1.*
+ 30d1: 0c08.*
+ 30d2: 6fc1.*
+ 30d3: 0d08.*
+ 30d4: 6fc1.*
+ 30d5: 0c08.*
+ 30d6: 6fc1.*
+ 30d7: 0e08.*
+ 30d8: 6fc1.*
+ 30d9: 0f08.*
+ 30da: 6fc1.*
+ 30db: 0f08.*
+ 30dc: 6fc1.*
+ 30dd: 0c09.*
+ 30de: 6fc1.*
+ 30df: 0d09.*
+ 30e0: 6fc1.*
+ 30e1: 0c09.*
+ 30e2: 6fc1.*
+ 30e3: 0e09.*
+ 30e4: 6fc1.*
+ 30e5: 0f09.*
+ 30e6: 6fc1.*
+ 30e7: 0f09.*
+ 30e8: 6fc1.*
+ 30e9: 0c0a.*
+ 30ea: 6fc1.*
+ 30eb: 0d0a.*
+ 30ec: 6fc1.*
+ 30ed: 0c0a.*
+ 30ee: 6fc1.*
+ 30ef: 0e0a.*
+ 30f0: 6fc1.*
+ 30f1: 0f0a.*
+ 30f2: 6fc1.*
+ 30f3: 0f0a.*
+ 30f4: 6fc1.*
+ 30f5: 0c0b.*
+ 30f6: 6fc1.*
+ 30f7: 0d0b.*
+ 30f8: 6fc1.*
+ 30f9: 0c0b.*
+ 30fa: 6fc1.*
+ 30fb: 0e0b.*
+ 30fc: 6fc1.*
+ 30fd: 0f0b.*
+ 30fe: 6fc1.*
+ 30ff: 0f0b.*
+ 3100: 6fc1.*
+ 3101: 0c0c.*
+ 3102: 6fc1.*
+ 3103: 0d0c.*
+ 3104: 6fc1.*
+ 3105: 0c0c.*
+ 3106: 6fc1.*
+ 3107: 0e0c.*
+ 3108: 6fc1.*
+ 3109: 0f0c.*
+ 310a: 6fc1.*
+ 310b: 0f0c.*
+ 310c: 6fc1.*
+ 310d: 0c0d.*
+ 310e: 6fc1.*
+ 310f: 0d0d.*
+ 3110: 6fc1.*
+ 3111: 0c0d.*
+ 3112: 6fc1.*
+ 3113: 0e0d.*
+ 3114: 6fc1.*
+ 3115: 0f0d.*
+ 3116: 6fc1.*
+ 3117: 0f0d.*
+ 3118: 6fc1.*
+ 3119: 0c0e.*
+ 311a: 6fc1.*
+ 311b: 0d0e.*
+ 311c: 6fc1.*
+ 311d: 0c0e.*
+ 311e: 6fc1.*
+ 311f: 0e0e.*
+ 3120: 6fc1.*
+ 3121: 0f0e.*
+ 3122: 6fc1.*
+ 3123: 0f0e.*
+ 3124: 6fc1.*
+ 3125: 0c0f.*
+ 3126: 6fc1.*
+ 3127: 0d0f.*
+ 3128: 6fc1.*
+ 3129: 0c0f.*
+ 312a: 6fc1.*
+ 312b: 0e0f.*
+ 312c: 6fc1.*
+ 312d: 0f0f.*
+ 312e: 6fc1.*
+ 312f: 0f0f.*
+ 3130: 00c9.*
+ 3131: 6fc9.*
+ 3132: 0d00.*
+ 3133: 00c9.*
+ 3134: 6fc9.*
+ 3135: 0e00.*
+ 3136: 01c9.*
+ 3137: 01c9.*
+ 3138: 6fc9.*
+ 3139: 0c10.*
+ 313a: 6fc9.*
+ 313b: 0d10.*
+ 313c: 6fc9.*
+ 313d: 0c10.*
+ 313e: 6fc9.*
+ 313f: 0e10.*
+ 3140: 6fc9.*
+ 3141: 0f10.*
+ 3142: 6fc9.*
+ 3143: 0f10.*
+ 3144: 6fc9.*
+ 3145: 0c11.*
+ 3146: 6fc9.*
+ 3147: 0d11.*
+ 3148: 6fc9.*
+ 3149: 0c11.*
+ 314a: 6fc9.*
+ 314b: 0e11.*
+ 314c: 6fc9.*
+ 314d: 0f11.*
+ 314e: 6fc9.*
+ 314f: 0f11.*
+ 3150: 6fc9.*
+ 3151: 0c12.*
+ 3152: 6fc9.*
+ 3153: 0d12.*
+ 3154: 6fc9.*
+ 3155: 0c12.*
+ 3156: 6fc9.*
+ 3157: 0e12.*
+ 3158: 6fc9.*
+ 3159: 0f12.*
+ 315a: 6fc9.*
+ 315b: 0f12.*
+ 315c: 6fc9.*
+ 315d: 0c13.*
+ 315e: 6fc9.*
+ 315f: 0d13.*
+ 3160: 6fc9.*
+ 3161: 0c13.*
+ 3162: 6fc9.*
+ 3163: 0e13.*
+ 3164: 6fc9.*
+ 3165: 0f13.*
+ 3166: 6fc9.*
+ 3167: 0f13.*
+ 3168: 6fc9.*
+ 3169: 0c14.*
+ 316a: 6fc9.*
+ 316b: 0d14.*
+ 316c: 6fc9.*
+ 316d: 0c14.*
+ 316e: 6fc9.*
+ 316f: 0e14.*
+ 3170: 6fc9.*
+ 3171: 0f14.*
+ 3172: 6fc9.*
+ 3173: 0f14.*
+ 3174: 6fc9.*
+ 3175: 0c15.*
+ 3176: 6fc9.*
+ 3177: 0d15.*
+ 3178: 6fc9.*
+ 3179: 0c15.*
+ 317a: 6fc9.*
+ 317b: 0e15.*
+ 317c: 6fc9.*
+ 317d: 0f15.*
+ 317e: 6fc9.*
+ 317f: 0f15.*
+ 3180: 6fc9.*
+ 3181: 0c16.*
+ 3182: 6fc9.*
+ 3183: 0d16.*
+ 3184: 6fc9.*
+ 3185: 0c16.*
+ 3186: 6fc9.*
+ 3187: 0e16.*
+ 3188: 6fc9.*
+ 3189: 0f16.*
+ 318a: 6fc9.*
+ 318b: 0f16.*
+ 318c: 6fc9.*
+ 318d: 0c17.*
+ 318e: 6fc9.*
+ 318f: 0d17.*
+ 3190: 6fc9.*
+ 3191: 0c17.*
+ 3192: 6fc9.*
+ 3193: 0e17.*
+ 3194: 6fc9.*
+ 3195: 0f17.*
+ 3196: 6fc9.*
+ 3197: 0f17.*
+ 3198: 6fc9.*
+ 3199: 0c18.*
+ 319a: 6fc9.*
+ 319b: 0d18.*
+ 319c: 6fc9.*
+ 319d: 0c18.*
+ 319e: 6fc9.*
+ 319f: 0e18.*
+ 31a0: 6fc9.*
+ 31a1: 0f18.*
+ 31a2: 6fc9.*
+ 31a3: 0f18.*
+ 31a4: 6fc9.*
+ 31a5: 0c19.*
+ 31a6: 6fc9.*
+ 31a7: 0d19.*
+ 31a8: 6fc9.*
+ 31a9: 0c19.*
+ 31aa: 6fc9.*
+ 31ab: 0e19.*
+ 31ac: 6fc9.*
+ 31ad: 0f19.*
+ 31ae: 6fc9.*
+ 31af: 0f19.*
+ 31b0: 6fc9.*
+ 31b1: 0c1a.*
+ 31b2: 6fc9.*
+ 31b3: 0d1a.*
+ 31b4: 6fc9.*
+ 31b5: 0c1a.*
+ 31b6: 6fc9.*
+ 31b7: 0e1a.*
+ 31b8: 6fc9.*
+ 31b9: 0f1a.*
+ 31ba: 6fc9.*
+ 31bb: 0f1a.*
+ 31bc: 6fc9.*
+ 31bd: 0c1b.*
+ 31be: 6fc9.*
+ 31bf: 0d1b.*
+ 31c0: 6fc9.*
+ 31c1: 0c1b.*
+ 31c2: 6fc9.*
+ 31c3: 0e1b.*
+ 31c4: 6fc9.*
+ 31c5: 0f1b.*
+ 31c6: 6fc9.*
+ 31c7: 0f1b.*
+ 31c8: 6fc9.*
+ 31c9: 0c1c.*
+ 31ca: 6fc9.*
+ 31cb: 0d1c.*
+ 31cc: 6fc9.*
+ 31cd: 0c1c.*
+ 31ce: 6fc9.*
+ 31cf: 0e1c.*
+ 31d0: 6fc9.*
+ 31d1: 0f1c.*
+ 31d2: 6fc9.*
+ 31d3: 0f1c.*
+ 31d4: 6fc9.*
+ 31d5: 0c1d.*
+ 31d6: 6fc9.*
+ 31d7: 0d1d.*
+ 31d8: 6fc9.*
+ 31d9: 0c1d.*
+ 31da: 6fc9.*
+ 31db: 0e1d.*
+ 31dc: 6fc9.*
+ 31dd: 0f1d.*
+ 31de: 6fc9.*
+ 31df: 0f1d.*
+ 31e0: 6fc9.*
+ 31e1: 0c1e.*
+ 31e2: 6fc9.*
+ 31e3: 0d1e.*
+ 31e4: 6fc9.*
+ 31e5: 0c1e.*
+ 31e6: 6fc9.*
+ 31e7: 0e1e.*
+ 31e8: 6fc9.*
+ 31e9: 0f1e.*
+ 31ea: 6fc9.*
+ 31eb: 0f1e.*
+ 31ec: 6fc9.*
+ 31ed: 0c1f.*
+ 31ee: 6fc9.*
+ 31ef: 0d1f.*
+ 31f0: 6fc9.*
+ 31f1: 0c1f.*
+ 31f2: 6fc9.*
+ 31f3: 0e1f.*
+ 31f4: 6fc9.*
+ 31f5: 0f1f.*
+ 31f6: 6fc9.*
+ 31f7: 0f1f.*
+ 31f8: 00c9.*
+ 31f9: 6fc9.*
+ 31fa: 0d00.*
+ 31fb: 00c9.*
+ 31fc: 6fc9.*
+ 31fd: 0e00.*
+ 31fe: 01c9.*
+ 31ff: 01c9.*
+ 3200: 6fc9.*
+ 3201: 0c01.*
+ 3202: 6fc9.*
+ 3203: 0d01.*
+ 3204: 6fc9.*
+ 3205: 0c01.*
+ 3206: 6fc9.*
+ 3207: 0e01.*
+ 3208: 6fc9.*
+ 3209: 0f01.*
+ 320a: 6fc9.*
+ 320b: 0f01.*
+ 320c: 6fc9.*
+ 320d: 0c02.*
+ 320e: 6fc9.*
+ 320f: 0d02.*
+ 3210: 6fc9.*
+ 3211: 0c02.*
+ 3212: 6fc9.*
+ 3213: 0e02.*
+ 3214: 6fc9.*
+ 3215: 0f02.*
+ 3216: 6fc9.*
+ 3217: 0f02.*
+ 3218: 6fc9.*
+ 3219: 0c03.*
+ 321a: 6fc9.*
+ 321b: 0d03.*
+ 321c: 6fc9.*
+ 321d: 0c03.*
+ 321e: 6fc9.*
+ 321f: 0e03.*
+ 3220: 6fc9.*
+ 3221: 0f03.*
+ 3222: 6fc9.*
+ 3223: 0f03.*
+ 3224: 6fc9.*
+ 3225: 0c04.*
+ 3226: 6fc9.*
+ 3227: 0d04.*
+ 3228: 6fc9.*
+ 3229: 0c04.*
+ 322a: 6fc9.*
+ 322b: 0e04.*
+ 322c: 6fc9.*
+ 322d: 0f04.*
+ 322e: 6fc9.*
+ 322f: 0f04.*
+ 3230: 6fc9.*
+ 3231: 0c05.*
+ 3232: 6fc9.*
+ 3233: 0d05.*
+ 3234: 6fc9.*
+ 3235: 0c05.*
+ 3236: 6fc9.*
+ 3237: 0e05.*
+ 3238: 6fc9.*
+ 3239: 0f05.*
+ 323a: 6fc9.*
+ 323b: 0f05.*
+ 323c: 6fc9.*
+ 323d: 0c06.*
+ 323e: 6fc9.*
+ 323f: 0d06.*
+ 3240: 6fc9.*
+ 3241: 0c06.*
+ 3242: 6fc9.*
+ 3243: 0e06.*
+ 3244: 6fc9.*
+ 3245: 0f06.*
+ 3246: 6fc9.*
+ 3247: 0f06.*
+ 3248: 6fc9.*
+ 3249: 0c07.*
+ 324a: 6fc9.*
+ 324b: 0d07.*
+ 324c: 6fc9.*
+ 324d: 0c07.*
+ 324e: 6fc9.*
+ 324f: 0e07.*
+ 3250: 6fc9.*
+ 3251: 0f07.*
+ 3252: 6fc9.*
+ 3253: 0f07.*
+ 3254: 6fc9.*
+ 3255: 0c08.*
+ 3256: 6fc9.*
+ 3257: 0d08.*
+ 3258: 6fc9.*
+ 3259: 0c08.*
+ 325a: 6fc9.*
+ 325b: 0e08.*
+ 325c: 6fc9.*
+ 325d: 0f08.*
+ 325e: 6fc9.*
+ 325f: 0f08.*
+ 3260: 6fc9.*
+ 3261: 0c09.*
+ 3262: 6fc9.*
+ 3263: 0d09.*
+ 3264: 6fc9.*
+ 3265: 0c09.*
+ 3266: 6fc9.*
+ 3267: 0e09.*
+ 3268: 6fc9.*
+ 3269: 0f09.*
+ 326a: 6fc9.*
+ 326b: 0f09.*
+ 326c: 6fc9.*
+ 326d: 0c0a.*
+ 326e: 6fc9.*
+ 326f: 0d0a.*
+ 3270: 6fc9.*
+ 3271: 0c0a.*
+ 3272: 6fc9.*
+ 3273: 0e0a.*
+ 3274: 6fc9.*
+ 3275: 0f0a.*
+ 3276: 6fc9.*
+ 3277: 0f0a.*
+ 3278: 6fc9.*
+ 3279: 0c0b.*
+ 327a: 6fc9.*
+ 327b: 0d0b.*
+ 327c: 6fc9.*
+ 327d: 0c0b.*
+ 327e: 6fc9.*
+ 327f: 0e0b.*
+ 3280: 6fc9.*
+ 3281: 0f0b.*
+ 3282: 6fc9.*
+ 3283: 0f0b.*
+ 3284: 6fc9.*
+ 3285: 0c0c.*
+ 3286: 6fc9.*
+ 3287: 0d0c.*
+ 3288: 6fc9.*
+ 3289: 0c0c.*
+ 328a: 6fc9.*
+ 328b: 0e0c.*
+ 328c: 6fc9.*
+ 328d: 0f0c.*
+ 328e: 6fc9.*
+ 328f: 0f0c.*
+ 3290: 6fc9.*
+ 3291: 0c0d.*
+ 3292: 6fc9.*
+ 3293: 0d0d.*
+ 3294: 6fc9.*
+ 3295: 0c0d.*
+ 3296: 6fc9.*
+ 3297: 0e0d.*
+ 3298: 6fc9.*
+ 3299: 0f0d.*
+ 329a: 6fc9.*
+ 329b: 0f0d.*
+ 329c: 6fc9.*
+ 329d: 0c0e.*
+ 329e: 6fc9.*
+ 329f: 0d0e.*
+ 32a0: 6fc9.*
+ 32a1: 0c0e.*
+ 32a2: 6fc9.*
+ 32a3: 0e0e.*
+ 32a4: 6fc9.*
+ 32a5: 0f0e.*
+ 32a6: 6fc9.*
+ 32a7: 0f0e.*
+ 32a8: 6fc9.*
+ 32a9: 0c0f.*
+ 32aa: 6fc9.*
+ 32ab: 0d0f.*
+ 32ac: 6fc9.*
+ 32ad: 0c0f.*
+ 32ae: 6fc9.*
+ 32af: 0e0f.*
+ 32b0: 6fc9.*
+ 32b1: 0f0f.*
+ 32b2: 6fc9.*
+ 32b3: 0f0f.*
+ 32b4: 00d1.*
+ 32b5: 6fd1.*
+ 32b6: 0d00.*
+ 32b7: 00d1.*
+ 32b8: 6fd1.*
+ 32b9: 0e00.*
+ 32ba: 01d1.*
+ 32bb: 01d1.*
+ 32bc: 6fd1.*
+ 32bd: 0c10.*
+ 32be: 6fd1.*
+ 32bf: 0d10.*
+ 32c0: 6fd1.*
+ 32c1: 0c10.*
+ 32c2: 6fd1.*
+ 32c3: 0e10.*
+ 32c4: 6fd1.*
+ 32c5: 0f10.*
+ 32c6: 6fd1.*
+ 32c7: 0f10.*
+ 32c8: 6fd1.*
+ 32c9: 0c11.*
+ 32ca: 6fd1.*
+ 32cb: 0d11.*
+ 32cc: 6fd1.*
+ 32cd: 0c11.*
+ 32ce: 6fd1.*
+ 32cf: 0e11.*
+ 32d0: 6fd1.*
+ 32d1: 0f11.*
+ 32d2: 6fd1.*
+ 32d3: 0f11.*
+ 32d4: 6fd1.*
+ 32d5: 0c12.*
+ 32d6: 6fd1.*
+ 32d7: 0d12.*
+ 32d8: 6fd1.*
+ 32d9: 0c12.*
+ 32da: 6fd1.*
+ 32db: 0e12.*
+ 32dc: 6fd1.*
+ 32dd: 0f12.*
+ 32de: 6fd1.*
+ 32df: 0f12.*
+ 32e0: 6fd1.*
+ 32e1: 0c13.*
+ 32e2: 6fd1.*
+ 32e3: 0d13.*
+ 32e4: 6fd1.*
+ 32e5: 0c13.*
+ 32e6: 6fd1.*
+ 32e7: 0e13.*
+ 32e8: 6fd1.*
+ 32e9: 0f13.*
+ 32ea: 6fd1.*
+ 32eb: 0f13.*
+ 32ec: 6fd1.*
+ 32ed: 0c14.*
+ 32ee: 6fd1.*
+ 32ef: 0d14.*
+ 32f0: 6fd1.*
+ 32f1: 0c14.*
+ 32f2: 6fd1.*
+ 32f3: 0e14.*
+ 32f4: 6fd1.*
+ 32f5: 0f14.*
+ 32f6: 6fd1.*
+ 32f7: 0f14.*
+ 32f8: 6fd1.*
+ 32f9: 0c15.*
+ 32fa: 6fd1.*
+ 32fb: 0d15.*
+ 32fc: 6fd1.*
+ 32fd: 0c15.*
+ 32fe: 6fd1.*
+ 32ff: 0e15.*
+ 3300: 6fd1.*
+ 3301: 0f15.*
+ 3302: 6fd1.*
+ 3303: 0f15.*
+ 3304: 6fd1.*
+ 3305: 0c16.*
+ 3306: 6fd1.*
+ 3307: 0d16.*
+ 3308: 6fd1.*
+ 3309: 0c16.*
+ 330a: 6fd1.*
+ 330b: 0e16.*
+ 330c: 6fd1.*
+ 330d: 0f16.*
+ 330e: 6fd1.*
+ 330f: 0f16.*
+ 3310: 6fd1.*
+ 3311: 0c17.*
+ 3312: 6fd1.*
+ 3313: 0d17.*
+ 3314: 6fd1.*
+ 3315: 0c17.*
+ 3316: 6fd1.*
+ 3317: 0e17.*
+ 3318: 6fd1.*
+ 3319: 0f17.*
+ 331a: 6fd1.*
+ 331b: 0f17.*
+ 331c: 6fd1.*
+ 331d: 0c18.*
+ 331e: 6fd1.*
+ 331f: 0d18.*
+ 3320: 6fd1.*
+ 3321: 0c18.*
+ 3322: 6fd1.*
+ 3323: 0e18.*
+ 3324: 6fd1.*
+ 3325: 0f18.*
+ 3326: 6fd1.*
+ 3327: 0f18.*
+ 3328: 6fd1.*
+ 3329: 0c19.*
+ 332a: 6fd1.*
+ 332b: 0d19.*
+ 332c: 6fd1.*
+ 332d: 0c19.*
+ 332e: 6fd1.*
+ 332f: 0e19.*
+ 3330: 6fd1.*
+ 3331: 0f19.*
+ 3332: 6fd1.*
+ 3333: 0f19.*
+ 3334: 6fd1.*
+ 3335: 0c1a.*
+ 3336: 6fd1.*
+ 3337: 0d1a.*
+ 3338: 6fd1.*
+ 3339: 0c1a.*
+ 333a: 6fd1.*
+ 333b: 0e1a.*
+ 333c: 6fd1.*
+ 333d: 0f1a.*
+ 333e: 6fd1.*
+ 333f: 0f1a.*
+ 3340: 6fd1.*
+ 3341: 0c1b.*
+ 3342: 6fd1.*
+ 3343: 0d1b.*
+ 3344: 6fd1.*
+ 3345: 0c1b.*
+ 3346: 6fd1.*
+ 3347: 0e1b.*
+ 3348: 6fd1.*
+ 3349: 0f1b.*
+ 334a: 6fd1.*
+ 334b: 0f1b.*
+ 334c: 6fd1.*
+ 334d: 0c1c.*
+ 334e: 6fd1.*
+ 334f: 0d1c.*
+ 3350: 6fd1.*
+ 3351: 0c1c.*
+ 3352: 6fd1.*
+ 3353: 0e1c.*
+ 3354: 6fd1.*
+ 3355: 0f1c.*
+ 3356: 6fd1.*
+ 3357: 0f1c.*
+ 3358: 6fd1.*
+ 3359: 0c1d.*
+ 335a: 6fd1.*
+ 335b: 0d1d.*
+ 335c: 6fd1.*
+ 335d: 0c1d.*
+ 335e: 6fd1.*
+ 335f: 0e1d.*
+ 3360: 6fd1.*
+ 3361: 0f1d.*
+ 3362: 6fd1.*
+ 3363: 0f1d.*
+ 3364: 6fd1.*
+ 3365: 0c1e.*
+ 3366: 6fd1.*
+ 3367: 0d1e.*
+ 3368: 6fd1.*
+ 3369: 0c1e.*
+ 336a: 6fd1.*
+ 336b: 0e1e.*
+ 336c: 6fd1.*
+ 336d: 0f1e.*
+ 336e: 6fd1.*
+ 336f: 0f1e.*
+ 3370: 6fd1.*
+ 3371: 0c1f.*
+ 3372: 6fd1.*
+ 3373: 0d1f.*
+ 3374: 6fd1.*
+ 3375: 0c1f.*
+ 3376: 6fd1.*
+ 3377: 0e1f.*
+ 3378: 6fd1.*
+ 3379: 0f1f.*
+ 337a: 6fd1.*
+ 337b: 0f1f.*
+ 337c: 00d1.*
+ 337d: 6fd1.*
+ 337e: 0d00.*
+ 337f: 00d1.*
+ 3380: 6fd1.*
+ 3381: 0e00.*
+ 3382: 01d1.*
+ 3383: 01d1.*
+ 3384: 6fd1.*
+ 3385: 0c01.*
+ 3386: 6fd1.*
+ 3387: 0d01.*
+ 3388: 6fd1.*
+ 3389: 0c01.*
+ 338a: 6fd1.*
+ 338b: 0e01.*
+ 338c: 6fd1.*
+ 338d: 0f01.*
+ 338e: 6fd1.*
+ 338f: 0f01.*
+ 3390: 6fd1.*
+ 3391: 0c02.*
+ 3392: 6fd1.*
+ 3393: 0d02.*
+ 3394: 6fd1.*
+ 3395: 0c02.*
+ 3396: 6fd1.*
+ 3397: 0e02.*
+ 3398: 6fd1.*
+ 3399: 0f02.*
+ 339a: 6fd1.*
+ 339b: 0f02.*
+ 339c: 6fd1.*
+ 339d: 0c03.*
+ 339e: 6fd1.*
+ 339f: 0d03.*
+ 33a0: 6fd1.*
+ 33a1: 0c03.*
+ 33a2: 6fd1.*
+ 33a3: 0e03.*
+ 33a4: 6fd1.*
+ 33a5: 0f03.*
+ 33a6: 6fd1.*
+ 33a7: 0f03.*
+ 33a8: 6fd1.*
+ 33a9: 0c04.*
+ 33aa: 6fd1.*
+ 33ab: 0d04.*
+ 33ac: 6fd1.*
+ 33ad: 0c04.*
+ 33ae: 6fd1.*
+ 33af: 0e04.*
+ 33b0: 6fd1.*
+ 33b1: 0f04.*
+ 33b2: 6fd1.*
+ 33b3: 0f04.*
+ 33b4: 6fd1.*
+ 33b5: 0c05.*
+ 33b6: 6fd1.*
+ 33b7: 0d05.*
+ 33b8: 6fd1.*
+ 33b9: 0c05.*
+ 33ba: 6fd1.*
+ 33bb: 0e05.*
+ 33bc: 6fd1.*
+ 33bd: 0f05.*
+ 33be: 6fd1.*
+ 33bf: 0f05.*
+ 33c0: 6fd1.*
+ 33c1: 0c06.*
+ 33c2: 6fd1.*
+ 33c3: 0d06.*
+ 33c4: 6fd1.*
+ 33c5: 0c06.*
+ 33c6: 6fd1.*
+ 33c7: 0e06.*
+ 33c8: 6fd1.*
+ 33c9: 0f06.*
+ 33ca: 6fd1.*
+ 33cb: 0f06.*
+ 33cc: 6fd1.*
+ 33cd: 0c07.*
+ 33ce: 6fd1.*
+ 33cf: 0d07.*
+ 33d0: 6fd1.*
+ 33d1: 0c07.*
+ 33d2: 6fd1.*
+ 33d3: 0e07.*
+ 33d4: 6fd1.*
+ 33d5: 0f07.*
+ 33d6: 6fd1.*
+ 33d7: 0f07.*
+ 33d8: 6fd1.*
+ 33d9: 0c08.*
+ 33da: 6fd1.*
+ 33db: 0d08.*
+ 33dc: 6fd1.*
+ 33dd: 0c08.*
+ 33de: 6fd1.*
+ 33df: 0e08.*
+ 33e0: 6fd1.*
+ 33e1: 0f08.*
+ 33e2: 6fd1.*
+ 33e3: 0f08.*
+ 33e4: 6fd1.*
+ 33e5: 0c09.*
+ 33e6: 6fd1.*
+ 33e7: 0d09.*
+ 33e8: 6fd1.*
+ 33e9: 0c09.*
+ 33ea: 6fd1.*
+ 33eb: 0e09.*
+ 33ec: 6fd1.*
+ 33ed: 0f09.*
+ 33ee: 6fd1.*
+ 33ef: 0f09.*
+ 33f0: 6fd1.*
+ 33f1: 0c0a.*
+ 33f2: 6fd1.*
+ 33f3: 0d0a.*
+ 33f4: 6fd1.*
+ 33f5: 0c0a.*
+ 33f6: 6fd1.*
+ 33f7: 0e0a.*
+ 33f8: 6fd1.*
+ 33f9: 0f0a.*
+ 33fa: 6fd1.*
+ 33fb: 0f0a.*
+ 33fc: 6fd1.*
+ 33fd: 0c0b.*
+ 33fe: 6fd1.*
+ 33ff: 0d0b.*
+ 3400: 6fd1.*
+ 3401: 0c0b.*
+ 3402: 6fd1.*
+ 3403: 0e0b.*
+ 3404: 6fd1.*
+ 3405: 0f0b.*
+ 3406: 6fd1.*
+ 3407: 0f0b.*
+ 3408: 6fd1.*
+ 3409: 0c0c.*
+ 340a: 6fd1.*
+ 340b: 0d0c.*
+ 340c: 6fd1.*
+ 340d: 0c0c.*
+ 340e: 6fd1.*
+ 340f: 0e0c.*
+ 3410: 6fd1.*
+ 3411: 0f0c.*
+ 3412: 6fd1.*
+ 3413: 0f0c.*
+ 3414: 6fd1.*
+ 3415: 0c0d.*
+ 3416: 6fd1.*
+ 3417: 0d0d.*
+ 3418: 6fd1.*
+ 3419: 0c0d.*
+ 341a: 6fd1.*
+ 341b: 0e0d.*
+ 341c: 6fd1.*
+ 341d: 0f0d.*
+ 341e: 6fd1.*
+ 341f: 0f0d.*
+ 3420: 6fd1.*
+ 3421: 0c0e.*
+ 3422: 6fd1.*
+ 3423: 0d0e.*
+ 3424: 6fd1.*
+ 3425: 0c0e.*
+ 3426: 6fd1.*
+ 3427: 0e0e.*
+ 3428: 6fd1.*
+ 3429: 0f0e.*
+ 342a: 6fd1.*
+ 342b: 0f0e.*
+ 342c: 6fd1.*
+ 342d: 0c0f.*
+ 342e: 6fd1.*
+ 342f: 0d0f.*
+ 3430: 6fd1.*
+ 3431: 0c0f.*
+ 3432: 6fd1.*
+ 3433: 0e0f.*
+ 3434: 6fd1.*
+ 3435: 0f0f.*
+ 3436: 6fd1.*
+ 3437: 0f0f.*
+ 3438: 00d9.*
+ 3439: 6fd9.*
+ 343a: 0d00.*
+ 343b: 00d9.*
+ 343c: 6fd9.*
+ 343d: 0e00.*
+ 343e: 01d9.*
+ 343f: 01d9.*
+ 3440: 6fd9.*
+ 3441: 0c10.*
+ 3442: 6fd9.*
+ 3443: 0d10.*
+ 3444: 6fd9.*
+ 3445: 0c10.*
+ 3446: 6fd9.*
+ 3447: 0e10.*
+ 3448: 6fd9.*
+ 3449: 0f10.*
+ 344a: 6fd9.*
+ 344b: 0f10.*
+ 344c: 6fd9.*
+ 344d: 0c11.*
+ 344e: 6fd9.*
+ 344f: 0d11.*
+ 3450: 6fd9.*
+ 3451: 0c11.*
+ 3452: 6fd9.*
+ 3453: 0e11.*
+ 3454: 6fd9.*
+ 3455: 0f11.*
+ 3456: 6fd9.*
+ 3457: 0f11.*
+ 3458: 6fd9.*
+ 3459: 0c12.*
+ 345a: 6fd9.*
+ 345b: 0d12.*
+ 345c: 6fd9.*
+ 345d: 0c12.*
+ 345e: 6fd9.*
+ 345f: 0e12.*
+ 3460: 6fd9.*
+ 3461: 0f12.*
+ 3462: 6fd9.*
+ 3463: 0f12.*
+ 3464: 6fd9.*
+ 3465: 0c13.*
+ 3466: 6fd9.*
+ 3467: 0d13.*
+ 3468: 6fd9.*
+ 3469: 0c13.*
+ 346a: 6fd9.*
+ 346b: 0e13.*
+ 346c: 6fd9.*
+ 346d: 0f13.*
+ 346e: 6fd9.*
+ 346f: 0f13.*
+ 3470: 6fd9.*
+ 3471: 0c14.*
+ 3472: 6fd9.*
+ 3473: 0d14.*
+ 3474: 6fd9.*
+ 3475: 0c14.*
+ 3476: 6fd9.*
+ 3477: 0e14.*
+ 3478: 6fd9.*
+ 3479: 0f14.*
+ 347a: 6fd9.*
+ 347b: 0f14.*
+ 347c: 6fd9.*
+ 347d: 0c15.*
+ 347e: 6fd9.*
+ 347f: 0d15.*
+ 3480: 6fd9.*
+ 3481: 0c15.*
+ 3482: 6fd9.*
+ 3483: 0e15.*
+ 3484: 6fd9.*
+ 3485: 0f15.*
+ 3486: 6fd9.*
+ 3487: 0f15.*
+ 3488: 6fd9.*
+ 3489: 0c16.*
+ 348a: 6fd9.*
+ 348b: 0d16.*
+ 348c: 6fd9.*
+ 348d: 0c16.*
+ 348e: 6fd9.*
+ 348f: 0e16.*
+ 3490: 6fd9.*
+ 3491: 0f16.*
+ 3492: 6fd9.*
+ 3493: 0f16.*
+ 3494: 6fd9.*
+ 3495: 0c17.*
+ 3496: 6fd9.*
+ 3497: 0d17.*
+ 3498: 6fd9.*
+ 3499: 0c17.*
+ 349a: 6fd9.*
+ 349b: 0e17.*
+ 349c: 6fd9.*
+ 349d: 0f17.*
+ 349e: 6fd9.*
+ 349f: 0f17.*
+ 34a0: 6fd9.*
+ 34a1: 0c18.*
+ 34a2: 6fd9.*
+ 34a3: 0d18.*
+ 34a4: 6fd9.*
+ 34a5: 0c18.*
+ 34a6: 6fd9.*
+ 34a7: 0e18.*
+ 34a8: 6fd9.*
+ 34a9: 0f18.*
+ 34aa: 6fd9.*
+ 34ab: 0f18.*
+ 34ac: 6fd9.*
+ 34ad: 0c19.*
+ 34ae: 6fd9.*
+ 34af: 0d19.*
+ 34b0: 6fd9.*
+ 34b1: 0c19.*
+ 34b2: 6fd9.*
+ 34b3: 0e19.*
+ 34b4: 6fd9.*
+ 34b5: 0f19.*
+ 34b6: 6fd9.*
+ 34b7: 0f19.*
+ 34b8: 6fd9.*
+ 34b9: 0c1a.*
+ 34ba: 6fd9.*
+ 34bb: 0d1a.*
+ 34bc: 6fd9.*
+ 34bd: 0c1a.*
+ 34be: 6fd9.*
+ 34bf: 0e1a.*
+ 34c0: 6fd9.*
+ 34c1: 0f1a.*
+ 34c2: 6fd9.*
+ 34c3: 0f1a.*
+ 34c4: 6fd9.*
+ 34c5: 0c1b.*
+ 34c6: 6fd9.*
+ 34c7: 0d1b.*
+ 34c8: 6fd9.*
+ 34c9: 0c1b.*
+ 34ca: 6fd9.*
+ 34cb: 0e1b.*
+ 34cc: 6fd9.*
+ 34cd: 0f1b.*
+ 34ce: 6fd9.*
+ 34cf: 0f1b.*
+ 34d0: 6fd9.*
+ 34d1: 0c1c.*
+ 34d2: 6fd9.*
+ 34d3: 0d1c.*
+ 34d4: 6fd9.*
+ 34d5: 0c1c.*
+ 34d6: 6fd9.*
+ 34d7: 0e1c.*
+ 34d8: 6fd9.*
+ 34d9: 0f1c.*
+ 34da: 6fd9.*
+ 34db: 0f1c.*
+ 34dc: 6fd9.*
+ 34dd: 0c1d.*
+ 34de: 6fd9.*
+ 34df: 0d1d.*
+ 34e0: 6fd9.*
+ 34e1: 0c1d.*
+ 34e2: 6fd9.*
+ 34e3: 0e1d.*
+ 34e4: 6fd9.*
+ 34e5: 0f1d.*
+ 34e6: 6fd9.*
+ 34e7: 0f1d.*
+ 34e8: 6fd9.*
+ 34e9: 0c1e.*
+ 34ea: 6fd9.*
+ 34eb: 0d1e.*
+ 34ec: 6fd9.*
+ 34ed: 0c1e.*
+ 34ee: 6fd9.*
+ 34ef: 0e1e.*
+ 34f0: 6fd9.*
+ 34f1: 0f1e.*
+ 34f2: 6fd9.*
+ 34f3: 0f1e.*
+ 34f4: 6fd9.*
+ 34f5: 0c1f.*
+ 34f6: 6fd9.*
+ 34f7: 0d1f.*
+ 34f8: 6fd9.*
+ 34f9: 0c1f.*
+ 34fa: 6fd9.*
+ 34fb: 0e1f.*
+ 34fc: 6fd9.*
+ 34fd: 0f1f.*
+ 34fe: 6fd9.*
+ 34ff: 0f1f.*
+ 3500: 00d9.*
+ 3501: 6fd9.*
+ 3502: 0d00.*
+ 3503: 00d9.*
+ 3504: 6fd9.*
+ 3505: 0e00.*
+ 3506: 01d9.*
+ 3507: 01d9.*
+ 3508: 6fd9.*
+ 3509: 0c01.*
+ 350a: 6fd9.*
+ 350b: 0d01.*
+ 350c: 6fd9.*
+ 350d: 0c01.*
+ 350e: 6fd9.*
+ 350f: 0e01.*
+ 3510: 6fd9.*
+ 3511: 0f01.*
+ 3512: 6fd9.*
+ 3513: 0f01.*
+ 3514: 6fd9.*
+ 3515: 0c02.*
+ 3516: 6fd9.*
+ 3517: 0d02.*
+ 3518: 6fd9.*
+ 3519: 0c02.*
+ 351a: 6fd9.*
+ 351b: 0e02.*
+ 351c: 6fd9.*
+ 351d: 0f02.*
+ 351e: 6fd9.*
+ 351f: 0f02.*
+ 3520: 6fd9.*
+ 3521: 0c03.*
+ 3522: 6fd9.*
+ 3523: 0d03.*
+ 3524: 6fd9.*
+ 3525: 0c03.*
+ 3526: 6fd9.*
+ 3527: 0e03.*
+ 3528: 6fd9.*
+ 3529: 0f03.*
+ 352a: 6fd9.*
+ 352b: 0f03.*
+ 352c: 6fd9.*
+ 352d: 0c04.*
+ 352e: 6fd9.*
+ 352f: 0d04.*
+ 3530: 6fd9.*
+ 3531: 0c04.*
+ 3532: 6fd9.*
+ 3533: 0e04.*
+ 3534: 6fd9.*
+ 3535: 0f04.*
+ 3536: 6fd9.*
+ 3537: 0f04.*
+ 3538: 6fd9.*
+ 3539: 0c05.*
+ 353a: 6fd9.*
+ 353b: 0d05.*
+ 353c: 6fd9.*
+ 353d: 0c05.*
+ 353e: 6fd9.*
+ 353f: 0e05.*
+ 3540: 6fd9.*
+ 3541: 0f05.*
+ 3542: 6fd9.*
+ 3543: 0f05.*
+ 3544: 6fd9.*
+ 3545: 0c06.*
+ 3546: 6fd9.*
+ 3547: 0d06.*
+ 3548: 6fd9.*
+ 3549: 0c06.*
+ 354a: 6fd9.*
+ 354b: 0e06.*
+ 354c: 6fd9.*
+ 354d: 0f06.*
+ 354e: 6fd9.*
+ 354f: 0f06.*
+ 3550: 6fd9.*
+ 3551: 0c07.*
+ 3552: 6fd9.*
+ 3553: 0d07.*
+ 3554: 6fd9.*
+ 3555: 0c07.*
+ 3556: 6fd9.*
+ 3557: 0e07.*
+ 3558: 6fd9.*
+ 3559: 0f07.*
+ 355a: 6fd9.*
+ 355b: 0f07.*
+ 355c: 6fd9.*
+ 355d: 0c08.*
+ 355e: 6fd9.*
+ 355f: 0d08.*
+ 3560: 6fd9.*
+ 3561: 0c08.*
+ 3562: 6fd9.*
+ 3563: 0e08.*
+ 3564: 6fd9.*
+ 3565: 0f08.*
+ 3566: 6fd9.*
+ 3567: 0f08.*
+ 3568: 6fd9.*
+ 3569: 0c09.*
+ 356a: 6fd9.*
+ 356b: 0d09.*
+ 356c: 6fd9.*
+ 356d: 0c09.*
+ 356e: 6fd9.*
+ 356f: 0e09.*
+ 3570: 6fd9.*
+ 3571: 0f09.*
+ 3572: 6fd9.*
+ 3573: 0f09.*
+ 3574: 6fd9.*
+ 3575: 0c0a.*
+ 3576: 6fd9.*
+ 3577: 0d0a.*
+ 3578: 6fd9.*
+ 3579: 0c0a.*
+ 357a: 6fd9.*
+ 357b: 0e0a.*
+ 357c: 6fd9.*
+ 357d: 0f0a.*
+ 357e: 6fd9.*
+ 357f: 0f0a.*
+ 3580: 6fd9.*
+ 3581: 0c0b.*
+ 3582: 6fd9.*
+ 3583: 0d0b.*
+ 3584: 6fd9.*
+ 3585: 0c0b.*
+ 3586: 6fd9.*
+ 3587: 0e0b.*
+ 3588: 6fd9.*
+ 3589: 0f0b.*
+ 358a: 6fd9.*
+ 358b: 0f0b.*
+ 358c: 6fd9.*
+ 358d: 0c0c.*
+ 358e: 6fd9.*
+ 358f: 0d0c.*
+ 3590: 6fd9.*
+ 3591: 0c0c.*
+ 3592: 6fd9.*
+ 3593: 0e0c.*
+ 3594: 6fd9.*
+ 3595: 0f0c.*
+ 3596: 6fd9.*
+ 3597: 0f0c.*
+ 3598: 6fd9.*
+ 3599: 0c0d.*
+ 359a: 6fd9.*
+ 359b: 0d0d.*
+ 359c: 6fd9.*
+ 359d: 0c0d.*
+ 359e: 6fd9.*
+ 359f: 0e0d.*
+ 35a0: 6fd9.*
+ 35a1: 0f0d.*
+ 35a2: 6fd9.*
+ 35a3: 0f0d.*
+ 35a4: 6fd9.*
+ 35a5: 0c0e.*
+ 35a6: 6fd9.*
+ 35a7: 0d0e.*
+ 35a8: 6fd9.*
+ 35a9: 0c0e.*
+ 35aa: 6fd9.*
+ 35ab: 0e0e.*
+ 35ac: 6fd9.*
+ 35ad: 0f0e.*
+ 35ae: 6fd9.*
+ 35af: 0f0e.*
+ 35b0: 6fd9.*
+ 35b1: 0c0f.*
+ 35b2: 6fd9.*
+ 35b3: 0d0f.*
+ 35b4: 6fd9.*
+ 35b5: 0c0f.*
+ 35b6: 6fd9.*
+ 35b7: 0e0f.*
+ 35b8: 6fd9.*
+ 35b9: 0f0f.*
+ 35ba: 6fd9.*
+ 35bb: 0f0f.*
+ 35bc: 00e1.*
+ 35bd: 055d.*
+ 35be: 6fe1.*
+ 35bf: 055e.*
+ 35c0: 0d00.*
+ 35c1: 00e1.*
+ 35c2: 055f.*
+ 35c3: 6fe1.*
+ 35c4: 0560.*
+ 35c5: 0e00.*
+ 35c6: 01e1.*
+ 35c7: 0561.*
+ 35c8: 01e1.*
+ 35c9: 0562.*
+ 35ca: 6fe1.*
+ 35cb: 0563.*
+ 35cc: 0c10.*
+ 35cd: 6fe1.*
+ 35ce: 0564.*
+ 35cf: 0d10.*
+ 35d0: 6fe1.*
+ 35d1: 0565.*
+ 35d2: 0c10.*
+ 35d3: 6fe1.*
+ 35d4: 0566.*
+ 35d5: 0e10.*
+ 35d6: 6fe1.*
+ 35d7: 0567.*
+ 35d8: 0f10.*
+ 35d9: 6fe1.*
+ 35da: 0568.*
+ 35db: 0f10.*
+ 35dc: 6fe1.*
+ 35dd: 0569.*
+ 35de: 0c11.*
+ 35df: 6fe1.*
+ 35e0: 056a.*
+ 35e1: 0d11.*
+ 35e2: 6fe1.*
+ 35e3: 056b.*
+ 35e4: 0c11.*
+ 35e5: 6fe1.*
+ 35e6: 056c.*
+ 35e7: 0e11.*
+ 35e8: 6fe1.*
+ 35e9: 056d.*
+ 35ea: 0f11.*
+ 35eb: 6fe1.*
+ 35ec: 056e.*
+ 35ed: 0f11.*
+ 35ee: 6fe1.*
+ 35ef: 056f.*
+ 35f0: 0c12.*
+ 35f1: 6fe1.*
+ 35f2: 0570.*
+ 35f3: 0d12.*
+ 35f4: 6fe1.*
+ 35f5: 0571.*
+ 35f6: 0c12.*
+ 35f7: 6fe1.*
+ 35f8: 0572.*
+ 35f9: 0e12.*
+ 35fa: 6fe1.*
+ 35fb: 0573.*
+ 35fc: 0f12.*
+ 35fd: 6fe1.*
+ 35fe: 0574.*
+ 35ff: 0f12.*
+ 3600: 6fe1.*
+ 3601: 0575.*
+ 3602: 0c13.*
+ 3603: 6fe1.*
+ 3604: 0576.*
+ 3605: 0d13.*
+ 3606: 6fe1.*
+ 3607: 0577.*
+ 3608: 0c13.*
+ 3609: 6fe1.*
+ 360a: 0578.*
+ 360b: 0e13.*
+ 360c: 6fe1.*
+ 360d: 0579.*
+ 360e: 0f13.*
+ 360f: 6fe1.*
+ 3610: 057a.*
+ 3611: 0f13.*
+ 3612: 6fe1.*
+ 3613: 057b.*
+ 3614: 0c14.*
+ 3615: 6fe1.*
+ 3616: 057c.*
+ 3617: 0d14.*
+ 3618: 6fe1.*
+ 3619: 057d.*
+ 361a: 0c14.*
+ 361b: 6fe1.*
+ 361c: 057e.*
+ 361d: 0e14.*
+ 361e: 6fe1.*
+ 361f: 057f.*
+ 3620: 0f14.*
+ 3621: 6fe1.*
+ 3622: 0580.*
+ 3623: 0f14.*
+ 3624: 6fe1.*
+ 3625: 0581.*
+ 3626: 0c15.*
+ 3627: 6fe1.*
+ 3628: 0582.*
+ 3629: 0d15.*
+ 362a: 6fe1.*
+ 362b: 0583.*
+ 362c: 0c15.*
+ 362d: 6fe1.*
+ 362e: 0584.*
+ 362f: 0e15.*
+ 3630: 6fe1.*
+ 3631: 0585.*
+ 3632: 0f15.*
+ 3633: 6fe1.*
+ 3634: 0586.*
+ 3635: 0f15.*
+ 3636: 6fe1.*
+ 3637: 0587.*
+ 3638: 0c16.*
+ 3639: 6fe1.*
+ 363a: 0588.*
+ 363b: 0d16.*
+ 363c: 6fe1.*
+ 363d: 0589.*
+ 363e: 0c16.*
+ 363f: 6fe1.*
+ 3640: 058a.*
+ 3641: 0e16.*
+ 3642: 6fe1.*
+ 3643: 058b.*
+ 3644: 0f16.*
+ 3645: 6fe1.*
+ 3646: 058c.*
+ 3647: 0f16.*
+ 3648: 6fe1.*
+ 3649: 058d.*
+ 364a: 0c17.*
+ 364b: 6fe1.*
+ 364c: 058e.*
+ 364d: 0d17.*
+ 364e: 6fe1.*
+ 364f: 058f.*
+ 3650: 0c17.*
+ 3651: 6fe1.*
+ 3652: 0590.*
+ 3653: 0e17.*
+ 3654: 6fe1.*
+ 3655: 0591.*
+ 3656: 0f17.*
+ 3657: 6fe1.*
+ 3658: 0592.*
+ 3659: 0f17.*
+ 365a: 6fe1.*
+ 365b: 0593.*
+ 365c: 0c18.*
+ 365d: 6fe1.*
+ 365e: 0594.*
+ 365f: 0d18.*
+ 3660: 6fe1.*
+ 3661: 0595.*
+ 3662: 0c18.*
+ 3663: 6fe1.*
+ 3664: 0596.*
+ 3665: 0e18.*
+ 3666: 6fe1.*
+ 3667: 0597.*
+ 3668: 0f18.*
+ 3669: 6fe1.*
+ 366a: 0598.*
+ 366b: 0f18.*
+ 366c: 6fe1.*
+ 366d: 0599.*
+ 366e: 0c19.*
+ 366f: 6fe1.*
+ 3670: 059a.*
+ 3671: 0d19.*
+ 3672: 6fe1.*
+ 3673: 059b.*
+ 3674: 0c19.*
+ 3675: 6fe1.*
+ 3676: 059c.*
+ 3677: 0e19.*
+ 3678: 6fe1.*
+ 3679: 059d.*
+ 367a: 0f19.*
+ 367b: 6fe1.*
+ 367c: 059e.*
+ 367d: 0f19.*
+ 367e: 6fe1.*
+ 367f: 059f.*
+ 3680: 0c1a.*
+ 3681: 6fe1.*
+ 3682: 05a0.*
+ 3683: 0d1a.*
+ 3684: 6fe1.*
+ 3685: 05a1.*
+ 3686: 0c1a.*
+ 3687: 6fe1.*
+ 3688: 05a2.*
+ 3689: 0e1a.*
+ 368a: 6fe1.*
+ 368b: 05a3.*
+ 368c: 0f1a.*
+ 368d: 6fe1.*
+ 368e: 05a4.*
+ 368f: 0f1a.*
+ 3690: 6fe1.*
+ 3691: 05a5.*
+ 3692: 0c1b.*
+ 3693: 6fe1.*
+ 3694: 05a6.*
+ 3695: 0d1b.*
+ 3696: 6fe1.*
+ 3697: 05a7.*
+ 3698: 0c1b.*
+ 3699: 6fe1.*
+ 369a: 05a8.*
+ 369b: 0e1b.*
+ 369c: 6fe1.*
+ 369d: 05a9.*
+ 369e: 0f1b.*
+ 369f: 6fe1.*
+ 36a0: 05aa.*
+ 36a1: 0f1b.*
+ 36a2: 6fe1.*
+ 36a3: 05ab.*
+ 36a4: 0c1c.*
+ 36a5: 6fe1.*
+ 36a6: 05ac.*
+ 36a7: 0d1c.*
+ 36a8: 6fe1.*
+ 36a9: 05ad.*
+ 36aa: 0c1c.*
+ 36ab: 6fe1.*
+ 36ac: 05ae.*
+ 36ad: 0e1c.*
+ 36ae: 6fe1.*
+ 36af: 05af.*
+ 36b0: 0f1c.*
+ 36b1: 6fe1.*
+ 36b2: 05b0.*
+ 36b3: 0f1c.*
+ 36b4: 6fe1.*
+ 36b5: 05b1.*
+ 36b6: 0c1d.*
+ 36b7: 6fe1.*
+ 36b8: 05b2.*
+ 36b9: 0d1d.*
+ 36ba: 6fe1.*
+ 36bb: 05b3.*
+ 36bc: 0c1d.*
+ 36bd: 6fe1.*
+ 36be: 05b4.*
+ 36bf: 0e1d.*
+ 36c0: 6fe1.*
+ 36c1: 05b5.*
+ 36c2: 0f1d.*
+ 36c3: 6fe1.*
+ 36c4: 05b6.*
+ 36c5: 0f1d.*
+ 36c6: 6fe1.*
+ 36c7: 05b7.*
+ 36c8: 0c1e.*
+ 36c9: 6fe1.*
+ 36ca: 05b8.*
+ 36cb: 0d1e.*
+ 36cc: 6fe1.*
+ 36cd: 05b9.*
+ 36ce: 0c1e.*
+ 36cf: 6fe1.*
+ 36d0: 05ba.*
+ 36d1: 0e1e.*
+ 36d2: 6fe1.*
+ 36d3: 05bb.*
+ 36d4: 0f1e.*
+ 36d5: 6fe1.*
+ 36d6: 05bc.*
+ 36d7: 0f1e.*
+ 36d8: 6fe1.*
+ 36d9: 05bd.*
+ 36da: 0c1f.*
+ 36db: 6fe1.*
+ 36dc: 05be.*
+ 36dd: 0d1f.*
+ 36de: 6fe1.*
+ 36df: 05bf.*
+ 36e0: 0c1f.*
+ 36e1: 6fe1.*
+ 36e2: 05c0.*
+ 36e3: 0e1f.*
+ 36e4: 6fe1.*
+ 36e5: 05c1.*
+ 36e6: 0f1f.*
+ 36e7: 6fe1.*
+ 36e8: 05c2.*
+ 36e9: 0f1f.*
+ 36ea: 00e1.*
+ 36eb: 05c3.*
+ 36ec: 6fe1.*
+ 36ed: 05c4.*
+ 36ee: 0d00.*
+ 36ef: 00e1.*
+ 36f0: 05c5.*
+ 36f1: 6fe1.*
+ 36f2: 05c6.*
+ 36f3: 0e00.*
+ 36f4: 01e1.*
+ 36f5: 05c7.*
+ 36f6: 01e1.*
+ 36f7: 05c8.*
+ 36f8: 6fe1.*
+ 36f9: 05c9.*
+ 36fa: 0c01.*
+ 36fb: 6fe1.*
+ 36fc: 05ca.*
+ 36fd: 0d01.*
+ 36fe: 6fe1.*
+ 36ff: 05cb.*
+ 3700: 0c01.*
+ 3701: 6fe1.*
+ 3702: 05cc.*
+ 3703: 0e01.*
+ 3704: 6fe1.*
+ 3705: 05cd.*
+ 3706: 0f01.*
+ 3707: 6fe1.*
+ 3708: 05ce.*
+ 3709: 0f01.*
+ 370a: 6fe1.*
+ 370b: 05cf.*
+ 370c: 0c02.*
+ 370d: 6fe1.*
+ 370e: 05d0.*
+ 370f: 0d02.*
+ 3710: 6fe1.*
+ 3711: 05d1.*
+ 3712: 0c02.*
+ 3713: 6fe1.*
+ 3714: 05d2.*
+ 3715: 0e02.*
+ 3716: 6fe1.*
+ 3717: 05d3.*
+ 3718: 0f02.*
+ 3719: 6fe1.*
+ 371a: 05d4.*
+ 371b: 0f02.*
+ 371c: 6fe1.*
+ 371d: 05d5.*
+ 371e: 0c03.*
+ 371f: 6fe1.*
+ 3720: 05d6.*
+ 3721: 0d03.*
+ 3722: 6fe1.*
+ 3723: 05d7.*
+ 3724: 0c03.*
+ 3725: 6fe1.*
+ 3726: 05d8.*
+ 3727: 0e03.*
+ 3728: 6fe1.*
+ 3729: 05d9.*
+ 372a: 0f03.*
+ 372b: 6fe1.*
+ 372c: 05da.*
+ 372d: 0f03.*
+ 372e: 6fe1.*
+ 372f: 05db.*
+ 3730: 0c04.*
+ 3731: 6fe1.*
+ 3732: 05dc.*
+ 3733: 0d04.*
+ 3734: 6fe1.*
+ 3735: 05dd.*
+ 3736: 0c04.*
+ 3737: 6fe1.*
+ 3738: 05de.*
+ 3739: 0e04.*
+ 373a: 6fe1.*
+ 373b: 05df.*
+ 373c: 0f04.*
+ 373d: 6fe1.*
+ 373e: 05e0.*
+ 373f: 0f04.*
+ 3740: 6fe1.*
+ 3741: 05e1.*
+ 3742: 0c05.*
+ 3743: 6fe1.*
+ 3744: 05e2.*
+ 3745: 0d05.*
+ 3746: 6fe1.*
+ 3747: 05e3.*
+ 3748: 0c05.*
+ 3749: 6fe1.*
+ 374a: 05e4.*
+ 374b: 0e05.*
+ 374c: 6fe1.*
+ 374d: 05e5.*
+ 374e: 0f05.*
+ 374f: 6fe1.*
+ 3750: 05e6.*
+ 3751: 0f05.*
+ 3752: 6fe1.*
+ 3753: 05e7.*
+ 3754: 0c06.*
+ 3755: 6fe1.*
+ 3756: 05e8.*
+ 3757: 0d06.*
+ 3758: 6fe1.*
+ 3759: 05e9.*
+ 375a: 0c06.*
+ 375b: 6fe1.*
+ 375c: 05ea.*
+ 375d: 0e06.*
+ 375e: 6fe1.*
+ 375f: 05eb.*
+ 3760: 0f06.*
+ 3761: 6fe1.*
+ 3762: 05ec.*
+ 3763: 0f06.*
+ 3764: 6fe1.*
+ 3765: 05ed.*
+ 3766: 0c07.*
+ 3767: 6fe1.*
+ 3768: 05ee.*
+ 3769: 0d07.*
+ 376a: 6fe1.*
+ 376b: 05ef.*
+ 376c: 0c07.*
+ 376d: 6fe1.*
+ 376e: 05f0.*
+ 376f: 0e07.*
+ 3770: 6fe1.*
+ 3771: 05f1.*
+ 3772: 0f07.*
+ 3773: 6fe1.*
+ 3774: 05f2.*
+ 3775: 0f07.*
+ 3776: 6fe1.*
+ 3777: 05f3.*
+ 3778: 0c08.*
+ 3779: 6fe1.*
+ 377a: 05f4.*
+ 377b: 0d08.*
+ 377c: 6fe1.*
+ 377d: 05f5.*
+ 377e: 0c08.*
+ 377f: 6fe1.*
+ 3780: 05f6.*
+ 3781: 0e08.*
+ 3782: 6fe1.*
+ 3783: 05f7.*
+ 3784: 0f08.*
+ 3785: 6fe1.*
+ 3786: 05f8.*
+ 3787: 0f08.*
+ 3788: 6fe1.*
+ 3789: 05f9.*
+ 378a: 0c09.*
+ 378b: 6fe1.*
+ 378c: 05fa.*
+ 378d: 0d09.*
+ 378e: 6fe1.*
+ 378f: 05fb.*
+ 3790: 0c09.*
+ 3791: 6fe1.*
+ 3792: 05fc.*
+ 3793: 0e09.*
+ 3794: 6fe1.*
+ 3795: 05fd.*
+ 3796: 0f09.*
+ 3797: 6fe1.*
+ 3798: 05fe.*
+ 3799: 0f09.*
+ 379a: 6fe1.*
+ 379b: 05ff.*
+ 379c: 0c0a.*
+ 379d: 6fe1.*
+ 379e: 0600.*
+ 379f: 0d0a.*
+ 37a0: 6fe1.*
+ 37a1: 0601.*
+ 37a2: 0c0a.*
+ 37a3: 6fe1.*
+ 37a4: 0602.*
+ 37a5: 0e0a.*
+ 37a6: 6fe1.*
+ 37a7: 0603.*
+ 37a8: 0f0a.*
+ 37a9: 6fe1.*
+ 37aa: 0604.*
+ 37ab: 0f0a.*
+ 37ac: 6fe1.*
+ 37ad: 0605.*
+ 37ae: 0c0b.*
+ 37af: 6fe1.*
+ 37b0: 0606.*
+ 37b1: 0d0b.*
+ 37b2: 6fe1.*
+ 37b3: 0607.*
+ 37b4: 0c0b.*
+ 37b5: 6fe1.*
+ 37b6: 0608.*
+ 37b7: 0e0b.*
+ 37b8: 6fe1.*
+ 37b9: 0609.*
+ 37ba: 0f0b.*
+ 37bb: 6fe1.*
+ 37bc: 060a.*
+ 37bd: 0f0b.*
+ 37be: 6fe1.*
+ 37bf: 060b.*
+ 37c0: 0c0c.*
+ 37c1: 6fe1.*
+ 37c2: 060c.*
+ 37c3: 0d0c.*
+ 37c4: 6fe1.*
+ 37c5: 060d.*
+ 37c6: 0c0c.*
+ 37c7: 6fe1.*
+ 37c8: 060e.*
+ 37c9: 0e0c.*
+ 37ca: 6fe1.*
+ 37cb: 060f.*
+ 37cc: 0f0c.*
+ 37cd: 6fe1.*
+ 37ce: 0610.*
+ 37cf: 0f0c.*
+ 37d0: 6fe1.*
+ 37d1: 0611.*
+ 37d2: 0c0d.*
+ 37d3: 6fe1.*
+ 37d4: 0612.*
+ 37d5: 0d0d.*
+ 37d6: 6fe1.*
+ 37d7: 0613.*
+ 37d8: 0c0d.*
+ 37d9: 6fe1.*
+ 37da: 0614.*
+ 37db: 0e0d.*
+ 37dc: 6fe1.*
+ 37dd: 0615.*
+ 37de: 0f0d.*
+ 37df: 6fe1.*
+ 37e0: 0616.*
+ 37e1: 0f0d.*
+ 37e2: 6fe1.*
+ 37e3: 0617.*
+ 37e4: 0c0e.*
+ 37e5: 6fe1.*
+ 37e6: 0618.*
+ 37e7: 0d0e.*
+ 37e8: 6fe1.*
+ 37e9: 0619.*
+ 37ea: 0c0e.*
+ 37eb: 6fe1.*
+ 37ec: 061a.*
+ 37ed: 0e0e.*
+ 37ee: 6fe1.*
+ 37ef: 061b.*
+ 37f0: 0f0e.*
+ 37f1: 6fe1.*
+ 37f2: 061c.*
+ 37f3: 0f0e.*
+ 37f4: 6fe1.*
+ 37f5: 061d.*
+ 37f6: 0c0f.*
+ 37f7: 6fe1.*
+ 37f8: 061e.*
+ 37f9: 0d0f.*
+ 37fa: 6fe1.*
+ 37fb: 061f.*
+ 37fc: 0c0f.*
+ 37fd: 6fe1.*
+ 37fe: 0620.*
+ 37ff: 0e0f.*
+ 3800: 6fe1.*
+ 3801: 0621.*
+ 3802: 0f0f.*
+ 3803: 6fe1.*
+ 3804: 0622.*
+ 3805: 0f0f.*
+ 3806: 00e9.*
+ 3807: 0623.*
+ 3808: 6fe9.*
+ 3809: 0624.*
+ 380a: 0d00.*
+ 380b: 00e9.*
+ 380c: 0625.*
+ 380d: 6fe9.*
+ 380e: 0626.*
+ 380f: 0e00.*
+ 3810: 01e9.*
+ 3811: 0627.*
+ 3812: 01e9.*
+ 3813: 0628.*
+ 3814: 6fe9.*
+ 3815: 0629.*
+ 3816: 0c10.*
+ 3817: 6fe9.*
+ 3818: 062a.*
+ 3819: 0d10.*
+ 381a: 6fe9.*
+ 381b: 062b.*
+ 381c: 0c10.*
+ 381d: 6fe9.*
+ 381e: 062c.*
+ 381f: 0e10.*
+ 3820: 6fe9.*
+ 3821: 062d.*
+ 3822: 0f10.*
+ 3823: 6fe9.*
+ 3824: 062e.*
+ 3825: 0f10.*
+ 3826: 6fe9.*
+ 3827: 062f.*
+ 3828: 0c11.*
+ 3829: 6fe9.*
+ 382a: 0630.*
+ 382b: 0d11.*
+ 382c: 6fe9.*
+ 382d: 0631.*
+ 382e: 0c11.*
+ 382f: 6fe9.*
+ 3830: 0632.*
+ 3831: 0e11.*
+ 3832: 6fe9.*
+ 3833: 0633.*
+ 3834: 0f11.*
+ 3835: 6fe9.*
+ 3836: 0634.*
+ 3837: 0f11.*
+ 3838: 6fe9.*
+ 3839: 0635.*
+ 383a: 0c12.*
+ 383b: 6fe9.*
+ 383c: 0636.*
+ 383d: 0d12.*
+ 383e: 6fe9.*
+ 383f: 0637.*
+ 3840: 0c12.*
+ 3841: 6fe9.*
+ 3842: 0638.*
+ 3843: 0e12.*
+ 3844: 6fe9.*
+ 3845: 0639.*
+ 3846: 0f12.*
+ 3847: 6fe9.*
+ 3848: 063a.*
+ 3849: 0f12.*
+ 384a: 6fe9.*
+ 384b: 063b.*
+ 384c: 0c13.*
+ 384d: 6fe9.*
+ 384e: 063c.*
+ 384f: 0d13.*
+ 3850: 6fe9.*
+ 3851: 063d.*
+ 3852: 0c13.*
+ 3853: 6fe9.*
+ 3854: 063e.*
+ 3855: 0e13.*
+ 3856: 6fe9.*
+ 3857: 063f.*
+ 3858: 0f13.*
+ 3859: 6fe9.*
+ 385a: 0640.*
+ 385b: 0f13.*
+ 385c: 6fe9.*
+ 385d: 0641.*
+ 385e: 0c14.*
+ 385f: 6fe9.*
+ 3860: 0642.*
+ 3861: 0d14.*
+ 3862: 6fe9.*
+ 3863: 0643.*
+ 3864: 0c14.*
+ 3865: 6fe9.*
+ 3866: 0644.*
+ 3867: 0e14.*
+ 3868: 6fe9.*
+ 3869: 0645.*
+ 386a: 0f14.*
+ 386b: 6fe9.*
+ 386c: 0646.*
+ 386d: 0f14.*
+ 386e: 6fe9.*
+ 386f: 0647.*
+ 3870: 0c15.*
+ 3871: 6fe9.*
+ 3872: 0648.*
+ 3873: 0d15.*
+ 3874: 6fe9.*
+ 3875: 0649.*
+ 3876: 0c15.*
+ 3877: 6fe9.*
+ 3878: 064a.*
+ 3879: 0e15.*
+ 387a: 6fe9.*
+ 387b: 064b.*
+ 387c: 0f15.*
+ 387d: 6fe9.*
+ 387e: 064c.*
+ 387f: 0f15.*
+ 3880: 6fe9.*
+ 3881: 064d.*
+ 3882: 0c16.*
+ 3883: 6fe9.*
+ 3884: 064e.*
+ 3885: 0d16.*
+ 3886: 6fe9.*
+ 3887: 064f.*
+ 3888: 0c16.*
+ 3889: 6fe9.*
+ 388a: 0650.*
+ 388b: 0e16.*
+ 388c: 6fe9.*
+ 388d: 0651.*
+ 388e: 0f16.*
+ 388f: 6fe9.*
+ 3890: 0652.*
+ 3891: 0f16.*
+ 3892: 6fe9.*
+ 3893: 0653.*
+ 3894: 0c17.*
+ 3895: 6fe9.*
+ 3896: 0654.*
+ 3897: 0d17.*
+ 3898: 6fe9.*
+ 3899: 0655.*
+ 389a: 0c17.*
+ 389b: 6fe9.*
+ 389c: 0656.*
+ 389d: 0e17.*
+ 389e: 6fe9.*
+ 389f: 0657.*
+ 38a0: 0f17.*
+ 38a1: 6fe9.*
+ 38a2: 0658.*
+ 38a3: 0f17.*
+ 38a4: 6fe9.*
+ 38a5: 0659.*
+ 38a6: 0c18.*
+ 38a7: 6fe9.*
+ 38a8: 065a.*
+ 38a9: 0d18.*
+ 38aa: 6fe9.*
+ 38ab: 065b.*
+ 38ac: 0c18.*
+ 38ad: 6fe9.*
+ 38ae: 065c.*
+ 38af: 0e18.*
+ 38b0: 6fe9.*
+ 38b1: 065d.*
+ 38b2: 0f18.*
+ 38b3: 6fe9.*
+ 38b4: 065e.*
+ 38b5: 0f18.*
+ 38b6: 6fe9.*
+ 38b7: 065f.*
+ 38b8: 0c19.*
+ 38b9: 6fe9.*
+ 38ba: 0660.*
+ 38bb: 0d19.*
+ 38bc: 6fe9.*
+ 38bd: 0661.*
+ 38be: 0c19.*
+ 38bf: 6fe9.*
+ 38c0: 0662.*
+ 38c1: 0e19.*
+ 38c2: 6fe9.*
+ 38c3: 0663.*
+ 38c4: 0f19.*
+ 38c5: 6fe9.*
+ 38c6: 0664.*
+ 38c7: 0f19.*
+ 38c8: 6fe9.*
+ 38c9: 0665.*
+ 38ca: 0c1a.*
+ 38cb: 6fe9.*
+ 38cc: 0666.*
+ 38cd: 0d1a.*
+ 38ce: 6fe9.*
+ 38cf: 0667.*
+ 38d0: 0c1a.*
+ 38d1: 6fe9.*
+ 38d2: 0668.*
+ 38d3: 0e1a.*
+ 38d4: 6fe9.*
+ 38d5: 0669.*
+ 38d6: 0f1a.*
+ 38d7: 6fe9.*
+ 38d8: 066a.*
+ 38d9: 0f1a.*
+ 38da: 6fe9.*
+ 38db: 066b.*
+ 38dc: 0c1b.*
+ 38dd: 6fe9.*
+ 38de: 066c.*
+ 38df: 0d1b.*
+ 38e0: 6fe9.*
+ 38e1: 066d.*
+ 38e2: 0c1b.*
+ 38e3: 6fe9.*
+ 38e4: 066e.*
+ 38e5: 0e1b.*
+ 38e6: 6fe9.*
+ 38e7: 066f.*
+ 38e8: 0f1b.*
+ 38e9: 6fe9.*
+ 38ea: 0670.*
+ 38eb: 0f1b.*
+ 38ec: 6fe9.*
+ 38ed: 0671.*
+ 38ee: 0c1c.*
+ 38ef: 6fe9.*
+ 38f0: 0672.*
+ 38f1: 0d1c.*
+ 38f2: 6fe9.*
+ 38f3: 0673.*
+ 38f4: 0c1c.*
+ 38f5: 6fe9.*
+ 38f6: 0674.*
+ 38f7: 0e1c.*
+ 38f8: 6fe9.*
+ 38f9: 0675.*
+ 38fa: 0f1c.*
+ 38fb: 6fe9.*
+ 38fc: 0676.*
+ 38fd: 0f1c.*
+ 38fe: 6fe9.*
+ 38ff: 0677.*
+ 3900: 0c1d.*
+ 3901: 6fe9.*
+ 3902: 0678.*
+ 3903: 0d1d.*
+ 3904: 6fe9.*
+ 3905: 0679.*
+ 3906: 0c1d.*
+ 3907: 6fe9.*
+ 3908: 067a.*
+ 3909: 0e1d.*
+ 390a: 6fe9.*
+ 390b: 067b.*
+ 390c: 0f1d.*
+ 390d: 6fe9.*
+ 390e: 067c.*
+ 390f: 0f1d.*
+ 3910: 6fe9.*
+ 3911: 067d.*
+ 3912: 0c1e.*
+ 3913: 6fe9.*
+ 3914: 067e.*
+ 3915: 0d1e.*
+ 3916: 6fe9.*
+ 3917: 067f.*
+ 3918: 0c1e.*
+ 3919: 6fe9.*
+ 391a: 0680.*
+ 391b: 0e1e.*
+ 391c: 6fe9.*
+ 391d: 0681.*
+ 391e: 0f1e.*
+ 391f: 6fe9.*
+ 3920: 0682.*
+ 3921: 0f1e.*
+ 3922: 6fe9.*
+ 3923: 0683.*
+ 3924: 0c1f.*
+ 3925: 6fe9.*
+ 3926: 0684.*
+ 3927: 0d1f.*
+ 3928: 6fe9.*
+ 3929: 0685.*
+ 392a: 0c1f.*
+ 392b: 6fe9.*
+ 392c: 0686.*
+ 392d: 0e1f.*
+ 392e: 6fe9.*
+ 392f: 0687.*
+ 3930: 0f1f.*
+ 3931: 6fe9.*
+ 3932: 0688.*
+ 3933: 0f1f.*
+ 3934: 00e9.*
+ 3935: 0689.*
+ 3936: 6fe9.*
+ 3937: 068a.*
+ 3938: 0d00.*
+ 3939: 00e9.*
+ 393a: 068b.*
+ 393b: 6fe9.*
+ 393c: 068c.*
+ 393d: 0e00.*
+ 393e: 01e9.*
+ 393f: 068d.*
+ 3940: 01e9.*
+ 3941: 068e.*
+ 3942: 6fe9.*
+ 3943: 068f.*
+ 3944: 0c01.*
+ 3945: 6fe9.*
+ 3946: 0690.*
+ 3947: 0d01.*
+ 3948: 6fe9.*
+ 3949: 0691.*
+ 394a: 0c01.*
+ 394b: 6fe9.*
+ 394c: 0692.*
+ 394d: 0e01.*
+ 394e: 6fe9.*
+ 394f: 0693.*
+ 3950: 0f01.*
+ 3951: 6fe9.*
+ 3952: 0694.*
+ 3953: 0f01.*
+ 3954: 6fe9.*
+ 3955: 0695.*
+ 3956: 0c02.*
+ 3957: 6fe9.*
+ 3958: 0696.*
+ 3959: 0d02.*
+ 395a: 6fe9.*
+ 395b: 0697.*
+ 395c: 0c02.*
+ 395d: 6fe9.*
+ 395e: 0698.*
+ 395f: 0e02.*
+ 3960: 6fe9.*
+ 3961: 0699.*
+ 3962: 0f02.*
+ 3963: 6fe9.*
+ 3964: 069a.*
+ 3965: 0f02.*
+ 3966: 6fe9.*
+ 3967: 069b.*
+ 3968: 0c03.*
+ 3969: 6fe9.*
+ 396a: 069c.*
+ 396b: 0d03.*
+ 396c: 6fe9.*
+ 396d: 069d.*
+ 396e: 0c03.*
+ 396f: 6fe9.*
+ 3970: 069e.*
+ 3971: 0e03.*
+ 3972: 6fe9.*
+ 3973: 069f.*
+ 3974: 0f03.*
+ 3975: 6fe9.*
+ 3976: 06a0.*
+ 3977: 0f03.*
+ 3978: 6fe9.*
+ 3979: 06a1.*
+ 397a: 0c04.*
+ 397b: 6fe9.*
+ 397c: 06a2.*
+ 397d: 0d04.*
+ 397e: 6fe9.*
+ 397f: 06a3.*
+ 3980: 0c04.*
+ 3981: 6fe9.*
+ 3982: 06a4.*
+ 3983: 0e04.*
+ 3984: 6fe9.*
+ 3985: 06a5.*
+ 3986: 0f04.*
+ 3987: 6fe9.*
+ 3988: 06a6.*
+ 3989: 0f04.*
+ 398a: 6fe9.*
+ 398b: 06a7.*
+ 398c: 0c05.*
+ 398d: 6fe9.*
+ 398e: 06a8.*
+ 398f: 0d05.*
+ 3990: 6fe9.*
+ 3991: 06a9.*
+ 3992: 0c05.*
+ 3993: 6fe9.*
+ 3994: 06aa.*
+ 3995: 0e05.*
+ 3996: 6fe9.*
+ 3997: 06ab.*
+ 3998: 0f05.*
+ 3999: 6fe9.*
+ 399a: 06ac.*
+ 399b: 0f05.*
+ 399c: 6fe9.*
+ 399d: 06ad.*
+ 399e: 0c06.*
+ 399f: 6fe9.*
+ 39a0: 06ae.*
+ 39a1: 0d06.*
+ 39a2: 6fe9.*
+ 39a3: 06af.*
+ 39a4: 0c06.*
+ 39a5: 6fe9.*
+ 39a6: 06b0.*
+ 39a7: 0e06.*
+ 39a8: 6fe9.*
+ 39a9: 06b1.*
+ 39aa: 0f06.*
+ 39ab: 6fe9.*
+ 39ac: 06b2.*
+ 39ad: 0f06.*
+ 39ae: 6fe9.*
+ 39af: 06b3.*
+ 39b0: 0c07.*
+ 39b1: 6fe9.*
+ 39b2: 06b4.*
+ 39b3: 0d07.*
+ 39b4: 6fe9.*
+ 39b5: 06b5.*
+ 39b6: 0c07.*
+ 39b7: 6fe9.*
+ 39b8: 06b6.*
+ 39b9: 0e07.*
+ 39ba: 6fe9.*
+ 39bb: 06b7.*
+ 39bc: 0f07.*
+ 39bd: 6fe9.*
+ 39be: 06b8.*
+ 39bf: 0f07.*
+ 39c0: 6fe9.*
+ 39c1: 06b9.*
+ 39c2: 0c08.*
+ 39c3: 6fe9.*
+ 39c4: 06ba.*
+ 39c5: 0d08.*
+ 39c6: 6fe9.*
+ 39c7: 06bb.*
+ 39c8: 0c08.*
+ 39c9: 6fe9.*
+ 39ca: 06bc.*
+ 39cb: 0e08.*
+ 39cc: 6fe9.*
+ 39cd: 06bd.*
+ 39ce: 0f08.*
+ 39cf: 6fe9.*
+ 39d0: 06be.*
+ 39d1: 0f08.*
+ 39d2: 6fe9.*
+ 39d3: 06bf.*
+ 39d4: 0c09.*
+ 39d5: 6fe9.*
+ 39d6: 06c0.*
+ 39d7: 0d09.*
+ 39d8: 6fe9.*
+ 39d9: 06c1.*
+ 39da: 0c09.*
+ 39db: 6fe9.*
+ 39dc: 06c2.*
+ 39dd: 0e09.*
+ 39de: 6fe9.*
+ 39df: 06c3.*
+ 39e0: 0f09.*
+ 39e1: 6fe9.*
+ 39e2: 06c4.*
+ 39e3: 0f09.*
+ 39e4: 6fe9.*
+ 39e5: 06c5.*
+ 39e6: 0c0a.*
+ 39e7: 6fe9.*
+ 39e8: 06c6.*
+ 39e9: 0d0a.*
+ 39ea: 6fe9.*
+ 39eb: 06c7.*
+ 39ec: 0c0a.*
+ 39ed: 6fe9.*
+ 39ee: 06c8.*
+ 39ef: 0e0a.*
+ 39f0: 6fe9.*
+ 39f1: 06c9.*
+ 39f2: 0f0a.*
+ 39f3: 6fe9.*
+ 39f4: 06ca.*
+ 39f5: 0f0a.*
+ 39f6: 6fe9.*
+ 39f7: 06cb.*
+ 39f8: 0c0b.*
+ 39f9: 6fe9.*
+ 39fa: 06cc.*
+ 39fb: 0d0b.*
+ 39fc: 6fe9.*
+ 39fd: 06cd.*
+ 39fe: 0c0b.*
+ 39ff: 6fe9.*
+ 3a00: 06ce.*
+ 3a01: 0e0b.*
+ 3a02: 6fe9.*
+ 3a03: 06cf.*
+ 3a04: 0f0b.*
+ 3a05: 6fe9.*
+ 3a06: 06d0.*
+ 3a07: 0f0b.*
+ 3a08: 6fe9.*
+ 3a09: 06d1.*
+ 3a0a: 0c0c.*
+ 3a0b: 6fe9.*
+ 3a0c: 06d2.*
+ 3a0d: 0d0c.*
+ 3a0e: 6fe9.*
+ 3a0f: 06d3.*
+ 3a10: 0c0c.*
+ 3a11: 6fe9.*
+ 3a12: 06d4.*
+ 3a13: 0e0c.*
+ 3a14: 6fe9.*
+ 3a15: 06d5.*
+ 3a16: 0f0c.*
+ 3a17: 6fe9.*
+ 3a18: 06d6.*
+ 3a19: 0f0c.*
+ 3a1a: 6fe9.*
+ 3a1b: 06d7.*
+ 3a1c: 0c0d.*
+ 3a1d: 6fe9.*
+ 3a1e: 06d8.*
+ 3a1f: 0d0d.*
+ 3a20: 6fe9.*
+ 3a21: 06d9.*
+ 3a22: 0c0d.*
+ 3a23: 6fe9.*
+ 3a24: 06da.*
+ 3a25: 0e0d.*
+ 3a26: 6fe9.*
+ 3a27: 06db.*
+ 3a28: 0f0d.*
+ 3a29: 6fe9.*
+ 3a2a: 06dc.*
+ 3a2b: 0f0d.*
+ 3a2c: 6fe9.*
+ 3a2d: 06dd.*
+ 3a2e: 0c0e.*
+ 3a2f: 6fe9.*
+ 3a30: 06de.*
+ 3a31: 0d0e.*
+ 3a32: 6fe9.*
+ 3a33: 06df.*
+ 3a34: 0c0e.*
+ 3a35: 6fe9.*
+ 3a36: 06e0.*
+ 3a37: 0e0e.*
+ 3a38: 6fe9.*
+ 3a39: 06e1.*
+ 3a3a: 0f0e.*
+ 3a3b: 6fe9.*
+ 3a3c: 06e2.*
+ 3a3d: 0f0e.*
+ 3a3e: 6fe9.*
+ 3a3f: 06e3.*
+ 3a40: 0c0f.*
+ 3a41: 6fe9.*
+ 3a42: 06e4.*
+ 3a43: 0d0f.*
+ 3a44: 6fe9.*
+ 3a45: 06e5.*
+ 3a46: 0c0f.*
+ 3a47: 6fe9.*
+ 3a48: 06e6.*
+ 3a49: 0e0f.*
+ 3a4a: 6fe9.*
+ 3a4b: 06e7.*
+ 3a4c: 0f0f.*
+ 3a4d: 6fe9.*
+ 3a4e: 06e8.*
+ 3a4f: 0f0f.*
+ 3a50: 00f1.*
+ 3a51: 06e9.*
+ 3a52: 6ff1.*
+ 3a53: 06ea.*
+ 3a54: 0d00.*
+ 3a55: 00f1.*
+ 3a56: 06eb.*
+ 3a57: 6ff1.*
+ 3a58: 06ec.*
+ 3a59: 0e00.*
+ 3a5a: 01f1.*
+ 3a5b: 06ed.*
+ 3a5c: 01f1.*
+ 3a5d: 06ee.*
+ 3a5e: 6ff1.*
+ 3a5f: 06ef.*
+ 3a60: 0c10.*
+ 3a61: 6ff1.*
+ 3a62: 06f0.*
+ 3a63: 0d10.*
+ 3a64: 6ff1.*
+ 3a65: 06f1.*
+ 3a66: 0c10.*
+ 3a67: 6ff1.*
+ 3a68: 06f2.*
+ 3a69: 0e10.*
+ 3a6a: 6ff1.*
+ 3a6b: 06f3.*
+ 3a6c: 0f10.*
+ 3a6d: 6ff1.*
+ 3a6e: 06f4.*
+ 3a6f: 0f10.*
+ 3a70: 6ff1.*
+ 3a71: 06f5.*
+ 3a72: 0c11.*
+ 3a73: 6ff1.*
+ 3a74: 06f6.*
+ 3a75: 0d11.*
+ 3a76: 6ff1.*
+ 3a77: 06f7.*
+ 3a78: 0c11.*
+ 3a79: 6ff1.*
+ 3a7a: 06f8.*
+ 3a7b: 0e11.*
+ 3a7c: 6ff1.*
+ 3a7d: 06f9.*
+ 3a7e: 0f11.*
+ 3a7f: 6ff1.*
+ 3a80: 06fa.*
+ 3a81: 0f11.*
+ 3a82: 6ff1.*
+ 3a83: 06fb.*
+ 3a84: 0c12.*
+ 3a85: 6ff1.*
+ 3a86: 06fc.*
+ 3a87: 0d12.*
+ 3a88: 6ff1.*
+ 3a89: 06fd.*
+ 3a8a: 0c12.*
+ 3a8b: 6ff1.*
+ 3a8c: 06fe.*
+ 3a8d: 0e12.*
+ 3a8e: 6ff1.*
+ 3a8f: 06ff.*
+ 3a90: 0f12.*
+ 3a91: 6ff1.*
+ 3a92: 0700.*
+ 3a93: 0f12.*
+ 3a94: 6ff1.*
+ 3a95: 0701.*
+ 3a96: 0c13.*
+ 3a97: 6ff1.*
+ 3a98: 0702.*
+ 3a99: 0d13.*
+ 3a9a: 6ff1.*
+ 3a9b: 0703.*
+ 3a9c: 0c13.*
+ 3a9d: 6ff1.*
+ 3a9e: 0704.*
+ 3a9f: 0e13.*
+ 3aa0: 6ff1.*
+ 3aa1: 0705.*
+ 3aa2: 0f13.*
+ 3aa3: 6ff1.*
+ 3aa4: 0706.*
+ 3aa5: 0f13.*
+ 3aa6: 6ff1.*
+ 3aa7: 0707.*
+ 3aa8: 0c14.*
+ 3aa9: 6ff1.*
+ 3aaa: 0708.*
+ 3aab: 0d14.*
+ 3aac: 6ff1.*
+ 3aad: 0709.*
+ 3aae: 0c14.*
+ 3aaf: 6ff1.*
+ 3ab0: 070a.*
+ 3ab1: 0e14.*
+ 3ab2: 6ff1.*
+ 3ab3: 070b.*
+ 3ab4: 0f14.*
+ 3ab5: 6ff1.*
+ 3ab6: 070c.*
+ 3ab7: 0f14.*
+ 3ab8: 6ff1.*
+ 3ab9: 070d.*
+ 3aba: 0c15.*
+ 3abb: 6ff1.*
+ 3abc: 070e.*
+ 3abd: 0d15.*
+ 3abe: 6ff1.*
+ 3abf: 070f.*
+ 3ac0: 0c15.*
+ 3ac1: 6ff1.*
+ 3ac2: 0710.*
+ 3ac3: 0e15.*
+ 3ac4: 6ff1.*
+ 3ac5: 0711.*
+ 3ac6: 0f15.*
+ 3ac7: 6ff1.*
+ 3ac8: 0712.*
+ 3ac9: 0f15.*
+ 3aca: 6ff1.*
+ 3acb: 0713.*
+ 3acc: 0c16.*
+ 3acd: 6ff1.*
+ 3ace: 0714.*
+ 3acf: 0d16.*
+ 3ad0: 6ff1.*
+ 3ad1: 0715.*
+ 3ad2: 0c16.*
+ 3ad3: 6ff1.*
+ 3ad4: 0716.*
+ 3ad5: 0e16.*
+ 3ad6: 6ff1.*
+ 3ad7: 0717.*
+ 3ad8: 0f16.*
+ 3ad9: 6ff1.*
+ 3ada: 0718.*
+ 3adb: 0f16.*
+ 3adc: 6ff1.*
+ 3add: 0719.*
+ 3ade: 0c17.*
+ 3adf: 6ff1.*
+ 3ae0: 071a.*
+ 3ae1: 0d17.*
+ 3ae2: 6ff1.*
+ 3ae3: 071b.*
+ 3ae4: 0c17.*
+ 3ae5: 6ff1.*
+ 3ae6: 071c.*
+ 3ae7: 0e17.*
+ 3ae8: 6ff1.*
+ 3ae9: 071d.*
+ 3aea: 0f17.*
+ 3aeb: 6ff1.*
+ 3aec: 071e.*
+ 3aed: 0f17.*
+ 3aee: 6ff1.*
+ 3aef: 071f.*
+ 3af0: 0c18.*
+ 3af1: 6ff1.*
+ 3af2: 0720.*
+ 3af3: 0d18.*
+ 3af4: 6ff1.*
+ 3af5: 0721.*
+ 3af6: 0c18.*
+ 3af7: 6ff1.*
+ 3af8: 0722.*
+ 3af9: 0e18.*
+ 3afa: 6ff1.*
+ 3afb: 0723.*
+ 3afc: 0f18.*
+ 3afd: 6ff1.*
+ 3afe: 0724.*
+ 3aff: 0f18.*
+ 3b00: 6ff1.*
+ 3b01: 0725.*
+ 3b02: 0c19.*
+ 3b03: 6ff1.*
+ 3b04: 0726.*
+ 3b05: 0d19.*
+ 3b06: 6ff1.*
+ 3b07: 0727.*
+ 3b08: 0c19.*
+ 3b09: 6ff1.*
+ 3b0a: 0728.*
+ 3b0b: 0e19.*
+ 3b0c: 6ff1.*
+ 3b0d: 0729.*
+ 3b0e: 0f19.*
+ 3b0f: 6ff1.*
+ 3b10: 072a.*
+ 3b11: 0f19.*
+ 3b12: 6ff1.*
+ 3b13: 072b.*
+ 3b14: 0c1a.*
+ 3b15: 6ff1.*
+ 3b16: 072c.*
+ 3b17: 0d1a.*
+ 3b18: 6ff1.*
+ 3b19: 072d.*
+ 3b1a: 0c1a.*
+ 3b1b: 6ff1.*
+ 3b1c: 072e.*
+ 3b1d: 0e1a.*
+ 3b1e: 6ff1.*
+ 3b1f: 072f.*
+ 3b20: 0f1a.*
+ 3b21: 6ff1.*
+ 3b22: 0730.*
+ 3b23: 0f1a.*
+ 3b24: 6ff1.*
+ 3b25: 0731.*
+ 3b26: 0c1b.*
+ 3b27: 6ff1.*
+ 3b28: 0732.*
+ 3b29: 0d1b.*
+ 3b2a: 6ff1.*
+ 3b2b: 0733.*
+ 3b2c: 0c1b.*
+ 3b2d: 6ff1.*
+ 3b2e: 0734.*
+ 3b2f: 0e1b.*
+ 3b30: 6ff1.*
+ 3b31: 0735.*
+ 3b32: 0f1b.*
+ 3b33: 6ff1.*
+ 3b34: 0736.*
+ 3b35: 0f1b.*
+ 3b36: 6ff1.*
+ 3b37: 0737.*
+ 3b38: 0c1c.*
+ 3b39: 6ff1.*
+ 3b3a: 0738.*
+ 3b3b: 0d1c.*
+ 3b3c: 6ff1.*
+ 3b3d: 0739.*
+ 3b3e: 0c1c.*
+ 3b3f: 6ff1.*
+ 3b40: 073a.*
+ 3b41: 0e1c.*
+ 3b42: 6ff1.*
+ 3b43: 073b.*
+ 3b44: 0f1c.*
+ 3b45: 6ff1.*
+ 3b46: 073c.*
+ 3b47: 0f1c.*
+ 3b48: 6ff1.*
+ 3b49: 073d.*
+ 3b4a: 0c1d.*
+ 3b4b: 6ff1.*
+ 3b4c: 073e.*
+ 3b4d: 0d1d.*
+ 3b4e: 6ff1.*
+ 3b4f: 073f.*
+ 3b50: 0c1d.*
+ 3b51: 6ff1.*
+ 3b52: 0740.*
+ 3b53: 0e1d.*
+ 3b54: 6ff1.*
+ 3b55: 0741.*
+ 3b56: 0f1d.*
+ 3b57: 6ff1.*
+ 3b58: 0742.*
+ 3b59: 0f1d.*
+ 3b5a: 6ff1.*
+ 3b5b: 0743.*
+ 3b5c: 0c1e.*
+ 3b5d: 6ff1.*
+ 3b5e: 0744.*
+ 3b5f: 0d1e.*
+ 3b60: 6ff1.*
+ 3b61: 0745.*
+ 3b62: 0c1e.*
+ 3b63: 6ff1.*
+ 3b64: 0746.*
+ 3b65: 0e1e.*
+ 3b66: 6ff1.*
+ 3b67: 0747.*
+ 3b68: 0f1e.*
+ 3b69: 6ff1.*
+ 3b6a: 0748.*
+ 3b6b: 0f1e.*
+ 3b6c: 6ff1.*
+ 3b6d: 0749.*
+ 3b6e: 0c1f.*
+ 3b6f: 6ff1.*
+ 3b70: 074a.*
+ 3b71: 0d1f.*
+ 3b72: 6ff1.*
+ 3b73: 074b.*
+ 3b74: 0c1f.*
+ 3b75: 6ff1.*
+ 3b76: 074c.*
+ 3b77: 0e1f.*
+ 3b78: 6ff1.*
+ 3b79: 074d.*
+ 3b7a: 0f1f.*
+ 3b7b: 6ff1.*
+ 3b7c: 074e.*
+ 3b7d: 0f1f.*
+ 3b7e: 00f1.*
+ 3b7f: 074f.*
+ 3b80: 6ff1.*
+ 3b81: 0750.*
+ 3b82: 0d00.*
+ 3b83: 00f1.*
+ 3b84: 0751.*
+ 3b85: 6ff1.*
+ 3b86: 0752.*
+ 3b87: 0e00.*
+ 3b88: 01f1.*
+ 3b89: 0753.*
+ 3b8a: 01f1.*
+ 3b8b: 0754.*
+ 3b8c: 6ff1.*
+ 3b8d: 0755.*
+ 3b8e: 0c01.*
+ 3b8f: 6ff1.*
+ 3b90: 0756.*
+ 3b91: 0d01.*
+ 3b92: 6ff1.*
+ 3b93: 0757.*
+ 3b94: 0c01.*
+ 3b95: 6ff1.*
+ 3b96: 0758.*
+ 3b97: 0e01.*
+ 3b98: 6ff1.*
+ 3b99: 0759.*
+ 3b9a: 0f01.*
+ 3b9b: 6ff1.*
+ 3b9c: 075a.*
+ 3b9d: 0f01.*
+ 3b9e: 6ff1.*
+ 3b9f: 075b.*
+ 3ba0: 0c02.*
+ 3ba1: 6ff1.*
+ 3ba2: 075c.*
+ 3ba3: 0d02.*
+ 3ba4: 6ff1.*
+ 3ba5: 075d.*
+ 3ba6: 0c02.*
+ 3ba7: 6ff1.*
+ 3ba8: 075e.*
+ 3ba9: 0e02.*
+ 3baa: 6ff1.*
+ 3bab: 075f.*
+ 3bac: 0f02.*
+ 3bad: 6ff1.*
+ 3bae: 0760.*
+ 3baf: 0f02.*
+ 3bb0: 6ff1.*
+ 3bb1: 0761.*
+ 3bb2: 0c03.*
+ 3bb3: 6ff1.*
+ 3bb4: 0762.*
+ 3bb5: 0d03.*
+ 3bb6: 6ff1.*
+ 3bb7: 0763.*
+ 3bb8: 0c03.*
+ 3bb9: 6ff1.*
+ 3bba: 0764.*
+ 3bbb: 0e03.*
+ 3bbc: 6ff1.*
+ 3bbd: 0765.*
+ 3bbe: 0f03.*
+ 3bbf: 6ff1.*
+ 3bc0: 0766.*
+ 3bc1: 0f03.*
+ 3bc2: 6ff1.*
+ 3bc3: 0767.*
+ 3bc4: 0c04.*
+ 3bc5: 6ff1.*
+ 3bc6: 0768.*
+ 3bc7: 0d04.*
+ 3bc8: 6ff1.*
+ 3bc9: 0769.*
+ 3bca: 0c04.*
+ 3bcb: 6ff1.*
+ 3bcc: 076a.*
+ 3bcd: 0e04.*
+ 3bce: 6ff1.*
+ 3bcf: 076b.*
+ 3bd0: 0f04.*
+ 3bd1: 6ff1.*
+ 3bd2: 076c.*
+ 3bd3: 0f04.*
+ 3bd4: 6ff1.*
+ 3bd5: 076d.*
+ 3bd6: 0c05.*
+ 3bd7: 6ff1.*
+ 3bd8: 076e.*
+ 3bd9: 0d05.*
+ 3bda: 6ff1.*
+ 3bdb: 076f.*
+ 3bdc: 0c05.*
+ 3bdd: 6ff1.*
+ 3bde: 0770.*
+ 3bdf: 0e05.*
+ 3be0: 6ff1.*
+ 3be1: 0771.*
+ 3be2: 0f05.*
+ 3be3: 6ff1.*
+ 3be4: 0772.*
+ 3be5: 0f05.*
+ 3be6: 6ff1.*
+ 3be7: 0773.*
+ 3be8: 0c06.*
+ 3be9: 6ff1.*
+ 3bea: 0774.*
+ 3beb: 0d06.*
+ 3bec: 6ff1.*
+ 3bed: 0775.*
+ 3bee: 0c06.*
+ 3bef: 6ff1.*
+ 3bf0: 0776.*
+ 3bf1: 0e06.*
+ 3bf2: 6ff1.*
+ 3bf3: 0777.*
+ 3bf4: 0f06.*
+ 3bf5: 6ff1.*
+ 3bf6: 0778.*
+ 3bf7: 0f06.*
+ 3bf8: 6ff1.*
+ 3bf9: 0779.*
+ 3bfa: 0c07.*
+ 3bfb: 6ff1.*
+ 3bfc: 077a.*
+ 3bfd: 0d07.*
+ 3bfe: 6ff1.*
+ 3bff: 077b.*
+ 3c00: 0c07.*
+ 3c01: 6ff1.*
+ 3c02: 077c.*
+ 3c03: 0e07.*
+ 3c04: 6ff1.*
+ 3c05: 077d.*
+ 3c06: 0f07.*
+ 3c07: 6ff1.*
+ 3c08: 077e.*
+ 3c09: 0f07.*
+ 3c0a: 6ff1.*
+ 3c0b: 077f.*
+ 3c0c: 0c08.*
+ 3c0d: 6ff1.*
+ 3c0e: 0780.*
+ 3c0f: 0d08.*
+ 3c10: 6ff1.*
+ 3c11: 0781.*
+ 3c12: 0c08.*
+ 3c13: 6ff1.*
+ 3c14: 0782.*
+ 3c15: 0e08.*
+ 3c16: 6ff1.*
+ 3c17: 0783.*
+ 3c18: 0f08.*
+ 3c19: 6ff1.*
+ 3c1a: 0784.*
+ 3c1b: 0f08.*
+ 3c1c: 6ff1.*
+ 3c1d: 0785.*
+ 3c1e: 0c09.*
+ 3c1f: 6ff1.*
+ 3c20: 0786.*
+ 3c21: 0d09.*
+ 3c22: 6ff1.*
+ 3c23: 0787.*
+ 3c24: 0c09.*
+ 3c25: 6ff1.*
+ 3c26: 0788.*
+ 3c27: 0e09.*
+ 3c28: 6ff1.*
+ 3c29: 0789.*
+ 3c2a: 0f09.*
+ 3c2b: 6ff1.*
+ 3c2c: 078a.*
+ 3c2d: 0f09.*
+ 3c2e: 6ff1.*
+ 3c2f: 078b.*
+ 3c30: 0c0a.*
+ 3c31: 6ff1.*
+ 3c32: 078c.*
+ 3c33: 0d0a.*
+ 3c34: 6ff1.*
+ 3c35: 078d.*
+ 3c36: 0c0a.*
+ 3c37: 6ff1.*
+ 3c38: 078e.*
+ 3c39: 0e0a.*
+ 3c3a: 6ff1.*
+ 3c3b: 078f.*
+ 3c3c: 0f0a.*
+ 3c3d: 6ff1.*
+ 3c3e: 0790.*
+ 3c3f: 0f0a.*
+ 3c40: 6ff1.*
+ 3c41: 0791.*
+ 3c42: 0c0b.*
+ 3c43: 6ff1.*
+ 3c44: 0792.*
+ 3c45: 0d0b.*
+ 3c46: 6ff1.*
+ 3c47: 0793.*
+ 3c48: 0c0b.*
+ 3c49: 6ff1.*
+ 3c4a: 0794.*
+ 3c4b: 0e0b.*
+ 3c4c: 6ff1.*
+ 3c4d: 0795.*
+ 3c4e: 0f0b.*
+ 3c4f: 6ff1.*
+ 3c50: 0796.*
+ 3c51: 0f0b.*
+ 3c52: 6ff1.*
+ 3c53: 0797.*
+ 3c54: 0c0c.*
+ 3c55: 6ff1.*
+ 3c56: 0798.*
+ 3c57: 0d0c.*
+ 3c58: 6ff1.*
+ 3c59: 0799.*
+ 3c5a: 0c0c.*
+ 3c5b: 6ff1.*
+ 3c5c: 079a.*
+ 3c5d: 0e0c.*
+ 3c5e: 6ff1.*
+ 3c5f: 079b.*
+ 3c60: 0f0c.*
+ 3c61: 6ff1.*
+ 3c62: 079c.*
+ 3c63: 0f0c.*
+ 3c64: 6ff1.*
+ 3c65: 079d.*
+ 3c66: 0c0d.*
+ 3c67: 6ff1.*
+ 3c68: 079e.*
+ 3c69: 0d0d.*
+ 3c6a: 6ff1.*
+ 3c6b: 079f.*
+ 3c6c: 0c0d.*
+ 3c6d: 6ff1.*
+ 3c6e: 07a0.*
+ 3c6f: 0e0d.*
+ 3c70: 6ff1.*
+ 3c71: 07a1.*
+ 3c72: 0f0d.*
+ 3c73: 6ff1.*
+ 3c74: 07a2.*
+ 3c75: 0f0d.*
+ 3c76: 6ff1.*
+ 3c77: 07a3.*
+ 3c78: 0c0e.*
+ 3c79: 6ff1.*
+ 3c7a: 07a4.*
+ 3c7b: 0d0e.*
+ 3c7c: 6ff1.*
+ 3c7d: 07a5.*
+ 3c7e: 0c0e.*
+ 3c7f: 6ff1.*
+ 3c80: 07a6.*
+ 3c81: 0e0e.*
+ 3c82: 6ff1.*
+ 3c83: 07a7.*
+ 3c84: 0f0e.*
+ 3c85: 6ff1.*
+ 3c86: 07a8.*
+ 3c87: 0f0e.*
+ 3c88: 6ff1.*
+ 3c89: 07a9.*
+ 3c8a: 0c0f.*
+ 3c8b: 6ff1.*
+ 3c8c: 07aa.*
+ 3c8d: 0d0f.*
+ 3c8e: 6ff1.*
+ 3c8f: 07ab.*
+ 3c90: 0c0f.*
+ 3c91: 6ff1.*
+ 3c92: 07ac.*
+ 3c93: 0e0f.*
+ 3c94: 6ff1.*
+ 3c95: 07ad.*
+ 3c96: 0f0f.*
+ 3c97: 6ff1.*
+ 3c98: 07ae.*
+ 3c99: 0f0f.*
+ 3c9a: 00f8.*
+ 3c9b: 07af.*
+ 3c9c: 6ff8.*
+ 3c9d: 07b0.*
+ 3c9e: 0d00.*
+ 3c9f: 00f8.*
+ 3ca0: 07b1.*
+ 3ca1: 6ff8.*
+ 3ca2: 07b2.*
+ 3ca3: 0e00.*
+ 3ca4: 01f8.*
+ 3ca5: 07b3.*
+ 3ca6: 01f8.*
+ 3ca7: 07b4.*
+ 3ca8: 6ff8.*
+ 3ca9: 07b5.*
+ 3caa: 0c10.*
+ 3cab: 6ff8.*
+ 3cac: 07b6.*
+ 3cad: 0d10.*
+ 3cae: 6ff8.*
+ 3caf: 07b7.*
+ 3cb0: 0c10.*
+ 3cb1: 6ff8.*
+ 3cb2: 07b8.*
+ 3cb3: 0e10.*
+ 3cb4: 6ff8.*
+ 3cb5: 07b9.*
+ 3cb6: 0f10.*
+ 3cb7: 6ff8.*
+ 3cb8: 07ba.*
+ 3cb9: 0f10.*
+ 3cba: 6ff8.*
+ 3cbb: 07bb.*
+ 3cbc: 0c11.*
+ 3cbd: 6ff8.*
+ 3cbe: 07bc.*
+ 3cbf: 0d11.*
+ 3cc0: 6ff8.*
+ 3cc1: 07bd.*
+ 3cc2: 0c11.*
+ 3cc3: 6ff8.*
+ 3cc4: 07be.*
+ 3cc5: 0e11.*
+ 3cc6: 6ff8.*
+ 3cc7: 07bf.*
+ 3cc8: 0f11.*
+ 3cc9: 6ff8.*
+ 3cca: 07c0.*
+ 3ccb: 0f11.*
+ 3ccc: 6ff8.*
+ 3ccd: 07c1.*
+ 3cce: 0c12.*
+ 3ccf: 6ff8.*
+ 3cd0: 07c2.*
+ 3cd1: 0d12.*
+ 3cd2: 6ff8.*
+ 3cd3: 07c3.*
+ 3cd4: 0c12.*
+ 3cd5: 6ff8.*
+ 3cd6: 07c4.*
+ 3cd7: 0e12.*
+ 3cd8: 6ff8.*
+ 3cd9: 07c5.*
+ 3cda: 0f12.*
+ 3cdb: 6ff8.*
+ 3cdc: 07c6.*
+ 3cdd: 0f12.*
+ 3cde: 6ff8.*
+ 3cdf: 07c7.*
+ 3ce0: 0c13.*
+ 3ce1: 6ff8.*
+ 3ce2: 07c8.*
+ 3ce3: 0d13.*
+ 3ce4: 6ff8.*
+ 3ce5: 07c9.*
+ 3ce6: 0c13.*
+ 3ce7: 6ff8.*
+ 3ce8: 07ca.*
+ 3ce9: 0e13.*
+ 3cea: 6ff8.*
+ 3ceb: 07cb.*
+ 3cec: 0f13.*
+ 3ced: 6ff8.*
+ 3cee: 07cc.*
+ 3cef: 0f13.*
+ 3cf0: 6ff8.*
+ 3cf1: 07cd.*
+ 3cf2: 0c14.*
+ 3cf3: 6ff8.*
+ 3cf4: 07ce.*
+ 3cf5: 0d14.*
+ 3cf6: 6ff8.*
+ 3cf7: 07cf.*
+ 3cf8: 0c14.*
+ 3cf9: 6ff8.*
+ 3cfa: 07d0.*
+ 3cfb: 0e14.*
+ 3cfc: 6ff8.*
+ 3cfd: 07d1.*
+ 3cfe: 0f14.*
+ 3cff: 6ff8.*
+ 3d00: 07d2.*
+ 3d01: 0f14.*
+ 3d02: 6ff8.*
+ 3d03: 07d3.*
+ 3d04: 0c15.*
+ 3d05: 6ff8.*
+ 3d06: 07d4.*
+ 3d07: 0d15.*
+ 3d08: 6ff8.*
+ 3d09: 07d5.*
+ 3d0a: 0c15.*
+ 3d0b: 6ff8.*
+ 3d0c: 07d6.*
+ 3d0d: 0e15.*
+ 3d0e: 6ff8.*
+ 3d0f: 07d7.*
+ 3d10: 0f15.*
+ 3d11: 6ff8.*
+ 3d12: 07d8.*
+ 3d13: 0f15.*
+ 3d14: 6ff8.*
+ 3d15: 07d9.*
+ 3d16: 0c16.*
+ 3d17: 6ff8.*
+ 3d18: 07da.*
+ 3d19: 0d16.*
+ 3d1a: 6ff8.*
+ 3d1b: 07db.*
+ 3d1c: 0c16.*
+ 3d1d: 6ff8.*
+ 3d1e: 07dc.*
+ 3d1f: 0e16.*
+ 3d20: 6ff8.*
+ 3d21: 07dd.*
+ 3d22: 0f16.*
+ 3d23: 6ff8.*
+ 3d24: 07de.*
+ 3d25: 0f16.*
+ 3d26: 6ff8.*
+ 3d27: 07df.*
+ 3d28: 0c17.*
+ 3d29: 6ff8.*
+ 3d2a: 07e0.*
+ 3d2b: 0d17.*
+ 3d2c: 6ff8.*
+ 3d2d: 07e1.*
+ 3d2e: 0c17.*
+ 3d2f: 6ff8.*
+ 3d30: 07e2.*
+ 3d31: 0e17.*
+ 3d32: 6ff8.*
+ 3d33: 07e3.*
+ 3d34: 0f17.*
+ 3d35: 6ff8.*
+ 3d36: 07e4.*
+ 3d37: 0f17.*
+ 3d38: 6ff8.*
+ 3d39: 07e5.*
+ 3d3a: 0c18.*
+ 3d3b: 6ff8.*
+ 3d3c: 07e6.*
+ 3d3d: 0d18.*
+ 3d3e: 6ff8.*
+ 3d3f: 07e7.*
+ 3d40: 0c18.*
+ 3d41: 6ff8.*
+ 3d42: 07e8.*
+ 3d43: 0e18.*
+ 3d44: 6ff8.*
+ 3d45: 07e9.*
+ 3d46: 0f18.*
+ 3d47: 6ff8.*
+ 3d48: 07ea.*
+ 3d49: 0f18.*
+ 3d4a: 6ff8.*
+ 3d4b: 07eb.*
+ 3d4c: 0c19.*
+ 3d4d: 6ff8.*
+ 3d4e: 07ec.*
+ 3d4f: 0d19.*
+ 3d50: 6ff8.*
+ 3d51: 07ed.*
+ 3d52: 0c19.*
+ 3d53: 6ff8.*
+ 3d54: 07ee.*
+ 3d55: 0e19.*
+ 3d56: 6ff8.*
+ 3d57: 07ef.*
+ 3d58: 0f19.*
+ 3d59: 6ff8.*
+ 3d5a: 07f0.*
+ 3d5b: 0f19.*
+ 3d5c: 6ff8.*
+ 3d5d: 07f1.*
+ 3d5e: 0c1a.*
+ 3d5f: 6ff8.*
+ 3d60: 07f2.*
+ 3d61: 0d1a.*
+ 3d62: 6ff8.*
+ 3d63: 07f3.*
+ 3d64: 0c1a.*
+ 3d65: 6ff8.*
+ 3d66: 07f4.*
+ 3d67: 0e1a.*
+ 3d68: 6ff8.*
+ 3d69: 07f5.*
+ 3d6a: 0f1a.*
+ 3d6b: 6ff8.*
+ 3d6c: 07f6.*
+ 3d6d: 0f1a.*
+ 3d6e: 6ff8.*
+ 3d6f: 07f7.*
+ 3d70: 0c1b.*
+ 3d71: 6ff8.*
+ 3d72: 07f8.*
+ 3d73: 0d1b.*
+ 3d74: 6ff8.*
+ 3d75: 07f9.*
+ 3d76: 0c1b.*
+ 3d77: 6ff8.*
+ 3d78: 07fa.*
+ 3d79: 0e1b.*
+ 3d7a: 6ff8.*
+ 3d7b: 07fb.*
+ 3d7c: 0f1b.*
+ 3d7d: 6ff8.*
+ 3d7e: 07fc.*
+ 3d7f: 0f1b.*
+ 3d80: 6ff8.*
+ 3d81: 07fd.*
+ 3d82: 0c1c.*
+ 3d83: 6ff8.*
+ 3d84: 07fe.*
+ 3d85: 0d1c.*
+ 3d86: 6ff8.*
+ 3d87: 07ff.*
+ 3d88: 0c1c.*
+ 3d89: 6ff8.*
+ 3d8a: 0800.*
+ 3d8b: 0e1c.*
+ 3d8c: 6ff8.*
+ 3d8d: 0801.*
+ 3d8e: 0f1c.*
+ 3d8f: 6ff8.*
+ 3d90: 0802.*
+ 3d91: 0f1c.*
+ 3d92: 6ff8.*
+ 3d93: 0803.*
+ 3d94: 0c1d.*
+ 3d95: 6ff8.*
+ 3d96: 0804.*
+ 3d97: 0d1d.*
+ 3d98: 6ff8.*
+ 3d99: 0805.*
+ 3d9a: 0c1d.*
+ 3d9b: 6ff8.*
+ 3d9c: 0806.*
+ 3d9d: 0e1d.*
+ 3d9e: 6ff8.*
+ 3d9f: 0807.*
+ 3da0: 0f1d.*
+ 3da1: 6ff8.*
+ 3da2: 0808.*
+ 3da3: 0f1d.*
+ 3da4: 6ff8.*
+ 3da5: 0809.*
+ 3da6: 0c1e.*
+ 3da7: 6ff8.*
+ 3da8: 080a.*
+ 3da9: 0d1e.*
+ 3daa: 6ff8.*
+ 3dab: 080b.*
+ 3dac: 0c1e.*
+ 3dad: 6ff8.*
+ 3dae: 080c.*
+ 3daf: 0e1e.*
+ 3db0: 6ff8.*
+ 3db1: 080d.*
+ 3db2: 0f1e.*
+ 3db3: 6ff8.*
+ 3db4: 080e.*
+ 3db5: 0f1e.*
+ 3db6: 6ff8.*
+ 3db7: 080f.*
+ 3db8: 0c1f.*
+ 3db9: 6ff8.*
+ 3dba: 0810.*
+ 3dbb: 0d1f.*
+ 3dbc: 6ff8.*
+ 3dbd: 0811.*
+ 3dbe: 0c1f.*
+ 3dbf: 6ff8.*
+ 3dc0: 0812.*
+ 3dc1: 0e1f.*
+ 3dc2: 6ff8.*
+ 3dc3: 0813.*
+ 3dc4: 0f1f.*
+ 3dc5: 6ff8.*
+ 3dc6: 0814.*
+ 3dc7: 0f1f.*
+ 3dc8: 00f8.*
+ 3dc9: 0815.*
+ 3dca: 6ff8.*
+ 3dcb: 0816.*
+ 3dcc: 0d00.*
+ 3dcd: 00f8.*
+ 3dce: 0817.*
+ 3dcf: 6ff8.*
+ 3dd0: 0818.*
+ 3dd1: 0e00.*
+ 3dd2: 01f8.*
+ 3dd3: 0819.*
+ 3dd4: 01f8.*
+ 3dd5: 081a.*
+ 3dd6: 6ff8.*
+ 3dd7: 081b.*
+ 3dd8: 0c01.*
+ 3dd9: 6ff8.*
+ 3dda: 081c.*
+ 3ddb: 0d01.*
+ 3ddc: 6ff8.*
+ 3ddd: 081d.*
+ 3dde: 0c01.*
+ 3ddf: 6ff8.*
+ 3de0: 081e.*
+ 3de1: 0e01.*
+ 3de2: 6ff8.*
+ 3de3: 081f.*
+ 3de4: 0f01.*
+ 3de5: 6ff8.*
+ 3de6: 0820.*
+ 3de7: 0f01.*
+ 3de8: 6ff8.*
+ 3de9: 0821.*
+ 3dea: 0c02.*
+ 3deb: 6ff8.*
+ 3dec: 0822.*
+ 3ded: 0d02.*
+ 3dee: 6ff8.*
+ 3def: 0823.*
+ 3df0: 0c02.*
+ 3df1: 6ff8.*
+ 3df2: 0824.*
+ 3df3: 0e02.*
+ 3df4: 6ff8.*
+ 3df5: 0825.*
+ 3df6: 0f02.*
+ 3df7: 6ff8.*
+ 3df8: 0826.*
+ 3df9: 0f02.*
+ 3dfa: 6ff8.*
+ 3dfb: 0827.*
+ 3dfc: 0c03.*
+ 3dfd: 6ff8.*
+ 3dfe: 0828.*
+ 3dff: 0d03.*
+ 3e00: 6ff8.*
+ 3e01: 0829.*
+ 3e02: 0c03.*
+ 3e03: 6ff8.*
+ 3e04: 082a.*
+ 3e05: 0e03.*
+ 3e06: 6ff8.*
+ 3e07: 082b.*
+ 3e08: 0f03.*
+ 3e09: 6ff8.*
+ 3e0a: 082c.*
+ 3e0b: 0f03.*
+ 3e0c: 6ff8.*
+ 3e0d: 082d.*
+ 3e0e: 0c04.*
+ 3e0f: 6ff8.*
+ 3e10: 082e.*
+ 3e11: 0d04.*
+ 3e12: 6ff8.*
+ 3e13: 082f.*
+ 3e14: 0c04.*
+ 3e15: 6ff8.*
+ 3e16: 0830.*
+ 3e17: 0e04.*
+ 3e18: 6ff8.*
+ 3e19: 0831.*
+ 3e1a: 0f04.*
+ 3e1b: 6ff8.*
+ 3e1c: 0832.*
+ 3e1d: 0f04.*
+ 3e1e: 6ff8.*
+ 3e1f: 0833.*
+ 3e20: 0c05.*
+ 3e21: 6ff8.*
+ 3e22: 0834.*
+ 3e23: 0d05.*
+ 3e24: 6ff8.*
+ 3e25: 0835.*
+ 3e26: 0c05.*
+ 3e27: 6ff8.*
+ 3e28: 0836.*
+ 3e29: 0e05.*
+ 3e2a: 6ff8.*
+ 3e2b: 0837.*
+ 3e2c: 0f05.*
+ 3e2d: 6ff8.*
+ 3e2e: 0838.*
+ 3e2f: 0f05.*
+ 3e30: 6ff8.*
+ 3e31: 0839.*
+ 3e32: 0c06.*
+ 3e33: 6ff8.*
+ 3e34: 083a.*
+ 3e35: 0d06.*
+ 3e36: 6ff8.*
+ 3e37: 083b.*
+ 3e38: 0c06.*
+ 3e39: 6ff8.*
+ 3e3a: 083c.*
+ 3e3b: 0e06.*
+ 3e3c: 6ff8.*
+ 3e3d: 083d.*
+ 3e3e: 0f06.*
+ 3e3f: 6ff8.*
+ 3e40: 083e.*
+ 3e41: 0f06.*
+ 3e42: 6ff8.*
+ 3e43: 083f.*
+ 3e44: 0c07.*
+ 3e45: 6ff8.*
+ 3e46: 0840.*
+ 3e47: 0d07.*
+ 3e48: 6ff8.*
+ 3e49: 0841.*
+ 3e4a: 0c07.*
+ 3e4b: 6ff8.*
+ 3e4c: 0842.*
+ 3e4d: 0e07.*
+ 3e4e: 6ff8.*
+ 3e4f: 0843.*
+ 3e50: 0f07.*
+ 3e51: 6ff8.*
+ 3e52: 0844.*
+ 3e53: 0f07.*
+ 3e54: 6ff8.*
+ 3e55: 0845.*
+ 3e56: 0c08.*
+ 3e57: 6ff8.*
+ 3e58: 0846.*
+ 3e59: 0d08.*
+ 3e5a: 6ff8.*
+ 3e5b: 0847.*
+ 3e5c: 0c08.*
+ 3e5d: 6ff8.*
+ 3e5e: 0848.*
+ 3e5f: 0e08.*
+ 3e60: 6ff8.*
+ 3e61: 0849.*
+ 3e62: 0f08.*
+ 3e63: 6ff8.*
+ 3e64: 084a.*
+ 3e65: 0f08.*
+ 3e66: 6ff8.*
+ 3e67: 084b.*
+ 3e68: 0c09.*
+ 3e69: 6ff8.*
+ 3e6a: 084c.*
+ 3e6b: 0d09.*
+ 3e6c: 6ff8.*
+ 3e6d: 084d.*
+ 3e6e: 0c09.*
+ 3e6f: 6ff8.*
+ 3e70: 084e.*
+ 3e71: 0e09.*
+ 3e72: 6ff8.*
+ 3e73: 084f.*
+ 3e74: 0f09.*
+ 3e75: 6ff8.*
+ 3e76: 0850.*
+ 3e77: 0f09.*
+ 3e78: 6ff8.*
+ 3e79: 0851.*
+ 3e7a: 0c0a.*
+ 3e7b: 6ff8.*
+ 3e7c: 0852.*
+ 3e7d: 0d0a.*
+ 3e7e: 6ff8.*
+ 3e7f: 0853.*
+ 3e80: 0c0a.*
+ 3e81: 6ff8.*
+ 3e82: 0854.*
+ 3e83: 0e0a.*
+ 3e84: 6ff8.*
+ 3e85: 0855.*
+ 3e86: 0f0a.*
+ 3e87: 6ff8.*
+ 3e88: 0856.*
+ 3e89: 0f0a.*
+ 3e8a: 6ff8.*
+ 3e8b: 0857.*
+ 3e8c: 0c0b.*
+ 3e8d: 6ff8.*
+ 3e8e: 0858.*
+ 3e8f: 0d0b.*
+ 3e90: 6ff8.*
+ 3e91: 0859.*
+ 3e92: 0c0b.*
+ 3e93: 6ff8.*
+ 3e94: 085a.*
+ 3e95: 0e0b.*
+ 3e96: 6ff8.*
+ 3e97: 085b.*
+ 3e98: 0f0b.*
+ 3e99: 6ff8.*
+ 3e9a: 085c.*
+ 3e9b: 0f0b.*
+ 3e9c: 6ff8.*
+ 3e9d: 085d.*
+ 3e9e: 0c0c.*
+ 3e9f: 6ff8.*
+ 3ea0: 085e.*
+ 3ea1: 0d0c.*
+ 3ea2: 6ff8.*
+ 3ea3: 085f.*
+ 3ea4: 0c0c.*
+ 3ea5: 6ff8.*
+ 3ea6: 0860.*
+ 3ea7: 0e0c.*
+ 3ea8: 6ff8.*
+ 3ea9: 0861.*
+ 3eaa: 0f0c.*
+ 3eab: 6ff8.*
+ 3eac: 0862.*
+ 3ead: 0f0c.*
+ 3eae: 6ff8.*
+ 3eaf: 0863.*
+ 3eb0: 0c0d.*
+ 3eb1: 6ff8.*
+ 3eb2: 0864.*
+ 3eb3: 0d0d.*
+ 3eb4: 6ff8.*
+ 3eb5: 0865.*
+ 3eb6: 0c0d.*
+ 3eb7: 6ff8.*
+ 3eb8: 0866.*
+ 3eb9: 0e0d.*
+ 3eba: 6ff8.*
+ 3ebb: 0867.*
+ 3ebc: 0f0d.*
+ 3ebd: 6ff8.*
+ 3ebe: 0868.*
+ 3ebf: 0f0d.*
+ 3ec0: 6ff8.*
+ 3ec1: 0869.*
+ 3ec2: 0c0e.*
+ 3ec3: 6ff8.*
+ 3ec4: 086a.*
+ 3ec5: 0d0e.*
+ 3ec6: 6ff8.*
+ 3ec7: 086b.*
+ 3ec8: 0c0e.*
+ 3ec9: 6ff8.*
+ 3eca: 086c.*
+ 3ecb: 0e0e.*
+ 3ecc: 6ff8.*
+ 3ecd: 086d.*
+ 3ece: 0f0e.*
+ 3ecf: 6ff8.*
+ 3ed0: 086e.*
+ 3ed1: 0f0e.*
+ 3ed2: 6ff8.*
+ 3ed3: 086f.*
+ 3ed4: 0c0f.*
+ 3ed5: 6ff8.*
+ 3ed6: 0870.*
+ 3ed7: 0d0f.*
+ 3ed8: 6ff8.*
+ 3ed9: 0871.*
+ 3eda: 0c0f.*
+ 3edb: 6ff8.*
+ 3edc: 0872.*
+ 3edd: 0e0f.*
+ 3ede: 6ff8.*
+ 3edf: 0873.*
+ 3ee0: 0f0f.*
+ 3ee1: 6ff8.*
+ 3ee2: 0874.*
+ 3ee3: 0f0f.*
+ 3ee4: 0082.*
+ 3ee5: 6f82.*
+ 3ee6: 0d00.*
+ 3ee7: 0082.*
+ 3ee8: 6f82.*
+ 3ee9: 0e00.*
+ 3eea: 0182.*
+ 3eeb: 0182.*
+ 3eec: 6f82.*
+ 3eed: 0c10.*
+ 3eee: 6f82.*
+ 3eef: 0d10.*
+ 3ef0: 6f82.*
+ 3ef1: 0c10.*
+ 3ef2: 6f82.*
+ 3ef3: 0e10.*
+ 3ef4: 6f82.*
+ 3ef5: 0f10.*
+ 3ef6: 6f82.*
+ 3ef7: 0f10.*
+ 3ef8: 6f82.*
+ 3ef9: 0c11.*
+ 3efa: 6f82.*
+ 3efb: 0d11.*
+ 3efc: 6f82.*
+ 3efd: 0c11.*
+ 3efe: 6f82.*
+ 3eff: 0e11.*
+ 3f00: 6f82.*
+ 3f01: 0f11.*
+ 3f02: 6f82.*
+ 3f03: 0f11.*
+ 3f04: 6f82.*
+ 3f05: 0c12.*
+ 3f06: 6f82.*
+ 3f07: 0d12.*
+ 3f08: 6f82.*
+ 3f09: 0c12.*
+ 3f0a: 6f82.*
+ 3f0b: 0e12.*
+ 3f0c: 6f82.*
+ 3f0d: 0f12.*
+ 3f0e: 6f82.*
+ 3f0f: 0f12.*
+ 3f10: 6f82.*
+ 3f11: 0c13.*
+ 3f12: 6f82.*
+ 3f13: 0d13.*
+ 3f14: 6f82.*
+ 3f15: 0c13.*
+ 3f16: 6f82.*
+ 3f17: 0e13.*
+ 3f18: 6f82.*
+ 3f19: 0f13.*
+ 3f1a: 6f82.*
+ 3f1b: 0f13.*
+ 3f1c: 6f82.*
+ 3f1d: 0c14.*
+ 3f1e: 6f82.*
+ 3f1f: 0d14.*
+ 3f20: 6f82.*
+ 3f21: 0c14.*
+ 3f22: 6f82.*
+ 3f23: 0e14.*
+ 3f24: 6f82.*
+ 3f25: 0f14.*
+ 3f26: 6f82.*
+ 3f27: 0f14.*
+ 3f28: 6f82.*
+ 3f29: 0c15.*
+ 3f2a: 6f82.*
+ 3f2b: 0d15.*
+ 3f2c: 6f82.*
+ 3f2d: 0c15.*
+ 3f2e: 6f82.*
+ 3f2f: 0e15.*
+ 3f30: 6f82.*
+ 3f31: 0f15.*
+ 3f32: 6f82.*
+ 3f33: 0f15.*
+ 3f34: 6f82.*
+ 3f35: 0c16.*
+ 3f36: 6f82.*
+ 3f37: 0d16.*
+ 3f38: 6f82.*
+ 3f39: 0c16.*
+ 3f3a: 6f82.*
+ 3f3b: 0e16.*
+ 3f3c: 6f82.*
+ 3f3d: 0f16.*
+ 3f3e: 6f82.*
+ 3f3f: 0f16.*
+ 3f40: 6f82.*
+ 3f41: 0c17.*
+ 3f42: 6f82.*
+ 3f43: 0d17.*
+ 3f44: 6f82.*
+ 3f45: 0c17.*
+ 3f46: 6f82.*
+ 3f47: 0e17.*
+ 3f48: 6f82.*
+ 3f49: 0f17.*
+ 3f4a: 6f82.*
+ 3f4b: 0f17.*
+ 3f4c: 6f82.*
+ 3f4d: 0c18.*
+ 3f4e: 6f82.*
+ 3f4f: 0d18.*
+ 3f50: 6f82.*
+ 3f51: 0c18.*
+ 3f52: 6f82.*
+ 3f53: 0e18.*
+ 3f54: 6f82.*
+ 3f55: 0f18.*
+ 3f56: 6f82.*
+ 3f57: 0f18.*
+ 3f58: 6f82.*
+ 3f59: 0c19.*
+ 3f5a: 6f82.*
+ 3f5b: 0d19.*
+ 3f5c: 6f82.*
+ 3f5d: 0c19.*
+ 3f5e: 6f82.*
+ 3f5f: 0e19.*
+ 3f60: 6f82.*
+ 3f61: 0f19.*
+ 3f62: 6f82.*
+ 3f63: 0f19.*
+ 3f64: 6f82.*
+ 3f65: 0c1a.*
+ 3f66: 6f82.*
+ 3f67: 0d1a.*
+ 3f68: 6f82.*
+ 3f69: 0c1a.*
+ 3f6a: 6f82.*
+ 3f6b: 0e1a.*
+ 3f6c: 6f82.*
+ 3f6d: 0f1a.*
+ 3f6e: 6f82.*
+ 3f6f: 0f1a.*
+ 3f70: 6f82.*
+ 3f71: 0c1b.*
+ 3f72: 6f82.*
+ 3f73: 0d1b.*
+ 3f74: 6f82.*
+ 3f75: 0c1b.*
+ 3f76: 6f82.*
+ 3f77: 0e1b.*
+ 3f78: 6f82.*
+ 3f79: 0f1b.*
+ 3f7a: 6f82.*
+ 3f7b: 0f1b.*
+ 3f7c: 6f82.*
+ 3f7d: 0c1c.*
+ 3f7e: 6f82.*
+ 3f7f: 0d1c.*
+ 3f80: 6f82.*
+ 3f81: 0c1c.*
+ 3f82: 6f82.*
+ 3f83: 0e1c.*
+ 3f84: 6f82.*
+ 3f85: 0f1c.*
+ 3f86: 6f82.*
+ 3f87: 0f1c.*
+ 3f88: 6f82.*
+ 3f89: 0c1d.*
+ 3f8a: 6f82.*
+ 3f8b: 0d1d.*
+ 3f8c: 6f82.*
+ 3f8d: 0c1d.*
+ 3f8e: 6f82.*
+ 3f8f: 0e1d.*
+ 3f90: 6f82.*
+ 3f91: 0f1d.*
+ 3f92: 6f82.*
+ 3f93: 0f1d.*
+ 3f94: 6f82.*
+ 3f95: 0c1e.*
+ 3f96: 6f82.*
+ 3f97: 0d1e.*
+ 3f98: 6f82.*
+ 3f99: 0c1e.*
+ 3f9a: 6f82.*
+ 3f9b: 0e1e.*
+ 3f9c: 6f82.*
+ 3f9d: 0f1e.*
+ 3f9e: 6f82.*
+ 3f9f: 0f1e.*
+ 3fa0: 6f82.*
+ 3fa1: 0c1f.*
+ 3fa2: 6f82.*
+ 3fa3: 0d1f.*
+ 3fa4: 6f82.*
+ 3fa5: 0c1f.*
+ 3fa6: 6f82.*
+ 3fa7: 0e1f.*
+ 3fa8: 6f82.*
+ 3fa9: 0f1f.*
+ 3faa: 6f82.*
+ 3fab: 0f1f.*
+ 3fac: 0082.*
+ 3fad: 6f82.*
+ 3fae: 0d00.*
+ 3faf: 0082.*
+ 3fb0: 6f82.*
+ 3fb1: 0e00.*
+ 3fb2: 0182.*
+ 3fb3: 0182.*
+ 3fb4: 9001.*
+ 3fb5: 6f82.*
+ 3fb6: 0d01.*
+ 3fb7: 9001.*
+ 3fb8: 6f82.*
+ 3fb9: 0e01.*
+ 3fba: 9101.*
+ 3fbb: 9101.*
+ 3fbc: 9002.*
+ 3fbd: 6f82.*
+ 3fbe: 0d02.*
+ 3fbf: 9002.*
+ 3fc0: 6f82.*
+ 3fc1: 0e02.*
+ 3fc2: 9102.*
+ 3fc3: 9102.*
+ 3fc4: 9003.*
+ 3fc5: 6f82.*
+ 3fc6: 0d03.*
+ 3fc7: 9003.*
+ 3fc8: 6f82.*
+ 3fc9: 0e03.*
+ 3fca: 9103.*
+ 3fcb: 9103.*
+ 3fcc: 9004.*
+ 3fcd: 6f82.*
+ 3fce: 0d04.*
+ 3fcf: 9004.*
+ 3fd0: 6f82.*
+ 3fd1: 0e04.*
+ 3fd2: 9104.*
+ 3fd3: 9104.*
+ 3fd4: 9005.*
+ 3fd5: 6f82.*
+ 3fd6: 0d05.*
+ 3fd7: 9005.*
+ 3fd8: 6f82.*
+ 3fd9: 0e05.*
+ 3fda: 9105.*
+ 3fdb: 9105.*
+ 3fdc: 9006.*
+ 3fdd: 6f82.*
+ 3fde: 0d06.*
+ 3fdf: 9006.*
+ 3fe0: 6f82.*
+ 3fe1: 0e06.*
+ 3fe2: 9106.*
+ 3fe3: 9106.*
+ 3fe4: 9007.*
+ 3fe5: 6f82.*
+ 3fe6: 0d07.*
+ 3fe7: 9007.*
+ 3fe8: 6f82.*
+ 3fe9: 0e07.*
+ 3fea: 9107.*
+ 3feb: 9107.*
+ 3fec: 9008.*
+ 3fed: 6f82.*
+ 3fee: 0d08.*
+ 3fef: 9008.*
+ 3ff0: 6f82.*
+ 3ff1: 0e08.*
+ 3ff2: 9108.*
+ 3ff3: 9108.*
+ 3ff4: 9009.*
+ 3ff5: 6f82.*
+ 3ff6: 0d09.*
+ 3ff7: 9009.*
+ 3ff8: 6f82.*
+ 3ff9: 0e09.*
+ 3ffa: 9109.*
+ 3ffb: 9109.*
+ 3ffc: 900a.*
+ 3ffd: 6f82.*
+ 3ffe: 0d0a.*
+ 3fff: 900a.*
+ 4000: 6f82.*
+ 4001: 0e0a.*
+ 4002: 910a.*
+ 4003: 910a.*
+ 4004: 900b.*
+ 4005: 6f82.*
+ 4006: 0d0b.*
+ 4007: 900b.*
+ 4008: 6f82.*
+ 4009: 0e0b.*
+ 400a: 910b.*
+ 400b: 910b.*
+ 400c: 900c.*
+ 400d: 6f82.*
+ 400e: 0d0c.*
+ 400f: 900c.*
+ 4010: 6f82.*
+ 4011: 0e0c.*
+ 4012: 910c.*
+ 4013: 910c.*
+ 4014: 900d.*
+ 4015: 6f82.*
+ 4016: 0d0d.*
+ 4017: 900d.*
+ 4018: 6f82.*
+ 4019: 0e0d.*
+ 401a: 910d.*
+ 401b: 910d.*
+ 401c: 900e.*
+ 401d: 6f82.*
+ 401e: 0d0e.*
+ 401f: 900e.*
+ 4020: 6f82.*
+ 4021: 0e0e.*
+ 4022: 910e.*
+ 4023: 910e.*
+ 4024: 900f.*
+ 4025: 6f82.*
+ 4026: 0d0f.*
+ 4027: 900f.*
+ 4028: 6f82.*
+ 4029: 0e0f.*
+ 402a: 910f.*
+ 402b: 910f.*
+ 402c: 008a.*
+ 402d: 6f8a.*
+ 402e: 0d00.*
+ 402f: 008a.*
+ 4030: 6f8a.*
+ 4031: 0e00.*
+ 4032: 018a.*
+ 4033: 018a.*
+ 4034: 6f8a.*
+ 4035: 0c10.*
+ 4036: 6f8a.*
+ 4037: 0d10.*
+ 4038: 6f8a.*
+ 4039: 0c10.*
+ 403a: 6f8a.*
+ 403b: 0e10.*
+ 403c: 6f8a.*
+ 403d: 0f10.*
+ 403e: 6f8a.*
+ 403f: 0f10.*
+ 4040: 6f8a.*
+ 4041: 0c11.*
+ 4042: 6f8a.*
+ 4043: 0d11.*
+ 4044: 6f8a.*
+ 4045: 0c11.*
+ 4046: 6f8a.*
+ 4047: 0e11.*
+ 4048: 6f8a.*
+ 4049: 0f11.*
+ 404a: 6f8a.*
+ 404b: 0f11.*
+ 404c: 6f8a.*
+ 404d: 0c12.*
+ 404e: 6f8a.*
+ 404f: 0d12.*
+ 4050: 6f8a.*
+ 4051: 0c12.*
+ 4052: 6f8a.*
+ 4053: 0e12.*
+ 4054: 6f8a.*
+ 4055: 0f12.*
+ 4056: 6f8a.*
+ 4057: 0f12.*
+ 4058: 6f8a.*
+ 4059: 0c13.*
+ 405a: 6f8a.*
+ 405b: 0d13.*
+ 405c: 6f8a.*
+ 405d: 0c13.*
+ 405e: 6f8a.*
+ 405f: 0e13.*
+ 4060: 6f8a.*
+ 4061: 0f13.*
+ 4062: 6f8a.*
+ 4063: 0f13.*
+ 4064: 6f8a.*
+ 4065: 0c14.*
+ 4066: 6f8a.*
+ 4067: 0d14.*
+ 4068: 6f8a.*
+ 4069: 0c14.*
+ 406a: 6f8a.*
+ 406b: 0e14.*
+ 406c: 6f8a.*
+ 406d: 0f14.*
+ 406e: 6f8a.*
+ 406f: 0f14.*
+ 4070: 6f8a.*
+ 4071: 0c15.*
+ 4072: 6f8a.*
+ 4073: 0d15.*
+ 4074: 6f8a.*
+ 4075: 0c15.*
+ 4076: 6f8a.*
+ 4077: 0e15.*
+ 4078: 6f8a.*
+ 4079: 0f15.*
+ 407a: 6f8a.*
+ 407b: 0f15.*
+ 407c: 6f8a.*
+ 407d: 0c16.*
+ 407e: 6f8a.*
+ 407f: 0d16.*
+ 4080: 6f8a.*
+ 4081: 0c16.*
+ 4082: 6f8a.*
+ 4083: 0e16.*
+ 4084: 6f8a.*
+ 4085: 0f16.*
+ 4086: 6f8a.*
+ 4087: 0f16.*
+ 4088: 6f8a.*
+ 4089: 0c17.*
+ 408a: 6f8a.*
+ 408b: 0d17.*
+ 408c: 6f8a.*
+ 408d: 0c17.*
+ 408e: 6f8a.*
+ 408f: 0e17.*
+ 4090: 6f8a.*
+ 4091: 0f17.*
+ 4092: 6f8a.*
+ 4093: 0f17.*
+ 4094: 6f8a.*
+ 4095: 0c18.*
+ 4096: 6f8a.*
+ 4097: 0d18.*
+ 4098: 6f8a.*
+ 4099: 0c18.*
+ 409a: 6f8a.*
+ 409b: 0e18.*
+ 409c: 6f8a.*
+ 409d: 0f18.*
+ 409e: 6f8a.*
+ 409f: 0f18.*
+ 40a0: 6f8a.*
+ 40a1: 0c19.*
+ 40a2: 6f8a.*
+ 40a3: 0d19.*
+ 40a4: 6f8a.*
+ 40a5: 0c19.*
+ 40a6: 6f8a.*
+ 40a7: 0e19.*
+ 40a8: 6f8a.*
+ 40a9: 0f19.*
+ 40aa: 6f8a.*
+ 40ab: 0f19.*
+ 40ac: 6f8a.*
+ 40ad: 0c1a.*
+ 40ae: 6f8a.*
+ 40af: 0d1a.*
+ 40b0: 6f8a.*
+ 40b1: 0c1a.*
+ 40b2: 6f8a.*
+ 40b3: 0e1a.*
+ 40b4: 6f8a.*
+ 40b5: 0f1a.*
+ 40b6: 6f8a.*
+ 40b7: 0f1a.*
+ 40b8: 6f8a.*
+ 40b9: 0c1b.*
+ 40ba: 6f8a.*
+ 40bb: 0d1b.*
+ 40bc: 6f8a.*
+ 40bd: 0c1b.*
+ 40be: 6f8a.*
+ 40bf: 0e1b.*
+ 40c0: 6f8a.*
+ 40c1: 0f1b.*
+ 40c2: 6f8a.*
+ 40c3: 0f1b.*
+ 40c4: 6f8a.*
+ 40c5: 0c1c.*
+ 40c6: 6f8a.*
+ 40c7: 0d1c.*
+ 40c8: 6f8a.*
+ 40c9: 0c1c.*
+ 40ca: 6f8a.*
+ 40cb: 0e1c.*
+ 40cc: 6f8a.*
+ 40cd: 0f1c.*
+ 40ce: 6f8a.*
+ 40cf: 0f1c.*
+ 40d0: 6f8a.*
+ 40d1: 0c1d.*
+ 40d2: 6f8a.*
+ 40d3: 0d1d.*
+ 40d4: 6f8a.*
+ 40d5: 0c1d.*
+ 40d6: 6f8a.*
+ 40d7: 0e1d.*
+ 40d8: 6f8a.*
+ 40d9: 0f1d.*
+ 40da: 6f8a.*
+ 40db: 0f1d.*
+ 40dc: 6f8a.*
+ 40dd: 0c1e.*
+ 40de: 6f8a.*
+ 40df: 0d1e.*
+ 40e0: 6f8a.*
+ 40e1: 0c1e.*
+ 40e2: 6f8a.*
+ 40e3: 0e1e.*
+ 40e4: 6f8a.*
+ 40e5: 0f1e.*
+ 40e6: 6f8a.*
+ 40e7: 0f1e.*
+ 40e8: 6f8a.*
+ 40e9: 0c1f.*
+ 40ea: 6f8a.*
+ 40eb: 0d1f.*
+ 40ec: 6f8a.*
+ 40ed: 0c1f.*
+ 40ee: 6f8a.*
+ 40ef: 0e1f.*
+ 40f0: 6f8a.*
+ 40f1: 0f1f.*
+ 40f2: 6f8a.*
+ 40f3: 0f1f.*
+ 40f4: 008a.*
+ 40f5: 6f8a.*
+ 40f6: 0d00.*
+ 40f7: 008a.*
+ 40f8: 6f8a.*
+ 40f9: 0e00.*
+ 40fa: 018a.*
+ 40fb: 018a.*
+ 40fc: 9041.*
+ 40fd: 6f8a.*
+ 40fe: 0d01.*
+ 40ff: 9041.*
+ 4100: 6f8a.*
+ 4101: 0e01.*
+ 4102: 9141.*
+ 4103: 9141.*
+ 4104: 9042.*
+ 4105: 6f8a.*
+ 4106: 0d02.*
+ 4107: 9042.*
+ 4108: 6f8a.*
+ 4109: 0e02.*
+ 410a: 9142.*
+ 410b: 9142.*
+ 410c: 9043.*
+ 410d: 6f8a.*
+ 410e: 0d03.*
+ 410f: 9043.*
+ 4110: 6f8a.*
+ 4111: 0e03.*
+ 4112: 9143.*
+ 4113: 9143.*
+ 4114: 9044.*
+ 4115: 6f8a.*
+ 4116: 0d04.*
+ 4117: 9044.*
+ 4118: 6f8a.*
+ 4119: 0e04.*
+ 411a: 9144.*
+ 411b: 9144.*
+ 411c: 9045.*
+ 411d: 6f8a.*
+ 411e: 0d05.*
+ 411f: 9045.*
+ 4120: 6f8a.*
+ 4121: 0e05.*
+ 4122: 9145.*
+ 4123: 9145.*
+ 4124: 9046.*
+ 4125: 6f8a.*
+ 4126: 0d06.*
+ 4127: 9046.*
+ 4128: 6f8a.*
+ 4129: 0e06.*
+ 412a: 9146.*
+ 412b: 9146.*
+ 412c: 9047.*
+ 412d: 6f8a.*
+ 412e: 0d07.*
+ 412f: 9047.*
+ 4130: 6f8a.*
+ 4131: 0e07.*
+ 4132: 9147.*
+ 4133: 9147.*
+ 4134: 9048.*
+ 4135: 6f8a.*
+ 4136: 0d08.*
+ 4137: 9048.*
+ 4138: 6f8a.*
+ 4139: 0e08.*
+ 413a: 9148.*
+ 413b: 9148.*
+ 413c: 9049.*
+ 413d: 6f8a.*
+ 413e: 0d09.*
+ 413f: 9049.*
+ 4140: 6f8a.*
+ 4141: 0e09.*
+ 4142: 9149.*
+ 4143: 9149.*
+ 4144: 904a.*
+ 4145: 6f8a.*
+ 4146: 0d0a.*
+ 4147: 904a.*
+ 4148: 6f8a.*
+ 4149: 0e0a.*
+ 414a: 914a.*
+ 414b: 914a.*
+ 414c: 904b.*
+ 414d: 6f8a.*
+ 414e: 0d0b.*
+ 414f: 904b.*
+ 4150: 6f8a.*
+ 4151: 0e0b.*
+ 4152: 914b.*
+ 4153: 914b.*
+ 4154: 904c.*
+ 4155: 6f8a.*
+ 4156: 0d0c.*
+ 4157: 904c.*
+ 4158: 6f8a.*
+ 4159: 0e0c.*
+ 415a: 914c.*
+ 415b: 914c.*
+ 415c: 904d.*
+ 415d: 6f8a.*
+ 415e: 0d0d.*
+ 415f: 904d.*
+ 4160: 6f8a.*
+ 4161: 0e0d.*
+ 4162: 914d.*
+ 4163: 914d.*
+ 4164: 904e.*
+ 4165: 6f8a.*
+ 4166: 0d0e.*
+ 4167: 904e.*
+ 4168: 6f8a.*
+ 4169: 0e0e.*
+ 416a: 914e.*
+ 416b: 914e.*
+ 416c: 904f.*
+ 416d: 6f8a.*
+ 416e: 0d0f.*
+ 416f: 904f.*
+ 4170: 6f8a.*
+ 4171: 0e0f.*
+ 4172: 914f.*
+ 4173: 914f.*
+ 4174: 0092.*
+ 4175: 6f92.*
+ 4176: 0d00.*
+ 4177: 0092.*
+ 4178: 6f92.*
+ 4179: 0e00.*
+ 417a: 0192.*
+ 417b: 0192.*
+ 417c: 6f92.*
+ 417d: 0c10.*
+ 417e: 6f92.*
+ 417f: 0d10.*
+ 4180: 6f92.*
+ 4181: 0c10.*
+ 4182: 6f92.*
+ 4183: 0e10.*
+ 4184: 6f92.*
+ 4185: 0f10.*
+ 4186: 6f92.*
+ 4187: 0f10.*
+ 4188: 6f92.*
+ 4189: 0c11.*
+ 418a: 6f92.*
+ 418b: 0d11.*
+ 418c: 6f92.*
+ 418d: 0c11.*
+ 418e: 6f92.*
+ 418f: 0e11.*
+ 4190: 6f92.*
+ 4191: 0f11.*
+ 4192: 6f92.*
+ 4193: 0f11.*
+ 4194: 6f92.*
+ 4195: 0c12.*
+ 4196: 6f92.*
+ 4197: 0d12.*
+ 4198: 6f92.*
+ 4199: 0c12.*
+ 419a: 6f92.*
+ 419b: 0e12.*
+ 419c: 6f92.*
+ 419d: 0f12.*
+ 419e: 6f92.*
+ 419f: 0f12.*
+ 41a0: 6f92.*
+ 41a1: 0c13.*
+ 41a2: 6f92.*
+ 41a3: 0d13.*
+ 41a4: 6f92.*
+ 41a5: 0c13.*
+ 41a6: 6f92.*
+ 41a7: 0e13.*
+ 41a8: 6f92.*
+ 41a9: 0f13.*
+ 41aa: 6f92.*
+ 41ab: 0f13.*
+ 41ac: 6f92.*
+ 41ad: 0c14.*
+ 41ae: 6f92.*
+ 41af: 0d14.*
+ 41b0: 6f92.*
+ 41b1: 0c14.*
+ 41b2: 6f92.*
+ 41b3: 0e14.*
+ 41b4: 6f92.*
+ 41b5: 0f14.*
+ 41b6: 6f92.*
+ 41b7: 0f14.*
+ 41b8: 6f92.*
+ 41b9: 0c15.*
+ 41ba: 6f92.*
+ 41bb: 0d15.*
+ 41bc: 6f92.*
+ 41bd: 0c15.*
+ 41be: 6f92.*
+ 41bf: 0e15.*
+ 41c0: 6f92.*
+ 41c1: 0f15.*
+ 41c2: 6f92.*
+ 41c3: 0f15.*
+ 41c4: 6f92.*
+ 41c5: 0c16.*
+ 41c6: 6f92.*
+ 41c7: 0d16.*
+ 41c8: 6f92.*
+ 41c9: 0c16.*
+ 41ca: 6f92.*
+ 41cb: 0e16.*
+ 41cc: 6f92.*
+ 41cd: 0f16.*
+ 41ce: 6f92.*
+ 41cf: 0f16.*
+ 41d0: 6f92.*
+ 41d1: 0c17.*
+ 41d2: 6f92.*
+ 41d3: 0d17.*
+ 41d4: 6f92.*
+ 41d5: 0c17.*
+ 41d6: 6f92.*
+ 41d7: 0e17.*
+ 41d8: 6f92.*
+ 41d9: 0f17.*
+ 41da: 6f92.*
+ 41db: 0f17.*
+ 41dc: 6f92.*
+ 41dd: 0c18.*
+ 41de: 6f92.*
+ 41df: 0d18.*
+ 41e0: 6f92.*
+ 41e1: 0c18.*
+ 41e2: 6f92.*
+ 41e3: 0e18.*
+ 41e4: 6f92.*
+ 41e5: 0f18.*
+ 41e6: 6f92.*
+ 41e7: 0f18.*
+ 41e8: 6f92.*
+ 41e9: 0c19.*
+ 41ea: 6f92.*
+ 41eb: 0d19.*
+ 41ec: 6f92.*
+ 41ed: 0c19.*
+ 41ee: 6f92.*
+ 41ef: 0e19.*
+ 41f0: 6f92.*
+ 41f1: 0f19.*
+ 41f2: 6f92.*
+ 41f3: 0f19.*
+ 41f4: 6f92.*
+ 41f5: 0c1a.*
+ 41f6: 6f92.*
+ 41f7: 0d1a.*
+ 41f8: 6f92.*
+ 41f9: 0c1a.*
+ 41fa: 6f92.*
+ 41fb: 0e1a.*
+ 41fc: 6f92.*
+ 41fd: 0f1a.*
+ 41fe: 6f92.*
+ 41ff: 0f1a.*
+ 4200: 6f92.*
+ 4201: 0c1b.*
+ 4202: 6f92.*
+ 4203: 0d1b.*
+ 4204: 6f92.*
+ 4205: 0c1b.*
+ 4206: 6f92.*
+ 4207: 0e1b.*
+ 4208: 6f92.*
+ 4209: 0f1b.*
+ 420a: 6f92.*
+ 420b: 0f1b.*
+ 420c: 6f92.*
+ 420d: 0c1c.*
+ 420e: 6f92.*
+ 420f: 0d1c.*
+ 4210: 6f92.*
+ 4211: 0c1c.*
+ 4212: 6f92.*
+ 4213: 0e1c.*
+ 4214: 6f92.*
+ 4215: 0f1c.*
+ 4216: 6f92.*
+ 4217: 0f1c.*
+ 4218: 6f92.*
+ 4219: 0c1d.*
+ 421a: 6f92.*
+ 421b: 0d1d.*
+ 421c: 6f92.*
+ 421d: 0c1d.*
+ 421e: 6f92.*
+ 421f: 0e1d.*
+ 4220: 6f92.*
+ 4221: 0f1d.*
+ 4222: 6f92.*
+ 4223: 0f1d.*
+ 4224: 6f92.*
+ 4225: 0c1e.*
+ 4226: 6f92.*
+ 4227: 0d1e.*
+ 4228: 6f92.*
+ 4229: 0c1e.*
+ 422a: 6f92.*
+ 422b: 0e1e.*
+ 422c: 6f92.*
+ 422d: 0f1e.*
+ 422e: 6f92.*
+ 422f: 0f1e.*
+ 4230: 6f92.*
+ 4231: 0c1f.*
+ 4232: 6f92.*
+ 4233: 0d1f.*
+ 4234: 6f92.*
+ 4235: 0c1f.*
+ 4236: 6f92.*
+ 4237: 0e1f.*
+ 4238: 6f92.*
+ 4239: 0f1f.*
+ 423a: 6f92.*
+ 423b: 0f1f.*
+ 423c: 0092.*
+ 423d: 6f92.*
+ 423e: 0d00.*
+ 423f: 0092.*
+ 4240: 6f92.*
+ 4241: 0e00.*
+ 4242: 0192.*
+ 4243: 0192.*
+ 4244: 9081.*
+ 4245: 6f92.*
+ 4246: 0d01.*
+ 4247: 9081.*
+ 4248: 6f92.*
+ 4249: 0e01.*
+ 424a: 9181.*
+ 424b: 9181.*
+ 424c: 9082.*
+ 424d: 6f92.*
+ 424e: 0d02.*
+ 424f: 9082.*
+ 4250: 6f92.*
+ 4251: 0e02.*
+ 4252: 9182.*
+ 4253: 9182.*
+ 4254: 9083.*
+ 4255: 6f92.*
+ 4256: 0d03.*
+ 4257: 9083.*
+ 4258: 6f92.*
+ 4259: 0e03.*
+ 425a: 9183.*
+ 425b: 9183.*
+ 425c: 9084.*
+ 425d: 6f92.*
+ 425e: 0d04.*
+ 425f: 9084.*
+ 4260: 6f92.*
+ 4261: 0e04.*
+ 4262: 9184.*
+ 4263: 9184.*
+ 4264: 9085.*
+ 4265: 6f92.*
+ 4266: 0d05.*
+ 4267: 9085.*
+ 4268: 6f92.*
+ 4269: 0e05.*
+ 426a: 9185.*
+ 426b: 9185.*
+ 426c: 9086.*
+ 426d: 6f92.*
+ 426e: 0d06.*
+ 426f: 9086.*
+ 4270: 6f92.*
+ 4271: 0e06.*
+ 4272: 9186.*
+ 4273: 9186.*
+ 4274: 9087.*
+ 4275: 6f92.*
+ 4276: 0d07.*
+ 4277: 9087.*
+ 4278: 6f92.*
+ 4279: 0e07.*
+ 427a: 9187.*
+ 427b: 9187.*
+ 427c: 9088.*
+ 427d: 6f92.*
+ 427e: 0d08.*
+ 427f: 9088.*
+ 4280: 6f92.*
+ 4281: 0e08.*
+ 4282: 9188.*
+ 4283: 9188.*
+ 4284: 9089.*
+ 4285: 6f92.*
+ 4286: 0d09.*
+ 4287: 9089.*
+ 4288: 6f92.*
+ 4289: 0e09.*
+ 428a: 9189.*
+ 428b: 9189.*
+ 428c: 908a.*
+ 428d: 6f92.*
+ 428e: 0d0a.*
+ 428f: 908a.*
+ 4290: 6f92.*
+ 4291: 0e0a.*
+ 4292: 918a.*
+ 4293: 918a.*
+ 4294: 908b.*
+ 4295: 6f92.*
+ 4296: 0d0b.*
+ 4297: 908b.*
+ 4298: 6f92.*
+ 4299: 0e0b.*
+ 429a: 918b.*
+ 429b: 918b.*
+ 429c: 908c.*
+ 429d: 6f92.*
+ 429e: 0d0c.*
+ 429f: 908c.*
+ 42a0: 6f92.*
+ 42a1: 0e0c.*
+ 42a2: 918c.*
+ 42a3: 918c.*
+ 42a4: 908d.*
+ 42a5: 6f92.*
+ 42a6: 0d0d.*
+ 42a7: 908d.*
+ 42a8: 6f92.*
+ 42a9: 0e0d.*
+ 42aa: 918d.*
+ 42ab: 918d.*
+ 42ac: 908e.*
+ 42ad: 6f92.*
+ 42ae: 0d0e.*
+ 42af: 908e.*
+ 42b0: 6f92.*
+ 42b1: 0e0e.*
+ 42b2: 918e.*
+ 42b3: 918e.*
+ 42b4: 908f.*
+ 42b5: 6f92.*
+ 42b6: 0d0f.*
+ 42b7: 908f.*
+ 42b8: 6f92.*
+ 42b9: 0e0f.*
+ 42ba: 918f.*
+ 42bb: 918f.*
+ 42bc: 00a2.*
+ 42bd: 6fa2.*
+ 42be: 0d00.*
+ 42bf: 00a2.*
+ 42c0: 6fa2.*
+ 42c1: 0e00.*
+ 42c2: 01a2.*
+ 42c3: 01a2.*
+ 42c4: 6fa2.*
+ 42c5: 0c10.*
+ 42c6: 6fa2.*
+ 42c7: 0d10.*
+ 42c8: 6fa2.*
+ 42c9: 0c10.*
+ 42ca: 6fa2.*
+ 42cb: 0e10.*
+ 42cc: 6fa2.*
+ 42cd: 0f10.*
+ 42ce: 6fa2.*
+ 42cf: 0f10.*
+ 42d0: 6fa2.*
+ 42d1: 0c11.*
+ 42d2: 6fa2.*
+ 42d3: 0d11.*
+ 42d4: 6fa2.*
+ 42d5: 0c11.*
+ 42d6: 6fa2.*
+ 42d7: 0e11.*
+ 42d8: 6fa2.*
+ 42d9: 0f11.*
+ 42da: 6fa2.*
+ 42db: 0f11.*
+ 42dc: 6fa2.*
+ 42dd: 0c12.*
+ 42de: 6fa2.*
+ 42df: 0d12.*
+ 42e0: 6fa2.*
+ 42e1: 0c12.*
+ 42e2: 6fa2.*
+ 42e3: 0e12.*
+ 42e4: 6fa2.*
+ 42e5: 0f12.*
+ 42e6: 6fa2.*
+ 42e7: 0f12.*
+ 42e8: 6fa2.*
+ 42e9: 0c13.*
+ 42ea: 6fa2.*
+ 42eb: 0d13.*
+ 42ec: 6fa2.*
+ 42ed: 0c13.*
+ 42ee: 6fa2.*
+ 42ef: 0e13.*
+ 42f0: 6fa2.*
+ 42f1: 0f13.*
+ 42f2: 6fa2.*
+ 42f3: 0f13.*
+ 42f4: 6fa2.*
+ 42f5: 0c14.*
+ 42f6: 6fa2.*
+ 42f7: 0d14.*
+ 42f8: 6fa2.*
+ 42f9: 0c14.*
+ 42fa: 6fa2.*
+ 42fb: 0e14.*
+ 42fc: 6fa2.*
+ 42fd: 0f14.*
+ 42fe: 6fa2.*
+ 42ff: 0f14.*
+ 4300: 6fa2.*
+ 4301: 0c15.*
+ 4302: 6fa2.*
+ 4303: 0d15.*
+ 4304: 6fa2.*
+ 4305: 0c15.*
+ 4306: 6fa2.*
+ 4307: 0e15.*
+ 4308: 6fa2.*
+ 4309: 0f15.*
+ 430a: 6fa2.*
+ 430b: 0f15.*
+ 430c: 6fa2.*
+ 430d: 0c16.*
+ 430e: 6fa2.*
+ 430f: 0d16.*
+ 4310: 6fa2.*
+ 4311: 0c16.*
+ 4312: 6fa2.*
+ 4313: 0e16.*
+ 4314: 6fa2.*
+ 4315: 0f16.*
+ 4316: 6fa2.*
+ 4317: 0f16.*
+ 4318: 6fa2.*
+ 4319: 0c17.*
+ 431a: 6fa2.*
+ 431b: 0d17.*
+ 431c: 6fa2.*
+ 431d: 0c17.*
+ 431e: 6fa2.*
+ 431f: 0e17.*
+ 4320: 6fa2.*
+ 4321: 0f17.*
+ 4322: 6fa2.*
+ 4323: 0f17.*
+ 4324: 6fa2.*
+ 4325: 0c18.*
+ 4326: 6fa2.*
+ 4327: 0d18.*
+ 4328: 6fa2.*
+ 4329: 0c18.*
+ 432a: 6fa2.*
+ 432b: 0e18.*
+ 432c: 6fa2.*
+ 432d: 0f18.*
+ 432e: 6fa2.*
+ 432f: 0f18.*
+ 4330: 6fa2.*
+ 4331: 0c19.*
+ 4332: 6fa2.*
+ 4333: 0d19.*
+ 4334: 6fa2.*
+ 4335: 0c19.*
+ 4336: 6fa2.*
+ 4337: 0e19.*
+ 4338: 6fa2.*
+ 4339: 0f19.*
+ 433a: 6fa2.*
+ 433b: 0f19.*
+ 433c: 6fa2.*
+ 433d: 0c1a.*
+ 433e: 6fa2.*
+ 433f: 0d1a.*
+ 4340: 6fa2.*
+ 4341: 0c1a.*
+ 4342: 6fa2.*
+ 4343: 0e1a.*
+ 4344: 6fa2.*
+ 4345: 0f1a.*
+ 4346: 6fa2.*
+ 4347: 0f1a.*
+ 4348: 6fa2.*
+ 4349: 0c1b.*
+ 434a: 6fa2.*
+ 434b: 0d1b.*
+ 434c: 6fa2.*
+ 434d: 0c1b.*
+ 434e: 6fa2.*
+ 434f: 0e1b.*
+ 4350: 6fa2.*
+ 4351: 0f1b.*
+ 4352: 6fa2.*
+ 4353: 0f1b.*
+ 4354: 6fa2.*
+ 4355: 0c1c.*
+ 4356: 6fa2.*
+ 4357: 0d1c.*
+ 4358: 6fa2.*
+ 4359: 0c1c.*
+ 435a: 6fa2.*
+ 435b: 0e1c.*
+ 435c: 6fa2.*
+ 435d: 0f1c.*
+ 435e: 6fa2.*
+ 435f: 0f1c.*
+ 4360: 6fa2.*
+ 4361: 0c1d.*
+ 4362: 6fa2.*
+ 4363: 0d1d.*
+ 4364: 6fa2.*
+ 4365: 0c1d.*
+ 4366: 6fa2.*
+ 4367: 0e1d.*
+ 4368: 6fa2.*
+ 4369: 0f1d.*
+ 436a: 6fa2.*
+ 436b: 0f1d.*
+ 436c: 6fa2.*
+ 436d: 0c1e.*
+ 436e: 6fa2.*
+ 436f: 0d1e.*
+ 4370: 6fa2.*
+ 4371: 0c1e.*
+ 4372: 6fa2.*
+ 4373: 0e1e.*
+ 4374: 6fa2.*
+ 4375: 0f1e.*
+ 4376: 6fa2.*
+ 4377: 0f1e.*
+ 4378: 6fa2.*
+ 4379: 0c1f.*
+ 437a: 6fa2.*
+ 437b: 0d1f.*
+ 437c: 6fa2.*
+ 437d: 0c1f.*
+ 437e: 6fa2.*
+ 437f: 0e1f.*
+ 4380: 6fa2.*
+ 4381: 0f1f.*
+ 4382: 6fa2.*
+ 4383: 0f1f.*
+ 4384: 00a2.*
+ 4385: 6fa2.*
+ 4386: 0d00.*
+ 4387: 00a2.*
+ 4388: 6fa2.*
+ 4389: 0e00.*
+ 438a: 01a2.*
+ 438b: 01a2.*
+ 438c: 6fa2.*
+ 438d: 0c01.*
+ 438e: 6fa2.*
+ 438f: 0d01.*
+ 4390: 6fa2.*
+ 4391: 0c01.*
+ 4392: 6fa2.*
+ 4393: 0e01.*
+ 4394: 6fa2.*
+ 4395: 0f01.*
+ 4396: 6fa2.*
+ 4397: 0f01.*
+ 4398: 6fa2.*
+ 4399: 0c02.*
+ 439a: 6fa2.*
+ 439b: 0d02.*
+ 439c: 6fa2.*
+ 439d: 0c02.*
+ 439e: 6fa2.*
+ 439f: 0e02.*
+ 43a0: 6fa2.*
+ 43a1: 0f02.*
+ 43a2: 6fa2.*
+ 43a3: 0f02.*
+ 43a4: 6fa2.*
+ 43a5: 0c03.*
+ 43a6: 6fa2.*
+ 43a7: 0d03.*
+ 43a8: 6fa2.*
+ 43a9: 0c03.*
+ 43aa: 6fa2.*
+ 43ab: 0e03.*
+ 43ac: 6fa2.*
+ 43ad: 0f03.*
+ 43ae: 6fa2.*
+ 43af: 0f03.*
+ 43b0: 6fa2.*
+ 43b1: 0c04.*
+ 43b2: 6fa2.*
+ 43b3: 0d04.*
+ 43b4: 6fa2.*
+ 43b5: 0c04.*
+ 43b6: 6fa2.*
+ 43b7: 0e04.*
+ 43b8: 6fa2.*
+ 43b9: 0f04.*
+ 43ba: 6fa2.*
+ 43bb: 0f04.*
+ 43bc: 6fa2.*
+ 43bd: 0c05.*
+ 43be: 6fa2.*
+ 43bf: 0d05.*
+ 43c0: 6fa2.*
+ 43c1: 0c05.*
+ 43c2: 6fa2.*
+ 43c3: 0e05.*
+ 43c4: 6fa2.*
+ 43c5: 0f05.*
+ 43c6: 6fa2.*
+ 43c7: 0f05.*
+ 43c8: 6fa2.*
+ 43c9: 0c06.*
+ 43ca: 6fa2.*
+ 43cb: 0d06.*
+ 43cc: 6fa2.*
+ 43cd: 0c06.*
+ 43ce: 6fa2.*
+ 43cf: 0e06.*
+ 43d0: 6fa2.*
+ 43d1: 0f06.*
+ 43d2: 6fa2.*
+ 43d3: 0f06.*
+ 43d4: 6fa2.*
+ 43d5: 0c07.*
+ 43d6: 6fa2.*
+ 43d7: 0d07.*
+ 43d8: 6fa2.*
+ 43d9: 0c07.*
+ 43da: 6fa2.*
+ 43db: 0e07.*
+ 43dc: 6fa2.*
+ 43dd: 0f07.*
+ 43de: 6fa2.*
+ 43df: 0f07.*
+ 43e0: 6fa2.*
+ 43e1: 0c08.*
+ 43e2: 6fa2.*
+ 43e3: 0d08.*
+ 43e4: 6fa2.*
+ 43e5: 0c08.*
+ 43e6: 6fa2.*
+ 43e7: 0e08.*
+ 43e8: 6fa2.*
+ 43e9: 0f08.*
+ 43ea: 6fa2.*
+ 43eb: 0f08.*
+ 43ec: 6fa2.*
+ 43ed: 0c09.*
+ 43ee: 6fa2.*
+ 43ef: 0d09.*
+ 43f0: 6fa2.*
+ 43f1: 0c09.*
+ 43f2: 6fa2.*
+ 43f3: 0e09.*
+ 43f4: 6fa2.*
+ 43f5: 0f09.*
+ 43f6: 6fa2.*
+ 43f7: 0f09.*
+ 43f8: 6fa2.*
+ 43f9: 0c0a.*
+ 43fa: 6fa2.*
+ 43fb: 0d0a.*
+ 43fc: 6fa2.*
+ 43fd: 0c0a.*
+ 43fe: 6fa2.*
+ 43ff: 0e0a.*
+ 4400: 6fa2.*
+ 4401: 0f0a.*
+ 4402: 6fa2.*
+ 4403: 0f0a.*
+ 4404: 6fa2.*
+ 4405: 0c0b.*
+ 4406: 6fa2.*
+ 4407: 0d0b.*
+ 4408: 6fa2.*
+ 4409: 0c0b.*
+ 440a: 6fa2.*
+ 440b: 0e0b.*
+ 440c: 6fa2.*
+ 440d: 0f0b.*
+ 440e: 6fa2.*
+ 440f: 0f0b.*
+ 4410: 6fa2.*
+ 4411: 0c0c.*
+ 4412: 6fa2.*
+ 4413: 0d0c.*
+ 4414: 6fa2.*
+ 4415: 0c0c.*
+ 4416: 6fa2.*
+ 4417: 0e0c.*
+ 4418: 6fa2.*
+ 4419: 0f0c.*
+ 441a: 6fa2.*
+ 441b: 0f0c.*
+ 441c: 6fa2.*
+ 441d: 0c0d.*
+ 441e: 6fa2.*
+ 441f: 0d0d.*
+ 4420: 6fa2.*
+ 4421: 0c0d.*
+ 4422: 6fa2.*
+ 4423: 0e0d.*
+ 4424: 6fa2.*
+ 4425: 0f0d.*
+ 4426: 6fa2.*
+ 4427: 0f0d.*
+ 4428: 6fa2.*
+ 4429: 0c0e.*
+ 442a: 6fa2.*
+ 442b: 0d0e.*
+ 442c: 6fa2.*
+ 442d: 0c0e.*
+ 442e: 6fa2.*
+ 442f: 0e0e.*
+ 4430: 6fa2.*
+ 4431: 0f0e.*
+ 4432: 6fa2.*
+ 4433: 0f0e.*
+ 4434: 6fa2.*
+ 4435: 0c0f.*
+ 4436: 6fa2.*
+ 4437: 0d0f.*
+ 4438: 6fa2.*
+ 4439: 0c0f.*
+ 443a: 6fa2.*
+ 443b: 0e0f.*
+ 443c: 6fa2.*
+ 443d: 0f0f.*
+ 443e: 6fa2.*
+ 443f: 0f0f.*
+ 4440: 00aa.*
+ 4441: 6faa.*
+ 4442: 0d00.*
+ 4443: 00aa.*
+ 4444: 6faa.*
+ 4445: 0e00.*
+ 4446: 01aa.*
+ 4447: 01aa.*
+ 4448: 6faa.*
+ 4449: 0c10.*
+ 444a: 6faa.*
+ 444b: 0d10.*
+ 444c: 6faa.*
+ 444d: 0c10.*
+ 444e: 6faa.*
+ 444f: 0e10.*
+ 4450: 6faa.*
+ 4451: 0f10.*
+ 4452: 6faa.*
+ 4453: 0f10.*
+ 4454: 6faa.*
+ 4455: 0c11.*
+ 4456: 6faa.*
+ 4457: 0d11.*
+ 4458: 6faa.*
+ 4459: 0c11.*
+ 445a: 6faa.*
+ 445b: 0e11.*
+ 445c: 6faa.*
+ 445d: 0f11.*
+ 445e: 6faa.*
+ 445f: 0f11.*
+ 4460: 6faa.*
+ 4461: 0c12.*
+ 4462: 6faa.*
+ 4463: 0d12.*
+ 4464: 6faa.*
+ 4465: 0c12.*
+ 4466: 6faa.*
+ 4467: 0e12.*
+ 4468: 6faa.*
+ 4469: 0f12.*
+ 446a: 6faa.*
+ 446b: 0f12.*
+ 446c: 6faa.*
+ 446d: 0c13.*
+ 446e: 6faa.*
+ 446f: 0d13.*
+ 4470: 6faa.*
+ 4471: 0c13.*
+ 4472: 6faa.*
+ 4473: 0e13.*
+ 4474: 6faa.*
+ 4475: 0f13.*
+ 4476: 6faa.*
+ 4477: 0f13.*
+ 4478: 6faa.*
+ 4479: 0c14.*
+ 447a: 6faa.*
+ 447b: 0d14.*
+ 447c: 6faa.*
+ 447d: 0c14.*
+ 447e: 6faa.*
+ 447f: 0e14.*
+ 4480: 6faa.*
+ 4481: 0f14.*
+ 4482: 6faa.*
+ 4483: 0f14.*
+ 4484: 6faa.*
+ 4485: 0c15.*
+ 4486: 6faa.*
+ 4487: 0d15.*
+ 4488: 6faa.*
+ 4489: 0c15.*
+ 448a: 6faa.*
+ 448b: 0e15.*
+ 448c: 6faa.*
+ 448d: 0f15.*
+ 448e: 6faa.*
+ 448f: 0f15.*
+ 4490: 6faa.*
+ 4491: 0c16.*
+ 4492: 6faa.*
+ 4493: 0d16.*
+ 4494: 6faa.*
+ 4495: 0c16.*
+ 4496: 6faa.*
+ 4497: 0e16.*
+ 4498: 6faa.*
+ 4499: 0f16.*
+ 449a: 6faa.*
+ 449b: 0f16.*
+ 449c: 6faa.*
+ 449d: 0c17.*
+ 449e: 6faa.*
+ 449f: 0d17.*
+ 44a0: 6faa.*
+ 44a1: 0c17.*
+ 44a2: 6faa.*
+ 44a3: 0e17.*
+ 44a4: 6faa.*
+ 44a5: 0f17.*
+ 44a6: 6faa.*
+ 44a7: 0f17.*
+ 44a8: 6faa.*
+ 44a9: 0c18.*
+ 44aa: 6faa.*
+ 44ab: 0d18.*
+ 44ac: 6faa.*
+ 44ad: 0c18.*
+ 44ae: 6faa.*
+ 44af: 0e18.*
+ 44b0: 6faa.*
+ 44b1: 0f18.*
+ 44b2: 6faa.*
+ 44b3: 0f18.*
+ 44b4: 6faa.*
+ 44b5: 0c19.*
+ 44b6: 6faa.*
+ 44b7: 0d19.*
+ 44b8: 6faa.*
+ 44b9: 0c19.*
+ 44ba: 6faa.*
+ 44bb: 0e19.*
+ 44bc: 6faa.*
+ 44bd: 0f19.*
+ 44be: 6faa.*
+ 44bf: 0f19.*
+ 44c0: 6faa.*
+ 44c1: 0c1a.*
+ 44c2: 6faa.*
+ 44c3: 0d1a.*
+ 44c4: 6faa.*
+ 44c5: 0c1a.*
+ 44c6: 6faa.*
+ 44c7: 0e1a.*
+ 44c8: 6faa.*
+ 44c9: 0f1a.*
+ 44ca: 6faa.*
+ 44cb: 0f1a.*
+ 44cc: 6faa.*
+ 44cd: 0c1b.*
+ 44ce: 6faa.*
+ 44cf: 0d1b.*
+ 44d0: 6faa.*
+ 44d1: 0c1b.*
+ 44d2: 6faa.*
+ 44d3: 0e1b.*
+ 44d4: 6faa.*
+ 44d5: 0f1b.*
+ 44d6: 6faa.*
+ 44d7: 0f1b.*
+ 44d8: 6faa.*
+ 44d9: 0c1c.*
+ 44da: 6faa.*
+ 44db: 0d1c.*
+ 44dc: 6faa.*
+ 44dd: 0c1c.*
+ 44de: 6faa.*
+ 44df: 0e1c.*
+ 44e0: 6faa.*
+ 44e1: 0f1c.*
+ 44e2: 6faa.*
+ 44e3: 0f1c.*
+ 44e4: 6faa.*
+ 44e5: 0c1d.*
+ 44e6: 6faa.*
+ 44e7: 0d1d.*
+ 44e8: 6faa.*
+ 44e9: 0c1d.*
+ 44ea: 6faa.*
+ 44eb: 0e1d.*
+ 44ec: 6faa.*
+ 44ed: 0f1d.*
+ 44ee: 6faa.*
+ 44ef: 0f1d.*
+ 44f0: 6faa.*
+ 44f1: 0c1e.*
+ 44f2: 6faa.*
+ 44f3: 0d1e.*
+ 44f4: 6faa.*
+ 44f5: 0c1e.*
+ 44f6: 6faa.*
+ 44f7: 0e1e.*
+ 44f8: 6faa.*
+ 44f9: 0f1e.*
+ 44fa: 6faa.*
+ 44fb: 0f1e.*
+ 44fc: 6faa.*
+ 44fd: 0c1f.*
+ 44fe: 6faa.*
+ 44ff: 0d1f.*
+ 4500: 6faa.*
+ 4501: 0c1f.*
+ 4502: 6faa.*
+ 4503: 0e1f.*
+ 4504: 6faa.*
+ 4505: 0f1f.*
+ 4506: 6faa.*
+ 4507: 0f1f.*
+ 4508: 00aa.*
+ 4509: 6faa.*
+ 450a: 0d00.*
+ 450b: 00aa.*
+ 450c: 6faa.*
+ 450d: 0e00.*
+ 450e: 01aa.*
+ 450f: 01aa.*
+ 4510: 6faa.*
+ 4511: 0c01.*
+ 4512: 6faa.*
+ 4513: 0d01.*
+ 4514: 6faa.*
+ 4515: 0c01.*
+ 4516: 6faa.*
+ 4517: 0e01.*
+ 4518: 6faa.*
+ 4519: 0f01.*
+ 451a: 6faa.*
+ 451b: 0f01.*
+ 451c: 6faa.*
+ 451d: 0c02.*
+ 451e: 6faa.*
+ 451f: 0d02.*
+ 4520: 6faa.*
+ 4521: 0c02.*
+ 4522: 6faa.*
+ 4523: 0e02.*
+ 4524: 6faa.*
+ 4525: 0f02.*
+ 4526: 6faa.*
+ 4527: 0f02.*
+ 4528: 6faa.*
+ 4529: 0c03.*
+ 452a: 6faa.*
+ 452b: 0d03.*
+ 452c: 6faa.*
+ 452d: 0c03.*
+ 452e: 6faa.*
+ 452f: 0e03.*
+ 4530: 6faa.*
+ 4531: 0f03.*
+ 4532: 6faa.*
+ 4533: 0f03.*
+ 4534: 6faa.*
+ 4535: 0c04.*
+ 4536: 6faa.*
+ 4537: 0d04.*
+ 4538: 6faa.*
+ 4539: 0c04.*
+ 453a: 6faa.*
+ 453b: 0e04.*
+ 453c: 6faa.*
+ 453d: 0f04.*
+ 453e: 6faa.*
+ 453f: 0f04.*
+ 4540: 6faa.*
+ 4541: 0c05.*
+ 4542: 6faa.*
+ 4543: 0d05.*
+ 4544: 6faa.*
+ 4545: 0c05.*
+ 4546: 6faa.*
+ 4547: 0e05.*
+ 4548: 6faa.*
+ 4549: 0f05.*
+ 454a: 6faa.*
+ 454b: 0f05.*
+ 454c: 6faa.*
+ 454d: 0c06.*
+ 454e: 6faa.*
+ 454f: 0d06.*
+ 4550: 6faa.*
+ 4551: 0c06.*
+ 4552: 6faa.*
+ 4553: 0e06.*
+ 4554: 6faa.*
+ 4555: 0f06.*
+ 4556: 6faa.*
+ 4557: 0f06.*
+ 4558: 6faa.*
+ 4559: 0c07.*
+ 455a: 6faa.*
+ 455b: 0d07.*
+ 455c: 6faa.*
+ 455d: 0c07.*
+ 455e: 6faa.*
+ 455f: 0e07.*
+ 4560: 6faa.*
+ 4561: 0f07.*
+ 4562: 6faa.*
+ 4563: 0f07.*
+ 4564: 6faa.*
+ 4565: 0c08.*
+ 4566: 6faa.*
+ 4567: 0d08.*
+ 4568: 6faa.*
+ 4569: 0c08.*
+ 456a: 6faa.*
+ 456b: 0e08.*
+ 456c: 6faa.*
+ 456d: 0f08.*
+ 456e: 6faa.*
+ 456f: 0f08.*
+ 4570: 6faa.*
+ 4571: 0c09.*
+ 4572: 6faa.*
+ 4573: 0d09.*
+ 4574: 6faa.*
+ 4575: 0c09.*
+ 4576: 6faa.*
+ 4577: 0e09.*
+ 4578: 6faa.*
+ 4579: 0f09.*
+ 457a: 6faa.*
+ 457b: 0f09.*
+ 457c: 6faa.*
+ 457d: 0c0a.*
+ 457e: 6faa.*
+ 457f: 0d0a.*
+ 4580: 6faa.*
+ 4581: 0c0a.*
+ 4582: 6faa.*
+ 4583: 0e0a.*
+ 4584: 6faa.*
+ 4585: 0f0a.*
+ 4586: 6faa.*
+ 4587: 0f0a.*
+ 4588: 6faa.*
+ 4589: 0c0b.*
+ 458a: 6faa.*
+ 458b: 0d0b.*
+ 458c: 6faa.*
+ 458d: 0c0b.*
+ 458e: 6faa.*
+ 458f: 0e0b.*
+ 4590: 6faa.*
+ 4591: 0f0b.*
+ 4592: 6faa.*
+ 4593: 0f0b.*
+ 4594: 6faa.*
+ 4595: 0c0c.*
+ 4596: 6faa.*
+ 4597: 0d0c.*
+ 4598: 6faa.*
+ 4599: 0c0c.*
+ 459a: 6faa.*
+ 459b: 0e0c.*
+ 459c: 6faa.*
+ 459d: 0f0c.*
+ 459e: 6faa.*
+ 459f: 0f0c.*
+ 45a0: 6faa.*
+ 45a1: 0c0d.*
+ 45a2: 6faa.*
+ 45a3: 0d0d.*
+ 45a4: 6faa.*
+ 45a5: 0c0d.*
+ 45a6: 6faa.*
+ 45a7: 0e0d.*
+ 45a8: 6faa.*
+ 45a9: 0f0d.*
+ 45aa: 6faa.*
+ 45ab: 0f0d.*
+ 45ac: 6faa.*
+ 45ad: 0c0e.*
+ 45ae: 6faa.*
+ 45af: 0d0e.*
+ 45b0: 6faa.*
+ 45b1: 0c0e.*
+ 45b2: 6faa.*
+ 45b3: 0e0e.*
+ 45b4: 6faa.*
+ 45b5: 0f0e.*
+ 45b6: 6faa.*
+ 45b7: 0f0e.*
+ 45b8: 6faa.*
+ 45b9: 0c0f.*
+ 45ba: 6faa.*
+ 45bb: 0d0f.*
+ 45bc: 6faa.*
+ 45bd: 0c0f.*
+ 45be: 6faa.*
+ 45bf: 0e0f.*
+ 45c0: 6faa.*
+ 45c1: 0f0f.*
+ 45c2: 6faa.*
+ 45c3: 0f0f.*
+ 45c4: 00b2.*
+ 45c5: 6fb2.*
+ 45c6: 0d00.*
+ 45c7: 00b2.*
+ 45c8: 6fb2.*
+ 45c9: 0e00.*
+ 45ca: 01b2.*
+ 45cb: 01b2.*
+ 45cc: 6fb2.*
+ 45cd: 0c10.*
+ 45ce: 6fb2.*
+ 45cf: 0d10.*
+ 45d0: 6fb2.*
+ 45d1: 0c10.*
+ 45d2: 6fb2.*
+ 45d3: 0e10.*
+ 45d4: 6fb2.*
+ 45d5: 0f10.*
+ 45d6: 6fb2.*
+ 45d7: 0f10.*
+ 45d8: 6fb2.*
+ 45d9: 0c11.*
+ 45da: 6fb2.*
+ 45db: 0d11.*
+ 45dc: 6fb2.*
+ 45dd: 0c11.*
+ 45de: 6fb2.*
+ 45df: 0e11.*
+ 45e0: 6fb2.*
+ 45e1: 0f11.*
+ 45e2: 6fb2.*
+ 45e3: 0f11.*
+ 45e4: 6fb2.*
+ 45e5: 0c12.*
+ 45e6: 6fb2.*
+ 45e7: 0d12.*
+ 45e8: 6fb2.*
+ 45e9: 0c12.*
+ 45ea: 6fb2.*
+ 45eb: 0e12.*
+ 45ec: 6fb2.*
+ 45ed: 0f12.*
+ 45ee: 6fb2.*
+ 45ef: 0f12.*
+ 45f0: 6fb2.*
+ 45f1: 0c13.*
+ 45f2: 6fb2.*
+ 45f3: 0d13.*
+ 45f4: 6fb2.*
+ 45f5: 0c13.*
+ 45f6: 6fb2.*
+ 45f7: 0e13.*
+ 45f8: 6fb2.*
+ 45f9: 0f13.*
+ 45fa: 6fb2.*
+ 45fb: 0f13.*
+ 45fc: 6fb2.*
+ 45fd: 0c14.*
+ 45fe: 6fb2.*
+ 45ff: 0d14.*
+ 4600: 6fb2.*
+ 4601: 0c14.*
+ 4602: 6fb2.*
+ 4603: 0e14.*
+ 4604: 6fb2.*
+ 4605: 0f14.*
+ 4606: 6fb2.*
+ 4607: 0f14.*
+ 4608: 6fb2.*
+ 4609: 0c15.*
+ 460a: 6fb2.*
+ 460b: 0d15.*
+ 460c: 6fb2.*
+ 460d: 0c15.*
+ 460e: 6fb2.*
+ 460f: 0e15.*
+ 4610: 6fb2.*
+ 4611: 0f15.*
+ 4612: 6fb2.*
+ 4613: 0f15.*
+ 4614: 6fb2.*
+ 4615: 0c16.*
+ 4616: 6fb2.*
+ 4617: 0d16.*
+ 4618: 6fb2.*
+ 4619: 0c16.*
+ 461a: 6fb2.*
+ 461b: 0e16.*
+ 461c: 6fb2.*
+ 461d: 0f16.*
+ 461e: 6fb2.*
+ 461f: 0f16.*
+ 4620: 6fb2.*
+ 4621: 0c17.*
+ 4622: 6fb2.*
+ 4623: 0d17.*
+ 4624: 6fb2.*
+ 4625: 0c17.*
+ 4626: 6fb2.*
+ 4627: 0e17.*
+ 4628: 6fb2.*
+ 4629: 0f17.*
+ 462a: 6fb2.*
+ 462b: 0f17.*
+ 462c: 6fb2.*
+ 462d: 0c18.*
+ 462e: 6fb2.*
+ 462f: 0d18.*
+ 4630: 6fb2.*
+ 4631: 0c18.*
+ 4632: 6fb2.*
+ 4633: 0e18.*
+ 4634: 6fb2.*
+ 4635: 0f18.*
+ 4636: 6fb2.*
+ 4637: 0f18.*
+ 4638: 6fb2.*
+ 4639: 0c19.*
+ 463a: 6fb2.*
+ 463b: 0d19.*
+ 463c: 6fb2.*
+ 463d: 0c19.*
+ 463e: 6fb2.*
+ 463f: 0e19.*
+ 4640: 6fb2.*
+ 4641: 0f19.*
+ 4642: 6fb2.*
+ 4643: 0f19.*
+ 4644: 6fb2.*
+ 4645: 0c1a.*
+ 4646: 6fb2.*
+ 4647: 0d1a.*
+ 4648: 6fb2.*
+ 4649: 0c1a.*
+ 464a: 6fb2.*
+ 464b: 0e1a.*
+ 464c: 6fb2.*
+ 464d: 0f1a.*
+ 464e: 6fb2.*
+ 464f: 0f1a.*
+ 4650: 6fb2.*
+ 4651: 0c1b.*
+ 4652: 6fb2.*
+ 4653: 0d1b.*
+ 4654: 6fb2.*
+ 4655: 0c1b.*
+ 4656: 6fb2.*
+ 4657: 0e1b.*
+ 4658: 6fb2.*
+ 4659: 0f1b.*
+ 465a: 6fb2.*
+ 465b: 0f1b.*
+ 465c: 6fb2.*
+ 465d: 0c1c.*
+ 465e: 6fb2.*
+ 465f: 0d1c.*
+ 4660: 6fb2.*
+ 4661: 0c1c.*
+ 4662: 6fb2.*
+ 4663: 0e1c.*
+ 4664: 6fb2.*
+ 4665: 0f1c.*
+ 4666: 6fb2.*
+ 4667: 0f1c.*
+ 4668: 6fb2.*
+ 4669: 0c1d.*
+ 466a: 6fb2.*
+ 466b: 0d1d.*
+ 466c: 6fb2.*
+ 466d: 0c1d.*
+ 466e: 6fb2.*
+ 466f: 0e1d.*
+ 4670: 6fb2.*
+ 4671: 0f1d.*
+ 4672: 6fb2.*
+ 4673: 0f1d.*
+ 4674: 6fb2.*
+ 4675: 0c1e.*
+ 4676: 6fb2.*
+ 4677: 0d1e.*
+ 4678: 6fb2.*
+ 4679: 0c1e.*
+ 467a: 6fb2.*
+ 467b: 0e1e.*
+ 467c: 6fb2.*
+ 467d: 0f1e.*
+ 467e: 6fb2.*
+ 467f: 0f1e.*
+ 4680: 6fb2.*
+ 4681: 0c1f.*
+ 4682: 6fb2.*
+ 4683: 0d1f.*
+ 4684: 6fb2.*
+ 4685: 0c1f.*
+ 4686: 6fb2.*
+ 4687: 0e1f.*
+ 4688: 6fb2.*
+ 4689: 0f1f.*
+ 468a: 6fb2.*
+ 468b: 0f1f.*
+ 468c: 00b2.*
+ 468d: 6fb2.*
+ 468e: 0d00.*
+ 468f: 00b2.*
+ 4690: 6fb2.*
+ 4691: 0e00.*
+ 4692: 01b2.*
+ 4693: 01b2.*
+ 4694: 6fb2.*
+ 4695: 0c01.*
+ 4696: 6fb2.*
+ 4697: 0d01.*
+ 4698: 6fb2.*
+ 4699: 0c01.*
+ 469a: 6fb2.*
+ 469b: 0e01.*
+ 469c: 6fb2.*
+ 469d: 0f01.*
+ 469e: 6fb2.*
+ 469f: 0f01.*
+ 46a0: 6fb2.*
+ 46a1: 0c02.*
+ 46a2: 6fb2.*
+ 46a3: 0d02.*
+ 46a4: 6fb2.*
+ 46a5: 0c02.*
+ 46a6: 6fb2.*
+ 46a7: 0e02.*
+ 46a8: 6fb2.*
+ 46a9: 0f02.*
+ 46aa: 6fb2.*
+ 46ab: 0f02.*
+ 46ac: 6fb2.*
+ 46ad: 0c03.*
+ 46ae: 6fb2.*
+ 46af: 0d03.*
+ 46b0: 6fb2.*
+ 46b1: 0c03.*
+ 46b2: 6fb2.*
+ 46b3: 0e03.*
+ 46b4: 6fb2.*
+ 46b5: 0f03.*
+ 46b6: 6fb2.*
+ 46b7: 0f03.*
+ 46b8: 6fb2.*
+ 46b9: 0c04.*
+ 46ba: 6fb2.*
+ 46bb: 0d04.*
+ 46bc: 6fb2.*
+ 46bd: 0c04.*
+ 46be: 6fb2.*
+ 46bf: 0e04.*
+ 46c0: 6fb2.*
+ 46c1: 0f04.*
+ 46c2: 6fb2.*
+ 46c3: 0f04.*
+ 46c4: 6fb2.*
+ 46c5: 0c05.*
+ 46c6: 6fb2.*
+ 46c7: 0d05.*
+ 46c8: 6fb2.*
+ 46c9: 0c05.*
+ 46ca: 6fb2.*
+ 46cb: 0e05.*
+ 46cc: 6fb2.*
+ 46cd: 0f05.*
+ 46ce: 6fb2.*
+ 46cf: 0f05.*
+ 46d0: 6fb2.*
+ 46d1: 0c06.*
+ 46d2: 6fb2.*
+ 46d3: 0d06.*
+ 46d4: 6fb2.*
+ 46d5: 0c06.*
+ 46d6: 6fb2.*
+ 46d7: 0e06.*
+ 46d8: 6fb2.*
+ 46d9: 0f06.*
+ 46da: 6fb2.*
+ 46db: 0f06.*
+ 46dc: 6fb2.*
+ 46dd: 0c07.*
+ 46de: 6fb2.*
+ 46df: 0d07.*
+ 46e0: 6fb2.*
+ 46e1: 0c07.*
+ 46e2: 6fb2.*
+ 46e3: 0e07.*
+ 46e4: 6fb2.*
+ 46e5: 0f07.*
+ 46e6: 6fb2.*
+ 46e7: 0f07.*
+ 46e8: 6fb2.*
+ 46e9: 0c08.*
+ 46ea: 6fb2.*
+ 46eb: 0d08.*
+ 46ec: 6fb2.*
+ 46ed: 0c08.*
+ 46ee: 6fb2.*
+ 46ef: 0e08.*
+ 46f0: 6fb2.*
+ 46f1: 0f08.*
+ 46f2: 6fb2.*
+ 46f3: 0f08.*
+ 46f4: 6fb2.*
+ 46f5: 0c09.*
+ 46f6: 6fb2.*
+ 46f7: 0d09.*
+ 46f8: 6fb2.*
+ 46f9: 0c09.*
+ 46fa: 6fb2.*
+ 46fb: 0e09.*
+ 46fc: 6fb2.*
+ 46fd: 0f09.*
+ 46fe: 6fb2.*
+ 46ff: 0f09.*
+ 4700: 6fb2.*
+ 4701: 0c0a.*
+ 4702: 6fb2.*
+ 4703: 0d0a.*
+ 4704: 6fb2.*
+ 4705: 0c0a.*
+ 4706: 6fb2.*
+ 4707: 0e0a.*
+ 4708: 6fb2.*
+ 4709: 0f0a.*
+ 470a: 6fb2.*
+ 470b: 0f0a.*
+ 470c: 6fb2.*
+ 470d: 0c0b.*
+ 470e: 6fb2.*
+ 470f: 0d0b.*
+ 4710: 6fb2.*
+ 4711: 0c0b.*
+ 4712: 6fb2.*
+ 4713: 0e0b.*
+ 4714: 6fb2.*
+ 4715: 0f0b.*
+ 4716: 6fb2.*
+ 4717: 0f0b.*
+ 4718: 6fb2.*
+ 4719: 0c0c.*
+ 471a: 6fb2.*
+ 471b: 0d0c.*
+ 471c: 6fb2.*
+ 471d: 0c0c.*
+ 471e: 6fb2.*
+ 471f: 0e0c.*
+ 4720: 6fb2.*
+ 4721: 0f0c.*
+ 4722: 6fb2.*
+ 4723: 0f0c.*
+ 4724: 6fb2.*
+ 4725: 0c0d.*
+ 4726: 6fb2.*
+ 4727: 0d0d.*
+ 4728: 6fb2.*
+ 4729: 0c0d.*
+ 472a: 6fb2.*
+ 472b: 0e0d.*
+ 472c: 6fb2.*
+ 472d: 0f0d.*
+ 472e: 6fb2.*
+ 472f: 0f0d.*
+ 4730: 6fb2.*
+ 4731: 0c0e.*
+ 4732: 6fb2.*
+ 4733: 0d0e.*
+ 4734: 6fb2.*
+ 4735: 0c0e.*
+ 4736: 6fb2.*
+ 4737: 0e0e.*
+ 4738: 6fb2.*
+ 4739: 0f0e.*
+ 473a: 6fb2.*
+ 473b: 0f0e.*
+ 473c: 6fb2.*
+ 473d: 0c0f.*
+ 473e: 6fb2.*
+ 473f: 0d0f.*
+ 4740: 6fb2.*
+ 4741: 0c0f.*
+ 4742: 6fb2.*
+ 4743: 0e0f.*
+ 4744: 6fb2.*
+ 4745: 0f0f.*
+ 4746: 6fb2.*
+ 4747: 0f0f.*
+ 4748: 00ba.*
+ 4749: 6fba.*
+ 474a: 0d00.*
+ 474b: 00ba.*
+ 474c: 6fba.*
+ 474d: 0e00.*
+ 474e: 01ba.*
+ 474f: 01ba.*
+ 4750: 6fba.*
+ 4751: 0c10.*
+ 4752: 6fba.*
+ 4753: 0d10.*
+ 4754: 6fba.*
+ 4755: 0c10.*
+ 4756: 6fba.*
+ 4757: 0e10.*
+ 4758: 6fba.*
+ 4759: 0f10.*
+ 475a: 6fba.*
+ 475b: 0f10.*
+ 475c: 6fba.*
+ 475d: 0c11.*
+ 475e: 6fba.*
+ 475f: 0d11.*
+ 4760: 6fba.*
+ 4761: 0c11.*
+ 4762: 6fba.*
+ 4763: 0e11.*
+ 4764: 6fba.*
+ 4765: 0f11.*
+ 4766: 6fba.*
+ 4767: 0f11.*
+ 4768: 6fba.*
+ 4769: 0c12.*
+ 476a: 6fba.*
+ 476b: 0d12.*
+ 476c: 6fba.*
+ 476d: 0c12.*
+ 476e: 6fba.*
+ 476f: 0e12.*
+ 4770: 6fba.*
+ 4771: 0f12.*
+ 4772: 6fba.*
+ 4773: 0f12.*
+ 4774: 6fba.*
+ 4775: 0c13.*
+ 4776: 6fba.*
+ 4777: 0d13.*
+ 4778: 6fba.*
+ 4779: 0c13.*
+ 477a: 6fba.*
+ 477b: 0e13.*
+ 477c: 6fba.*
+ 477d: 0f13.*
+ 477e: 6fba.*
+ 477f: 0f13.*
+ 4780: 6fba.*
+ 4781: 0c14.*
+ 4782: 6fba.*
+ 4783: 0d14.*
+ 4784: 6fba.*
+ 4785: 0c14.*
+ 4786: 6fba.*
+ 4787: 0e14.*
+ 4788: 6fba.*
+ 4789: 0f14.*
+ 478a: 6fba.*
+ 478b: 0f14.*
+ 478c: 6fba.*
+ 478d: 0c15.*
+ 478e: 6fba.*
+ 478f: 0d15.*
+ 4790: 6fba.*
+ 4791: 0c15.*
+ 4792: 6fba.*
+ 4793: 0e15.*
+ 4794: 6fba.*
+ 4795: 0f15.*
+ 4796: 6fba.*
+ 4797: 0f15.*
+ 4798: 6fba.*
+ 4799: 0c16.*
+ 479a: 6fba.*
+ 479b: 0d16.*
+ 479c: 6fba.*
+ 479d: 0c16.*
+ 479e: 6fba.*
+ 479f: 0e16.*
+ 47a0: 6fba.*
+ 47a1: 0f16.*
+ 47a2: 6fba.*
+ 47a3: 0f16.*
+ 47a4: 6fba.*
+ 47a5: 0c17.*
+ 47a6: 6fba.*
+ 47a7: 0d17.*
+ 47a8: 6fba.*
+ 47a9: 0c17.*
+ 47aa: 6fba.*
+ 47ab: 0e17.*
+ 47ac: 6fba.*
+ 47ad: 0f17.*
+ 47ae: 6fba.*
+ 47af: 0f17.*
+ 47b0: 6fba.*
+ 47b1: 0c18.*
+ 47b2: 6fba.*
+ 47b3: 0d18.*
+ 47b4: 6fba.*
+ 47b5: 0c18.*
+ 47b6: 6fba.*
+ 47b7: 0e18.*
+ 47b8: 6fba.*
+ 47b9: 0f18.*
+ 47ba: 6fba.*
+ 47bb: 0f18.*
+ 47bc: 6fba.*
+ 47bd: 0c19.*
+ 47be: 6fba.*
+ 47bf: 0d19.*
+ 47c0: 6fba.*
+ 47c1: 0c19.*
+ 47c2: 6fba.*
+ 47c3: 0e19.*
+ 47c4: 6fba.*
+ 47c5: 0f19.*
+ 47c6: 6fba.*
+ 47c7: 0f19.*
+ 47c8: 6fba.*
+ 47c9: 0c1a.*
+ 47ca: 6fba.*
+ 47cb: 0d1a.*
+ 47cc: 6fba.*
+ 47cd: 0c1a.*
+ 47ce: 6fba.*
+ 47cf: 0e1a.*
+ 47d0: 6fba.*
+ 47d1: 0f1a.*
+ 47d2: 6fba.*
+ 47d3: 0f1a.*
+ 47d4: 6fba.*
+ 47d5: 0c1b.*
+ 47d6: 6fba.*
+ 47d7: 0d1b.*
+ 47d8: 6fba.*
+ 47d9: 0c1b.*
+ 47da: 6fba.*
+ 47db: 0e1b.*
+ 47dc: 6fba.*
+ 47dd: 0f1b.*
+ 47de: 6fba.*
+ 47df: 0f1b.*
+ 47e0: 6fba.*
+ 47e1: 0c1c.*
+ 47e2: 6fba.*
+ 47e3: 0d1c.*
+ 47e4: 6fba.*
+ 47e5: 0c1c.*
+ 47e6: 6fba.*
+ 47e7: 0e1c.*
+ 47e8: 6fba.*
+ 47e9: 0f1c.*
+ 47ea: 6fba.*
+ 47eb: 0f1c.*
+ 47ec: 6fba.*
+ 47ed: 0c1d.*
+ 47ee: 6fba.*
+ 47ef: 0d1d.*
+ 47f0: 6fba.*
+ 47f1: 0c1d.*
+ 47f2: 6fba.*
+ 47f3: 0e1d.*
+ 47f4: 6fba.*
+ 47f5: 0f1d.*
+ 47f6: 6fba.*
+ 47f7: 0f1d.*
+ 47f8: 6fba.*
+ 47f9: 0c1e.*
+ 47fa: 6fba.*
+ 47fb: 0d1e.*
+ 47fc: 6fba.*
+ 47fd: 0c1e.*
+ 47fe: 6fba.*
+ 47ff: 0e1e.*
+ 4800: 6fba.*
+ 4801: 0f1e.*
+ 4802: 6fba.*
+ 4803: 0f1e.*
+ 4804: 6fba.*
+ 4805: 0c1f.*
+ 4806: 6fba.*
+ 4807: 0d1f.*
+ 4808: 6fba.*
+ 4809: 0c1f.*
+ 480a: 6fba.*
+ 480b: 0e1f.*
+ 480c: 6fba.*
+ 480d: 0f1f.*
+ 480e: 6fba.*
+ 480f: 0f1f.*
+ 4810: 00ba.*
+ 4811: 6fba.*
+ 4812: 0d00.*
+ 4813: 00ba.*
+ 4814: 6fba.*
+ 4815: 0e00.*
+ 4816: 01ba.*
+ 4817: 01ba.*
+ 4818: 6fba.*
+ 4819: 0c01.*
+ 481a: 6fba.*
+ 481b: 0d01.*
+ 481c: 6fba.*
+ 481d: 0c01.*
+ 481e: 6fba.*
+ 481f: 0e01.*
+ 4820: 6fba.*
+ 4821: 0f01.*
+ 4822: 6fba.*
+ 4823: 0f01.*
+ 4824: 6fba.*
+ 4825: 0c02.*
+ 4826: 6fba.*
+ 4827: 0d02.*
+ 4828: 6fba.*
+ 4829: 0c02.*
+ 482a: 6fba.*
+ 482b: 0e02.*
+ 482c: 6fba.*
+ 482d: 0f02.*
+ 482e: 6fba.*
+ 482f: 0f02.*
+ 4830: 6fba.*
+ 4831: 0c03.*
+ 4832: 6fba.*
+ 4833: 0d03.*
+ 4834: 6fba.*
+ 4835: 0c03.*
+ 4836: 6fba.*
+ 4837: 0e03.*
+ 4838: 6fba.*
+ 4839: 0f03.*
+ 483a: 6fba.*
+ 483b: 0f03.*
+ 483c: 6fba.*
+ 483d: 0c04.*
+ 483e: 6fba.*
+ 483f: 0d04.*
+ 4840: 6fba.*
+ 4841: 0c04.*
+ 4842: 6fba.*
+ 4843: 0e04.*
+ 4844: 6fba.*
+ 4845: 0f04.*
+ 4846: 6fba.*
+ 4847: 0f04.*
+ 4848: 6fba.*
+ 4849: 0c05.*
+ 484a: 6fba.*
+ 484b: 0d05.*
+ 484c: 6fba.*
+ 484d: 0c05.*
+ 484e: 6fba.*
+ 484f: 0e05.*
+ 4850: 6fba.*
+ 4851: 0f05.*
+ 4852: 6fba.*
+ 4853: 0f05.*
+ 4854: 6fba.*
+ 4855: 0c06.*
+ 4856: 6fba.*
+ 4857: 0d06.*
+ 4858: 6fba.*
+ 4859: 0c06.*
+ 485a: 6fba.*
+ 485b: 0e06.*
+ 485c: 6fba.*
+ 485d: 0f06.*
+ 485e: 6fba.*
+ 485f: 0f06.*
+ 4860: 6fba.*
+ 4861: 0c07.*
+ 4862: 6fba.*
+ 4863: 0d07.*
+ 4864: 6fba.*
+ 4865: 0c07.*
+ 4866: 6fba.*
+ 4867: 0e07.*
+ 4868: 6fba.*
+ 4869: 0f07.*
+ 486a: 6fba.*
+ 486b: 0f07.*
+ 486c: 6fba.*
+ 486d: 0c08.*
+ 486e: 6fba.*
+ 486f: 0d08.*
+ 4870: 6fba.*
+ 4871: 0c08.*
+ 4872: 6fba.*
+ 4873: 0e08.*
+ 4874: 6fba.*
+ 4875: 0f08.*
+ 4876: 6fba.*
+ 4877: 0f08.*
+ 4878: 6fba.*
+ 4879: 0c09.*
+ 487a: 6fba.*
+ 487b: 0d09.*
+ 487c: 6fba.*
+ 487d: 0c09.*
+ 487e: 6fba.*
+ 487f: 0e09.*
+ 4880: 6fba.*
+ 4881: 0f09.*
+ 4882: 6fba.*
+ 4883: 0f09.*
+ 4884: 6fba.*
+ 4885: 0c0a.*
+ 4886: 6fba.*
+ 4887: 0d0a.*
+ 4888: 6fba.*
+ 4889: 0c0a.*
+ 488a: 6fba.*
+ 488b: 0e0a.*
+ 488c: 6fba.*
+ 488d: 0f0a.*
+ 488e: 6fba.*
+ 488f: 0f0a.*
+ 4890: 6fba.*
+ 4891: 0c0b.*
+ 4892: 6fba.*
+ 4893: 0d0b.*
+ 4894: 6fba.*
+ 4895: 0c0b.*
+ 4896: 6fba.*
+ 4897: 0e0b.*
+ 4898: 6fba.*
+ 4899: 0f0b.*
+ 489a: 6fba.*
+ 489b: 0f0b.*
+ 489c: 6fba.*
+ 489d: 0c0c.*
+ 489e: 6fba.*
+ 489f: 0d0c.*
+ 48a0: 6fba.*
+ 48a1: 0c0c.*
+ 48a2: 6fba.*
+ 48a3: 0e0c.*
+ 48a4: 6fba.*
+ 48a5: 0f0c.*
+ 48a6: 6fba.*
+ 48a7: 0f0c.*
+ 48a8: 6fba.*
+ 48a9: 0c0d.*
+ 48aa: 6fba.*
+ 48ab: 0d0d.*
+ 48ac: 6fba.*
+ 48ad: 0c0d.*
+ 48ae: 6fba.*
+ 48af: 0e0d.*
+ 48b0: 6fba.*
+ 48b1: 0f0d.*
+ 48b2: 6fba.*
+ 48b3: 0f0d.*
+ 48b4: 6fba.*
+ 48b5: 0c0e.*
+ 48b6: 6fba.*
+ 48b7: 0d0e.*
+ 48b8: 6fba.*
+ 48b9: 0c0e.*
+ 48ba: 6fba.*
+ 48bb: 0e0e.*
+ 48bc: 6fba.*
+ 48bd: 0f0e.*
+ 48be: 6fba.*
+ 48bf: 0f0e.*
+ 48c0: 6fba.*
+ 48c1: 0c0f.*
+ 48c2: 6fba.*
+ 48c3: 0d0f.*
+ 48c4: 6fba.*
+ 48c5: 0c0f.*
+ 48c6: 6fba.*
+ 48c7: 0e0f.*
+ 48c8: 6fba.*
+ 48c9: 0f0f.*
+ 48ca: 6fba.*
+ 48cb: 0f0f.*
+ 48cc: 00c2.*
+ 48cd: 6fc2.*
+ 48ce: 0d00.*
+ 48cf: 00c2.*
+ 48d0: 6fc2.*
+ 48d1: 0e00.*
+ 48d2: 01c2.*
+ 48d3: 01c2.*
+ 48d4: 6fc2.*
+ 48d5: 0c10.*
+ 48d6: 6fc2.*
+ 48d7: 0d10.*
+ 48d8: 6fc2.*
+ 48d9: 0c10.*
+ 48da: 6fc2.*
+ 48db: 0e10.*
+ 48dc: 6fc2.*
+ 48dd: 0f10.*
+ 48de: 6fc2.*
+ 48df: 0f10.*
+ 48e0: 6fc2.*
+ 48e1: 0c11.*
+ 48e2: 6fc2.*
+ 48e3: 0d11.*
+ 48e4: 6fc2.*
+ 48e5: 0c11.*
+ 48e6: 6fc2.*
+ 48e7: 0e11.*
+ 48e8: 6fc2.*
+ 48e9: 0f11.*
+ 48ea: 6fc2.*
+ 48eb: 0f11.*
+ 48ec: 6fc2.*
+ 48ed: 0c12.*
+ 48ee: 6fc2.*
+ 48ef: 0d12.*
+ 48f0: 6fc2.*
+ 48f1: 0c12.*
+ 48f2: 6fc2.*
+ 48f3: 0e12.*
+ 48f4: 6fc2.*
+ 48f5: 0f12.*
+ 48f6: 6fc2.*
+ 48f7: 0f12.*
+ 48f8: 6fc2.*
+ 48f9: 0c13.*
+ 48fa: 6fc2.*
+ 48fb: 0d13.*
+ 48fc: 6fc2.*
+ 48fd: 0c13.*
+ 48fe: 6fc2.*
+ 48ff: 0e13.*
+ 4900: 6fc2.*
+ 4901: 0f13.*
+ 4902: 6fc2.*
+ 4903: 0f13.*
+ 4904: 6fc2.*
+ 4905: 0c14.*
+ 4906: 6fc2.*
+ 4907: 0d14.*
+ 4908: 6fc2.*
+ 4909: 0c14.*
+ 490a: 6fc2.*
+ 490b: 0e14.*
+ 490c: 6fc2.*
+ 490d: 0f14.*
+ 490e: 6fc2.*
+ 490f: 0f14.*
+ 4910: 6fc2.*
+ 4911: 0c15.*
+ 4912: 6fc2.*
+ 4913: 0d15.*
+ 4914: 6fc2.*
+ 4915: 0c15.*
+ 4916: 6fc2.*
+ 4917: 0e15.*
+ 4918: 6fc2.*
+ 4919: 0f15.*
+ 491a: 6fc2.*
+ 491b: 0f15.*
+ 491c: 6fc2.*
+ 491d: 0c16.*
+ 491e: 6fc2.*
+ 491f: 0d16.*
+ 4920: 6fc2.*
+ 4921: 0c16.*
+ 4922: 6fc2.*
+ 4923: 0e16.*
+ 4924: 6fc2.*
+ 4925: 0f16.*
+ 4926: 6fc2.*
+ 4927: 0f16.*
+ 4928: 6fc2.*
+ 4929: 0c17.*
+ 492a: 6fc2.*
+ 492b: 0d17.*
+ 492c: 6fc2.*
+ 492d: 0c17.*
+ 492e: 6fc2.*
+ 492f: 0e17.*
+ 4930: 6fc2.*
+ 4931: 0f17.*
+ 4932: 6fc2.*
+ 4933: 0f17.*
+ 4934: 6fc2.*
+ 4935: 0c18.*
+ 4936: 6fc2.*
+ 4937: 0d18.*
+ 4938: 6fc2.*
+ 4939: 0c18.*
+ 493a: 6fc2.*
+ 493b: 0e18.*
+ 493c: 6fc2.*
+ 493d: 0f18.*
+ 493e: 6fc2.*
+ 493f: 0f18.*
+ 4940: 6fc2.*
+ 4941: 0c19.*
+ 4942: 6fc2.*
+ 4943: 0d19.*
+ 4944: 6fc2.*
+ 4945: 0c19.*
+ 4946: 6fc2.*
+ 4947: 0e19.*
+ 4948: 6fc2.*
+ 4949: 0f19.*
+ 494a: 6fc2.*
+ 494b: 0f19.*
+ 494c: 6fc2.*
+ 494d: 0c1a.*
+ 494e: 6fc2.*
+ 494f: 0d1a.*
+ 4950: 6fc2.*
+ 4951: 0c1a.*
+ 4952: 6fc2.*
+ 4953: 0e1a.*
+ 4954: 6fc2.*
+ 4955: 0f1a.*
+ 4956: 6fc2.*
+ 4957: 0f1a.*
+ 4958: 6fc2.*
+ 4959: 0c1b.*
+ 495a: 6fc2.*
+ 495b: 0d1b.*
+ 495c: 6fc2.*
+ 495d: 0c1b.*
+ 495e: 6fc2.*
+ 495f: 0e1b.*
+ 4960: 6fc2.*
+ 4961: 0f1b.*
+ 4962: 6fc2.*
+ 4963: 0f1b.*
+ 4964: 6fc2.*
+ 4965: 0c1c.*
+ 4966: 6fc2.*
+ 4967: 0d1c.*
+ 4968: 6fc2.*
+ 4969: 0c1c.*
+ 496a: 6fc2.*
+ 496b: 0e1c.*
+ 496c: 6fc2.*
+ 496d: 0f1c.*
+ 496e: 6fc2.*
+ 496f: 0f1c.*
+ 4970: 6fc2.*
+ 4971: 0c1d.*
+ 4972: 6fc2.*
+ 4973: 0d1d.*
+ 4974: 6fc2.*
+ 4975: 0c1d.*
+ 4976: 6fc2.*
+ 4977: 0e1d.*
+ 4978: 6fc2.*
+ 4979: 0f1d.*
+ 497a: 6fc2.*
+ 497b: 0f1d.*
+ 497c: 6fc2.*
+ 497d: 0c1e.*
+ 497e: 6fc2.*
+ 497f: 0d1e.*
+ 4980: 6fc2.*
+ 4981: 0c1e.*
+ 4982: 6fc2.*
+ 4983: 0e1e.*
+ 4984: 6fc2.*
+ 4985: 0f1e.*
+ 4986: 6fc2.*
+ 4987: 0f1e.*
+ 4988: 6fc2.*
+ 4989: 0c1f.*
+ 498a: 6fc2.*
+ 498b: 0d1f.*
+ 498c: 6fc2.*
+ 498d: 0c1f.*
+ 498e: 6fc2.*
+ 498f: 0e1f.*
+ 4990: 6fc2.*
+ 4991: 0f1f.*
+ 4992: 6fc2.*
+ 4993: 0f1f.*
+ 4994: 00c2.*
+ 4995: 6fc2.*
+ 4996: 0d00.*
+ 4997: 00c2.*
+ 4998: 6fc2.*
+ 4999: 0e00.*
+ 499a: 01c2.*
+ 499b: 01c2.*
+ 499c: 6fc2.*
+ 499d: 0c01.*
+ 499e: 6fc2.*
+ 499f: 0d01.*
+ 49a0: 6fc2.*
+ 49a1: 0c01.*
+ 49a2: 6fc2.*
+ 49a3: 0e01.*
+ 49a4: 6fc2.*
+ 49a5: 0f01.*
+ 49a6: 6fc2.*
+ 49a7: 0f01.*
+ 49a8: 6fc2.*
+ 49a9: 0c02.*
+ 49aa: 6fc2.*
+ 49ab: 0d02.*
+ 49ac: 6fc2.*
+ 49ad: 0c02.*
+ 49ae: 6fc2.*
+ 49af: 0e02.*
+ 49b0: 6fc2.*
+ 49b1: 0f02.*
+ 49b2: 6fc2.*
+ 49b3: 0f02.*
+ 49b4: 6fc2.*
+ 49b5: 0c03.*
+ 49b6: 6fc2.*
+ 49b7: 0d03.*
+ 49b8: 6fc2.*
+ 49b9: 0c03.*
+ 49ba: 6fc2.*
+ 49bb: 0e03.*
+ 49bc: 6fc2.*
+ 49bd: 0f03.*
+ 49be: 6fc2.*
+ 49bf: 0f03.*
+ 49c0: 6fc2.*
+ 49c1: 0c04.*
+ 49c2: 6fc2.*
+ 49c3: 0d04.*
+ 49c4: 6fc2.*
+ 49c5: 0c04.*
+ 49c6: 6fc2.*
+ 49c7: 0e04.*
+ 49c8: 6fc2.*
+ 49c9: 0f04.*
+ 49ca: 6fc2.*
+ 49cb: 0f04.*
+ 49cc: 6fc2.*
+ 49cd: 0c05.*
+ 49ce: 6fc2.*
+ 49cf: 0d05.*
+ 49d0: 6fc2.*
+ 49d1: 0c05.*
+ 49d2: 6fc2.*
+ 49d3: 0e05.*
+ 49d4: 6fc2.*
+ 49d5: 0f05.*
+ 49d6: 6fc2.*
+ 49d7: 0f05.*
+ 49d8: 6fc2.*
+ 49d9: 0c06.*
+ 49da: 6fc2.*
+ 49db: 0d06.*
+ 49dc: 6fc2.*
+ 49dd: 0c06.*
+ 49de: 6fc2.*
+ 49df: 0e06.*
+ 49e0: 6fc2.*
+ 49e1: 0f06.*
+ 49e2: 6fc2.*
+ 49e3: 0f06.*
+ 49e4: 6fc2.*
+ 49e5: 0c07.*
+ 49e6: 6fc2.*
+ 49e7: 0d07.*
+ 49e8: 6fc2.*
+ 49e9: 0c07.*
+ 49ea: 6fc2.*
+ 49eb: 0e07.*
+ 49ec: 6fc2.*
+ 49ed: 0f07.*
+ 49ee: 6fc2.*
+ 49ef: 0f07.*
+ 49f0: 6fc2.*
+ 49f1: 0c08.*
+ 49f2: 6fc2.*
+ 49f3: 0d08.*
+ 49f4: 6fc2.*
+ 49f5: 0c08.*
+ 49f6: 6fc2.*
+ 49f7: 0e08.*
+ 49f8: 6fc2.*
+ 49f9: 0f08.*
+ 49fa: 6fc2.*
+ 49fb: 0f08.*
+ 49fc: 6fc2.*
+ 49fd: 0c09.*
+ 49fe: 6fc2.*
+ 49ff: 0d09.*
+ 4a00: 6fc2.*
+ 4a01: 0c09.*
+ 4a02: 6fc2.*
+ 4a03: 0e09.*
+ 4a04: 6fc2.*
+ 4a05: 0f09.*
+ 4a06: 6fc2.*
+ 4a07: 0f09.*
+ 4a08: 6fc2.*
+ 4a09: 0c0a.*
+ 4a0a: 6fc2.*
+ 4a0b: 0d0a.*
+ 4a0c: 6fc2.*
+ 4a0d: 0c0a.*
+ 4a0e: 6fc2.*
+ 4a0f: 0e0a.*
+ 4a10: 6fc2.*
+ 4a11: 0f0a.*
+ 4a12: 6fc2.*
+ 4a13: 0f0a.*
+ 4a14: 6fc2.*
+ 4a15: 0c0b.*
+ 4a16: 6fc2.*
+ 4a17: 0d0b.*
+ 4a18: 6fc2.*
+ 4a19: 0c0b.*
+ 4a1a: 6fc2.*
+ 4a1b: 0e0b.*
+ 4a1c: 6fc2.*
+ 4a1d: 0f0b.*
+ 4a1e: 6fc2.*
+ 4a1f: 0f0b.*
+ 4a20: 6fc2.*
+ 4a21: 0c0c.*
+ 4a22: 6fc2.*
+ 4a23: 0d0c.*
+ 4a24: 6fc2.*
+ 4a25: 0c0c.*
+ 4a26: 6fc2.*
+ 4a27: 0e0c.*
+ 4a28: 6fc2.*
+ 4a29: 0f0c.*
+ 4a2a: 6fc2.*
+ 4a2b: 0f0c.*
+ 4a2c: 6fc2.*
+ 4a2d: 0c0d.*
+ 4a2e: 6fc2.*
+ 4a2f: 0d0d.*
+ 4a30: 6fc2.*
+ 4a31: 0c0d.*
+ 4a32: 6fc2.*
+ 4a33: 0e0d.*
+ 4a34: 6fc2.*
+ 4a35: 0f0d.*
+ 4a36: 6fc2.*
+ 4a37: 0f0d.*
+ 4a38: 6fc2.*
+ 4a39: 0c0e.*
+ 4a3a: 6fc2.*
+ 4a3b: 0d0e.*
+ 4a3c: 6fc2.*
+ 4a3d: 0c0e.*
+ 4a3e: 6fc2.*
+ 4a3f: 0e0e.*
+ 4a40: 6fc2.*
+ 4a41: 0f0e.*
+ 4a42: 6fc2.*
+ 4a43: 0f0e.*
+ 4a44: 6fc2.*
+ 4a45: 0c0f.*
+ 4a46: 6fc2.*
+ 4a47: 0d0f.*
+ 4a48: 6fc2.*
+ 4a49: 0c0f.*
+ 4a4a: 6fc2.*
+ 4a4b: 0e0f.*
+ 4a4c: 6fc2.*
+ 4a4d: 0f0f.*
+ 4a4e: 6fc2.*
+ 4a4f: 0f0f.*
+ 4a50: 00ca.*
+ 4a51: 6fca.*
+ 4a52: 0d00.*
+ 4a53: 00ca.*
+ 4a54: 6fca.*
+ 4a55: 0e00.*
+ 4a56: 01ca.*
+ 4a57: 01ca.*
+ 4a58: 6fca.*
+ 4a59: 0c10.*
+ 4a5a: 6fca.*
+ 4a5b: 0d10.*
+ 4a5c: 6fca.*
+ 4a5d: 0c10.*
+ 4a5e: 6fca.*
+ 4a5f: 0e10.*
+ 4a60: 6fca.*
+ 4a61: 0f10.*
+ 4a62: 6fca.*
+ 4a63: 0f10.*
+ 4a64: 6fca.*
+ 4a65: 0c11.*
+ 4a66: 6fca.*
+ 4a67: 0d11.*
+ 4a68: 6fca.*
+ 4a69: 0c11.*
+ 4a6a: 6fca.*
+ 4a6b: 0e11.*
+ 4a6c: 6fca.*
+ 4a6d: 0f11.*
+ 4a6e: 6fca.*
+ 4a6f: 0f11.*
+ 4a70: 6fca.*
+ 4a71: 0c12.*
+ 4a72: 6fca.*
+ 4a73: 0d12.*
+ 4a74: 6fca.*
+ 4a75: 0c12.*
+ 4a76: 6fca.*
+ 4a77: 0e12.*
+ 4a78: 6fca.*
+ 4a79: 0f12.*
+ 4a7a: 6fca.*
+ 4a7b: 0f12.*
+ 4a7c: 6fca.*
+ 4a7d: 0c13.*
+ 4a7e: 6fca.*
+ 4a7f: 0d13.*
+ 4a80: 6fca.*
+ 4a81: 0c13.*
+ 4a82: 6fca.*
+ 4a83: 0e13.*
+ 4a84: 6fca.*
+ 4a85: 0f13.*
+ 4a86: 6fca.*
+ 4a87: 0f13.*
+ 4a88: 6fca.*
+ 4a89: 0c14.*
+ 4a8a: 6fca.*
+ 4a8b: 0d14.*
+ 4a8c: 6fca.*
+ 4a8d: 0c14.*
+ 4a8e: 6fca.*
+ 4a8f: 0e14.*
+ 4a90: 6fca.*
+ 4a91: 0f14.*
+ 4a92: 6fca.*
+ 4a93: 0f14.*
+ 4a94: 6fca.*
+ 4a95: 0c15.*
+ 4a96: 6fca.*
+ 4a97: 0d15.*
+ 4a98: 6fca.*
+ 4a99: 0c15.*
+ 4a9a: 6fca.*
+ 4a9b: 0e15.*
+ 4a9c: 6fca.*
+ 4a9d: 0f15.*
+ 4a9e: 6fca.*
+ 4a9f: 0f15.*
+ 4aa0: 6fca.*
+ 4aa1: 0c16.*
+ 4aa2: 6fca.*
+ 4aa3: 0d16.*
+ 4aa4: 6fca.*
+ 4aa5: 0c16.*
+ 4aa6: 6fca.*
+ 4aa7: 0e16.*
+ 4aa8: 6fca.*
+ 4aa9: 0f16.*
+ 4aaa: 6fca.*
+ 4aab: 0f16.*
+ 4aac: 6fca.*
+ 4aad: 0c17.*
+ 4aae: 6fca.*
+ 4aaf: 0d17.*
+ 4ab0: 6fca.*
+ 4ab1: 0c17.*
+ 4ab2: 6fca.*
+ 4ab3: 0e17.*
+ 4ab4: 6fca.*
+ 4ab5: 0f17.*
+ 4ab6: 6fca.*
+ 4ab7: 0f17.*
+ 4ab8: 6fca.*
+ 4ab9: 0c18.*
+ 4aba: 6fca.*
+ 4abb: 0d18.*
+ 4abc: 6fca.*
+ 4abd: 0c18.*
+ 4abe: 6fca.*
+ 4abf: 0e18.*
+ 4ac0: 6fca.*
+ 4ac1: 0f18.*
+ 4ac2: 6fca.*
+ 4ac3: 0f18.*
+ 4ac4: 6fca.*
+ 4ac5: 0c19.*
+ 4ac6: 6fca.*
+ 4ac7: 0d19.*
+ 4ac8: 6fca.*
+ 4ac9: 0c19.*
+ 4aca: 6fca.*
+ 4acb: 0e19.*
+ 4acc: 6fca.*
+ 4acd: 0f19.*
+ 4ace: 6fca.*
+ 4acf: 0f19.*
+ 4ad0: 6fca.*
+ 4ad1: 0c1a.*
+ 4ad2: 6fca.*
+ 4ad3: 0d1a.*
+ 4ad4: 6fca.*
+ 4ad5: 0c1a.*
+ 4ad6: 6fca.*
+ 4ad7: 0e1a.*
+ 4ad8: 6fca.*
+ 4ad9: 0f1a.*
+ 4ada: 6fca.*
+ 4adb: 0f1a.*
+ 4adc: 6fca.*
+ 4add: 0c1b.*
+ 4ade: 6fca.*
+ 4adf: 0d1b.*
+ 4ae0: 6fca.*
+ 4ae1: 0c1b.*
+ 4ae2: 6fca.*
+ 4ae3: 0e1b.*
+ 4ae4: 6fca.*
+ 4ae5: 0f1b.*
+ 4ae6: 6fca.*
+ 4ae7: 0f1b.*
+ 4ae8: 6fca.*
+ 4ae9: 0c1c.*
+ 4aea: 6fca.*
+ 4aeb: 0d1c.*
+ 4aec: 6fca.*
+ 4aed: 0c1c.*
+ 4aee: 6fca.*
+ 4aef: 0e1c.*
+ 4af0: 6fca.*
+ 4af1: 0f1c.*
+ 4af2: 6fca.*
+ 4af3: 0f1c.*
+ 4af4: 6fca.*
+ 4af5: 0c1d.*
+ 4af6: 6fca.*
+ 4af7: 0d1d.*
+ 4af8: 6fca.*
+ 4af9: 0c1d.*
+ 4afa: 6fca.*
+ 4afb: 0e1d.*
+ 4afc: 6fca.*
+ 4afd: 0f1d.*
+ 4afe: 6fca.*
+ 4aff: 0f1d.*
+ 4b00: 6fca.*
+ 4b01: 0c1e.*
+ 4b02: 6fca.*
+ 4b03: 0d1e.*
+ 4b04: 6fca.*
+ 4b05: 0c1e.*
+ 4b06: 6fca.*
+ 4b07: 0e1e.*
+ 4b08: 6fca.*
+ 4b09: 0f1e.*
+ 4b0a: 6fca.*
+ 4b0b: 0f1e.*
+ 4b0c: 6fca.*
+ 4b0d: 0c1f.*
+ 4b0e: 6fca.*
+ 4b0f: 0d1f.*
+ 4b10: 6fca.*
+ 4b11: 0c1f.*
+ 4b12: 6fca.*
+ 4b13: 0e1f.*
+ 4b14: 6fca.*
+ 4b15: 0f1f.*
+ 4b16: 6fca.*
+ 4b17: 0f1f.*
+ 4b18: 00ca.*
+ 4b19: 6fca.*
+ 4b1a: 0d00.*
+ 4b1b: 00ca.*
+ 4b1c: 6fca.*
+ 4b1d: 0e00.*
+ 4b1e: 01ca.*
+ 4b1f: 01ca.*
+ 4b20: 6fca.*
+ 4b21: 0c01.*
+ 4b22: 6fca.*
+ 4b23: 0d01.*
+ 4b24: 6fca.*
+ 4b25: 0c01.*
+ 4b26: 6fca.*
+ 4b27: 0e01.*
+ 4b28: 6fca.*
+ 4b29: 0f01.*
+ 4b2a: 6fca.*
+ 4b2b: 0f01.*
+ 4b2c: 6fca.*
+ 4b2d: 0c02.*
+ 4b2e: 6fca.*
+ 4b2f: 0d02.*
+ 4b30: 6fca.*
+ 4b31: 0c02.*
+ 4b32: 6fca.*
+ 4b33: 0e02.*
+ 4b34: 6fca.*
+ 4b35: 0f02.*
+ 4b36: 6fca.*
+ 4b37: 0f02.*
+ 4b38: 6fca.*
+ 4b39: 0c03.*
+ 4b3a: 6fca.*
+ 4b3b: 0d03.*
+ 4b3c: 6fca.*
+ 4b3d: 0c03.*
+ 4b3e: 6fca.*
+ 4b3f: 0e03.*
+ 4b40: 6fca.*
+ 4b41: 0f03.*
+ 4b42: 6fca.*
+ 4b43: 0f03.*
+ 4b44: 6fca.*
+ 4b45: 0c04.*
+ 4b46: 6fca.*
+ 4b47: 0d04.*
+ 4b48: 6fca.*
+ 4b49: 0c04.*
+ 4b4a: 6fca.*
+ 4b4b: 0e04.*
+ 4b4c: 6fca.*
+ 4b4d: 0f04.*
+ 4b4e: 6fca.*
+ 4b4f: 0f04.*
+ 4b50: 6fca.*
+ 4b51: 0c05.*
+ 4b52: 6fca.*
+ 4b53: 0d05.*
+ 4b54: 6fca.*
+ 4b55: 0c05.*
+ 4b56: 6fca.*
+ 4b57: 0e05.*
+ 4b58: 6fca.*
+ 4b59: 0f05.*
+ 4b5a: 6fca.*
+ 4b5b: 0f05.*
+ 4b5c: 6fca.*
+ 4b5d: 0c06.*
+ 4b5e: 6fca.*
+ 4b5f: 0d06.*
+ 4b60: 6fca.*
+ 4b61: 0c06.*
+ 4b62: 6fca.*
+ 4b63: 0e06.*
+ 4b64: 6fca.*
+ 4b65: 0f06.*
+ 4b66: 6fca.*
+ 4b67: 0f06.*
+ 4b68: 6fca.*
+ 4b69: 0c07.*
+ 4b6a: 6fca.*
+ 4b6b: 0d07.*
+ 4b6c: 6fca.*
+ 4b6d: 0c07.*
+ 4b6e: 6fca.*
+ 4b6f: 0e07.*
+ 4b70: 6fca.*
+ 4b71: 0f07.*
+ 4b72: 6fca.*
+ 4b73: 0f07.*
+ 4b74: 6fca.*
+ 4b75: 0c08.*
+ 4b76: 6fca.*
+ 4b77: 0d08.*
+ 4b78: 6fca.*
+ 4b79: 0c08.*
+ 4b7a: 6fca.*
+ 4b7b: 0e08.*
+ 4b7c: 6fca.*
+ 4b7d: 0f08.*
+ 4b7e: 6fca.*
+ 4b7f: 0f08.*
+ 4b80: 6fca.*
+ 4b81: 0c09.*
+ 4b82: 6fca.*
+ 4b83: 0d09.*
+ 4b84: 6fca.*
+ 4b85: 0c09.*
+ 4b86: 6fca.*
+ 4b87: 0e09.*
+ 4b88: 6fca.*
+ 4b89: 0f09.*
+ 4b8a: 6fca.*
+ 4b8b: 0f09.*
+ 4b8c: 6fca.*
+ 4b8d: 0c0a.*
+ 4b8e: 6fca.*
+ 4b8f: 0d0a.*
+ 4b90: 6fca.*
+ 4b91: 0c0a.*
+ 4b92: 6fca.*
+ 4b93: 0e0a.*
+ 4b94: 6fca.*
+ 4b95: 0f0a.*
+ 4b96: 6fca.*
+ 4b97: 0f0a.*
+ 4b98: 6fca.*
+ 4b99: 0c0b.*
+ 4b9a: 6fca.*
+ 4b9b: 0d0b.*
+ 4b9c: 6fca.*
+ 4b9d: 0c0b.*
+ 4b9e: 6fca.*
+ 4b9f: 0e0b.*
+ 4ba0: 6fca.*
+ 4ba1: 0f0b.*
+ 4ba2: 6fca.*
+ 4ba3: 0f0b.*
+ 4ba4: 6fca.*
+ 4ba5: 0c0c.*
+ 4ba6: 6fca.*
+ 4ba7: 0d0c.*
+ 4ba8: 6fca.*
+ 4ba9: 0c0c.*
+ 4baa: 6fca.*
+ 4bab: 0e0c.*
+ 4bac: 6fca.*
+ 4bad: 0f0c.*
+ 4bae: 6fca.*
+ 4baf: 0f0c.*
+ 4bb0: 6fca.*
+ 4bb1: 0c0d.*
+ 4bb2: 6fca.*
+ 4bb3: 0d0d.*
+ 4bb4: 6fca.*
+ 4bb5: 0c0d.*
+ 4bb6: 6fca.*
+ 4bb7: 0e0d.*
+ 4bb8: 6fca.*
+ 4bb9: 0f0d.*
+ 4bba: 6fca.*
+ 4bbb: 0f0d.*
+ 4bbc: 6fca.*
+ 4bbd: 0c0e.*
+ 4bbe: 6fca.*
+ 4bbf: 0d0e.*
+ 4bc0: 6fca.*
+ 4bc1: 0c0e.*
+ 4bc2: 6fca.*
+ 4bc3: 0e0e.*
+ 4bc4: 6fca.*
+ 4bc5: 0f0e.*
+ 4bc6: 6fca.*
+ 4bc7: 0f0e.*
+ 4bc8: 6fca.*
+ 4bc9: 0c0f.*
+ 4bca: 6fca.*
+ 4bcb: 0d0f.*
+ 4bcc: 6fca.*
+ 4bcd: 0c0f.*
+ 4bce: 6fca.*
+ 4bcf: 0e0f.*
+ 4bd0: 6fca.*
+ 4bd1: 0f0f.*
+ 4bd2: 6fca.*
+ 4bd3: 0f0f.*
+ 4bd4: 00d2.*
+ 4bd5: 6fd2.*
+ 4bd6: 0d00.*
+ 4bd7: 00d2.*
+ 4bd8: 6fd2.*
+ 4bd9: 0e00.*
+ 4bda: 01d2.*
+ 4bdb: 01d2.*
+ 4bdc: 6fd2.*
+ 4bdd: 0c10.*
+ 4bde: 6fd2.*
+ 4bdf: 0d10.*
+ 4be0: 6fd2.*
+ 4be1: 0c10.*
+ 4be2: 6fd2.*
+ 4be3: 0e10.*
+ 4be4: 6fd2.*
+ 4be5: 0f10.*
+ 4be6: 6fd2.*
+ 4be7: 0f10.*
+ 4be8: 6fd2.*
+ 4be9: 0c11.*
+ 4bea: 6fd2.*
+ 4beb: 0d11.*
+ 4bec: 6fd2.*
+ 4bed: 0c11.*
+ 4bee: 6fd2.*
+ 4bef: 0e11.*
+ 4bf0: 6fd2.*
+ 4bf1: 0f11.*
+ 4bf2: 6fd2.*
+ 4bf3: 0f11.*
+ 4bf4: 6fd2.*
+ 4bf5: 0c12.*
+ 4bf6: 6fd2.*
+ 4bf7: 0d12.*
+ 4bf8: 6fd2.*
+ 4bf9: 0c12.*
+ 4bfa: 6fd2.*
+ 4bfb: 0e12.*
+ 4bfc: 6fd2.*
+ 4bfd: 0f12.*
+ 4bfe: 6fd2.*
+ 4bff: 0f12.*
+ 4c00: 6fd2.*
+ 4c01: 0c13.*
+ 4c02: 6fd2.*
+ 4c03: 0d13.*
+ 4c04: 6fd2.*
+ 4c05: 0c13.*
+ 4c06: 6fd2.*
+ 4c07: 0e13.*
+ 4c08: 6fd2.*
+ 4c09: 0f13.*
+ 4c0a: 6fd2.*
+ 4c0b: 0f13.*
+ 4c0c: 6fd2.*
+ 4c0d: 0c14.*
+ 4c0e: 6fd2.*
+ 4c0f: 0d14.*
+ 4c10: 6fd2.*
+ 4c11: 0c14.*
+ 4c12: 6fd2.*
+ 4c13: 0e14.*
+ 4c14: 6fd2.*
+ 4c15: 0f14.*
+ 4c16: 6fd2.*
+ 4c17: 0f14.*
+ 4c18: 6fd2.*
+ 4c19: 0c15.*
+ 4c1a: 6fd2.*
+ 4c1b: 0d15.*
+ 4c1c: 6fd2.*
+ 4c1d: 0c15.*
+ 4c1e: 6fd2.*
+ 4c1f: 0e15.*
+ 4c20: 6fd2.*
+ 4c21: 0f15.*
+ 4c22: 6fd2.*
+ 4c23: 0f15.*
+ 4c24: 6fd2.*
+ 4c25: 0c16.*
+ 4c26: 6fd2.*
+ 4c27: 0d16.*
+ 4c28: 6fd2.*
+ 4c29: 0c16.*
+ 4c2a: 6fd2.*
+ 4c2b: 0e16.*
+ 4c2c: 6fd2.*
+ 4c2d: 0f16.*
+ 4c2e: 6fd2.*
+ 4c2f: 0f16.*
+ 4c30: 6fd2.*
+ 4c31: 0c17.*
+ 4c32: 6fd2.*
+ 4c33: 0d17.*
+ 4c34: 6fd2.*
+ 4c35: 0c17.*
+ 4c36: 6fd2.*
+ 4c37: 0e17.*
+ 4c38: 6fd2.*
+ 4c39: 0f17.*
+ 4c3a: 6fd2.*
+ 4c3b: 0f17.*
+ 4c3c: 6fd2.*
+ 4c3d: 0c18.*
+ 4c3e: 6fd2.*
+ 4c3f: 0d18.*
+ 4c40: 6fd2.*
+ 4c41: 0c18.*
+ 4c42: 6fd2.*
+ 4c43: 0e18.*
+ 4c44: 6fd2.*
+ 4c45: 0f18.*
+ 4c46: 6fd2.*
+ 4c47: 0f18.*
+ 4c48: 6fd2.*
+ 4c49: 0c19.*
+ 4c4a: 6fd2.*
+ 4c4b: 0d19.*
+ 4c4c: 6fd2.*
+ 4c4d: 0c19.*
+ 4c4e: 6fd2.*
+ 4c4f: 0e19.*
+ 4c50: 6fd2.*
+ 4c51: 0f19.*
+ 4c52: 6fd2.*
+ 4c53: 0f19.*
+ 4c54: 6fd2.*
+ 4c55: 0c1a.*
+ 4c56: 6fd2.*
+ 4c57: 0d1a.*
+ 4c58: 6fd2.*
+ 4c59: 0c1a.*
+ 4c5a: 6fd2.*
+ 4c5b: 0e1a.*
+ 4c5c: 6fd2.*
+ 4c5d: 0f1a.*
+ 4c5e: 6fd2.*
+ 4c5f: 0f1a.*
+ 4c60: 6fd2.*
+ 4c61: 0c1b.*
+ 4c62: 6fd2.*
+ 4c63: 0d1b.*
+ 4c64: 6fd2.*
+ 4c65: 0c1b.*
+ 4c66: 6fd2.*
+ 4c67: 0e1b.*
+ 4c68: 6fd2.*
+ 4c69: 0f1b.*
+ 4c6a: 6fd2.*
+ 4c6b: 0f1b.*
+ 4c6c: 6fd2.*
+ 4c6d: 0c1c.*
+ 4c6e: 6fd2.*
+ 4c6f: 0d1c.*
+ 4c70: 6fd2.*
+ 4c71: 0c1c.*
+ 4c72: 6fd2.*
+ 4c73: 0e1c.*
+ 4c74: 6fd2.*
+ 4c75: 0f1c.*
+ 4c76: 6fd2.*
+ 4c77: 0f1c.*
+ 4c78: 6fd2.*
+ 4c79: 0c1d.*
+ 4c7a: 6fd2.*
+ 4c7b: 0d1d.*
+ 4c7c: 6fd2.*
+ 4c7d: 0c1d.*
+ 4c7e: 6fd2.*
+ 4c7f: 0e1d.*
+ 4c80: 6fd2.*
+ 4c81: 0f1d.*
+ 4c82: 6fd2.*
+ 4c83: 0f1d.*
+ 4c84: 6fd2.*
+ 4c85: 0c1e.*
+ 4c86: 6fd2.*
+ 4c87: 0d1e.*
+ 4c88: 6fd2.*
+ 4c89: 0c1e.*
+ 4c8a: 6fd2.*
+ 4c8b: 0e1e.*
+ 4c8c: 6fd2.*
+ 4c8d: 0f1e.*
+ 4c8e: 6fd2.*
+ 4c8f: 0f1e.*
+ 4c90: 6fd2.*
+ 4c91: 0c1f.*
+ 4c92: 6fd2.*
+ 4c93: 0d1f.*
+ 4c94: 6fd2.*
+ 4c95: 0c1f.*
+ 4c96: 6fd2.*
+ 4c97: 0e1f.*
+ 4c98: 6fd2.*
+ 4c99: 0f1f.*
+ 4c9a: 6fd2.*
+ 4c9b: 0f1f.*
+ 4c9c: 00d2.*
+ 4c9d: 6fd2.*
+ 4c9e: 0d00.*
+ 4c9f: 00d2.*
+ 4ca0: 6fd2.*
+ 4ca1: 0e00.*
+ 4ca2: 01d2.*
+ 4ca3: 01d2.*
+ 4ca4: 6fd2.*
+ 4ca5: 0c01.*
+ 4ca6: 6fd2.*
+ 4ca7: 0d01.*
+ 4ca8: 6fd2.*
+ 4ca9: 0c01.*
+ 4caa: 6fd2.*
+ 4cab: 0e01.*
+ 4cac: 6fd2.*
+ 4cad: 0f01.*
+ 4cae: 6fd2.*
+ 4caf: 0f01.*
+ 4cb0: 6fd2.*
+ 4cb1: 0c02.*
+ 4cb2: 6fd2.*
+ 4cb3: 0d02.*
+ 4cb4: 6fd2.*
+ 4cb5: 0c02.*
+ 4cb6: 6fd2.*
+ 4cb7: 0e02.*
+ 4cb8: 6fd2.*
+ 4cb9: 0f02.*
+ 4cba: 6fd2.*
+ 4cbb: 0f02.*
+ 4cbc: 6fd2.*
+ 4cbd: 0c03.*
+ 4cbe: 6fd2.*
+ 4cbf: 0d03.*
+ 4cc0: 6fd2.*
+ 4cc1: 0c03.*
+ 4cc2: 6fd2.*
+ 4cc3: 0e03.*
+ 4cc4: 6fd2.*
+ 4cc5: 0f03.*
+ 4cc6: 6fd2.*
+ 4cc7: 0f03.*
+ 4cc8: 6fd2.*
+ 4cc9: 0c04.*
+ 4cca: 6fd2.*
+ 4ccb: 0d04.*
+ 4ccc: 6fd2.*
+ 4ccd: 0c04.*
+ 4cce: 6fd2.*
+ 4ccf: 0e04.*
+ 4cd0: 6fd2.*
+ 4cd1: 0f04.*
+ 4cd2: 6fd2.*
+ 4cd3: 0f04.*
+ 4cd4: 6fd2.*
+ 4cd5: 0c05.*
+ 4cd6: 6fd2.*
+ 4cd7: 0d05.*
+ 4cd8: 6fd2.*
+ 4cd9: 0c05.*
+ 4cda: 6fd2.*
+ 4cdb: 0e05.*
+ 4cdc: 6fd2.*
+ 4cdd: 0f05.*
+ 4cde: 6fd2.*
+ 4cdf: 0f05.*
+ 4ce0: 6fd2.*
+ 4ce1: 0c06.*
+ 4ce2: 6fd2.*
+ 4ce3: 0d06.*
+ 4ce4: 6fd2.*
+ 4ce5: 0c06.*
+ 4ce6: 6fd2.*
+ 4ce7: 0e06.*
+ 4ce8: 6fd2.*
+ 4ce9: 0f06.*
+ 4cea: 6fd2.*
+ 4ceb: 0f06.*
+ 4cec: 6fd2.*
+ 4ced: 0c07.*
+ 4cee: 6fd2.*
+ 4cef: 0d07.*
+ 4cf0: 6fd2.*
+ 4cf1: 0c07.*
+ 4cf2: 6fd2.*
+ 4cf3: 0e07.*
+ 4cf4: 6fd2.*
+ 4cf5: 0f07.*
+ 4cf6: 6fd2.*
+ 4cf7: 0f07.*
+ 4cf8: 6fd2.*
+ 4cf9: 0c08.*
+ 4cfa: 6fd2.*
+ 4cfb: 0d08.*
+ 4cfc: 6fd2.*
+ 4cfd: 0c08.*
+ 4cfe: 6fd2.*
+ 4cff: 0e08.*
+ 4d00: 6fd2.*
+ 4d01: 0f08.*
+ 4d02: 6fd2.*
+ 4d03: 0f08.*
+ 4d04: 6fd2.*
+ 4d05: 0c09.*
+ 4d06: 6fd2.*
+ 4d07: 0d09.*
+ 4d08: 6fd2.*
+ 4d09: 0c09.*
+ 4d0a: 6fd2.*
+ 4d0b: 0e09.*
+ 4d0c: 6fd2.*
+ 4d0d: 0f09.*
+ 4d0e: 6fd2.*
+ 4d0f: 0f09.*
+ 4d10: 6fd2.*
+ 4d11: 0c0a.*
+ 4d12: 6fd2.*
+ 4d13: 0d0a.*
+ 4d14: 6fd2.*
+ 4d15: 0c0a.*
+ 4d16: 6fd2.*
+ 4d17: 0e0a.*
+ 4d18: 6fd2.*
+ 4d19: 0f0a.*
+ 4d1a: 6fd2.*
+ 4d1b: 0f0a.*
+ 4d1c: 6fd2.*
+ 4d1d: 0c0b.*
+ 4d1e: 6fd2.*
+ 4d1f: 0d0b.*
+ 4d20: 6fd2.*
+ 4d21: 0c0b.*
+ 4d22: 6fd2.*
+ 4d23: 0e0b.*
+ 4d24: 6fd2.*
+ 4d25: 0f0b.*
+ 4d26: 6fd2.*
+ 4d27: 0f0b.*
+ 4d28: 6fd2.*
+ 4d29: 0c0c.*
+ 4d2a: 6fd2.*
+ 4d2b: 0d0c.*
+ 4d2c: 6fd2.*
+ 4d2d: 0c0c.*
+ 4d2e: 6fd2.*
+ 4d2f: 0e0c.*
+ 4d30: 6fd2.*
+ 4d31: 0f0c.*
+ 4d32: 6fd2.*
+ 4d33: 0f0c.*
+ 4d34: 6fd2.*
+ 4d35: 0c0d.*
+ 4d36: 6fd2.*
+ 4d37: 0d0d.*
+ 4d38: 6fd2.*
+ 4d39: 0c0d.*
+ 4d3a: 6fd2.*
+ 4d3b: 0e0d.*
+ 4d3c: 6fd2.*
+ 4d3d: 0f0d.*
+ 4d3e: 6fd2.*
+ 4d3f: 0f0d.*
+ 4d40: 6fd2.*
+ 4d41: 0c0e.*
+ 4d42: 6fd2.*
+ 4d43: 0d0e.*
+ 4d44: 6fd2.*
+ 4d45: 0c0e.*
+ 4d46: 6fd2.*
+ 4d47: 0e0e.*
+ 4d48: 6fd2.*
+ 4d49: 0f0e.*
+ 4d4a: 6fd2.*
+ 4d4b: 0f0e.*
+ 4d4c: 6fd2.*
+ 4d4d: 0c0f.*
+ 4d4e: 6fd2.*
+ 4d4f: 0d0f.*
+ 4d50: 6fd2.*
+ 4d51: 0c0f.*
+ 4d52: 6fd2.*
+ 4d53: 0e0f.*
+ 4d54: 6fd2.*
+ 4d55: 0f0f.*
+ 4d56: 6fd2.*
+ 4d57: 0f0f.*
+ 4d58: 00da.*
+ 4d59: 6fda.*
+ 4d5a: 0d00.*
+ 4d5b: 00da.*
+ 4d5c: 6fda.*
+ 4d5d: 0e00.*
+ 4d5e: 01da.*
+ 4d5f: 01da.*
+ 4d60: 6fda.*
+ 4d61: 0c10.*
+ 4d62: 6fda.*
+ 4d63: 0d10.*
+ 4d64: 6fda.*
+ 4d65: 0c10.*
+ 4d66: 6fda.*
+ 4d67: 0e10.*
+ 4d68: 6fda.*
+ 4d69: 0f10.*
+ 4d6a: 6fda.*
+ 4d6b: 0f10.*
+ 4d6c: 6fda.*
+ 4d6d: 0c11.*
+ 4d6e: 6fda.*
+ 4d6f: 0d11.*
+ 4d70: 6fda.*
+ 4d71: 0c11.*
+ 4d72: 6fda.*
+ 4d73: 0e11.*
+ 4d74: 6fda.*
+ 4d75: 0f11.*
+ 4d76: 6fda.*
+ 4d77: 0f11.*
+ 4d78: 6fda.*
+ 4d79: 0c12.*
+ 4d7a: 6fda.*
+ 4d7b: 0d12.*
+ 4d7c: 6fda.*
+ 4d7d: 0c12.*
+ 4d7e: 6fda.*
+ 4d7f: 0e12.*
+ 4d80: 6fda.*
+ 4d81: 0f12.*
+ 4d82: 6fda.*
+ 4d83: 0f12.*
+ 4d84: 6fda.*
+ 4d85: 0c13.*
+ 4d86: 6fda.*
+ 4d87: 0d13.*
+ 4d88: 6fda.*
+ 4d89: 0c13.*
+ 4d8a: 6fda.*
+ 4d8b: 0e13.*
+ 4d8c: 6fda.*
+ 4d8d: 0f13.*
+ 4d8e: 6fda.*
+ 4d8f: 0f13.*
+ 4d90: 6fda.*
+ 4d91: 0c14.*
+ 4d92: 6fda.*
+ 4d93: 0d14.*
+ 4d94: 6fda.*
+ 4d95: 0c14.*
+ 4d96: 6fda.*
+ 4d97: 0e14.*
+ 4d98: 6fda.*
+ 4d99: 0f14.*
+ 4d9a: 6fda.*
+ 4d9b: 0f14.*
+ 4d9c: 6fda.*
+ 4d9d: 0c15.*
+ 4d9e: 6fda.*
+ 4d9f: 0d15.*
+ 4da0: 6fda.*
+ 4da1: 0c15.*
+ 4da2: 6fda.*
+ 4da3: 0e15.*
+ 4da4: 6fda.*
+ 4da5: 0f15.*
+ 4da6: 6fda.*
+ 4da7: 0f15.*
+ 4da8: 6fda.*
+ 4da9: 0c16.*
+ 4daa: 6fda.*
+ 4dab: 0d16.*
+ 4dac: 6fda.*
+ 4dad: 0c16.*
+ 4dae: 6fda.*
+ 4daf: 0e16.*
+ 4db0: 6fda.*
+ 4db1: 0f16.*
+ 4db2: 6fda.*
+ 4db3: 0f16.*
+ 4db4: 6fda.*
+ 4db5: 0c17.*
+ 4db6: 6fda.*
+ 4db7: 0d17.*
+ 4db8: 6fda.*
+ 4db9: 0c17.*
+ 4dba: 6fda.*
+ 4dbb: 0e17.*
+ 4dbc: 6fda.*
+ 4dbd: 0f17.*
+ 4dbe: 6fda.*
+ 4dbf: 0f17.*
+ 4dc0: 6fda.*
+ 4dc1: 0c18.*
+ 4dc2: 6fda.*
+ 4dc3: 0d18.*
+ 4dc4: 6fda.*
+ 4dc5: 0c18.*
+ 4dc6: 6fda.*
+ 4dc7: 0e18.*
+ 4dc8: 6fda.*
+ 4dc9: 0f18.*
+ 4dca: 6fda.*
+ 4dcb: 0f18.*
+ 4dcc: 6fda.*
+ 4dcd: 0c19.*
+ 4dce: 6fda.*
+ 4dcf: 0d19.*
+ 4dd0: 6fda.*
+ 4dd1: 0c19.*
+ 4dd2: 6fda.*
+ 4dd3: 0e19.*
+ 4dd4: 6fda.*
+ 4dd5: 0f19.*
+ 4dd6: 6fda.*
+ 4dd7: 0f19.*
+ 4dd8: 6fda.*
+ 4dd9: 0c1a.*
+ 4dda: 6fda.*
+ 4ddb: 0d1a.*
+ 4ddc: 6fda.*
+ 4ddd: 0c1a.*
+ 4dde: 6fda.*
+ 4ddf: 0e1a.*
+ 4de0: 6fda.*
+ 4de1: 0f1a.*
+ 4de2: 6fda.*
+ 4de3: 0f1a.*
+ 4de4: 6fda.*
+ 4de5: 0c1b.*
+ 4de6: 6fda.*
+ 4de7: 0d1b.*
+ 4de8: 6fda.*
+ 4de9: 0c1b.*
+ 4dea: 6fda.*
+ 4deb: 0e1b.*
+ 4dec: 6fda.*
+ 4ded: 0f1b.*
+ 4dee: 6fda.*
+ 4def: 0f1b.*
+ 4df0: 6fda.*
+ 4df1: 0c1c.*
+ 4df2: 6fda.*
+ 4df3: 0d1c.*
+ 4df4: 6fda.*
+ 4df5: 0c1c.*
+ 4df6: 6fda.*
+ 4df7: 0e1c.*
+ 4df8: 6fda.*
+ 4df9: 0f1c.*
+ 4dfa: 6fda.*
+ 4dfb: 0f1c.*
+ 4dfc: 6fda.*
+ 4dfd: 0c1d.*
+ 4dfe: 6fda.*
+ 4dff: 0d1d.*
+ 4e00: 6fda.*
+ 4e01: 0c1d.*
+ 4e02: 6fda.*
+ 4e03: 0e1d.*
+ 4e04: 6fda.*
+ 4e05: 0f1d.*
+ 4e06: 6fda.*
+ 4e07: 0f1d.*
+ 4e08: 6fda.*
+ 4e09: 0c1e.*
+ 4e0a: 6fda.*
+ 4e0b: 0d1e.*
+ 4e0c: 6fda.*
+ 4e0d: 0c1e.*
+ 4e0e: 6fda.*
+ 4e0f: 0e1e.*
+ 4e10: 6fda.*
+ 4e11: 0f1e.*
+ 4e12: 6fda.*
+ 4e13: 0f1e.*
+ 4e14: 6fda.*
+ 4e15: 0c1f.*
+ 4e16: 6fda.*
+ 4e17: 0d1f.*
+ 4e18: 6fda.*
+ 4e19: 0c1f.*
+ 4e1a: 6fda.*
+ 4e1b: 0e1f.*
+ 4e1c: 6fda.*
+ 4e1d: 0f1f.*
+ 4e1e: 6fda.*
+ 4e1f: 0f1f.*
+ 4e20: 00da.*
+ 4e21: 6fda.*
+ 4e22: 0d00.*
+ 4e23: 00da.*
+ 4e24: 6fda.*
+ 4e25: 0e00.*
+ 4e26: 01da.*
+ 4e27: 01da.*
+ 4e28: 90c1.*
+ 4e29: 6fda.*
+ 4e2a: 0d01.*
+ 4e2b: 90c1.*
+ 4e2c: 6fda.*
+ 4e2d: 0e01.*
+ 4e2e: 91c1.*
+ 4e2f: 91c1.*
+ 4e30: 90c2.*
+ 4e31: 6fda.*
+ 4e32: 0d02.*
+ 4e33: 90c2.*
+ 4e34: 6fda.*
+ 4e35: 0e02.*
+ 4e36: 91c2.*
+ 4e37: 91c2.*
+ 4e38: 90c3.*
+ 4e39: 6fda.*
+ 4e3a: 0d03.*
+ 4e3b: 90c3.*
+ 4e3c: 6fda.*
+ 4e3d: 0e03.*
+ 4e3e: 91c3.*
+ 4e3f: 91c3.*
+ 4e40: 90c4.*
+ 4e41: 6fda.*
+ 4e42: 0d04.*
+ 4e43: 90c4.*
+ 4e44: 6fda.*
+ 4e45: 0e04.*
+ 4e46: 91c4.*
+ 4e47: 91c4.*
+ 4e48: 90c5.*
+ 4e49: 6fda.*
+ 4e4a: 0d05.*
+ 4e4b: 90c5.*
+ 4e4c: 6fda.*
+ 4e4d: 0e05.*
+ 4e4e: 91c5.*
+ 4e4f: 91c5.*
+ 4e50: 90c6.*
+ 4e51: 6fda.*
+ 4e52: 0d06.*
+ 4e53: 90c6.*
+ 4e54: 6fda.*
+ 4e55: 0e06.*
+ 4e56: 91c6.*
+ 4e57: 91c6.*
+ 4e58: 90c7.*
+ 4e59: 6fda.*
+ 4e5a: 0d07.*
+ 4e5b: 90c7.*
+ 4e5c: 6fda.*
+ 4e5d: 0e07.*
+ 4e5e: 91c7.*
+ 4e5f: 91c7.*
+ 4e60: 90c8.*
+ 4e61: 6fda.*
+ 4e62: 0d08.*
+ 4e63: 90c8.*
+ 4e64: 6fda.*
+ 4e65: 0e08.*
+ 4e66: 91c8.*
+ 4e67: 91c8.*
+ 4e68: 90c9.*
+ 4e69: 6fda.*
+ 4e6a: 0d09.*
+ 4e6b: 90c9.*
+ 4e6c: 6fda.*
+ 4e6d: 0e09.*
+ 4e6e: 91c9.*
+ 4e6f: 91c9.*
+ 4e70: 90ca.*
+ 4e71: 6fda.*
+ 4e72: 0d0a.*
+ 4e73: 90ca.*
+ 4e74: 6fda.*
+ 4e75: 0e0a.*
+ 4e76: 91ca.*
+ 4e77: 91ca.*
+ 4e78: 90cb.*
+ 4e79: 6fda.*
+ 4e7a: 0d0b.*
+ 4e7b: 90cb.*
+ 4e7c: 6fda.*
+ 4e7d: 0e0b.*
+ 4e7e: 91cb.*
+ 4e7f: 91cb.*
+ 4e80: 90cc.*
+ 4e81: 6fda.*
+ 4e82: 0d0c.*
+ 4e83: 90cc.*
+ 4e84: 6fda.*
+ 4e85: 0e0c.*
+ 4e86: 91cc.*
+ 4e87: 91cc.*
+ 4e88: 90cd.*
+ 4e89: 6fda.*
+ 4e8a: 0d0d.*
+ 4e8b: 90cd.*
+ 4e8c: 6fda.*
+ 4e8d: 0e0d.*
+ 4e8e: 91cd.*
+ 4e8f: 91cd.*
+ 4e90: 90ce.*
+ 4e91: 6fda.*
+ 4e92: 0d0e.*
+ 4e93: 90ce.*
+ 4e94: 6fda.*
+ 4e95: 0e0e.*
+ 4e96: 91ce.*
+ 4e97: 91ce.*
+ 4e98: 90cf.*
+ 4e99: 6fda.*
+ 4e9a: 0d0f.*
+ 4e9b: 90cf.*
+ 4e9c: 6fda.*
+ 4e9d: 0e0f.*
+ 4e9e: 91cf.*
+ 4e9f: 91cf.*
+ 4ea0: 00e2.*
+ 4ea1: 0875.*
+ 4ea2: 6fe2.*
+ 4ea3: 0876.*
+ 4ea4: 0d00.*
+ 4ea5: 00e2.*
+ 4ea6: 0877.*
+ 4ea7: 6fe2.*
+ 4ea8: 0878.*
+ 4ea9: 0e00.*
+ 4eaa: 01e2.*
+ 4eab: 0879.*
+ 4eac: 01e2.*
+ 4ead: 087a.*
+ 4eae: 6fe2.*
+ 4eaf: 087b.*
+ 4eb0: 0c10.*
+ 4eb1: 6fe2.*
+ 4eb2: 087c.*
+ 4eb3: 0d10.*
+ 4eb4: 6fe2.*
+ 4eb5: 087d.*
+ 4eb6: 0c10.*
+ 4eb7: 6fe2.*
+ 4eb8: 087e.*
+ 4eb9: 0e10.*
+ 4eba: 6fe2.*
+ 4ebb: 087f.*
+ 4ebc: 0f10.*
+ 4ebd: 6fe2.*
+ 4ebe: 0880.*
+ 4ebf: 0f10.*
+ 4ec0: 6fe2.*
+ 4ec1: 0881.*
+ 4ec2: 0c11.*
+ 4ec3: 6fe2.*
+ 4ec4: 0882.*
+ 4ec5: 0d11.*
+ 4ec6: 6fe2.*
+ 4ec7: 0883.*
+ 4ec8: 0c11.*
+ 4ec9: 6fe2.*
+ 4eca: 0884.*
+ 4ecb: 0e11.*
+ 4ecc: 6fe2.*
+ 4ecd: 0885.*
+ 4ece: 0f11.*
+ 4ecf: 6fe2.*
+ 4ed0: 0886.*
+ 4ed1: 0f11.*
+ 4ed2: 6fe2.*
+ 4ed3: 0887.*
+ 4ed4: 0c12.*
+ 4ed5: 6fe2.*
+ 4ed6: 0888.*
+ 4ed7: 0d12.*
+ 4ed8: 6fe2.*
+ 4ed9: 0889.*
+ 4eda: 0c12.*
+ 4edb: 6fe2.*
+ 4edc: 088a.*
+ 4edd: 0e12.*
+ 4ede: 6fe2.*
+ 4edf: 088b.*
+ 4ee0: 0f12.*
+ 4ee1: 6fe2.*
+ 4ee2: 088c.*
+ 4ee3: 0f12.*
+ 4ee4: 6fe2.*
+ 4ee5: 088d.*
+ 4ee6: 0c13.*
+ 4ee7: 6fe2.*
+ 4ee8: 088e.*
+ 4ee9: 0d13.*
+ 4eea: 6fe2.*
+ 4eeb: 088f.*
+ 4eec: 0c13.*
+ 4eed: 6fe2.*
+ 4eee: 0890.*
+ 4eef: 0e13.*
+ 4ef0: 6fe2.*
+ 4ef1: 0891.*
+ 4ef2: 0f13.*
+ 4ef3: 6fe2.*
+ 4ef4: 0892.*
+ 4ef5: 0f13.*
+ 4ef6: 6fe2.*
+ 4ef7: 0893.*
+ 4ef8: 0c14.*
+ 4ef9: 6fe2.*
+ 4efa: 0894.*
+ 4efb: 0d14.*
+ 4efc: 6fe2.*
+ 4efd: 0895.*
+ 4efe: 0c14.*
+ 4eff: 6fe2.*
+ 4f00: 0896.*
+ 4f01: 0e14.*
+ 4f02: 6fe2.*
+ 4f03: 0897.*
+ 4f04: 0f14.*
+ 4f05: 6fe2.*
+ 4f06: 0898.*
+ 4f07: 0f14.*
+ 4f08: 6fe2.*
+ 4f09: 0899.*
+ 4f0a: 0c15.*
+ 4f0b: 6fe2.*
+ 4f0c: 089a.*
+ 4f0d: 0d15.*
+ 4f0e: 6fe2.*
+ 4f0f: 089b.*
+ 4f10: 0c15.*
+ 4f11: 6fe2.*
+ 4f12: 089c.*
+ 4f13: 0e15.*
+ 4f14: 6fe2.*
+ 4f15: 089d.*
+ 4f16: 0f15.*
+ 4f17: 6fe2.*
+ 4f18: 089e.*
+ 4f19: 0f15.*
+ 4f1a: 6fe2.*
+ 4f1b: 089f.*
+ 4f1c: 0c16.*
+ 4f1d: 6fe2.*
+ 4f1e: 08a0.*
+ 4f1f: 0d16.*
+ 4f20: 6fe2.*
+ 4f21: 08a1.*
+ 4f22: 0c16.*
+ 4f23: 6fe2.*
+ 4f24: 08a2.*
+ 4f25: 0e16.*
+ 4f26: 6fe2.*
+ 4f27: 08a3.*
+ 4f28: 0f16.*
+ 4f29: 6fe2.*
+ 4f2a: 08a4.*
+ 4f2b: 0f16.*
+ 4f2c: 6fe2.*
+ 4f2d: 08a5.*
+ 4f2e: 0c17.*
+ 4f2f: 6fe2.*
+ 4f30: 08a6.*
+ 4f31: 0d17.*
+ 4f32: 6fe2.*
+ 4f33: 08a7.*
+ 4f34: 0c17.*
+ 4f35: 6fe2.*
+ 4f36: 08a8.*
+ 4f37: 0e17.*
+ 4f38: 6fe2.*
+ 4f39: 08a9.*
+ 4f3a: 0f17.*
+ 4f3b: 6fe2.*
+ 4f3c: 08aa.*
+ 4f3d: 0f17.*
+ 4f3e: 6fe2.*
+ 4f3f: 08ab.*
+ 4f40: 0c18.*
+ 4f41: 6fe2.*
+ 4f42: 08ac.*
+ 4f43: 0d18.*
+ 4f44: 6fe2.*
+ 4f45: 08ad.*
+ 4f46: 0c18.*
+ 4f47: 6fe2.*
+ 4f48: 08ae.*
+ 4f49: 0e18.*
+ 4f4a: 6fe2.*
+ 4f4b: 08af.*
+ 4f4c: 0f18.*
+ 4f4d: 6fe2.*
+ 4f4e: 08b0.*
+ 4f4f: 0f18.*
+ 4f50: 6fe2.*
+ 4f51: 08b1.*
+ 4f52: 0c19.*
+ 4f53: 6fe2.*
+ 4f54: 08b2.*
+ 4f55: 0d19.*
+ 4f56: 6fe2.*
+ 4f57: 08b3.*
+ 4f58: 0c19.*
+ 4f59: 6fe2.*
+ 4f5a: 08b4.*
+ 4f5b: 0e19.*
+ 4f5c: 6fe2.*
+ 4f5d: 08b5.*
+ 4f5e: 0f19.*
+ 4f5f: 6fe2.*
+ 4f60: 08b6.*
+ 4f61: 0f19.*
+ 4f62: 6fe2.*
+ 4f63: 08b7.*
+ 4f64: 0c1a.*
+ 4f65: 6fe2.*
+ 4f66: 08b8.*
+ 4f67: 0d1a.*
+ 4f68: 6fe2.*
+ 4f69: 08b9.*
+ 4f6a: 0c1a.*
+ 4f6b: 6fe2.*
+ 4f6c: 08ba.*
+ 4f6d: 0e1a.*
+ 4f6e: 6fe2.*
+ 4f6f: 08bb.*
+ 4f70: 0f1a.*
+ 4f71: 6fe2.*
+ 4f72: 08bc.*
+ 4f73: 0f1a.*
+ 4f74: 6fe2.*
+ 4f75: 08bd.*
+ 4f76: 0c1b.*
+ 4f77: 6fe2.*
+ 4f78: 08be.*
+ 4f79: 0d1b.*
+ 4f7a: 6fe2.*
+ 4f7b: 08bf.*
+ 4f7c: 0c1b.*
+ 4f7d: 6fe2.*
+ 4f7e: 08c0.*
+ 4f7f: 0e1b.*
+ 4f80: 6fe2.*
+ 4f81: 08c1.*
+ 4f82: 0f1b.*
+ 4f83: 6fe2.*
+ 4f84: 08c2.*
+ 4f85: 0f1b.*
+ 4f86: 6fe2.*
+ 4f87: 08c3.*
+ 4f88: 0c1c.*
+ 4f89: 6fe2.*
+ 4f8a: 08c4.*
+ 4f8b: 0d1c.*
+ 4f8c: 6fe2.*
+ 4f8d: 08c5.*
+ 4f8e: 0c1c.*
+ 4f8f: 6fe2.*
+ 4f90: 08c6.*
+ 4f91: 0e1c.*
+ 4f92: 6fe2.*
+ 4f93: 08c7.*
+ 4f94: 0f1c.*
+ 4f95: 6fe2.*
+ 4f96: 08c8.*
+ 4f97: 0f1c.*
+ 4f98: 6fe2.*
+ 4f99: 08c9.*
+ 4f9a: 0c1d.*
+ 4f9b: 6fe2.*
+ 4f9c: 08ca.*
+ 4f9d: 0d1d.*
+ 4f9e: 6fe2.*
+ 4f9f: 08cb.*
+ 4fa0: 0c1d.*
+ 4fa1: 6fe2.*
+ 4fa2: 08cc.*
+ 4fa3: 0e1d.*
+ 4fa4: 6fe2.*
+ 4fa5: 08cd.*
+ 4fa6: 0f1d.*
+ 4fa7: 6fe2.*
+ 4fa8: 08ce.*
+ 4fa9: 0f1d.*
+ 4faa: 6fe2.*
+ 4fab: 08cf.*
+ 4fac: 0c1e.*
+ 4fad: 6fe2.*
+ 4fae: 08d0.*
+ 4faf: 0d1e.*
+ 4fb0: 6fe2.*
+ 4fb1: 08d1.*
+ 4fb2: 0c1e.*
+ 4fb3: 6fe2.*
+ 4fb4: 08d2.*
+ 4fb5: 0e1e.*
+ 4fb6: 6fe2.*
+ 4fb7: 08d3.*
+ 4fb8: 0f1e.*
+ 4fb9: 6fe2.*
+ 4fba: 08d4.*
+ 4fbb: 0f1e.*
+ 4fbc: 6fe2.*
+ 4fbd: 08d5.*
+ 4fbe: 0c1f.*
+ 4fbf: 6fe2.*
+ 4fc0: 08d6.*
+ 4fc1: 0d1f.*
+ 4fc2: 6fe2.*
+ 4fc3: 08d7.*
+ 4fc4: 0c1f.*
+ 4fc5: 6fe2.*
+ 4fc6: 08d8.*
+ 4fc7: 0e1f.*
+ 4fc8: 6fe2.*
+ 4fc9: 08d9.*
+ 4fca: 0f1f.*
+ 4fcb: 6fe2.*
+ 4fcc: 08da.*
+ 4fcd: 0f1f.*
+ 4fce: 00e2.*
+ 4fcf: 08db.*
+ 4fd0: 6fe2.*
+ 4fd1: 08dc.*
+ 4fd2: 0d00.*
+ 4fd3: 00e2.*
+ 4fd4: 08dd.*
+ 4fd5: 6fe2.*
+ 4fd6: 08de.*
+ 4fd7: 0e00.*
+ 4fd8: 01e2.*
+ 4fd9: 08df.*
+ 4fda: 01e2.*
+ 4fdb: 08e0.*
+ 4fdc: 6fe2.*
+ 4fdd: 08e1.*
+ 4fde: 0c01.*
+ 4fdf: 6fe2.*
+ 4fe0: 08e2.*
+ 4fe1: 0d01.*
+ 4fe2: 6fe2.*
+ 4fe3: 08e3.*
+ 4fe4: 0c01.*
+ 4fe5: 6fe2.*
+ 4fe6: 08e4.*
+ 4fe7: 0e01.*
+ 4fe8: 6fe2.*
+ 4fe9: 08e5.*
+ 4fea: 0f01.*
+ 4feb: 6fe2.*
+ 4fec: 08e6.*
+ 4fed: 0f01.*
+ 4fee: 6fe2.*
+ 4fef: 08e7.*
+ 4ff0: 0c02.*
+ 4ff1: 6fe2.*
+ 4ff2: 08e8.*
+ 4ff3: 0d02.*
+ 4ff4: 6fe2.*
+ 4ff5: 08e9.*
+ 4ff6: 0c02.*
+ 4ff7: 6fe2.*
+ 4ff8: 08ea.*
+ 4ff9: 0e02.*
+ 4ffa: 6fe2.*
+ 4ffb: 08eb.*
+ 4ffc: 0f02.*
+ 4ffd: 6fe2.*
+ 4ffe: 08ec.*
+ 4fff: 0f02.*
+ 5000: 6fe2.*
+ 5001: 08ed.*
+ 5002: 0c03.*
+ 5003: 6fe2.*
+ 5004: 08ee.*
+ 5005: 0d03.*
+ 5006: 6fe2.*
+ 5007: 08ef.*
+ 5008: 0c03.*
+ 5009: 6fe2.*
+ 500a: 08f0.*
+ 500b: 0e03.*
+ 500c: 6fe2.*
+ 500d: 08f1.*
+ 500e: 0f03.*
+ 500f: 6fe2.*
+ 5010: 08f2.*
+ 5011: 0f03.*
+ 5012: 6fe2.*
+ 5013: 08f3.*
+ 5014: 0c04.*
+ 5015: 6fe2.*
+ 5016: 08f4.*
+ 5017: 0d04.*
+ 5018: 6fe2.*
+ 5019: 08f5.*
+ 501a: 0c04.*
+ 501b: 6fe2.*
+ 501c: 08f6.*
+ 501d: 0e04.*
+ 501e: 6fe2.*
+ 501f: 08f7.*
+ 5020: 0f04.*
+ 5021: 6fe2.*
+ 5022: 08f8.*
+ 5023: 0f04.*
+ 5024: 6fe2.*
+ 5025: 08f9.*
+ 5026: 0c05.*
+ 5027: 6fe2.*
+ 5028: 08fa.*
+ 5029: 0d05.*
+ 502a: 6fe2.*
+ 502b: 08fb.*
+ 502c: 0c05.*
+ 502d: 6fe2.*
+ 502e: 08fc.*
+ 502f: 0e05.*
+ 5030: 6fe2.*
+ 5031: 08fd.*
+ 5032: 0f05.*
+ 5033: 6fe2.*
+ 5034: 08fe.*
+ 5035: 0f05.*
+ 5036: 6fe2.*
+ 5037: 08ff.*
+ 5038: 0c06.*
+ 5039: 6fe2.*
+ 503a: 0900.*
+ 503b: 0d06.*
+ 503c: 6fe2.*
+ 503d: 0901.*
+ 503e: 0c06.*
+ 503f: 6fe2.*
+ 5040: 0902.*
+ 5041: 0e06.*
+ 5042: 6fe2.*
+ 5043: 0903.*
+ 5044: 0f06.*
+ 5045: 6fe2.*
+ 5046: 0904.*
+ 5047: 0f06.*
+ 5048: 6fe2.*
+ 5049: 0905.*
+ 504a: 0c07.*
+ 504b: 6fe2.*
+ 504c: 0906.*
+ 504d: 0d07.*
+ 504e: 6fe2.*
+ 504f: 0907.*
+ 5050: 0c07.*
+ 5051: 6fe2.*
+ 5052: 0908.*
+ 5053: 0e07.*
+ 5054: 6fe2.*
+ 5055: 0909.*
+ 5056: 0f07.*
+ 5057: 6fe2.*
+ 5058: 090a.*
+ 5059: 0f07.*
+ 505a: 6fe2.*
+ 505b: 090b.*
+ 505c: 0c08.*
+ 505d: 6fe2.*
+ 505e: 090c.*
+ 505f: 0d08.*
+ 5060: 6fe2.*
+ 5061: 090d.*
+ 5062: 0c08.*
+ 5063: 6fe2.*
+ 5064: 090e.*
+ 5065: 0e08.*
+ 5066: 6fe2.*
+ 5067: 090f.*
+ 5068: 0f08.*
+ 5069: 6fe2.*
+ 506a: 0910.*
+ 506b: 0f08.*
+ 506c: 6fe2.*
+ 506d: 0911.*
+ 506e: 0c09.*
+ 506f: 6fe2.*
+ 5070: 0912.*
+ 5071: 0d09.*
+ 5072: 6fe2.*
+ 5073: 0913.*
+ 5074: 0c09.*
+ 5075: 6fe2.*
+ 5076: 0914.*
+ 5077: 0e09.*
+ 5078: 6fe2.*
+ 5079: 0915.*
+ 507a: 0f09.*
+ 507b: 6fe2.*
+ 507c: 0916.*
+ 507d: 0f09.*
+ 507e: 6fe2.*
+ 507f: 0917.*
+ 5080: 0c0a.*
+ 5081: 6fe2.*
+ 5082: 0918.*
+ 5083: 0d0a.*
+ 5084: 6fe2.*
+ 5085: 0919.*
+ 5086: 0c0a.*
+ 5087: 6fe2.*
+ 5088: 091a.*
+ 5089: 0e0a.*
+ 508a: 6fe2.*
+ 508b: 091b.*
+ 508c: 0f0a.*
+ 508d: 6fe2.*
+ 508e: 091c.*
+ 508f: 0f0a.*
+ 5090: 6fe2.*
+ 5091: 091d.*
+ 5092: 0c0b.*
+ 5093: 6fe2.*
+ 5094: 091e.*
+ 5095: 0d0b.*
+ 5096: 6fe2.*
+ 5097: 091f.*
+ 5098: 0c0b.*
+ 5099: 6fe2.*
+ 509a: 0920.*
+ 509b: 0e0b.*
+ 509c: 6fe2.*
+ 509d: 0921.*
+ 509e: 0f0b.*
+ 509f: 6fe2.*
+ 50a0: 0922.*
+ 50a1: 0f0b.*
+ 50a2: 6fe2.*
+ 50a3: 0923.*
+ 50a4: 0c0c.*
+ 50a5: 6fe2.*
+ 50a6: 0924.*
+ 50a7: 0d0c.*
+ 50a8: 6fe2.*
+ 50a9: 0925.*
+ 50aa: 0c0c.*
+ 50ab: 6fe2.*
+ 50ac: 0926.*
+ 50ad: 0e0c.*
+ 50ae: 6fe2.*
+ 50af: 0927.*
+ 50b0: 0f0c.*
+ 50b1: 6fe2.*
+ 50b2: 0928.*
+ 50b3: 0f0c.*
+ 50b4: 6fe2.*
+ 50b5: 0929.*
+ 50b6: 0c0d.*
+ 50b7: 6fe2.*
+ 50b8: 092a.*
+ 50b9: 0d0d.*
+ 50ba: 6fe2.*
+ 50bb: 092b.*
+ 50bc: 0c0d.*
+ 50bd: 6fe2.*
+ 50be: 092c.*
+ 50bf: 0e0d.*
+ 50c0: 6fe2.*
+ 50c1: 092d.*
+ 50c2: 0f0d.*
+ 50c3: 6fe2.*
+ 50c4: 092e.*
+ 50c5: 0f0d.*
+ 50c6: 6fe2.*
+ 50c7: 092f.*
+ 50c8: 0c0e.*
+ 50c9: 6fe2.*
+ 50ca: 0930.*
+ 50cb: 0d0e.*
+ 50cc: 6fe2.*
+ 50cd: 0931.*
+ 50ce: 0c0e.*
+ 50cf: 6fe2.*
+ 50d0: 0932.*
+ 50d1: 0e0e.*
+ 50d2: 6fe2.*
+ 50d3: 0933.*
+ 50d4: 0f0e.*
+ 50d5: 6fe2.*
+ 50d6: 0934.*
+ 50d7: 0f0e.*
+ 50d8: 6fe2.*
+ 50d9: 0935.*
+ 50da: 0c0f.*
+ 50db: 6fe2.*
+ 50dc: 0936.*
+ 50dd: 0d0f.*
+ 50de: 6fe2.*
+ 50df: 0937.*
+ 50e0: 0c0f.*
+ 50e1: 6fe2.*
+ 50e2: 0938.*
+ 50e3: 0e0f.*
+ 50e4: 6fe2.*
+ 50e5: 0939.*
+ 50e6: 0f0f.*
+ 50e7: 6fe2.*
+ 50e8: 093a.*
+ 50e9: 0f0f.*
+ 50ea: 00ea.*
+ 50eb: 093b.*
+ 50ec: 6fea.*
+ 50ed: 093c.*
+ 50ee: 0d00.*
+ 50ef: 00ea.*
+ 50f0: 093d.*
+ 50f1: 6fea.*
+ 50f2: 093e.*
+ 50f3: 0e00.*
+ 50f4: 01ea.*
+ 50f5: 093f.*
+ 50f6: 01ea.*
+ 50f7: 0940.*
+ 50f8: 6fea.*
+ 50f9: 0941.*
+ 50fa: 0c10.*
+ 50fb: 6fea.*
+ 50fc: 0942.*
+ 50fd: 0d10.*
+ 50fe: 6fea.*
+ 50ff: 0943.*
+ 5100: 0c10.*
+ 5101: 6fea.*
+ 5102: 0944.*
+ 5103: 0e10.*
+ 5104: 6fea.*
+ 5105: 0945.*
+ 5106: 0f10.*
+ 5107: 6fea.*
+ 5108: 0946.*
+ 5109: 0f10.*
+ 510a: 6fea.*
+ 510b: 0947.*
+ 510c: 0c11.*
+ 510d: 6fea.*
+ 510e: 0948.*
+ 510f: 0d11.*
+ 5110: 6fea.*
+ 5111: 0949.*
+ 5112: 0c11.*
+ 5113: 6fea.*
+ 5114: 094a.*
+ 5115: 0e11.*
+ 5116: 6fea.*
+ 5117: 094b.*
+ 5118: 0f11.*
+ 5119: 6fea.*
+ 511a: 094c.*
+ 511b: 0f11.*
+ 511c: 6fea.*
+ 511d: 094d.*
+ 511e: 0c12.*
+ 511f: 6fea.*
+ 5120: 094e.*
+ 5121: 0d12.*
+ 5122: 6fea.*
+ 5123: 094f.*
+ 5124: 0c12.*
+ 5125: 6fea.*
+ 5126: 0950.*
+ 5127: 0e12.*
+ 5128: 6fea.*
+ 5129: 0951.*
+ 512a: 0f12.*
+ 512b: 6fea.*
+ 512c: 0952.*
+ 512d: 0f12.*
+ 512e: 6fea.*
+ 512f: 0953.*
+ 5130: 0c13.*
+ 5131: 6fea.*
+ 5132: 0954.*
+ 5133: 0d13.*
+ 5134: 6fea.*
+ 5135: 0955.*
+ 5136: 0c13.*
+ 5137: 6fea.*
+ 5138: 0956.*
+ 5139: 0e13.*
+ 513a: 6fea.*
+ 513b: 0957.*
+ 513c: 0f13.*
+ 513d: 6fea.*
+ 513e: 0958.*
+ 513f: 0f13.*
+ 5140: 6fea.*
+ 5141: 0959.*
+ 5142: 0c14.*
+ 5143: 6fea.*
+ 5144: 095a.*
+ 5145: 0d14.*
+ 5146: 6fea.*
+ 5147: 095b.*
+ 5148: 0c14.*
+ 5149: 6fea.*
+ 514a: 095c.*
+ 514b: 0e14.*
+ 514c: 6fea.*
+ 514d: 095d.*
+ 514e: 0f14.*
+ 514f: 6fea.*
+ 5150: 095e.*
+ 5151: 0f14.*
+ 5152: 6fea.*
+ 5153: 095f.*
+ 5154: 0c15.*
+ 5155: 6fea.*
+ 5156: 0960.*
+ 5157: 0d15.*
+ 5158: 6fea.*
+ 5159: 0961.*
+ 515a: 0c15.*
+ 515b: 6fea.*
+ 515c: 0962.*
+ 515d: 0e15.*
+ 515e: 6fea.*
+ 515f: 0963.*
+ 5160: 0f15.*
+ 5161: 6fea.*
+ 5162: 0964.*
+ 5163: 0f15.*
+ 5164: 6fea.*
+ 5165: 0965.*
+ 5166: 0c16.*
+ 5167: 6fea.*
+ 5168: 0966.*
+ 5169: 0d16.*
+ 516a: 6fea.*
+ 516b: 0967.*
+ 516c: 0c16.*
+ 516d: 6fea.*
+ 516e: 0968.*
+ 516f: 0e16.*
+ 5170: 6fea.*
+ 5171: 0969.*
+ 5172: 0f16.*
+ 5173: 6fea.*
+ 5174: 096a.*
+ 5175: 0f16.*
+ 5176: 6fea.*
+ 5177: 096b.*
+ 5178: 0c17.*
+ 5179: 6fea.*
+ 517a: 096c.*
+ 517b: 0d17.*
+ 517c: 6fea.*
+ 517d: 096d.*
+ 517e: 0c17.*
+ 517f: 6fea.*
+ 5180: 096e.*
+ 5181: 0e17.*
+ 5182: 6fea.*
+ 5183: 096f.*
+ 5184: 0f17.*
+ 5185: 6fea.*
+ 5186: 0970.*
+ 5187: 0f17.*
+ 5188: 6fea.*
+ 5189: 0971.*
+ 518a: 0c18.*
+ 518b: 6fea.*
+ 518c: 0972.*
+ 518d: 0d18.*
+ 518e: 6fea.*
+ 518f: 0973.*
+ 5190: 0c18.*
+ 5191: 6fea.*
+ 5192: 0974.*
+ 5193: 0e18.*
+ 5194: 6fea.*
+ 5195: 0975.*
+ 5196: 0f18.*
+ 5197: 6fea.*
+ 5198: 0976.*
+ 5199: 0f18.*
+ 519a: 6fea.*
+ 519b: 0977.*
+ 519c: 0c19.*
+ 519d: 6fea.*
+ 519e: 0978.*
+ 519f: 0d19.*
+ 51a0: 6fea.*
+ 51a1: 0979.*
+ 51a2: 0c19.*
+ 51a3: 6fea.*
+ 51a4: 097a.*
+ 51a5: 0e19.*
+ 51a6: 6fea.*
+ 51a7: 097b.*
+ 51a8: 0f19.*
+ 51a9: 6fea.*
+ 51aa: 097c.*
+ 51ab: 0f19.*
+ 51ac: 6fea.*
+ 51ad: 097d.*
+ 51ae: 0c1a.*
+ 51af: 6fea.*
+ 51b0: 097e.*
+ 51b1: 0d1a.*
+ 51b2: 6fea.*
+ 51b3: 097f.*
+ 51b4: 0c1a.*
+ 51b5: 6fea.*
+ 51b6: 0980.*
+ 51b7: 0e1a.*
+ 51b8: 6fea.*
+ 51b9: 0981.*
+ 51ba: 0f1a.*
+ 51bb: 6fea.*
+ 51bc: 0982.*
+ 51bd: 0f1a.*
+ 51be: 6fea.*
+ 51bf: 0983.*
+ 51c0: 0c1b.*
+ 51c1: 6fea.*
+ 51c2: 0984.*
+ 51c3: 0d1b.*
+ 51c4: 6fea.*
+ 51c5: 0985.*
+ 51c6: 0c1b.*
+ 51c7: 6fea.*
+ 51c8: 0986.*
+ 51c9: 0e1b.*
+ 51ca: 6fea.*
+ 51cb: 0987.*
+ 51cc: 0f1b.*
+ 51cd: 6fea.*
+ 51ce: 0988.*
+ 51cf: 0f1b.*
+ 51d0: 6fea.*
+ 51d1: 0989.*
+ 51d2: 0c1c.*
+ 51d3: 6fea.*
+ 51d4: 098a.*
+ 51d5: 0d1c.*
+ 51d6: 6fea.*
+ 51d7: 098b.*
+ 51d8: 0c1c.*
+ 51d9: 6fea.*
+ 51da: 098c.*
+ 51db: 0e1c.*
+ 51dc: 6fea.*
+ 51dd: 098d.*
+ 51de: 0f1c.*
+ 51df: 6fea.*
+ 51e0: 098e.*
+ 51e1: 0f1c.*
+ 51e2: 6fea.*
+ 51e3: 098f.*
+ 51e4: 0c1d.*
+ 51e5: 6fea.*
+ 51e6: 0990.*
+ 51e7: 0d1d.*
+ 51e8: 6fea.*
+ 51e9: 0991.*
+ 51ea: 0c1d.*
+ 51eb: 6fea.*
+ 51ec: 0992.*
+ 51ed: 0e1d.*
+ 51ee: 6fea.*
+ 51ef: 0993.*
+ 51f0: 0f1d.*
+ 51f1: 6fea.*
+ 51f2: 0994.*
+ 51f3: 0f1d.*
+ 51f4: 6fea.*
+ 51f5: 0995.*
+ 51f6: 0c1e.*
+ 51f7: 6fea.*
+ 51f8: 0996.*
+ 51f9: 0d1e.*
+ 51fa: 6fea.*
+ 51fb: 0997.*
+ 51fc: 0c1e.*
+ 51fd: 6fea.*
+ 51fe: 0998.*
+ 51ff: 0e1e.*
+ 5200: 6fea.*
+ 5201: 0999.*
+ 5202: 0f1e.*
+ 5203: 6fea.*
+ 5204: 099a.*
+ 5205: 0f1e.*
+ 5206: 6fea.*
+ 5207: 099b.*
+ 5208: 0c1f.*
+ 5209: 6fea.*
+ 520a: 099c.*
+ 520b: 0d1f.*
+ 520c: 6fea.*
+ 520d: 099d.*
+ 520e: 0c1f.*
+ 520f: 6fea.*
+ 5210: 099e.*
+ 5211: 0e1f.*
+ 5212: 6fea.*
+ 5213: 099f.*
+ 5214: 0f1f.*
+ 5215: 6fea.*
+ 5216: 09a0.*
+ 5217: 0f1f.*
+ 5218: 00ea.*
+ 5219: 09a1.*
+ 521a: 6fea.*
+ 521b: 09a2.*
+ 521c: 0d00.*
+ 521d: 00ea.*
+ 521e: 09a3.*
+ 521f: 6fea.*
+ 5220: 09a4.*
+ 5221: 0e00.*
+ 5222: 01ea.*
+ 5223: 09a5.*
+ 5224: 01ea.*
+ 5225: 09a6.*
+ 5226: 6fea.*
+ 5227: 09a7.*
+ 5228: 0c01.*
+ 5229: 6fea.*
+ 522a: 09a8.*
+ 522b: 0d01.*
+ 522c: 6fea.*
+ 522d: 09a9.*
+ 522e: 0c01.*
+ 522f: 6fea.*
+ 5230: 09aa.*
+ 5231: 0e01.*
+ 5232: 6fea.*
+ 5233: 09ab.*
+ 5234: 0f01.*
+ 5235: 6fea.*
+ 5236: 09ac.*
+ 5237: 0f01.*
+ 5238: 6fea.*
+ 5239: 09ad.*
+ 523a: 0c02.*
+ 523b: 6fea.*
+ 523c: 09ae.*
+ 523d: 0d02.*
+ 523e: 6fea.*
+ 523f: 09af.*
+ 5240: 0c02.*
+ 5241: 6fea.*
+ 5242: 09b0.*
+ 5243: 0e02.*
+ 5244: 6fea.*
+ 5245: 09b1.*
+ 5246: 0f02.*
+ 5247: 6fea.*
+ 5248: 09b2.*
+ 5249: 0f02.*
+ 524a: 6fea.*
+ 524b: 09b3.*
+ 524c: 0c03.*
+ 524d: 6fea.*
+ 524e: 09b4.*
+ 524f: 0d03.*
+ 5250: 6fea.*
+ 5251: 09b5.*
+ 5252: 0c03.*
+ 5253: 6fea.*
+ 5254: 09b6.*
+ 5255: 0e03.*
+ 5256: 6fea.*
+ 5257: 09b7.*
+ 5258: 0f03.*
+ 5259: 6fea.*
+ 525a: 09b8.*
+ 525b: 0f03.*
+ 525c: 6fea.*
+ 525d: 09b9.*
+ 525e: 0c04.*
+ 525f: 6fea.*
+ 5260: 09ba.*
+ 5261: 0d04.*
+ 5262: 6fea.*
+ 5263: 09bb.*
+ 5264: 0c04.*
+ 5265: 6fea.*
+ 5266: 09bc.*
+ 5267: 0e04.*
+ 5268: 6fea.*
+ 5269: 09bd.*
+ 526a: 0f04.*
+ 526b: 6fea.*
+ 526c: 09be.*
+ 526d: 0f04.*
+ 526e: 6fea.*
+ 526f: 09bf.*
+ 5270: 0c05.*
+ 5271: 6fea.*
+ 5272: 09c0.*
+ 5273: 0d05.*
+ 5274: 6fea.*
+ 5275: 09c1.*
+ 5276: 0c05.*
+ 5277: 6fea.*
+ 5278: 09c2.*
+ 5279: 0e05.*
+ 527a: 6fea.*
+ 527b: 09c3.*
+ 527c: 0f05.*
+ 527d: 6fea.*
+ 527e: 09c4.*
+ 527f: 0f05.*
+ 5280: 6fea.*
+ 5281: 09c5.*
+ 5282: 0c06.*
+ 5283: 6fea.*
+ 5284: 09c6.*
+ 5285: 0d06.*
+ 5286: 6fea.*
+ 5287: 09c7.*
+ 5288: 0c06.*
+ 5289: 6fea.*
+ 528a: 09c8.*
+ 528b: 0e06.*
+ 528c: 6fea.*
+ 528d: 09c9.*
+ 528e: 0f06.*
+ 528f: 6fea.*
+ 5290: 09ca.*
+ 5291: 0f06.*
+ 5292: 6fea.*
+ 5293: 09cb.*
+ 5294: 0c07.*
+ 5295: 6fea.*
+ 5296: 09cc.*
+ 5297: 0d07.*
+ 5298: 6fea.*
+ 5299: 09cd.*
+ 529a: 0c07.*
+ 529b: 6fea.*
+ 529c: 09ce.*
+ 529d: 0e07.*
+ 529e: 6fea.*
+ 529f: 09cf.*
+ 52a0: 0f07.*
+ 52a1: 6fea.*
+ 52a2: 09d0.*
+ 52a3: 0f07.*
+ 52a4: 6fea.*
+ 52a5: 09d1.*
+ 52a6: 0c08.*
+ 52a7: 6fea.*
+ 52a8: 09d2.*
+ 52a9: 0d08.*
+ 52aa: 6fea.*
+ 52ab: 09d3.*
+ 52ac: 0c08.*
+ 52ad: 6fea.*
+ 52ae: 09d4.*
+ 52af: 0e08.*
+ 52b0: 6fea.*
+ 52b1: 09d5.*
+ 52b2: 0f08.*
+ 52b3: 6fea.*
+ 52b4: 09d6.*
+ 52b5: 0f08.*
+ 52b6: 6fea.*
+ 52b7: 09d7.*
+ 52b8: 0c09.*
+ 52b9: 6fea.*
+ 52ba: 09d8.*
+ 52bb: 0d09.*
+ 52bc: 6fea.*
+ 52bd: 09d9.*
+ 52be: 0c09.*
+ 52bf: 6fea.*
+ 52c0: 09da.*
+ 52c1: 0e09.*
+ 52c2: 6fea.*
+ 52c3: 09db.*
+ 52c4: 0f09.*
+ 52c5: 6fea.*
+ 52c6: 09dc.*
+ 52c7: 0f09.*
+ 52c8: 6fea.*
+ 52c9: 09dd.*
+ 52ca: 0c0a.*
+ 52cb: 6fea.*
+ 52cc: 09de.*
+ 52cd: 0d0a.*
+ 52ce: 6fea.*
+ 52cf: 09df.*
+ 52d0: 0c0a.*
+ 52d1: 6fea.*
+ 52d2: 09e0.*
+ 52d3: 0e0a.*
+ 52d4: 6fea.*
+ 52d5: 09e1.*
+ 52d6: 0f0a.*
+ 52d7: 6fea.*
+ 52d8: 09e2.*
+ 52d9: 0f0a.*
+ 52da: 6fea.*
+ 52db: 09e3.*
+ 52dc: 0c0b.*
+ 52dd: 6fea.*
+ 52de: 09e4.*
+ 52df: 0d0b.*
+ 52e0: 6fea.*
+ 52e1: 09e5.*
+ 52e2: 0c0b.*
+ 52e3: 6fea.*
+ 52e4: 09e6.*
+ 52e5: 0e0b.*
+ 52e6: 6fea.*
+ 52e7: 09e7.*
+ 52e8: 0f0b.*
+ 52e9: 6fea.*
+ 52ea: 09e8.*
+ 52eb: 0f0b.*
+ 52ec: 6fea.*
+ 52ed: 09e9.*
+ 52ee: 0c0c.*
+ 52ef: 6fea.*
+ 52f0: 09ea.*
+ 52f1: 0d0c.*
+ 52f2: 6fea.*
+ 52f3: 09eb.*
+ 52f4: 0c0c.*
+ 52f5: 6fea.*
+ 52f6: 09ec.*
+ 52f7: 0e0c.*
+ 52f8: 6fea.*
+ 52f9: 09ed.*
+ 52fa: 0f0c.*
+ 52fb: 6fea.*
+ 52fc: 09ee.*
+ 52fd: 0f0c.*
+ 52fe: 6fea.*
+ 52ff: 09ef.*
+ 5300: 0c0d.*
+ 5301: 6fea.*
+ 5302: 09f0.*
+ 5303: 0d0d.*
+ 5304: 6fea.*
+ 5305: 09f1.*
+ 5306: 0c0d.*
+ 5307: 6fea.*
+ 5308: 09f2.*
+ 5309: 0e0d.*
+ 530a: 6fea.*
+ 530b: 09f3.*
+ 530c: 0f0d.*
+ 530d: 6fea.*
+ 530e: 09f4.*
+ 530f: 0f0d.*
+ 5310: 6fea.*
+ 5311: 09f5.*
+ 5312: 0c0e.*
+ 5313: 6fea.*
+ 5314: 09f6.*
+ 5315: 0d0e.*
+ 5316: 6fea.*
+ 5317: 09f7.*
+ 5318: 0c0e.*
+ 5319: 6fea.*
+ 531a: 09f8.*
+ 531b: 0e0e.*
+ 531c: 6fea.*
+ 531d: 09f9.*
+ 531e: 0f0e.*
+ 531f: 6fea.*
+ 5320: 09fa.*
+ 5321: 0f0e.*
+ 5322: 6fea.*
+ 5323: 09fb.*
+ 5324: 0c0f.*
+ 5325: 6fea.*
+ 5326: 09fc.*
+ 5327: 0d0f.*
+ 5328: 6fea.*
+ 5329: 09fd.*
+ 532a: 0c0f.*
+ 532b: 6fea.*
+ 532c: 09fe.*
+ 532d: 0e0f.*
+ 532e: 6fea.*
+ 532f: 09ff.*
+ 5330: 0f0f.*
+ 5331: 6fea.*
+ 5332: 0a00.*
+ 5333: 0f0f.*
+ 5334: 00f2.*
+ 5335: 0a01.*
+ 5336: 6ff2.*
+ 5337: 0a02.*
+ 5338: 0d00.*
+ 5339: 00f2.*
+ 533a: 0a03.*
+ 533b: 6ff2.*
+ 533c: 0a04.*
+ 533d: 0e00.*
+ 533e: 01f2.*
+ 533f: 0a05.*
+ 5340: 01f2.*
+ 5341: 0a06.*
+ 5342: 6ff2.*
+ 5343: 0a07.*
+ 5344: 0c10.*
+ 5345: 6ff2.*
+ 5346: 0a08.*
+ 5347: 0d10.*
+ 5348: 6ff2.*
+ 5349: 0a09.*
+ 534a: 0c10.*
+ 534b: 6ff2.*
+ 534c: 0a0a.*
+ 534d: 0e10.*
+ 534e: 6ff2.*
+ 534f: 0a0b.*
+ 5350: 0f10.*
+ 5351: 6ff2.*
+ 5352: 0a0c.*
+ 5353: 0f10.*
+ 5354: 6ff2.*
+ 5355: 0a0d.*
+ 5356: 0c11.*
+ 5357: 6ff2.*
+ 5358: 0a0e.*
+ 5359: 0d11.*
+ 535a: 6ff2.*
+ 535b: 0a0f.*
+ 535c: 0c11.*
+ 535d: 6ff2.*
+ 535e: 0a10.*
+ 535f: 0e11.*
+ 5360: 6ff2.*
+ 5361: 0a11.*
+ 5362: 0f11.*
+ 5363: 6ff2.*
+ 5364: 0a12.*
+ 5365: 0f11.*
+ 5366: 6ff2.*
+ 5367: 0a13.*
+ 5368: 0c12.*
+ 5369: 6ff2.*
+ 536a: 0a14.*
+ 536b: 0d12.*
+ 536c: 6ff2.*
+ 536d: 0a15.*
+ 536e: 0c12.*
+ 536f: 6ff2.*
+ 5370: 0a16.*
+ 5371: 0e12.*
+ 5372: 6ff2.*
+ 5373: 0a17.*
+ 5374: 0f12.*
+ 5375: 6ff2.*
+ 5376: 0a18.*
+ 5377: 0f12.*
+ 5378: 6ff2.*
+ 5379: 0a19.*
+ 537a: 0c13.*
+ 537b: 6ff2.*
+ 537c: 0a1a.*
+ 537d: 0d13.*
+ 537e: 6ff2.*
+ 537f: 0a1b.*
+ 5380: 0c13.*
+ 5381: 6ff2.*
+ 5382: 0a1c.*
+ 5383: 0e13.*
+ 5384: 6ff2.*
+ 5385: 0a1d.*
+ 5386: 0f13.*
+ 5387: 6ff2.*
+ 5388: 0a1e.*
+ 5389: 0f13.*
+ 538a: 6ff2.*
+ 538b: 0a1f.*
+ 538c: 0c14.*
+ 538d: 6ff2.*
+ 538e: 0a20.*
+ 538f: 0d14.*
+ 5390: 6ff2.*
+ 5391: 0a21.*
+ 5392: 0c14.*
+ 5393: 6ff2.*
+ 5394: 0a22.*
+ 5395: 0e14.*
+ 5396: 6ff2.*
+ 5397: 0a23.*
+ 5398: 0f14.*
+ 5399: 6ff2.*
+ 539a: 0a24.*
+ 539b: 0f14.*
+ 539c: 6ff2.*
+ 539d: 0a25.*
+ 539e: 0c15.*
+ 539f: 6ff2.*
+ 53a0: 0a26.*
+ 53a1: 0d15.*
+ 53a2: 6ff2.*
+ 53a3: 0a27.*
+ 53a4: 0c15.*
+ 53a5: 6ff2.*
+ 53a6: 0a28.*
+ 53a7: 0e15.*
+ 53a8: 6ff2.*
+ 53a9: 0a29.*
+ 53aa: 0f15.*
+ 53ab: 6ff2.*
+ 53ac: 0a2a.*
+ 53ad: 0f15.*
+ 53ae: 6ff2.*
+ 53af: 0a2b.*
+ 53b0: 0c16.*
+ 53b1: 6ff2.*
+ 53b2: 0a2c.*
+ 53b3: 0d16.*
+ 53b4: 6ff2.*
+ 53b5: 0a2d.*
+ 53b6: 0c16.*
+ 53b7: 6ff2.*
+ 53b8: 0a2e.*
+ 53b9: 0e16.*
+ 53ba: 6ff2.*
+ 53bb: 0a2f.*
+ 53bc: 0f16.*
+ 53bd: 6ff2.*
+ 53be: 0a30.*
+ 53bf: 0f16.*
+ 53c0: 6ff2.*
+ 53c1: 0a31.*
+ 53c2: 0c17.*
+ 53c3: 6ff2.*
+ 53c4: 0a32.*
+ 53c5: 0d17.*
+ 53c6: 6ff2.*
+ 53c7: 0a33.*
+ 53c8: 0c17.*
+ 53c9: 6ff2.*
+ 53ca: 0a34.*
+ 53cb: 0e17.*
+ 53cc: 6ff2.*
+ 53cd: 0a35.*
+ 53ce: 0f17.*
+ 53cf: 6ff2.*
+ 53d0: 0a36.*
+ 53d1: 0f17.*
+ 53d2: 6ff2.*
+ 53d3: 0a37.*
+ 53d4: 0c18.*
+ 53d5: 6ff2.*
+ 53d6: 0a38.*
+ 53d7: 0d18.*
+ 53d8: 6ff2.*
+ 53d9: 0a39.*
+ 53da: 0c18.*
+ 53db: 6ff2.*
+ 53dc: 0a3a.*
+ 53dd: 0e18.*
+ 53de: 6ff2.*
+ 53df: 0a3b.*
+ 53e0: 0f18.*
+ 53e1: 6ff2.*
+ 53e2: 0a3c.*
+ 53e3: 0f18.*
+ 53e4: 6ff2.*
+ 53e5: 0a3d.*
+ 53e6: 0c19.*
+ 53e7: 6ff2.*
+ 53e8: 0a3e.*
+ 53e9: 0d19.*
+ 53ea: 6ff2.*
+ 53eb: 0a3f.*
+ 53ec: 0c19.*
+ 53ed: 6ff2.*
+ 53ee: 0a40.*
+ 53ef: 0e19.*
+ 53f0: 6ff2.*
+ 53f1: 0a41.*
+ 53f2: 0f19.*
+ 53f3: 6ff2.*
+ 53f4: 0a42.*
+ 53f5: 0f19.*
+ 53f6: 6ff2.*
+ 53f7: 0a43.*
+ 53f8: 0c1a.*
+ 53f9: 6ff2.*
+ 53fa: 0a44.*
+ 53fb: 0d1a.*
+ 53fc: 6ff2.*
+ 53fd: 0a45.*
+ 53fe: 0c1a.*
+ 53ff: 6ff2.*
+ 5400: 0a46.*
+ 5401: 0e1a.*
+ 5402: 6ff2.*
+ 5403: 0a47.*
+ 5404: 0f1a.*
+ 5405: 6ff2.*
+ 5406: 0a48.*
+ 5407: 0f1a.*
+ 5408: 6ff2.*
+ 5409: 0a49.*
+ 540a: 0c1b.*
+ 540b: 6ff2.*
+ 540c: 0a4a.*
+ 540d: 0d1b.*
+ 540e: 6ff2.*
+ 540f: 0a4b.*
+ 5410: 0c1b.*
+ 5411: 6ff2.*
+ 5412: 0a4c.*
+ 5413: 0e1b.*
+ 5414: 6ff2.*
+ 5415: 0a4d.*
+ 5416: 0f1b.*
+ 5417: 6ff2.*
+ 5418: 0a4e.*
+ 5419: 0f1b.*
+ 541a: 6ff2.*
+ 541b: 0a4f.*
+ 541c: 0c1c.*
+ 541d: 6ff2.*
+ 541e: 0a50.*
+ 541f: 0d1c.*
+ 5420: 6ff2.*
+ 5421: 0a51.*
+ 5422: 0c1c.*
+ 5423: 6ff2.*
+ 5424: 0a52.*
+ 5425: 0e1c.*
+ 5426: 6ff2.*
+ 5427: 0a53.*
+ 5428: 0f1c.*
+ 5429: 6ff2.*
+ 542a: 0a54.*
+ 542b: 0f1c.*
+ 542c: 6ff2.*
+ 542d: 0a55.*
+ 542e: 0c1d.*
+ 542f: 6ff2.*
+ 5430: 0a56.*
+ 5431: 0d1d.*
+ 5432: 6ff2.*
+ 5433: 0a57.*
+ 5434: 0c1d.*
+ 5435: 6ff2.*
+ 5436: 0a58.*
+ 5437: 0e1d.*
+ 5438: 6ff2.*
+ 5439: 0a59.*
+ 543a: 0f1d.*
+ 543b: 6ff2.*
+ 543c: 0a5a.*
+ 543d: 0f1d.*
+ 543e: 6ff2.*
+ 543f: 0a5b.*
+ 5440: 0c1e.*
+ 5441: 6ff2.*
+ 5442: 0a5c.*
+ 5443: 0d1e.*
+ 5444: 6ff2.*
+ 5445: 0a5d.*
+ 5446: 0c1e.*
+ 5447: 6ff2.*
+ 5448: 0a5e.*
+ 5449: 0e1e.*
+ 544a: 6ff2.*
+ 544b: 0a5f.*
+ 544c: 0f1e.*
+ 544d: 6ff2.*
+ 544e: 0a60.*
+ 544f: 0f1e.*
+ 5450: 6ff2.*
+ 5451: 0a61.*
+ 5452: 0c1f.*
+ 5453: 6ff2.*
+ 5454: 0a62.*
+ 5455: 0d1f.*
+ 5456: 6ff2.*
+ 5457: 0a63.*
+ 5458: 0c1f.*
+ 5459: 6ff2.*
+ 545a: 0a64.*
+ 545b: 0e1f.*
+ 545c: 6ff2.*
+ 545d: 0a65.*
+ 545e: 0f1f.*
+ 545f: 6ff2.*
+ 5460: 0a66.*
+ 5461: 0f1f.*
+ 5462: 00f2.*
+ 5463: 0a67.*
+ 5464: 6ff2.*
+ 5465: 0a68.*
+ 5466: 0d00.*
+ 5467: 00f2.*
+ 5468: 0a69.*
+ 5469: 6ff2.*
+ 546a: 0a6a.*
+ 546b: 0e00.*
+ 546c: 01f2.*
+ 546d: 0a6b.*
+ 546e: 01f2.*
+ 546f: 0a6c.*
+ 5470: 6ff2.*
+ 5471: 0a6d.*
+ 5472: 0c01.*
+ 5473: 6ff2.*
+ 5474: 0a6e.*
+ 5475: 0d01.*
+ 5476: 6ff2.*
+ 5477: 0a6f.*
+ 5478: 0c01.*
+ 5479: 6ff2.*
+ 547a: 0a70.*
+ 547b: 0e01.*
+ 547c: 6ff2.*
+ 547d: 0a71.*
+ 547e: 0f01.*
+ 547f: 6ff2.*
+ 5480: 0a72.*
+ 5481: 0f01.*
+ 5482: 6ff2.*
+ 5483: 0a73.*
+ 5484: 0c02.*
+ 5485: 6ff2.*
+ 5486: 0a74.*
+ 5487: 0d02.*
+ 5488: 6ff2.*
+ 5489: 0a75.*
+ 548a: 0c02.*
+ 548b: 6ff2.*
+ 548c: 0a76.*
+ 548d: 0e02.*
+ 548e: 6ff2.*
+ 548f: 0a77.*
+ 5490: 0f02.*
+ 5491: 6ff2.*
+ 5492: 0a78.*
+ 5493: 0f02.*
+ 5494: 6ff2.*
+ 5495: 0a79.*
+ 5496: 0c03.*
+ 5497: 6ff2.*
+ 5498: 0a7a.*
+ 5499: 0d03.*
+ 549a: 6ff2.*
+ 549b: 0a7b.*
+ 549c: 0c03.*
+ 549d: 6ff2.*
+ 549e: 0a7c.*
+ 549f: 0e03.*
+ 54a0: 6ff2.*
+ 54a1: 0a7d.*
+ 54a2: 0f03.*
+ 54a3: 6ff2.*
+ 54a4: 0a7e.*
+ 54a5: 0f03.*
+ 54a6: 6ff2.*
+ 54a7: 0a7f.*
+ 54a8: 0c04.*
+ 54a9: 6ff2.*
+ 54aa: 0a80.*
+ 54ab: 0d04.*
+ 54ac: 6ff2.*
+ 54ad: 0a81.*
+ 54ae: 0c04.*
+ 54af: 6ff2.*
+ 54b0: 0a82.*
+ 54b1: 0e04.*
+ 54b2: 6ff2.*
+ 54b3: 0a83.*
+ 54b4: 0f04.*
+ 54b5: 6ff2.*
+ 54b6: 0a84.*
+ 54b7: 0f04.*
+ 54b8: 6ff2.*
+ 54b9: 0a85.*
+ 54ba: 0c05.*
+ 54bb: 6ff2.*
+ 54bc: 0a86.*
+ 54bd: 0d05.*
+ 54be: 6ff2.*
+ 54bf: 0a87.*
+ 54c0: 0c05.*
+ 54c1: 6ff2.*
+ 54c2: 0a88.*
+ 54c3: 0e05.*
+ 54c4: 6ff2.*
+ 54c5: 0a89.*
+ 54c6: 0f05.*
+ 54c7: 6ff2.*
+ 54c8: 0a8a.*
+ 54c9: 0f05.*
+ 54ca: 6ff2.*
+ 54cb: 0a8b.*
+ 54cc: 0c06.*
+ 54cd: 6ff2.*
+ 54ce: 0a8c.*
+ 54cf: 0d06.*
+ 54d0: 6ff2.*
+ 54d1: 0a8d.*
+ 54d2: 0c06.*
+ 54d3: 6ff2.*
+ 54d4: 0a8e.*
+ 54d5: 0e06.*
+ 54d6: 6ff2.*
+ 54d7: 0a8f.*
+ 54d8: 0f06.*
+ 54d9: 6ff2.*
+ 54da: 0a90.*
+ 54db: 0f06.*
+ 54dc: 6ff2.*
+ 54dd: 0a91.*
+ 54de: 0c07.*
+ 54df: 6ff2.*
+ 54e0: 0a92.*
+ 54e1: 0d07.*
+ 54e2: 6ff2.*
+ 54e3: 0a93.*
+ 54e4: 0c07.*
+ 54e5: 6ff2.*
+ 54e6: 0a94.*
+ 54e7: 0e07.*
+ 54e8: 6ff2.*
+ 54e9: 0a95.*
+ 54ea: 0f07.*
+ 54eb: 6ff2.*
+ 54ec: 0a96.*
+ 54ed: 0f07.*
+ 54ee: 6ff2.*
+ 54ef: 0a97.*
+ 54f0: 0c08.*
+ 54f1: 6ff2.*
+ 54f2: 0a98.*
+ 54f3: 0d08.*
+ 54f4: 6ff2.*
+ 54f5: 0a99.*
+ 54f6: 0c08.*
+ 54f7: 6ff2.*
+ 54f8: 0a9a.*
+ 54f9: 0e08.*
+ 54fa: 6ff2.*
+ 54fb: 0a9b.*
+ 54fc: 0f08.*
+ 54fd: 6ff2.*
+ 54fe: 0a9c.*
+ 54ff: 0f08.*
+ 5500: 6ff2.*
+ 5501: 0a9d.*
+ 5502: 0c09.*
+ 5503: 6ff2.*
+ 5504: 0a9e.*
+ 5505: 0d09.*
+ 5506: 6ff2.*
+ 5507: 0a9f.*
+ 5508: 0c09.*
+ 5509: 6ff2.*
+ 550a: 0aa0.*
+ 550b: 0e09.*
+ 550c: 6ff2.*
+ 550d: 0aa1.*
+ 550e: 0f09.*
+ 550f: 6ff2.*
+ 5510: 0aa2.*
+ 5511: 0f09.*
+ 5512: 6ff2.*
+ 5513: 0aa3.*
+ 5514: 0c0a.*
+ 5515: 6ff2.*
+ 5516: 0aa4.*
+ 5517: 0d0a.*
+ 5518: 6ff2.*
+ 5519: 0aa5.*
+ 551a: 0c0a.*
+ 551b: 6ff2.*
+ 551c: 0aa6.*
+ 551d: 0e0a.*
+ 551e: 6ff2.*
+ 551f: 0aa7.*
+ 5520: 0f0a.*
+ 5521: 6ff2.*
+ 5522: 0aa8.*
+ 5523: 0f0a.*
+ 5524: 6ff2.*
+ 5525: 0aa9.*
+ 5526: 0c0b.*
+ 5527: 6ff2.*
+ 5528: 0aaa.*
+ 5529: 0d0b.*
+ 552a: 6ff2.*
+ 552b: 0aab.*
+ 552c: 0c0b.*
+ 552d: 6ff2.*
+ 552e: 0aac.*
+ 552f: 0e0b.*
+ 5530: 6ff2.*
+ 5531: 0aad.*
+ 5532: 0f0b.*
+ 5533: 6ff2.*
+ 5534: 0aae.*
+ 5535: 0f0b.*
+ 5536: 6ff2.*
+ 5537: 0aaf.*
+ 5538: 0c0c.*
+ 5539: 6ff2.*
+ 553a: 0ab0.*
+ 553b: 0d0c.*
+ 553c: 6ff2.*
+ 553d: 0ab1.*
+ 553e: 0c0c.*
+ 553f: 6ff2.*
+ 5540: 0ab2.*
+ 5541: 0e0c.*
+ 5542: 6ff2.*
+ 5543: 0ab3.*
+ 5544: 0f0c.*
+ 5545: 6ff2.*
+ 5546: 0ab4.*
+ 5547: 0f0c.*
+ 5548: 6ff2.*
+ 5549: 0ab5.*
+ 554a: 0c0d.*
+ 554b: 6ff2.*
+ 554c: 0ab6.*
+ 554d: 0d0d.*
+ 554e: 6ff2.*
+ 554f: 0ab7.*
+ 5550: 0c0d.*
+ 5551: 6ff2.*
+ 5552: 0ab8.*
+ 5553: 0e0d.*
+ 5554: 6ff2.*
+ 5555: 0ab9.*
+ 5556: 0f0d.*
+ 5557: 6ff2.*
+ 5558: 0aba.*
+ 5559: 0f0d.*
+ 555a: 6ff2.*
+ 555b: 0abb.*
+ 555c: 0c0e.*
+ 555d: 6ff2.*
+ 555e: 0abc.*
+ 555f: 0d0e.*
+ 5560: 6ff2.*
+ 5561: 0abd.*
+ 5562: 0c0e.*
+ 5563: 6ff2.*
+ 5564: 0abe.*
+ 5565: 0e0e.*
+ 5566: 6ff2.*
+ 5567: 0abf.*
+ 5568: 0f0e.*
+ 5569: 6ff2.*
+ 556a: 0ac0.*
+ 556b: 0f0e.*
+ 556c: 6ff2.*
+ 556d: 0ac1.*
+ 556e: 0c0f.*
+ 556f: 6ff2.*
+ 5570: 0ac2.*
+ 5571: 0d0f.*
+ 5572: 6ff2.*
+ 5573: 0ac3.*
+ 5574: 0c0f.*
+ 5575: 6ff2.*
+ 5576: 0ac4.*
+ 5577: 0e0f.*
+ 5578: 6ff2.*
+ 5579: 0ac5.*
+ 557a: 0f0f.*
+ 557b: 6ff2.*
+ 557c: 0ac6.*
+ 557d: 0f0f.*
+ 557e: 00f8.*
+ 557f: 0ac7.*
+ 5580: 6ff8.*
+ 5581: 0ac8.*
+ 5582: 0d00.*
+ 5583: 00f8.*
+ 5584: 0ac9.*
+ 5585: 6ff8.*
+ 5586: 0aca.*
+ 5587: 0e00.*
+ 5588: 01f8.*
+ 5589: 0acb.*
+ 558a: 01f8.*
+ 558b: 0acc.*
+ 558c: 6ff8.*
+ 558d: 0acd.*
+ 558e: 0c10.*
+ 558f: 6ff8.*
+ 5590: 0ace.*
+ 5591: 0d10.*
+ 5592: 6ff8.*
+ 5593: 0acf.*
+ 5594: 0c10.*
+ 5595: 6ff8.*
+ 5596: 0ad0.*
+ 5597: 0e10.*
+ 5598: 6ff8.*
+ 5599: 0ad1.*
+ 559a: 0f10.*
+ 559b: 6ff8.*
+ 559c: 0ad2.*
+ 559d: 0f10.*
+ 559e: 6ff8.*
+ 559f: 0ad3.*
+ 55a0: 0c11.*
+ 55a1: 6ff8.*
+ 55a2: 0ad4.*
+ 55a3: 0d11.*
+ 55a4: 6ff8.*
+ 55a5: 0ad5.*
+ 55a6: 0c11.*
+ 55a7: 6ff8.*
+ 55a8: 0ad6.*
+ 55a9: 0e11.*
+ 55aa: 6ff8.*
+ 55ab: 0ad7.*
+ 55ac: 0f11.*
+ 55ad: 6ff8.*
+ 55ae: 0ad8.*
+ 55af: 0f11.*
+ 55b0: 6ff8.*
+ 55b1: 0ad9.*
+ 55b2: 0c12.*
+ 55b3: 6ff8.*
+ 55b4: 0ada.*
+ 55b5: 0d12.*
+ 55b6: 6ff8.*
+ 55b7: 0adb.*
+ 55b8: 0c12.*
+ 55b9: 6ff8.*
+ 55ba: 0adc.*
+ 55bb: 0e12.*
+ 55bc: 6ff8.*
+ 55bd: 0add.*
+ 55be: 0f12.*
+ 55bf: 6ff8.*
+ 55c0: 0ade.*
+ 55c1: 0f12.*
+ 55c2: 6ff8.*
+ 55c3: 0adf.*
+ 55c4: 0c13.*
+ 55c5: 6ff8.*
+ 55c6: 0ae0.*
+ 55c7: 0d13.*
+ 55c8: 6ff8.*
+ 55c9: 0ae1.*
+ 55ca: 0c13.*
+ 55cb: 6ff8.*
+ 55cc: 0ae2.*
+ 55cd: 0e13.*
+ 55ce: 6ff8.*
+ 55cf: 0ae3.*
+ 55d0: 0f13.*
+ 55d1: 6ff8.*
+ 55d2: 0ae4.*
+ 55d3: 0f13.*
+ 55d4: 6ff8.*
+ 55d5: 0ae5.*
+ 55d6: 0c14.*
+ 55d7: 6ff8.*
+ 55d8: 0ae6.*
+ 55d9: 0d14.*
+ 55da: 6ff8.*
+ 55db: 0ae7.*
+ 55dc: 0c14.*
+ 55dd: 6ff8.*
+ 55de: 0ae8.*
+ 55df: 0e14.*
+ 55e0: 6ff8.*
+ 55e1: 0ae9.*
+ 55e2: 0f14.*
+ 55e3: 6ff8.*
+ 55e4: 0aea.*
+ 55e5: 0f14.*
+ 55e6: 6ff8.*
+ 55e7: 0aeb.*
+ 55e8: 0c15.*
+ 55e9: 6ff8.*
+ 55ea: 0aec.*
+ 55eb: 0d15.*
+ 55ec: 6ff8.*
+ 55ed: 0aed.*
+ 55ee: 0c15.*
+ 55ef: 6ff8.*
+ 55f0: 0aee.*
+ 55f1: 0e15.*
+ 55f2: 6ff8.*
+ 55f3: 0aef.*
+ 55f4: 0f15.*
+ 55f5: 6ff8.*
+ 55f6: 0af0.*
+ 55f7: 0f15.*
+ 55f8: 6ff8.*
+ 55f9: 0af1.*
+ 55fa: 0c16.*
+ 55fb: 6ff8.*
+ 55fc: 0af2.*
+ 55fd: 0d16.*
+ 55fe: 6ff8.*
+ 55ff: 0af3.*
+ 5600: 0c16.*
+ 5601: 6ff8.*
+ 5602: 0af4.*
+ 5603: 0e16.*
+ 5604: 6ff8.*
+ 5605: 0af5.*
+ 5606: 0f16.*
+ 5607: 6ff8.*
+ 5608: 0af6.*
+ 5609: 0f16.*
+ 560a: 6ff8.*
+ 560b: 0af7.*
+ 560c: 0c17.*
+ 560d: 6ff8.*
+ 560e: 0af8.*
+ 560f: 0d17.*
+ 5610: 6ff8.*
+ 5611: 0af9.*
+ 5612: 0c17.*
+ 5613: 6ff8.*
+ 5614: 0afa.*
+ 5615: 0e17.*
+ 5616: 6ff8.*
+ 5617: 0afb.*
+ 5618: 0f17.*
+ 5619: 6ff8.*
+ 561a: 0afc.*
+ 561b: 0f17.*
+ 561c: 6ff8.*
+ 561d: 0afd.*
+ 561e: 0c18.*
+ 561f: 6ff8.*
+ 5620: 0afe.*
+ 5621: 0d18.*
+ 5622: 6ff8.*
+ 5623: 0aff.*
+ 5624: 0c18.*
+ 5625: 6ff8.*
+ 5626: 0b00.*
+ 5627: 0e18.*
+ 5628: 6ff8.*
+ 5629: 0b01.*
+ 562a: 0f18.*
+ 562b: 6ff8.*
+ 562c: 0b02.*
+ 562d: 0f18.*
+ 562e: 6ff8.*
+ 562f: 0b03.*
+ 5630: 0c19.*
+ 5631: 6ff8.*
+ 5632: 0b04.*
+ 5633: 0d19.*
+ 5634: 6ff8.*
+ 5635: 0b05.*
+ 5636: 0c19.*
+ 5637: 6ff8.*
+ 5638: 0b06.*
+ 5639: 0e19.*
+ 563a: 6ff8.*
+ 563b: 0b07.*
+ 563c: 0f19.*
+ 563d: 6ff8.*
+ 563e: 0b08.*
+ 563f: 0f19.*
+ 5640: 6ff8.*
+ 5641: 0b09.*
+ 5642: 0c1a.*
+ 5643: 6ff8.*
+ 5644: 0b0a.*
+ 5645: 0d1a.*
+ 5646: 6ff8.*
+ 5647: 0b0b.*
+ 5648: 0c1a.*
+ 5649: 6ff8.*
+ 564a: 0b0c.*
+ 564b: 0e1a.*
+ 564c: 6ff8.*
+ 564d: 0b0d.*
+ 564e: 0f1a.*
+ 564f: 6ff8.*
+ 5650: 0b0e.*
+ 5651: 0f1a.*
+ 5652: 6ff8.*
+ 5653: 0b0f.*
+ 5654: 0c1b.*
+ 5655: 6ff8.*
+ 5656: 0b10.*
+ 5657: 0d1b.*
+ 5658: 6ff8.*
+ 5659: 0b11.*
+ 565a: 0c1b.*
+ 565b: 6ff8.*
+ 565c: 0b12.*
+ 565d: 0e1b.*
+ 565e: 6ff8.*
+ 565f: 0b13.*
+ 5660: 0f1b.*
+ 5661: 6ff8.*
+ 5662: 0b14.*
+ 5663: 0f1b.*
+ 5664: 6ff8.*
+ 5665: 0b15.*
+ 5666: 0c1c.*
+ 5667: 6ff8.*
+ 5668: 0b16.*
+ 5669: 0d1c.*
+ 566a: 6ff8.*
+ 566b: 0b17.*
+ 566c: 0c1c.*
+ 566d: 6ff8.*
+ 566e: 0b18.*
+ 566f: 0e1c.*
+ 5670: 6ff8.*
+ 5671: 0b19.*
+ 5672: 0f1c.*
+ 5673: 6ff8.*
+ 5674: 0b1a.*
+ 5675: 0f1c.*
+ 5676: 6ff8.*
+ 5677: 0b1b.*
+ 5678: 0c1d.*
+ 5679: 6ff8.*
+ 567a: 0b1c.*
+ 567b: 0d1d.*
+ 567c: 6ff8.*
+ 567d: 0b1d.*
+ 567e: 0c1d.*
+ 567f: 6ff8.*
+ 5680: 0b1e.*
+ 5681: 0e1d.*
+ 5682: 6ff8.*
+ 5683: 0b1f.*
+ 5684: 0f1d.*
+ 5685: 6ff8.*
+ 5686: 0b20.*
+ 5687: 0f1d.*
+ 5688: 6ff8.*
+ 5689: 0b21.*
+ 568a: 0c1e.*
+ 568b: 6ff8.*
+ 568c: 0b22.*
+ 568d: 0d1e.*
+ 568e: 6ff8.*
+ 568f: 0b23.*
+ 5690: 0c1e.*
+ 5691: 6ff8.*
+ 5692: 0b24.*
+ 5693: 0e1e.*
+ 5694: 6ff8.*
+ 5695: 0b25.*
+ 5696: 0f1e.*
+ 5697: 6ff8.*
+ 5698: 0b26.*
+ 5699: 0f1e.*
+ 569a: 6ff8.*
+ 569b: 0b27.*
+ 569c: 0c1f.*
+ 569d: 6ff8.*
+ 569e: 0b28.*
+ 569f: 0d1f.*
+ 56a0: 6ff8.*
+ 56a1: 0b29.*
+ 56a2: 0c1f.*
+ 56a3: 6ff8.*
+ 56a4: 0b2a.*
+ 56a5: 0e1f.*
+ 56a6: 6ff8.*
+ 56a7: 0b2b.*
+ 56a8: 0f1f.*
+ 56a9: 6ff8.*
+ 56aa: 0b2c.*
+ 56ab: 0f1f.*
+ 56ac: 00f8.*
+ 56ad: 0b2d.*
+ 56ae: 6ff8.*
+ 56af: 0b2e.*
+ 56b0: 0d00.*
+ 56b1: 00f8.*
+ 56b2: 0b2f.*
+ 56b3: 6ff8.*
+ 56b4: 0b30.*
+ 56b5: 0e00.*
+ 56b6: 01f8.*
+ 56b7: 0b31.*
+ 56b8: 01f8.*
+ 56b9: 0b32.*
+ 56ba: 6ff8.*
+ 56bb: 0b33.*
+ 56bc: 0c01.*
+ 56bd: 6ff8.*
+ 56be: 0b34.*
+ 56bf: 0d01.*
+ 56c0: 6ff8.*
+ 56c1: 0b35.*
+ 56c2: 0c01.*
+ 56c3: 6ff8.*
+ 56c4: 0b36.*
+ 56c5: 0e01.*
+ 56c6: 6ff8.*
+ 56c7: 0b37.*
+ 56c8: 0f01.*
+ 56c9: 6ff8.*
+ 56ca: 0b38.*
+ 56cb: 0f01.*
+ 56cc: 6ff8.*
+ 56cd: 0b39.*
+ 56ce: 0c02.*
+ 56cf: 6ff8.*
+ 56d0: 0b3a.*
+ 56d1: 0d02.*
+ 56d2: 6ff8.*
+ 56d3: 0b3b.*
+ 56d4: 0c02.*
+ 56d5: 6ff8.*
+ 56d6: 0b3c.*
+ 56d7: 0e02.*
+ 56d8: 6ff8.*
+ 56d9: 0b3d.*
+ 56da: 0f02.*
+ 56db: 6ff8.*
+ 56dc: 0b3e.*
+ 56dd: 0f02.*
+ 56de: 6ff8.*
+ 56df: 0b3f.*
+ 56e0: 0c03.*
+ 56e1: 6ff8.*
+ 56e2: 0b40.*
+ 56e3: 0d03.*
+ 56e4: 6ff8.*
+ 56e5: 0b41.*
+ 56e6: 0c03.*
+ 56e7: 6ff8.*
+ 56e8: 0b42.*
+ 56e9: 0e03.*
+ 56ea: 6ff8.*
+ 56eb: 0b43.*
+ 56ec: 0f03.*
+ 56ed: 6ff8.*
+ 56ee: 0b44.*
+ 56ef: 0f03.*
+ 56f0: 6ff8.*
+ 56f1: 0b45.*
+ 56f2: 0c04.*
+ 56f3: 6ff8.*
+ 56f4: 0b46.*
+ 56f5: 0d04.*
+ 56f6: 6ff8.*
+ 56f7: 0b47.*
+ 56f8: 0c04.*
+ 56f9: 6ff8.*
+ 56fa: 0b48.*
+ 56fb: 0e04.*
+ 56fc: 6ff8.*
+ 56fd: 0b49.*
+ 56fe: 0f04.*
+ 56ff: 6ff8.*
+ 5700: 0b4a.*
+ 5701: 0f04.*
+ 5702: 6ff8.*
+ 5703: 0b4b.*
+ 5704: 0c05.*
+ 5705: 6ff8.*
+ 5706: 0b4c.*
+ 5707: 0d05.*
+ 5708: 6ff8.*
+ 5709: 0b4d.*
+ 570a: 0c05.*
+ 570b: 6ff8.*
+ 570c: 0b4e.*
+ 570d: 0e05.*
+ 570e: 6ff8.*
+ 570f: 0b4f.*
+ 5710: 0f05.*
+ 5711: 6ff8.*
+ 5712: 0b50.*
+ 5713: 0f05.*
+ 5714: 6ff8.*
+ 5715: 0b51.*
+ 5716: 0c06.*
+ 5717: 6ff8.*
+ 5718: 0b52.*
+ 5719: 0d06.*
+ 571a: 6ff8.*
+ 571b: 0b53.*
+ 571c: 0c06.*
+ 571d: 6ff8.*
+ 571e: 0b54.*
+ 571f: 0e06.*
+ 5720: 6ff8.*
+ 5721: 0b55.*
+ 5722: 0f06.*
+ 5723: 6ff8.*
+ 5724: 0b56.*
+ 5725: 0f06.*
+ 5726: 6ff8.*
+ 5727: 0b57.*
+ 5728: 0c07.*
+ 5729: 6ff8.*
+ 572a: 0b58.*
+ 572b: 0d07.*
+ 572c: 6ff8.*
+ 572d: 0b59.*
+ 572e: 0c07.*
+ 572f: 6ff8.*
+ 5730: 0b5a.*
+ 5731: 0e07.*
+ 5732: 6ff8.*
+ 5733: 0b5b.*
+ 5734: 0f07.*
+ 5735: 6ff8.*
+ 5736: 0b5c.*
+ 5737: 0f07.*
+ 5738: 6ff8.*
+ 5739: 0b5d.*
+ 573a: 0c08.*
+ 573b: 6ff8.*
+ 573c: 0b5e.*
+ 573d: 0d08.*
+ 573e: 6ff8.*
+ 573f: 0b5f.*
+ 5740: 0c08.*
+ 5741: 6ff8.*
+ 5742: 0b60.*
+ 5743: 0e08.*
+ 5744: 6ff8.*
+ 5745: 0b61.*
+ 5746: 0f08.*
+ 5747: 6ff8.*
+ 5748: 0b62.*
+ 5749: 0f08.*
+ 574a: 6ff8.*
+ 574b: 0b63.*
+ 574c: 0c09.*
+ 574d: 6ff8.*
+ 574e: 0b64.*
+ 574f: 0d09.*
+ 5750: 6ff8.*
+ 5751: 0b65.*
+ 5752: 0c09.*
+ 5753: 6ff8.*
+ 5754: 0b66.*
+ 5755: 0e09.*
+ 5756: 6ff8.*
+ 5757: 0b67.*
+ 5758: 0f09.*
+ 5759: 6ff8.*
+ 575a: 0b68.*
+ 575b: 0f09.*
+ 575c: 6ff8.*
+ 575d: 0b69.*
+ 575e: 0c0a.*
+ 575f: 6ff8.*
+ 5760: 0b6a.*
+ 5761: 0d0a.*
+ 5762: 6ff8.*
+ 5763: 0b6b.*
+ 5764: 0c0a.*
+ 5765: 6ff8.*
+ 5766: 0b6c.*
+ 5767: 0e0a.*
+ 5768: 6ff8.*
+ 5769: 0b6d.*
+ 576a: 0f0a.*
+ 576b: 6ff8.*
+ 576c: 0b6e.*
+ 576d: 0f0a.*
+ 576e: 6ff8.*
+ 576f: 0b6f.*
+ 5770: 0c0b.*
+ 5771: 6ff8.*
+ 5772: 0b70.*
+ 5773: 0d0b.*
+ 5774: 6ff8.*
+ 5775: 0b71.*
+ 5776: 0c0b.*
+ 5777: 6ff8.*
+ 5778: 0b72.*
+ 5779: 0e0b.*
+ 577a: 6ff8.*
+ 577b: 0b73.*
+ 577c: 0f0b.*
+ 577d: 6ff8.*
+ 577e: 0b74.*
+ 577f: 0f0b.*
+ 5780: 6ff8.*
+ 5781: 0b75.*
+ 5782: 0c0c.*
+ 5783: 6ff8.*
+ 5784: 0b76.*
+ 5785: 0d0c.*
+ 5786: 6ff8.*
+ 5787: 0b77.*
+ 5788: 0c0c.*
+ 5789: 6ff8.*
+ 578a: 0b78.*
+ 578b: 0e0c.*
+ 578c: 6ff8.*
+ 578d: 0b79.*
+ 578e: 0f0c.*
+ 578f: 6ff8.*
+ 5790: 0b7a.*
+ 5791: 0f0c.*
+ 5792: 6ff8.*
+ 5793: 0b7b.*
+ 5794: 0c0d.*
+ 5795: 6ff8.*
+ 5796: 0b7c.*
+ 5797: 0d0d.*
+ 5798: 6ff8.*
+ 5799: 0b7d.*
+ 579a: 0c0d.*
+ 579b: 6ff8.*
+ 579c: 0b7e.*
+ 579d: 0e0d.*
+ 579e: 6ff8.*
+ 579f: 0b7f.*
+ 57a0: 0f0d.*
+ 57a1: 6ff8.*
+ 57a2: 0b80.*
+ 57a3: 0f0d.*
+ 57a4: 6ff8.*
+ 57a5: 0b81.*
+ 57a6: 0c0e.*
+ 57a7: 6ff8.*
+ 57a8: 0b82.*
+ 57a9: 0d0e.*
+ 57aa: 6ff8.*
+ 57ab: 0b83.*
+ 57ac: 0c0e.*
+ 57ad: 6ff8.*
+ 57ae: 0b84.*
+ 57af: 0e0e.*
+ 57b0: 6ff8.*
+ 57b1: 0b85.*
+ 57b2: 0f0e.*
+ 57b3: 6ff8.*
+ 57b4: 0b86.*
+ 57b5: 0f0e.*
+ 57b6: 6ff8.*
+ 57b7: 0b87.*
+ 57b8: 0c0f.*
+ 57b9: 6ff8.*
+ 57ba: 0b88.*
+ 57bb: 0d0f.*
+ 57bc: 6ff8.*
+ 57bd: 0b89.*
+ 57be: 0c0f.*
+ 57bf: 6ff8.*
+ 57c0: 0b8a.*
+ 57c1: 0e0f.*
+ 57c2: 6ff8.*
+ 57c3: 0b8b.*
+ 57c4: 0f0f.*
+ 57c5: 6ff8.*
+ 57c6: 0b8c.*
+ 57c7: 0f0f.*
+ 57c8: 0083.*
+ 57c9: 6f83.*
+ 57ca: 0d00.*
+ 57cb: 0083.*
+ 57cc: 6f83.*
+ 57cd: 0e00.*
+ 57ce: 0183.*
+ 57cf: 0183.*
+ 57d0: 6f83.*
+ 57d1: 0c10.*
+ 57d2: 6f83.*
+ 57d3: 0d10.*
+ 57d4: 6f83.*
+ 57d5: 0c10.*
+ 57d6: 6f83.*
+ 57d7: 0e10.*
+ 57d8: 6f83.*
+ 57d9: 0f10.*
+ 57da: 6f83.*
+ 57db: 0f10.*
+ 57dc: 6f83.*
+ 57dd: 0c11.*
+ 57de: 6f83.*
+ 57df: 0d11.*
+ 57e0: 6f83.*
+ 57e1: 0c11.*
+ 57e2: 6f83.*
+ 57e3: 0e11.*
+ 57e4: 6f83.*
+ 57e5: 0f11.*
+ 57e6: 6f83.*
+ 57e7: 0f11.*
+ 57e8: 6f83.*
+ 57e9: 0c12.*
+ 57ea: 6f83.*
+ 57eb: 0d12.*
+ 57ec: 6f83.*
+ 57ed: 0c12.*
+ 57ee: 6f83.*
+ 57ef: 0e12.*
+ 57f0: 6f83.*
+ 57f1: 0f12.*
+ 57f2: 6f83.*
+ 57f3: 0f12.*
+ 57f4: 6f83.*
+ 57f5: 0c13.*
+ 57f6: 6f83.*
+ 57f7: 0d13.*
+ 57f8: 6f83.*
+ 57f9: 0c13.*
+ 57fa: 6f83.*
+ 57fb: 0e13.*
+ 57fc: 6f83.*
+ 57fd: 0f13.*
+ 57fe: 6f83.*
+ 57ff: 0f13.*
+ 5800: 6f83.*
+ 5801: 0c14.*
+ 5802: 6f83.*
+ 5803: 0d14.*
+ 5804: 6f83.*
+ 5805: 0c14.*
+ 5806: 6f83.*
+ 5807: 0e14.*
+ 5808: 6f83.*
+ 5809: 0f14.*
+ 580a: 6f83.*
+ 580b: 0f14.*
+ 580c: 6f83.*
+ 580d: 0c15.*
+ 580e: 6f83.*
+ 580f: 0d15.*
+ 5810: 6f83.*
+ 5811: 0c15.*
+ 5812: 6f83.*
+ 5813: 0e15.*
+ 5814: 6f83.*
+ 5815: 0f15.*
+ 5816: 6f83.*
+ 5817: 0f15.*
+ 5818: 6f83.*
+ 5819: 0c16.*
+ 581a: 6f83.*
+ 581b: 0d16.*
+ 581c: 6f83.*
+ 581d: 0c16.*
+ 581e: 6f83.*
+ 581f: 0e16.*
+ 5820: 6f83.*
+ 5821: 0f16.*
+ 5822: 6f83.*
+ 5823: 0f16.*
+ 5824: 6f83.*
+ 5825: 0c17.*
+ 5826: 6f83.*
+ 5827: 0d17.*
+ 5828: 6f83.*
+ 5829: 0c17.*
+ 582a: 6f83.*
+ 582b: 0e17.*
+ 582c: 6f83.*
+ 582d: 0f17.*
+ 582e: 6f83.*
+ 582f: 0f17.*
+ 5830: 6f83.*
+ 5831: 0c18.*
+ 5832: 6f83.*
+ 5833: 0d18.*
+ 5834: 6f83.*
+ 5835: 0c18.*
+ 5836: 6f83.*
+ 5837: 0e18.*
+ 5838: 6f83.*
+ 5839: 0f18.*
+ 583a: 6f83.*
+ 583b: 0f18.*
+ 583c: 6f83.*
+ 583d: 0c19.*
+ 583e: 6f83.*
+ 583f: 0d19.*
+ 5840: 6f83.*
+ 5841: 0c19.*
+ 5842: 6f83.*
+ 5843: 0e19.*
+ 5844: 6f83.*
+ 5845: 0f19.*
+ 5846: 6f83.*
+ 5847: 0f19.*
+ 5848: 6f83.*
+ 5849: 0c1a.*
+ 584a: 6f83.*
+ 584b: 0d1a.*
+ 584c: 6f83.*
+ 584d: 0c1a.*
+ 584e: 6f83.*
+ 584f: 0e1a.*
+ 5850: 6f83.*
+ 5851: 0f1a.*
+ 5852: 6f83.*
+ 5853: 0f1a.*
+ 5854: 6f83.*
+ 5855: 0c1b.*
+ 5856: 6f83.*
+ 5857: 0d1b.*
+ 5858: 6f83.*
+ 5859: 0c1b.*
+ 585a: 6f83.*
+ 585b: 0e1b.*
+ 585c: 6f83.*
+ 585d: 0f1b.*
+ 585e: 6f83.*
+ 585f: 0f1b.*
+ 5860: 6f83.*
+ 5861: 0c1c.*
+ 5862: 6f83.*
+ 5863: 0d1c.*
+ 5864: 6f83.*
+ 5865: 0c1c.*
+ 5866: 6f83.*
+ 5867: 0e1c.*
+ 5868: 6f83.*
+ 5869: 0f1c.*
+ 586a: 6f83.*
+ 586b: 0f1c.*
+ 586c: 6f83.*
+ 586d: 0c1d.*
+ 586e: 6f83.*
+ 586f: 0d1d.*
+ 5870: 6f83.*
+ 5871: 0c1d.*
+ 5872: 6f83.*
+ 5873: 0e1d.*
+ 5874: 6f83.*
+ 5875: 0f1d.*
+ 5876: 6f83.*
+ 5877: 0f1d.*
+ 5878: 6f83.*
+ 5879: 0c1e.*
+ 587a: 6f83.*
+ 587b: 0d1e.*
+ 587c: 6f83.*
+ 587d: 0c1e.*
+ 587e: 6f83.*
+ 587f: 0e1e.*
+ 5880: 6f83.*
+ 5881: 0f1e.*
+ 5882: 6f83.*
+ 5883: 0f1e.*
+ 5884: 6f83.*
+ 5885: 0c1f.*
+ 5886: 6f83.*
+ 5887: 0d1f.*
+ 5888: 6f83.*
+ 5889: 0c1f.*
+ 588a: 6f83.*
+ 588b: 0e1f.*
+ 588c: 6f83.*
+ 588d: 0f1f.*
+ 588e: 6f83.*
+ 588f: 0f1f.*
+ 5890: 0083.*
+ 5891: 6f83.*
+ 5892: 0d00.*
+ 5893: 0083.*
+ 5894: 6f83.*
+ 5895: 0e00.*
+ 5896: 0183.*
+ 5897: 0183.*
+ 5898: 9011.*
+ 5899: 6f83.*
+ 589a: 0d01.*
+ 589b: 9011.*
+ 589c: 6f83.*
+ 589d: 0e01.*
+ 589e: 9111.*
+ 589f: 9111.*
+ 58a0: 9012.*
+ 58a1: 6f83.*
+ 58a2: 0d02.*
+ 58a3: 9012.*
+ 58a4: 6f83.*
+ 58a5: 0e02.*
+ 58a6: 9112.*
+ 58a7: 9112.*
+ 58a8: 9013.*
+ 58a9: 6f83.*
+ 58aa: 0d03.*
+ 58ab: 9013.*
+ 58ac: 6f83.*
+ 58ad: 0e03.*
+ 58ae: 9113.*
+ 58af: 9113.*
+ 58b0: 9014.*
+ 58b1: 6f83.*
+ 58b2: 0d04.*
+ 58b3: 9014.*
+ 58b4: 6f83.*
+ 58b5: 0e04.*
+ 58b6: 9114.*
+ 58b7: 9114.*
+ 58b8: 9015.*
+ 58b9: 6f83.*
+ 58ba: 0d05.*
+ 58bb: 9015.*
+ 58bc: 6f83.*
+ 58bd: 0e05.*
+ 58be: 9115.*
+ 58bf: 9115.*
+ 58c0: 9016.*
+ 58c1: 6f83.*
+ 58c2: 0d06.*
+ 58c3: 9016.*
+ 58c4: 6f83.*
+ 58c5: 0e06.*
+ 58c6: 9116.*
+ 58c7: 9116.*
+ 58c8: 9017.*
+ 58c9: 6f83.*
+ 58ca: 0d07.*
+ 58cb: 9017.*
+ 58cc: 6f83.*
+ 58cd: 0e07.*
+ 58ce: 9117.*
+ 58cf: 9117.*
+ 58d0: 9018.*
+ 58d1: 6f83.*
+ 58d2: 0d08.*
+ 58d3: 9018.*
+ 58d4: 6f83.*
+ 58d5: 0e08.*
+ 58d6: 9118.*
+ 58d7: 9118.*
+ 58d8: 9019.*
+ 58d9: 6f83.*
+ 58da: 0d09.*
+ 58db: 9019.*
+ 58dc: 6f83.*
+ 58dd: 0e09.*
+ 58de: 9119.*
+ 58df: 9119.*
+ 58e0: 901a.*
+ 58e1: 6f83.*
+ 58e2: 0d0a.*
+ 58e3: 901a.*
+ 58e4: 6f83.*
+ 58e5: 0e0a.*
+ 58e6: 911a.*
+ 58e7: 911a.*
+ 58e8: 901b.*
+ 58e9: 6f83.*
+ 58ea: 0d0b.*
+ 58eb: 901b.*
+ 58ec: 6f83.*
+ 58ed: 0e0b.*
+ 58ee: 911b.*
+ 58ef: 911b.*
+ 58f0: 901c.*
+ 58f1: 6f83.*
+ 58f2: 0d0c.*
+ 58f3: 901c.*
+ 58f4: 6f83.*
+ 58f5: 0e0c.*
+ 58f6: 911c.*
+ 58f7: 911c.*
+ 58f8: 901d.*
+ 58f9: 6f83.*
+ 58fa: 0d0d.*
+ 58fb: 901d.*
+ 58fc: 6f83.*
+ 58fd: 0e0d.*
+ 58fe: 911d.*
+ 58ff: 911d.*
+ 5900: 901e.*
+ 5901: 6f83.*
+ 5902: 0d0e.*
+ 5903: 901e.*
+ 5904: 6f83.*
+ 5905: 0e0e.*
+ 5906: 911e.*
+ 5907: 911e.*
+ 5908: 901f.*
+ 5909: 6f83.*
+ 590a: 0d0f.*
+ 590b: 901f.*
+ 590c: 6f83.*
+ 590d: 0e0f.*
+ 590e: 911f.*
+ 590f: 911f.*
+ 5910: 008b.*
+ 5911: 6f8b.*
+ 5912: 0d00.*
+ 5913: 008b.*
+ 5914: 6f8b.*
+ 5915: 0e00.*
+ 5916: 018b.*
+ 5917: 018b.*
+ 5918: 6f8b.*
+ 5919: 0c10.*
+ 591a: 6f8b.*
+ 591b: 0d10.*
+ 591c: 6f8b.*
+ 591d: 0c10.*
+ 591e: 6f8b.*
+ 591f: 0e10.*
+ 5920: 6f8b.*
+ 5921: 0f10.*
+ 5922: 6f8b.*
+ 5923: 0f10.*
+ 5924: 6f8b.*
+ 5925: 0c11.*
+ 5926: 6f8b.*
+ 5927: 0d11.*
+ 5928: 6f8b.*
+ 5929: 0c11.*
+ 592a: 6f8b.*
+ 592b: 0e11.*
+ 592c: 6f8b.*
+ 592d: 0f11.*
+ 592e: 6f8b.*
+ 592f: 0f11.*
+ 5930: 6f8b.*
+ 5931: 0c12.*
+ 5932: 6f8b.*
+ 5933: 0d12.*
+ 5934: 6f8b.*
+ 5935: 0c12.*
+ 5936: 6f8b.*
+ 5937: 0e12.*
+ 5938: 6f8b.*
+ 5939: 0f12.*
+ 593a: 6f8b.*
+ 593b: 0f12.*
+ 593c: 6f8b.*
+ 593d: 0c13.*
+ 593e: 6f8b.*
+ 593f: 0d13.*
+ 5940: 6f8b.*
+ 5941: 0c13.*
+ 5942: 6f8b.*
+ 5943: 0e13.*
+ 5944: 6f8b.*
+ 5945: 0f13.*
+ 5946: 6f8b.*
+ 5947: 0f13.*
+ 5948: 6f8b.*
+ 5949: 0c14.*
+ 594a: 6f8b.*
+ 594b: 0d14.*
+ 594c: 6f8b.*
+ 594d: 0c14.*
+ 594e: 6f8b.*
+ 594f: 0e14.*
+ 5950: 6f8b.*
+ 5951: 0f14.*
+ 5952: 6f8b.*
+ 5953: 0f14.*
+ 5954: 6f8b.*
+ 5955: 0c15.*
+ 5956: 6f8b.*
+ 5957: 0d15.*
+ 5958: 6f8b.*
+ 5959: 0c15.*
+ 595a: 6f8b.*
+ 595b: 0e15.*
+ 595c: 6f8b.*
+ 595d: 0f15.*
+ 595e: 6f8b.*
+ 595f: 0f15.*
+ 5960: 6f8b.*
+ 5961: 0c16.*
+ 5962: 6f8b.*
+ 5963: 0d16.*
+ 5964: 6f8b.*
+ 5965: 0c16.*
+ 5966: 6f8b.*
+ 5967: 0e16.*
+ 5968: 6f8b.*
+ 5969: 0f16.*
+ 596a: 6f8b.*
+ 596b: 0f16.*
+ 596c: 6f8b.*
+ 596d: 0c17.*
+ 596e: 6f8b.*
+ 596f: 0d17.*
+ 5970: 6f8b.*
+ 5971: 0c17.*
+ 5972: 6f8b.*
+ 5973: 0e17.*
+ 5974: 6f8b.*
+ 5975: 0f17.*
+ 5976: 6f8b.*
+ 5977: 0f17.*
+ 5978: 6f8b.*
+ 5979: 0c18.*
+ 597a: 6f8b.*
+ 597b: 0d18.*
+ 597c: 6f8b.*
+ 597d: 0c18.*
+ 597e: 6f8b.*
+ 597f: 0e18.*
+ 5980: 6f8b.*
+ 5981: 0f18.*
+ 5982: 6f8b.*
+ 5983: 0f18.*
+ 5984: 6f8b.*
+ 5985: 0c19.*
+ 5986: 6f8b.*
+ 5987: 0d19.*
+ 5988: 6f8b.*
+ 5989: 0c19.*
+ 598a: 6f8b.*
+ 598b: 0e19.*
+ 598c: 6f8b.*
+ 598d: 0f19.*
+ 598e: 6f8b.*
+ 598f: 0f19.*
+ 5990: 6f8b.*
+ 5991: 0c1a.*
+ 5992: 6f8b.*
+ 5993: 0d1a.*
+ 5994: 6f8b.*
+ 5995: 0c1a.*
+ 5996: 6f8b.*
+ 5997: 0e1a.*
+ 5998: 6f8b.*
+ 5999: 0f1a.*
+ 599a: 6f8b.*
+ 599b: 0f1a.*
+ 599c: 6f8b.*
+ 599d: 0c1b.*
+ 599e: 6f8b.*
+ 599f: 0d1b.*
+ 59a0: 6f8b.*
+ 59a1: 0c1b.*
+ 59a2: 6f8b.*
+ 59a3: 0e1b.*
+ 59a4: 6f8b.*
+ 59a5: 0f1b.*
+ 59a6: 6f8b.*
+ 59a7: 0f1b.*
+ 59a8: 6f8b.*
+ 59a9: 0c1c.*
+ 59aa: 6f8b.*
+ 59ab: 0d1c.*
+ 59ac: 6f8b.*
+ 59ad: 0c1c.*
+ 59ae: 6f8b.*
+ 59af: 0e1c.*
+ 59b0: 6f8b.*
+ 59b1: 0f1c.*
+ 59b2: 6f8b.*
+ 59b3: 0f1c.*
+ 59b4: 6f8b.*
+ 59b5: 0c1d.*
+ 59b6: 6f8b.*
+ 59b7: 0d1d.*
+ 59b8: 6f8b.*
+ 59b9: 0c1d.*
+ 59ba: 6f8b.*
+ 59bb: 0e1d.*
+ 59bc: 6f8b.*
+ 59bd: 0f1d.*
+ 59be: 6f8b.*
+ 59bf: 0f1d.*
+ 59c0: 6f8b.*
+ 59c1: 0c1e.*
+ 59c2: 6f8b.*
+ 59c3: 0d1e.*
+ 59c4: 6f8b.*
+ 59c5: 0c1e.*
+ 59c6: 6f8b.*
+ 59c7: 0e1e.*
+ 59c8: 6f8b.*
+ 59c9: 0f1e.*
+ 59ca: 6f8b.*
+ 59cb: 0f1e.*
+ 59cc: 6f8b.*
+ 59cd: 0c1f.*
+ 59ce: 6f8b.*
+ 59cf: 0d1f.*
+ 59d0: 6f8b.*
+ 59d1: 0c1f.*
+ 59d2: 6f8b.*
+ 59d3: 0e1f.*
+ 59d4: 6f8b.*
+ 59d5: 0f1f.*
+ 59d6: 6f8b.*
+ 59d7: 0f1f.*
+ 59d8: 008b.*
+ 59d9: 6f8b.*
+ 59da: 0d00.*
+ 59db: 008b.*
+ 59dc: 6f8b.*
+ 59dd: 0e00.*
+ 59de: 018b.*
+ 59df: 018b.*
+ 59e0: 9051.*
+ 59e1: 6f8b.*
+ 59e2: 0d01.*
+ 59e3: 9051.*
+ 59e4: 6f8b.*
+ 59e5: 0e01.*
+ 59e6: 9151.*
+ 59e7: 9151.*
+ 59e8: 9052.*
+ 59e9: 6f8b.*
+ 59ea: 0d02.*
+ 59eb: 9052.*
+ 59ec: 6f8b.*
+ 59ed: 0e02.*
+ 59ee: 9152.*
+ 59ef: 9152.*
+ 59f0: 9053.*
+ 59f1: 6f8b.*
+ 59f2: 0d03.*
+ 59f3: 9053.*
+ 59f4: 6f8b.*
+ 59f5: 0e03.*
+ 59f6: 9153.*
+ 59f7: 9153.*
+ 59f8: 9054.*
+ 59f9: 6f8b.*
+ 59fa: 0d04.*
+ 59fb: 9054.*
+ 59fc: 6f8b.*
+ 59fd: 0e04.*
+ 59fe: 9154.*
+ 59ff: 9154.*
+ 5a00: 9055.*
+ 5a01: 6f8b.*
+ 5a02: 0d05.*
+ 5a03: 9055.*
+ 5a04: 6f8b.*
+ 5a05: 0e05.*
+ 5a06: 9155.*
+ 5a07: 9155.*
+ 5a08: 9056.*
+ 5a09: 6f8b.*
+ 5a0a: 0d06.*
+ 5a0b: 9056.*
+ 5a0c: 6f8b.*
+ 5a0d: 0e06.*
+ 5a0e: 9156.*
+ 5a0f: 9156.*
+ 5a10: 9057.*
+ 5a11: 6f8b.*
+ 5a12: 0d07.*
+ 5a13: 9057.*
+ 5a14: 6f8b.*
+ 5a15: 0e07.*
+ 5a16: 9157.*
+ 5a17: 9157.*
+ 5a18: 9058.*
+ 5a19: 6f8b.*
+ 5a1a: 0d08.*
+ 5a1b: 9058.*
+ 5a1c: 6f8b.*
+ 5a1d: 0e08.*
+ 5a1e: 9158.*
+ 5a1f: 9158.*
+ 5a20: 9059.*
+ 5a21: 6f8b.*
+ 5a22: 0d09.*
+ 5a23: 9059.*
+ 5a24: 6f8b.*
+ 5a25: 0e09.*
+ 5a26: 9159.*
+ 5a27: 9159.*
+ 5a28: 905a.*
+ 5a29: 6f8b.*
+ 5a2a: 0d0a.*
+ 5a2b: 905a.*
+ 5a2c: 6f8b.*
+ 5a2d: 0e0a.*
+ 5a2e: 915a.*
+ 5a2f: 915a.*
+ 5a30: 905b.*
+ 5a31: 6f8b.*
+ 5a32: 0d0b.*
+ 5a33: 905b.*
+ 5a34: 6f8b.*
+ 5a35: 0e0b.*
+ 5a36: 915b.*
+ 5a37: 915b.*
+ 5a38: 905c.*
+ 5a39: 6f8b.*
+ 5a3a: 0d0c.*
+ 5a3b: 905c.*
+ 5a3c: 6f8b.*
+ 5a3d: 0e0c.*
+ 5a3e: 915c.*
+ 5a3f: 915c.*
+ 5a40: 905d.*
+ 5a41: 6f8b.*
+ 5a42: 0d0d.*
+ 5a43: 905d.*
+ 5a44: 6f8b.*
+ 5a45: 0e0d.*
+ 5a46: 915d.*
+ 5a47: 915d.*
+ 5a48: 905e.*
+ 5a49: 6f8b.*
+ 5a4a: 0d0e.*
+ 5a4b: 905e.*
+ 5a4c: 6f8b.*
+ 5a4d: 0e0e.*
+ 5a4e: 915e.*
+ 5a4f: 915e.*
+ 5a50: 905f.*
+ 5a51: 6f8b.*
+ 5a52: 0d0f.*
+ 5a53: 905f.*
+ 5a54: 6f8b.*
+ 5a55: 0e0f.*
+ 5a56: 915f.*
+ 5a57: 915f.*
+ 5a58: 0093.*
+ 5a59: 6f93.*
+ 5a5a: 0d00.*
+ 5a5b: 0093.*
+ 5a5c: 6f93.*
+ 5a5d: 0e00.*
+ 5a5e: 0193.*
+ 5a5f: 0193.*
+ 5a60: 6f93.*
+ 5a61: 0c10.*
+ 5a62: 6f93.*
+ 5a63: 0d10.*
+ 5a64: 6f93.*
+ 5a65: 0c10.*
+ 5a66: 6f93.*
+ 5a67: 0e10.*
+ 5a68: 6f93.*
+ 5a69: 0f10.*
+ 5a6a: 6f93.*
+ 5a6b: 0f10.*
+ 5a6c: 6f93.*
+ 5a6d: 0c11.*
+ 5a6e: 6f93.*
+ 5a6f: 0d11.*
+ 5a70: 6f93.*
+ 5a71: 0c11.*
+ 5a72: 6f93.*
+ 5a73: 0e11.*
+ 5a74: 6f93.*
+ 5a75: 0f11.*
+ 5a76: 6f93.*
+ 5a77: 0f11.*
+ 5a78: 6f93.*
+ 5a79: 0c12.*
+ 5a7a: 6f93.*
+ 5a7b: 0d12.*
+ 5a7c: 6f93.*
+ 5a7d: 0c12.*
+ 5a7e: 6f93.*
+ 5a7f: 0e12.*
+ 5a80: 6f93.*
+ 5a81: 0f12.*
+ 5a82: 6f93.*
+ 5a83: 0f12.*
+ 5a84: 6f93.*
+ 5a85: 0c13.*
+ 5a86: 6f93.*
+ 5a87: 0d13.*
+ 5a88: 6f93.*
+ 5a89: 0c13.*
+ 5a8a: 6f93.*
+ 5a8b: 0e13.*
+ 5a8c: 6f93.*
+ 5a8d: 0f13.*
+ 5a8e: 6f93.*
+ 5a8f: 0f13.*
+ 5a90: 6f93.*
+ 5a91: 0c14.*
+ 5a92: 6f93.*
+ 5a93: 0d14.*
+ 5a94: 6f93.*
+ 5a95: 0c14.*
+ 5a96: 6f93.*
+ 5a97: 0e14.*
+ 5a98: 6f93.*
+ 5a99: 0f14.*
+ 5a9a: 6f93.*
+ 5a9b: 0f14.*
+ 5a9c: 6f93.*
+ 5a9d: 0c15.*
+ 5a9e: 6f93.*
+ 5a9f: 0d15.*
+ 5aa0: 6f93.*
+ 5aa1: 0c15.*
+ 5aa2: 6f93.*
+ 5aa3: 0e15.*
+ 5aa4: 6f93.*
+ 5aa5: 0f15.*
+ 5aa6: 6f93.*
+ 5aa7: 0f15.*
+ 5aa8: 6f93.*
+ 5aa9: 0c16.*
+ 5aaa: 6f93.*
+ 5aab: 0d16.*
+ 5aac: 6f93.*
+ 5aad: 0c16.*
+ 5aae: 6f93.*
+ 5aaf: 0e16.*
+ 5ab0: 6f93.*
+ 5ab1: 0f16.*
+ 5ab2: 6f93.*
+ 5ab3: 0f16.*
+ 5ab4: 6f93.*
+ 5ab5: 0c17.*
+ 5ab6: 6f93.*
+ 5ab7: 0d17.*
+ 5ab8: 6f93.*
+ 5ab9: 0c17.*
+ 5aba: 6f93.*
+ 5abb: 0e17.*
+ 5abc: 6f93.*
+ 5abd: 0f17.*
+ 5abe: 6f93.*
+ 5abf: 0f17.*
+ 5ac0: 6f93.*
+ 5ac1: 0c18.*
+ 5ac2: 6f93.*
+ 5ac3: 0d18.*
+ 5ac4: 6f93.*
+ 5ac5: 0c18.*
+ 5ac6: 6f93.*
+ 5ac7: 0e18.*
+ 5ac8: 6f93.*
+ 5ac9: 0f18.*
+ 5aca: 6f93.*
+ 5acb: 0f18.*
+ 5acc: 6f93.*
+ 5acd: 0c19.*
+ 5ace: 6f93.*
+ 5acf: 0d19.*
+ 5ad0: 6f93.*
+ 5ad1: 0c19.*
+ 5ad2: 6f93.*
+ 5ad3: 0e19.*
+ 5ad4: 6f93.*
+ 5ad5: 0f19.*
+ 5ad6: 6f93.*
+ 5ad7: 0f19.*
+ 5ad8: 6f93.*
+ 5ad9: 0c1a.*
+ 5ada: 6f93.*
+ 5adb: 0d1a.*
+ 5adc: 6f93.*
+ 5add: 0c1a.*
+ 5ade: 6f93.*
+ 5adf: 0e1a.*
+ 5ae0: 6f93.*
+ 5ae1: 0f1a.*
+ 5ae2: 6f93.*
+ 5ae3: 0f1a.*
+ 5ae4: 6f93.*
+ 5ae5: 0c1b.*
+ 5ae6: 6f93.*
+ 5ae7: 0d1b.*
+ 5ae8: 6f93.*
+ 5ae9: 0c1b.*
+ 5aea: 6f93.*
+ 5aeb: 0e1b.*
+ 5aec: 6f93.*
+ 5aed: 0f1b.*
+ 5aee: 6f93.*
+ 5aef: 0f1b.*
+ 5af0: 6f93.*
+ 5af1: 0c1c.*
+ 5af2: 6f93.*
+ 5af3: 0d1c.*
+ 5af4: 6f93.*
+ 5af5: 0c1c.*
+ 5af6: 6f93.*
+ 5af7: 0e1c.*
+ 5af8: 6f93.*
+ 5af9: 0f1c.*
+ 5afa: 6f93.*
+ 5afb: 0f1c.*
+ 5afc: 6f93.*
+ 5afd: 0c1d.*
+ 5afe: 6f93.*
+ 5aff: 0d1d.*
+ 5b00: 6f93.*
+ 5b01: 0c1d.*
+ 5b02: 6f93.*
+ 5b03: 0e1d.*
+ 5b04: 6f93.*
+ 5b05: 0f1d.*
+ 5b06: 6f93.*
+ 5b07: 0f1d.*
+ 5b08: 6f93.*
+ 5b09: 0c1e.*
+ 5b0a: 6f93.*
+ 5b0b: 0d1e.*
+ 5b0c: 6f93.*
+ 5b0d: 0c1e.*
+ 5b0e: 6f93.*
+ 5b0f: 0e1e.*
+ 5b10: 6f93.*
+ 5b11: 0f1e.*
+ 5b12: 6f93.*
+ 5b13: 0f1e.*
+ 5b14: 6f93.*
+ 5b15: 0c1f.*
+ 5b16: 6f93.*
+ 5b17: 0d1f.*
+ 5b18: 6f93.*
+ 5b19: 0c1f.*
+ 5b1a: 6f93.*
+ 5b1b: 0e1f.*
+ 5b1c: 6f93.*
+ 5b1d: 0f1f.*
+ 5b1e: 6f93.*
+ 5b1f: 0f1f.*
+ 5b20: 0093.*
+ 5b21: 6f93.*
+ 5b22: 0d00.*
+ 5b23: 0093.*
+ 5b24: 6f93.*
+ 5b25: 0e00.*
+ 5b26: 0193.*
+ 5b27: 0193.*
+ 5b28: 9091.*
+ 5b29: 6f93.*
+ 5b2a: 0d01.*
+ 5b2b: 9091.*
+ 5b2c: 6f93.*
+ 5b2d: 0e01.*
+ 5b2e: 9191.*
+ 5b2f: 9191.*
+ 5b30: 9092.*
+ 5b31: 6f93.*
+ 5b32: 0d02.*
+ 5b33: 9092.*
+ 5b34: 6f93.*
+ 5b35: 0e02.*
+ 5b36: 9192.*
+ 5b37: 9192.*
+ 5b38: 9093.*
+ 5b39: 6f93.*
+ 5b3a: 0d03.*
+ 5b3b: 9093.*
+ 5b3c: 6f93.*
+ 5b3d: 0e03.*
+ 5b3e: 9193.*
+ 5b3f: 9193.*
+ 5b40: 9094.*
+ 5b41: 6f93.*
+ 5b42: 0d04.*
+ 5b43: 9094.*
+ 5b44: 6f93.*
+ 5b45: 0e04.*
+ 5b46: 9194.*
+ 5b47: 9194.*
+ 5b48: 9095.*
+ 5b49: 6f93.*
+ 5b4a: 0d05.*
+ 5b4b: 9095.*
+ 5b4c: 6f93.*
+ 5b4d: 0e05.*
+ 5b4e: 9195.*
+ 5b4f: 9195.*
+ 5b50: 9096.*
+ 5b51: 6f93.*
+ 5b52: 0d06.*
+ 5b53: 9096.*
+ 5b54: 6f93.*
+ 5b55: 0e06.*
+ 5b56: 9196.*
+ 5b57: 9196.*
+ 5b58: 9097.*
+ 5b59: 6f93.*
+ 5b5a: 0d07.*
+ 5b5b: 9097.*
+ 5b5c: 6f93.*
+ 5b5d: 0e07.*
+ 5b5e: 9197.*
+ 5b5f: 9197.*
+ 5b60: 9098.*
+ 5b61: 6f93.*
+ 5b62: 0d08.*
+ 5b63: 9098.*
+ 5b64: 6f93.*
+ 5b65: 0e08.*
+ 5b66: 9198.*
+ 5b67: 9198.*
+ 5b68: 9099.*
+ 5b69: 6f93.*
+ 5b6a: 0d09.*
+ 5b6b: 9099.*
+ 5b6c: 6f93.*
+ 5b6d: 0e09.*
+ 5b6e: 9199.*
+ 5b6f: 9199.*
+ 5b70: 909a.*
+ 5b71: 6f93.*
+ 5b72: 0d0a.*
+ 5b73: 909a.*
+ 5b74: 6f93.*
+ 5b75: 0e0a.*
+ 5b76: 919a.*
+ 5b77: 919a.*
+ 5b78: 909b.*
+ 5b79: 6f93.*
+ 5b7a: 0d0b.*
+ 5b7b: 909b.*
+ 5b7c: 6f93.*
+ 5b7d: 0e0b.*
+ 5b7e: 919b.*
+ 5b7f: 919b.*
+ 5b80: 909c.*
+ 5b81: 6f93.*
+ 5b82: 0d0c.*
+ 5b83: 909c.*
+ 5b84: 6f93.*
+ 5b85: 0e0c.*
+ 5b86: 919c.*
+ 5b87: 919c.*
+ 5b88: 909d.*
+ 5b89: 6f93.*
+ 5b8a: 0d0d.*
+ 5b8b: 909d.*
+ 5b8c: 6f93.*
+ 5b8d: 0e0d.*
+ 5b8e: 919d.*
+ 5b8f: 919d.*
+ 5b90: 909e.*
+ 5b91: 6f93.*
+ 5b92: 0d0e.*
+ 5b93: 909e.*
+ 5b94: 6f93.*
+ 5b95: 0e0e.*
+ 5b96: 919e.*
+ 5b97: 919e.*
+ 5b98: 909f.*
+ 5b99: 6f93.*
+ 5b9a: 0d0f.*
+ 5b9b: 909f.*
+ 5b9c: 6f93.*
+ 5b9d: 0e0f.*
+ 5b9e: 919f.*
+ 5b9f: 919f.*
+ 5ba0: 00a3.*
+ 5ba1: 6fa3.*
+ 5ba2: 0d00.*
+ 5ba3: 00a3.*
+ 5ba4: 6fa3.*
+ 5ba5: 0e00.*
+ 5ba6: 01a3.*
+ 5ba7: 01a3.*
+ 5ba8: 6fa3.*
+ 5ba9: 0c10.*
+ 5baa: 6fa3.*
+ 5bab: 0d10.*
+ 5bac: 6fa3.*
+ 5bad: 0c10.*
+ 5bae: 6fa3.*
+ 5baf: 0e10.*
+ 5bb0: 6fa3.*
+ 5bb1: 0f10.*
+ 5bb2: 6fa3.*
+ 5bb3: 0f10.*
+ 5bb4: 6fa3.*
+ 5bb5: 0c11.*
+ 5bb6: 6fa3.*
+ 5bb7: 0d11.*
+ 5bb8: 6fa3.*
+ 5bb9: 0c11.*
+ 5bba: 6fa3.*
+ 5bbb: 0e11.*
+ 5bbc: 6fa3.*
+ 5bbd: 0f11.*
+ 5bbe: 6fa3.*
+ 5bbf: 0f11.*
+ 5bc0: 6fa3.*
+ 5bc1: 0c12.*
+ 5bc2: 6fa3.*
+ 5bc3: 0d12.*
+ 5bc4: 6fa3.*
+ 5bc5: 0c12.*
+ 5bc6: 6fa3.*
+ 5bc7: 0e12.*
+ 5bc8: 6fa3.*
+ 5bc9: 0f12.*
+ 5bca: 6fa3.*
+ 5bcb: 0f12.*
+ 5bcc: 6fa3.*
+ 5bcd: 0c13.*
+ 5bce: 6fa3.*
+ 5bcf: 0d13.*
+ 5bd0: 6fa3.*
+ 5bd1: 0c13.*
+ 5bd2: 6fa3.*
+ 5bd3: 0e13.*
+ 5bd4: 6fa3.*
+ 5bd5: 0f13.*
+ 5bd6: 6fa3.*
+ 5bd7: 0f13.*
+ 5bd8: 6fa3.*
+ 5bd9: 0c14.*
+ 5bda: 6fa3.*
+ 5bdb: 0d14.*
+ 5bdc: 6fa3.*
+ 5bdd: 0c14.*
+ 5bde: 6fa3.*
+ 5bdf: 0e14.*
+ 5be0: 6fa3.*
+ 5be1: 0f14.*
+ 5be2: 6fa3.*
+ 5be3: 0f14.*
+ 5be4: 6fa3.*
+ 5be5: 0c15.*
+ 5be6: 6fa3.*
+ 5be7: 0d15.*
+ 5be8: 6fa3.*
+ 5be9: 0c15.*
+ 5bea: 6fa3.*
+ 5beb: 0e15.*
+ 5bec: 6fa3.*
+ 5bed: 0f15.*
+ 5bee: 6fa3.*
+ 5bef: 0f15.*
+ 5bf0: 6fa3.*
+ 5bf1: 0c16.*
+ 5bf2: 6fa3.*
+ 5bf3: 0d16.*
+ 5bf4: 6fa3.*
+ 5bf5: 0c16.*
+ 5bf6: 6fa3.*
+ 5bf7: 0e16.*
+ 5bf8: 6fa3.*
+ 5bf9: 0f16.*
+ 5bfa: 6fa3.*
+ 5bfb: 0f16.*
+ 5bfc: 6fa3.*
+ 5bfd: 0c17.*
+ 5bfe: 6fa3.*
+ 5bff: 0d17.*
+ 5c00: 6fa3.*
+ 5c01: 0c17.*
+ 5c02: 6fa3.*
+ 5c03: 0e17.*
+ 5c04: 6fa3.*
+ 5c05: 0f17.*
+ 5c06: 6fa3.*
+ 5c07: 0f17.*
+ 5c08: 6fa3.*
+ 5c09: 0c18.*
+ 5c0a: 6fa3.*
+ 5c0b: 0d18.*
+ 5c0c: 6fa3.*
+ 5c0d: 0c18.*
+ 5c0e: 6fa3.*
+ 5c0f: 0e18.*
+ 5c10: 6fa3.*
+ 5c11: 0f18.*
+ 5c12: 6fa3.*
+ 5c13: 0f18.*
+ 5c14: 6fa3.*
+ 5c15: 0c19.*
+ 5c16: 6fa3.*
+ 5c17: 0d19.*
+ 5c18: 6fa3.*
+ 5c19: 0c19.*
+ 5c1a: 6fa3.*
+ 5c1b: 0e19.*
+ 5c1c: 6fa3.*
+ 5c1d: 0f19.*
+ 5c1e: 6fa3.*
+ 5c1f: 0f19.*
+ 5c20: 6fa3.*
+ 5c21: 0c1a.*
+ 5c22: 6fa3.*
+ 5c23: 0d1a.*
+ 5c24: 6fa3.*
+ 5c25: 0c1a.*
+ 5c26: 6fa3.*
+ 5c27: 0e1a.*
+ 5c28: 6fa3.*
+ 5c29: 0f1a.*
+ 5c2a: 6fa3.*
+ 5c2b: 0f1a.*
+ 5c2c: 6fa3.*
+ 5c2d: 0c1b.*
+ 5c2e: 6fa3.*
+ 5c2f: 0d1b.*
+ 5c30: 6fa3.*
+ 5c31: 0c1b.*
+ 5c32: 6fa3.*
+ 5c33: 0e1b.*
+ 5c34: 6fa3.*
+ 5c35: 0f1b.*
+ 5c36: 6fa3.*
+ 5c37: 0f1b.*
+ 5c38: 6fa3.*
+ 5c39: 0c1c.*
+ 5c3a: 6fa3.*
+ 5c3b: 0d1c.*
+ 5c3c: 6fa3.*
+ 5c3d: 0c1c.*
+ 5c3e: 6fa3.*
+ 5c3f: 0e1c.*
+ 5c40: 6fa3.*
+ 5c41: 0f1c.*
+ 5c42: 6fa3.*
+ 5c43: 0f1c.*
+ 5c44: 6fa3.*
+ 5c45: 0c1d.*
+ 5c46: 6fa3.*
+ 5c47: 0d1d.*
+ 5c48: 6fa3.*
+ 5c49: 0c1d.*
+ 5c4a: 6fa3.*
+ 5c4b: 0e1d.*
+ 5c4c: 6fa3.*
+ 5c4d: 0f1d.*
+ 5c4e: 6fa3.*
+ 5c4f: 0f1d.*
+ 5c50: 6fa3.*
+ 5c51: 0c1e.*
+ 5c52: 6fa3.*
+ 5c53: 0d1e.*
+ 5c54: 6fa3.*
+ 5c55: 0c1e.*
+ 5c56: 6fa3.*
+ 5c57: 0e1e.*
+ 5c58: 6fa3.*
+ 5c59: 0f1e.*
+ 5c5a: 6fa3.*
+ 5c5b: 0f1e.*
+ 5c5c: 6fa3.*
+ 5c5d: 0c1f.*
+ 5c5e: 6fa3.*
+ 5c5f: 0d1f.*
+ 5c60: 6fa3.*
+ 5c61: 0c1f.*
+ 5c62: 6fa3.*
+ 5c63: 0e1f.*
+ 5c64: 6fa3.*
+ 5c65: 0f1f.*
+ 5c66: 6fa3.*
+ 5c67: 0f1f.*
+ 5c68: 00a3.*
+ 5c69: 6fa3.*
+ 5c6a: 0d00.*
+ 5c6b: 00a3.*
+ 5c6c: 6fa3.*
+ 5c6d: 0e00.*
+ 5c6e: 01a3.*
+ 5c6f: 01a3.*
+ 5c70: 6fa3.*
+ 5c71: 0c01.*
+ 5c72: 6fa3.*
+ 5c73: 0d01.*
+ 5c74: 6fa3.*
+ 5c75: 0c01.*
+ 5c76: 6fa3.*
+ 5c77: 0e01.*
+ 5c78: 6fa3.*
+ 5c79: 0f01.*
+ 5c7a: 6fa3.*
+ 5c7b: 0f01.*
+ 5c7c: 6fa3.*
+ 5c7d: 0c02.*
+ 5c7e: 6fa3.*
+ 5c7f: 0d02.*
+ 5c80: 6fa3.*
+ 5c81: 0c02.*
+ 5c82: 6fa3.*
+ 5c83: 0e02.*
+ 5c84: 6fa3.*
+ 5c85: 0f02.*
+ 5c86: 6fa3.*
+ 5c87: 0f02.*
+ 5c88: 6fa3.*
+ 5c89: 0c03.*
+ 5c8a: 6fa3.*
+ 5c8b: 0d03.*
+ 5c8c: 6fa3.*
+ 5c8d: 0c03.*
+ 5c8e: 6fa3.*
+ 5c8f: 0e03.*
+ 5c90: 6fa3.*
+ 5c91: 0f03.*
+ 5c92: 6fa3.*
+ 5c93: 0f03.*
+ 5c94: 6fa3.*
+ 5c95: 0c04.*
+ 5c96: 6fa3.*
+ 5c97: 0d04.*
+ 5c98: 6fa3.*
+ 5c99: 0c04.*
+ 5c9a: 6fa3.*
+ 5c9b: 0e04.*
+ 5c9c: 6fa3.*
+ 5c9d: 0f04.*
+ 5c9e: 6fa3.*
+ 5c9f: 0f04.*
+ 5ca0: 6fa3.*
+ 5ca1: 0c05.*
+ 5ca2: 6fa3.*
+ 5ca3: 0d05.*
+ 5ca4: 6fa3.*
+ 5ca5: 0c05.*
+ 5ca6: 6fa3.*
+ 5ca7: 0e05.*
+ 5ca8: 6fa3.*
+ 5ca9: 0f05.*
+ 5caa: 6fa3.*
+ 5cab: 0f05.*
+ 5cac: 6fa3.*
+ 5cad: 0c06.*
+ 5cae: 6fa3.*
+ 5caf: 0d06.*
+ 5cb0: 6fa3.*
+ 5cb1: 0c06.*
+ 5cb2: 6fa3.*
+ 5cb3: 0e06.*
+ 5cb4: 6fa3.*
+ 5cb5: 0f06.*
+ 5cb6: 6fa3.*
+ 5cb7: 0f06.*
+ 5cb8: 6fa3.*
+ 5cb9: 0c07.*
+ 5cba: 6fa3.*
+ 5cbb: 0d07.*
+ 5cbc: 6fa3.*
+ 5cbd: 0c07.*
+ 5cbe: 6fa3.*
+ 5cbf: 0e07.*
+ 5cc0: 6fa3.*
+ 5cc1: 0f07.*
+ 5cc2: 6fa3.*
+ 5cc3: 0f07.*
+ 5cc4: 6fa3.*
+ 5cc5: 0c08.*
+ 5cc6: 6fa3.*
+ 5cc7: 0d08.*
+ 5cc8: 6fa3.*
+ 5cc9: 0c08.*
+ 5cca: 6fa3.*
+ 5ccb: 0e08.*
+ 5ccc: 6fa3.*
+ 5ccd: 0f08.*
+ 5cce: 6fa3.*
+ 5ccf: 0f08.*
+ 5cd0: 6fa3.*
+ 5cd1: 0c09.*
+ 5cd2: 6fa3.*
+ 5cd3: 0d09.*
+ 5cd4: 6fa3.*
+ 5cd5: 0c09.*
+ 5cd6: 6fa3.*
+ 5cd7: 0e09.*
+ 5cd8: 6fa3.*
+ 5cd9: 0f09.*
+ 5cda: 6fa3.*
+ 5cdb: 0f09.*
+ 5cdc: 6fa3.*
+ 5cdd: 0c0a.*
+ 5cde: 6fa3.*
+ 5cdf: 0d0a.*
+ 5ce0: 6fa3.*
+ 5ce1: 0c0a.*
+ 5ce2: 6fa3.*
+ 5ce3: 0e0a.*
+ 5ce4: 6fa3.*
+ 5ce5: 0f0a.*
+ 5ce6: 6fa3.*
+ 5ce7: 0f0a.*
+ 5ce8: 6fa3.*
+ 5ce9: 0c0b.*
+ 5cea: 6fa3.*
+ 5ceb: 0d0b.*
+ 5cec: 6fa3.*
+ 5ced: 0c0b.*
+ 5cee: 6fa3.*
+ 5cef: 0e0b.*
+ 5cf0: 6fa3.*
+ 5cf1: 0f0b.*
+ 5cf2: 6fa3.*
+ 5cf3: 0f0b.*
+ 5cf4: 6fa3.*
+ 5cf5: 0c0c.*
+ 5cf6: 6fa3.*
+ 5cf7: 0d0c.*
+ 5cf8: 6fa3.*
+ 5cf9: 0c0c.*
+ 5cfa: 6fa3.*
+ 5cfb: 0e0c.*
+ 5cfc: 6fa3.*
+ 5cfd: 0f0c.*
+ 5cfe: 6fa3.*
+ 5cff: 0f0c.*
+ 5d00: 6fa3.*
+ 5d01: 0c0d.*
+ 5d02: 6fa3.*
+ 5d03: 0d0d.*
+ 5d04: 6fa3.*
+ 5d05: 0c0d.*
+ 5d06: 6fa3.*
+ 5d07: 0e0d.*
+ 5d08: 6fa3.*
+ 5d09: 0f0d.*
+ 5d0a: 6fa3.*
+ 5d0b: 0f0d.*
+ 5d0c: 6fa3.*
+ 5d0d: 0c0e.*
+ 5d0e: 6fa3.*
+ 5d0f: 0d0e.*
+ 5d10: 6fa3.*
+ 5d11: 0c0e.*
+ 5d12: 6fa3.*
+ 5d13: 0e0e.*
+ 5d14: 6fa3.*
+ 5d15: 0f0e.*
+ 5d16: 6fa3.*
+ 5d17: 0f0e.*
+ 5d18: 6fa3.*
+ 5d19: 0c0f.*
+ 5d1a: 6fa3.*
+ 5d1b: 0d0f.*
+ 5d1c: 6fa3.*
+ 5d1d: 0c0f.*
+ 5d1e: 6fa3.*
+ 5d1f: 0e0f.*
+ 5d20: 6fa3.*
+ 5d21: 0f0f.*
+ 5d22: 6fa3.*
+ 5d23: 0f0f.*
+ 5d24: 00ab.*
+ 5d25: 6fab.*
+ 5d26: 0d00.*
+ 5d27: 00ab.*
+ 5d28: 6fab.*
+ 5d29: 0e00.*
+ 5d2a: 01ab.*
+ 5d2b: 01ab.*
+ 5d2c: 6fab.*
+ 5d2d: 0c10.*
+ 5d2e: 6fab.*
+ 5d2f: 0d10.*
+ 5d30: 6fab.*
+ 5d31: 0c10.*
+ 5d32: 6fab.*
+ 5d33: 0e10.*
+ 5d34: 6fab.*
+ 5d35: 0f10.*
+ 5d36: 6fab.*
+ 5d37: 0f10.*
+ 5d38: 6fab.*
+ 5d39: 0c11.*
+ 5d3a: 6fab.*
+ 5d3b: 0d11.*
+ 5d3c: 6fab.*
+ 5d3d: 0c11.*
+ 5d3e: 6fab.*
+ 5d3f: 0e11.*
+ 5d40: 6fab.*
+ 5d41: 0f11.*
+ 5d42: 6fab.*
+ 5d43: 0f11.*
+ 5d44: 6fab.*
+ 5d45: 0c12.*
+ 5d46: 6fab.*
+ 5d47: 0d12.*
+ 5d48: 6fab.*
+ 5d49: 0c12.*
+ 5d4a: 6fab.*
+ 5d4b: 0e12.*
+ 5d4c: 6fab.*
+ 5d4d: 0f12.*
+ 5d4e: 6fab.*
+ 5d4f: 0f12.*
+ 5d50: 6fab.*
+ 5d51: 0c13.*
+ 5d52: 6fab.*
+ 5d53: 0d13.*
+ 5d54: 6fab.*
+ 5d55: 0c13.*
+ 5d56: 6fab.*
+ 5d57: 0e13.*
+ 5d58: 6fab.*
+ 5d59: 0f13.*
+ 5d5a: 6fab.*
+ 5d5b: 0f13.*
+ 5d5c: 6fab.*
+ 5d5d: 0c14.*
+ 5d5e: 6fab.*
+ 5d5f: 0d14.*
+ 5d60: 6fab.*
+ 5d61: 0c14.*
+ 5d62: 6fab.*
+ 5d63: 0e14.*
+ 5d64: 6fab.*
+ 5d65: 0f14.*
+ 5d66: 6fab.*
+ 5d67: 0f14.*
+ 5d68: 6fab.*
+ 5d69: 0c15.*
+ 5d6a: 6fab.*
+ 5d6b: 0d15.*
+ 5d6c: 6fab.*
+ 5d6d: 0c15.*
+ 5d6e: 6fab.*
+ 5d6f: 0e15.*
+ 5d70: 6fab.*
+ 5d71: 0f15.*
+ 5d72: 6fab.*
+ 5d73: 0f15.*
+ 5d74: 6fab.*
+ 5d75: 0c16.*
+ 5d76: 6fab.*
+ 5d77: 0d16.*
+ 5d78: 6fab.*
+ 5d79: 0c16.*
+ 5d7a: 6fab.*
+ 5d7b: 0e16.*
+ 5d7c: 6fab.*
+ 5d7d: 0f16.*
+ 5d7e: 6fab.*
+ 5d7f: 0f16.*
+ 5d80: 6fab.*
+ 5d81: 0c17.*
+ 5d82: 6fab.*
+ 5d83: 0d17.*
+ 5d84: 6fab.*
+ 5d85: 0c17.*
+ 5d86: 6fab.*
+ 5d87: 0e17.*
+ 5d88: 6fab.*
+ 5d89: 0f17.*
+ 5d8a: 6fab.*
+ 5d8b: 0f17.*
+ 5d8c: 6fab.*
+ 5d8d: 0c18.*
+ 5d8e: 6fab.*
+ 5d8f: 0d18.*
+ 5d90: 6fab.*
+ 5d91: 0c18.*
+ 5d92: 6fab.*
+ 5d93: 0e18.*
+ 5d94: 6fab.*
+ 5d95: 0f18.*
+ 5d96: 6fab.*
+ 5d97: 0f18.*
+ 5d98: 6fab.*
+ 5d99: 0c19.*
+ 5d9a: 6fab.*
+ 5d9b: 0d19.*
+ 5d9c: 6fab.*
+ 5d9d: 0c19.*
+ 5d9e: 6fab.*
+ 5d9f: 0e19.*
+ 5da0: 6fab.*
+ 5da1: 0f19.*
+ 5da2: 6fab.*
+ 5da3: 0f19.*
+ 5da4: 6fab.*
+ 5da5: 0c1a.*
+ 5da6: 6fab.*
+ 5da7: 0d1a.*
+ 5da8: 6fab.*
+ 5da9: 0c1a.*
+ 5daa: 6fab.*
+ 5dab: 0e1a.*
+ 5dac: 6fab.*
+ 5dad: 0f1a.*
+ 5dae: 6fab.*
+ 5daf: 0f1a.*
+ 5db0: 6fab.*
+ 5db1: 0c1b.*
+ 5db2: 6fab.*
+ 5db3: 0d1b.*
+ 5db4: 6fab.*
+ 5db5: 0c1b.*
+ 5db6: 6fab.*
+ 5db7: 0e1b.*
+ 5db8: 6fab.*
+ 5db9: 0f1b.*
+ 5dba: 6fab.*
+ 5dbb: 0f1b.*
+ 5dbc: 6fab.*
+ 5dbd: 0c1c.*
+ 5dbe: 6fab.*
+ 5dbf: 0d1c.*
+ 5dc0: 6fab.*
+ 5dc1: 0c1c.*
+ 5dc2: 6fab.*
+ 5dc3: 0e1c.*
+ 5dc4: 6fab.*
+ 5dc5: 0f1c.*
+ 5dc6: 6fab.*
+ 5dc7: 0f1c.*
+ 5dc8: 6fab.*
+ 5dc9: 0c1d.*
+ 5dca: 6fab.*
+ 5dcb: 0d1d.*
+ 5dcc: 6fab.*
+ 5dcd: 0c1d.*
+ 5dce: 6fab.*
+ 5dcf: 0e1d.*
+ 5dd0: 6fab.*
+ 5dd1: 0f1d.*
+ 5dd2: 6fab.*
+ 5dd3: 0f1d.*
+ 5dd4: 6fab.*
+ 5dd5: 0c1e.*
+ 5dd6: 6fab.*
+ 5dd7: 0d1e.*
+ 5dd8: 6fab.*
+ 5dd9: 0c1e.*
+ 5dda: 6fab.*
+ 5ddb: 0e1e.*
+ 5ddc: 6fab.*
+ 5ddd: 0f1e.*
+ 5dde: 6fab.*
+ 5ddf: 0f1e.*
+ 5de0: 6fab.*
+ 5de1: 0c1f.*
+ 5de2: 6fab.*
+ 5de3: 0d1f.*
+ 5de4: 6fab.*
+ 5de5: 0c1f.*
+ 5de6: 6fab.*
+ 5de7: 0e1f.*
+ 5de8: 6fab.*
+ 5de9: 0f1f.*
+ 5dea: 6fab.*
+ 5deb: 0f1f.*
+ 5dec: 00ab.*
+ 5ded: 6fab.*
+ 5dee: 0d00.*
+ 5def: 00ab.*
+ 5df0: 6fab.*
+ 5df1: 0e00.*
+ 5df2: 01ab.*
+ 5df3: 01ab.*
+ 5df4: 6fab.*
+ 5df5: 0c01.*
+ 5df6: 6fab.*
+ 5df7: 0d01.*
+ 5df8: 6fab.*
+ 5df9: 0c01.*
+ 5dfa: 6fab.*
+ 5dfb: 0e01.*
+ 5dfc: 6fab.*
+ 5dfd: 0f01.*
+ 5dfe: 6fab.*
+ 5dff: 0f01.*
+ 5e00: 6fab.*
+ 5e01: 0c02.*
+ 5e02: 6fab.*
+ 5e03: 0d02.*
+ 5e04: 6fab.*
+ 5e05: 0c02.*
+ 5e06: 6fab.*
+ 5e07: 0e02.*
+ 5e08: 6fab.*
+ 5e09: 0f02.*
+ 5e0a: 6fab.*
+ 5e0b: 0f02.*
+ 5e0c: 6fab.*
+ 5e0d: 0c03.*
+ 5e0e: 6fab.*
+ 5e0f: 0d03.*
+ 5e10: 6fab.*
+ 5e11: 0c03.*
+ 5e12: 6fab.*
+ 5e13: 0e03.*
+ 5e14: 6fab.*
+ 5e15: 0f03.*
+ 5e16: 6fab.*
+ 5e17: 0f03.*
+ 5e18: 6fab.*
+ 5e19: 0c04.*
+ 5e1a: 6fab.*
+ 5e1b: 0d04.*
+ 5e1c: 6fab.*
+ 5e1d: 0c04.*
+ 5e1e: 6fab.*
+ 5e1f: 0e04.*
+ 5e20: 6fab.*
+ 5e21: 0f04.*
+ 5e22: 6fab.*
+ 5e23: 0f04.*
+ 5e24: 6fab.*
+ 5e25: 0c05.*
+ 5e26: 6fab.*
+ 5e27: 0d05.*
+ 5e28: 6fab.*
+ 5e29: 0c05.*
+ 5e2a: 6fab.*
+ 5e2b: 0e05.*
+ 5e2c: 6fab.*
+ 5e2d: 0f05.*
+ 5e2e: 6fab.*
+ 5e2f: 0f05.*
+ 5e30: 6fab.*
+ 5e31: 0c06.*
+ 5e32: 6fab.*
+ 5e33: 0d06.*
+ 5e34: 6fab.*
+ 5e35: 0c06.*
+ 5e36: 6fab.*
+ 5e37: 0e06.*
+ 5e38: 6fab.*
+ 5e39: 0f06.*
+ 5e3a: 6fab.*
+ 5e3b: 0f06.*
+ 5e3c: 6fab.*
+ 5e3d: 0c07.*
+ 5e3e: 6fab.*
+ 5e3f: 0d07.*
+ 5e40: 6fab.*
+ 5e41: 0c07.*
+ 5e42: 6fab.*
+ 5e43: 0e07.*
+ 5e44: 6fab.*
+ 5e45: 0f07.*
+ 5e46: 6fab.*
+ 5e47: 0f07.*
+ 5e48: 6fab.*
+ 5e49: 0c08.*
+ 5e4a: 6fab.*
+ 5e4b: 0d08.*
+ 5e4c: 6fab.*
+ 5e4d: 0c08.*
+ 5e4e: 6fab.*
+ 5e4f: 0e08.*
+ 5e50: 6fab.*
+ 5e51: 0f08.*
+ 5e52: 6fab.*
+ 5e53: 0f08.*
+ 5e54: 6fab.*
+ 5e55: 0c09.*
+ 5e56: 6fab.*
+ 5e57: 0d09.*
+ 5e58: 6fab.*
+ 5e59: 0c09.*
+ 5e5a: 6fab.*
+ 5e5b: 0e09.*
+ 5e5c: 6fab.*
+ 5e5d: 0f09.*
+ 5e5e: 6fab.*
+ 5e5f: 0f09.*
+ 5e60: 6fab.*
+ 5e61: 0c0a.*
+ 5e62: 6fab.*
+ 5e63: 0d0a.*
+ 5e64: 6fab.*
+ 5e65: 0c0a.*
+ 5e66: 6fab.*
+ 5e67: 0e0a.*
+ 5e68: 6fab.*
+ 5e69: 0f0a.*
+ 5e6a: 6fab.*
+ 5e6b: 0f0a.*
+ 5e6c: 6fab.*
+ 5e6d: 0c0b.*
+ 5e6e: 6fab.*
+ 5e6f: 0d0b.*
+ 5e70: 6fab.*
+ 5e71: 0c0b.*
+ 5e72: 6fab.*
+ 5e73: 0e0b.*
+ 5e74: 6fab.*
+ 5e75: 0f0b.*
+ 5e76: 6fab.*
+ 5e77: 0f0b.*
+ 5e78: 6fab.*
+ 5e79: 0c0c.*
+ 5e7a: 6fab.*
+ 5e7b: 0d0c.*
+ 5e7c: 6fab.*
+ 5e7d: 0c0c.*
+ 5e7e: 6fab.*
+ 5e7f: 0e0c.*
+ 5e80: 6fab.*
+ 5e81: 0f0c.*
+ 5e82: 6fab.*
+ 5e83: 0f0c.*
+ 5e84: 6fab.*
+ 5e85: 0c0d.*
+ 5e86: 6fab.*
+ 5e87: 0d0d.*
+ 5e88: 6fab.*
+ 5e89: 0c0d.*
+ 5e8a: 6fab.*
+ 5e8b: 0e0d.*
+ 5e8c: 6fab.*
+ 5e8d: 0f0d.*
+ 5e8e: 6fab.*
+ 5e8f: 0f0d.*
+ 5e90: 6fab.*
+ 5e91: 0c0e.*
+ 5e92: 6fab.*
+ 5e93: 0d0e.*
+ 5e94: 6fab.*
+ 5e95: 0c0e.*
+ 5e96: 6fab.*
+ 5e97: 0e0e.*
+ 5e98: 6fab.*
+ 5e99: 0f0e.*
+ 5e9a: 6fab.*
+ 5e9b: 0f0e.*
+ 5e9c: 6fab.*
+ 5e9d: 0c0f.*
+ 5e9e: 6fab.*
+ 5e9f: 0d0f.*
+ 5ea0: 6fab.*
+ 5ea1: 0c0f.*
+ 5ea2: 6fab.*
+ 5ea3: 0e0f.*
+ 5ea4: 6fab.*
+ 5ea5: 0f0f.*
+ 5ea6: 6fab.*
+ 5ea7: 0f0f.*
+ 5ea8: 00b3.*
+ 5ea9: 6fb3.*
+ 5eaa: 0d00.*
+ 5eab: 00b3.*
+ 5eac: 6fb3.*
+ 5ead: 0e00.*
+ 5eae: 01b3.*
+ 5eaf: 01b3.*
+ 5eb0: 6fb3.*
+ 5eb1: 0c10.*
+ 5eb2: 6fb3.*
+ 5eb3: 0d10.*
+ 5eb4: 6fb3.*
+ 5eb5: 0c10.*
+ 5eb6: 6fb3.*
+ 5eb7: 0e10.*
+ 5eb8: 6fb3.*
+ 5eb9: 0f10.*
+ 5eba: 6fb3.*
+ 5ebb: 0f10.*
+ 5ebc: 6fb3.*
+ 5ebd: 0c11.*
+ 5ebe: 6fb3.*
+ 5ebf: 0d11.*
+ 5ec0: 6fb3.*
+ 5ec1: 0c11.*
+ 5ec2: 6fb3.*
+ 5ec3: 0e11.*
+ 5ec4: 6fb3.*
+ 5ec5: 0f11.*
+ 5ec6: 6fb3.*
+ 5ec7: 0f11.*
+ 5ec8: 6fb3.*
+ 5ec9: 0c12.*
+ 5eca: 6fb3.*
+ 5ecb: 0d12.*
+ 5ecc: 6fb3.*
+ 5ecd: 0c12.*
+ 5ece: 6fb3.*
+ 5ecf: 0e12.*
+ 5ed0: 6fb3.*
+ 5ed1: 0f12.*
+ 5ed2: 6fb3.*
+ 5ed3: 0f12.*
+ 5ed4: 6fb3.*
+ 5ed5: 0c13.*
+ 5ed6: 6fb3.*
+ 5ed7: 0d13.*
+ 5ed8: 6fb3.*
+ 5ed9: 0c13.*
+ 5eda: 6fb3.*
+ 5edb: 0e13.*
+ 5edc: 6fb3.*
+ 5edd: 0f13.*
+ 5ede: 6fb3.*
+ 5edf: 0f13.*
+ 5ee0: 6fb3.*
+ 5ee1: 0c14.*
+ 5ee2: 6fb3.*
+ 5ee3: 0d14.*
+ 5ee4: 6fb3.*
+ 5ee5: 0c14.*
+ 5ee6: 6fb3.*
+ 5ee7: 0e14.*
+ 5ee8: 6fb3.*
+ 5ee9: 0f14.*
+ 5eea: 6fb3.*
+ 5eeb: 0f14.*
+ 5eec: 6fb3.*
+ 5eed: 0c15.*
+ 5eee: 6fb3.*
+ 5eef: 0d15.*
+ 5ef0: 6fb3.*
+ 5ef1: 0c15.*
+ 5ef2: 6fb3.*
+ 5ef3: 0e15.*
+ 5ef4: 6fb3.*
+ 5ef5: 0f15.*
+ 5ef6: 6fb3.*
+ 5ef7: 0f15.*
+ 5ef8: 6fb3.*
+ 5ef9: 0c16.*
+ 5efa: 6fb3.*
+ 5efb: 0d16.*
+ 5efc: 6fb3.*
+ 5efd: 0c16.*
+ 5efe: 6fb3.*
+ 5eff: 0e16.*
+ 5f00: 6fb3.*
+ 5f01: 0f16.*
+ 5f02: 6fb3.*
+ 5f03: 0f16.*
+ 5f04: 6fb3.*
+ 5f05: 0c17.*
+ 5f06: 6fb3.*
+ 5f07: 0d17.*
+ 5f08: 6fb3.*
+ 5f09: 0c17.*
+ 5f0a: 6fb3.*
+ 5f0b: 0e17.*
+ 5f0c: 6fb3.*
+ 5f0d: 0f17.*
+ 5f0e: 6fb3.*
+ 5f0f: 0f17.*
+ 5f10: 6fb3.*
+ 5f11: 0c18.*
+ 5f12: 6fb3.*
+ 5f13: 0d18.*
+ 5f14: 6fb3.*
+ 5f15: 0c18.*
+ 5f16: 6fb3.*
+ 5f17: 0e18.*
+ 5f18: 6fb3.*
+ 5f19: 0f18.*
+ 5f1a: 6fb3.*
+ 5f1b: 0f18.*
+ 5f1c: 6fb3.*
+ 5f1d: 0c19.*
+ 5f1e: 6fb3.*
+ 5f1f: 0d19.*
+ 5f20: 6fb3.*
+ 5f21: 0c19.*
+ 5f22: 6fb3.*
+ 5f23: 0e19.*
+ 5f24: 6fb3.*
+ 5f25: 0f19.*
+ 5f26: 6fb3.*
+ 5f27: 0f19.*
+ 5f28: 6fb3.*
+ 5f29: 0c1a.*
+ 5f2a: 6fb3.*
+ 5f2b: 0d1a.*
+ 5f2c: 6fb3.*
+ 5f2d: 0c1a.*
+ 5f2e: 6fb3.*
+ 5f2f: 0e1a.*
+ 5f30: 6fb3.*
+ 5f31: 0f1a.*
+ 5f32: 6fb3.*
+ 5f33: 0f1a.*
+ 5f34: 6fb3.*
+ 5f35: 0c1b.*
+ 5f36: 6fb3.*
+ 5f37: 0d1b.*
+ 5f38: 6fb3.*
+ 5f39: 0c1b.*
+ 5f3a: 6fb3.*
+ 5f3b: 0e1b.*
+ 5f3c: 6fb3.*
+ 5f3d: 0f1b.*
+ 5f3e: 6fb3.*
+ 5f3f: 0f1b.*
+ 5f40: 6fb3.*
+ 5f41: 0c1c.*
+ 5f42: 6fb3.*
+ 5f43: 0d1c.*
+ 5f44: 6fb3.*
+ 5f45: 0c1c.*
+ 5f46: 6fb3.*
+ 5f47: 0e1c.*
+ 5f48: 6fb3.*
+ 5f49: 0f1c.*
+ 5f4a: 6fb3.*
+ 5f4b: 0f1c.*
+ 5f4c: 6fb3.*
+ 5f4d: 0c1d.*
+ 5f4e: 6fb3.*
+ 5f4f: 0d1d.*
+ 5f50: 6fb3.*
+ 5f51: 0c1d.*
+ 5f52: 6fb3.*
+ 5f53: 0e1d.*
+ 5f54: 6fb3.*
+ 5f55: 0f1d.*
+ 5f56: 6fb3.*
+ 5f57: 0f1d.*
+ 5f58: 6fb3.*
+ 5f59: 0c1e.*
+ 5f5a: 6fb3.*
+ 5f5b: 0d1e.*
+ 5f5c: 6fb3.*
+ 5f5d: 0c1e.*
+ 5f5e: 6fb3.*
+ 5f5f: 0e1e.*
+ 5f60: 6fb3.*
+ 5f61: 0f1e.*
+ 5f62: 6fb3.*
+ 5f63: 0f1e.*
+ 5f64: 6fb3.*
+ 5f65: 0c1f.*
+ 5f66: 6fb3.*
+ 5f67: 0d1f.*
+ 5f68: 6fb3.*
+ 5f69: 0c1f.*
+ 5f6a: 6fb3.*
+ 5f6b: 0e1f.*
+ 5f6c: 6fb3.*
+ 5f6d: 0f1f.*
+ 5f6e: 6fb3.*
+ 5f6f: 0f1f.*
+ 5f70: 00b3.*
+ 5f71: 6fb3.*
+ 5f72: 0d00.*
+ 5f73: 00b3.*
+ 5f74: 6fb3.*
+ 5f75: 0e00.*
+ 5f76: 01b3.*
+ 5f77: 01b3.*
+ 5f78: 6fb3.*
+ 5f79: 0c01.*
+ 5f7a: 6fb3.*
+ 5f7b: 0d01.*
+ 5f7c: 6fb3.*
+ 5f7d: 0c01.*
+ 5f7e: 6fb3.*
+ 5f7f: 0e01.*
+ 5f80: 6fb3.*
+ 5f81: 0f01.*
+ 5f82: 6fb3.*
+ 5f83: 0f01.*
+ 5f84: 6fb3.*
+ 5f85: 0c02.*
+ 5f86: 6fb3.*
+ 5f87: 0d02.*
+ 5f88: 6fb3.*
+ 5f89: 0c02.*
+ 5f8a: 6fb3.*
+ 5f8b: 0e02.*
+ 5f8c: 6fb3.*
+ 5f8d: 0f02.*
+ 5f8e: 6fb3.*
+ 5f8f: 0f02.*
+ 5f90: 6fb3.*
+ 5f91: 0c03.*
+ 5f92: 6fb3.*
+ 5f93: 0d03.*
+ 5f94: 6fb3.*
+ 5f95: 0c03.*
+ 5f96: 6fb3.*
+ 5f97: 0e03.*
+ 5f98: 6fb3.*
+ 5f99: 0f03.*
+ 5f9a: 6fb3.*
+ 5f9b: 0f03.*
+ 5f9c: 6fb3.*
+ 5f9d: 0c04.*
+ 5f9e: 6fb3.*
+ 5f9f: 0d04.*
+ 5fa0: 6fb3.*
+ 5fa1: 0c04.*
+ 5fa2: 6fb3.*
+ 5fa3: 0e04.*
+ 5fa4: 6fb3.*
+ 5fa5: 0f04.*
+ 5fa6: 6fb3.*
+ 5fa7: 0f04.*
+ 5fa8: 6fb3.*
+ 5fa9: 0c05.*
+ 5faa: 6fb3.*
+ 5fab: 0d05.*
+ 5fac: 6fb3.*
+ 5fad: 0c05.*
+ 5fae: 6fb3.*
+ 5faf: 0e05.*
+ 5fb0: 6fb3.*
+ 5fb1: 0f05.*
+ 5fb2: 6fb3.*
+ 5fb3: 0f05.*
+ 5fb4: 6fb3.*
+ 5fb5: 0c06.*
+ 5fb6: 6fb3.*
+ 5fb7: 0d06.*
+ 5fb8: 6fb3.*
+ 5fb9: 0c06.*
+ 5fba: 6fb3.*
+ 5fbb: 0e06.*
+ 5fbc: 6fb3.*
+ 5fbd: 0f06.*
+ 5fbe: 6fb3.*
+ 5fbf: 0f06.*
+ 5fc0: 6fb3.*
+ 5fc1: 0c07.*
+ 5fc2: 6fb3.*
+ 5fc3: 0d07.*
+ 5fc4: 6fb3.*
+ 5fc5: 0c07.*
+ 5fc6: 6fb3.*
+ 5fc7: 0e07.*
+ 5fc8: 6fb3.*
+ 5fc9: 0f07.*
+ 5fca: 6fb3.*
+ 5fcb: 0f07.*
+ 5fcc: 6fb3.*
+ 5fcd: 0c08.*
+ 5fce: 6fb3.*
+ 5fcf: 0d08.*
+ 5fd0: 6fb3.*
+ 5fd1: 0c08.*
+ 5fd2: 6fb3.*
+ 5fd3: 0e08.*
+ 5fd4: 6fb3.*
+ 5fd5: 0f08.*
+ 5fd6: 6fb3.*
+ 5fd7: 0f08.*
+ 5fd8: 6fb3.*
+ 5fd9: 0c09.*
+ 5fda: 6fb3.*
+ 5fdb: 0d09.*
+ 5fdc: 6fb3.*
+ 5fdd: 0c09.*
+ 5fde: 6fb3.*
+ 5fdf: 0e09.*
+ 5fe0: 6fb3.*
+ 5fe1: 0f09.*
+ 5fe2: 6fb3.*
+ 5fe3: 0f09.*
+ 5fe4: 6fb3.*
+ 5fe5: 0c0a.*
+ 5fe6: 6fb3.*
+ 5fe7: 0d0a.*
+ 5fe8: 6fb3.*
+ 5fe9: 0c0a.*
+ 5fea: 6fb3.*
+ 5feb: 0e0a.*
+ 5fec: 6fb3.*
+ 5fed: 0f0a.*
+ 5fee: 6fb3.*
+ 5fef: 0f0a.*
+ 5ff0: 6fb3.*
+ 5ff1: 0c0b.*
+ 5ff2: 6fb3.*
+ 5ff3: 0d0b.*
+ 5ff4: 6fb3.*
+ 5ff5: 0c0b.*
+ 5ff6: 6fb3.*
+ 5ff7: 0e0b.*
+ 5ff8: 6fb3.*
+ 5ff9: 0f0b.*
+ 5ffa: 6fb3.*
+ 5ffb: 0f0b.*
+ 5ffc: 6fb3.*
+ 5ffd: 0c0c.*
+ 5ffe: 6fb3.*
+ 5fff: 0d0c.*
+ 6000: 6fb3.*
+ 6001: 0c0c.*
+ 6002: 6fb3.*
+ 6003: 0e0c.*
+ 6004: 6fb3.*
+ 6005: 0f0c.*
+ 6006: 6fb3.*
+ 6007: 0f0c.*
+ 6008: 6fb3.*
+ 6009: 0c0d.*
+ 600a: 6fb3.*
+ 600b: 0d0d.*
+ 600c: 6fb3.*
+ 600d: 0c0d.*
+ 600e: 6fb3.*
+ 600f: 0e0d.*
+ 6010: 6fb3.*
+ 6011: 0f0d.*
+ 6012: 6fb3.*
+ 6013: 0f0d.*
+ 6014: 6fb3.*
+ 6015: 0c0e.*
+ 6016: 6fb3.*
+ 6017: 0d0e.*
+ 6018: 6fb3.*
+ 6019: 0c0e.*
+ 601a: 6fb3.*
+ 601b: 0e0e.*
+ 601c: 6fb3.*
+ 601d: 0f0e.*
+ 601e: 6fb3.*
+ 601f: 0f0e.*
+ 6020: 6fb3.*
+ 6021: 0c0f.*
+ 6022: 6fb3.*
+ 6023: 0d0f.*
+ 6024: 6fb3.*
+ 6025: 0c0f.*
+ 6026: 6fb3.*
+ 6027: 0e0f.*
+ 6028: 6fb3.*
+ 6029: 0f0f.*
+ 602a: 6fb3.*
+ 602b: 0f0f.*
+ 602c: 00bb.*
+ 602d: 6fbb.*
+ 602e: 0d00.*
+ 602f: 00bb.*
+ 6030: 6fbb.*
+ 6031: 0e00.*
+ 6032: 01bb.*
+ 6033: 01bb.*
+ 6034: 6fbb.*
+ 6035: 0c10.*
+ 6036: 6fbb.*
+ 6037: 0d10.*
+ 6038: 6fbb.*
+ 6039: 0c10.*
+ 603a: 6fbb.*
+ 603b: 0e10.*
+ 603c: 6fbb.*
+ 603d: 0f10.*
+ 603e: 6fbb.*
+ 603f: 0f10.*
+ 6040: 6fbb.*
+ 6041: 0c11.*
+ 6042: 6fbb.*
+ 6043: 0d11.*
+ 6044: 6fbb.*
+ 6045: 0c11.*
+ 6046: 6fbb.*
+ 6047: 0e11.*
+ 6048: 6fbb.*
+ 6049: 0f11.*
+ 604a: 6fbb.*
+ 604b: 0f11.*
+ 604c: 6fbb.*
+ 604d: 0c12.*
+ 604e: 6fbb.*
+ 604f: 0d12.*
+ 6050: 6fbb.*
+ 6051: 0c12.*
+ 6052: 6fbb.*
+ 6053: 0e12.*
+ 6054: 6fbb.*
+ 6055: 0f12.*
+ 6056: 6fbb.*
+ 6057: 0f12.*
+ 6058: 6fbb.*
+ 6059: 0c13.*
+ 605a: 6fbb.*
+ 605b: 0d13.*
+ 605c: 6fbb.*
+ 605d: 0c13.*
+ 605e: 6fbb.*
+ 605f: 0e13.*
+ 6060: 6fbb.*
+ 6061: 0f13.*
+ 6062: 6fbb.*
+ 6063: 0f13.*
+ 6064: 6fbb.*
+ 6065: 0c14.*
+ 6066: 6fbb.*
+ 6067: 0d14.*
+ 6068: 6fbb.*
+ 6069: 0c14.*
+ 606a: 6fbb.*
+ 606b: 0e14.*
+ 606c: 6fbb.*
+ 606d: 0f14.*
+ 606e: 6fbb.*
+ 606f: 0f14.*
+ 6070: 6fbb.*
+ 6071: 0c15.*
+ 6072: 6fbb.*
+ 6073: 0d15.*
+ 6074: 6fbb.*
+ 6075: 0c15.*
+ 6076: 6fbb.*
+ 6077: 0e15.*
+ 6078: 6fbb.*
+ 6079: 0f15.*
+ 607a: 6fbb.*
+ 607b: 0f15.*
+ 607c: 6fbb.*
+ 607d: 0c16.*
+ 607e: 6fbb.*
+ 607f: 0d16.*
+ 6080: 6fbb.*
+ 6081: 0c16.*
+ 6082: 6fbb.*
+ 6083: 0e16.*
+ 6084: 6fbb.*
+ 6085: 0f16.*
+ 6086: 6fbb.*
+ 6087: 0f16.*
+ 6088: 6fbb.*
+ 6089: 0c17.*
+ 608a: 6fbb.*
+ 608b: 0d17.*
+ 608c: 6fbb.*
+ 608d: 0c17.*
+ 608e: 6fbb.*
+ 608f: 0e17.*
+ 6090: 6fbb.*
+ 6091: 0f17.*
+ 6092: 6fbb.*
+ 6093: 0f17.*
+ 6094: 6fbb.*
+ 6095: 0c18.*
+ 6096: 6fbb.*
+ 6097: 0d18.*
+ 6098: 6fbb.*
+ 6099: 0c18.*
+ 609a: 6fbb.*
+ 609b: 0e18.*
+ 609c: 6fbb.*
+ 609d: 0f18.*
+ 609e: 6fbb.*
+ 609f: 0f18.*
+ 60a0: 6fbb.*
+ 60a1: 0c19.*
+ 60a2: 6fbb.*
+ 60a3: 0d19.*
+ 60a4: 6fbb.*
+ 60a5: 0c19.*
+ 60a6: 6fbb.*
+ 60a7: 0e19.*
+ 60a8: 6fbb.*
+ 60a9: 0f19.*
+ 60aa: 6fbb.*
+ 60ab: 0f19.*
+ 60ac: 6fbb.*
+ 60ad: 0c1a.*
+ 60ae: 6fbb.*
+ 60af: 0d1a.*
+ 60b0: 6fbb.*
+ 60b1: 0c1a.*
+ 60b2: 6fbb.*
+ 60b3: 0e1a.*
+ 60b4: 6fbb.*
+ 60b5: 0f1a.*
+ 60b6: 6fbb.*
+ 60b7: 0f1a.*
+ 60b8: 6fbb.*
+ 60b9: 0c1b.*
+ 60ba: 6fbb.*
+ 60bb: 0d1b.*
+ 60bc: 6fbb.*
+ 60bd: 0c1b.*
+ 60be: 6fbb.*
+ 60bf: 0e1b.*
+ 60c0: 6fbb.*
+ 60c1: 0f1b.*
+ 60c2: 6fbb.*
+ 60c3: 0f1b.*
+ 60c4: 6fbb.*
+ 60c5: 0c1c.*
+ 60c6: 6fbb.*
+ 60c7: 0d1c.*
+ 60c8: 6fbb.*
+ 60c9: 0c1c.*
+ 60ca: 6fbb.*
+ 60cb: 0e1c.*
+ 60cc: 6fbb.*
+ 60cd: 0f1c.*
+ 60ce: 6fbb.*
+ 60cf: 0f1c.*
+ 60d0: 6fbb.*
+ 60d1: 0c1d.*
+ 60d2: 6fbb.*
+ 60d3: 0d1d.*
+ 60d4: 6fbb.*
+ 60d5: 0c1d.*
+ 60d6: 6fbb.*
+ 60d7: 0e1d.*
+ 60d8: 6fbb.*
+ 60d9: 0f1d.*
+ 60da: 6fbb.*
+ 60db: 0f1d.*
+ 60dc: 6fbb.*
+ 60dd: 0c1e.*
+ 60de: 6fbb.*
+ 60df: 0d1e.*
+ 60e0: 6fbb.*
+ 60e1: 0c1e.*
+ 60e2: 6fbb.*
+ 60e3: 0e1e.*
+ 60e4: 6fbb.*
+ 60e5: 0f1e.*
+ 60e6: 6fbb.*
+ 60e7: 0f1e.*
+ 60e8: 6fbb.*
+ 60e9: 0c1f.*
+ 60ea: 6fbb.*
+ 60eb: 0d1f.*
+ 60ec: 6fbb.*
+ 60ed: 0c1f.*
+ 60ee: 6fbb.*
+ 60ef: 0e1f.*
+ 60f0: 6fbb.*
+ 60f1: 0f1f.*
+ 60f2: 6fbb.*
+ 60f3: 0f1f.*
+ 60f4: 00bb.*
+ 60f5: 6fbb.*
+ 60f6: 0d00.*
+ 60f7: 00bb.*
+ 60f8: 6fbb.*
+ 60f9: 0e00.*
+ 60fa: 01bb.*
+ 60fb: 01bb.*
+ 60fc: 6fbb.*
+ 60fd: 0c01.*
+ 60fe: 6fbb.*
+ 60ff: 0d01.*
+ 6100: 6fbb.*
+ 6101: 0c01.*
+ 6102: 6fbb.*
+ 6103: 0e01.*
+ 6104: 6fbb.*
+ 6105: 0f01.*
+ 6106: 6fbb.*
+ 6107: 0f01.*
+ 6108: 6fbb.*
+ 6109: 0c02.*
+ 610a: 6fbb.*
+ 610b: 0d02.*
+ 610c: 6fbb.*
+ 610d: 0c02.*
+ 610e: 6fbb.*
+ 610f: 0e02.*
+ 6110: 6fbb.*
+ 6111: 0f02.*
+ 6112: 6fbb.*
+ 6113: 0f02.*
+ 6114: 6fbb.*
+ 6115: 0c03.*
+ 6116: 6fbb.*
+ 6117: 0d03.*
+ 6118: 6fbb.*
+ 6119: 0c03.*
+ 611a: 6fbb.*
+ 611b: 0e03.*
+ 611c: 6fbb.*
+ 611d: 0f03.*
+ 611e: 6fbb.*
+ 611f: 0f03.*
+ 6120: 6fbb.*
+ 6121: 0c04.*
+ 6122: 6fbb.*
+ 6123: 0d04.*
+ 6124: 6fbb.*
+ 6125: 0c04.*
+ 6126: 6fbb.*
+ 6127: 0e04.*
+ 6128: 6fbb.*
+ 6129: 0f04.*
+ 612a: 6fbb.*
+ 612b: 0f04.*
+ 612c: 6fbb.*
+ 612d: 0c05.*
+ 612e: 6fbb.*
+ 612f: 0d05.*
+ 6130: 6fbb.*
+ 6131: 0c05.*
+ 6132: 6fbb.*
+ 6133: 0e05.*
+ 6134: 6fbb.*
+ 6135: 0f05.*
+ 6136: 6fbb.*
+ 6137: 0f05.*
+ 6138: 6fbb.*
+ 6139: 0c06.*
+ 613a: 6fbb.*
+ 613b: 0d06.*
+ 613c: 6fbb.*
+ 613d: 0c06.*
+ 613e: 6fbb.*
+ 613f: 0e06.*
+ 6140: 6fbb.*
+ 6141: 0f06.*
+ 6142: 6fbb.*
+ 6143: 0f06.*
+ 6144: 6fbb.*
+ 6145: 0c07.*
+ 6146: 6fbb.*
+ 6147: 0d07.*
+ 6148: 6fbb.*
+ 6149: 0c07.*
+ 614a: 6fbb.*
+ 614b: 0e07.*
+ 614c: 6fbb.*
+ 614d: 0f07.*
+ 614e: 6fbb.*
+ 614f: 0f07.*
+ 6150: 6fbb.*
+ 6151: 0c08.*
+ 6152: 6fbb.*
+ 6153: 0d08.*
+ 6154: 6fbb.*
+ 6155: 0c08.*
+ 6156: 6fbb.*
+ 6157: 0e08.*
+ 6158: 6fbb.*
+ 6159: 0f08.*
+ 615a: 6fbb.*
+ 615b: 0f08.*
+ 615c: 6fbb.*
+ 615d: 0c09.*
+ 615e: 6fbb.*
+ 615f: 0d09.*
+ 6160: 6fbb.*
+ 6161: 0c09.*
+ 6162: 6fbb.*
+ 6163: 0e09.*
+ 6164: 6fbb.*
+ 6165: 0f09.*
+ 6166: 6fbb.*
+ 6167: 0f09.*
+ 6168: 6fbb.*
+ 6169: 0c0a.*
+ 616a: 6fbb.*
+ 616b: 0d0a.*
+ 616c: 6fbb.*
+ 616d: 0c0a.*
+ 616e: 6fbb.*
+ 616f: 0e0a.*
+ 6170: 6fbb.*
+ 6171: 0f0a.*
+ 6172: 6fbb.*
+ 6173: 0f0a.*
+ 6174: 6fbb.*
+ 6175: 0c0b.*
+ 6176: 6fbb.*
+ 6177: 0d0b.*
+ 6178: 6fbb.*
+ 6179: 0c0b.*
+ 617a: 6fbb.*
+ 617b: 0e0b.*
+ 617c: 6fbb.*
+ 617d: 0f0b.*
+ 617e: 6fbb.*
+ 617f: 0f0b.*
+ 6180: 6fbb.*
+ 6181: 0c0c.*
+ 6182: 6fbb.*
+ 6183: 0d0c.*
+ 6184: 6fbb.*
+ 6185: 0c0c.*
+ 6186: 6fbb.*
+ 6187: 0e0c.*
+ 6188: 6fbb.*
+ 6189: 0f0c.*
+ 618a: 6fbb.*
+ 618b: 0f0c.*
+ 618c: 6fbb.*
+ 618d: 0c0d.*
+ 618e: 6fbb.*
+ 618f: 0d0d.*
+ 6190: 6fbb.*
+ 6191: 0c0d.*
+ 6192: 6fbb.*
+ 6193: 0e0d.*
+ 6194: 6fbb.*
+ 6195: 0f0d.*
+ 6196: 6fbb.*
+ 6197: 0f0d.*
+ 6198: 6fbb.*
+ 6199: 0c0e.*
+ 619a: 6fbb.*
+ 619b: 0d0e.*
+ 619c: 6fbb.*
+ 619d: 0c0e.*
+ 619e: 6fbb.*
+ 619f: 0e0e.*
+ 61a0: 6fbb.*
+ 61a1: 0f0e.*
+ 61a2: 6fbb.*
+ 61a3: 0f0e.*
+ 61a4: 6fbb.*
+ 61a5: 0c0f.*
+ 61a6: 6fbb.*
+ 61a7: 0d0f.*
+ 61a8: 6fbb.*
+ 61a9: 0c0f.*
+ 61aa: 6fbb.*
+ 61ab: 0e0f.*
+ 61ac: 6fbb.*
+ 61ad: 0f0f.*
+ 61ae: 6fbb.*
+ 61af: 0f0f.*
+ 61b0: 00c3.*
+ 61b1: 6fc3.*
+ 61b2: 0d00.*
+ 61b3: 00c3.*
+ 61b4: 6fc3.*
+ 61b5: 0e00.*
+ 61b6: 01c3.*
+ 61b7: 01c3.*
+ 61b8: 6fc3.*
+ 61b9: 0c10.*
+ 61ba: 6fc3.*
+ 61bb: 0d10.*
+ 61bc: 6fc3.*
+ 61bd: 0c10.*
+ 61be: 6fc3.*
+ 61bf: 0e10.*
+ 61c0: 6fc3.*
+ 61c1: 0f10.*
+ 61c2: 6fc3.*
+ 61c3: 0f10.*
+ 61c4: 6fc3.*
+ 61c5: 0c11.*
+ 61c6: 6fc3.*
+ 61c7: 0d11.*
+ 61c8: 6fc3.*
+ 61c9: 0c11.*
+ 61ca: 6fc3.*
+ 61cb: 0e11.*
+ 61cc: 6fc3.*
+ 61cd: 0f11.*
+ 61ce: 6fc3.*
+ 61cf: 0f11.*
+ 61d0: 6fc3.*
+ 61d1: 0c12.*
+ 61d2: 6fc3.*
+ 61d3: 0d12.*
+ 61d4: 6fc3.*
+ 61d5: 0c12.*
+ 61d6: 6fc3.*
+ 61d7: 0e12.*
+ 61d8: 6fc3.*
+ 61d9: 0f12.*
+ 61da: 6fc3.*
+ 61db: 0f12.*
+ 61dc: 6fc3.*
+ 61dd: 0c13.*
+ 61de: 6fc3.*
+ 61df: 0d13.*
+ 61e0: 6fc3.*
+ 61e1: 0c13.*
+ 61e2: 6fc3.*
+ 61e3: 0e13.*
+ 61e4: 6fc3.*
+ 61e5: 0f13.*
+ 61e6: 6fc3.*
+ 61e7: 0f13.*
+ 61e8: 6fc3.*
+ 61e9: 0c14.*
+ 61ea: 6fc3.*
+ 61eb: 0d14.*
+ 61ec: 6fc3.*
+ 61ed: 0c14.*
+ 61ee: 6fc3.*
+ 61ef: 0e14.*
+ 61f0: 6fc3.*
+ 61f1: 0f14.*
+ 61f2: 6fc3.*
+ 61f3: 0f14.*
+ 61f4: 6fc3.*
+ 61f5: 0c15.*
+ 61f6: 6fc3.*
+ 61f7: 0d15.*
+ 61f8: 6fc3.*
+ 61f9: 0c15.*
+ 61fa: 6fc3.*
+ 61fb: 0e15.*
+ 61fc: 6fc3.*
+ 61fd: 0f15.*
+ 61fe: 6fc3.*
+ 61ff: 0f15.*
+ 6200: 6fc3.*
+ 6201: 0c16.*
+ 6202: 6fc3.*
+ 6203: 0d16.*
+ 6204: 6fc3.*
+ 6205: 0c16.*
+ 6206: 6fc3.*
+ 6207: 0e16.*
+ 6208: 6fc3.*
+ 6209: 0f16.*
+ 620a: 6fc3.*
+ 620b: 0f16.*
+ 620c: 6fc3.*
+ 620d: 0c17.*
+ 620e: 6fc3.*
+ 620f: 0d17.*
+ 6210: 6fc3.*
+ 6211: 0c17.*
+ 6212: 6fc3.*
+ 6213: 0e17.*
+ 6214: 6fc3.*
+ 6215: 0f17.*
+ 6216: 6fc3.*
+ 6217: 0f17.*
+ 6218: 6fc3.*
+ 6219: 0c18.*
+ 621a: 6fc3.*
+ 621b: 0d18.*
+ 621c: 6fc3.*
+ 621d: 0c18.*
+ 621e: 6fc3.*
+ 621f: 0e18.*
+ 6220: 6fc3.*
+ 6221: 0f18.*
+ 6222: 6fc3.*
+ 6223: 0f18.*
+ 6224: 6fc3.*
+ 6225: 0c19.*
+ 6226: 6fc3.*
+ 6227: 0d19.*
+ 6228: 6fc3.*
+ 6229: 0c19.*
+ 622a: 6fc3.*
+ 622b: 0e19.*
+ 622c: 6fc3.*
+ 622d: 0f19.*
+ 622e: 6fc3.*
+ 622f: 0f19.*
+ 6230: 6fc3.*
+ 6231: 0c1a.*
+ 6232: 6fc3.*
+ 6233: 0d1a.*
+ 6234: 6fc3.*
+ 6235: 0c1a.*
+ 6236: 6fc3.*
+ 6237: 0e1a.*
+ 6238: 6fc3.*
+ 6239: 0f1a.*
+ 623a: 6fc3.*
+ 623b: 0f1a.*
+ 623c: 6fc3.*
+ 623d: 0c1b.*
+ 623e: 6fc3.*
+ 623f: 0d1b.*
+ 6240: 6fc3.*
+ 6241: 0c1b.*
+ 6242: 6fc3.*
+ 6243: 0e1b.*
+ 6244: 6fc3.*
+ 6245: 0f1b.*
+ 6246: 6fc3.*
+ 6247: 0f1b.*
+ 6248: 6fc3.*
+ 6249: 0c1c.*
+ 624a: 6fc3.*
+ 624b: 0d1c.*
+ 624c: 6fc3.*
+ 624d: 0c1c.*
+ 624e: 6fc3.*
+ 624f: 0e1c.*
+ 6250: 6fc3.*
+ 6251: 0f1c.*
+ 6252: 6fc3.*
+ 6253: 0f1c.*
+ 6254: 6fc3.*
+ 6255: 0c1d.*
+ 6256: 6fc3.*
+ 6257: 0d1d.*
+ 6258: 6fc3.*
+ 6259: 0c1d.*
+ 625a: 6fc3.*
+ 625b: 0e1d.*
+ 625c: 6fc3.*
+ 625d: 0f1d.*
+ 625e: 6fc3.*
+ 625f: 0f1d.*
+ 6260: 6fc3.*
+ 6261: 0c1e.*
+ 6262: 6fc3.*
+ 6263: 0d1e.*
+ 6264: 6fc3.*
+ 6265: 0c1e.*
+ 6266: 6fc3.*
+ 6267: 0e1e.*
+ 6268: 6fc3.*
+ 6269: 0f1e.*
+ 626a: 6fc3.*
+ 626b: 0f1e.*
+ 626c: 6fc3.*
+ 626d: 0c1f.*
+ 626e: 6fc3.*
+ 626f: 0d1f.*
+ 6270: 6fc3.*
+ 6271: 0c1f.*
+ 6272: 6fc3.*
+ 6273: 0e1f.*
+ 6274: 6fc3.*
+ 6275: 0f1f.*
+ 6276: 6fc3.*
+ 6277: 0f1f.*
+ 6278: 00c3.*
+ 6279: 6fc3.*
+ 627a: 0d00.*
+ 627b: 00c3.*
+ 627c: 6fc3.*
+ 627d: 0e00.*
+ 627e: 01c3.*
+ 627f: 01c3.*
+ 6280: 6fc3.*
+ 6281: 0c01.*
+ 6282: 6fc3.*
+ 6283: 0d01.*
+ 6284: 6fc3.*
+ 6285: 0c01.*
+ 6286: 6fc3.*
+ 6287: 0e01.*
+ 6288: 6fc3.*
+ 6289: 0f01.*
+ 628a: 6fc3.*
+ 628b: 0f01.*
+ 628c: 6fc3.*
+ 628d: 0c02.*
+ 628e: 6fc3.*
+ 628f: 0d02.*
+ 6290: 6fc3.*
+ 6291: 0c02.*
+ 6292: 6fc3.*
+ 6293: 0e02.*
+ 6294: 6fc3.*
+ 6295: 0f02.*
+ 6296: 6fc3.*
+ 6297: 0f02.*
+ 6298: 6fc3.*
+ 6299: 0c03.*
+ 629a: 6fc3.*
+ 629b: 0d03.*
+ 629c: 6fc3.*
+ 629d: 0c03.*
+ 629e: 6fc3.*
+ 629f: 0e03.*
+ 62a0: 6fc3.*
+ 62a1: 0f03.*
+ 62a2: 6fc3.*
+ 62a3: 0f03.*
+ 62a4: 6fc3.*
+ 62a5: 0c04.*
+ 62a6: 6fc3.*
+ 62a7: 0d04.*
+ 62a8: 6fc3.*
+ 62a9: 0c04.*
+ 62aa: 6fc3.*
+ 62ab: 0e04.*
+ 62ac: 6fc3.*
+ 62ad: 0f04.*
+ 62ae: 6fc3.*
+ 62af: 0f04.*
+ 62b0: 6fc3.*
+ 62b1: 0c05.*
+ 62b2: 6fc3.*
+ 62b3: 0d05.*
+ 62b4: 6fc3.*
+ 62b5: 0c05.*
+ 62b6: 6fc3.*
+ 62b7: 0e05.*
+ 62b8: 6fc3.*
+ 62b9: 0f05.*
+ 62ba: 6fc3.*
+ 62bb: 0f05.*
+ 62bc: 6fc3.*
+ 62bd: 0c06.*
+ 62be: 6fc3.*
+ 62bf: 0d06.*
+ 62c0: 6fc3.*
+ 62c1: 0c06.*
+ 62c2: 6fc3.*
+ 62c3: 0e06.*
+ 62c4: 6fc3.*
+ 62c5: 0f06.*
+ 62c6: 6fc3.*
+ 62c7: 0f06.*
+ 62c8: 6fc3.*
+ 62c9: 0c07.*
+ 62ca: 6fc3.*
+ 62cb: 0d07.*
+ 62cc: 6fc3.*
+ 62cd: 0c07.*
+ 62ce: 6fc3.*
+ 62cf: 0e07.*
+ 62d0: 6fc3.*
+ 62d1: 0f07.*
+ 62d2: 6fc3.*
+ 62d3: 0f07.*
+ 62d4: 6fc3.*
+ 62d5: 0c08.*
+ 62d6: 6fc3.*
+ 62d7: 0d08.*
+ 62d8: 6fc3.*
+ 62d9: 0c08.*
+ 62da: 6fc3.*
+ 62db: 0e08.*
+ 62dc: 6fc3.*
+ 62dd: 0f08.*
+ 62de: 6fc3.*
+ 62df: 0f08.*
+ 62e0: 6fc3.*
+ 62e1: 0c09.*
+ 62e2: 6fc3.*
+ 62e3: 0d09.*
+ 62e4: 6fc3.*
+ 62e5: 0c09.*
+ 62e6: 6fc3.*
+ 62e7: 0e09.*
+ 62e8: 6fc3.*
+ 62e9: 0f09.*
+ 62ea: 6fc3.*
+ 62eb: 0f09.*
+ 62ec: 6fc3.*
+ 62ed: 0c0a.*
+ 62ee: 6fc3.*
+ 62ef: 0d0a.*
+ 62f0: 6fc3.*
+ 62f1: 0c0a.*
+ 62f2: 6fc3.*
+ 62f3: 0e0a.*
+ 62f4: 6fc3.*
+ 62f5: 0f0a.*
+ 62f6: 6fc3.*
+ 62f7: 0f0a.*
+ 62f8: 6fc3.*
+ 62f9: 0c0b.*
+ 62fa: 6fc3.*
+ 62fb: 0d0b.*
+ 62fc: 6fc3.*
+ 62fd: 0c0b.*
+ 62fe: 6fc3.*
+ 62ff: 0e0b.*
+ 6300: 6fc3.*
+ 6301: 0f0b.*
+ 6302: 6fc3.*
+ 6303: 0f0b.*
+ 6304: 6fc3.*
+ 6305: 0c0c.*
+ 6306: 6fc3.*
+ 6307: 0d0c.*
+ 6308: 6fc3.*
+ 6309: 0c0c.*
+ 630a: 6fc3.*
+ 630b: 0e0c.*
+ 630c: 6fc3.*
+ 630d: 0f0c.*
+ 630e: 6fc3.*
+ 630f: 0f0c.*
+ 6310: 6fc3.*
+ 6311: 0c0d.*
+ 6312: 6fc3.*
+ 6313: 0d0d.*
+ 6314: 6fc3.*
+ 6315: 0c0d.*
+ 6316: 6fc3.*
+ 6317: 0e0d.*
+ 6318: 6fc3.*
+ 6319: 0f0d.*
+ 631a: 6fc3.*
+ 631b: 0f0d.*
+ 631c: 6fc3.*
+ 631d: 0c0e.*
+ 631e: 6fc3.*
+ 631f: 0d0e.*
+ 6320: 6fc3.*
+ 6321: 0c0e.*
+ 6322: 6fc3.*
+ 6323: 0e0e.*
+ 6324: 6fc3.*
+ 6325: 0f0e.*
+ 6326: 6fc3.*
+ 6327: 0f0e.*
+ 6328: 6fc3.*
+ 6329: 0c0f.*
+ 632a: 6fc3.*
+ 632b: 0d0f.*
+ 632c: 6fc3.*
+ 632d: 0c0f.*
+ 632e: 6fc3.*
+ 632f: 0e0f.*
+ 6330: 6fc3.*
+ 6331: 0f0f.*
+ 6332: 6fc3.*
+ 6333: 0f0f.*
+ 6334: 00cb.*
+ 6335: 6fcb.*
+ 6336: 0d00.*
+ 6337: 00cb.*
+ 6338: 6fcb.*
+ 6339: 0e00.*
+ 633a: 01cb.*
+ 633b: 01cb.*
+ 633c: 6fcb.*
+ 633d: 0c10.*
+ 633e: 6fcb.*
+ 633f: 0d10.*
+ 6340: 6fcb.*
+ 6341: 0c10.*
+ 6342: 6fcb.*
+ 6343: 0e10.*
+ 6344: 6fcb.*
+ 6345: 0f10.*
+ 6346: 6fcb.*
+ 6347: 0f10.*
+ 6348: 6fcb.*
+ 6349: 0c11.*
+ 634a: 6fcb.*
+ 634b: 0d11.*
+ 634c: 6fcb.*
+ 634d: 0c11.*
+ 634e: 6fcb.*
+ 634f: 0e11.*
+ 6350: 6fcb.*
+ 6351: 0f11.*
+ 6352: 6fcb.*
+ 6353: 0f11.*
+ 6354: 6fcb.*
+ 6355: 0c12.*
+ 6356: 6fcb.*
+ 6357: 0d12.*
+ 6358: 6fcb.*
+ 6359: 0c12.*
+ 635a: 6fcb.*
+ 635b: 0e12.*
+ 635c: 6fcb.*
+ 635d: 0f12.*
+ 635e: 6fcb.*
+ 635f: 0f12.*
+ 6360: 6fcb.*
+ 6361: 0c13.*
+ 6362: 6fcb.*
+ 6363: 0d13.*
+ 6364: 6fcb.*
+ 6365: 0c13.*
+ 6366: 6fcb.*
+ 6367: 0e13.*
+ 6368: 6fcb.*
+ 6369: 0f13.*
+ 636a: 6fcb.*
+ 636b: 0f13.*
+ 636c: 6fcb.*
+ 636d: 0c14.*
+ 636e: 6fcb.*
+ 636f: 0d14.*
+ 6370: 6fcb.*
+ 6371: 0c14.*
+ 6372: 6fcb.*
+ 6373: 0e14.*
+ 6374: 6fcb.*
+ 6375: 0f14.*
+ 6376: 6fcb.*
+ 6377: 0f14.*
+ 6378: 6fcb.*
+ 6379: 0c15.*
+ 637a: 6fcb.*
+ 637b: 0d15.*
+ 637c: 6fcb.*
+ 637d: 0c15.*
+ 637e: 6fcb.*
+ 637f: 0e15.*
+ 6380: 6fcb.*
+ 6381: 0f15.*
+ 6382: 6fcb.*
+ 6383: 0f15.*
+ 6384: 6fcb.*
+ 6385: 0c16.*
+ 6386: 6fcb.*
+ 6387: 0d16.*
+ 6388: 6fcb.*
+ 6389: 0c16.*
+ 638a: 6fcb.*
+ 638b: 0e16.*
+ 638c: 6fcb.*
+ 638d: 0f16.*
+ 638e: 6fcb.*
+ 638f: 0f16.*
+ 6390: 6fcb.*
+ 6391: 0c17.*
+ 6392: 6fcb.*
+ 6393: 0d17.*
+ 6394: 6fcb.*
+ 6395: 0c17.*
+ 6396: 6fcb.*
+ 6397: 0e17.*
+ 6398: 6fcb.*
+ 6399: 0f17.*
+ 639a: 6fcb.*
+ 639b: 0f17.*
+ 639c: 6fcb.*
+ 639d: 0c18.*
+ 639e: 6fcb.*
+ 639f: 0d18.*
+ 63a0: 6fcb.*
+ 63a1: 0c18.*
+ 63a2: 6fcb.*
+ 63a3: 0e18.*
+ 63a4: 6fcb.*
+ 63a5: 0f18.*
+ 63a6: 6fcb.*
+ 63a7: 0f18.*
+ 63a8: 6fcb.*
+ 63a9: 0c19.*
+ 63aa: 6fcb.*
+ 63ab: 0d19.*
+ 63ac: 6fcb.*
+ 63ad: 0c19.*
+ 63ae: 6fcb.*
+ 63af: 0e19.*
+ 63b0: 6fcb.*
+ 63b1: 0f19.*
+ 63b2: 6fcb.*
+ 63b3: 0f19.*
+ 63b4: 6fcb.*
+ 63b5: 0c1a.*
+ 63b6: 6fcb.*
+ 63b7: 0d1a.*
+ 63b8: 6fcb.*
+ 63b9: 0c1a.*
+ 63ba: 6fcb.*
+ 63bb: 0e1a.*
+ 63bc: 6fcb.*
+ 63bd: 0f1a.*
+ 63be: 6fcb.*
+ 63bf: 0f1a.*
+ 63c0: 6fcb.*
+ 63c1: 0c1b.*
+ 63c2: 6fcb.*
+ 63c3: 0d1b.*
+ 63c4: 6fcb.*
+ 63c5: 0c1b.*
+ 63c6: 6fcb.*
+ 63c7: 0e1b.*
+ 63c8: 6fcb.*
+ 63c9: 0f1b.*
+ 63ca: 6fcb.*
+ 63cb: 0f1b.*
+ 63cc: 6fcb.*
+ 63cd: 0c1c.*
+ 63ce: 6fcb.*
+ 63cf: 0d1c.*
+ 63d0: 6fcb.*
+ 63d1: 0c1c.*
+ 63d2: 6fcb.*
+ 63d3: 0e1c.*
+ 63d4: 6fcb.*
+ 63d5: 0f1c.*
+ 63d6: 6fcb.*
+ 63d7: 0f1c.*
+ 63d8: 6fcb.*
+ 63d9: 0c1d.*
+ 63da: 6fcb.*
+ 63db: 0d1d.*
+ 63dc: 6fcb.*
+ 63dd: 0c1d.*
+ 63de: 6fcb.*
+ 63df: 0e1d.*
+ 63e0: 6fcb.*
+ 63e1: 0f1d.*
+ 63e2: 6fcb.*
+ 63e3: 0f1d.*
+ 63e4: 6fcb.*
+ 63e5: 0c1e.*
+ 63e6: 6fcb.*
+ 63e7: 0d1e.*
+ 63e8: 6fcb.*
+ 63e9: 0c1e.*
+ 63ea: 6fcb.*
+ 63eb: 0e1e.*
+ 63ec: 6fcb.*
+ 63ed: 0f1e.*
+ 63ee: 6fcb.*
+ 63ef: 0f1e.*
+ 63f0: 6fcb.*
+ 63f1: 0c1f.*
+ 63f2: 6fcb.*
+ 63f3: 0d1f.*
+ 63f4: 6fcb.*
+ 63f5: 0c1f.*
+ 63f6: 6fcb.*
+ 63f7: 0e1f.*
+ 63f8: 6fcb.*
+ 63f9: 0f1f.*
+ 63fa: 6fcb.*
+ 63fb: 0f1f.*
+ 63fc: 00cb.*
+ 63fd: 6fcb.*
+ 63fe: 0d00.*
+ 63ff: 00cb.*
+ 6400: 6fcb.*
+ 6401: 0e00.*
+ 6402: 01cb.*
+ 6403: 01cb.*
+ 6404: 6fcb.*
+ 6405: 0c01.*
+ 6406: 6fcb.*
+ 6407: 0d01.*
+ 6408: 6fcb.*
+ 6409: 0c01.*
+ 640a: 6fcb.*
+ 640b: 0e01.*
+ 640c: 6fcb.*
+ 640d: 0f01.*
+ 640e: 6fcb.*
+ 640f: 0f01.*
+ 6410: 6fcb.*
+ 6411: 0c02.*
+ 6412: 6fcb.*
+ 6413: 0d02.*
+ 6414: 6fcb.*
+ 6415: 0c02.*
+ 6416: 6fcb.*
+ 6417: 0e02.*
+ 6418: 6fcb.*
+ 6419: 0f02.*
+ 641a: 6fcb.*
+ 641b: 0f02.*
+ 641c: 6fcb.*
+ 641d: 0c03.*
+ 641e: 6fcb.*
+ 641f: 0d03.*
+ 6420: 6fcb.*
+ 6421: 0c03.*
+ 6422: 6fcb.*
+ 6423: 0e03.*
+ 6424: 6fcb.*
+ 6425: 0f03.*
+ 6426: 6fcb.*
+ 6427: 0f03.*
+ 6428: 6fcb.*
+ 6429: 0c04.*
+ 642a: 6fcb.*
+ 642b: 0d04.*
+ 642c: 6fcb.*
+ 642d: 0c04.*
+ 642e: 6fcb.*
+ 642f: 0e04.*
+ 6430: 6fcb.*
+ 6431: 0f04.*
+ 6432: 6fcb.*
+ 6433: 0f04.*
+ 6434: 6fcb.*
+ 6435: 0c05.*
+ 6436: 6fcb.*
+ 6437: 0d05.*
+ 6438: 6fcb.*
+ 6439: 0c05.*
+ 643a: 6fcb.*
+ 643b: 0e05.*
+ 643c: 6fcb.*
+ 643d: 0f05.*
+ 643e: 6fcb.*
+ 643f: 0f05.*
+ 6440: 6fcb.*
+ 6441: 0c06.*
+ 6442: 6fcb.*
+ 6443: 0d06.*
+ 6444: 6fcb.*
+ 6445: 0c06.*
+ 6446: 6fcb.*
+ 6447: 0e06.*
+ 6448: 6fcb.*
+ 6449: 0f06.*
+ 644a: 6fcb.*
+ 644b: 0f06.*
+ 644c: 6fcb.*
+ 644d: 0c07.*
+ 644e: 6fcb.*
+ 644f: 0d07.*
+ 6450: 6fcb.*
+ 6451: 0c07.*
+ 6452: 6fcb.*
+ 6453: 0e07.*
+ 6454: 6fcb.*
+ 6455: 0f07.*
+ 6456: 6fcb.*
+ 6457: 0f07.*
+ 6458: 6fcb.*
+ 6459: 0c08.*
+ 645a: 6fcb.*
+ 645b: 0d08.*
+ 645c: 6fcb.*
+ 645d: 0c08.*
+ 645e: 6fcb.*
+ 645f: 0e08.*
+ 6460: 6fcb.*
+ 6461: 0f08.*
+ 6462: 6fcb.*
+ 6463: 0f08.*
+ 6464: 6fcb.*
+ 6465: 0c09.*
+ 6466: 6fcb.*
+ 6467: 0d09.*
+ 6468: 6fcb.*
+ 6469: 0c09.*
+ 646a: 6fcb.*
+ 646b: 0e09.*
+ 646c: 6fcb.*
+ 646d: 0f09.*
+ 646e: 6fcb.*
+ 646f: 0f09.*
+ 6470: 6fcb.*
+ 6471: 0c0a.*
+ 6472: 6fcb.*
+ 6473: 0d0a.*
+ 6474: 6fcb.*
+ 6475: 0c0a.*
+ 6476: 6fcb.*
+ 6477: 0e0a.*
+ 6478: 6fcb.*
+ 6479: 0f0a.*
+ 647a: 6fcb.*
+ 647b: 0f0a.*
+ 647c: 6fcb.*
+ 647d: 0c0b.*
+ 647e: 6fcb.*
+ 647f: 0d0b.*
+ 6480: 6fcb.*
+ 6481: 0c0b.*
+ 6482: 6fcb.*
+ 6483: 0e0b.*
+ 6484: 6fcb.*
+ 6485: 0f0b.*
+ 6486: 6fcb.*
+ 6487: 0f0b.*
+ 6488: 6fcb.*
+ 6489: 0c0c.*
+ 648a: 6fcb.*
+ 648b: 0d0c.*
+ 648c: 6fcb.*
+ 648d: 0c0c.*
+ 648e: 6fcb.*
+ 648f: 0e0c.*
+ 6490: 6fcb.*
+ 6491: 0f0c.*
+ 6492: 6fcb.*
+ 6493: 0f0c.*
+ 6494: 6fcb.*
+ 6495: 0c0d.*
+ 6496: 6fcb.*
+ 6497: 0d0d.*
+ 6498: 6fcb.*
+ 6499: 0c0d.*
+ 649a: 6fcb.*
+ 649b: 0e0d.*
+ 649c: 6fcb.*
+ 649d: 0f0d.*
+ 649e: 6fcb.*
+ 649f: 0f0d.*
+ 64a0: 6fcb.*
+ 64a1: 0c0e.*
+ 64a2: 6fcb.*
+ 64a3: 0d0e.*
+ 64a4: 6fcb.*
+ 64a5: 0c0e.*
+ 64a6: 6fcb.*
+ 64a7: 0e0e.*
+ 64a8: 6fcb.*
+ 64a9: 0f0e.*
+ 64aa: 6fcb.*
+ 64ab: 0f0e.*
+ 64ac: 6fcb.*
+ 64ad: 0c0f.*
+ 64ae: 6fcb.*
+ 64af: 0d0f.*
+ 64b0: 6fcb.*
+ 64b1: 0c0f.*
+ 64b2: 6fcb.*
+ 64b3: 0e0f.*
+ 64b4: 6fcb.*
+ 64b5: 0f0f.*
+ 64b6: 6fcb.*
+ 64b7: 0f0f.*
+ 64b8: 00d3.*
+ 64b9: 6fd3.*
+ 64ba: 0d00.*
+ 64bb: 00d3.*
+ 64bc: 6fd3.*
+ 64bd: 0e00.*
+ 64be: 01d3.*
+ 64bf: 01d3.*
+ 64c0: 6fd3.*
+ 64c1: 0c10.*
+ 64c2: 6fd3.*
+ 64c3: 0d10.*
+ 64c4: 6fd3.*
+ 64c5: 0c10.*
+ 64c6: 6fd3.*
+ 64c7: 0e10.*
+ 64c8: 6fd3.*
+ 64c9: 0f10.*
+ 64ca: 6fd3.*
+ 64cb: 0f10.*
+ 64cc: 6fd3.*
+ 64cd: 0c11.*
+ 64ce: 6fd3.*
+ 64cf: 0d11.*
+ 64d0: 6fd3.*
+ 64d1: 0c11.*
+ 64d2: 6fd3.*
+ 64d3: 0e11.*
+ 64d4: 6fd3.*
+ 64d5: 0f11.*
+ 64d6: 6fd3.*
+ 64d7: 0f11.*
+ 64d8: 6fd3.*
+ 64d9: 0c12.*
+ 64da: 6fd3.*
+ 64db: 0d12.*
+ 64dc: 6fd3.*
+ 64dd: 0c12.*
+ 64de: 6fd3.*
+ 64df: 0e12.*
+ 64e0: 6fd3.*
+ 64e1: 0f12.*
+ 64e2: 6fd3.*
+ 64e3: 0f12.*
+ 64e4: 6fd3.*
+ 64e5: 0c13.*
+ 64e6: 6fd3.*
+ 64e7: 0d13.*
+ 64e8: 6fd3.*
+ 64e9: 0c13.*
+ 64ea: 6fd3.*
+ 64eb: 0e13.*
+ 64ec: 6fd3.*
+ 64ed: 0f13.*
+ 64ee: 6fd3.*
+ 64ef: 0f13.*
+ 64f0: 6fd3.*
+ 64f1: 0c14.*
+ 64f2: 6fd3.*
+ 64f3: 0d14.*
+ 64f4: 6fd3.*
+ 64f5: 0c14.*
+ 64f6: 6fd3.*
+ 64f7: 0e14.*
+ 64f8: 6fd3.*
+ 64f9: 0f14.*
+ 64fa: 6fd3.*
+ 64fb: 0f14.*
+ 64fc: 6fd3.*
+ 64fd: 0c15.*
+ 64fe: 6fd3.*
+ 64ff: 0d15.*
+ 6500: 6fd3.*
+ 6501: 0c15.*
+ 6502: 6fd3.*
+ 6503: 0e15.*
+ 6504: 6fd3.*
+ 6505: 0f15.*
+ 6506: 6fd3.*
+ 6507: 0f15.*
+ 6508: 6fd3.*
+ 6509: 0c16.*
+ 650a: 6fd3.*
+ 650b: 0d16.*
+ 650c: 6fd3.*
+ 650d: 0c16.*
+ 650e: 6fd3.*
+ 650f: 0e16.*
+ 6510: 6fd3.*
+ 6511: 0f16.*
+ 6512: 6fd3.*
+ 6513: 0f16.*
+ 6514: 6fd3.*
+ 6515: 0c17.*
+ 6516: 6fd3.*
+ 6517: 0d17.*
+ 6518: 6fd3.*
+ 6519: 0c17.*
+ 651a: 6fd3.*
+ 651b: 0e17.*
+ 651c: 6fd3.*
+ 651d: 0f17.*
+ 651e: 6fd3.*
+ 651f: 0f17.*
+ 6520: 6fd3.*
+ 6521: 0c18.*
+ 6522: 6fd3.*
+ 6523: 0d18.*
+ 6524: 6fd3.*
+ 6525: 0c18.*
+ 6526: 6fd3.*
+ 6527: 0e18.*
+ 6528: 6fd3.*
+ 6529: 0f18.*
+ 652a: 6fd3.*
+ 652b: 0f18.*
+ 652c: 6fd3.*
+ 652d: 0c19.*
+ 652e: 6fd3.*
+ 652f: 0d19.*
+ 6530: 6fd3.*
+ 6531: 0c19.*
+ 6532: 6fd3.*
+ 6533: 0e19.*
+ 6534: 6fd3.*
+ 6535: 0f19.*
+ 6536: 6fd3.*
+ 6537: 0f19.*
+ 6538: 6fd3.*
+ 6539: 0c1a.*
+ 653a: 6fd3.*
+ 653b: 0d1a.*
+ 653c: 6fd3.*
+ 653d: 0c1a.*
+ 653e: 6fd3.*
+ 653f: 0e1a.*
+ 6540: 6fd3.*
+ 6541: 0f1a.*
+ 6542: 6fd3.*
+ 6543: 0f1a.*
+ 6544: 6fd3.*
+ 6545: 0c1b.*
+ 6546: 6fd3.*
+ 6547: 0d1b.*
+ 6548: 6fd3.*
+ 6549: 0c1b.*
+ 654a: 6fd3.*
+ 654b: 0e1b.*
+ 654c: 6fd3.*
+ 654d: 0f1b.*
+ 654e: 6fd3.*
+ 654f: 0f1b.*
+ 6550: 6fd3.*
+ 6551: 0c1c.*
+ 6552: 6fd3.*
+ 6553: 0d1c.*
+ 6554: 6fd3.*
+ 6555: 0c1c.*
+ 6556: 6fd3.*
+ 6557: 0e1c.*
+ 6558: 6fd3.*
+ 6559: 0f1c.*
+ 655a: 6fd3.*
+ 655b: 0f1c.*
+ 655c: 6fd3.*
+ 655d: 0c1d.*
+ 655e: 6fd3.*
+ 655f: 0d1d.*
+ 6560: 6fd3.*
+ 6561: 0c1d.*
+ 6562: 6fd3.*
+ 6563: 0e1d.*
+ 6564: 6fd3.*
+ 6565: 0f1d.*
+ 6566: 6fd3.*
+ 6567: 0f1d.*
+ 6568: 6fd3.*
+ 6569: 0c1e.*
+ 656a: 6fd3.*
+ 656b: 0d1e.*
+ 656c: 6fd3.*
+ 656d: 0c1e.*
+ 656e: 6fd3.*
+ 656f: 0e1e.*
+ 6570: 6fd3.*
+ 6571: 0f1e.*
+ 6572: 6fd3.*
+ 6573: 0f1e.*
+ 6574: 6fd3.*
+ 6575: 0c1f.*
+ 6576: 6fd3.*
+ 6577: 0d1f.*
+ 6578: 6fd3.*
+ 6579: 0c1f.*
+ 657a: 6fd3.*
+ 657b: 0e1f.*
+ 657c: 6fd3.*
+ 657d: 0f1f.*
+ 657e: 6fd3.*
+ 657f: 0f1f.*
+ 6580: 00d3.*
+ 6581: 6fd3.*
+ 6582: 0d00.*
+ 6583: 00d3.*
+ 6584: 6fd3.*
+ 6585: 0e00.*
+ 6586: 01d3.*
+ 6587: 01d3.*
+ 6588: 6fd3.*
+ 6589: 0c01.*
+ 658a: 6fd3.*
+ 658b: 0d01.*
+ 658c: 6fd3.*
+ 658d: 0c01.*
+ 658e: 6fd3.*
+ 658f: 0e01.*
+ 6590: 6fd3.*
+ 6591: 0f01.*
+ 6592: 6fd3.*
+ 6593: 0f01.*
+ 6594: 6fd3.*
+ 6595: 0c02.*
+ 6596: 6fd3.*
+ 6597: 0d02.*
+ 6598: 6fd3.*
+ 6599: 0c02.*
+ 659a: 6fd3.*
+ 659b: 0e02.*
+ 659c: 6fd3.*
+ 659d: 0f02.*
+ 659e: 6fd3.*
+ 659f: 0f02.*
+ 65a0: 6fd3.*
+ 65a1: 0c03.*
+ 65a2: 6fd3.*
+ 65a3: 0d03.*
+ 65a4: 6fd3.*
+ 65a5: 0c03.*
+ 65a6: 6fd3.*
+ 65a7: 0e03.*
+ 65a8: 6fd3.*
+ 65a9: 0f03.*
+ 65aa: 6fd3.*
+ 65ab: 0f03.*
+ 65ac: 6fd3.*
+ 65ad: 0c04.*
+ 65ae: 6fd3.*
+ 65af: 0d04.*
+ 65b0: 6fd3.*
+ 65b1: 0c04.*
+ 65b2: 6fd3.*
+ 65b3: 0e04.*
+ 65b4: 6fd3.*
+ 65b5: 0f04.*
+ 65b6: 6fd3.*
+ 65b7: 0f04.*
+ 65b8: 6fd3.*
+ 65b9: 0c05.*
+ 65ba: 6fd3.*
+ 65bb: 0d05.*
+ 65bc: 6fd3.*
+ 65bd: 0c05.*
+ 65be: 6fd3.*
+ 65bf: 0e05.*
+ 65c0: 6fd3.*
+ 65c1: 0f05.*
+ 65c2: 6fd3.*
+ 65c3: 0f05.*
+ 65c4: 6fd3.*
+ 65c5: 0c06.*
+ 65c6: 6fd3.*
+ 65c7: 0d06.*
+ 65c8: 6fd3.*
+ 65c9: 0c06.*
+ 65ca: 6fd3.*
+ 65cb: 0e06.*
+ 65cc: 6fd3.*
+ 65cd: 0f06.*
+ 65ce: 6fd3.*
+ 65cf: 0f06.*
+ 65d0: 6fd3.*
+ 65d1: 0c07.*
+ 65d2: 6fd3.*
+ 65d3: 0d07.*
+ 65d4: 6fd3.*
+ 65d5: 0c07.*
+ 65d6: 6fd3.*
+ 65d7: 0e07.*
+ 65d8: 6fd3.*
+ 65d9: 0f07.*
+ 65da: 6fd3.*
+ 65db: 0f07.*
+ 65dc: 6fd3.*
+ 65dd: 0c08.*
+ 65de: 6fd3.*
+ 65df: 0d08.*
+ 65e0: 6fd3.*
+ 65e1: 0c08.*
+ 65e2: 6fd3.*
+ 65e3: 0e08.*
+ 65e4: 6fd3.*
+ 65e5: 0f08.*
+ 65e6: 6fd3.*
+ 65e7: 0f08.*
+ 65e8: 6fd3.*
+ 65e9: 0c09.*
+ 65ea: 6fd3.*
+ 65eb: 0d09.*
+ 65ec: 6fd3.*
+ 65ed: 0c09.*
+ 65ee: 6fd3.*
+ 65ef: 0e09.*
+ 65f0: 6fd3.*
+ 65f1: 0f09.*
+ 65f2: 6fd3.*
+ 65f3: 0f09.*
+ 65f4: 6fd3.*
+ 65f5: 0c0a.*
+ 65f6: 6fd3.*
+ 65f7: 0d0a.*
+ 65f8: 6fd3.*
+ 65f9: 0c0a.*
+ 65fa: 6fd3.*
+ 65fb: 0e0a.*
+ 65fc: 6fd3.*
+ 65fd: 0f0a.*
+ 65fe: 6fd3.*
+ 65ff: 0f0a.*
+ 6600: 6fd3.*
+ 6601: 0c0b.*
+ 6602: 6fd3.*
+ 6603: 0d0b.*
+ 6604: 6fd3.*
+ 6605: 0c0b.*
+ 6606: 6fd3.*
+ 6607: 0e0b.*
+ 6608: 6fd3.*
+ 6609: 0f0b.*
+ 660a: 6fd3.*
+ 660b: 0f0b.*
+ 660c: 6fd3.*
+ 660d: 0c0c.*
+ 660e: 6fd3.*
+ 660f: 0d0c.*
+ 6610: 6fd3.*
+ 6611: 0c0c.*
+ 6612: 6fd3.*
+ 6613: 0e0c.*
+ 6614: 6fd3.*
+ 6615: 0f0c.*
+ 6616: 6fd3.*
+ 6617: 0f0c.*
+ 6618: 6fd3.*
+ 6619: 0c0d.*
+ 661a: 6fd3.*
+ 661b: 0d0d.*
+ 661c: 6fd3.*
+ 661d: 0c0d.*
+ 661e: 6fd3.*
+ 661f: 0e0d.*
+ 6620: 6fd3.*
+ 6621: 0f0d.*
+ 6622: 6fd3.*
+ 6623: 0f0d.*
+ 6624: 6fd3.*
+ 6625: 0c0e.*
+ 6626: 6fd3.*
+ 6627: 0d0e.*
+ 6628: 6fd3.*
+ 6629: 0c0e.*
+ 662a: 6fd3.*
+ 662b: 0e0e.*
+ 662c: 6fd3.*
+ 662d: 0f0e.*
+ 662e: 6fd3.*
+ 662f: 0f0e.*
+ 6630: 6fd3.*
+ 6631: 0c0f.*
+ 6632: 6fd3.*
+ 6633: 0d0f.*
+ 6634: 6fd3.*
+ 6635: 0c0f.*
+ 6636: 6fd3.*
+ 6637: 0e0f.*
+ 6638: 6fd3.*
+ 6639: 0f0f.*
+ 663a: 6fd3.*
+ 663b: 0f0f.*
+ 663c: 00db.*
+ 663d: 6fdb.*
+ 663e: 0d00.*
+ 663f: 00db.*
+ 6640: 6fdb.*
+ 6641: 0e00.*
+ 6642: 01db.*
+ 6643: 01db.*
+ 6644: 6fdb.*
+ 6645: 0c10.*
+ 6646: 6fdb.*
+ 6647: 0d10.*
+ 6648: 6fdb.*
+ 6649: 0c10.*
+ 664a: 6fdb.*
+ 664b: 0e10.*
+ 664c: 6fdb.*
+ 664d: 0f10.*
+ 664e: 6fdb.*
+ 664f: 0f10.*
+ 6650: 6fdb.*
+ 6651: 0c11.*
+ 6652: 6fdb.*
+ 6653: 0d11.*
+ 6654: 6fdb.*
+ 6655: 0c11.*
+ 6656: 6fdb.*
+ 6657: 0e11.*
+ 6658: 6fdb.*
+ 6659: 0f11.*
+ 665a: 6fdb.*
+ 665b: 0f11.*
+ 665c: 6fdb.*
+ 665d: 0c12.*
+ 665e: 6fdb.*
+ 665f: 0d12.*
+ 6660: 6fdb.*
+ 6661: 0c12.*
+ 6662: 6fdb.*
+ 6663: 0e12.*
+ 6664: 6fdb.*
+ 6665: 0f12.*
+ 6666: 6fdb.*
+ 6667: 0f12.*
+ 6668: 6fdb.*
+ 6669: 0c13.*
+ 666a: 6fdb.*
+ 666b: 0d13.*
+ 666c: 6fdb.*
+ 666d: 0c13.*
+ 666e: 6fdb.*
+ 666f: 0e13.*
+ 6670: 6fdb.*
+ 6671: 0f13.*
+ 6672: 6fdb.*
+ 6673: 0f13.*
+ 6674: 6fdb.*
+ 6675: 0c14.*
+ 6676: 6fdb.*
+ 6677: 0d14.*
+ 6678: 6fdb.*
+ 6679: 0c14.*
+ 667a: 6fdb.*
+ 667b: 0e14.*
+ 667c: 6fdb.*
+ 667d: 0f14.*
+ 667e: 6fdb.*
+ 667f: 0f14.*
+ 6680: 6fdb.*
+ 6681: 0c15.*
+ 6682: 6fdb.*
+ 6683: 0d15.*
+ 6684: 6fdb.*
+ 6685: 0c15.*
+ 6686: 6fdb.*
+ 6687: 0e15.*
+ 6688: 6fdb.*
+ 6689: 0f15.*
+ 668a: 6fdb.*
+ 668b: 0f15.*
+ 668c: 6fdb.*
+ 668d: 0c16.*
+ 668e: 6fdb.*
+ 668f: 0d16.*
+ 6690: 6fdb.*
+ 6691: 0c16.*
+ 6692: 6fdb.*
+ 6693: 0e16.*
+ 6694: 6fdb.*
+ 6695: 0f16.*
+ 6696: 6fdb.*
+ 6697: 0f16.*
+ 6698: 6fdb.*
+ 6699: 0c17.*
+ 669a: 6fdb.*
+ 669b: 0d17.*
+ 669c: 6fdb.*
+ 669d: 0c17.*
+ 669e: 6fdb.*
+ 669f: 0e17.*
+ 66a0: 6fdb.*
+ 66a1: 0f17.*
+ 66a2: 6fdb.*
+ 66a3: 0f17.*
+ 66a4: 6fdb.*
+ 66a5: 0c18.*
+ 66a6: 6fdb.*
+ 66a7: 0d18.*
+ 66a8: 6fdb.*
+ 66a9: 0c18.*
+ 66aa: 6fdb.*
+ 66ab: 0e18.*
+ 66ac: 6fdb.*
+ 66ad: 0f18.*
+ 66ae: 6fdb.*
+ 66af: 0f18.*
+ 66b0: 6fdb.*
+ 66b1: 0c19.*
+ 66b2: 6fdb.*
+ 66b3: 0d19.*
+ 66b4: 6fdb.*
+ 66b5: 0c19.*
+ 66b6: 6fdb.*
+ 66b7: 0e19.*
+ 66b8: 6fdb.*
+ 66b9: 0f19.*
+ 66ba: 6fdb.*
+ 66bb: 0f19.*
+ 66bc: 6fdb.*
+ 66bd: 0c1a.*
+ 66be: 6fdb.*
+ 66bf: 0d1a.*
+ 66c0: 6fdb.*
+ 66c1: 0c1a.*
+ 66c2: 6fdb.*
+ 66c3: 0e1a.*
+ 66c4: 6fdb.*
+ 66c5: 0f1a.*
+ 66c6: 6fdb.*
+ 66c7: 0f1a.*
+ 66c8: 6fdb.*
+ 66c9: 0c1b.*
+ 66ca: 6fdb.*
+ 66cb: 0d1b.*
+ 66cc: 6fdb.*
+ 66cd: 0c1b.*
+ 66ce: 6fdb.*
+ 66cf: 0e1b.*
+ 66d0: 6fdb.*
+ 66d1: 0f1b.*
+ 66d2: 6fdb.*
+ 66d3: 0f1b.*
+ 66d4: 6fdb.*
+ 66d5: 0c1c.*
+ 66d6: 6fdb.*
+ 66d7: 0d1c.*
+ 66d8: 6fdb.*
+ 66d9: 0c1c.*
+ 66da: 6fdb.*
+ 66db: 0e1c.*
+ 66dc: 6fdb.*
+ 66dd: 0f1c.*
+ 66de: 6fdb.*
+ 66df: 0f1c.*
+ 66e0: 6fdb.*
+ 66e1: 0c1d.*
+ 66e2: 6fdb.*
+ 66e3: 0d1d.*
+ 66e4: 6fdb.*
+ 66e5: 0c1d.*
+ 66e6: 6fdb.*
+ 66e7: 0e1d.*
+ 66e8: 6fdb.*
+ 66e9: 0f1d.*
+ 66ea: 6fdb.*
+ 66eb: 0f1d.*
+ 66ec: 6fdb.*
+ 66ed: 0c1e.*
+ 66ee: 6fdb.*
+ 66ef: 0d1e.*
+ 66f0: 6fdb.*
+ 66f1: 0c1e.*
+ 66f2: 6fdb.*
+ 66f3: 0e1e.*
+ 66f4: 6fdb.*
+ 66f5: 0f1e.*
+ 66f6: 6fdb.*
+ 66f7: 0f1e.*
+ 66f8: 6fdb.*
+ 66f9: 0c1f.*
+ 66fa: 6fdb.*
+ 66fb: 0d1f.*
+ 66fc: 6fdb.*
+ 66fd: 0c1f.*
+ 66fe: 6fdb.*
+ 66ff: 0e1f.*
+ 6700: 6fdb.*
+ 6701: 0f1f.*
+ 6702: 6fdb.*
+ 6703: 0f1f.*
+ 6704: 00db.*
+ 6705: 6fdb.*
+ 6706: 0d00.*
+ 6707: 00db.*
+ 6708: 6fdb.*
+ 6709: 0e00.*
+ 670a: 01db.*
+ 670b: 01db.*
+ 670c: 90d1.*
+ 670d: 6fdb.*
+ 670e: 0d01.*
+ 670f: 90d1.*
+ 6710: 6fdb.*
+ 6711: 0e01.*
+ 6712: 91d1.*
+ 6713: 91d1.*
+ 6714: 90d2.*
+ 6715: 6fdb.*
+ 6716: 0d02.*
+ 6717: 90d2.*
+ 6718: 6fdb.*
+ 6719: 0e02.*
+ 671a: 91d2.*
+ 671b: 91d2.*
+ 671c: 90d3.*
+ 671d: 6fdb.*
+ 671e: 0d03.*
+ 671f: 90d3.*
+ 6720: 6fdb.*
+ 6721: 0e03.*
+ 6722: 91d3.*
+ 6723: 91d3.*
+ 6724: 90d4.*
+ 6725: 6fdb.*
+ 6726: 0d04.*
+ 6727: 90d4.*
+ 6728: 6fdb.*
+ 6729: 0e04.*
+ 672a: 91d4.*
+ 672b: 91d4.*
+ 672c: 90d5.*
+ 672d: 6fdb.*
+ 672e: 0d05.*
+ 672f: 90d5.*
+ 6730: 6fdb.*
+ 6731: 0e05.*
+ 6732: 91d5.*
+ 6733: 91d5.*
+ 6734: 90d6.*
+ 6735: 6fdb.*
+ 6736: 0d06.*
+ 6737: 90d6.*
+ 6738: 6fdb.*
+ 6739: 0e06.*
+ 673a: 91d6.*
+ 673b: 91d6.*
+ 673c: 90d7.*
+ 673d: 6fdb.*
+ 673e: 0d07.*
+ 673f: 90d7.*
+ 6740: 6fdb.*
+ 6741: 0e07.*
+ 6742: 91d7.*
+ 6743: 91d7.*
+ 6744: 90d8.*
+ 6745: 6fdb.*
+ 6746: 0d08.*
+ 6747: 90d8.*
+ 6748: 6fdb.*
+ 6749: 0e08.*
+ 674a: 91d8.*
+ 674b: 91d8.*
+ 674c: 90d9.*
+ 674d: 6fdb.*
+ 674e: 0d09.*
+ 674f: 90d9.*
+ 6750: 6fdb.*
+ 6751: 0e09.*
+ 6752: 91d9.*
+ 6753: 91d9.*
+ 6754: 90da.*
+ 6755: 6fdb.*
+ 6756: 0d0a.*
+ 6757: 90da.*
+ 6758: 6fdb.*
+ 6759: 0e0a.*
+ 675a: 91da.*
+ 675b: 91da.*
+ 675c: 90db.*
+ 675d: 6fdb.*
+ 675e: 0d0b.*
+ 675f: 90db.*
+ 6760: 6fdb.*
+ 6761: 0e0b.*
+ 6762: 91db.*
+ 6763: 91db.*
+ 6764: 90dc.*
+ 6765: 6fdb.*
+ 6766: 0d0c.*
+ 6767: 90dc.*
+ 6768: 6fdb.*
+ 6769: 0e0c.*
+ 676a: 91dc.*
+ 676b: 91dc.*
+ 676c: 90dd.*
+ 676d: 6fdb.*
+ 676e: 0d0d.*
+ 676f: 90dd.*
+ 6770: 6fdb.*
+ 6771: 0e0d.*
+ 6772: 91dd.*
+ 6773: 91dd.*
+ 6774: 90de.*
+ 6775: 6fdb.*
+ 6776: 0d0e.*
+ 6777: 90de.*
+ 6778: 6fdb.*
+ 6779: 0e0e.*
+ 677a: 91de.*
+ 677b: 91de.*
+ 677c: 90df.*
+ 677d: 6fdb.*
+ 677e: 0d0f.*
+ 677f: 90df.*
+ 6780: 6fdb.*
+ 6781: 0e0f.*
+ 6782: 91df.*
+ 6783: 91df.*
+ 6784: 00e3.*
+ 6785: 0b8d.*
+ 6786: 6fe3.*
+ 6787: 0b8e.*
+ 6788: 0d00.*
+ 6789: 00e3.*
+ 678a: 0b8f.*
+ 678b: 6fe3.*
+ 678c: 0b90.*
+ 678d: 0e00.*
+ 678e: 01e3.*
+ 678f: 0b91.*
+ 6790: 01e3.*
+ 6791: 0b92.*
+ 6792: 6fe3.*
+ 6793: 0b93.*
+ 6794: 0c10.*
+ 6795: 6fe3.*
+ 6796: 0b94.*
+ 6797: 0d10.*
+ 6798: 6fe3.*
+ 6799: 0b95.*
+ 679a: 0c10.*
+ 679b: 6fe3.*
+ 679c: 0b96.*
+ 679d: 0e10.*
+ 679e: 6fe3.*
+ 679f: 0b97.*
+ 67a0: 0f10.*
+ 67a1: 6fe3.*
+ 67a2: 0b98.*
+ 67a3: 0f10.*
+ 67a4: 6fe3.*
+ 67a5: 0b99.*
+ 67a6: 0c11.*
+ 67a7: 6fe3.*
+ 67a8: 0b9a.*
+ 67a9: 0d11.*
+ 67aa: 6fe3.*
+ 67ab: 0b9b.*
+ 67ac: 0c11.*
+ 67ad: 6fe3.*
+ 67ae: 0b9c.*
+ 67af: 0e11.*
+ 67b0: 6fe3.*
+ 67b1: 0b9d.*
+ 67b2: 0f11.*
+ 67b3: 6fe3.*
+ 67b4: 0b9e.*
+ 67b5: 0f11.*
+ 67b6: 6fe3.*
+ 67b7: 0b9f.*
+ 67b8: 0c12.*
+ 67b9: 6fe3.*
+ 67ba: 0ba0.*
+ 67bb: 0d12.*
+ 67bc: 6fe3.*
+ 67bd: 0ba1.*
+ 67be: 0c12.*
+ 67bf: 6fe3.*
+ 67c0: 0ba2.*
+ 67c1: 0e12.*
+ 67c2: 6fe3.*
+ 67c3: 0ba3.*
+ 67c4: 0f12.*
+ 67c5: 6fe3.*
+ 67c6: 0ba4.*
+ 67c7: 0f12.*
+ 67c8: 6fe3.*
+ 67c9: 0ba5.*
+ 67ca: 0c13.*
+ 67cb: 6fe3.*
+ 67cc: 0ba6.*
+ 67cd: 0d13.*
+ 67ce: 6fe3.*
+ 67cf: 0ba7.*
+ 67d0: 0c13.*
+ 67d1: 6fe3.*
+ 67d2: 0ba8.*
+ 67d3: 0e13.*
+ 67d4: 6fe3.*
+ 67d5: 0ba9.*
+ 67d6: 0f13.*
+ 67d7: 6fe3.*
+ 67d8: 0baa.*
+ 67d9: 0f13.*
+ 67da: 6fe3.*
+ 67db: 0bab.*
+ 67dc: 0c14.*
+ 67dd: 6fe3.*
+ 67de: 0bac.*
+ 67df: 0d14.*
+ 67e0: 6fe3.*
+ 67e1: 0bad.*
+ 67e2: 0c14.*
+ 67e3: 6fe3.*
+ 67e4: 0bae.*
+ 67e5: 0e14.*
+ 67e6: 6fe3.*
+ 67e7: 0baf.*
+ 67e8: 0f14.*
+ 67e9: 6fe3.*
+ 67ea: 0bb0.*
+ 67eb: 0f14.*
+ 67ec: 6fe3.*
+ 67ed: 0bb1.*
+ 67ee: 0c15.*
+ 67ef: 6fe3.*
+ 67f0: 0bb2.*
+ 67f1: 0d15.*
+ 67f2: 6fe3.*
+ 67f3: 0bb3.*
+ 67f4: 0c15.*
+ 67f5: 6fe3.*
+ 67f6: 0bb4.*
+ 67f7: 0e15.*
+ 67f8: 6fe3.*
+ 67f9: 0bb5.*
+ 67fa: 0f15.*
+ 67fb: 6fe3.*
+ 67fc: 0bb6.*
+ 67fd: 0f15.*
+ 67fe: 6fe3.*
+ 67ff: 0bb7.*
+ 6800: 0c16.*
+ 6801: 6fe3.*
+ 6802: 0bb8.*
+ 6803: 0d16.*
+ 6804: 6fe3.*
+ 6805: 0bb9.*
+ 6806: 0c16.*
+ 6807: 6fe3.*
+ 6808: 0bba.*
+ 6809: 0e16.*
+ 680a: 6fe3.*
+ 680b: 0bbb.*
+ 680c: 0f16.*
+ 680d: 6fe3.*
+ 680e: 0bbc.*
+ 680f: 0f16.*
+ 6810: 6fe3.*
+ 6811: 0bbd.*
+ 6812: 0c17.*
+ 6813: 6fe3.*
+ 6814: 0bbe.*
+ 6815: 0d17.*
+ 6816: 6fe3.*
+ 6817: 0bbf.*
+ 6818: 0c17.*
+ 6819: 6fe3.*
+ 681a: 0bc0.*
+ 681b: 0e17.*
+ 681c: 6fe3.*
+ 681d: 0bc1.*
+ 681e: 0f17.*
+ 681f: 6fe3.*
+ 6820: 0bc2.*
+ 6821: 0f17.*
+ 6822: 6fe3.*
+ 6823: 0bc3.*
+ 6824: 0c18.*
+ 6825: 6fe3.*
+ 6826: 0bc4.*
+ 6827: 0d18.*
+ 6828: 6fe3.*
+ 6829: 0bc5.*
+ 682a: 0c18.*
+ 682b: 6fe3.*
+ 682c: 0bc6.*
+ 682d: 0e18.*
+ 682e: 6fe3.*
+ 682f: 0bc7.*
+ 6830: 0f18.*
+ 6831: 6fe3.*
+ 6832: 0bc8.*
+ 6833: 0f18.*
+ 6834: 6fe3.*
+ 6835: 0bc9.*
+ 6836: 0c19.*
+ 6837: 6fe3.*
+ 6838: 0bca.*
+ 6839: 0d19.*
+ 683a: 6fe3.*
+ 683b: 0bcb.*
+ 683c: 0c19.*
+ 683d: 6fe3.*
+ 683e: 0bcc.*
+ 683f: 0e19.*
+ 6840: 6fe3.*
+ 6841: 0bcd.*
+ 6842: 0f19.*
+ 6843: 6fe3.*
+ 6844: 0bce.*
+ 6845: 0f19.*
+ 6846: 6fe3.*
+ 6847: 0bcf.*
+ 6848: 0c1a.*
+ 6849: 6fe3.*
+ 684a: 0bd0.*
+ 684b: 0d1a.*
+ 684c: 6fe3.*
+ 684d: 0bd1.*
+ 684e: 0c1a.*
+ 684f: 6fe3.*
+ 6850: 0bd2.*
+ 6851: 0e1a.*
+ 6852: 6fe3.*
+ 6853: 0bd3.*
+ 6854: 0f1a.*
+ 6855: 6fe3.*
+ 6856: 0bd4.*
+ 6857: 0f1a.*
+ 6858: 6fe3.*
+ 6859: 0bd5.*
+ 685a: 0c1b.*
+ 685b: 6fe3.*
+ 685c: 0bd6.*
+ 685d: 0d1b.*
+ 685e: 6fe3.*
+ 685f: 0bd7.*
+ 6860: 0c1b.*
+ 6861: 6fe3.*
+ 6862: 0bd8.*
+ 6863: 0e1b.*
+ 6864: 6fe3.*
+ 6865: 0bd9.*
+ 6866: 0f1b.*
+ 6867: 6fe3.*
+ 6868: 0bda.*
+ 6869: 0f1b.*
+ 686a: 6fe3.*
+ 686b: 0bdb.*
+ 686c: 0c1c.*
+ 686d: 6fe3.*
+ 686e: 0bdc.*
+ 686f: 0d1c.*
+ 6870: 6fe3.*
+ 6871: 0bdd.*
+ 6872: 0c1c.*
+ 6873: 6fe3.*
+ 6874: 0bde.*
+ 6875: 0e1c.*
+ 6876: 6fe3.*
+ 6877: 0bdf.*
+ 6878: 0f1c.*
+ 6879: 6fe3.*
+ 687a: 0be0.*
+ 687b: 0f1c.*
+ 687c: 6fe3.*
+ 687d: 0be1.*
+ 687e: 0c1d.*
+ 687f: 6fe3.*
+ 6880: 0be2.*
+ 6881: 0d1d.*
+ 6882: 6fe3.*
+ 6883: 0be3.*
+ 6884: 0c1d.*
+ 6885: 6fe3.*
+ 6886: 0be4.*
+ 6887: 0e1d.*
+ 6888: 6fe3.*
+ 6889: 0be5.*
+ 688a: 0f1d.*
+ 688b: 6fe3.*
+ 688c: 0be6.*
+ 688d: 0f1d.*
+ 688e: 6fe3.*
+ 688f: 0be7.*
+ 6890: 0c1e.*
+ 6891: 6fe3.*
+ 6892: 0be8.*
+ 6893: 0d1e.*
+ 6894: 6fe3.*
+ 6895: 0be9.*
+ 6896: 0c1e.*
+ 6897: 6fe3.*
+ 6898: 0bea.*
+ 6899: 0e1e.*
+ 689a: 6fe3.*
+ 689b: 0beb.*
+ 689c: 0f1e.*
+ 689d: 6fe3.*
+ 689e: 0bec.*
+ 689f: 0f1e.*
+ 68a0: 6fe3.*
+ 68a1: 0bed.*
+ 68a2: 0c1f.*
+ 68a3: 6fe3.*
+ 68a4: 0bee.*
+ 68a5: 0d1f.*
+ 68a6: 6fe3.*
+ 68a7: 0bef.*
+ 68a8: 0c1f.*
+ 68a9: 6fe3.*
+ 68aa: 0bf0.*
+ 68ab: 0e1f.*
+ 68ac: 6fe3.*
+ 68ad: 0bf1.*
+ 68ae: 0f1f.*
+ 68af: 6fe3.*
+ 68b0: 0bf2.*
+ 68b1: 0f1f.*
+ 68b2: 00e3.*
+ 68b3: 0bf3.*
+ 68b4: 6fe3.*
+ 68b5: 0bf4.*
+ 68b6: 0d00.*
+ 68b7: 00e3.*
+ 68b8: 0bf5.*
+ 68b9: 6fe3.*
+ 68ba: 0bf6.*
+ 68bb: 0e00.*
+ 68bc: 01e3.*
+ 68bd: 0bf7.*
+ 68be: 01e3.*
+ 68bf: 0bf8.*
+ 68c0: 6fe3.*
+ 68c1: 0bf9.*
+ 68c2: 0c01.*
+ 68c3: 6fe3.*
+ 68c4: 0bfa.*
+ 68c5: 0d01.*
+ 68c6: 6fe3.*
+ 68c7: 0bfb.*
+ 68c8: 0c01.*
+ 68c9: 6fe3.*
+ 68ca: 0bfc.*
+ 68cb: 0e01.*
+ 68cc: 6fe3.*
+ 68cd: 0bfd.*
+ 68ce: 0f01.*
+ 68cf: 6fe3.*
+ 68d0: 0bfe.*
+ 68d1: 0f01.*
+ 68d2: 6fe3.*
+ 68d3: 0bff.*
+ 68d4: 0c02.*
+ 68d5: 6fe3.*
+ 68d6: 0c00.*
+ 68d7: 0d02.*
+ 68d8: 6fe3.*
+ 68d9: 0c01.*
+ 68da: 0c02.*
+ 68db: 6fe3.*
+ 68dc: 0c02.*
+ 68dd: 0e02.*
+ 68de: 6fe3.*
+ 68df: 0c03.*
+ 68e0: 0f02.*
+ 68e1: 6fe3.*
+ 68e2: 0c04.*
+ 68e3: 0f02.*
+ 68e4: 6fe3.*
+ 68e5: 0c05.*
+ 68e6: 0c03.*
+ 68e7: 6fe3.*
+ 68e8: 0c06.*
+ 68e9: 0d03.*
+ 68ea: 6fe3.*
+ 68eb: 0c07.*
+ 68ec: 0c03.*
+ 68ed: 6fe3.*
+ 68ee: 0c08.*
+ 68ef: 0e03.*
+ 68f0: 6fe3.*
+ 68f1: 0c09.*
+ 68f2: 0f03.*
+ 68f3: 6fe3.*
+ 68f4: 0c0a.*
+ 68f5: 0f03.*
+ 68f6: 6fe3.*
+ 68f7: 0c0b.*
+ 68f8: 0c04.*
+ 68f9: 6fe3.*
+ 68fa: 0c0c.*
+ 68fb: 0d04.*
+ 68fc: 6fe3.*
+ 68fd: 0c0d.*
+ 68fe: 0c04.*
+ 68ff: 6fe3.*
+ 6900: 0c0e.*
+ 6901: 0e04.*
+ 6902: 6fe3.*
+ 6903: 0c0f.*
+ 6904: 0f04.*
+ 6905: 6fe3.*
+ 6906: 0c10.*
+ 6907: 0f04.*
+ 6908: 6fe3.*
+ 6909: 0c11.*
+ 690a: 0c05.*
+ 690b: 6fe3.*
+ 690c: 0c12.*
+ 690d: 0d05.*
+ 690e: 6fe3.*
+ 690f: 0c13.*
+ 6910: 0c05.*
+ 6911: 6fe3.*
+ 6912: 0c14.*
+ 6913: 0e05.*
+ 6914: 6fe3.*
+ 6915: 0c15.*
+ 6916: 0f05.*
+ 6917: 6fe3.*
+ 6918: 0c16.*
+ 6919: 0f05.*
+ 691a: 6fe3.*
+ 691b: 0c17.*
+ 691c: 0c06.*
+ 691d: 6fe3.*
+ 691e: 0c18.*
+ 691f: 0d06.*
+ 6920: 6fe3.*
+ 6921: 0c19.*
+ 6922: 0c06.*
+ 6923: 6fe3.*
+ 6924: 0c1a.*
+ 6925: 0e06.*
+ 6926: 6fe3.*
+ 6927: 0c1b.*
+ 6928: 0f06.*
+ 6929: 6fe3.*
+ 692a: 0c1c.*
+ 692b: 0f06.*
+ 692c: 6fe3.*
+ 692d: 0c1d.*
+ 692e: 0c07.*
+ 692f: 6fe3.*
+ 6930: 0c1e.*
+ 6931: 0d07.*
+ 6932: 6fe3.*
+ 6933: 0c1f.*
+ 6934: 0c07.*
+ 6935: 6fe3.*
+ 6936: 0c20.*
+ 6937: 0e07.*
+ 6938: 6fe3.*
+ 6939: 0c21.*
+ 693a: 0f07.*
+ 693b: 6fe3.*
+ 693c: 0c22.*
+ 693d: 0f07.*
+ 693e: 6fe3.*
+ 693f: 0c23.*
+ 6940: 0c08.*
+ 6941: 6fe3.*
+ 6942: 0c24.*
+ 6943: 0d08.*
+ 6944: 6fe3.*
+ 6945: 0c25.*
+ 6946: 0c08.*
+ 6947: 6fe3.*
+ 6948: 0c26.*
+ 6949: 0e08.*
+ 694a: 6fe3.*
+ 694b: 0c27.*
+ 694c: 0f08.*
+ 694d: 6fe3.*
+ 694e: 0c28.*
+ 694f: 0f08.*
+ 6950: 6fe3.*
+ 6951: 0c29.*
+ 6952: 0c09.*
+ 6953: 6fe3.*
+ 6954: 0c2a.*
+ 6955: 0d09.*
+ 6956: 6fe3.*
+ 6957: 0c2b.*
+ 6958: 0c09.*
+ 6959: 6fe3.*
+ 695a: 0c2c.*
+ 695b: 0e09.*
+ 695c: 6fe3.*
+ 695d: 0c2d.*
+ 695e: 0f09.*
+ 695f: 6fe3.*
+ 6960: 0c2e.*
+ 6961: 0f09.*
+ 6962: 6fe3.*
+ 6963: 0c2f.*
+ 6964: 0c0a.*
+ 6965: 6fe3.*
+ 6966: 0c30.*
+ 6967: 0d0a.*
+ 6968: 6fe3.*
+ 6969: 0c31.*
+ 696a: 0c0a.*
+ 696b: 6fe3.*
+ 696c: 0c32.*
+ 696d: 0e0a.*
+ 696e: 6fe3.*
+ 696f: 0c33.*
+ 6970: 0f0a.*
+ 6971: 6fe3.*
+ 6972: 0c34.*
+ 6973: 0f0a.*
+ 6974: 6fe3.*
+ 6975: 0c35.*
+ 6976: 0c0b.*
+ 6977: 6fe3.*
+ 6978: 0c36.*
+ 6979: 0d0b.*
+ 697a: 6fe3.*
+ 697b: 0c37.*
+ 697c: 0c0b.*
+ 697d: 6fe3.*
+ 697e: 0c38.*
+ 697f: 0e0b.*
+ 6980: 6fe3.*
+ 6981: 0c39.*
+ 6982: 0f0b.*
+ 6983: 6fe3.*
+ 6984: 0c3a.*
+ 6985: 0f0b.*
+ 6986: 6fe3.*
+ 6987: 0c3b.*
+ 6988: 0c0c.*
+ 6989: 6fe3.*
+ 698a: 0c3c.*
+ 698b: 0d0c.*
+ 698c: 6fe3.*
+ 698d: 0c3d.*
+ 698e: 0c0c.*
+ 698f: 6fe3.*
+ 6990: 0c3e.*
+ 6991: 0e0c.*
+ 6992: 6fe3.*
+ 6993: 0c3f.*
+ 6994: 0f0c.*
+ 6995: 6fe3.*
+ 6996: 0c40.*
+ 6997: 0f0c.*
+ 6998: 6fe3.*
+ 6999: 0c41.*
+ 699a: 0c0d.*
+ 699b: 6fe3.*
+ 699c: 0c42.*
+ 699d: 0d0d.*
+ 699e: 6fe3.*
+ 699f: 0c43.*
+ 69a0: 0c0d.*
+ 69a1: 6fe3.*
+ 69a2: 0c44.*
+ 69a3: 0e0d.*
+ 69a4: 6fe3.*
+ 69a5: 0c45.*
+ 69a6: 0f0d.*
+ 69a7: 6fe3.*
+ 69a8: 0c46.*
+ 69a9: 0f0d.*
+ 69aa: 6fe3.*
+ 69ab: 0c47.*
+ 69ac: 0c0e.*
+ 69ad: 6fe3.*
+ 69ae: 0c48.*
+ 69af: 0d0e.*
+ 69b0: 6fe3.*
+ 69b1: 0c49.*
+ 69b2: 0c0e.*
+ 69b3: 6fe3.*
+ 69b4: 0c4a.*
+ 69b5: 0e0e.*
+ 69b6: 6fe3.*
+ 69b7: 0c4b.*
+ 69b8: 0f0e.*
+ 69b9: 6fe3.*
+ 69ba: 0c4c.*
+ 69bb: 0f0e.*
+ 69bc: 6fe3.*
+ 69bd: 0c4d.*
+ 69be: 0c0f.*
+ 69bf: 6fe3.*
+ 69c0: 0c4e.*
+ 69c1: 0d0f.*
+ 69c2: 6fe3.*
+ 69c3: 0c4f.*
+ 69c4: 0c0f.*
+ 69c5: 6fe3.*
+ 69c6: 0c50.*
+ 69c7: 0e0f.*
+ 69c8: 6fe3.*
+ 69c9: 0c51.*
+ 69ca: 0f0f.*
+ 69cb: 6fe3.*
+ 69cc: 0c52.*
+ 69cd: 0f0f.*
+ 69ce: 00eb.*
+ 69cf: 0c53.*
+ 69d0: 6feb.*
+ 69d1: 0c54.*
+ 69d2: 0d00.*
+ 69d3: 00eb.*
+ 69d4: 0c55.*
+ 69d5: 6feb.*
+ 69d6: 0c56.*
+ 69d7: 0e00.*
+ 69d8: 01eb.*
+ 69d9: 0c57.*
+ 69da: 01eb.*
+ 69db: 0c58.*
+ 69dc: 6feb.*
+ 69dd: 0c59.*
+ 69de: 0c10.*
+ 69df: 6feb.*
+ 69e0: 0c5a.*
+ 69e1: 0d10.*
+ 69e2: 6feb.*
+ 69e3: 0c5b.*
+ 69e4: 0c10.*
+ 69e5: 6feb.*
+ 69e6: 0c5c.*
+ 69e7: 0e10.*
+ 69e8: 6feb.*
+ 69e9: 0c5d.*
+ 69ea: 0f10.*
+ 69eb: 6feb.*
+ 69ec: 0c5e.*
+ 69ed: 0f10.*
+ 69ee: 6feb.*
+ 69ef: 0c5f.*
+ 69f0: 0c11.*
+ 69f1: 6feb.*
+ 69f2: 0c60.*
+ 69f3: 0d11.*
+ 69f4: 6feb.*
+ 69f5: 0c61.*
+ 69f6: 0c11.*
+ 69f7: 6feb.*
+ 69f8: 0c62.*
+ 69f9: 0e11.*
+ 69fa: 6feb.*
+ 69fb: 0c63.*
+ 69fc: 0f11.*
+ 69fd: 6feb.*
+ 69fe: 0c64.*
+ 69ff: 0f11.*
+ 6a00: 6feb.*
+ 6a01: 0c65.*
+ 6a02: 0c12.*
+ 6a03: 6feb.*
+ 6a04: 0c66.*
+ 6a05: 0d12.*
+ 6a06: 6feb.*
+ 6a07: 0c67.*
+ 6a08: 0c12.*
+ 6a09: 6feb.*
+ 6a0a: 0c68.*
+ 6a0b: 0e12.*
+ 6a0c: 6feb.*
+ 6a0d: 0c69.*
+ 6a0e: 0f12.*
+ 6a0f: 6feb.*
+ 6a10: 0c6a.*
+ 6a11: 0f12.*
+ 6a12: 6feb.*
+ 6a13: 0c6b.*
+ 6a14: 0c13.*
+ 6a15: 6feb.*
+ 6a16: 0c6c.*
+ 6a17: 0d13.*
+ 6a18: 6feb.*
+ 6a19: 0c6d.*
+ 6a1a: 0c13.*
+ 6a1b: 6feb.*
+ 6a1c: 0c6e.*
+ 6a1d: 0e13.*
+ 6a1e: 6feb.*
+ 6a1f: 0c6f.*
+ 6a20: 0f13.*
+ 6a21: 6feb.*
+ 6a22: 0c70.*
+ 6a23: 0f13.*
+ 6a24: 6feb.*
+ 6a25: 0c71.*
+ 6a26: 0c14.*
+ 6a27: 6feb.*
+ 6a28: 0c72.*
+ 6a29: 0d14.*
+ 6a2a: 6feb.*
+ 6a2b: 0c73.*
+ 6a2c: 0c14.*
+ 6a2d: 6feb.*
+ 6a2e: 0c74.*
+ 6a2f: 0e14.*
+ 6a30: 6feb.*
+ 6a31: 0c75.*
+ 6a32: 0f14.*
+ 6a33: 6feb.*
+ 6a34: 0c76.*
+ 6a35: 0f14.*
+ 6a36: 6feb.*
+ 6a37: 0c77.*
+ 6a38: 0c15.*
+ 6a39: 6feb.*
+ 6a3a: 0c78.*
+ 6a3b: 0d15.*
+ 6a3c: 6feb.*
+ 6a3d: 0c79.*
+ 6a3e: 0c15.*
+ 6a3f: 6feb.*
+ 6a40: 0c7a.*
+ 6a41: 0e15.*
+ 6a42: 6feb.*
+ 6a43: 0c7b.*
+ 6a44: 0f15.*
+ 6a45: 6feb.*
+ 6a46: 0c7c.*
+ 6a47: 0f15.*
+ 6a48: 6feb.*
+ 6a49: 0c7d.*
+ 6a4a: 0c16.*
+ 6a4b: 6feb.*
+ 6a4c: 0c7e.*
+ 6a4d: 0d16.*
+ 6a4e: 6feb.*
+ 6a4f: 0c7f.*
+ 6a50: 0c16.*
+ 6a51: 6feb.*
+ 6a52: 0c80.*
+ 6a53: 0e16.*
+ 6a54: 6feb.*
+ 6a55: 0c81.*
+ 6a56: 0f16.*
+ 6a57: 6feb.*
+ 6a58: 0c82.*
+ 6a59: 0f16.*
+ 6a5a: 6feb.*
+ 6a5b: 0c83.*
+ 6a5c: 0c17.*
+ 6a5d: 6feb.*
+ 6a5e: 0c84.*
+ 6a5f: 0d17.*
+ 6a60: 6feb.*
+ 6a61: 0c85.*
+ 6a62: 0c17.*
+ 6a63: 6feb.*
+ 6a64: 0c86.*
+ 6a65: 0e17.*
+ 6a66: 6feb.*
+ 6a67: 0c87.*
+ 6a68: 0f17.*
+ 6a69: 6feb.*
+ 6a6a: 0c88.*
+ 6a6b: 0f17.*
+ 6a6c: 6feb.*
+ 6a6d: 0c89.*
+ 6a6e: 0c18.*
+ 6a6f: 6feb.*
+ 6a70: 0c8a.*
+ 6a71: 0d18.*
+ 6a72: 6feb.*
+ 6a73: 0c8b.*
+ 6a74: 0c18.*
+ 6a75: 6feb.*
+ 6a76: 0c8c.*
+ 6a77: 0e18.*
+ 6a78: 6feb.*
+ 6a79: 0c8d.*
+ 6a7a: 0f18.*
+ 6a7b: 6feb.*
+ 6a7c: 0c8e.*
+ 6a7d: 0f18.*
+ 6a7e: 6feb.*
+ 6a7f: 0c8f.*
+ 6a80: 0c19.*
+ 6a81: 6feb.*
+ 6a82: 0c90.*
+ 6a83: 0d19.*
+ 6a84: 6feb.*
+ 6a85: 0c91.*
+ 6a86: 0c19.*
+ 6a87: 6feb.*
+ 6a88: 0c92.*
+ 6a89: 0e19.*
+ 6a8a: 6feb.*
+ 6a8b: 0c93.*
+ 6a8c: 0f19.*
+ 6a8d: 6feb.*
+ 6a8e: 0c94.*
+ 6a8f: 0f19.*
+ 6a90: 6feb.*
+ 6a91: 0c95.*
+ 6a92: 0c1a.*
+ 6a93: 6feb.*
+ 6a94: 0c96.*
+ 6a95: 0d1a.*
+ 6a96: 6feb.*
+ 6a97: 0c97.*
+ 6a98: 0c1a.*
+ 6a99: 6feb.*
+ 6a9a: 0c98.*
+ 6a9b: 0e1a.*
+ 6a9c: 6feb.*
+ 6a9d: 0c99.*
+ 6a9e: 0f1a.*
+ 6a9f: 6feb.*
+ 6aa0: 0c9a.*
+ 6aa1: 0f1a.*
+ 6aa2: 6feb.*
+ 6aa3: 0c9b.*
+ 6aa4: 0c1b.*
+ 6aa5: 6feb.*
+ 6aa6: 0c9c.*
+ 6aa7: 0d1b.*
+ 6aa8: 6feb.*
+ 6aa9: 0c9d.*
+ 6aaa: 0c1b.*
+ 6aab: 6feb.*
+ 6aac: 0c9e.*
+ 6aad: 0e1b.*
+ 6aae: 6feb.*
+ 6aaf: 0c9f.*
+ 6ab0: 0f1b.*
+ 6ab1: 6feb.*
+ 6ab2: 0ca0.*
+ 6ab3: 0f1b.*
+ 6ab4: 6feb.*
+ 6ab5: 0ca1.*
+ 6ab6: 0c1c.*
+ 6ab7: 6feb.*
+ 6ab8: 0ca2.*
+ 6ab9: 0d1c.*
+ 6aba: 6feb.*
+ 6abb: 0ca3.*
+ 6abc: 0c1c.*
+ 6abd: 6feb.*
+ 6abe: 0ca4.*
+ 6abf: 0e1c.*
+ 6ac0: 6feb.*
+ 6ac1: 0ca5.*
+ 6ac2: 0f1c.*
+ 6ac3: 6feb.*
+ 6ac4: 0ca6.*
+ 6ac5: 0f1c.*
+ 6ac6: 6feb.*
+ 6ac7: 0ca7.*
+ 6ac8: 0c1d.*
+ 6ac9: 6feb.*
+ 6aca: 0ca8.*
+ 6acb: 0d1d.*
+ 6acc: 6feb.*
+ 6acd: 0ca9.*
+ 6ace: 0c1d.*
+ 6acf: 6feb.*
+ 6ad0: 0caa.*
+ 6ad1: 0e1d.*
+ 6ad2: 6feb.*
+ 6ad3: 0cab.*
+ 6ad4: 0f1d.*
+ 6ad5: 6feb.*
+ 6ad6: 0cac.*
+ 6ad7: 0f1d.*
+ 6ad8: 6feb.*
+ 6ad9: 0cad.*
+ 6ada: 0c1e.*
+ 6adb: 6feb.*
+ 6adc: 0cae.*
+ 6add: 0d1e.*
+ 6ade: 6feb.*
+ 6adf: 0caf.*
+ 6ae0: 0c1e.*
+ 6ae1: 6feb.*
+ 6ae2: 0cb0.*
+ 6ae3: 0e1e.*
+ 6ae4: 6feb.*
+ 6ae5: 0cb1.*
+ 6ae6: 0f1e.*
+ 6ae7: 6feb.*
+ 6ae8: 0cb2.*
+ 6ae9: 0f1e.*
+ 6aea: 6feb.*
+ 6aeb: 0cb3.*
+ 6aec: 0c1f.*
+ 6aed: 6feb.*
+ 6aee: 0cb4.*
+ 6aef: 0d1f.*
+ 6af0: 6feb.*
+ 6af1: 0cb5.*
+ 6af2: 0c1f.*
+ 6af3: 6feb.*
+ 6af4: 0cb6.*
+ 6af5: 0e1f.*
+ 6af6: 6feb.*
+ 6af7: 0cb7.*
+ 6af8: 0f1f.*
+ 6af9: 6feb.*
+ 6afa: 0cb8.*
+ 6afb: 0f1f.*
+ 6afc: 00eb.*
+ 6afd: 0cb9.*
+ 6afe: 6feb.*
+ 6aff: 0cba.*
+ 6b00: 0d00.*
+ 6b01: 00eb.*
+ 6b02: 0cbb.*
+ 6b03: 6feb.*
+ 6b04: 0cbc.*
+ 6b05: 0e00.*
+ 6b06: 01eb.*
+ 6b07: 0cbd.*
+ 6b08: 01eb.*
+ 6b09: 0cbe.*
+ 6b0a: 6feb.*
+ 6b0b: 0cbf.*
+ 6b0c: 0c01.*
+ 6b0d: 6feb.*
+ 6b0e: 0cc0.*
+ 6b0f: 0d01.*
+ 6b10: 6feb.*
+ 6b11: 0cc1.*
+ 6b12: 0c01.*
+ 6b13: 6feb.*
+ 6b14: 0cc2.*
+ 6b15: 0e01.*
+ 6b16: 6feb.*
+ 6b17: 0cc3.*
+ 6b18: 0f01.*
+ 6b19: 6feb.*
+ 6b1a: 0cc4.*
+ 6b1b: 0f01.*
+ 6b1c: 6feb.*
+ 6b1d: 0cc5.*
+ 6b1e: 0c02.*
+ 6b1f: 6feb.*
+ 6b20: 0cc6.*
+ 6b21: 0d02.*
+ 6b22: 6feb.*
+ 6b23: 0cc7.*
+ 6b24: 0c02.*
+ 6b25: 6feb.*
+ 6b26: 0cc8.*
+ 6b27: 0e02.*
+ 6b28: 6feb.*
+ 6b29: 0cc9.*
+ 6b2a: 0f02.*
+ 6b2b: 6feb.*
+ 6b2c: 0cca.*
+ 6b2d: 0f02.*
+ 6b2e: 6feb.*
+ 6b2f: 0ccb.*
+ 6b30: 0c03.*
+ 6b31: 6feb.*
+ 6b32: 0ccc.*
+ 6b33: 0d03.*
+ 6b34: 6feb.*
+ 6b35: 0ccd.*
+ 6b36: 0c03.*
+ 6b37: 6feb.*
+ 6b38: 0cce.*
+ 6b39: 0e03.*
+ 6b3a: 6feb.*
+ 6b3b: 0ccf.*
+ 6b3c: 0f03.*
+ 6b3d: 6feb.*
+ 6b3e: 0cd0.*
+ 6b3f: 0f03.*
+ 6b40: 6feb.*
+ 6b41: 0cd1.*
+ 6b42: 0c04.*
+ 6b43: 6feb.*
+ 6b44: 0cd2.*
+ 6b45: 0d04.*
+ 6b46: 6feb.*
+ 6b47: 0cd3.*
+ 6b48: 0c04.*
+ 6b49: 6feb.*
+ 6b4a: 0cd4.*
+ 6b4b: 0e04.*
+ 6b4c: 6feb.*
+ 6b4d: 0cd5.*
+ 6b4e: 0f04.*
+ 6b4f: 6feb.*
+ 6b50: 0cd6.*
+ 6b51: 0f04.*
+ 6b52: 6feb.*
+ 6b53: 0cd7.*
+ 6b54: 0c05.*
+ 6b55: 6feb.*
+ 6b56: 0cd8.*
+ 6b57: 0d05.*
+ 6b58: 6feb.*
+ 6b59: 0cd9.*
+ 6b5a: 0c05.*
+ 6b5b: 6feb.*
+ 6b5c: 0cda.*
+ 6b5d: 0e05.*
+ 6b5e: 6feb.*
+ 6b5f: 0cdb.*
+ 6b60: 0f05.*
+ 6b61: 6feb.*
+ 6b62: 0cdc.*
+ 6b63: 0f05.*
+ 6b64: 6feb.*
+ 6b65: 0cdd.*
+ 6b66: 0c06.*
+ 6b67: 6feb.*
+ 6b68: 0cde.*
+ 6b69: 0d06.*
+ 6b6a: 6feb.*
+ 6b6b: 0cdf.*
+ 6b6c: 0c06.*
+ 6b6d: 6feb.*
+ 6b6e: 0ce0.*
+ 6b6f: 0e06.*
+ 6b70: 6feb.*
+ 6b71: 0ce1.*
+ 6b72: 0f06.*
+ 6b73: 6feb.*
+ 6b74: 0ce2.*
+ 6b75: 0f06.*
+ 6b76: 6feb.*
+ 6b77: 0ce3.*
+ 6b78: 0c07.*
+ 6b79: 6feb.*
+ 6b7a: 0ce4.*
+ 6b7b: 0d07.*
+ 6b7c: 6feb.*
+ 6b7d: 0ce5.*
+ 6b7e: 0c07.*
+ 6b7f: 6feb.*
+ 6b80: 0ce6.*
+ 6b81: 0e07.*
+ 6b82: 6feb.*
+ 6b83: 0ce7.*
+ 6b84: 0f07.*
+ 6b85: 6feb.*
+ 6b86: 0ce8.*
+ 6b87: 0f07.*
+ 6b88: 6feb.*
+ 6b89: 0ce9.*
+ 6b8a: 0c08.*
+ 6b8b: 6feb.*
+ 6b8c: 0cea.*
+ 6b8d: 0d08.*
+ 6b8e: 6feb.*
+ 6b8f: 0ceb.*
+ 6b90: 0c08.*
+ 6b91: 6feb.*
+ 6b92: 0cec.*
+ 6b93: 0e08.*
+ 6b94: 6feb.*
+ 6b95: 0ced.*
+ 6b96: 0f08.*
+ 6b97: 6feb.*
+ 6b98: 0cee.*
+ 6b99: 0f08.*
+ 6b9a: 6feb.*
+ 6b9b: 0cef.*
+ 6b9c: 0c09.*
+ 6b9d: 6feb.*
+ 6b9e: 0cf0.*
+ 6b9f: 0d09.*
+ 6ba0: 6feb.*
+ 6ba1: 0cf1.*
+ 6ba2: 0c09.*
+ 6ba3: 6feb.*
+ 6ba4: 0cf2.*
+ 6ba5: 0e09.*
+ 6ba6: 6feb.*
+ 6ba7: 0cf3.*
+ 6ba8: 0f09.*
+ 6ba9: 6feb.*
+ 6baa: 0cf4.*
+ 6bab: 0f09.*
+ 6bac: 6feb.*
+ 6bad: 0cf5.*
+ 6bae: 0c0a.*
+ 6baf: 6feb.*
+ 6bb0: 0cf6.*
+ 6bb1: 0d0a.*
+ 6bb2: 6feb.*
+ 6bb3: 0cf7.*
+ 6bb4: 0c0a.*
+ 6bb5: 6feb.*
+ 6bb6: 0cf8.*
+ 6bb7: 0e0a.*
+ 6bb8: 6feb.*
+ 6bb9: 0cf9.*
+ 6bba: 0f0a.*
+ 6bbb: 6feb.*
+ 6bbc: 0cfa.*
+ 6bbd: 0f0a.*
+ 6bbe: 6feb.*
+ 6bbf: 0cfb.*
+ 6bc0: 0c0b.*
+ 6bc1: 6feb.*
+ 6bc2: 0cfc.*
+ 6bc3: 0d0b.*
+ 6bc4: 6feb.*
+ 6bc5: 0cfd.*
+ 6bc6: 0c0b.*
+ 6bc7: 6feb.*
+ 6bc8: 0cfe.*
+ 6bc9: 0e0b.*
+ 6bca: 6feb.*
+ 6bcb: 0cff.*
+ 6bcc: 0f0b.*
+ 6bcd: 6feb.*
+ 6bce: 0d00.*
+ 6bcf: 0f0b.*
+ 6bd0: 6feb.*
+ 6bd1: 0d01.*
+ 6bd2: 0c0c.*
+ 6bd3: 6feb.*
+ 6bd4: 0d02.*
+ 6bd5: 0d0c.*
+ 6bd6: 6feb.*
+ 6bd7: 0d03.*
+ 6bd8: 0c0c.*
+ 6bd9: 6feb.*
+ 6bda: 0d04.*
+ 6bdb: 0e0c.*
+ 6bdc: 6feb.*
+ 6bdd: 0d05.*
+ 6bde: 0f0c.*
+ 6bdf: 6feb.*
+ 6be0: 0d06.*
+ 6be1: 0f0c.*
+ 6be2: 6feb.*
+ 6be3: 0d07.*
+ 6be4: 0c0d.*
+ 6be5: 6feb.*
+ 6be6: 0d08.*
+ 6be7: 0d0d.*
+ 6be8: 6feb.*
+ 6be9: 0d09.*
+ 6bea: 0c0d.*
+ 6beb: 6feb.*
+ 6bec: 0d0a.*
+ 6bed: 0e0d.*
+ 6bee: 6feb.*
+ 6bef: 0d0b.*
+ 6bf0: 0f0d.*
+ 6bf1: 6feb.*
+ 6bf2: 0d0c.*
+ 6bf3: 0f0d.*
+ 6bf4: 6feb.*
+ 6bf5: 0d0d.*
+ 6bf6: 0c0e.*
+ 6bf7: 6feb.*
+ 6bf8: 0d0e.*
+ 6bf9: 0d0e.*
+ 6bfa: 6feb.*
+ 6bfb: 0d0f.*
+ 6bfc: 0c0e.*
+ 6bfd: 6feb.*
+ 6bfe: 0d10.*
+ 6bff: 0e0e.*
+ 6c00: 6feb.*
+ 6c01: 0d11.*
+ 6c02: 0f0e.*
+ 6c03: 6feb.*
+ 6c04: 0d12.*
+ 6c05: 0f0e.*
+ 6c06: 6feb.*
+ 6c07: 0d13.*
+ 6c08: 0c0f.*
+ 6c09: 6feb.*
+ 6c0a: 0d14.*
+ 6c0b: 0d0f.*
+ 6c0c: 6feb.*
+ 6c0d: 0d15.*
+ 6c0e: 0c0f.*
+ 6c0f: 6feb.*
+ 6c10: 0d16.*
+ 6c11: 0e0f.*
+ 6c12: 6feb.*
+ 6c13: 0d17.*
+ 6c14: 0f0f.*
+ 6c15: 6feb.*
+ 6c16: 0d18.*
+ 6c17: 0f0f.*
+ 6c18: 00f3.*
+ 6c19: 0d19.*
+ 6c1a: 6ff3.*
+ 6c1b: 0d1a.*
+ 6c1c: 0d00.*
+ 6c1d: 00f3.*
+ 6c1e: 0d1b.*
+ 6c1f: 6ff3.*
+ 6c20: 0d1c.*
+ 6c21: 0e00.*
+ 6c22: 01f3.*
+ 6c23: 0d1d.*
+ 6c24: 01f3.*
+ 6c25: 0d1e.*
+ 6c26: 6ff3.*
+ 6c27: 0d1f.*
+ 6c28: 0c10.*
+ 6c29: 6ff3.*
+ 6c2a: 0d20.*
+ 6c2b: 0d10.*
+ 6c2c: 6ff3.*
+ 6c2d: 0d21.*
+ 6c2e: 0c10.*
+ 6c2f: 6ff3.*
+ 6c30: 0d22.*
+ 6c31: 0e10.*
+ 6c32: 6ff3.*
+ 6c33: 0d23.*
+ 6c34: 0f10.*
+ 6c35: 6ff3.*
+ 6c36: 0d24.*
+ 6c37: 0f10.*
+ 6c38: 6ff3.*
+ 6c39: 0d25.*
+ 6c3a: 0c11.*
+ 6c3b: 6ff3.*
+ 6c3c: 0d26.*
+ 6c3d: 0d11.*
+ 6c3e: 6ff3.*
+ 6c3f: 0d27.*
+ 6c40: 0c11.*
+ 6c41: 6ff3.*
+ 6c42: 0d28.*
+ 6c43: 0e11.*
+ 6c44: 6ff3.*
+ 6c45: 0d29.*
+ 6c46: 0f11.*
+ 6c47: 6ff3.*
+ 6c48: 0d2a.*
+ 6c49: 0f11.*
+ 6c4a: 6ff3.*
+ 6c4b: 0d2b.*
+ 6c4c: 0c12.*
+ 6c4d: 6ff3.*
+ 6c4e: 0d2c.*
+ 6c4f: 0d12.*
+ 6c50: 6ff3.*
+ 6c51: 0d2d.*
+ 6c52: 0c12.*
+ 6c53: 6ff3.*
+ 6c54: 0d2e.*
+ 6c55: 0e12.*
+ 6c56: 6ff3.*
+ 6c57: 0d2f.*
+ 6c58: 0f12.*
+ 6c59: 6ff3.*
+ 6c5a: 0d30.*
+ 6c5b: 0f12.*
+ 6c5c: 6ff3.*
+ 6c5d: 0d31.*
+ 6c5e: 0c13.*
+ 6c5f: 6ff3.*
+ 6c60: 0d32.*
+ 6c61: 0d13.*
+ 6c62: 6ff3.*
+ 6c63: 0d33.*
+ 6c64: 0c13.*
+ 6c65: 6ff3.*
+ 6c66: 0d34.*
+ 6c67: 0e13.*
+ 6c68: 6ff3.*
+ 6c69: 0d35.*
+ 6c6a: 0f13.*
+ 6c6b: 6ff3.*
+ 6c6c: 0d36.*
+ 6c6d: 0f13.*
+ 6c6e: 6ff3.*
+ 6c6f: 0d37.*
+ 6c70: 0c14.*
+ 6c71: 6ff3.*
+ 6c72: 0d38.*
+ 6c73: 0d14.*
+ 6c74: 6ff3.*
+ 6c75: 0d39.*
+ 6c76: 0c14.*
+ 6c77: 6ff3.*
+ 6c78: 0d3a.*
+ 6c79: 0e14.*
+ 6c7a: 6ff3.*
+ 6c7b: 0d3b.*
+ 6c7c: 0f14.*
+ 6c7d: 6ff3.*
+ 6c7e: 0d3c.*
+ 6c7f: 0f14.*
+ 6c80: 6ff3.*
+ 6c81: 0d3d.*
+ 6c82: 0c15.*
+ 6c83: 6ff3.*
+ 6c84: 0d3e.*
+ 6c85: 0d15.*
+ 6c86: 6ff3.*
+ 6c87: 0d3f.*
+ 6c88: 0c15.*
+ 6c89: 6ff3.*
+ 6c8a: 0d40.*
+ 6c8b: 0e15.*
+ 6c8c: 6ff3.*
+ 6c8d: 0d41.*
+ 6c8e: 0f15.*
+ 6c8f: 6ff3.*
+ 6c90: 0d42.*
+ 6c91: 0f15.*
+ 6c92: 6ff3.*
+ 6c93: 0d43.*
+ 6c94: 0c16.*
+ 6c95: 6ff3.*
+ 6c96: 0d44.*
+ 6c97: 0d16.*
+ 6c98: 6ff3.*
+ 6c99: 0d45.*
+ 6c9a: 0c16.*
+ 6c9b: 6ff3.*
+ 6c9c: 0d46.*
+ 6c9d: 0e16.*
+ 6c9e: 6ff3.*
+ 6c9f: 0d47.*
+ 6ca0: 0f16.*
+ 6ca1: 6ff3.*
+ 6ca2: 0d48.*
+ 6ca3: 0f16.*
+ 6ca4: 6ff3.*
+ 6ca5: 0d49.*
+ 6ca6: 0c17.*
+ 6ca7: 6ff3.*
+ 6ca8: 0d4a.*
+ 6ca9: 0d17.*
+ 6caa: 6ff3.*
+ 6cab: 0d4b.*
+ 6cac: 0c17.*
+ 6cad: 6ff3.*
+ 6cae: 0d4c.*
+ 6caf: 0e17.*
+ 6cb0: 6ff3.*
+ 6cb1: 0d4d.*
+ 6cb2: 0f17.*
+ 6cb3: 6ff3.*
+ 6cb4: 0d4e.*
+ 6cb5: 0f17.*
+ 6cb6: 6ff3.*
+ 6cb7: 0d4f.*
+ 6cb8: 0c18.*
+ 6cb9: 6ff3.*
+ 6cba: 0d50.*
+ 6cbb: 0d18.*
+ 6cbc: 6ff3.*
+ 6cbd: 0d51.*
+ 6cbe: 0c18.*
+ 6cbf: 6ff3.*
+ 6cc0: 0d52.*
+ 6cc1: 0e18.*
+ 6cc2: 6ff3.*
+ 6cc3: 0d53.*
+ 6cc4: 0f18.*
+ 6cc5: 6ff3.*
+ 6cc6: 0d54.*
+ 6cc7: 0f18.*
+ 6cc8: 6ff3.*
+ 6cc9: 0d55.*
+ 6cca: 0c19.*
+ 6ccb: 6ff3.*
+ 6ccc: 0d56.*
+ 6ccd: 0d19.*
+ 6cce: 6ff3.*
+ 6ccf: 0d57.*
+ 6cd0: 0c19.*
+ 6cd1: 6ff3.*
+ 6cd2: 0d58.*
+ 6cd3: 0e19.*
+ 6cd4: 6ff3.*
+ 6cd5: 0d59.*
+ 6cd6: 0f19.*
+ 6cd7: 6ff3.*
+ 6cd8: 0d5a.*
+ 6cd9: 0f19.*
+ 6cda: 6ff3.*
+ 6cdb: 0d5b.*
+ 6cdc: 0c1a.*
+ 6cdd: 6ff3.*
+ 6cde: 0d5c.*
+ 6cdf: 0d1a.*
+ 6ce0: 6ff3.*
+ 6ce1: 0d5d.*
+ 6ce2: 0c1a.*
+ 6ce3: 6ff3.*
+ 6ce4: 0d5e.*
+ 6ce5: 0e1a.*
+ 6ce6: 6ff3.*
+ 6ce7: 0d5f.*
+ 6ce8: 0f1a.*
+ 6ce9: 6ff3.*
+ 6cea: 0d60.*
+ 6ceb: 0f1a.*
+ 6cec: 6ff3.*
+ 6ced: 0d61.*
+ 6cee: 0c1b.*
+ 6cef: 6ff3.*
+ 6cf0: 0d62.*
+ 6cf1: 0d1b.*
+ 6cf2: 6ff3.*
+ 6cf3: 0d63.*
+ 6cf4: 0c1b.*
+ 6cf5: 6ff3.*
+ 6cf6: 0d64.*
+ 6cf7: 0e1b.*
+ 6cf8: 6ff3.*
+ 6cf9: 0d65.*
+ 6cfa: 0f1b.*
+ 6cfb: 6ff3.*
+ 6cfc: 0d66.*
+ 6cfd: 0f1b.*
+ 6cfe: 6ff3.*
+ 6cff: 0d67.*
+ 6d00: 0c1c.*
+ 6d01: 6ff3.*
+ 6d02: 0d68.*
+ 6d03: 0d1c.*
+ 6d04: 6ff3.*
+ 6d05: 0d69.*
+ 6d06: 0c1c.*
+ 6d07: 6ff3.*
+ 6d08: 0d6a.*
+ 6d09: 0e1c.*
+ 6d0a: 6ff3.*
+ 6d0b: 0d6b.*
+ 6d0c: 0f1c.*
+ 6d0d: 6ff3.*
+ 6d0e: 0d6c.*
+ 6d0f: 0f1c.*
+ 6d10: 6ff3.*
+ 6d11: 0d6d.*
+ 6d12: 0c1d.*
+ 6d13: 6ff3.*
+ 6d14: 0d6e.*
+ 6d15: 0d1d.*
+ 6d16: 6ff3.*
+ 6d17: 0d6f.*
+ 6d18: 0c1d.*
+ 6d19: 6ff3.*
+ 6d1a: 0d70.*
+ 6d1b: 0e1d.*
+ 6d1c: 6ff3.*
+ 6d1d: 0d71.*
+ 6d1e: 0f1d.*
+ 6d1f: 6ff3.*
+ 6d20: 0d72.*
+ 6d21: 0f1d.*
+ 6d22: 6ff3.*
+ 6d23: 0d73.*
+ 6d24: 0c1e.*
+ 6d25: 6ff3.*
+ 6d26: 0d74.*
+ 6d27: 0d1e.*
+ 6d28: 6ff3.*
+ 6d29: 0d75.*
+ 6d2a: 0c1e.*
+ 6d2b: 6ff3.*
+ 6d2c: 0d76.*
+ 6d2d: 0e1e.*
+ 6d2e: 6ff3.*
+ 6d2f: 0d77.*
+ 6d30: 0f1e.*
+ 6d31: 6ff3.*
+ 6d32: 0d78.*
+ 6d33: 0f1e.*
+ 6d34: 6ff3.*
+ 6d35: 0d79.*
+ 6d36: 0c1f.*
+ 6d37: 6ff3.*
+ 6d38: 0d7a.*
+ 6d39: 0d1f.*
+ 6d3a: 6ff3.*
+ 6d3b: 0d7b.*
+ 6d3c: 0c1f.*
+ 6d3d: 6ff3.*
+ 6d3e: 0d7c.*
+ 6d3f: 0e1f.*
+ 6d40: 6ff3.*
+ 6d41: 0d7d.*
+ 6d42: 0f1f.*
+ 6d43: 6ff3.*
+ 6d44: 0d7e.*
+ 6d45: 0f1f.*
+ 6d46: 00f3.*
+ 6d47: 0d7f.*
+ 6d48: 6ff3.*
+ 6d49: 0d80.*
+ 6d4a: 0d00.*
+ 6d4b: 00f3.*
+ 6d4c: 0d81.*
+ 6d4d: 6ff3.*
+ 6d4e: 0d82.*
+ 6d4f: 0e00.*
+ 6d50: 01f3.*
+ 6d51: 0d83.*
+ 6d52: 01f3.*
+ 6d53: 0d84.*
+ 6d54: 6ff3.*
+ 6d55: 0d85.*
+ 6d56: 0c01.*
+ 6d57: 6ff3.*
+ 6d58: 0d86.*
+ 6d59: 0d01.*
+ 6d5a: 6ff3.*
+ 6d5b: 0d87.*
+ 6d5c: 0c01.*
+ 6d5d: 6ff3.*
+ 6d5e: 0d88.*
+ 6d5f: 0e01.*
+ 6d60: 6ff3.*
+ 6d61: 0d89.*
+ 6d62: 0f01.*
+ 6d63: 6ff3.*
+ 6d64: 0d8a.*
+ 6d65: 0f01.*
+ 6d66: 6ff3.*
+ 6d67: 0d8b.*
+ 6d68: 0c02.*
+ 6d69: 6ff3.*
+ 6d6a: 0d8c.*
+ 6d6b: 0d02.*
+ 6d6c: 6ff3.*
+ 6d6d: 0d8d.*
+ 6d6e: 0c02.*
+ 6d6f: 6ff3.*
+ 6d70: 0d8e.*
+ 6d71: 0e02.*
+ 6d72: 6ff3.*
+ 6d73: 0d8f.*
+ 6d74: 0f02.*
+ 6d75: 6ff3.*
+ 6d76: 0d90.*
+ 6d77: 0f02.*
+ 6d78: 6ff3.*
+ 6d79: 0d91.*
+ 6d7a: 0c03.*
+ 6d7b: 6ff3.*
+ 6d7c: 0d92.*
+ 6d7d: 0d03.*
+ 6d7e: 6ff3.*
+ 6d7f: 0d93.*
+ 6d80: 0c03.*
+ 6d81: 6ff3.*
+ 6d82: 0d94.*
+ 6d83: 0e03.*
+ 6d84: 6ff3.*
+ 6d85: 0d95.*
+ 6d86: 0f03.*
+ 6d87: 6ff3.*
+ 6d88: 0d96.*
+ 6d89: 0f03.*
+ 6d8a: 6ff3.*
+ 6d8b: 0d97.*
+ 6d8c: 0c04.*
+ 6d8d: 6ff3.*
+ 6d8e: 0d98.*
+ 6d8f: 0d04.*
+ 6d90: 6ff3.*
+ 6d91: 0d99.*
+ 6d92: 0c04.*
+ 6d93: 6ff3.*
+ 6d94: 0d9a.*
+ 6d95: 0e04.*
+ 6d96: 6ff3.*
+ 6d97: 0d9b.*
+ 6d98: 0f04.*
+ 6d99: 6ff3.*
+ 6d9a: 0d9c.*
+ 6d9b: 0f04.*
+ 6d9c: 6ff3.*
+ 6d9d: 0d9d.*
+ 6d9e: 0c05.*
+ 6d9f: 6ff3.*
+ 6da0: 0d9e.*
+ 6da1: 0d05.*
+ 6da2: 6ff3.*
+ 6da3: 0d9f.*
+ 6da4: 0c05.*
+ 6da5: 6ff3.*
+ 6da6: 0da0.*
+ 6da7: 0e05.*
+ 6da8: 6ff3.*
+ 6da9: 0da1.*
+ 6daa: 0f05.*
+ 6dab: 6ff3.*
+ 6dac: 0da2.*
+ 6dad: 0f05.*
+ 6dae: 6ff3.*
+ 6daf: 0da3.*
+ 6db0: 0c06.*
+ 6db1: 6ff3.*
+ 6db2: 0da4.*
+ 6db3: 0d06.*
+ 6db4: 6ff3.*
+ 6db5: 0da5.*
+ 6db6: 0c06.*
+ 6db7: 6ff3.*
+ 6db8: 0da6.*
+ 6db9: 0e06.*
+ 6dba: 6ff3.*
+ 6dbb: 0da7.*
+ 6dbc: 0f06.*
+ 6dbd: 6ff3.*
+ 6dbe: 0da8.*
+ 6dbf: 0f06.*
+ 6dc0: 6ff3.*
+ 6dc1: 0da9.*
+ 6dc2: 0c07.*
+ 6dc3: 6ff3.*
+ 6dc4: 0daa.*
+ 6dc5: 0d07.*
+ 6dc6: 6ff3.*
+ 6dc7: 0dab.*
+ 6dc8: 0c07.*
+ 6dc9: 6ff3.*
+ 6dca: 0dac.*
+ 6dcb: 0e07.*
+ 6dcc: 6ff3.*
+ 6dcd: 0dad.*
+ 6dce: 0f07.*
+ 6dcf: 6ff3.*
+ 6dd0: 0dae.*
+ 6dd1: 0f07.*
+ 6dd2: 6ff3.*
+ 6dd3: 0daf.*
+ 6dd4: 0c08.*
+ 6dd5: 6ff3.*
+ 6dd6: 0db0.*
+ 6dd7: 0d08.*
+ 6dd8: 6ff3.*
+ 6dd9: 0db1.*
+ 6dda: 0c08.*
+ 6ddb: 6ff3.*
+ 6ddc: 0db2.*
+ 6ddd: 0e08.*
+ 6dde: 6ff3.*
+ 6ddf: 0db3.*
+ 6de0: 0f08.*
+ 6de1: 6ff3.*
+ 6de2: 0db4.*
+ 6de3: 0f08.*
+ 6de4: 6ff3.*
+ 6de5: 0db5.*
+ 6de6: 0c09.*
+ 6de7: 6ff3.*
+ 6de8: 0db6.*
+ 6de9: 0d09.*
+ 6dea: 6ff3.*
+ 6deb: 0db7.*
+ 6dec: 0c09.*
+ 6ded: 6ff3.*
+ 6dee: 0db8.*
+ 6def: 0e09.*
+ 6df0: 6ff3.*
+ 6df1: 0db9.*
+ 6df2: 0f09.*
+ 6df3: 6ff3.*
+ 6df4: 0dba.*
+ 6df5: 0f09.*
+ 6df6: 6ff3.*
+ 6df7: 0dbb.*
+ 6df8: 0c0a.*
+ 6df9: 6ff3.*
+ 6dfa: 0dbc.*
+ 6dfb: 0d0a.*
+ 6dfc: 6ff3.*
+ 6dfd: 0dbd.*
+ 6dfe: 0c0a.*
+ 6dff: 6ff3.*
+ 6e00: 0dbe.*
+ 6e01: 0e0a.*
+ 6e02: 6ff3.*
+ 6e03: 0dbf.*
+ 6e04: 0f0a.*
+ 6e05: 6ff3.*
+ 6e06: 0dc0.*
+ 6e07: 0f0a.*
+ 6e08: 6ff3.*
+ 6e09: 0dc1.*
+ 6e0a: 0c0b.*
+ 6e0b: 6ff3.*
+ 6e0c: 0dc2.*
+ 6e0d: 0d0b.*
+ 6e0e: 6ff3.*
+ 6e0f: 0dc3.*
+ 6e10: 0c0b.*
+ 6e11: 6ff3.*
+ 6e12: 0dc4.*
+ 6e13: 0e0b.*
+ 6e14: 6ff3.*
+ 6e15: 0dc5.*
+ 6e16: 0f0b.*
+ 6e17: 6ff3.*
+ 6e18: 0dc6.*
+ 6e19: 0f0b.*
+ 6e1a: 6ff3.*
+ 6e1b: 0dc7.*
+ 6e1c: 0c0c.*
+ 6e1d: 6ff3.*
+ 6e1e: 0dc8.*
+ 6e1f: 0d0c.*
+ 6e20: 6ff3.*
+ 6e21: 0dc9.*
+ 6e22: 0c0c.*
+ 6e23: 6ff3.*
+ 6e24: 0dca.*
+ 6e25: 0e0c.*
+ 6e26: 6ff3.*
+ 6e27: 0dcb.*
+ 6e28: 0f0c.*
+ 6e29: 6ff3.*
+ 6e2a: 0dcc.*
+ 6e2b: 0f0c.*
+ 6e2c: 6ff3.*
+ 6e2d: 0dcd.*
+ 6e2e: 0c0d.*
+ 6e2f: 6ff3.*
+ 6e30: 0dce.*
+ 6e31: 0d0d.*
+ 6e32: 6ff3.*
+ 6e33: 0dcf.*
+ 6e34: 0c0d.*
+ 6e35: 6ff3.*
+ 6e36: 0dd0.*
+ 6e37: 0e0d.*
+ 6e38: 6ff3.*
+ 6e39: 0dd1.*
+ 6e3a: 0f0d.*
+ 6e3b: 6ff3.*
+ 6e3c: 0dd2.*
+ 6e3d: 0f0d.*
+ 6e3e: 6ff3.*
+ 6e3f: 0dd3.*
+ 6e40: 0c0e.*
+ 6e41: 6ff3.*
+ 6e42: 0dd4.*
+ 6e43: 0d0e.*
+ 6e44: 6ff3.*
+ 6e45: 0dd5.*
+ 6e46: 0c0e.*
+ 6e47: 6ff3.*
+ 6e48: 0dd6.*
+ 6e49: 0e0e.*
+ 6e4a: 6ff3.*
+ 6e4b: 0dd7.*
+ 6e4c: 0f0e.*
+ 6e4d: 6ff3.*
+ 6e4e: 0dd8.*
+ 6e4f: 0f0e.*
+ 6e50: 6ff3.*
+ 6e51: 0dd9.*
+ 6e52: 0c0f.*
+ 6e53: 6ff3.*
+ 6e54: 0dda.*
+ 6e55: 0d0f.*
+ 6e56: 6ff3.*
+ 6e57: 0ddb.*
+ 6e58: 0c0f.*
+ 6e59: 6ff3.*
+ 6e5a: 0ddc.*
+ 6e5b: 0e0f.*
+ 6e5c: 6ff3.*
+ 6e5d: 0ddd.*
+ 6e5e: 0f0f.*
+ 6e5f: 6ff3.*
+ 6e60: 0dde.*
+ 6e61: 0f0f.*
+ 6e62: 00f8.*
+ 6e63: 0ddf.*
+ 6e64: 6ff8.*
+ 6e65: 0de0.*
+ 6e66: 0d00.*
+ 6e67: 00f8.*
+ 6e68: 0de1.*
+ 6e69: 6ff8.*
+ 6e6a: 0de2.*
+ 6e6b: 0e00.*
+ 6e6c: 01f8.*
+ 6e6d: 0de3.*
+ 6e6e: 01f8.*
+ 6e6f: 0de4.*
+ 6e70: 6ff8.*
+ 6e71: 0de5.*
+ 6e72: 0c10.*
+ 6e73: 6ff8.*
+ 6e74: 0de6.*
+ 6e75: 0d10.*
+ 6e76: 6ff8.*
+ 6e77: 0de7.*
+ 6e78: 0c10.*
+ 6e79: 6ff8.*
+ 6e7a: 0de8.*
+ 6e7b: 0e10.*
+ 6e7c: 6ff8.*
+ 6e7d: 0de9.*
+ 6e7e: 0f10.*
+ 6e7f: 6ff8.*
+ 6e80: 0dea.*
+ 6e81: 0f10.*
+ 6e82: 6ff8.*
+ 6e83: 0deb.*
+ 6e84: 0c11.*
+ 6e85: 6ff8.*
+ 6e86: 0dec.*
+ 6e87: 0d11.*
+ 6e88: 6ff8.*
+ 6e89: 0ded.*
+ 6e8a: 0c11.*
+ 6e8b: 6ff8.*
+ 6e8c: 0dee.*
+ 6e8d: 0e11.*
+ 6e8e: 6ff8.*
+ 6e8f: 0def.*
+ 6e90: 0f11.*
+ 6e91: 6ff8.*
+ 6e92: 0df0.*
+ 6e93: 0f11.*
+ 6e94: 6ff8.*
+ 6e95: 0df1.*
+ 6e96: 0c12.*
+ 6e97: 6ff8.*
+ 6e98: 0df2.*
+ 6e99: 0d12.*
+ 6e9a: 6ff8.*
+ 6e9b: 0df3.*
+ 6e9c: 0c12.*
+ 6e9d: 6ff8.*
+ 6e9e: 0df4.*
+ 6e9f: 0e12.*
+ 6ea0: 6ff8.*
+ 6ea1: 0df5.*
+ 6ea2: 0f12.*
+ 6ea3: 6ff8.*
+ 6ea4: 0df6.*
+ 6ea5: 0f12.*
+ 6ea6: 6ff8.*
+ 6ea7: 0df7.*
+ 6ea8: 0c13.*
+ 6ea9: 6ff8.*
+ 6eaa: 0df8.*
+ 6eab: 0d13.*
+ 6eac: 6ff8.*
+ 6ead: 0df9.*
+ 6eae: 0c13.*
+ 6eaf: 6ff8.*
+ 6eb0: 0dfa.*
+ 6eb1: 0e13.*
+ 6eb2: 6ff8.*
+ 6eb3: 0dfb.*
+ 6eb4: 0f13.*
+ 6eb5: 6ff8.*
+ 6eb6: 0dfc.*
+ 6eb7: 0f13.*
+ 6eb8: 6ff8.*
+ 6eb9: 0dfd.*
+ 6eba: 0c14.*
+ 6ebb: 6ff8.*
+ 6ebc: 0dfe.*
+ 6ebd: 0d14.*
+ 6ebe: 6ff8.*
+ 6ebf: 0dff.*
+ 6ec0: 0c14.*
+ 6ec1: 6ff8.*
+ 6ec2: 0e00.*
+ 6ec3: 0e14.*
+ 6ec4: 6ff8.*
+ 6ec5: 0e01.*
+ 6ec6: 0f14.*
+ 6ec7: 6ff8.*
+ 6ec8: 0e02.*
+ 6ec9: 0f14.*
+ 6eca: 6ff8.*
+ 6ecb: 0e03.*
+ 6ecc: 0c15.*
+ 6ecd: 6ff8.*
+ 6ece: 0e04.*
+ 6ecf: 0d15.*
+ 6ed0: 6ff8.*
+ 6ed1: 0e05.*
+ 6ed2: 0c15.*
+ 6ed3: 6ff8.*
+ 6ed4: 0e06.*
+ 6ed5: 0e15.*
+ 6ed6: 6ff8.*
+ 6ed7: 0e07.*
+ 6ed8: 0f15.*
+ 6ed9: 6ff8.*
+ 6eda: 0e08.*
+ 6edb: 0f15.*
+ 6edc: 6ff8.*
+ 6edd: 0e09.*
+ 6ede: 0c16.*
+ 6edf: 6ff8.*
+ 6ee0: 0e0a.*
+ 6ee1: 0d16.*
+ 6ee2: 6ff8.*
+ 6ee3: 0e0b.*
+ 6ee4: 0c16.*
+ 6ee5: 6ff8.*
+ 6ee6: 0e0c.*
+ 6ee7: 0e16.*
+ 6ee8: 6ff8.*
+ 6ee9: 0e0d.*
+ 6eea: 0f16.*
+ 6eeb: 6ff8.*
+ 6eec: 0e0e.*
+ 6eed: 0f16.*
+ 6eee: 6ff8.*
+ 6eef: 0e0f.*
+ 6ef0: 0c17.*
+ 6ef1: 6ff8.*
+ 6ef2: 0e10.*
+ 6ef3: 0d17.*
+ 6ef4: 6ff8.*
+ 6ef5: 0e11.*
+ 6ef6: 0c17.*
+ 6ef7: 6ff8.*
+ 6ef8: 0e12.*
+ 6ef9: 0e17.*
+ 6efa: 6ff8.*
+ 6efb: 0e13.*
+ 6efc: 0f17.*
+ 6efd: 6ff8.*
+ 6efe: 0e14.*
+ 6eff: 0f17.*
+ 6f00: 6ff8.*
+ 6f01: 0e15.*
+ 6f02: 0c18.*
+ 6f03: 6ff8.*
+ 6f04: 0e16.*
+ 6f05: 0d18.*
+ 6f06: 6ff8.*
+ 6f07: 0e17.*
+ 6f08: 0c18.*
+ 6f09: 6ff8.*
+ 6f0a: 0e18.*
+ 6f0b: 0e18.*
+ 6f0c: 6ff8.*
+ 6f0d: 0e19.*
+ 6f0e: 0f18.*
+ 6f0f: 6ff8.*
+ 6f10: 0e1a.*
+ 6f11: 0f18.*
+ 6f12: 6ff8.*
+ 6f13: 0e1b.*
+ 6f14: 0c19.*
+ 6f15: 6ff8.*
+ 6f16: 0e1c.*
+ 6f17: 0d19.*
+ 6f18: 6ff8.*
+ 6f19: 0e1d.*
+ 6f1a: 0c19.*
+ 6f1b: 6ff8.*
+ 6f1c: 0e1e.*
+ 6f1d: 0e19.*
+ 6f1e: 6ff8.*
+ 6f1f: 0e1f.*
+ 6f20: 0f19.*
+ 6f21: 6ff8.*
+ 6f22: 0e20.*
+ 6f23: 0f19.*
+ 6f24: 6ff8.*
+ 6f25: 0e21.*
+ 6f26: 0c1a.*
+ 6f27: 6ff8.*
+ 6f28: 0e22.*
+ 6f29: 0d1a.*
+ 6f2a: 6ff8.*
+ 6f2b: 0e23.*
+ 6f2c: 0c1a.*
+ 6f2d: 6ff8.*
+ 6f2e: 0e24.*
+ 6f2f: 0e1a.*
+ 6f30: 6ff8.*
+ 6f31: 0e25.*
+ 6f32: 0f1a.*
+ 6f33: 6ff8.*
+ 6f34: 0e26.*
+ 6f35: 0f1a.*
+ 6f36: 6ff8.*
+ 6f37: 0e27.*
+ 6f38: 0c1b.*
+ 6f39: 6ff8.*
+ 6f3a: 0e28.*
+ 6f3b: 0d1b.*
+ 6f3c: 6ff8.*
+ 6f3d: 0e29.*
+ 6f3e: 0c1b.*
+ 6f3f: 6ff8.*
+ 6f40: 0e2a.*
+ 6f41: 0e1b.*
+ 6f42: 6ff8.*
+ 6f43: 0e2b.*
+ 6f44: 0f1b.*
+ 6f45: 6ff8.*
+ 6f46: 0e2c.*
+ 6f47: 0f1b.*
+ 6f48: 6ff8.*
+ 6f49: 0e2d.*
+ 6f4a: 0c1c.*
+ 6f4b: 6ff8.*
+ 6f4c: 0e2e.*
+ 6f4d: 0d1c.*
+ 6f4e: 6ff8.*
+ 6f4f: 0e2f.*
+ 6f50: 0c1c.*
+ 6f51: 6ff8.*
+ 6f52: 0e30.*
+ 6f53: 0e1c.*
+ 6f54: 6ff8.*
+ 6f55: 0e31.*
+ 6f56: 0f1c.*
+ 6f57: 6ff8.*
+ 6f58: 0e32.*
+ 6f59: 0f1c.*
+ 6f5a: 6ff8.*
+ 6f5b: 0e33.*
+ 6f5c: 0c1d.*
+ 6f5d: 6ff8.*
+ 6f5e: 0e34.*
+ 6f5f: 0d1d.*
+ 6f60: 6ff8.*
+ 6f61: 0e35.*
+ 6f62: 0c1d.*
+ 6f63: 6ff8.*
+ 6f64: 0e36.*
+ 6f65: 0e1d.*
+ 6f66: 6ff8.*
+ 6f67: 0e37.*
+ 6f68: 0f1d.*
+ 6f69: 6ff8.*
+ 6f6a: 0e38.*
+ 6f6b: 0f1d.*
+ 6f6c: 6ff8.*
+ 6f6d: 0e39.*
+ 6f6e: 0c1e.*
+ 6f6f: 6ff8.*
+ 6f70: 0e3a.*
+ 6f71: 0d1e.*
+ 6f72: 6ff8.*
+ 6f73: 0e3b.*
+ 6f74: 0c1e.*
+ 6f75: 6ff8.*
+ 6f76: 0e3c.*
+ 6f77: 0e1e.*
+ 6f78: 6ff8.*
+ 6f79: 0e3d.*
+ 6f7a: 0f1e.*
+ 6f7b: 6ff8.*
+ 6f7c: 0e3e.*
+ 6f7d: 0f1e.*
+ 6f7e: 6ff8.*
+ 6f7f: 0e3f.*
+ 6f80: 0c1f.*
+ 6f81: 6ff8.*
+ 6f82: 0e40.*
+ 6f83: 0d1f.*
+ 6f84: 6ff8.*
+ 6f85: 0e41.*
+ 6f86: 0c1f.*
+ 6f87: 6ff8.*
+ 6f88: 0e42.*
+ 6f89: 0e1f.*
+ 6f8a: 6ff8.*
+ 6f8b: 0e43.*
+ 6f8c: 0f1f.*
+ 6f8d: 6ff8.*
+ 6f8e: 0e44.*
+ 6f8f: 0f1f.*
+ 6f90: 00f8.*
+ 6f91: 0e45.*
+ 6f92: 6ff8.*
+ 6f93: 0e46.*
+ 6f94: 0d00.*
+ 6f95: 00f8.*
+ 6f96: 0e47.*
+ 6f97: 6ff8.*
+ 6f98: 0e48.*
+ 6f99: 0e00.*
+ 6f9a: 01f8.*
+ 6f9b: 0e49.*
+ 6f9c: 01f8.*
+ 6f9d: 0e4a.*
+ 6f9e: 6ff8.*
+ 6f9f: 0e4b.*
+ 6fa0: 0c01.*
+ 6fa1: 6ff8.*
+ 6fa2: 0e4c.*
+ 6fa3: 0d01.*
+ 6fa4: 6ff8.*
+ 6fa5: 0e4d.*
+ 6fa6: 0c01.*
+ 6fa7: 6ff8.*
+ 6fa8: 0e4e.*
+ 6fa9: 0e01.*
+ 6faa: 6ff8.*
+ 6fab: 0e4f.*
+ 6fac: 0f01.*
+ 6fad: 6ff8.*
+ 6fae: 0e50.*
+ 6faf: 0f01.*
+ 6fb0: 6ff8.*
+ 6fb1: 0e51.*
+ 6fb2: 0c02.*
+ 6fb3: 6ff8.*
+ 6fb4: 0e52.*
+ 6fb5: 0d02.*
+ 6fb6: 6ff8.*
+ 6fb7: 0e53.*
+ 6fb8: 0c02.*
+ 6fb9: 6ff8.*
+ 6fba: 0e54.*
+ 6fbb: 0e02.*
+ 6fbc: 6ff8.*
+ 6fbd: 0e55.*
+ 6fbe: 0f02.*
+ 6fbf: 6ff8.*
+ 6fc0: 0e56.*
+ 6fc1: 0f02.*
+ 6fc2: 6ff8.*
+ 6fc3: 0e57.*
+ 6fc4: 0c03.*
+ 6fc5: 6ff8.*
+ 6fc6: 0e58.*
+ 6fc7: 0d03.*
+ 6fc8: 6ff8.*
+ 6fc9: 0e59.*
+ 6fca: 0c03.*
+ 6fcb: 6ff8.*
+ 6fcc: 0e5a.*
+ 6fcd: 0e03.*
+ 6fce: 6ff8.*
+ 6fcf: 0e5b.*
+ 6fd0: 0f03.*
+ 6fd1: 6ff8.*
+ 6fd2: 0e5c.*
+ 6fd3: 0f03.*
+ 6fd4: 6ff8.*
+ 6fd5: 0e5d.*
+ 6fd6: 0c04.*
+ 6fd7: 6ff8.*
+ 6fd8: 0e5e.*
+ 6fd9: 0d04.*
+ 6fda: 6ff8.*
+ 6fdb: 0e5f.*
+ 6fdc: 0c04.*
+ 6fdd: 6ff8.*
+ 6fde: 0e60.*
+ 6fdf: 0e04.*
+ 6fe0: 6ff8.*
+ 6fe1: 0e61.*
+ 6fe2: 0f04.*
+ 6fe3: 6ff8.*
+ 6fe4: 0e62.*
+ 6fe5: 0f04.*
+ 6fe6: 6ff8.*
+ 6fe7: 0e63.*
+ 6fe8: 0c05.*
+ 6fe9: 6ff8.*
+ 6fea: 0e64.*
+ 6feb: 0d05.*
+ 6fec: 6ff8.*
+ 6fed: 0e65.*
+ 6fee: 0c05.*
+ 6fef: 6ff8.*
+ 6ff0: 0e66.*
+ 6ff1: 0e05.*
+ 6ff2: 6ff8.*
+ 6ff3: 0e67.*
+ 6ff4: 0f05.*
+ 6ff5: 6ff8.*
+ 6ff6: 0e68.*
+ 6ff7: 0f05.*
+ 6ff8: 6ff8.*
+ 6ff9: 0e69.*
+ 6ffa: 0c06.*
+ 6ffb: 6ff8.*
+ 6ffc: 0e6a.*
+ 6ffd: 0d06.*
+ 6ffe: 6ff8.*
+ 6fff: 0e6b.*
+ 7000: 0c06.*
+ 7001: 6ff8.*
+ 7002: 0e6c.*
+ 7003: 0e06.*
+ 7004: 6ff8.*
+ 7005: 0e6d.*
+ 7006: 0f06.*
+ 7007: 6ff8.*
+ 7008: 0e6e.*
+ 7009: 0f06.*
+ 700a: 6ff8.*
+ 700b: 0e6f.*
+ 700c: 0c07.*
+ 700d: 6ff8.*
+ 700e: 0e70.*
+ 700f: 0d07.*
+ 7010: 6ff8.*
+ 7011: 0e71.*
+ 7012: 0c07.*
+ 7013: 6ff8.*
+ 7014: 0e72.*
+ 7015: 0e07.*
+ 7016: 6ff8.*
+ 7017: 0e73.*
+ 7018: 0f07.*
+ 7019: 6ff8.*
+ 701a: 0e74.*
+ 701b: 0f07.*
+ 701c: 6ff8.*
+ 701d: 0e75.*
+ 701e: 0c08.*
+ 701f: 6ff8.*
+ 7020: 0e76.*
+ 7021: 0d08.*
+ 7022: 6ff8.*
+ 7023: 0e77.*
+ 7024: 0c08.*
+ 7025: 6ff8.*
+ 7026: 0e78.*
+ 7027: 0e08.*
+ 7028: 6ff8.*
+ 7029: 0e79.*
+ 702a: 0f08.*
+ 702b: 6ff8.*
+ 702c: 0e7a.*
+ 702d: 0f08.*
+ 702e: 6ff8.*
+ 702f: 0e7b.*
+ 7030: 0c09.*
+ 7031: 6ff8.*
+ 7032: 0e7c.*
+ 7033: 0d09.*
+ 7034: 6ff8.*
+ 7035: 0e7d.*
+ 7036: 0c09.*
+ 7037: 6ff8.*
+ 7038: 0e7e.*
+ 7039: 0e09.*
+ 703a: 6ff8.*
+ 703b: 0e7f.*
+ 703c: 0f09.*
+ 703d: 6ff8.*
+ 703e: 0e80.*
+ 703f: 0f09.*
+ 7040: 6ff8.*
+ 7041: 0e81.*
+ 7042: 0c0a.*
+ 7043: 6ff8.*
+ 7044: 0e82.*
+ 7045: 0d0a.*
+ 7046: 6ff8.*
+ 7047: 0e83.*
+ 7048: 0c0a.*
+ 7049: 6ff8.*
+ 704a: 0e84.*
+ 704b: 0e0a.*
+ 704c: 6ff8.*
+ 704d: 0e85.*
+ 704e: 0f0a.*
+ 704f: 6ff8.*
+ 7050: 0e86.*
+ 7051: 0f0a.*
+ 7052: 6ff8.*
+ 7053: 0e87.*
+ 7054: 0c0b.*
+ 7055: 6ff8.*
+ 7056: 0e88.*
+ 7057: 0d0b.*
+ 7058: 6ff8.*
+ 7059: 0e89.*
+ 705a: 0c0b.*
+ 705b: 6ff8.*
+ 705c: 0e8a.*
+ 705d: 0e0b.*
+ 705e: 6ff8.*
+ 705f: 0e8b.*
+ 7060: 0f0b.*
+ 7061: 6ff8.*
+ 7062: 0e8c.*
+ 7063: 0f0b.*
+ 7064: 6ff8.*
+ 7065: 0e8d.*
+ 7066: 0c0c.*
+ 7067: 6ff8.*
+ 7068: 0e8e.*
+ 7069: 0d0c.*
+ 706a: 6ff8.*
+ 706b: 0e8f.*
+ 706c: 0c0c.*
+ 706d: 6ff8.*
+ 706e: 0e90.*
+ 706f: 0e0c.*
+ 7070: 6ff8.*
+ 7071: 0e91.*
+ 7072: 0f0c.*
+ 7073: 6ff8.*
+ 7074: 0e92.*
+ 7075: 0f0c.*
+ 7076: 6ff8.*
+ 7077: 0e93.*
+ 7078: 0c0d.*
+ 7079: 6ff8.*
+ 707a: 0e94.*
+ 707b: 0d0d.*
+ 707c: 6ff8.*
+ 707d: 0e95.*
+ 707e: 0c0d.*
+ 707f: 6ff8.*
+ 7080: 0e96.*
+ 7081: 0e0d.*
+ 7082: 6ff8.*
+ 7083: 0e97.*
+ 7084: 0f0d.*
+ 7085: 6ff8.*
+ 7086: 0e98.*
+ 7087: 0f0d.*
+ 7088: 6ff8.*
+ 7089: 0e99.*
+ 708a: 0c0e.*
+ 708b: 6ff8.*
+ 708c: 0e9a.*
+ 708d: 0d0e.*
+ 708e: 6ff8.*
+ 708f: 0e9b.*
+ 7090: 0c0e.*
+ 7091: 6ff8.*
+ 7092: 0e9c.*
+ 7093: 0e0e.*
+ 7094: 6ff8.*
+ 7095: 0e9d.*
+ 7096: 0f0e.*
+ 7097: 6ff8.*
+ 7098: 0e9e.*
+ 7099: 0f0e.*
+ 709a: 6ff8.*
+ 709b: 0e9f.*
+ 709c: 0c0f.*
+ 709d: 6ff8.*
+ 709e: 0ea0.*
+ 709f: 0d0f.*
+ 70a0: 6ff8.*
+ 70a1: 0ea1.*
+ 70a2: 0c0f.*
+ 70a3: 6ff8.*
+ 70a4: 0ea2.*
+ 70a5: 0e0f.*
+ 70a6: 6ff8.*
+ 70a7: 0ea3.*
+ 70a8: 0f0f.*
+ 70a9: 6ff8.*
+ 70aa: 0ea4.*
+ 70ab: 0f0f.*
+ 70ac: 0084.*
+ 70ad: 6f84.*
+ 70ae: 0d00.*
+ 70af: 0084.*
+ 70b0: 6f84.*
+ 70b1: 0e00.*
+ 70b2: 0184.*
+ 70b3: 0184.*
+ 70b4: 6f84.*
+ 70b5: 0c10.*
+ 70b6: 6f84.*
+ 70b7: 0d10.*
+ 70b8: 6f84.*
+ 70b9: 0c10.*
+ 70ba: 6f84.*
+ 70bb: 0e10.*
+ 70bc: 6f84.*
+ 70bd: 0f10.*
+ 70be: 6f84.*
+ 70bf: 0f10.*
+ 70c0: 6f84.*
+ 70c1: 0c11.*
+ 70c2: 6f84.*
+ 70c3: 0d11.*
+ 70c4: 6f84.*
+ 70c5: 0c11.*
+ 70c6: 6f84.*
+ 70c7: 0e11.*
+ 70c8: 6f84.*
+ 70c9: 0f11.*
+ 70ca: 6f84.*
+ 70cb: 0f11.*
+ 70cc: 6f84.*
+ 70cd: 0c12.*
+ 70ce: 6f84.*
+ 70cf: 0d12.*
+ 70d0: 6f84.*
+ 70d1: 0c12.*
+ 70d2: 6f84.*
+ 70d3: 0e12.*
+ 70d4: 6f84.*
+ 70d5: 0f12.*
+ 70d6: 6f84.*
+ 70d7: 0f12.*
+ 70d8: 6f84.*
+ 70d9: 0c13.*
+ 70da: 6f84.*
+ 70db: 0d13.*
+ 70dc: 6f84.*
+ 70dd: 0c13.*
+ 70de: 6f84.*
+ 70df: 0e13.*
+ 70e0: 6f84.*
+ 70e1: 0f13.*
+ 70e2: 6f84.*
+ 70e3: 0f13.*
+ 70e4: 6f84.*
+ 70e5: 0c14.*
+ 70e6: 6f84.*
+ 70e7: 0d14.*
+ 70e8: 6f84.*
+ 70e9: 0c14.*
+ 70ea: 6f84.*
+ 70eb: 0e14.*
+ 70ec: 6f84.*
+ 70ed: 0f14.*
+ 70ee: 6f84.*
+ 70ef: 0f14.*
+ 70f0: 6f84.*
+ 70f1: 0c15.*
+ 70f2: 6f84.*
+ 70f3: 0d15.*
+ 70f4: 6f84.*
+ 70f5: 0c15.*
+ 70f6: 6f84.*
+ 70f7: 0e15.*
+ 70f8: 6f84.*
+ 70f9: 0f15.*
+ 70fa: 6f84.*
+ 70fb: 0f15.*
+ 70fc: 6f84.*
+ 70fd: 0c16.*
+ 70fe: 6f84.*
+ 70ff: 0d16.*
+ 7100: 6f84.*
+ 7101: 0c16.*
+ 7102: 6f84.*
+ 7103: 0e16.*
+ 7104: 6f84.*
+ 7105: 0f16.*
+ 7106: 6f84.*
+ 7107: 0f16.*
+ 7108: 6f84.*
+ 7109: 0c17.*
+ 710a: 6f84.*
+ 710b: 0d17.*
+ 710c: 6f84.*
+ 710d: 0c17.*
+ 710e: 6f84.*
+ 710f: 0e17.*
+ 7110: 6f84.*
+ 7111: 0f17.*
+ 7112: 6f84.*
+ 7113: 0f17.*
+ 7114: 6f84.*
+ 7115: 0c18.*
+ 7116: 6f84.*
+ 7117: 0d18.*
+ 7118: 6f84.*
+ 7119: 0c18.*
+ 711a: 6f84.*
+ 711b: 0e18.*
+ 711c: 6f84.*
+ 711d: 0f18.*
+ 711e: 6f84.*
+ 711f: 0f18.*
+ 7120: 6f84.*
+ 7121: 0c19.*
+ 7122: 6f84.*
+ 7123: 0d19.*
+ 7124: 6f84.*
+ 7125: 0c19.*
+ 7126: 6f84.*
+ 7127: 0e19.*
+ 7128: 6f84.*
+ 7129: 0f19.*
+ 712a: 6f84.*
+ 712b: 0f19.*
+ 712c: 6f84.*
+ 712d: 0c1a.*
+ 712e: 6f84.*
+ 712f: 0d1a.*
+ 7130: 6f84.*
+ 7131: 0c1a.*
+ 7132: 6f84.*
+ 7133: 0e1a.*
+ 7134: 6f84.*
+ 7135: 0f1a.*
+ 7136: 6f84.*
+ 7137: 0f1a.*
+ 7138: 6f84.*
+ 7139: 0c1b.*
+ 713a: 6f84.*
+ 713b: 0d1b.*
+ 713c: 6f84.*
+ 713d: 0c1b.*
+ 713e: 6f84.*
+ 713f: 0e1b.*
+ 7140: 6f84.*
+ 7141: 0f1b.*
+ 7142: 6f84.*
+ 7143: 0f1b.*
+ 7144: 6f84.*
+ 7145: 0c1c.*
+ 7146: 6f84.*
+ 7147: 0d1c.*
+ 7148: 6f84.*
+ 7149: 0c1c.*
+ 714a: 6f84.*
+ 714b: 0e1c.*
+ 714c: 6f84.*
+ 714d: 0f1c.*
+ 714e: 6f84.*
+ 714f: 0f1c.*
+ 7150: 6f84.*
+ 7151: 0c1d.*
+ 7152: 6f84.*
+ 7153: 0d1d.*
+ 7154: 6f84.*
+ 7155: 0c1d.*
+ 7156: 6f84.*
+ 7157: 0e1d.*
+ 7158: 6f84.*
+ 7159: 0f1d.*
+ 715a: 6f84.*
+ 715b: 0f1d.*
+ 715c: 6f84.*
+ 715d: 0c1e.*
+ 715e: 6f84.*
+ 715f: 0d1e.*
+ 7160: 6f84.*
+ 7161: 0c1e.*
+ 7162: 6f84.*
+ 7163: 0e1e.*
+ 7164: 6f84.*
+ 7165: 0f1e.*
+ 7166: 6f84.*
+ 7167: 0f1e.*
+ 7168: 6f84.*
+ 7169: 0c1f.*
+ 716a: 6f84.*
+ 716b: 0d1f.*
+ 716c: 6f84.*
+ 716d: 0c1f.*
+ 716e: 6f84.*
+ 716f: 0e1f.*
+ 7170: 6f84.*
+ 7171: 0f1f.*
+ 7172: 6f84.*
+ 7173: 0f1f.*
+ 7174: 0084.*
+ 7175: 6f84.*
+ 7176: 0d00.*
+ 7177: 0084.*
+ 7178: 6f84.*
+ 7179: 0e00.*
+ 717a: 0184.*
+ 717b: 0184.*
+ 717c: 9021.*
+ 717d: 6f84.*
+ 717e: 0d01.*
+ 717f: 9021.*
+ 7180: 6f84.*
+ 7181: 0e01.*
+ 7182: 9121.*
+ 7183: 9121.*
+ 7184: 9022.*
+ 7185: 6f84.*
+ 7186: 0d02.*
+ 7187: 9022.*
+ 7188: 6f84.*
+ 7189: 0e02.*
+ 718a: 9122.*
+ 718b: 9122.*
+ 718c: 9023.*
+ 718d: 6f84.*
+ 718e: 0d03.*
+ 718f: 9023.*
+ 7190: 6f84.*
+ 7191: 0e03.*
+ 7192: 9123.*
+ 7193: 9123.*
+ 7194: 9024.*
+ 7195: 6f84.*
+ 7196: 0d04.*
+ 7197: 9024.*
+ 7198: 6f84.*
+ 7199: 0e04.*
+ 719a: 9124.*
+ 719b: 9124.*
+ 719c: 9025.*
+ 719d: 6f84.*
+ 719e: 0d05.*
+ 719f: 9025.*
+ 71a0: 6f84.*
+ 71a1: 0e05.*
+ 71a2: 9125.*
+ 71a3: 9125.*
+ 71a4: 9026.*
+ 71a5: 6f84.*
+ 71a6: 0d06.*
+ 71a7: 9026.*
+ 71a8: 6f84.*
+ 71a9: 0e06.*
+ 71aa: 9126.*
+ 71ab: 9126.*
+ 71ac: 9027.*
+ 71ad: 6f84.*
+ 71ae: 0d07.*
+ 71af: 9027.*
+ 71b0: 6f84.*
+ 71b1: 0e07.*
+ 71b2: 9127.*
+ 71b3: 9127.*
+ 71b4: 9028.*
+ 71b5: 6f84.*
+ 71b6: 0d08.*
+ 71b7: 9028.*
+ 71b8: 6f84.*
+ 71b9: 0e08.*
+ 71ba: 9128.*
+ 71bb: 9128.*
+ 71bc: 9029.*
+ 71bd: 6f84.*
+ 71be: 0d09.*
+ 71bf: 9029.*
+ 71c0: 6f84.*
+ 71c1: 0e09.*
+ 71c2: 9129.*
+ 71c3: 9129.*
+ 71c4: 902a.*
+ 71c5: 6f84.*
+ 71c6: 0d0a.*
+ 71c7: 902a.*
+ 71c8: 6f84.*
+ 71c9: 0e0a.*
+ 71ca: 912a.*
+ 71cb: 912a.*
+ 71cc: 902b.*
+ 71cd: 6f84.*
+ 71ce: 0d0b.*
+ 71cf: 902b.*
+ 71d0: 6f84.*
+ 71d1: 0e0b.*
+ 71d2: 912b.*
+ 71d3: 912b.*
+ 71d4: 902c.*
+ 71d5: 6f84.*
+ 71d6: 0d0c.*
+ 71d7: 902c.*
+ 71d8: 6f84.*
+ 71d9: 0e0c.*
+ 71da: 912c.*
+ 71db: 912c.*
+ 71dc: 902d.*
+ 71dd: 6f84.*
+ 71de: 0d0d.*
+ 71df: 902d.*
+ 71e0: 6f84.*
+ 71e1: 0e0d.*
+ 71e2: 912d.*
+ 71e3: 912d.*
+ 71e4: 902e.*
+ 71e5: 6f84.*
+ 71e6: 0d0e.*
+ 71e7: 902e.*
+ 71e8: 6f84.*
+ 71e9: 0e0e.*
+ 71ea: 912e.*
+ 71eb: 912e.*
+ 71ec: 902f.*
+ 71ed: 6f84.*
+ 71ee: 0d0f.*
+ 71ef: 902f.*
+ 71f0: 6f84.*
+ 71f1: 0e0f.*
+ 71f2: 912f.*
+ 71f3: 912f.*
+ 71f4: 008c.*
+ 71f5: 6f8c.*
+ 71f6: 0d00.*
+ 71f7: 008c.*
+ 71f8: 6f8c.*
+ 71f9: 0e00.*
+ 71fa: 018c.*
+ 71fb: 018c.*
+ 71fc: 6f8c.*
+ 71fd: 0c10.*
+ 71fe: 6f8c.*
+ 71ff: 0d10.*
+ 7200: 6f8c.*
+ 7201: 0c10.*
+ 7202: 6f8c.*
+ 7203: 0e10.*
+ 7204: 6f8c.*
+ 7205: 0f10.*
+ 7206: 6f8c.*
+ 7207: 0f10.*
+ 7208: 6f8c.*
+ 7209: 0c11.*
+ 720a: 6f8c.*
+ 720b: 0d11.*
+ 720c: 6f8c.*
+ 720d: 0c11.*
+ 720e: 6f8c.*
+ 720f: 0e11.*
+ 7210: 6f8c.*
+ 7211: 0f11.*
+ 7212: 6f8c.*
+ 7213: 0f11.*
+ 7214: 6f8c.*
+ 7215: 0c12.*
+ 7216: 6f8c.*
+ 7217: 0d12.*
+ 7218: 6f8c.*
+ 7219: 0c12.*
+ 721a: 6f8c.*
+ 721b: 0e12.*
+ 721c: 6f8c.*
+ 721d: 0f12.*
+ 721e: 6f8c.*
+ 721f: 0f12.*
+ 7220: 6f8c.*
+ 7221: 0c13.*
+ 7222: 6f8c.*
+ 7223: 0d13.*
+ 7224: 6f8c.*
+ 7225: 0c13.*
+ 7226: 6f8c.*
+ 7227: 0e13.*
+ 7228: 6f8c.*
+ 7229: 0f13.*
+ 722a: 6f8c.*
+ 722b: 0f13.*
+ 722c: 6f8c.*
+ 722d: 0c14.*
+ 722e: 6f8c.*
+ 722f: 0d14.*
+ 7230: 6f8c.*
+ 7231: 0c14.*
+ 7232: 6f8c.*
+ 7233: 0e14.*
+ 7234: 6f8c.*
+ 7235: 0f14.*
+ 7236: 6f8c.*
+ 7237: 0f14.*
+ 7238: 6f8c.*
+ 7239: 0c15.*
+ 723a: 6f8c.*
+ 723b: 0d15.*
+ 723c: 6f8c.*
+ 723d: 0c15.*
+ 723e: 6f8c.*
+ 723f: 0e15.*
+ 7240: 6f8c.*
+ 7241: 0f15.*
+ 7242: 6f8c.*
+ 7243: 0f15.*
+ 7244: 6f8c.*
+ 7245: 0c16.*
+ 7246: 6f8c.*
+ 7247: 0d16.*
+ 7248: 6f8c.*
+ 7249: 0c16.*
+ 724a: 6f8c.*
+ 724b: 0e16.*
+ 724c: 6f8c.*
+ 724d: 0f16.*
+ 724e: 6f8c.*
+ 724f: 0f16.*
+ 7250: 6f8c.*
+ 7251: 0c17.*
+ 7252: 6f8c.*
+ 7253: 0d17.*
+ 7254: 6f8c.*
+ 7255: 0c17.*
+ 7256: 6f8c.*
+ 7257: 0e17.*
+ 7258: 6f8c.*
+ 7259: 0f17.*
+ 725a: 6f8c.*
+ 725b: 0f17.*
+ 725c: 6f8c.*
+ 725d: 0c18.*
+ 725e: 6f8c.*
+ 725f: 0d18.*
+ 7260: 6f8c.*
+ 7261: 0c18.*
+ 7262: 6f8c.*
+ 7263: 0e18.*
+ 7264: 6f8c.*
+ 7265: 0f18.*
+ 7266: 6f8c.*
+ 7267: 0f18.*
+ 7268: 6f8c.*
+ 7269: 0c19.*
+ 726a: 6f8c.*
+ 726b: 0d19.*
+ 726c: 6f8c.*
+ 726d: 0c19.*
+ 726e: 6f8c.*
+ 726f: 0e19.*
+ 7270: 6f8c.*
+ 7271: 0f19.*
+ 7272: 6f8c.*
+ 7273: 0f19.*
+ 7274: 6f8c.*
+ 7275: 0c1a.*
+ 7276: 6f8c.*
+ 7277: 0d1a.*
+ 7278: 6f8c.*
+ 7279: 0c1a.*
+ 727a: 6f8c.*
+ 727b: 0e1a.*
+ 727c: 6f8c.*
+ 727d: 0f1a.*
+ 727e: 6f8c.*
+ 727f: 0f1a.*
+ 7280: 6f8c.*
+ 7281: 0c1b.*
+ 7282: 6f8c.*
+ 7283: 0d1b.*
+ 7284: 6f8c.*
+ 7285: 0c1b.*
+ 7286: 6f8c.*
+ 7287: 0e1b.*
+ 7288: 6f8c.*
+ 7289: 0f1b.*
+ 728a: 6f8c.*
+ 728b: 0f1b.*
+ 728c: 6f8c.*
+ 728d: 0c1c.*
+ 728e: 6f8c.*
+ 728f: 0d1c.*
+ 7290: 6f8c.*
+ 7291: 0c1c.*
+ 7292: 6f8c.*
+ 7293: 0e1c.*
+ 7294: 6f8c.*
+ 7295: 0f1c.*
+ 7296: 6f8c.*
+ 7297: 0f1c.*
+ 7298: 6f8c.*
+ 7299: 0c1d.*
+ 729a: 6f8c.*
+ 729b: 0d1d.*
+ 729c: 6f8c.*
+ 729d: 0c1d.*
+ 729e: 6f8c.*
+ 729f: 0e1d.*
+ 72a0: 6f8c.*
+ 72a1: 0f1d.*
+ 72a2: 6f8c.*
+ 72a3: 0f1d.*
+ 72a4: 6f8c.*
+ 72a5: 0c1e.*
+ 72a6: 6f8c.*
+ 72a7: 0d1e.*
+ 72a8: 6f8c.*
+ 72a9: 0c1e.*
+ 72aa: 6f8c.*
+ 72ab: 0e1e.*
+ 72ac: 6f8c.*
+ 72ad: 0f1e.*
+ 72ae: 6f8c.*
+ 72af: 0f1e.*
+ 72b0: 6f8c.*
+ 72b1: 0c1f.*
+ 72b2: 6f8c.*
+ 72b3: 0d1f.*
+ 72b4: 6f8c.*
+ 72b5: 0c1f.*
+ 72b6: 6f8c.*
+ 72b7: 0e1f.*
+ 72b8: 6f8c.*
+ 72b9: 0f1f.*
+ 72ba: 6f8c.*
+ 72bb: 0f1f.*
+ 72bc: 008c.*
+ 72bd: 6f8c.*
+ 72be: 0d00.*
+ 72bf: 008c.*
+ 72c0: 6f8c.*
+ 72c1: 0e00.*
+ 72c2: 018c.*
+ 72c3: 018c.*
+ 72c4: 9061.*
+ 72c5: 6f8c.*
+ 72c6: 0d01.*
+ 72c7: 9061.*
+ 72c8: 6f8c.*
+ 72c9: 0e01.*
+ 72ca: 9161.*
+ 72cb: 9161.*
+ 72cc: 9062.*
+ 72cd: 6f8c.*
+ 72ce: 0d02.*
+ 72cf: 9062.*
+ 72d0: 6f8c.*
+ 72d1: 0e02.*
+ 72d2: 9162.*
+ 72d3: 9162.*
+ 72d4: 9063.*
+ 72d5: 6f8c.*
+ 72d6: 0d03.*
+ 72d7: 9063.*
+ 72d8: 6f8c.*
+ 72d9: 0e03.*
+ 72da: 9163.*
+ 72db: 9163.*
+ 72dc: 9064.*
+ 72dd: 6f8c.*
+ 72de: 0d04.*
+ 72df: 9064.*
+ 72e0: 6f8c.*
+ 72e1: 0e04.*
+ 72e2: 9164.*
+ 72e3: 9164.*
+ 72e4: 9065.*
+ 72e5: 6f8c.*
+ 72e6: 0d05.*
+ 72e7: 9065.*
+ 72e8: 6f8c.*
+ 72e9: 0e05.*
+ 72ea: 9165.*
+ 72eb: 9165.*
+ 72ec: 9066.*
+ 72ed: 6f8c.*
+ 72ee: 0d06.*
+ 72ef: 9066.*
+ 72f0: 6f8c.*
+ 72f1: 0e06.*
+ 72f2: 9166.*
+ 72f3: 9166.*
+ 72f4: 9067.*
+ 72f5: 6f8c.*
+ 72f6: 0d07.*
+ 72f7: 9067.*
+ 72f8: 6f8c.*
+ 72f9: 0e07.*
+ 72fa: 9167.*
+ 72fb: 9167.*
+ 72fc: 9068.*
+ 72fd: 6f8c.*
+ 72fe: 0d08.*
+ 72ff: 9068.*
+ 7300: 6f8c.*
+ 7301: 0e08.*
+ 7302: 9168.*
+ 7303: 9168.*
+ 7304: 9069.*
+ 7305: 6f8c.*
+ 7306: 0d09.*
+ 7307: 9069.*
+ 7308: 6f8c.*
+ 7309: 0e09.*
+ 730a: 9169.*
+ 730b: 9169.*
+ 730c: 906a.*
+ 730d: 6f8c.*
+ 730e: 0d0a.*
+ 730f: 906a.*
+ 7310: 6f8c.*
+ 7311: 0e0a.*
+ 7312: 916a.*
+ 7313: 916a.*
+ 7314: 906b.*
+ 7315: 6f8c.*
+ 7316: 0d0b.*
+ 7317: 906b.*
+ 7318: 6f8c.*
+ 7319: 0e0b.*
+ 731a: 916b.*
+ 731b: 916b.*
+ 731c: 906c.*
+ 731d: 6f8c.*
+ 731e: 0d0c.*
+ 731f: 906c.*
+ 7320: 6f8c.*
+ 7321: 0e0c.*
+ 7322: 916c.*
+ 7323: 916c.*
+ 7324: 906d.*
+ 7325: 6f8c.*
+ 7326: 0d0d.*
+ 7327: 906d.*
+ 7328: 6f8c.*
+ 7329: 0e0d.*
+ 732a: 916d.*
+ 732b: 916d.*
+ 732c: 906e.*
+ 732d: 6f8c.*
+ 732e: 0d0e.*
+ 732f: 906e.*
+ 7330: 6f8c.*
+ 7331: 0e0e.*
+ 7332: 916e.*
+ 7333: 916e.*
+ 7334: 906f.*
+ 7335: 6f8c.*
+ 7336: 0d0f.*
+ 7337: 906f.*
+ 7338: 6f8c.*
+ 7339: 0e0f.*
+ 733a: 916f.*
+ 733b: 916f.*
+ 733c: 0094.*
+ 733d: 6f94.*
+ 733e: 0d00.*
+ 733f: 0094.*
+ 7340: 6f94.*
+ 7341: 0e00.*
+ 7342: 0194.*
+ 7343: 0194.*
+ 7344: 6f94.*
+ 7345: 0c10.*
+ 7346: 6f94.*
+ 7347: 0d10.*
+ 7348: 6f94.*
+ 7349: 0c10.*
+ 734a: 6f94.*
+ 734b: 0e10.*
+ 734c: 6f94.*
+ 734d: 0f10.*
+ 734e: 6f94.*
+ 734f: 0f10.*
+ 7350: 6f94.*
+ 7351: 0c11.*
+ 7352: 6f94.*
+ 7353: 0d11.*
+ 7354: 6f94.*
+ 7355: 0c11.*
+ 7356: 6f94.*
+ 7357: 0e11.*
+ 7358: 6f94.*
+ 7359: 0f11.*
+ 735a: 6f94.*
+ 735b: 0f11.*
+ 735c: 6f94.*
+ 735d: 0c12.*
+ 735e: 6f94.*
+ 735f: 0d12.*
+ 7360: 6f94.*
+ 7361: 0c12.*
+ 7362: 6f94.*
+ 7363: 0e12.*
+ 7364: 6f94.*
+ 7365: 0f12.*
+ 7366: 6f94.*
+ 7367: 0f12.*
+ 7368: 6f94.*
+ 7369: 0c13.*
+ 736a: 6f94.*
+ 736b: 0d13.*
+ 736c: 6f94.*
+ 736d: 0c13.*
+ 736e: 6f94.*
+ 736f: 0e13.*
+ 7370: 6f94.*
+ 7371: 0f13.*
+ 7372: 6f94.*
+ 7373: 0f13.*
+ 7374: 6f94.*
+ 7375: 0c14.*
+ 7376: 6f94.*
+ 7377: 0d14.*
+ 7378: 6f94.*
+ 7379: 0c14.*
+ 737a: 6f94.*
+ 737b: 0e14.*
+ 737c: 6f94.*
+ 737d: 0f14.*
+ 737e: 6f94.*
+ 737f: 0f14.*
+ 7380: 6f94.*
+ 7381: 0c15.*
+ 7382: 6f94.*
+ 7383: 0d15.*
+ 7384: 6f94.*
+ 7385: 0c15.*
+ 7386: 6f94.*
+ 7387: 0e15.*
+ 7388: 6f94.*
+ 7389: 0f15.*
+ 738a: 6f94.*
+ 738b: 0f15.*
+ 738c: 6f94.*
+ 738d: 0c16.*
+ 738e: 6f94.*
+ 738f: 0d16.*
+ 7390: 6f94.*
+ 7391: 0c16.*
+ 7392: 6f94.*
+ 7393: 0e16.*
+ 7394: 6f94.*
+ 7395: 0f16.*
+ 7396: 6f94.*
+ 7397: 0f16.*
+ 7398: 6f94.*
+ 7399: 0c17.*
+ 739a: 6f94.*
+ 739b: 0d17.*
+ 739c: 6f94.*
+ 739d: 0c17.*
+ 739e: 6f94.*
+ 739f: 0e17.*
+ 73a0: 6f94.*
+ 73a1: 0f17.*
+ 73a2: 6f94.*
+ 73a3: 0f17.*
+ 73a4: 6f94.*
+ 73a5: 0c18.*
+ 73a6: 6f94.*
+ 73a7: 0d18.*
+ 73a8: 6f94.*
+ 73a9: 0c18.*
+ 73aa: 6f94.*
+ 73ab: 0e18.*
+ 73ac: 6f94.*
+ 73ad: 0f18.*
+ 73ae: 6f94.*
+ 73af: 0f18.*
+ 73b0: 6f94.*
+ 73b1: 0c19.*
+ 73b2: 6f94.*
+ 73b3: 0d19.*
+ 73b4: 6f94.*
+ 73b5: 0c19.*
+ 73b6: 6f94.*
+ 73b7: 0e19.*
+ 73b8: 6f94.*
+ 73b9: 0f19.*
+ 73ba: 6f94.*
+ 73bb: 0f19.*
+ 73bc: 6f94.*
+ 73bd: 0c1a.*
+ 73be: 6f94.*
+ 73bf: 0d1a.*
+ 73c0: 6f94.*
+ 73c1: 0c1a.*
+ 73c2: 6f94.*
+ 73c3: 0e1a.*
+ 73c4: 6f94.*
+ 73c5: 0f1a.*
+ 73c6: 6f94.*
+ 73c7: 0f1a.*
+ 73c8: 6f94.*
+ 73c9: 0c1b.*
+ 73ca: 6f94.*
+ 73cb: 0d1b.*
+ 73cc: 6f94.*
+ 73cd: 0c1b.*
+ 73ce: 6f94.*
+ 73cf: 0e1b.*
+ 73d0: 6f94.*
+ 73d1: 0f1b.*
+ 73d2: 6f94.*
+ 73d3: 0f1b.*
+ 73d4: 6f94.*
+ 73d5: 0c1c.*
+ 73d6: 6f94.*
+ 73d7: 0d1c.*
+ 73d8: 6f94.*
+ 73d9: 0c1c.*
+ 73da: 6f94.*
+ 73db: 0e1c.*
+ 73dc: 6f94.*
+ 73dd: 0f1c.*
+ 73de: 6f94.*
+ 73df: 0f1c.*
+ 73e0: 6f94.*
+ 73e1: 0c1d.*
+ 73e2: 6f94.*
+ 73e3: 0d1d.*
+ 73e4: 6f94.*
+ 73e5: 0c1d.*
+ 73e6: 6f94.*
+ 73e7: 0e1d.*
+ 73e8: 6f94.*
+ 73e9: 0f1d.*
+ 73ea: 6f94.*
+ 73eb: 0f1d.*
+ 73ec: 6f94.*
+ 73ed: 0c1e.*
+ 73ee: 6f94.*
+ 73ef: 0d1e.*
+ 73f0: 6f94.*
+ 73f1: 0c1e.*
+ 73f2: 6f94.*
+ 73f3: 0e1e.*
+ 73f4: 6f94.*
+ 73f5: 0f1e.*
+ 73f6: 6f94.*
+ 73f7: 0f1e.*
+ 73f8: 6f94.*
+ 73f9: 0c1f.*
+ 73fa: 6f94.*
+ 73fb: 0d1f.*
+ 73fc: 6f94.*
+ 73fd: 0c1f.*
+ 73fe: 6f94.*
+ 73ff: 0e1f.*
+ 7400: 6f94.*
+ 7401: 0f1f.*
+ 7402: 6f94.*
+ 7403: 0f1f.*
+ 7404: 0094.*
+ 7405: 6f94.*
+ 7406: 0d00.*
+ 7407: 0094.*
+ 7408: 6f94.*
+ 7409: 0e00.*
+ 740a: 0194.*
+ 740b: 0194.*
+ 740c: 90a1.*
+ 740d: 6f94.*
+ 740e: 0d01.*
+ 740f: 90a1.*
+ 7410: 6f94.*
+ 7411: 0e01.*
+ 7412: 91a1.*
+ 7413: 91a1.*
+ 7414: 90a2.*
+ 7415: 6f94.*
+ 7416: 0d02.*
+ 7417: 90a2.*
+ 7418: 6f94.*
+ 7419: 0e02.*
+ 741a: 91a2.*
+ 741b: 91a2.*
+ 741c: 90a3.*
+ 741d: 6f94.*
+ 741e: 0d03.*
+ 741f: 90a3.*
+ 7420: 6f94.*
+ 7421: 0e03.*
+ 7422: 91a3.*
+ 7423: 91a3.*
+ 7424: 90a4.*
+ 7425: 6f94.*
+ 7426: 0d04.*
+ 7427: 90a4.*
+ 7428: 6f94.*
+ 7429: 0e04.*
+ 742a: 91a4.*
+ 742b: 91a4.*
+ 742c: 90a5.*
+ 742d: 6f94.*
+ 742e: 0d05.*
+ 742f: 90a5.*
+ 7430: 6f94.*
+ 7431: 0e05.*
+ 7432: 91a5.*
+ 7433: 91a5.*
+ 7434: 90a6.*
+ 7435: 6f94.*
+ 7436: 0d06.*
+ 7437: 90a6.*
+ 7438: 6f94.*
+ 7439: 0e06.*
+ 743a: 91a6.*
+ 743b: 91a6.*
+ 743c: 90a7.*
+ 743d: 6f94.*
+ 743e: 0d07.*
+ 743f: 90a7.*
+ 7440: 6f94.*
+ 7441: 0e07.*
+ 7442: 91a7.*
+ 7443: 91a7.*
+ 7444: 90a8.*
+ 7445: 6f94.*
+ 7446: 0d08.*
+ 7447: 90a8.*
+ 7448: 6f94.*
+ 7449: 0e08.*
+ 744a: 91a8.*
+ 744b: 91a8.*
+ 744c: 90a9.*
+ 744d: 6f94.*
+ 744e: 0d09.*
+ 744f: 90a9.*
+ 7450: 6f94.*
+ 7451: 0e09.*
+ 7452: 91a9.*
+ 7453: 91a9.*
+ 7454: 90aa.*
+ 7455: 6f94.*
+ 7456: 0d0a.*
+ 7457: 90aa.*
+ 7458: 6f94.*
+ 7459: 0e0a.*
+ 745a: 91aa.*
+ 745b: 91aa.*
+ 745c: 90ab.*
+ 745d: 6f94.*
+ 745e: 0d0b.*
+ 745f: 90ab.*
+ 7460: 6f94.*
+ 7461: 0e0b.*
+ 7462: 91ab.*
+ 7463: 91ab.*
+ 7464: 90ac.*
+ 7465: 6f94.*
+ 7466: 0d0c.*
+ 7467: 90ac.*
+ 7468: 6f94.*
+ 7469: 0e0c.*
+ 746a: 91ac.*
+ 746b: 91ac.*
+ 746c: 90ad.*
+ 746d: 6f94.*
+ 746e: 0d0d.*
+ 746f: 90ad.*
+ 7470: 6f94.*
+ 7471: 0e0d.*
+ 7472: 91ad.*
+ 7473: 91ad.*
+ 7474: 90ae.*
+ 7475: 6f94.*
+ 7476: 0d0e.*
+ 7477: 90ae.*
+ 7478: 6f94.*
+ 7479: 0e0e.*
+ 747a: 91ae.*
+ 747b: 91ae.*
+ 747c: 90af.*
+ 747d: 6f94.*
+ 747e: 0d0f.*
+ 747f: 90af.*
+ 7480: 6f94.*
+ 7481: 0e0f.*
+ 7482: 91af.*
+ 7483: 91af.*
+ 7484: 00a4.*
+ 7485: 6fa4.*
+ 7486: 0d00.*
+ 7487: 00a4.*
+ 7488: 6fa4.*
+ 7489: 0e00.*
+ 748a: 01a4.*
+ 748b: 01a4.*
+ 748c: 6fa4.*
+ 748d: 0c10.*
+ 748e: 6fa4.*
+ 748f: 0d10.*
+ 7490: 6fa4.*
+ 7491: 0c10.*
+ 7492: 6fa4.*
+ 7493: 0e10.*
+ 7494: 6fa4.*
+ 7495: 0f10.*
+ 7496: 6fa4.*
+ 7497: 0f10.*
+ 7498: 6fa4.*
+ 7499: 0c11.*
+ 749a: 6fa4.*
+ 749b: 0d11.*
+ 749c: 6fa4.*
+ 749d: 0c11.*
+ 749e: 6fa4.*
+ 749f: 0e11.*
+ 74a0: 6fa4.*
+ 74a1: 0f11.*
+ 74a2: 6fa4.*
+ 74a3: 0f11.*
+ 74a4: 6fa4.*
+ 74a5: 0c12.*
+ 74a6: 6fa4.*
+ 74a7: 0d12.*
+ 74a8: 6fa4.*
+ 74a9: 0c12.*
+ 74aa: 6fa4.*
+ 74ab: 0e12.*
+ 74ac: 6fa4.*
+ 74ad: 0f12.*
+ 74ae: 6fa4.*
+ 74af: 0f12.*
+ 74b0: 6fa4.*
+ 74b1: 0c13.*
+ 74b2: 6fa4.*
+ 74b3: 0d13.*
+ 74b4: 6fa4.*
+ 74b5: 0c13.*
+ 74b6: 6fa4.*
+ 74b7: 0e13.*
+ 74b8: 6fa4.*
+ 74b9: 0f13.*
+ 74ba: 6fa4.*
+ 74bb: 0f13.*
+ 74bc: 6fa4.*
+ 74bd: 0c14.*
+ 74be: 6fa4.*
+ 74bf: 0d14.*
+ 74c0: 6fa4.*
+ 74c1: 0c14.*
+ 74c2: 6fa4.*
+ 74c3: 0e14.*
+ 74c4: 6fa4.*
+ 74c5: 0f14.*
+ 74c6: 6fa4.*
+ 74c7: 0f14.*
+ 74c8: 6fa4.*
+ 74c9: 0c15.*
+ 74ca: 6fa4.*
+ 74cb: 0d15.*
+ 74cc: 6fa4.*
+ 74cd: 0c15.*
+ 74ce: 6fa4.*
+ 74cf: 0e15.*
+ 74d0: 6fa4.*
+ 74d1: 0f15.*
+ 74d2: 6fa4.*
+ 74d3: 0f15.*
+ 74d4: 6fa4.*
+ 74d5: 0c16.*
+ 74d6: 6fa4.*
+ 74d7: 0d16.*
+ 74d8: 6fa4.*
+ 74d9: 0c16.*
+ 74da: 6fa4.*
+ 74db: 0e16.*
+ 74dc: 6fa4.*
+ 74dd: 0f16.*
+ 74de: 6fa4.*
+ 74df: 0f16.*
+ 74e0: 6fa4.*
+ 74e1: 0c17.*
+ 74e2: 6fa4.*
+ 74e3: 0d17.*
+ 74e4: 6fa4.*
+ 74e5: 0c17.*
+ 74e6: 6fa4.*
+ 74e7: 0e17.*
+ 74e8: 6fa4.*
+ 74e9: 0f17.*
+ 74ea: 6fa4.*
+ 74eb: 0f17.*
+ 74ec: 6fa4.*
+ 74ed: 0c18.*
+ 74ee: 6fa4.*
+ 74ef: 0d18.*
+ 74f0: 6fa4.*
+ 74f1: 0c18.*
+ 74f2: 6fa4.*
+ 74f3: 0e18.*
+ 74f4: 6fa4.*
+ 74f5: 0f18.*
+ 74f6: 6fa4.*
+ 74f7: 0f18.*
+ 74f8: 6fa4.*
+ 74f9: 0c19.*
+ 74fa: 6fa4.*
+ 74fb: 0d19.*
+ 74fc: 6fa4.*
+ 74fd: 0c19.*
+ 74fe: 6fa4.*
+ 74ff: 0e19.*
+ 7500: 6fa4.*
+ 7501: 0f19.*
+ 7502: 6fa4.*
+ 7503: 0f19.*
+ 7504: 6fa4.*
+ 7505: 0c1a.*
+ 7506: 6fa4.*
+ 7507: 0d1a.*
+ 7508: 6fa4.*
+ 7509: 0c1a.*
+ 750a: 6fa4.*
+ 750b: 0e1a.*
+ 750c: 6fa4.*
+ 750d: 0f1a.*
+ 750e: 6fa4.*
+ 750f: 0f1a.*
+ 7510: 6fa4.*
+ 7511: 0c1b.*
+ 7512: 6fa4.*
+ 7513: 0d1b.*
+ 7514: 6fa4.*
+ 7515: 0c1b.*
+ 7516: 6fa4.*
+ 7517: 0e1b.*
+ 7518: 6fa4.*
+ 7519: 0f1b.*
+ 751a: 6fa4.*
+ 751b: 0f1b.*
+ 751c: 6fa4.*
+ 751d: 0c1c.*
+ 751e: 6fa4.*
+ 751f: 0d1c.*
+ 7520: 6fa4.*
+ 7521: 0c1c.*
+ 7522: 6fa4.*
+ 7523: 0e1c.*
+ 7524: 6fa4.*
+ 7525: 0f1c.*
+ 7526: 6fa4.*
+ 7527: 0f1c.*
+ 7528: 6fa4.*
+ 7529: 0c1d.*
+ 752a: 6fa4.*
+ 752b: 0d1d.*
+ 752c: 6fa4.*
+ 752d: 0c1d.*
+ 752e: 6fa4.*
+ 752f: 0e1d.*
+ 7530: 6fa4.*
+ 7531: 0f1d.*
+ 7532: 6fa4.*
+ 7533: 0f1d.*
+ 7534: 6fa4.*
+ 7535: 0c1e.*
+ 7536: 6fa4.*
+ 7537: 0d1e.*
+ 7538: 6fa4.*
+ 7539: 0c1e.*
+ 753a: 6fa4.*
+ 753b: 0e1e.*
+ 753c: 6fa4.*
+ 753d: 0f1e.*
+ 753e: 6fa4.*
+ 753f: 0f1e.*
+ 7540: 6fa4.*
+ 7541: 0c1f.*
+ 7542: 6fa4.*
+ 7543: 0d1f.*
+ 7544: 6fa4.*
+ 7545: 0c1f.*
+ 7546: 6fa4.*
+ 7547: 0e1f.*
+ 7548: 6fa4.*
+ 7549: 0f1f.*
+ 754a: 6fa4.*
+ 754b: 0f1f.*
+ 754c: 00a4.*
+ 754d: 6fa4.*
+ 754e: 0d00.*
+ 754f: 00a4.*
+ 7550: 6fa4.*
+ 7551: 0e00.*
+ 7552: 01a4.*
+ 7553: 01a4.*
+ 7554: 6fa4.*
+ 7555: 0c01.*
+ 7556: 6fa4.*
+ 7557: 0d01.*
+ 7558: 6fa4.*
+ 7559: 0c01.*
+ 755a: 6fa4.*
+ 755b: 0e01.*
+ 755c: 6fa4.*
+ 755d: 0f01.*
+ 755e: 6fa4.*
+ 755f: 0f01.*
+ 7560: 6fa4.*
+ 7561: 0c02.*
+ 7562: 6fa4.*
+ 7563: 0d02.*
+ 7564: 6fa4.*
+ 7565: 0c02.*
+ 7566: 6fa4.*
+ 7567: 0e02.*
+ 7568: 6fa4.*
+ 7569: 0f02.*
+ 756a: 6fa4.*
+ 756b: 0f02.*
+ 756c: 6fa4.*
+ 756d: 0c03.*
+ 756e: 6fa4.*
+ 756f: 0d03.*
+ 7570: 6fa4.*
+ 7571: 0c03.*
+ 7572: 6fa4.*
+ 7573: 0e03.*
+ 7574: 6fa4.*
+ 7575: 0f03.*
+ 7576: 6fa4.*
+ 7577: 0f03.*
+ 7578: 6fa4.*
+ 7579: 0c04.*
+ 757a: 6fa4.*
+ 757b: 0d04.*
+ 757c: 6fa4.*
+ 757d: 0c04.*
+ 757e: 6fa4.*
+ 757f: 0e04.*
+ 7580: 6fa4.*
+ 7581: 0f04.*
+ 7582: 6fa4.*
+ 7583: 0f04.*
+ 7584: 6fa4.*
+ 7585: 0c05.*
+ 7586: 6fa4.*
+ 7587: 0d05.*
+ 7588: 6fa4.*
+ 7589: 0c05.*
+ 758a: 6fa4.*
+ 758b: 0e05.*
+ 758c: 6fa4.*
+ 758d: 0f05.*
+ 758e: 6fa4.*
+ 758f: 0f05.*
+ 7590: 6fa4.*
+ 7591: 0c06.*
+ 7592: 6fa4.*
+ 7593: 0d06.*
+ 7594: 6fa4.*
+ 7595: 0c06.*
+ 7596: 6fa4.*
+ 7597: 0e06.*
+ 7598: 6fa4.*
+ 7599: 0f06.*
+ 759a: 6fa4.*
+ 759b: 0f06.*
+ 759c: 6fa4.*
+ 759d: 0c07.*
+ 759e: 6fa4.*
+ 759f: 0d07.*
+ 75a0: 6fa4.*
+ 75a1: 0c07.*
+ 75a2: 6fa4.*
+ 75a3: 0e07.*
+ 75a4: 6fa4.*
+ 75a5: 0f07.*
+ 75a6: 6fa4.*
+ 75a7: 0f07.*
+ 75a8: 6fa4.*
+ 75a9: 0c08.*
+ 75aa: 6fa4.*
+ 75ab: 0d08.*
+ 75ac: 6fa4.*
+ 75ad: 0c08.*
+ 75ae: 6fa4.*
+ 75af: 0e08.*
+ 75b0: 6fa4.*
+ 75b1: 0f08.*
+ 75b2: 6fa4.*
+ 75b3: 0f08.*
+ 75b4: 6fa4.*
+ 75b5: 0c09.*
+ 75b6: 6fa4.*
+ 75b7: 0d09.*
+ 75b8: 6fa4.*
+ 75b9: 0c09.*
+ 75ba: 6fa4.*
+ 75bb: 0e09.*
+ 75bc: 6fa4.*
+ 75bd: 0f09.*
+ 75be: 6fa4.*
+ 75bf: 0f09.*
+ 75c0: 6fa4.*
+ 75c1: 0c0a.*
+ 75c2: 6fa4.*
+ 75c3: 0d0a.*
+ 75c4: 6fa4.*
+ 75c5: 0c0a.*
+ 75c6: 6fa4.*
+ 75c7: 0e0a.*
+ 75c8: 6fa4.*
+ 75c9: 0f0a.*
+ 75ca: 6fa4.*
+ 75cb: 0f0a.*
+ 75cc: 6fa4.*
+ 75cd: 0c0b.*
+ 75ce: 6fa4.*
+ 75cf: 0d0b.*
+ 75d0: 6fa4.*
+ 75d1: 0c0b.*
+ 75d2: 6fa4.*
+ 75d3: 0e0b.*
+ 75d4: 6fa4.*
+ 75d5: 0f0b.*
+ 75d6: 6fa4.*
+ 75d7: 0f0b.*
+ 75d8: 6fa4.*
+ 75d9: 0c0c.*
+ 75da: 6fa4.*
+ 75db: 0d0c.*
+ 75dc: 6fa4.*
+ 75dd: 0c0c.*
+ 75de: 6fa4.*
+ 75df: 0e0c.*
+ 75e0: 6fa4.*
+ 75e1: 0f0c.*
+ 75e2: 6fa4.*
+ 75e3: 0f0c.*
+ 75e4: 6fa4.*
+ 75e5: 0c0d.*
+ 75e6: 6fa4.*
+ 75e7: 0d0d.*
+ 75e8: 6fa4.*
+ 75e9: 0c0d.*
+ 75ea: 6fa4.*
+ 75eb: 0e0d.*
+ 75ec: 6fa4.*
+ 75ed: 0f0d.*
+ 75ee: 6fa4.*
+ 75ef: 0f0d.*
+ 75f0: 6fa4.*
+ 75f1: 0c0e.*
+ 75f2: 6fa4.*
+ 75f3: 0d0e.*
+ 75f4: 6fa4.*
+ 75f5: 0c0e.*
+ 75f6: 6fa4.*
+ 75f7: 0e0e.*
+ 75f8: 6fa4.*
+ 75f9: 0f0e.*
+ 75fa: 6fa4.*
+ 75fb: 0f0e.*
+ 75fc: 6fa4.*
+ 75fd: 0c0f.*
+ 75fe: 6fa4.*
+ 75ff: 0d0f.*
+ 7600: 6fa4.*
+ 7601: 0c0f.*
+ 7602: 6fa4.*
+ 7603: 0e0f.*
+ 7604: 6fa4.*
+ 7605: 0f0f.*
+ 7606: 6fa4.*
+ 7607: 0f0f.*
+ 7608: 00ac.*
+ 7609: 6fac.*
+ 760a: 0d00.*
+ 760b: 00ac.*
+ 760c: 6fac.*
+ 760d: 0e00.*
+ 760e: 01ac.*
+ 760f: 01ac.*
+ 7610: 6fac.*
+ 7611: 0c10.*
+ 7612: 6fac.*
+ 7613: 0d10.*
+ 7614: 6fac.*
+ 7615: 0c10.*
+ 7616: 6fac.*
+ 7617: 0e10.*
+ 7618: 6fac.*
+ 7619: 0f10.*
+ 761a: 6fac.*
+ 761b: 0f10.*
+ 761c: 6fac.*
+ 761d: 0c11.*
+ 761e: 6fac.*
+ 761f: 0d11.*
+ 7620: 6fac.*
+ 7621: 0c11.*
+ 7622: 6fac.*
+ 7623: 0e11.*
+ 7624: 6fac.*
+ 7625: 0f11.*
+ 7626: 6fac.*
+ 7627: 0f11.*
+ 7628: 6fac.*
+ 7629: 0c12.*
+ 762a: 6fac.*
+ 762b: 0d12.*
+ 762c: 6fac.*
+ 762d: 0c12.*
+ 762e: 6fac.*
+ 762f: 0e12.*
+ 7630: 6fac.*
+ 7631: 0f12.*
+ 7632: 6fac.*
+ 7633: 0f12.*
+ 7634: 6fac.*
+ 7635: 0c13.*
+ 7636: 6fac.*
+ 7637: 0d13.*
+ 7638: 6fac.*
+ 7639: 0c13.*
+ 763a: 6fac.*
+ 763b: 0e13.*
+ 763c: 6fac.*
+ 763d: 0f13.*
+ 763e: 6fac.*
+ 763f: 0f13.*
+ 7640: 6fac.*
+ 7641: 0c14.*
+ 7642: 6fac.*
+ 7643: 0d14.*
+ 7644: 6fac.*
+ 7645: 0c14.*
+ 7646: 6fac.*
+ 7647: 0e14.*
+ 7648: 6fac.*
+ 7649: 0f14.*
+ 764a: 6fac.*
+ 764b: 0f14.*
+ 764c: 6fac.*
+ 764d: 0c15.*
+ 764e: 6fac.*
+ 764f: 0d15.*
+ 7650: 6fac.*
+ 7651: 0c15.*
+ 7652: 6fac.*
+ 7653: 0e15.*
+ 7654: 6fac.*
+ 7655: 0f15.*
+ 7656: 6fac.*
+ 7657: 0f15.*
+ 7658: 6fac.*
+ 7659: 0c16.*
+ 765a: 6fac.*
+ 765b: 0d16.*
+ 765c: 6fac.*
+ 765d: 0c16.*
+ 765e: 6fac.*
+ 765f: 0e16.*
+ 7660: 6fac.*
+ 7661: 0f16.*
+ 7662: 6fac.*
+ 7663: 0f16.*
+ 7664: 6fac.*
+ 7665: 0c17.*
+ 7666: 6fac.*
+ 7667: 0d17.*
+ 7668: 6fac.*
+ 7669: 0c17.*
+ 766a: 6fac.*
+ 766b: 0e17.*
+ 766c: 6fac.*
+ 766d: 0f17.*
+ 766e: 6fac.*
+ 766f: 0f17.*
+ 7670: 6fac.*
+ 7671: 0c18.*
+ 7672: 6fac.*
+ 7673: 0d18.*
+ 7674: 6fac.*
+ 7675: 0c18.*
+ 7676: 6fac.*
+ 7677: 0e18.*
+ 7678: 6fac.*
+ 7679: 0f18.*
+ 767a: 6fac.*
+ 767b: 0f18.*
+ 767c: 6fac.*
+ 767d: 0c19.*
+ 767e: 6fac.*
+ 767f: 0d19.*
+ 7680: 6fac.*
+ 7681: 0c19.*
+ 7682: 6fac.*
+ 7683: 0e19.*
+ 7684: 6fac.*
+ 7685: 0f19.*
+ 7686: 6fac.*
+ 7687: 0f19.*
+ 7688: 6fac.*
+ 7689: 0c1a.*
+ 768a: 6fac.*
+ 768b: 0d1a.*
+ 768c: 6fac.*
+ 768d: 0c1a.*
+ 768e: 6fac.*
+ 768f: 0e1a.*
+ 7690: 6fac.*
+ 7691: 0f1a.*
+ 7692: 6fac.*
+ 7693: 0f1a.*
+ 7694: 6fac.*
+ 7695: 0c1b.*
+ 7696: 6fac.*
+ 7697: 0d1b.*
+ 7698: 6fac.*
+ 7699: 0c1b.*
+ 769a: 6fac.*
+ 769b: 0e1b.*
+ 769c: 6fac.*
+ 769d: 0f1b.*
+ 769e: 6fac.*
+ 769f: 0f1b.*
+ 76a0: 6fac.*
+ 76a1: 0c1c.*
+ 76a2: 6fac.*
+ 76a3: 0d1c.*
+ 76a4: 6fac.*
+ 76a5: 0c1c.*
+ 76a6: 6fac.*
+ 76a7: 0e1c.*
+ 76a8: 6fac.*
+ 76a9: 0f1c.*
+ 76aa: 6fac.*
+ 76ab: 0f1c.*
+ 76ac: 6fac.*
+ 76ad: 0c1d.*
+ 76ae: 6fac.*
+ 76af: 0d1d.*
+ 76b0: 6fac.*
+ 76b1: 0c1d.*
+ 76b2: 6fac.*
+ 76b3: 0e1d.*
+ 76b4: 6fac.*
+ 76b5: 0f1d.*
+ 76b6: 6fac.*
+ 76b7: 0f1d.*
+ 76b8: 6fac.*
+ 76b9: 0c1e.*
+ 76ba: 6fac.*
+ 76bb: 0d1e.*
+ 76bc: 6fac.*
+ 76bd: 0c1e.*
+ 76be: 6fac.*
+ 76bf: 0e1e.*
+ 76c0: 6fac.*
+ 76c1: 0f1e.*
+ 76c2: 6fac.*
+ 76c3: 0f1e.*
+ 76c4: 6fac.*
+ 76c5: 0c1f.*
+ 76c6: 6fac.*
+ 76c7: 0d1f.*
+ 76c8: 6fac.*
+ 76c9: 0c1f.*
+ 76ca: 6fac.*
+ 76cb: 0e1f.*
+ 76cc: 6fac.*
+ 76cd: 0f1f.*
+ 76ce: 6fac.*
+ 76cf: 0f1f.*
+ 76d0: 00ac.*
+ 76d1: 6fac.*
+ 76d2: 0d00.*
+ 76d3: 00ac.*
+ 76d4: 6fac.*
+ 76d5: 0e00.*
+ 76d6: 01ac.*
+ 76d7: 01ac.*
+ 76d8: 6fac.*
+ 76d9: 0c01.*
+ 76da: 6fac.*
+ 76db: 0d01.*
+ 76dc: 6fac.*
+ 76dd: 0c01.*
+ 76de: 6fac.*
+ 76df: 0e01.*
+ 76e0: 6fac.*
+ 76e1: 0f01.*
+ 76e2: 6fac.*
+ 76e3: 0f01.*
+ 76e4: 6fac.*
+ 76e5: 0c02.*
+ 76e6: 6fac.*
+ 76e7: 0d02.*
+ 76e8: 6fac.*
+ 76e9: 0c02.*
+ 76ea: 6fac.*
+ 76eb: 0e02.*
+ 76ec: 6fac.*
+ 76ed: 0f02.*
+ 76ee: 6fac.*
+ 76ef: 0f02.*
+ 76f0: 6fac.*
+ 76f1: 0c03.*
+ 76f2: 6fac.*
+ 76f3: 0d03.*
+ 76f4: 6fac.*
+ 76f5: 0c03.*
+ 76f6: 6fac.*
+ 76f7: 0e03.*
+ 76f8: 6fac.*
+ 76f9: 0f03.*
+ 76fa: 6fac.*
+ 76fb: 0f03.*
+ 76fc: 6fac.*
+ 76fd: 0c04.*
+ 76fe: 6fac.*
+ 76ff: 0d04.*
+ 7700: 6fac.*
+ 7701: 0c04.*
+ 7702: 6fac.*
+ 7703: 0e04.*
+ 7704: 6fac.*
+ 7705: 0f04.*
+ 7706: 6fac.*
+ 7707: 0f04.*
+ 7708: 6fac.*
+ 7709: 0c05.*
+ 770a: 6fac.*
+ 770b: 0d05.*
+ 770c: 6fac.*
+ 770d: 0c05.*
+ 770e: 6fac.*
+ 770f: 0e05.*
+ 7710: 6fac.*
+ 7711: 0f05.*
+ 7712: 6fac.*
+ 7713: 0f05.*
+ 7714: 6fac.*
+ 7715: 0c06.*
+ 7716: 6fac.*
+ 7717: 0d06.*
+ 7718: 6fac.*
+ 7719: 0c06.*
+ 771a: 6fac.*
+ 771b: 0e06.*
+ 771c: 6fac.*
+ 771d: 0f06.*
+ 771e: 6fac.*
+ 771f: 0f06.*
+ 7720: 6fac.*
+ 7721: 0c07.*
+ 7722: 6fac.*
+ 7723: 0d07.*
+ 7724: 6fac.*
+ 7725: 0c07.*
+ 7726: 6fac.*
+ 7727: 0e07.*
+ 7728: 6fac.*
+ 7729: 0f07.*
+ 772a: 6fac.*
+ 772b: 0f07.*
+ 772c: 6fac.*
+ 772d: 0c08.*
+ 772e: 6fac.*
+ 772f: 0d08.*
+ 7730: 6fac.*
+ 7731: 0c08.*
+ 7732: 6fac.*
+ 7733: 0e08.*
+ 7734: 6fac.*
+ 7735: 0f08.*
+ 7736: 6fac.*
+ 7737: 0f08.*
+ 7738: 6fac.*
+ 7739: 0c09.*
+ 773a: 6fac.*
+ 773b: 0d09.*
+ 773c: 6fac.*
+ 773d: 0c09.*
+ 773e: 6fac.*
+ 773f: 0e09.*
+ 7740: 6fac.*
+ 7741: 0f09.*
+ 7742: 6fac.*
+ 7743: 0f09.*
+ 7744: 6fac.*
+ 7745: 0c0a.*
+ 7746: 6fac.*
+ 7747: 0d0a.*
+ 7748: 6fac.*
+ 7749: 0c0a.*
+ 774a: 6fac.*
+ 774b: 0e0a.*
+ 774c: 6fac.*
+ 774d: 0f0a.*
+ 774e: 6fac.*
+ 774f: 0f0a.*
+ 7750: 6fac.*
+ 7751: 0c0b.*
+ 7752: 6fac.*
+ 7753: 0d0b.*
+ 7754: 6fac.*
+ 7755: 0c0b.*
+ 7756: 6fac.*
+ 7757: 0e0b.*
+ 7758: 6fac.*
+ 7759: 0f0b.*
+ 775a: 6fac.*
+ 775b: 0f0b.*
+ 775c: 6fac.*
+ 775d: 0c0c.*
+ 775e: 6fac.*
+ 775f: 0d0c.*
+ 7760: 6fac.*
+ 7761: 0c0c.*
+ 7762: 6fac.*
+ 7763: 0e0c.*
+ 7764: 6fac.*
+ 7765: 0f0c.*
+ 7766: 6fac.*
+ 7767: 0f0c.*
+ 7768: 6fac.*
+ 7769: 0c0d.*
+ 776a: 6fac.*
+ 776b: 0d0d.*
+ 776c: 6fac.*
+ 776d: 0c0d.*
+ 776e: 6fac.*
+ 776f: 0e0d.*
+ 7770: 6fac.*
+ 7771: 0f0d.*
+ 7772: 6fac.*
+ 7773: 0f0d.*
+ 7774: 6fac.*
+ 7775: 0c0e.*
+ 7776: 6fac.*
+ 7777: 0d0e.*
+ 7778: 6fac.*
+ 7779: 0c0e.*
+ 777a: 6fac.*
+ 777b: 0e0e.*
+ 777c: 6fac.*
+ 777d: 0f0e.*
+ 777e: 6fac.*
+ 777f: 0f0e.*
+ 7780: 6fac.*
+ 7781: 0c0f.*
+ 7782: 6fac.*
+ 7783: 0d0f.*
+ 7784: 6fac.*
+ 7785: 0c0f.*
+ 7786: 6fac.*
+ 7787: 0e0f.*
+ 7788: 6fac.*
+ 7789: 0f0f.*
+ 778a: 6fac.*
+ 778b: 0f0f.*
+ 778c: 00b4.*
+ 778d: 6fb4.*
+ 778e: 0d00.*
+ 778f: 00b4.*
+ 7790: 6fb4.*
+ 7791: 0e00.*
+ 7792: 01b4.*
+ 7793: 01b4.*
+ 7794: 6fb4.*
+ 7795: 0c10.*
+ 7796: 6fb4.*
+ 7797: 0d10.*
+ 7798: 6fb4.*
+ 7799: 0c10.*
+ 779a: 6fb4.*
+ 779b: 0e10.*
+ 779c: 6fb4.*
+ 779d: 0f10.*
+ 779e: 6fb4.*
+ 779f: 0f10.*
+ 77a0: 6fb4.*
+ 77a1: 0c11.*
+ 77a2: 6fb4.*
+ 77a3: 0d11.*
+ 77a4: 6fb4.*
+ 77a5: 0c11.*
+ 77a6: 6fb4.*
+ 77a7: 0e11.*
+ 77a8: 6fb4.*
+ 77a9: 0f11.*
+ 77aa: 6fb4.*
+ 77ab: 0f11.*
+ 77ac: 6fb4.*
+ 77ad: 0c12.*
+ 77ae: 6fb4.*
+ 77af: 0d12.*
+ 77b0: 6fb4.*
+ 77b1: 0c12.*
+ 77b2: 6fb4.*
+ 77b3: 0e12.*
+ 77b4: 6fb4.*
+ 77b5: 0f12.*
+ 77b6: 6fb4.*
+ 77b7: 0f12.*
+ 77b8: 6fb4.*
+ 77b9: 0c13.*
+ 77ba: 6fb4.*
+ 77bb: 0d13.*
+ 77bc: 6fb4.*
+ 77bd: 0c13.*
+ 77be: 6fb4.*
+ 77bf: 0e13.*
+ 77c0: 6fb4.*
+ 77c1: 0f13.*
+ 77c2: 6fb4.*
+ 77c3: 0f13.*
+ 77c4: 6fb4.*
+ 77c5: 0c14.*
+ 77c6: 6fb4.*
+ 77c7: 0d14.*
+ 77c8: 6fb4.*
+ 77c9: 0c14.*
+ 77ca: 6fb4.*
+ 77cb: 0e14.*
+ 77cc: 6fb4.*
+ 77cd: 0f14.*
+ 77ce: 6fb4.*
+ 77cf: 0f14.*
+ 77d0: 6fb4.*
+ 77d1: 0c15.*
+ 77d2: 6fb4.*
+ 77d3: 0d15.*
+ 77d4: 6fb4.*
+ 77d5: 0c15.*
+ 77d6: 6fb4.*
+ 77d7: 0e15.*
+ 77d8: 6fb4.*
+ 77d9: 0f15.*
+ 77da: 6fb4.*
+ 77db: 0f15.*
+ 77dc: 6fb4.*
+ 77dd: 0c16.*
+ 77de: 6fb4.*
+ 77df: 0d16.*
+ 77e0: 6fb4.*
+ 77e1: 0c16.*
+ 77e2: 6fb4.*
+ 77e3: 0e16.*
+ 77e4: 6fb4.*
+ 77e5: 0f16.*
+ 77e6: 6fb4.*
+ 77e7: 0f16.*
+ 77e8: 6fb4.*
+ 77e9: 0c17.*
+ 77ea: 6fb4.*
+ 77eb: 0d17.*
+ 77ec: 6fb4.*
+ 77ed: 0c17.*
+ 77ee: 6fb4.*
+ 77ef: 0e17.*
+ 77f0: 6fb4.*
+ 77f1: 0f17.*
+ 77f2: 6fb4.*
+ 77f3: 0f17.*
+ 77f4: 6fb4.*
+ 77f5: 0c18.*
+ 77f6: 6fb4.*
+ 77f7: 0d18.*
+ 77f8: 6fb4.*
+ 77f9: 0c18.*
+ 77fa: 6fb4.*
+ 77fb: 0e18.*
+ 77fc: 6fb4.*
+ 77fd: 0f18.*
+ 77fe: 6fb4.*
+ 77ff: 0f18.*
+ 7800: 6fb4.*
+ 7801: 0c19.*
+ 7802: 6fb4.*
+ 7803: 0d19.*
+ 7804: 6fb4.*
+ 7805: 0c19.*
+ 7806: 6fb4.*
+ 7807: 0e19.*
+ 7808: 6fb4.*
+ 7809: 0f19.*
+ 780a: 6fb4.*
+ 780b: 0f19.*
+ 780c: 6fb4.*
+ 780d: 0c1a.*
+ 780e: 6fb4.*
+ 780f: 0d1a.*
+ 7810: 6fb4.*
+ 7811: 0c1a.*
+ 7812: 6fb4.*
+ 7813: 0e1a.*
+ 7814: 6fb4.*
+ 7815: 0f1a.*
+ 7816: 6fb4.*
+ 7817: 0f1a.*
+ 7818: 6fb4.*
+ 7819: 0c1b.*
+ 781a: 6fb4.*
+ 781b: 0d1b.*
+ 781c: 6fb4.*
+ 781d: 0c1b.*
+ 781e: 6fb4.*
+ 781f: 0e1b.*
+ 7820: 6fb4.*
+ 7821: 0f1b.*
+ 7822: 6fb4.*
+ 7823: 0f1b.*
+ 7824: 6fb4.*
+ 7825: 0c1c.*
+ 7826: 6fb4.*
+ 7827: 0d1c.*
+ 7828: 6fb4.*
+ 7829: 0c1c.*
+ 782a: 6fb4.*
+ 782b: 0e1c.*
+ 782c: 6fb4.*
+ 782d: 0f1c.*
+ 782e: 6fb4.*
+ 782f: 0f1c.*
+ 7830: 6fb4.*
+ 7831: 0c1d.*
+ 7832: 6fb4.*
+ 7833: 0d1d.*
+ 7834: 6fb4.*
+ 7835: 0c1d.*
+ 7836: 6fb4.*
+ 7837: 0e1d.*
+ 7838: 6fb4.*
+ 7839: 0f1d.*
+ 783a: 6fb4.*
+ 783b: 0f1d.*
+ 783c: 6fb4.*
+ 783d: 0c1e.*
+ 783e: 6fb4.*
+ 783f: 0d1e.*
+ 7840: 6fb4.*
+ 7841: 0c1e.*
+ 7842: 6fb4.*
+ 7843: 0e1e.*
+ 7844: 6fb4.*
+ 7845: 0f1e.*
+ 7846: 6fb4.*
+ 7847: 0f1e.*
+ 7848: 6fb4.*
+ 7849: 0c1f.*
+ 784a: 6fb4.*
+ 784b: 0d1f.*
+ 784c: 6fb4.*
+ 784d: 0c1f.*
+ 784e: 6fb4.*
+ 784f: 0e1f.*
+ 7850: 6fb4.*
+ 7851: 0f1f.*
+ 7852: 6fb4.*
+ 7853: 0f1f.*
+ 7854: 00b4.*
+ 7855: 6fb4.*
+ 7856: 0d00.*
+ 7857: 00b4.*
+ 7858: 6fb4.*
+ 7859: 0e00.*
+ 785a: 01b4.*
+ 785b: 01b4.*
+ 785c: 6fb4.*
+ 785d: 0c01.*
+ 785e: 6fb4.*
+ 785f: 0d01.*
+ 7860: 6fb4.*
+ 7861: 0c01.*
+ 7862: 6fb4.*
+ 7863: 0e01.*
+ 7864: 6fb4.*
+ 7865: 0f01.*
+ 7866: 6fb4.*
+ 7867: 0f01.*
+ 7868: 6fb4.*
+ 7869: 0c02.*
+ 786a: 6fb4.*
+ 786b: 0d02.*
+ 786c: 6fb4.*
+ 786d: 0c02.*
+ 786e: 6fb4.*
+ 786f: 0e02.*
+ 7870: 6fb4.*
+ 7871: 0f02.*
+ 7872: 6fb4.*
+ 7873: 0f02.*
+ 7874: 6fb4.*
+ 7875: 0c03.*
+ 7876: 6fb4.*
+ 7877: 0d03.*
+ 7878: 6fb4.*
+ 7879: 0c03.*
+ 787a: 6fb4.*
+ 787b: 0e03.*
+ 787c: 6fb4.*
+ 787d: 0f03.*
+ 787e: 6fb4.*
+ 787f: 0f03.*
+ 7880: 6fb4.*
+ 7881: 0c04.*
+ 7882: 6fb4.*
+ 7883: 0d04.*
+ 7884: 6fb4.*
+ 7885: 0c04.*
+ 7886: 6fb4.*
+ 7887: 0e04.*
+ 7888: 6fb4.*
+ 7889: 0f04.*
+ 788a: 6fb4.*
+ 788b: 0f04.*
+ 788c: 6fb4.*
+ 788d: 0c05.*
+ 788e: 6fb4.*
+ 788f: 0d05.*
+ 7890: 6fb4.*
+ 7891: 0c05.*
+ 7892: 6fb4.*
+ 7893: 0e05.*
+ 7894: 6fb4.*
+ 7895: 0f05.*
+ 7896: 6fb4.*
+ 7897: 0f05.*
+ 7898: 6fb4.*
+ 7899: 0c06.*
+ 789a: 6fb4.*
+ 789b: 0d06.*
+ 789c: 6fb4.*
+ 789d: 0c06.*
+ 789e: 6fb4.*
+ 789f: 0e06.*
+ 78a0: 6fb4.*
+ 78a1: 0f06.*
+ 78a2: 6fb4.*
+ 78a3: 0f06.*
+ 78a4: 6fb4.*
+ 78a5: 0c07.*
+ 78a6: 6fb4.*
+ 78a7: 0d07.*
+ 78a8: 6fb4.*
+ 78a9: 0c07.*
+ 78aa: 6fb4.*
+ 78ab: 0e07.*
+ 78ac: 6fb4.*
+ 78ad: 0f07.*
+ 78ae: 6fb4.*
+ 78af: 0f07.*
+ 78b0: 6fb4.*
+ 78b1: 0c08.*
+ 78b2: 6fb4.*
+ 78b3: 0d08.*
+ 78b4: 6fb4.*
+ 78b5: 0c08.*
+ 78b6: 6fb4.*
+ 78b7: 0e08.*
+ 78b8: 6fb4.*
+ 78b9: 0f08.*
+ 78ba: 6fb4.*
+ 78bb: 0f08.*
+ 78bc: 6fb4.*
+ 78bd: 0c09.*
+ 78be: 6fb4.*
+ 78bf: 0d09.*
+ 78c0: 6fb4.*
+ 78c1: 0c09.*
+ 78c2: 6fb4.*
+ 78c3: 0e09.*
+ 78c4: 6fb4.*
+ 78c5: 0f09.*
+ 78c6: 6fb4.*
+ 78c7: 0f09.*
+ 78c8: 6fb4.*
+ 78c9: 0c0a.*
+ 78ca: 6fb4.*
+ 78cb: 0d0a.*
+ 78cc: 6fb4.*
+ 78cd: 0c0a.*
+ 78ce: 6fb4.*
+ 78cf: 0e0a.*
+ 78d0: 6fb4.*
+ 78d1: 0f0a.*
+ 78d2: 6fb4.*
+ 78d3: 0f0a.*
+ 78d4: 6fb4.*
+ 78d5: 0c0b.*
+ 78d6: 6fb4.*
+ 78d7: 0d0b.*
+ 78d8: 6fb4.*
+ 78d9: 0c0b.*
+ 78da: 6fb4.*
+ 78db: 0e0b.*
+ 78dc: 6fb4.*
+ 78dd: 0f0b.*
+ 78de: 6fb4.*
+ 78df: 0f0b.*
+ 78e0: 6fb4.*
+ 78e1: 0c0c.*
+ 78e2: 6fb4.*
+ 78e3: 0d0c.*
+ 78e4: 6fb4.*
+ 78e5: 0c0c.*
+ 78e6: 6fb4.*
+ 78e7: 0e0c.*
+ 78e8: 6fb4.*
+ 78e9: 0f0c.*
+ 78ea: 6fb4.*
+ 78eb: 0f0c.*
+ 78ec: 6fb4.*
+ 78ed: 0c0d.*
+ 78ee: 6fb4.*
+ 78ef: 0d0d.*
+ 78f0: 6fb4.*
+ 78f1: 0c0d.*
+ 78f2: 6fb4.*
+ 78f3: 0e0d.*
+ 78f4: 6fb4.*
+ 78f5: 0f0d.*
+ 78f6: 6fb4.*
+ 78f7: 0f0d.*
+ 78f8: 6fb4.*
+ 78f9: 0c0e.*
+ 78fa: 6fb4.*
+ 78fb: 0d0e.*
+ 78fc: 6fb4.*
+ 78fd: 0c0e.*
+ 78fe: 6fb4.*
+ 78ff: 0e0e.*
+ 7900: 6fb4.*
+ 7901: 0f0e.*
+ 7902: 6fb4.*
+ 7903: 0f0e.*
+ 7904: 6fb4.*
+ 7905: 0c0f.*
+ 7906: 6fb4.*
+ 7907: 0d0f.*
+ 7908: 6fb4.*
+ 7909: 0c0f.*
+ 790a: 6fb4.*
+ 790b: 0e0f.*
+ 790c: 6fb4.*
+ 790d: 0f0f.*
+ 790e: 6fb4.*
+ 790f: 0f0f.*
+ 7910: 00bc.*
+ 7911: 6fbc.*
+ 7912: 0d00.*
+ 7913: 00bc.*
+ 7914: 6fbc.*
+ 7915: 0e00.*
+ 7916: 01bc.*
+ 7917: 01bc.*
+ 7918: 6fbc.*
+ 7919: 0c10.*
+ 791a: 6fbc.*
+ 791b: 0d10.*
+ 791c: 6fbc.*
+ 791d: 0c10.*
+ 791e: 6fbc.*
+ 791f: 0e10.*
+ 7920: 6fbc.*
+ 7921: 0f10.*
+ 7922: 6fbc.*
+ 7923: 0f10.*
+ 7924: 6fbc.*
+ 7925: 0c11.*
+ 7926: 6fbc.*
+ 7927: 0d11.*
+ 7928: 6fbc.*
+ 7929: 0c11.*
+ 792a: 6fbc.*
+ 792b: 0e11.*
+ 792c: 6fbc.*
+ 792d: 0f11.*
+ 792e: 6fbc.*
+ 792f: 0f11.*
+ 7930: 6fbc.*
+ 7931: 0c12.*
+ 7932: 6fbc.*
+ 7933: 0d12.*
+ 7934: 6fbc.*
+ 7935: 0c12.*
+ 7936: 6fbc.*
+ 7937: 0e12.*
+ 7938: 6fbc.*
+ 7939: 0f12.*
+ 793a: 6fbc.*
+ 793b: 0f12.*
+ 793c: 6fbc.*
+ 793d: 0c13.*
+ 793e: 6fbc.*
+ 793f: 0d13.*
+ 7940: 6fbc.*
+ 7941: 0c13.*
+ 7942: 6fbc.*
+ 7943: 0e13.*
+ 7944: 6fbc.*
+ 7945: 0f13.*
+ 7946: 6fbc.*
+ 7947: 0f13.*
+ 7948: 6fbc.*
+ 7949: 0c14.*
+ 794a: 6fbc.*
+ 794b: 0d14.*
+ 794c: 6fbc.*
+ 794d: 0c14.*
+ 794e: 6fbc.*
+ 794f: 0e14.*
+ 7950: 6fbc.*
+ 7951: 0f14.*
+ 7952: 6fbc.*
+ 7953: 0f14.*
+ 7954: 6fbc.*
+ 7955: 0c15.*
+ 7956: 6fbc.*
+ 7957: 0d15.*
+ 7958: 6fbc.*
+ 7959: 0c15.*
+ 795a: 6fbc.*
+ 795b: 0e15.*
+ 795c: 6fbc.*
+ 795d: 0f15.*
+ 795e: 6fbc.*
+ 795f: 0f15.*
+ 7960: 6fbc.*
+ 7961: 0c16.*
+ 7962: 6fbc.*
+ 7963: 0d16.*
+ 7964: 6fbc.*
+ 7965: 0c16.*
+ 7966: 6fbc.*
+ 7967: 0e16.*
+ 7968: 6fbc.*
+ 7969: 0f16.*
+ 796a: 6fbc.*
+ 796b: 0f16.*
+ 796c: 6fbc.*
+ 796d: 0c17.*
+ 796e: 6fbc.*
+ 796f: 0d17.*
+ 7970: 6fbc.*
+ 7971: 0c17.*
+ 7972: 6fbc.*
+ 7973: 0e17.*
+ 7974: 6fbc.*
+ 7975: 0f17.*
+ 7976: 6fbc.*
+ 7977: 0f17.*
+ 7978: 6fbc.*
+ 7979: 0c18.*
+ 797a: 6fbc.*
+ 797b: 0d18.*
+ 797c: 6fbc.*
+ 797d: 0c18.*
+ 797e: 6fbc.*
+ 797f: 0e18.*
+ 7980: 6fbc.*
+ 7981: 0f18.*
+ 7982: 6fbc.*
+ 7983: 0f18.*
+ 7984: 6fbc.*
+ 7985: 0c19.*
+ 7986: 6fbc.*
+ 7987: 0d19.*
+ 7988: 6fbc.*
+ 7989: 0c19.*
+ 798a: 6fbc.*
+ 798b: 0e19.*
+ 798c: 6fbc.*
+ 798d: 0f19.*
+ 798e: 6fbc.*
+ 798f: 0f19.*
+ 7990: 6fbc.*
+ 7991: 0c1a.*
+ 7992: 6fbc.*
+ 7993: 0d1a.*
+ 7994: 6fbc.*
+ 7995: 0c1a.*
+ 7996: 6fbc.*
+ 7997: 0e1a.*
+ 7998: 6fbc.*
+ 7999: 0f1a.*
+ 799a: 6fbc.*
+ 799b: 0f1a.*
+ 799c: 6fbc.*
+ 799d: 0c1b.*
+ 799e: 6fbc.*
+ 799f: 0d1b.*
+ 79a0: 6fbc.*
+ 79a1: 0c1b.*
+ 79a2: 6fbc.*
+ 79a3: 0e1b.*
+ 79a4: 6fbc.*
+ 79a5: 0f1b.*
+ 79a6: 6fbc.*
+ 79a7: 0f1b.*
+ 79a8: 6fbc.*
+ 79a9: 0c1c.*
+ 79aa: 6fbc.*
+ 79ab: 0d1c.*
+ 79ac: 6fbc.*
+ 79ad: 0c1c.*
+ 79ae: 6fbc.*
+ 79af: 0e1c.*
+ 79b0: 6fbc.*
+ 79b1: 0f1c.*
+ 79b2: 6fbc.*
+ 79b3: 0f1c.*
+ 79b4: 6fbc.*
+ 79b5: 0c1d.*
+ 79b6: 6fbc.*
+ 79b7: 0d1d.*
+ 79b8: 6fbc.*
+ 79b9: 0c1d.*
+ 79ba: 6fbc.*
+ 79bb: 0e1d.*
+ 79bc: 6fbc.*
+ 79bd: 0f1d.*
+ 79be: 6fbc.*
+ 79bf: 0f1d.*
+ 79c0: 6fbc.*
+ 79c1: 0c1e.*
+ 79c2: 6fbc.*
+ 79c3: 0d1e.*
+ 79c4: 6fbc.*
+ 79c5: 0c1e.*
+ 79c6: 6fbc.*
+ 79c7: 0e1e.*
+ 79c8: 6fbc.*
+ 79c9: 0f1e.*
+ 79ca: 6fbc.*
+ 79cb: 0f1e.*
+ 79cc: 6fbc.*
+ 79cd: 0c1f.*
+ 79ce: 6fbc.*
+ 79cf: 0d1f.*
+ 79d0: 6fbc.*
+ 79d1: 0c1f.*
+ 79d2: 6fbc.*
+ 79d3: 0e1f.*
+ 79d4: 6fbc.*
+ 79d5: 0f1f.*
+ 79d6: 6fbc.*
+ 79d7: 0f1f.*
+ 79d8: 00bc.*
+ 79d9: 6fbc.*
+ 79da: 0d00.*
+ 79db: 00bc.*
+ 79dc: 6fbc.*
+ 79dd: 0e00.*
+ 79de: 01bc.*
+ 79df: 01bc.*
+ 79e0: 6fbc.*
+ 79e1: 0c01.*
+ 79e2: 6fbc.*
+ 79e3: 0d01.*
+ 79e4: 6fbc.*
+ 79e5: 0c01.*
+ 79e6: 6fbc.*
+ 79e7: 0e01.*
+ 79e8: 6fbc.*
+ 79e9: 0f01.*
+ 79ea: 6fbc.*
+ 79eb: 0f01.*
+ 79ec: 6fbc.*
+ 79ed: 0c02.*
+ 79ee: 6fbc.*
+ 79ef: 0d02.*
+ 79f0: 6fbc.*
+ 79f1: 0c02.*
+ 79f2: 6fbc.*
+ 79f3: 0e02.*
+ 79f4: 6fbc.*
+ 79f5: 0f02.*
+ 79f6: 6fbc.*
+ 79f7: 0f02.*
+ 79f8: 6fbc.*
+ 79f9: 0c03.*
+ 79fa: 6fbc.*
+ 79fb: 0d03.*
+ 79fc: 6fbc.*
+ 79fd: 0c03.*
+ 79fe: 6fbc.*
+ 79ff: 0e03.*
+ 7a00: 6fbc.*
+ 7a01: 0f03.*
+ 7a02: 6fbc.*
+ 7a03: 0f03.*
+ 7a04: 6fbc.*
+ 7a05: 0c04.*
+ 7a06: 6fbc.*
+ 7a07: 0d04.*
+ 7a08: 6fbc.*
+ 7a09: 0c04.*
+ 7a0a: 6fbc.*
+ 7a0b: 0e04.*
+ 7a0c: 6fbc.*
+ 7a0d: 0f04.*
+ 7a0e: 6fbc.*
+ 7a0f: 0f04.*
+ 7a10: 6fbc.*
+ 7a11: 0c05.*
+ 7a12: 6fbc.*
+ 7a13: 0d05.*
+ 7a14: 6fbc.*
+ 7a15: 0c05.*
+ 7a16: 6fbc.*
+ 7a17: 0e05.*
+ 7a18: 6fbc.*
+ 7a19: 0f05.*
+ 7a1a: 6fbc.*
+ 7a1b: 0f05.*
+ 7a1c: 6fbc.*
+ 7a1d: 0c06.*
+ 7a1e: 6fbc.*
+ 7a1f: 0d06.*
+ 7a20: 6fbc.*
+ 7a21: 0c06.*
+ 7a22: 6fbc.*
+ 7a23: 0e06.*
+ 7a24: 6fbc.*
+ 7a25: 0f06.*
+ 7a26: 6fbc.*
+ 7a27: 0f06.*
+ 7a28: 6fbc.*
+ 7a29: 0c07.*
+ 7a2a: 6fbc.*
+ 7a2b: 0d07.*
+ 7a2c: 6fbc.*
+ 7a2d: 0c07.*
+ 7a2e: 6fbc.*
+ 7a2f: 0e07.*
+ 7a30: 6fbc.*
+ 7a31: 0f07.*
+ 7a32: 6fbc.*
+ 7a33: 0f07.*
+ 7a34: 6fbc.*
+ 7a35: 0c08.*
+ 7a36: 6fbc.*
+ 7a37: 0d08.*
+ 7a38: 6fbc.*
+ 7a39: 0c08.*
+ 7a3a: 6fbc.*
+ 7a3b: 0e08.*
+ 7a3c: 6fbc.*
+ 7a3d: 0f08.*
+ 7a3e: 6fbc.*
+ 7a3f: 0f08.*
+ 7a40: 6fbc.*
+ 7a41: 0c09.*
+ 7a42: 6fbc.*
+ 7a43: 0d09.*
+ 7a44: 6fbc.*
+ 7a45: 0c09.*
+ 7a46: 6fbc.*
+ 7a47: 0e09.*
+ 7a48: 6fbc.*
+ 7a49: 0f09.*
+ 7a4a: 6fbc.*
+ 7a4b: 0f09.*
+ 7a4c: 6fbc.*
+ 7a4d: 0c0a.*
+ 7a4e: 6fbc.*
+ 7a4f: 0d0a.*
+ 7a50: 6fbc.*
+ 7a51: 0c0a.*
+ 7a52: 6fbc.*
+ 7a53: 0e0a.*
+ 7a54: 6fbc.*
+ 7a55: 0f0a.*
+ 7a56: 6fbc.*
+ 7a57: 0f0a.*
+ 7a58: 6fbc.*
+ 7a59: 0c0b.*
+ 7a5a: 6fbc.*
+ 7a5b: 0d0b.*
+ 7a5c: 6fbc.*
+ 7a5d: 0c0b.*
+ 7a5e: 6fbc.*
+ 7a5f: 0e0b.*
+ 7a60: 6fbc.*
+ 7a61: 0f0b.*
+ 7a62: 6fbc.*
+ 7a63: 0f0b.*
+ 7a64: 6fbc.*
+ 7a65: 0c0c.*
+ 7a66: 6fbc.*
+ 7a67: 0d0c.*
+ 7a68: 6fbc.*
+ 7a69: 0c0c.*
+ 7a6a: 6fbc.*
+ 7a6b: 0e0c.*
+ 7a6c: 6fbc.*
+ 7a6d: 0f0c.*
+ 7a6e: 6fbc.*
+ 7a6f: 0f0c.*
+ 7a70: 6fbc.*
+ 7a71: 0c0d.*
+ 7a72: 6fbc.*
+ 7a73: 0d0d.*
+ 7a74: 6fbc.*
+ 7a75: 0c0d.*
+ 7a76: 6fbc.*
+ 7a77: 0e0d.*
+ 7a78: 6fbc.*
+ 7a79: 0f0d.*
+ 7a7a: 6fbc.*
+ 7a7b: 0f0d.*
+ 7a7c: 6fbc.*
+ 7a7d: 0c0e.*
+ 7a7e: 6fbc.*
+ 7a7f: 0d0e.*
+ 7a80: 6fbc.*
+ 7a81: 0c0e.*
+ 7a82: 6fbc.*
+ 7a83: 0e0e.*
+ 7a84: 6fbc.*
+ 7a85: 0f0e.*
+ 7a86: 6fbc.*
+ 7a87: 0f0e.*
+ 7a88: 6fbc.*
+ 7a89: 0c0f.*
+ 7a8a: 6fbc.*
+ 7a8b: 0d0f.*
+ 7a8c: 6fbc.*
+ 7a8d: 0c0f.*
+ 7a8e: 6fbc.*
+ 7a8f: 0e0f.*
+ 7a90: 6fbc.*
+ 7a91: 0f0f.*
+ 7a92: 6fbc.*
+ 7a93: 0f0f.*
+ 7a94: 00c4.*
+ 7a95: 6fc4.*
+ 7a96: 0d00.*
+ 7a97: 00c4.*
+ 7a98: 6fc4.*
+ 7a99: 0e00.*
+ 7a9a: 01c4.*
+ 7a9b: 01c4.*
+ 7a9c: 6fc4.*
+ 7a9d: 0c10.*
+ 7a9e: 6fc4.*
+ 7a9f: 0d10.*
+ 7aa0: 6fc4.*
+ 7aa1: 0c10.*
+ 7aa2: 6fc4.*
+ 7aa3: 0e10.*
+ 7aa4: 6fc4.*
+ 7aa5: 0f10.*
+ 7aa6: 6fc4.*
+ 7aa7: 0f10.*
+ 7aa8: 6fc4.*
+ 7aa9: 0c11.*
+ 7aaa: 6fc4.*
+ 7aab: 0d11.*
+ 7aac: 6fc4.*
+ 7aad: 0c11.*
+ 7aae: 6fc4.*
+ 7aaf: 0e11.*
+ 7ab0: 6fc4.*
+ 7ab1: 0f11.*
+ 7ab2: 6fc4.*
+ 7ab3: 0f11.*
+ 7ab4: 6fc4.*
+ 7ab5: 0c12.*
+ 7ab6: 6fc4.*
+ 7ab7: 0d12.*
+ 7ab8: 6fc4.*
+ 7ab9: 0c12.*
+ 7aba: 6fc4.*
+ 7abb: 0e12.*
+ 7abc: 6fc4.*
+ 7abd: 0f12.*
+ 7abe: 6fc4.*
+ 7abf: 0f12.*
+ 7ac0: 6fc4.*
+ 7ac1: 0c13.*
+ 7ac2: 6fc4.*
+ 7ac3: 0d13.*
+ 7ac4: 6fc4.*
+ 7ac5: 0c13.*
+ 7ac6: 6fc4.*
+ 7ac7: 0e13.*
+ 7ac8: 6fc4.*
+ 7ac9: 0f13.*
+ 7aca: 6fc4.*
+ 7acb: 0f13.*
+ 7acc: 6fc4.*
+ 7acd: 0c14.*
+ 7ace: 6fc4.*
+ 7acf: 0d14.*
+ 7ad0: 6fc4.*
+ 7ad1: 0c14.*
+ 7ad2: 6fc4.*
+ 7ad3: 0e14.*
+ 7ad4: 6fc4.*
+ 7ad5: 0f14.*
+ 7ad6: 6fc4.*
+ 7ad7: 0f14.*
+ 7ad8: 6fc4.*
+ 7ad9: 0c15.*
+ 7ada: 6fc4.*
+ 7adb: 0d15.*
+ 7adc: 6fc4.*
+ 7add: 0c15.*
+ 7ade: 6fc4.*
+ 7adf: 0e15.*
+ 7ae0: 6fc4.*
+ 7ae1: 0f15.*
+ 7ae2: 6fc4.*
+ 7ae3: 0f15.*
+ 7ae4: 6fc4.*
+ 7ae5: 0c16.*
+ 7ae6: 6fc4.*
+ 7ae7: 0d16.*
+ 7ae8: 6fc4.*
+ 7ae9: 0c16.*
+ 7aea: 6fc4.*
+ 7aeb: 0e16.*
+ 7aec: 6fc4.*
+ 7aed: 0f16.*
+ 7aee: 6fc4.*
+ 7aef: 0f16.*
+ 7af0: 6fc4.*
+ 7af1: 0c17.*
+ 7af2: 6fc4.*
+ 7af3: 0d17.*
+ 7af4: 6fc4.*
+ 7af5: 0c17.*
+ 7af6: 6fc4.*
+ 7af7: 0e17.*
+ 7af8: 6fc4.*
+ 7af9: 0f17.*
+ 7afa: 6fc4.*
+ 7afb: 0f17.*
+ 7afc: 6fc4.*
+ 7afd: 0c18.*
+ 7afe: 6fc4.*
+ 7aff: 0d18.*
+ 7b00: 6fc4.*
+ 7b01: 0c18.*
+ 7b02: 6fc4.*
+ 7b03: 0e18.*
+ 7b04: 6fc4.*
+ 7b05: 0f18.*
+ 7b06: 6fc4.*
+ 7b07: 0f18.*
+ 7b08: 6fc4.*
+ 7b09: 0c19.*
+ 7b0a: 6fc4.*
+ 7b0b: 0d19.*
+ 7b0c: 6fc4.*
+ 7b0d: 0c19.*
+ 7b0e: 6fc4.*
+ 7b0f: 0e19.*
+ 7b10: 6fc4.*
+ 7b11: 0f19.*
+ 7b12: 6fc4.*
+ 7b13: 0f19.*
+ 7b14: 6fc4.*
+ 7b15: 0c1a.*
+ 7b16: 6fc4.*
+ 7b17: 0d1a.*
+ 7b18: 6fc4.*
+ 7b19: 0c1a.*
+ 7b1a: 6fc4.*
+ 7b1b: 0e1a.*
+ 7b1c: 6fc4.*
+ 7b1d: 0f1a.*
+ 7b1e: 6fc4.*
+ 7b1f: 0f1a.*
+ 7b20: 6fc4.*
+ 7b21: 0c1b.*
+ 7b22: 6fc4.*
+ 7b23: 0d1b.*
+ 7b24: 6fc4.*
+ 7b25: 0c1b.*
+ 7b26: 6fc4.*
+ 7b27: 0e1b.*
+ 7b28: 6fc4.*
+ 7b29: 0f1b.*
+ 7b2a: 6fc4.*
+ 7b2b: 0f1b.*
+ 7b2c: 6fc4.*
+ 7b2d: 0c1c.*
+ 7b2e: 6fc4.*
+ 7b2f: 0d1c.*
+ 7b30: 6fc4.*
+ 7b31: 0c1c.*
+ 7b32: 6fc4.*
+ 7b33: 0e1c.*
+ 7b34: 6fc4.*
+ 7b35: 0f1c.*
+ 7b36: 6fc4.*
+ 7b37: 0f1c.*
+ 7b38: 6fc4.*
+ 7b39: 0c1d.*
+ 7b3a: 6fc4.*
+ 7b3b: 0d1d.*
+ 7b3c: 6fc4.*
+ 7b3d: 0c1d.*
+ 7b3e: 6fc4.*
+ 7b3f: 0e1d.*
+ 7b40: 6fc4.*
+ 7b41: 0f1d.*
+ 7b42: 6fc4.*
+ 7b43: 0f1d.*
+ 7b44: 6fc4.*
+ 7b45: 0c1e.*
+ 7b46: 6fc4.*
+ 7b47: 0d1e.*
+ 7b48: 6fc4.*
+ 7b49: 0c1e.*
+ 7b4a: 6fc4.*
+ 7b4b: 0e1e.*
+ 7b4c: 6fc4.*
+ 7b4d: 0f1e.*
+ 7b4e: 6fc4.*
+ 7b4f: 0f1e.*
+ 7b50: 6fc4.*
+ 7b51: 0c1f.*
+ 7b52: 6fc4.*
+ 7b53: 0d1f.*
+ 7b54: 6fc4.*
+ 7b55: 0c1f.*
+ 7b56: 6fc4.*
+ 7b57: 0e1f.*
+ 7b58: 6fc4.*
+ 7b59: 0f1f.*
+ 7b5a: 6fc4.*
+ 7b5b: 0f1f.*
+ 7b5c: 00c4.*
+ 7b5d: 6fc4.*
+ 7b5e: 0d00.*
+ 7b5f: 00c4.*
+ 7b60: 6fc4.*
+ 7b61: 0e00.*
+ 7b62: 01c4.*
+ 7b63: 01c4.*
+ 7b64: 6fc4.*
+ 7b65: 0c01.*
+ 7b66: 6fc4.*
+ 7b67: 0d01.*
+ 7b68: 6fc4.*
+ 7b69: 0c01.*
+ 7b6a: 6fc4.*
+ 7b6b: 0e01.*
+ 7b6c: 6fc4.*
+ 7b6d: 0f01.*
+ 7b6e: 6fc4.*
+ 7b6f: 0f01.*
+ 7b70: 6fc4.*
+ 7b71: 0c02.*
+ 7b72: 6fc4.*
+ 7b73: 0d02.*
+ 7b74: 6fc4.*
+ 7b75: 0c02.*
+ 7b76: 6fc4.*
+ 7b77: 0e02.*
+ 7b78: 6fc4.*
+ 7b79: 0f02.*
+ 7b7a: 6fc4.*
+ 7b7b: 0f02.*
+ 7b7c: 6fc4.*
+ 7b7d: 0c03.*
+ 7b7e: 6fc4.*
+ 7b7f: 0d03.*
+ 7b80: 6fc4.*
+ 7b81: 0c03.*
+ 7b82: 6fc4.*
+ 7b83: 0e03.*
+ 7b84: 6fc4.*
+ 7b85: 0f03.*
+ 7b86: 6fc4.*
+ 7b87: 0f03.*
+ 7b88: 6fc4.*
+ 7b89: 0c04.*
+ 7b8a: 6fc4.*
+ 7b8b: 0d04.*
+ 7b8c: 6fc4.*
+ 7b8d: 0c04.*
+ 7b8e: 6fc4.*
+ 7b8f: 0e04.*
+ 7b90: 6fc4.*
+ 7b91: 0f04.*
+ 7b92: 6fc4.*
+ 7b93: 0f04.*
+ 7b94: 6fc4.*
+ 7b95: 0c05.*
+ 7b96: 6fc4.*
+ 7b97: 0d05.*
+ 7b98: 6fc4.*
+ 7b99: 0c05.*
+ 7b9a: 6fc4.*
+ 7b9b: 0e05.*
+ 7b9c: 6fc4.*
+ 7b9d: 0f05.*
+ 7b9e: 6fc4.*
+ 7b9f: 0f05.*
+ 7ba0: 6fc4.*
+ 7ba1: 0c06.*
+ 7ba2: 6fc4.*
+ 7ba3: 0d06.*
+ 7ba4: 6fc4.*
+ 7ba5: 0c06.*
+ 7ba6: 6fc4.*
+ 7ba7: 0e06.*
+ 7ba8: 6fc4.*
+ 7ba9: 0f06.*
+ 7baa: 6fc4.*
+ 7bab: 0f06.*
+ 7bac: 6fc4.*
+ 7bad: 0c07.*
+ 7bae: 6fc4.*
+ 7baf: 0d07.*
+ 7bb0: 6fc4.*
+ 7bb1: 0c07.*
+ 7bb2: 6fc4.*
+ 7bb3: 0e07.*
+ 7bb4: 6fc4.*
+ 7bb5: 0f07.*
+ 7bb6: 6fc4.*
+ 7bb7: 0f07.*
+ 7bb8: 6fc4.*
+ 7bb9: 0c08.*
+ 7bba: 6fc4.*
+ 7bbb: 0d08.*
+ 7bbc: 6fc4.*
+ 7bbd: 0c08.*
+ 7bbe: 6fc4.*
+ 7bbf: 0e08.*
+ 7bc0: 6fc4.*
+ 7bc1: 0f08.*
+ 7bc2: 6fc4.*
+ 7bc3: 0f08.*
+ 7bc4: 6fc4.*
+ 7bc5: 0c09.*
+ 7bc6: 6fc4.*
+ 7bc7: 0d09.*
+ 7bc8: 6fc4.*
+ 7bc9: 0c09.*
+ 7bca: 6fc4.*
+ 7bcb: 0e09.*
+ 7bcc: 6fc4.*
+ 7bcd: 0f09.*
+ 7bce: 6fc4.*
+ 7bcf: 0f09.*
+ 7bd0: 6fc4.*
+ 7bd1: 0c0a.*
+ 7bd2: 6fc4.*
+ 7bd3: 0d0a.*
+ 7bd4: 6fc4.*
+ 7bd5: 0c0a.*
+ 7bd6: 6fc4.*
+ 7bd7: 0e0a.*
+ 7bd8: 6fc4.*
+ 7bd9: 0f0a.*
+ 7bda: 6fc4.*
+ 7bdb: 0f0a.*
+ 7bdc: 6fc4.*
+ 7bdd: 0c0b.*
+ 7bde: 6fc4.*
+ 7bdf: 0d0b.*
+ 7be0: 6fc4.*
+ 7be1: 0c0b.*
+ 7be2: 6fc4.*
+ 7be3: 0e0b.*
+ 7be4: 6fc4.*
+ 7be5: 0f0b.*
+ 7be6: 6fc4.*
+ 7be7: 0f0b.*
+ 7be8: 6fc4.*
+ 7be9: 0c0c.*
+ 7bea: 6fc4.*
+ 7beb: 0d0c.*
+ 7bec: 6fc4.*
+ 7bed: 0c0c.*
+ 7bee: 6fc4.*
+ 7bef: 0e0c.*
+ 7bf0: 6fc4.*
+ 7bf1: 0f0c.*
+ 7bf2: 6fc4.*
+ 7bf3: 0f0c.*
+ 7bf4: 6fc4.*
+ 7bf5: 0c0d.*
+ 7bf6: 6fc4.*
+ 7bf7: 0d0d.*
+ 7bf8: 6fc4.*
+ 7bf9: 0c0d.*
+ 7bfa: 6fc4.*
+ 7bfb: 0e0d.*
+ 7bfc: 6fc4.*
+ 7bfd: 0f0d.*
+ 7bfe: 6fc4.*
+ 7bff: 0f0d.*
+ 7c00: 6fc4.*
+ 7c01: 0c0e.*
+ 7c02: 6fc4.*
+ 7c03: 0d0e.*
+ 7c04: 6fc4.*
+ 7c05: 0c0e.*
+ 7c06: 6fc4.*
+ 7c07: 0e0e.*
+ 7c08: 6fc4.*
+ 7c09: 0f0e.*
+ 7c0a: 6fc4.*
+ 7c0b: 0f0e.*
+ 7c0c: 6fc4.*
+ 7c0d: 0c0f.*
+ 7c0e: 6fc4.*
+ 7c0f: 0d0f.*
+ 7c10: 6fc4.*
+ 7c11: 0c0f.*
+ 7c12: 6fc4.*
+ 7c13: 0e0f.*
+ 7c14: 6fc4.*
+ 7c15: 0f0f.*
+ 7c16: 6fc4.*
+ 7c17: 0f0f.*
+ 7c18: 00cc.*
+ 7c19: 6fcc.*
+ 7c1a: 0d00.*
+ 7c1b: 00cc.*
+ 7c1c: 6fcc.*
+ 7c1d: 0e00.*
+ 7c1e: 01cc.*
+ 7c1f: 01cc.*
+ 7c20: 6fcc.*
+ 7c21: 0c10.*
+ 7c22: 6fcc.*
+ 7c23: 0d10.*
+ 7c24: 6fcc.*
+ 7c25: 0c10.*
+ 7c26: 6fcc.*
+ 7c27: 0e10.*
+ 7c28: 6fcc.*
+ 7c29: 0f10.*
+ 7c2a: 6fcc.*
+ 7c2b: 0f10.*
+ 7c2c: 6fcc.*
+ 7c2d: 0c11.*
+ 7c2e: 6fcc.*
+ 7c2f: 0d11.*
+ 7c30: 6fcc.*
+ 7c31: 0c11.*
+ 7c32: 6fcc.*
+ 7c33: 0e11.*
+ 7c34: 6fcc.*
+ 7c35: 0f11.*
+ 7c36: 6fcc.*
+ 7c37: 0f11.*
+ 7c38: 6fcc.*
+ 7c39: 0c12.*
+ 7c3a: 6fcc.*
+ 7c3b: 0d12.*
+ 7c3c: 6fcc.*
+ 7c3d: 0c12.*
+ 7c3e: 6fcc.*
+ 7c3f: 0e12.*
+ 7c40: 6fcc.*
+ 7c41: 0f12.*
+ 7c42: 6fcc.*
+ 7c43: 0f12.*
+ 7c44: 6fcc.*
+ 7c45: 0c13.*
+ 7c46: 6fcc.*
+ 7c47: 0d13.*
+ 7c48: 6fcc.*
+ 7c49: 0c13.*
+ 7c4a: 6fcc.*
+ 7c4b: 0e13.*
+ 7c4c: 6fcc.*
+ 7c4d: 0f13.*
+ 7c4e: 6fcc.*
+ 7c4f: 0f13.*
+ 7c50: 6fcc.*
+ 7c51: 0c14.*
+ 7c52: 6fcc.*
+ 7c53: 0d14.*
+ 7c54: 6fcc.*
+ 7c55: 0c14.*
+ 7c56: 6fcc.*
+ 7c57: 0e14.*
+ 7c58: 6fcc.*
+ 7c59: 0f14.*
+ 7c5a: 6fcc.*
+ 7c5b: 0f14.*
+ 7c5c: 6fcc.*
+ 7c5d: 0c15.*
+ 7c5e: 6fcc.*
+ 7c5f: 0d15.*
+ 7c60: 6fcc.*
+ 7c61: 0c15.*
+ 7c62: 6fcc.*
+ 7c63: 0e15.*
+ 7c64: 6fcc.*
+ 7c65: 0f15.*
+ 7c66: 6fcc.*
+ 7c67: 0f15.*
+ 7c68: 6fcc.*
+ 7c69: 0c16.*
+ 7c6a: 6fcc.*
+ 7c6b: 0d16.*
+ 7c6c: 6fcc.*
+ 7c6d: 0c16.*
+ 7c6e: 6fcc.*
+ 7c6f: 0e16.*
+ 7c70: 6fcc.*
+ 7c71: 0f16.*
+ 7c72: 6fcc.*
+ 7c73: 0f16.*
+ 7c74: 6fcc.*
+ 7c75: 0c17.*
+ 7c76: 6fcc.*
+ 7c77: 0d17.*
+ 7c78: 6fcc.*
+ 7c79: 0c17.*
+ 7c7a: 6fcc.*
+ 7c7b: 0e17.*
+ 7c7c: 6fcc.*
+ 7c7d: 0f17.*
+ 7c7e: 6fcc.*
+ 7c7f: 0f17.*
+ 7c80: 6fcc.*
+ 7c81: 0c18.*
+ 7c82: 6fcc.*
+ 7c83: 0d18.*
+ 7c84: 6fcc.*
+ 7c85: 0c18.*
+ 7c86: 6fcc.*
+ 7c87: 0e18.*
+ 7c88: 6fcc.*
+ 7c89: 0f18.*
+ 7c8a: 6fcc.*
+ 7c8b: 0f18.*
+ 7c8c: 6fcc.*
+ 7c8d: 0c19.*
+ 7c8e: 6fcc.*
+ 7c8f: 0d19.*
+ 7c90: 6fcc.*
+ 7c91: 0c19.*
+ 7c92: 6fcc.*
+ 7c93: 0e19.*
+ 7c94: 6fcc.*
+ 7c95: 0f19.*
+ 7c96: 6fcc.*
+ 7c97: 0f19.*
+ 7c98: 6fcc.*
+ 7c99: 0c1a.*
+ 7c9a: 6fcc.*
+ 7c9b: 0d1a.*
+ 7c9c: 6fcc.*
+ 7c9d: 0c1a.*
+ 7c9e: 6fcc.*
+ 7c9f: 0e1a.*
+ 7ca0: 6fcc.*
+ 7ca1: 0f1a.*
+ 7ca2: 6fcc.*
+ 7ca3: 0f1a.*
+ 7ca4: 6fcc.*
+ 7ca5: 0c1b.*
+ 7ca6: 6fcc.*
+ 7ca7: 0d1b.*
+ 7ca8: 6fcc.*
+ 7ca9: 0c1b.*
+ 7caa: 6fcc.*
+ 7cab: 0e1b.*
+ 7cac: 6fcc.*
+ 7cad: 0f1b.*
+ 7cae: 6fcc.*
+ 7caf: 0f1b.*
+ 7cb0: 6fcc.*
+ 7cb1: 0c1c.*
+ 7cb2: 6fcc.*
+ 7cb3: 0d1c.*
+ 7cb4: 6fcc.*
+ 7cb5: 0c1c.*
+ 7cb6: 6fcc.*
+ 7cb7: 0e1c.*
+ 7cb8: 6fcc.*
+ 7cb9: 0f1c.*
+ 7cba: 6fcc.*
+ 7cbb: 0f1c.*
+ 7cbc: 6fcc.*
+ 7cbd: 0c1d.*
+ 7cbe: 6fcc.*
+ 7cbf: 0d1d.*
+ 7cc0: 6fcc.*
+ 7cc1: 0c1d.*
+ 7cc2: 6fcc.*
+ 7cc3: 0e1d.*
+ 7cc4: 6fcc.*
+ 7cc5: 0f1d.*
+ 7cc6: 6fcc.*
+ 7cc7: 0f1d.*
+ 7cc8: 6fcc.*
+ 7cc9: 0c1e.*
+ 7cca: 6fcc.*
+ 7ccb: 0d1e.*
+ 7ccc: 6fcc.*
+ 7ccd: 0c1e.*
+ 7cce: 6fcc.*
+ 7ccf: 0e1e.*
+ 7cd0: 6fcc.*
+ 7cd1: 0f1e.*
+ 7cd2: 6fcc.*
+ 7cd3: 0f1e.*
+ 7cd4: 6fcc.*
+ 7cd5: 0c1f.*
+ 7cd6: 6fcc.*
+ 7cd7: 0d1f.*
+ 7cd8: 6fcc.*
+ 7cd9: 0c1f.*
+ 7cda: 6fcc.*
+ 7cdb: 0e1f.*
+ 7cdc: 6fcc.*
+ 7cdd: 0f1f.*
+ 7cde: 6fcc.*
+ 7cdf: 0f1f.*
+ 7ce0: 00cc.*
+ 7ce1: 6fcc.*
+ 7ce2: 0d00.*
+ 7ce3: 00cc.*
+ 7ce4: 6fcc.*
+ 7ce5: 0e00.*
+ 7ce6: 01cc.*
+ 7ce7: 01cc.*
+ 7ce8: 6fcc.*
+ 7ce9: 0c01.*
+ 7cea: 6fcc.*
+ 7ceb: 0d01.*
+ 7cec: 6fcc.*
+ 7ced: 0c01.*
+ 7cee: 6fcc.*
+ 7cef: 0e01.*
+ 7cf0: 6fcc.*
+ 7cf1: 0f01.*
+ 7cf2: 6fcc.*
+ 7cf3: 0f01.*
+ 7cf4: 6fcc.*
+ 7cf5: 0c02.*
+ 7cf6: 6fcc.*
+ 7cf7: 0d02.*
+ 7cf8: 6fcc.*
+ 7cf9: 0c02.*
+ 7cfa: 6fcc.*
+ 7cfb: 0e02.*
+ 7cfc: 6fcc.*
+ 7cfd: 0f02.*
+ 7cfe: 6fcc.*
+ 7cff: 0f02.*
+ 7d00: 6fcc.*
+ 7d01: 0c03.*
+ 7d02: 6fcc.*
+ 7d03: 0d03.*
+ 7d04: 6fcc.*
+ 7d05: 0c03.*
+ 7d06: 6fcc.*
+ 7d07: 0e03.*
+ 7d08: 6fcc.*
+ 7d09: 0f03.*
+ 7d0a: 6fcc.*
+ 7d0b: 0f03.*
+ 7d0c: 6fcc.*
+ 7d0d: 0c04.*
+ 7d0e: 6fcc.*
+ 7d0f: 0d04.*
+ 7d10: 6fcc.*
+ 7d11: 0c04.*
+ 7d12: 6fcc.*
+ 7d13: 0e04.*
+ 7d14: 6fcc.*
+ 7d15: 0f04.*
+ 7d16: 6fcc.*
+ 7d17: 0f04.*
+ 7d18: 6fcc.*
+ 7d19: 0c05.*
+ 7d1a: 6fcc.*
+ 7d1b: 0d05.*
+ 7d1c: 6fcc.*
+ 7d1d: 0c05.*
+ 7d1e: 6fcc.*
+ 7d1f: 0e05.*
+ 7d20: 6fcc.*
+ 7d21: 0f05.*
+ 7d22: 6fcc.*
+ 7d23: 0f05.*
+ 7d24: 6fcc.*
+ 7d25: 0c06.*
+ 7d26: 6fcc.*
+ 7d27: 0d06.*
+ 7d28: 6fcc.*
+ 7d29: 0c06.*
+ 7d2a: 6fcc.*
+ 7d2b: 0e06.*
+ 7d2c: 6fcc.*
+ 7d2d: 0f06.*
+ 7d2e: 6fcc.*
+ 7d2f: 0f06.*
+ 7d30: 6fcc.*
+ 7d31: 0c07.*
+ 7d32: 6fcc.*
+ 7d33: 0d07.*
+ 7d34: 6fcc.*
+ 7d35: 0c07.*
+ 7d36: 6fcc.*
+ 7d37: 0e07.*
+ 7d38: 6fcc.*
+ 7d39: 0f07.*
+ 7d3a: 6fcc.*
+ 7d3b: 0f07.*
+ 7d3c: 6fcc.*
+ 7d3d: 0c08.*
+ 7d3e: 6fcc.*
+ 7d3f: 0d08.*
+ 7d40: 6fcc.*
+ 7d41: 0c08.*
+ 7d42: 6fcc.*
+ 7d43: 0e08.*
+ 7d44: 6fcc.*
+ 7d45: 0f08.*
+ 7d46: 6fcc.*
+ 7d47: 0f08.*
+ 7d48: 6fcc.*
+ 7d49: 0c09.*
+ 7d4a: 6fcc.*
+ 7d4b: 0d09.*
+ 7d4c: 6fcc.*
+ 7d4d: 0c09.*
+ 7d4e: 6fcc.*
+ 7d4f: 0e09.*
+ 7d50: 6fcc.*
+ 7d51: 0f09.*
+ 7d52: 6fcc.*
+ 7d53: 0f09.*
+ 7d54: 6fcc.*
+ 7d55: 0c0a.*
+ 7d56: 6fcc.*
+ 7d57: 0d0a.*
+ 7d58: 6fcc.*
+ 7d59: 0c0a.*
+ 7d5a: 6fcc.*
+ 7d5b: 0e0a.*
+ 7d5c: 6fcc.*
+ 7d5d: 0f0a.*
+ 7d5e: 6fcc.*
+ 7d5f: 0f0a.*
+ 7d60: 6fcc.*
+ 7d61: 0c0b.*
+ 7d62: 6fcc.*
+ 7d63: 0d0b.*
+ 7d64: 6fcc.*
+ 7d65: 0c0b.*
+ 7d66: 6fcc.*
+ 7d67: 0e0b.*
+ 7d68: 6fcc.*
+ 7d69: 0f0b.*
+ 7d6a: 6fcc.*
+ 7d6b: 0f0b.*
+ 7d6c: 6fcc.*
+ 7d6d: 0c0c.*
+ 7d6e: 6fcc.*
+ 7d6f: 0d0c.*
+ 7d70: 6fcc.*
+ 7d71: 0c0c.*
+ 7d72: 6fcc.*
+ 7d73: 0e0c.*
+ 7d74: 6fcc.*
+ 7d75: 0f0c.*
+ 7d76: 6fcc.*
+ 7d77: 0f0c.*
+ 7d78: 6fcc.*
+ 7d79: 0c0d.*
+ 7d7a: 6fcc.*
+ 7d7b: 0d0d.*
+ 7d7c: 6fcc.*
+ 7d7d: 0c0d.*
+ 7d7e: 6fcc.*
+ 7d7f: 0e0d.*
+ 7d80: 6fcc.*
+ 7d81: 0f0d.*
+ 7d82: 6fcc.*
+ 7d83: 0f0d.*
+ 7d84: 6fcc.*
+ 7d85: 0c0e.*
+ 7d86: 6fcc.*
+ 7d87: 0d0e.*
+ 7d88: 6fcc.*
+ 7d89: 0c0e.*
+ 7d8a: 6fcc.*
+ 7d8b: 0e0e.*
+ 7d8c: 6fcc.*
+ 7d8d: 0f0e.*
+ 7d8e: 6fcc.*
+ 7d8f: 0f0e.*
+ 7d90: 6fcc.*
+ 7d91: 0c0f.*
+ 7d92: 6fcc.*
+ 7d93: 0d0f.*
+ 7d94: 6fcc.*
+ 7d95: 0c0f.*
+ 7d96: 6fcc.*
+ 7d97: 0e0f.*
+ 7d98: 6fcc.*
+ 7d99: 0f0f.*
+ 7d9a: 6fcc.*
+ 7d9b: 0f0f.*
+ 7d9c: 00d4.*
+ 7d9d: 6fd4.*
+ 7d9e: 0d00.*
+ 7d9f: 00d4.*
+ 7da0: 6fd4.*
+ 7da1: 0e00.*
+ 7da2: 01d4.*
+ 7da3: 01d4.*
+ 7da4: 6fd4.*
+ 7da5: 0c10.*
+ 7da6: 6fd4.*
+ 7da7: 0d10.*
+ 7da8: 6fd4.*
+ 7da9: 0c10.*
+ 7daa: 6fd4.*
+ 7dab: 0e10.*
+ 7dac: 6fd4.*
+ 7dad: 0f10.*
+ 7dae: 6fd4.*
+ 7daf: 0f10.*
+ 7db0: 6fd4.*
+ 7db1: 0c11.*
+ 7db2: 6fd4.*
+ 7db3: 0d11.*
+ 7db4: 6fd4.*
+ 7db5: 0c11.*
+ 7db6: 6fd4.*
+ 7db7: 0e11.*
+ 7db8: 6fd4.*
+ 7db9: 0f11.*
+ 7dba: 6fd4.*
+ 7dbb: 0f11.*
+ 7dbc: 6fd4.*
+ 7dbd: 0c12.*
+ 7dbe: 6fd4.*
+ 7dbf: 0d12.*
+ 7dc0: 6fd4.*
+ 7dc1: 0c12.*
+ 7dc2: 6fd4.*
+ 7dc3: 0e12.*
+ 7dc4: 6fd4.*
+ 7dc5: 0f12.*
+ 7dc6: 6fd4.*
+ 7dc7: 0f12.*
+ 7dc8: 6fd4.*
+ 7dc9: 0c13.*
+ 7dca: 6fd4.*
+ 7dcb: 0d13.*
+ 7dcc: 6fd4.*
+ 7dcd: 0c13.*
+ 7dce: 6fd4.*
+ 7dcf: 0e13.*
+ 7dd0: 6fd4.*
+ 7dd1: 0f13.*
+ 7dd2: 6fd4.*
+ 7dd3: 0f13.*
+ 7dd4: 6fd4.*
+ 7dd5: 0c14.*
+ 7dd6: 6fd4.*
+ 7dd7: 0d14.*
+ 7dd8: 6fd4.*
+ 7dd9: 0c14.*
+ 7dda: 6fd4.*
+ 7ddb: 0e14.*
+ 7ddc: 6fd4.*
+ 7ddd: 0f14.*
+ 7dde: 6fd4.*
+ 7ddf: 0f14.*
+ 7de0: 6fd4.*
+ 7de1: 0c15.*
+ 7de2: 6fd4.*
+ 7de3: 0d15.*
+ 7de4: 6fd4.*
+ 7de5: 0c15.*
+ 7de6: 6fd4.*
+ 7de7: 0e15.*
+ 7de8: 6fd4.*
+ 7de9: 0f15.*
+ 7dea: 6fd4.*
+ 7deb: 0f15.*
+ 7dec: 6fd4.*
+ 7ded: 0c16.*
+ 7dee: 6fd4.*
+ 7def: 0d16.*
+ 7df0: 6fd4.*
+ 7df1: 0c16.*
+ 7df2: 6fd4.*
+ 7df3: 0e16.*
+ 7df4: 6fd4.*
+ 7df5: 0f16.*
+ 7df6: 6fd4.*
+ 7df7: 0f16.*
+ 7df8: 6fd4.*
+ 7df9: 0c17.*
+ 7dfa: 6fd4.*
+ 7dfb: 0d17.*
+ 7dfc: 6fd4.*
+ 7dfd: 0c17.*
+ 7dfe: 6fd4.*
+ 7dff: 0e17.*
+ 7e00: 6fd4.*
+ 7e01: 0f17.*
+ 7e02: 6fd4.*
+ 7e03: 0f17.*
+ 7e04: 6fd4.*
+ 7e05: 0c18.*
+ 7e06: 6fd4.*
+ 7e07: 0d18.*
+ 7e08: 6fd4.*
+ 7e09: 0c18.*
+ 7e0a: 6fd4.*
+ 7e0b: 0e18.*
+ 7e0c: 6fd4.*
+ 7e0d: 0f18.*
+ 7e0e: 6fd4.*
+ 7e0f: 0f18.*
+ 7e10: 6fd4.*
+ 7e11: 0c19.*
+ 7e12: 6fd4.*
+ 7e13: 0d19.*
+ 7e14: 6fd4.*
+ 7e15: 0c19.*
+ 7e16: 6fd4.*
+ 7e17: 0e19.*
+ 7e18: 6fd4.*
+ 7e19: 0f19.*
+ 7e1a: 6fd4.*
+ 7e1b: 0f19.*
+ 7e1c: 6fd4.*
+ 7e1d: 0c1a.*
+ 7e1e: 6fd4.*
+ 7e1f: 0d1a.*
+ 7e20: 6fd4.*
+ 7e21: 0c1a.*
+ 7e22: 6fd4.*
+ 7e23: 0e1a.*
+ 7e24: 6fd4.*
+ 7e25: 0f1a.*
+ 7e26: 6fd4.*
+ 7e27: 0f1a.*
+ 7e28: 6fd4.*
+ 7e29: 0c1b.*
+ 7e2a: 6fd4.*
+ 7e2b: 0d1b.*
+ 7e2c: 6fd4.*
+ 7e2d: 0c1b.*
+ 7e2e: 6fd4.*
+ 7e2f: 0e1b.*
+ 7e30: 6fd4.*
+ 7e31: 0f1b.*
+ 7e32: 6fd4.*
+ 7e33: 0f1b.*
+ 7e34: 6fd4.*
+ 7e35: 0c1c.*
+ 7e36: 6fd4.*
+ 7e37: 0d1c.*
+ 7e38: 6fd4.*
+ 7e39: 0c1c.*
+ 7e3a: 6fd4.*
+ 7e3b: 0e1c.*
+ 7e3c: 6fd4.*
+ 7e3d: 0f1c.*
+ 7e3e: 6fd4.*
+ 7e3f: 0f1c.*
+ 7e40: 6fd4.*
+ 7e41: 0c1d.*
+ 7e42: 6fd4.*
+ 7e43: 0d1d.*
+ 7e44: 6fd4.*
+ 7e45: 0c1d.*
+ 7e46: 6fd4.*
+ 7e47: 0e1d.*
+ 7e48: 6fd4.*
+ 7e49: 0f1d.*
+ 7e4a: 6fd4.*
+ 7e4b: 0f1d.*
+ 7e4c: 6fd4.*
+ 7e4d: 0c1e.*
+ 7e4e: 6fd4.*
+ 7e4f: 0d1e.*
+ 7e50: 6fd4.*
+ 7e51: 0c1e.*
+ 7e52: 6fd4.*
+ 7e53: 0e1e.*
+ 7e54: 6fd4.*
+ 7e55: 0f1e.*
+ 7e56: 6fd4.*
+ 7e57: 0f1e.*
+ 7e58: 6fd4.*
+ 7e59: 0c1f.*
+ 7e5a: 6fd4.*
+ 7e5b: 0d1f.*
+ 7e5c: 6fd4.*
+ 7e5d: 0c1f.*
+ 7e5e: 6fd4.*
+ 7e5f: 0e1f.*
+ 7e60: 6fd4.*
+ 7e61: 0f1f.*
+ 7e62: 6fd4.*
+ 7e63: 0f1f.*
+ 7e64: 00d4.*
+ 7e65: 6fd4.*
+ 7e66: 0d00.*
+ 7e67: 00d4.*
+ 7e68: 6fd4.*
+ 7e69: 0e00.*
+ 7e6a: 01d4.*
+ 7e6b: 01d4.*
+ 7e6c: 6fd4.*
+ 7e6d: 0c01.*
+ 7e6e: 6fd4.*
+ 7e6f: 0d01.*
+ 7e70: 6fd4.*
+ 7e71: 0c01.*
+ 7e72: 6fd4.*
+ 7e73: 0e01.*
+ 7e74: 6fd4.*
+ 7e75: 0f01.*
+ 7e76: 6fd4.*
+ 7e77: 0f01.*
+ 7e78: 6fd4.*
+ 7e79: 0c02.*
+ 7e7a: 6fd4.*
+ 7e7b: 0d02.*
+ 7e7c: 6fd4.*
+ 7e7d: 0c02.*
+ 7e7e: 6fd4.*
+ 7e7f: 0e02.*
+ 7e80: 6fd4.*
+ 7e81: 0f02.*
+ 7e82: 6fd4.*
+ 7e83: 0f02.*
+ 7e84: 6fd4.*
+ 7e85: 0c03.*
+ 7e86: 6fd4.*
+ 7e87: 0d03.*
+ 7e88: 6fd4.*
+ 7e89: 0c03.*
+ 7e8a: 6fd4.*
+ 7e8b: 0e03.*
+ 7e8c: 6fd4.*
+ 7e8d: 0f03.*
+ 7e8e: 6fd4.*
+ 7e8f: 0f03.*
+ 7e90: 6fd4.*
+ 7e91: 0c04.*
+ 7e92: 6fd4.*
+ 7e93: 0d04.*
+ 7e94: 6fd4.*
+ 7e95: 0c04.*
+ 7e96: 6fd4.*
+ 7e97: 0e04.*
+ 7e98: 6fd4.*
+ 7e99: 0f04.*
+ 7e9a: 6fd4.*
+ 7e9b: 0f04.*
+ 7e9c: 6fd4.*
+ 7e9d: 0c05.*
+ 7e9e: 6fd4.*
+ 7e9f: 0d05.*
+ 7ea0: 6fd4.*
+ 7ea1: 0c05.*
+ 7ea2: 6fd4.*
+ 7ea3: 0e05.*
+ 7ea4: 6fd4.*
+ 7ea5: 0f05.*
+ 7ea6: 6fd4.*
+ 7ea7: 0f05.*
+ 7ea8: 6fd4.*
+ 7ea9: 0c06.*
+ 7eaa: 6fd4.*
+ 7eab: 0d06.*
+ 7eac: 6fd4.*
+ 7ead: 0c06.*
+ 7eae: 6fd4.*
+ 7eaf: 0e06.*
+ 7eb0: 6fd4.*
+ 7eb1: 0f06.*
+ 7eb2: 6fd4.*
+ 7eb3: 0f06.*
+ 7eb4: 6fd4.*
+ 7eb5: 0c07.*
+ 7eb6: 6fd4.*
+ 7eb7: 0d07.*
+ 7eb8: 6fd4.*
+ 7eb9: 0c07.*
+ 7eba: 6fd4.*
+ 7ebb: 0e07.*
+ 7ebc: 6fd4.*
+ 7ebd: 0f07.*
+ 7ebe: 6fd4.*
+ 7ebf: 0f07.*
+ 7ec0: 6fd4.*
+ 7ec1: 0c08.*
+ 7ec2: 6fd4.*
+ 7ec3: 0d08.*
+ 7ec4: 6fd4.*
+ 7ec5: 0c08.*
+ 7ec6: 6fd4.*
+ 7ec7: 0e08.*
+ 7ec8: 6fd4.*
+ 7ec9: 0f08.*
+ 7eca: 6fd4.*
+ 7ecb: 0f08.*
+ 7ecc: 6fd4.*
+ 7ecd: 0c09.*
+ 7ece: 6fd4.*
+ 7ecf: 0d09.*
+ 7ed0: 6fd4.*
+ 7ed1: 0c09.*
+ 7ed2: 6fd4.*
+ 7ed3: 0e09.*
+ 7ed4: 6fd4.*
+ 7ed5: 0f09.*
+ 7ed6: 6fd4.*
+ 7ed7: 0f09.*
+ 7ed8: 6fd4.*
+ 7ed9: 0c0a.*
+ 7eda: 6fd4.*
+ 7edb: 0d0a.*
+ 7edc: 6fd4.*
+ 7edd: 0c0a.*
+ 7ede: 6fd4.*
+ 7edf: 0e0a.*
+ 7ee0: 6fd4.*
+ 7ee1: 0f0a.*
+ 7ee2: 6fd4.*
+ 7ee3: 0f0a.*
+ 7ee4: 6fd4.*
+ 7ee5: 0c0b.*
+ 7ee6: 6fd4.*
+ 7ee7: 0d0b.*
+ 7ee8: 6fd4.*
+ 7ee9: 0c0b.*
+ 7eea: 6fd4.*
+ 7eeb: 0e0b.*
+ 7eec: 6fd4.*
+ 7eed: 0f0b.*
+ 7eee: 6fd4.*
+ 7eef: 0f0b.*
+ 7ef0: 6fd4.*
+ 7ef1: 0c0c.*
+ 7ef2: 6fd4.*
+ 7ef3: 0d0c.*
+ 7ef4: 6fd4.*
+ 7ef5: 0c0c.*
+ 7ef6: 6fd4.*
+ 7ef7: 0e0c.*
+ 7ef8: 6fd4.*
+ 7ef9: 0f0c.*
+ 7efa: 6fd4.*
+ 7efb: 0f0c.*
+ 7efc: 6fd4.*
+ 7efd: 0c0d.*
+ 7efe: 6fd4.*
+ 7eff: 0d0d.*
+ 7f00: 6fd4.*
+ 7f01: 0c0d.*
+ 7f02: 6fd4.*
+ 7f03: 0e0d.*
+ 7f04: 6fd4.*
+ 7f05: 0f0d.*
+ 7f06: 6fd4.*
+ 7f07: 0f0d.*
+ 7f08: 6fd4.*
+ 7f09: 0c0e.*
+ 7f0a: 6fd4.*
+ 7f0b: 0d0e.*
+ 7f0c: 6fd4.*
+ 7f0d: 0c0e.*
+ 7f0e: 6fd4.*
+ 7f0f: 0e0e.*
+ 7f10: 6fd4.*
+ 7f11: 0f0e.*
+ 7f12: 6fd4.*
+ 7f13: 0f0e.*
+ 7f14: 6fd4.*
+ 7f15: 0c0f.*
+ 7f16: 6fd4.*
+ 7f17: 0d0f.*
+ 7f18: 6fd4.*
+ 7f19: 0c0f.*
+ 7f1a: 6fd4.*
+ 7f1b: 0e0f.*
+ 7f1c: 6fd4.*
+ 7f1d: 0f0f.*
+ 7f1e: 6fd4.*
+ 7f1f: 0f0f.*
+ 7f20: 00dc.*
+ 7f21: 6fdc.*
+ 7f22: 0d00.*
+ 7f23: 00dc.*
+ 7f24: 6fdc.*
+ 7f25: 0e00.*
+ 7f26: 01dc.*
+ 7f27: 01dc.*
+ 7f28: 6fdc.*
+ 7f29: 0c10.*
+ 7f2a: 6fdc.*
+ 7f2b: 0d10.*
+ 7f2c: 6fdc.*
+ 7f2d: 0c10.*
+ 7f2e: 6fdc.*
+ 7f2f: 0e10.*
+ 7f30: 6fdc.*
+ 7f31: 0f10.*
+ 7f32: 6fdc.*
+ 7f33: 0f10.*
+ 7f34: 6fdc.*
+ 7f35: 0c11.*
+ 7f36: 6fdc.*
+ 7f37: 0d11.*
+ 7f38: 6fdc.*
+ 7f39: 0c11.*
+ 7f3a: 6fdc.*
+ 7f3b: 0e11.*
+ 7f3c: 6fdc.*
+ 7f3d: 0f11.*
+ 7f3e: 6fdc.*
+ 7f3f: 0f11.*
+ 7f40: 6fdc.*
+ 7f41: 0c12.*
+ 7f42: 6fdc.*
+ 7f43: 0d12.*
+ 7f44: 6fdc.*
+ 7f45: 0c12.*
+ 7f46: 6fdc.*
+ 7f47: 0e12.*
+ 7f48: 6fdc.*
+ 7f49: 0f12.*
+ 7f4a: 6fdc.*
+ 7f4b: 0f12.*
+ 7f4c: 6fdc.*
+ 7f4d: 0c13.*
+ 7f4e: 6fdc.*
+ 7f4f: 0d13.*
+ 7f50: 6fdc.*
+ 7f51: 0c13.*
+ 7f52: 6fdc.*
+ 7f53: 0e13.*
+ 7f54: 6fdc.*
+ 7f55: 0f13.*
+ 7f56: 6fdc.*
+ 7f57: 0f13.*
+ 7f58: 6fdc.*
+ 7f59: 0c14.*
+ 7f5a: 6fdc.*
+ 7f5b: 0d14.*
+ 7f5c: 6fdc.*
+ 7f5d: 0c14.*
+ 7f5e: 6fdc.*
+ 7f5f: 0e14.*
+ 7f60: 6fdc.*
+ 7f61: 0f14.*
+ 7f62: 6fdc.*
+ 7f63: 0f14.*
+ 7f64: 6fdc.*
+ 7f65: 0c15.*
+ 7f66: 6fdc.*
+ 7f67: 0d15.*
+ 7f68: 6fdc.*
+ 7f69: 0c15.*
+ 7f6a: 6fdc.*
+ 7f6b: 0e15.*
+ 7f6c: 6fdc.*
+ 7f6d: 0f15.*
+ 7f6e: 6fdc.*
+ 7f6f: 0f15.*
+ 7f70: 6fdc.*
+ 7f71: 0c16.*
+ 7f72: 6fdc.*
+ 7f73: 0d16.*
+ 7f74: 6fdc.*
+ 7f75: 0c16.*
+ 7f76: 6fdc.*
+ 7f77: 0e16.*
+ 7f78: 6fdc.*
+ 7f79: 0f16.*
+ 7f7a: 6fdc.*
+ 7f7b: 0f16.*
+ 7f7c: 6fdc.*
+ 7f7d: 0c17.*
+ 7f7e: 6fdc.*
+ 7f7f: 0d17.*
+ 7f80: 6fdc.*
+ 7f81: 0c17.*
+ 7f82: 6fdc.*
+ 7f83: 0e17.*
+ 7f84: 6fdc.*
+ 7f85: 0f17.*
+ 7f86: 6fdc.*
+ 7f87: 0f17.*
+ 7f88: 6fdc.*
+ 7f89: 0c18.*
+ 7f8a: 6fdc.*
+ 7f8b: 0d18.*
+ 7f8c: 6fdc.*
+ 7f8d: 0c18.*
+ 7f8e: 6fdc.*
+ 7f8f: 0e18.*
+ 7f90: 6fdc.*
+ 7f91: 0f18.*
+ 7f92: 6fdc.*
+ 7f93: 0f18.*
+ 7f94: 6fdc.*
+ 7f95: 0c19.*
+ 7f96: 6fdc.*
+ 7f97: 0d19.*
+ 7f98: 6fdc.*
+ 7f99: 0c19.*
+ 7f9a: 6fdc.*
+ 7f9b: 0e19.*
+ 7f9c: 6fdc.*
+ 7f9d: 0f19.*
+ 7f9e: 6fdc.*
+ 7f9f: 0f19.*
+ 7fa0: 6fdc.*
+ 7fa1: 0c1a.*
+ 7fa2: 6fdc.*
+ 7fa3: 0d1a.*
+ 7fa4: 6fdc.*
+ 7fa5: 0c1a.*
+ 7fa6: 6fdc.*
+ 7fa7: 0e1a.*
+ 7fa8: 6fdc.*
+ 7fa9: 0f1a.*
+ 7faa: 6fdc.*
+ 7fab: 0f1a.*
+ 7fac: 6fdc.*
+ 7fad: 0c1b.*
+ 7fae: 6fdc.*
+ 7faf: 0d1b.*
+ 7fb0: 6fdc.*
+ 7fb1: 0c1b.*
+ 7fb2: 6fdc.*
+ 7fb3: 0e1b.*
+ 7fb4: 6fdc.*
+ 7fb5: 0f1b.*
+ 7fb6: 6fdc.*
+ 7fb7: 0f1b.*
+ 7fb8: 6fdc.*
+ 7fb9: 0c1c.*
+ 7fba: 6fdc.*
+ 7fbb: 0d1c.*
+ 7fbc: 6fdc.*
+ 7fbd: 0c1c.*
+ 7fbe: 6fdc.*
+ 7fbf: 0e1c.*
+ 7fc0: 6fdc.*
+ 7fc1: 0f1c.*
+ 7fc2: 6fdc.*
+ 7fc3: 0f1c.*
+ 7fc4: 6fdc.*
+ 7fc5: 0c1d.*
+ 7fc6: 6fdc.*
+ 7fc7: 0d1d.*
+ 7fc8: 6fdc.*
+ 7fc9: 0c1d.*
+ 7fca: 6fdc.*
+ 7fcb: 0e1d.*
+ 7fcc: 6fdc.*
+ 7fcd: 0f1d.*
+ 7fce: 6fdc.*
+ 7fcf: 0f1d.*
+ 7fd0: 6fdc.*
+ 7fd1: 0c1e.*
+ 7fd2: 6fdc.*
+ 7fd3: 0d1e.*
+ 7fd4: 6fdc.*
+ 7fd5: 0c1e.*
+ 7fd6: 6fdc.*
+ 7fd7: 0e1e.*
+ 7fd8: 6fdc.*
+ 7fd9: 0f1e.*
+ 7fda: 6fdc.*
+ 7fdb: 0f1e.*
+ 7fdc: 6fdc.*
+ 7fdd: 0c1f.*
+ 7fde: 6fdc.*
+ 7fdf: 0d1f.*
+ 7fe0: 6fdc.*
+ 7fe1: 0c1f.*
+ 7fe2: 6fdc.*
+ 7fe3: 0e1f.*
+ 7fe4: 6fdc.*
+ 7fe5: 0f1f.*
+ 7fe6: 6fdc.*
+ 7fe7: 0f1f.*
+ 7fe8: 00dc.*
+ 7fe9: 6fdc.*
+ 7fea: 0d00.*
+ 7feb: 00dc.*
+ 7fec: 6fdc.*
+ 7fed: 0e00.*
+ 7fee: 01dc.*
+ 7fef: 01dc.*
+ 7ff0: 90e1.*
+ 7ff1: 6fdc.*
+ 7ff2: 0d01.*
+ 7ff3: 90e1.*
+ 7ff4: 6fdc.*
+ 7ff5: 0e01.*
+ 7ff6: 91e1.*
+ 7ff7: 91e1.*
+ 7ff8: 90e2.*
+ 7ff9: 6fdc.*
+ 7ffa: 0d02.*
+ 7ffb: 90e2.*
+ 7ffc: 6fdc.*
+ 7ffd: 0e02.*
+ 7ffe: 91e2.*
+ 7fff: 91e2.*
+ 8000: 90e3.*
+ 8001: 6fdc.*
+ 8002: 0d03.*
+ 8003: 90e3.*
+ 8004: 6fdc.*
+ 8005: 0e03.*
+ 8006: 91e3.*
+ 8007: 91e3.*
+ 8008: 90e4.*
+ 8009: 6fdc.*
+ 800a: 0d04.*
+ 800b: 90e4.*
+ 800c: 6fdc.*
+ 800d: 0e04.*
+ 800e: 91e4.*
+ 800f: 91e4.*
+ 8010: 90e5.*
+ 8011: 6fdc.*
+ 8012: 0d05.*
+ 8013: 90e5.*
+ 8014: 6fdc.*
+ 8015: 0e05.*
+ 8016: 91e5.*
+ 8017: 91e5.*
+ 8018: 90e6.*
+ 8019: 6fdc.*
+ 801a: 0d06.*
+ 801b: 90e6.*
+ 801c: 6fdc.*
+ 801d: 0e06.*
+ 801e: 91e6.*
+ 801f: 91e6.*
+ 8020: 90e7.*
+ 8021: 6fdc.*
+ 8022: 0d07.*
+ 8023: 90e7.*
+ 8024: 6fdc.*
+ 8025: 0e07.*
+ 8026: 91e7.*
+ 8027: 91e7.*
+ 8028: 90e8.*
+ 8029: 6fdc.*
+ 802a: 0d08.*
+ 802b: 90e8.*
+ 802c: 6fdc.*
+ 802d: 0e08.*
+ 802e: 91e8.*
+ 802f: 91e8.*
+ 8030: 90e9.*
+ 8031: 6fdc.*
+ 8032: 0d09.*
+ 8033: 90e9.*
+ 8034: 6fdc.*
+ 8035: 0e09.*
+ 8036: 91e9.*
+ 8037: 91e9.*
+ 8038: 90ea.*
+ 8039: 6fdc.*
+ 803a: 0d0a.*
+ 803b: 90ea.*
+ 803c: 6fdc.*
+ 803d: 0e0a.*
+ 803e: 91ea.*
+ 803f: 91ea.*
+ 8040: 90eb.*
+ 8041: 6fdc.*
+ 8042: 0d0b.*
+ 8043: 90eb.*
+ 8044: 6fdc.*
+ 8045: 0e0b.*
+ 8046: 91eb.*
+ 8047: 91eb.*
+ 8048: 90ec.*
+ 8049: 6fdc.*
+ 804a: 0d0c.*
+ 804b: 90ec.*
+ 804c: 6fdc.*
+ 804d: 0e0c.*
+ 804e: 91ec.*
+ 804f: 91ec.*
+ 8050: 90ed.*
+ 8051: 6fdc.*
+ 8052: 0d0d.*
+ 8053: 90ed.*
+ 8054: 6fdc.*
+ 8055: 0e0d.*
+ 8056: 91ed.*
+ 8057: 91ed.*
+ 8058: 90ee.*
+ 8059: 6fdc.*
+ 805a: 0d0e.*
+ 805b: 90ee.*
+ 805c: 6fdc.*
+ 805d: 0e0e.*
+ 805e: 91ee.*
+ 805f: 91ee.*
+ 8060: 90ef.*
+ 8061: 6fdc.*
+ 8062: 0d0f.*
+ 8063: 90ef.*
+ 8064: 6fdc.*
+ 8065: 0e0f.*
+ 8066: 91ef.*
+ 8067: 91ef.*
+ 8068: 00e4.*
+ 8069: 0ea5.*
+ 806a: 6fe4.*
+ 806b: 0ea6.*
+ 806c: 0d00.*
+ 806d: 00e4.*
+ 806e: 0ea7.*
+ 806f: 6fe4.*
+ 8070: 0ea8.*
+ 8071: 0e00.*
+ 8072: 01e4.*
+ 8073: 0ea9.*
+ 8074: 01e4.*
+ 8075: 0eaa.*
+ 8076: 6fe4.*
+ 8077: 0eab.*
+ 8078: 0c10.*
+ 8079: 6fe4.*
+ 807a: 0eac.*
+ 807b: 0d10.*
+ 807c: 6fe4.*
+ 807d: 0ead.*
+ 807e: 0c10.*
+ 807f: 6fe4.*
+ 8080: 0eae.*
+ 8081: 0e10.*
+ 8082: 6fe4.*
+ 8083: 0eaf.*
+ 8084: 0f10.*
+ 8085: 6fe4.*
+ 8086: 0eb0.*
+ 8087: 0f10.*
+ 8088: 6fe4.*
+ 8089: 0eb1.*
+ 808a: 0c11.*
+ 808b: 6fe4.*
+ 808c: 0eb2.*
+ 808d: 0d11.*
+ 808e: 6fe4.*
+ 808f: 0eb3.*
+ 8090: 0c11.*
+ 8091: 6fe4.*
+ 8092: 0eb4.*
+ 8093: 0e11.*
+ 8094: 6fe4.*
+ 8095: 0eb5.*
+ 8096: 0f11.*
+ 8097: 6fe4.*
+ 8098: 0eb6.*
+ 8099: 0f11.*
+ 809a: 6fe4.*
+ 809b: 0eb7.*
+ 809c: 0c12.*
+ 809d: 6fe4.*
+ 809e: 0eb8.*
+ 809f: 0d12.*
+ 80a0: 6fe4.*
+ 80a1: 0eb9.*
+ 80a2: 0c12.*
+ 80a3: 6fe4.*
+ 80a4: 0eba.*
+ 80a5: 0e12.*
+ 80a6: 6fe4.*
+ 80a7: 0ebb.*
+ 80a8: 0f12.*
+ 80a9: 6fe4.*
+ 80aa: 0ebc.*
+ 80ab: 0f12.*
+ 80ac: 6fe4.*
+ 80ad: 0ebd.*
+ 80ae: 0c13.*
+ 80af: 6fe4.*
+ 80b0: 0ebe.*
+ 80b1: 0d13.*
+ 80b2: 6fe4.*
+ 80b3: 0ebf.*
+ 80b4: 0c13.*
+ 80b5: 6fe4.*
+ 80b6: 0ec0.*
+ 80b7: 0e13.*
+ 80b8: 6fe4.*
+ 80b9: 0ec1.*
+ 80ba: 0f13.*
+ 80bb: 6fe4.*
+ 80bc: 0ec2.*
+ 80bd: 0f13.*
+ 80be: 6fe4.*
+ 80bf: 0ec3.*
+ 80c0: 0c14.*
+ 80c1: 6fe4.*
+ 80c2: 0ec4.*
+ 80c3: 0d14.*
+ 80c4: 6fe4.*
+ 80c5: 0ec5.*
+ 80c6: 0c14.*
+ 80c7: 6fe4.*
+ 80c8: 0ec6.*
+ 80c9: 0e14.*
+ 80ca: 6fe4.*
+ 80cb: 0ec7.*
+ 80cc: 0f14.*
+ 80cd: 6fe4.*
+ 80ce: 0ec8.*
+ 80cf: 0f14.*
+ 80d0: 6fe4.*
+ 80d1: 0ec9.*
+ 80d2: 0c15.*
+ 80d3: 6fe4.*
+ 80d4: 0eca.*
+ 80d5: 0d15.*
+ 80d6: 6fe4.*
+ 80d7: 0ecb.*
+ 80d8: 0c15.*
+ 80d9: 6fe4.*
+ 80da: 0ecc.*
+ 80db: 0e15.*
+ 80dc: 6fe4.*
+ 80dd: 0ecd.*
+ 80de: 0f15.*
+ 80df: 6fe4.*
+ 80e0: 0ece.*
+ 80e1: 0f15.*
+ 80e2: 6fe4.*
+ 80e3: 0ecf.*
+ 80e4: 0c16.*
+ 80e5: 6fe4.*
+ 80e6: 0ed0.*
+ 80e7: 0d16.*
+ 80e8: 6fe4.*
+ 80e9: 0ed1.*
+ 80ea: 0c16.*
+ 80eb: 6fe4.*
+ 80ec: 0ed2.*
+ 80ed: 0e16.*
+ 80ee: 6fe4.*
+ 80ef: 0ed3.*
+ 80f0: 0f16.*
+ 80f1: 6fe4.*
+ 80f2: 0ed4.*
+ 80f3: 0f16.*
+ 80f4: 6fe4.*
+ 80f5: 0ed5.*
+ 80f6: 0c17.*
+ 80f7: 6fe4.*
+ 80f8: 0ed6.*
+ 80f9: 0d17.*
+ 80fa: 6fe4.*
+ 80fb: 0ed7.*
+ 80fc: 0c17.*
+ 80fd: 6fe4.*
+ 80fe: 0ed8.*
+ 80ff: 0e17.*
+ 8100: 6fe4.*
+ 8101: 0ed9.*
+ 8102: 0f17.*
+ 8103: 6fe4.*
+ 8104: 0eda.*
+ 8105: 0f17.*
+ 8106: 6fe4.*
+ 8107: 0edb.*
+ 8108: 0c18.*
+ 8109: 6fe4.*
+ 810a: 0edc.*
+ 810b: 0d18.*
+ 810c: 6fe4.*
+ 810d: 0edd.*
+ 810e: 0c18.*
+ 810f: 6fe4.*
+ 8110: 0ede.*
+ 8111: 0e18.*
+ 8112: 6fe4.*
+ 8113: 0edf.*
+ 8114: 0f18.*
+ 8115: 6fe4.*
+ 8116: 0ee0.*
+ 8117: 0f18.*
+ 8118: 6fe4.*
+ 8119: 0ee1.*
+ 811a: 0c19.*
+ 811b: 6fe4.*
+ 811c: 0ee2.*
+ 811d: 0d19.*
+ 811e: 6fe4.*
+ 811f: 0ee3.*
+ 8120: 0c19.*
+ 8121: 6fe4.*
+ 8122: 0ee4.*
+ 8123: 0e19.*
+ 8124: 6fe4.*
+ 8125: 0ee5.*
+ 8126: 0f19.*
+ 8127: 6fe4.*
+ 8128: 0ee6.*
+ 8129: 0f19.*
+ 812a: 6fe4.*
+ 812b: 0ee7.*
+ 812c: 0c1a.*
+ 812d: 6fe4.*
+ 812e: 0ee8.*
+ 812f: 0d1a.*
+ 8130: 6fe4.*
+ 8131: 0ee9.*
+ 8132: 0c1a.*
+ 8133: 6fe4.*
+ 8134: 0eea.*
+ 8135: 0e1a.*
+ 8136: 6fe4.*
+ 8137: 0eeb.*
+ 8138: 0f1a.*
+ 8139: 6fe4.*
+ 813a: 0eec.*
+ 813b: 0f1a.*
+ 813c: 6fe4.*
+ 813d: 0eed.*
+ 813e: 0c1b.*
+ 813f: 6fe4.*
+ 8140: 0eee.*
+ 8141: 0d1b.*
+ 8142: 6fe4.*
+ 8143: 0eef.*
+ 8144: 0c1b.*
+ 8145: 6fe4.*
+ 8146: 0ef0.*
+ 8147: 0e1b.*
+ 8148: 6fe4.*
+ 8149: 0ef1.*
+ 814a: 0f1b.*
+ 814b: 6fe4.*
+ 814c: 0ef2.*
+ 814d: 0f1b.*
+ 814e: 6fe4.*
+ 814f: 0ef3.*
+ 8150: 0c1c.*
+ 8151: 6fe4.*
+ 8152: 0ef4.*
+ 8153: 0d1c.*
+ 8154: 6fe4.*
+ 8155: 0ef5.*
+ 8156: 0c1c.*
+ 8157: 6fe4.*
+ 8158: 0ef6.*
+ 8159: 0e1c.*
+ 815a: 6fe4.*
+ 815b: 0ef7.*
+ 815c: 0f1c.*
+ 815d: 6fe4.*
+ 815e: 0ef8.*
+ 815f: 0f1c.*
+ 8160: 6fe4.*
+ 8161: 0ef9.*
+ 8162: 0c1d.*
+ 8163: 6fe4.*
+ 8164: 0efa.*
+ 8165: 0d1d.*
+ 8166: 6fe4.*
+ 8167: 0efb.*
+ 8168: 0c1d.*
+ 8169: 6fe4.*
+ 816a: 0efc.*
+ 816b: 0e1d.*
+ 816c: 6fe4.*
+ 816d: 0efd.*
+ 816e: 0f1d.*
+ 816f: 6fe4.*
+ 8170: 0efe.*
+ 8171: 0f1d.*
+ 8172: 6fe4.*
+ 8173: 0eff.*
+ 8174: 0c1e.*
+ 8175: 6fe4.*
+ 8176: 0f00.*
+ 8177: 0d1e.*
+ 8178: 6fe4.*
+ 8179: 0f01.*
+ 817a: 0c1e.*
+ 817b: 6fe4.*
+ 817c: 0f02.*
+ 817d: 0e1e.*
+ 817e: 6fe4.*
+ 817f: 0f03.*
+ 8180: 0f1e.*
+ 8181: 6fe4.*
+ 8182: 0f04.*
+ 8183: 0f1e.*
+ 8184: 6fe4.*
+ 8185: 0f05.*
+ 8186: 0c1f.*
+ 8187: 6fe4.*
+ 8188: 0f06.*
+ 8189: 0d1f.*
+ 818a: 6fe4.*
+ 818b: 0f07.*
+ 818c: 0c1f.*
+ 818d: 6fe4.*
+ 818e: 0f08.*
+ 818f: 0e1f.*
+ 8190: 6fe4.*
+ 8191: 0f09.*
+ 8192: 0f1f.*
+ 8193: 6fe4.*
+ 8194: 0f0a.*
+ 8195: 0f1f.*
+ 8196: 00e4.*
+ 8197: 0f0b.*
+ 8198: 6fe4.*
+ 8199: 0f0c.*
+ 819a: 0d00.*
+ 819b: 00e4.*
+ 819c: 0f0d.*
+ 819d: 6fe4.*
+ 819e: 0f0e.*
+ 819f: 0e00.*
+ 81a0: 01e4.*
+ 81a1: 0f0f.*
+ 81a2: 01e4.*
+ 81a3: 0f10.*
+ 81a4: 6fe4.*
+ 81a5: 0f11.*
+ 81a6: 0c01.*
+ 81a7: 6fe4.*
+ 81a8: 0f12.*
+ 81a9: 0d01.*
+ 81aa: 6fe4.*
+ 81ab: 0f13.*
+ 81ac: 0c01.*
+ 81ad: 6fe4.*
+ 81ae: 0f14.*
+ 81af: 0e01.*
+ 81b0: 6fe4.*
+ 81b1: 0f15.*
+ 81b2: 0f01.*
+ 81b3: 6fe4.*
+ 81b4: 0f16.*
+ 81b5: 0f01.*
+ 81b6: 6fe4.*
+ 81b7: 0f17.*
+ 81b8: 0c02.*
+ 81b9: 6fe4.*
+ 81ba: 0f18.*
+ 81bb: 0d02.*
+ 81bc: 6fe4.*
+ 81bd: 0f19.*
+ 81be: 0c02.*
+ 81bf: 6fe4.*
+ 81c0: 0f1a.*
+ 81c1: 0e02.*
+ 81c2: 6fe4.*
+ 81c3: 0f1b.*
+ 81c4: 0f02.*
+ 81c5: 6fe4.*
+ 81c6: 0f1c.*
+ 81c7: 0f02.*
+ 81c8: 6fe4.*
+ 81c9: 0f1d.*
+ 81ca: 0c03.*
+ 81cb: 6fe4.*
+ 81cc: 0f1e.*
+ 81cd: 0d03.*
+ 81ce: 6fe4.*
+ 81cf: 0f1f.*
+ 81d0: 0c03.*
+ 81d1: 6fe4.*
+ 81d2: 0f20.*
+ 81d3: 0e03.*
+ 81d4: 6fe4.*
+ 81d5: 0f21.*
+ 81d6: 0f03.*
+ 81d7: 6fe4.*
+ 81d8: 0f22.*
+ 81d9: 0f03.*
+ 81da: 6fe4.*
+ 81db: 0f23.*
+ 81dc: 0c04.*
+ 81dd: 6fe4.*
+ 81de: 0f24.*
+ 81df: 0d04.*
+ 81e0: 6fe4.*
+ 81e1: 0f25.*
+ 81e2: 0c04.*
+ 81e3: 6fe4.*
+ 81e4: 0f26.*
+ 81e5: 0e04.*
+ 81e6: 6fe4.*
+ 81e7: 0f27.*
+ 81e8: 0f04.*
+ 81e9: 6fe4.*
+ 81ea: 0f28.*
+ 81eb: 0f04.*
+ 81ec: 6fe4.*
+ 81ed: 0f29.*
+ 81ee: 0c05.*
+ 81ef: 6fe4.*
+ 81f0: 0f2a.*
+ 81f1: 0d05.*
+ 81f2: 6fe4.*
+ 81f3: 0f2b.*
+ 81f4: 0c05.*
+ 81f5: 6fe4.*
+ 81f6: 0f2c.*
+ 81f7: 0e05.*
+ 81f8: 6fe4.*
+ 81f9: 0f2d.*
+ 81fa: 0f05.*
+ 81fb: 6fe4.*
+ 81fc: 0f2e.*
+ 81fd: 0f05.*
+ 81fe: 6fe4.*
+ 81ff: 0f2f.*
+ 8200: 0c06.*
+ 8201: 6fe4.*
+ 8202: 0f30.*
+ 8203: 0d06.*
+ 8204: 6fe4.*
+ 8205: 0f31.*
+ 8206: 0c06.*
+ 8207: 6fe4.*
+ 8208: 0f32.*
+ 8209: 0e06.*
+ 820a: 6fe4.*
+ 820b: 0f33.*
+ 820c: 0f06.*
+ 820d: 6fe4.*
+ 820e: 0f34.*
+ 820f: 0f06.*
+ 8210: 6fe4.*
+ 8211: 0f35.*
+ 8212: 0c07.*
+ 8213: 6fe4.*
+ 8214: 0f36.*
+ 8215: 0d07.*
+ 8216: 6fe4.*
+ 8217: 0f37.*
+ 8218: 0c07.*
+ 8219: 6fe4.*
+ 821a: 0f38.*
+ 821b: 0e07.*
+ 821c: 6fe4.*
+ 821d: 0f39.*
+ 821e: 0f07.*
+ 821f: 6fe4.*
+ 8220: 0f3a.*
+ 8221: 0f07.*
+ 8222: 6fe4.*
+ 8223: 0f3b.*
+ 8224: 0c08.*
+ 8225: 6fe4.*
+ 8226: 0f3c.*
+ 8227: 0d08.*
+ 8228: 6fe4.*
+ 8229: 0f3d.*
+ 822a: 0c08.*
+ 822b: 6fe4.*
+ 822c: 0f3e.*
+ 822d: 0e08.*
+ 822e: 6fe4.*
+ 822f: 0f3f.*
+ 8230: 0f08.*
+ 8231: 6fe4.*
+ 8232: 0f40.*
+ 8233: 0f08.*
+ 8234: 6fe4.*
+ 8235: 0f41.*
+ 8236: 0c09.*
+ 8237: 6fe4.*
+ 8238: 0f42.*
+ 8239: 0d09.*
+ 823a: 6fe4.*
+ 823b: 0f43.*
+ 823c: 0c09.*
+ 823d: 6fe4.*
+ 823e: 0f44.*
+ 823f: 0e09.*
+ 8240: 6fe4.*
+ 8241: 0f45.*
+ 8242: 0f09.*
+ 8243: 6fe4.*
+ 8244: 0f46.*
+ 8245: 0f09.*
+ 8246: 6fe4.*
+ 8247: 0f47.*
+ 8248: 0c0a.*
+ 8249: 6fe4.*
+ 824a: 0f48.*
+ 824b: 0d0a.*
+ 824c: 6fe4.*
+ 824d: 0f49.*
+ 824e: 0c0a.*
+ 824f: 6fe4.*
+ 8250: 0f4a.*
+ 8251: 0e0a.*
+ 8252: 6fe4.*
+ 8253: 0f4b.*
+ 8254: 0f0a.*
+ 8255: 6fe4.*
+ 8256: 0f4c.*
+ 8257: 0f0a.*
+ 8258: 6fe4.*
+ 8259: 0f4d.*
+ 825a: 0c0b.*
+ 825b: 6fe4.*
+ 825c: 0f4e.*
+ 825d: 0d0b.*
+ 825e: 6fe4.*
+ 825f: 0f4f.*
+ 8260: 0c0b.*
+ 8261: 6fe4.*
+ 8262: 0f50.*
+ 8263: 0e0b.*
+ 8264: 6fe4.*
+ 8265: 0f51.*
+ 8266: 0f0b.*
+ 8267: 6fe4.*
+ 8268: 0f52.*
+ 8269: 0f0b.*
+ 826a: 6fe4.*
+ 826b: 0f53.*
+ 826c: 0c0c.*
+ 826d: 6fe4.*
+ 826e: 0f54.*
+ 826f: 0d0c.*
+ 8270: 6fe4.*
+ 8271: 0f55.*
+ 8272: 0c0c.*
+ 8273: 6fe4.*
+ 8274: 0f56.*
+ 8275: 0e0c.*
+ 8276: 6fe4.*
+ 8277: 0f57.*
+ 8278: 0f0c.*
+ 8279: 6fe4.*
+ 827a: 0f58.*
+ 827b: 0f0c.*
+ 827c: 6fe4.*
+ 827d: 0f59.*
+ 827e: 0c0d.*
+ 827f: 6fe4.*
+ 8280: 0f5a.*
+ 8281: 0d0d.*
+ 8282: 6fe4.*
+ 8283: 0f5b.*
+ 8284: 0c0d.*
+ 8285: 6fe4.*
+ 8286: 0f5c.*
+ 8287: 0e0d.*
+ 8288: 6fe4.*
+ 8289: 0f5d.*
+ 828a: 0f0d.*
+ 828b: 6fe4.*
+ 828c: 0f5e.*
+ 828d: 0f0d.*
+ 828e: 6fe4.*
+ 828f: 0f5f.*
+ 8290: 0c0e.*
+ 8291: 6fe4.*
+ 8292: 0f60.*
+ 8293: 0d0e.*
+ 8294: 6fe4.*
+ 8295: 0f61.*
+ 8296: 0c0e.*
+ 8297: 6fe4.*
+ 8298: 0f62.*
+ 8299: 0e0e.*
+ 829a: 6fe4.*
+ 829b: 0f63.*
+ 829c: 0f0e.*
+ 829d: 6fe4.*
+ 829e: 0f64.*
+ 829f: 0f0e.*
+ 82a0: 6fe4.*
+ 82a1: 0f65.*
+ 82a2: 0c0f.*
+ 82a3: 6fe4.*
+ 82a4: 0f66.*
+ 82a5: 0d0f.*
+ 82a6: 6fe4.*
+ 82a7: 0f67.*
+ 82a8: 0c0f.*
+ 82a9: 6fe4.*
+ 82aa: 0f68.*
+ 82ab: 0e0f.*
+ 82ac: 6fe4.*
+ 82ad: 0f69.*
+ 82ae: 0f0f.*
+ 82af: 6fe4.*
+ 82b0: 0f6a.*
+ 82b1: 0f0f.*
+ 82b2: 00ec.*
+ 82b3: 0f6b.*
+ 82b4: 6fec.*
+ 82b5: 0f6c.*
+ 82b6: 0d00.*
+ 82b7: 00ec.*
+ 82b8: 0f6d.*
+ 82b9: 6fec.*
+ 82ba: 0f6e.*
+ 82bb: 0e00.*
+ 82bc: 01ec.*
+ 82bd: 0f6f.*
+ 82be: 01ec.*
+ 82bf: 0f70.*
+ 82c0: 6fec.*
+ 82c1: 0f71.*
+ 82c2: 0c10.*
+ 82c3: 6fec.*
+ 82c4: 0f72.*
+ 82c5: 0d10.*
+ 82c6: 6fec.*
+ 82c7: 0f73.*
+ 82c8: 0c10.*
+ 82c9: 6fec.*
+ 82ca: 0f74.*
+ 82cb: 0e10.*
+ 82cc: 6fec.*
+ 82cd: 0f75.*
+ 82ce: 0f10.*
+ 82cf: 6fec.*
+ 82d0: 0f76.*
+ 82d1: 0f10.*
+ 82d2: 6fec.*
+ 82d3: 0f77.*
+ 82d4: 0c11.*
+ 82d5: 6fec.*
+ 82d6: 0f78.*
+ 82d7: 0d11.*
+ 82d8: 6fec.*
+ 82d9: 0f79.*
+ 82da: 0c11.*
+ 82db: 6fec.*
+ 82dc: 0f7a.*
+ 82dd: 0e11.*
+ 82de: 6fec.*
+ 82df: 0f7b.*
+ 82e0: 0f11.*
+ 82e1: 6fec.*
+ 82e2: 0f7c.*
+ 82e3: 0f11.*
+ 82e4: 6fec.*
+ 82e5: 0f7d.*
+ 82e6: 0c12.*
+ 82e7: 6fec.*
+ 82e8: 0f7e.*
+ 82e9: 0d12.*
+ 82ea: 6fec.*
+ 82eb: 0f7f.*
+ 82ec: 0c12.*
+ 82ed: 6fec.*
+ 82ee: 0f80.*
+ 82ef: 0e12.*
+ 82f0: 6fec.*
+ 82f1: 0f81.*
+ 82f2: 0f12.*
+ 82f3: 6fec.*
+ 82f4: 0f82.*
+ 82f5: 0f12.*
+ 82f6: 6fec.*
+ 82f7: 0f83.*
+ 82f8: 0c13.*
+ 82f9: 6fec.*
+ 82fa: 0f84.*
+ 82fb: 0d13.*
+ 82fc: 6fec.*
+ 82fd: 0f85.*
+ 82fe: 0c13.*
+ 82ff: 6fec.*
+ 8300: 0f86.*
+ 8301: 0e13.*
+ 8302: 6fec.*
+ 8303: 0f87.*
+ 8304: 0f13.*
+ 8305: 6fec.*
+ 8306: 0f88.*
+ 8307: 0f13.*
+ 8308: 6fec.*
+ 8309: 0f89.*
+ 830a: 0c14.*
+ 830b: 6fec.*
+ 830c: 0f8a.*
+ 830d: 0d14.*
+ 830e: 6fec.*
+ 830f: 0f8b.*
+ 8310: 0c14.*
+ 8311: 6fec.*
+ 8312: 0f8c.*
+ 8313: 0e14.*
+ 8314: 6fec.*
+ 8315: 0f8d.*
+ 8316: 0f14.*
+ 8317: 6fec.*
+ 8318: 0f8e.*
+ 8319: 0f14.*
+ 831a: 6fec.*
+ 831b: 0f8f.*
+ 831c: 0c15.*
+ 831d: 6fec.*
+ 831e: 0f90.*
+ 831f: 0d15.*
+ 8320: 6fec.*
+ 8321: 0f91.*
+ 8322: 0c15.*
+ 8323: 6fec.*
+ 8324: 0f92.*
+ 8325: 0e15.*
+ 8326: 6fec.*
+ 8327: 0f93.*
+ 8328: 0f15.*
+ 8329: 6fec.*
+ 832a: 0f94.*
+ 832b: 0f15.*
+ 832c: 6fec.*
+ 832d: 0f95.*
+ 832e: 0c16.*
+ 832f: 6fec.*
+ 8330: 0f96.*
+ 8331: 0d16.*
+ 8332: 6fec.*
+ 8333: 0f97.*
+ 8334: 0c16.*
+ 8335: 6fec.*
+ 8336: 0f98.*
+ 8337: 0e16.*
+ 8338: 6fec.*
+ 8339: 0f99.*
+ 833a: 0f16.*
+ 833b: 6fec.*
+ 833c: 0f9a.*
+ 833d: 0f16.*
+ 833e: 6fec.*
+ 833f: 0f9b.*
+ 8340: 0c17.*
+ 8341: 6fec.*
+ 8342: 0f9c.*
+ 8343: 0d17.*
+ 8344: 6fec.*
+ 8345: 0f9d.*
+ 8346: 0c17.*
+ 8347: 6fec.*
+ 8348: 0f9e.*
+ 8349: 0e17.*
+ 834a: 6fec.*
+ 834b: 0f9f.*
+ 834c: 0f17.*
+ 834d: 6fec.*
+ 834e: 0fa0.*
+ 834f: 0f17.*
+ 8350: 6fec.*
+ 8351: 0fa1.*
+ 8352: 0c18.*
+ 8353: 6fec.*
+ 8354: 0fa2.*
+ 8355: 0d18.*
+ 8356: 6fec.*
+ 8357: 0fa3.*
+ 8358: 0c18.*
+ 8359: 6fec.*
+ 835a: 0fa4.*
+ 835b: 0e18.*
+ 835c: 6fec.*
+ 835d: 0fa5.*
+ 835e: 0f18.*
+ 835f: 6fec.*
+ 8360: 0fa6.*
+ 8361: 0f18.*
+ 8362: 6fec.*
+ 8363: 0fa7.*
+ 8364: 0c19.*
+ 8365: 6fec.*
+ 8366: 0fa8.*
+ 8367: 0d19.*
+ 8368: 6fec.*
+ 8369: 0fa9.*
+ 836a: 0c19.*
+ 836b: 6fec.*
+ 836c: 0faa.*
+ 836d: 0e19.*
+ 836e: 6fec.*
+ 836f: 0fab.*
+ 8370: 0f19.*
+ 8371: 6fec.*
+ 8372: 0fac.*
+ 8373: 0f19.*
+ 8374: 6fec.*
+ 8375: 0fad.*
+ 8376: 0c1a.*
+ 8377: 6fec.*
+ 8378: 0fae.*
+ 8379: 0d1a.*
+ 837a: 6fec.*
+ 837b: 0faf.*
+ 837c: 0c1a.*
+ 837d: 6fec.*
+ 837e: 0fb0.*
+ 837f: 0e1a.*
+ 8380: 6fec.*
+ 8381: 0fb1.*
+ 8382: 0f1a.*
+ 8383: 6fec.*
+ 8384: 0fb2.*
+ 8385: 0f1a.*
+ 8386: 6fec.*
+ 8387: 0fb3.*
+ 8388: 0c1b.*
+ 8389: 6fec.*
+ 838a: 0fb4.*
+ 838b: 0d1b.*
+ 838c: 6fec.*
+ 838d: 0fb5.*
+ 838e: 0c1b.*
+ 838f: 6fec.*
+ 8390: 0fb6.*
+ 8391: 0e1b.*
+ 8392: 6fec.*
+ 8393: 0fb7.*
+ 8394: 0f1b.*
+ 8395: 6fec.*
+ 8396: 0fb8.*
+ 8397: 0f1b.*
+ 8398: 6fec.*
+ 8399: 0fb9.*
+ 839a: 0c1c.*
+ 839b: 6fec.*
+ 839c: 0fba.*
+ 839d: 0d1c.*
+ 839e: 6fec.*
+ 839f: 0fbb.*
+ 83a0: 0c1c.*
+ 83a1: 6fec.*
+ 83a2: 0fbc.*
+ 83a3: 0e1c.*
+ 83a4: 6fec.*
+ 83a5: 0fbd.*
+ 83a6: 0f1c.*
+ 83a7: 6fec.*
+ 83a8: 0fbe.*
+ 83a9: 0f1c.*
+ 83aa: 6fec.*
+ 83ab: 0fbf.*
+ 83ac: 0c1d.*
+ 83ad: 6fec.*
+ 83ae: 0fc0.*
+ 83af: 0d1d.*
+ 83b0: 6fec.*
+ 83b1: 0fc1.*
+ 83b2: 0c1d.*
+ 83b3: 6fec.*
+ 83b4: 0fc2.*
+ 83b5: 0e1d.*
+ 83b6: 6fec.*
+ 83b7: 0fc3.*
+ 83b8: 0f1d.*
+ 83b9: 6fec.*
+ 83ba: 0fc4.*
+ 83bb: 0f1d.*
+ 83bc: 6fec.*
+ 83bd: 0fc5.*
+ 83be: 0c1e.*
+ 83bf: 6fec.*
+ 83c0: 0fc6.*
+ 83c1: 0d1e.*
+ 83c2: 6fec.*
+ 83c3: 0fc7.*
+ 83c4: 0c1e.*
+ 83c5: 6fec.*
+ 83c6: 0fc8.*
+ 83c7: 0e1e.*
+ 83c8: 6fec.*
+ 83c9: 0fc9.*
+ 83ca: 0f1e.*
+ 83cb: 6fec.*
+ 83cc: 0fca.*
+ 83cd: 0f1e.*
+ 83ce: 6fec.*
+ 83cf: 0fcb.*
+ 83d0: 0c1f.*
+ 83d1: 6fec.*
+ 83d2: 0fcc.*
+ 83d3: 0d1f.*
+ 83d4: 6fec.*
+ 83d5: 0fcd.*
+ 83d6: 0c1f.*
+ 83d7: 6fec.*
+ 83d8: 0fce.*
+ 83d9: 0e1f.*
+ 83da: 6fec.*
+ 83db: 0fcf.*
+ 83dc: 0f1f.*
+ 83dd: 6fec.*
+ 83de: 0fd0.*
+ 83df: 0f1f.*
+ 83e0: 00ec.*
+ 83e1: 0fd1.*
+ 83e2: 6fec.*
+ 83e3: 0fd2.*
+ 83e4: 0d00.*
+ 83e5: 00ec.*
+ 83e6: 0fd3.*
+ 83e7: 6fec.*
+ 83e8: 0fd4.*
+ 83e9: 0e00.*
+ 83ea: 01ec.*
+ 83eb: 0fd5.*
+ 83ec: 01ec.*
+ 83ed: 0fd6.*
+ 83ee: 6fec.*
+ 83ef: 0fd7.*
+ 83f0: 0c01.*
+ 83f1: 6fec.*
+ 83f2: 0fd8.*
+ 83f3: 0d01.*
+ 83f4: 6fec.*
+ 83f5: 0fd9.*
+ 83f6: 0c01.*
+ 83f7: 6fec.*
+ 83f8: 0fda.*
+ 83f9: 0e01.*
+ 83fa: 6fec.*
+ 83fb: 0fdb.*
+ 83fc: 0f01.*
+ 83fd: 6fec.*
+ 83fe: 0fdc.*
+ 83ff: 0f01.*
+ 8400: 6fec.*
+ 8401: 0fdd.*
+ 8402: 0c02.*
+ 8403: 6fec.*
+ 8404: 0fde.*
+ 8405: 0d02.*
+ 8406: 6fec.*
+ 8407: 0fdf.*
+ 8408: 0c02.*
+ 8409: 6fec.*
+ 840a: 0fe0.*
+ 840b: 0e02.*
+ 840c: 6fec.*
+ 840d: 0fe1.*
+ 840e: 0f02.*
+ 840f: 6fec.*
+ 8410: 0fe2.*
+ 8411: 0f02.*
+ 8412: 6fec.*
+ 8413: 0fe3.*
+ 8414: 0c03.*
+ 8415: 6fec.*
+ 8416: 0fe4.*
+ 8417: 0d03.*
+ 8418: 6fec.*
+ 8419: 0fe5.*
+ 841a: 0c03.*
+ 841b: 6fec.*
+ 841c: 0fe6.*
+ 841d: 0e03.*
+ 841e: 6fec.*
+ 841f: 0fe7.*
+ 8420: 0f03.*
+ 8421: 6fec.*
+ 8422: 0fe8.*
+ 8423: 0f03.*
+ 8424: 6fec.*
+ 8425: 0fe9.*
+ 8426: 0c04.*
+ 8427: 6fec.*
+ 8428: 0fea.*
+ 8429: 0d04.*
+ 842a: 6fec.*
+ 842b: 0feb.*
+ 842c: 0c04.*
+ 842d: 6fec.*
+ 842e: 0fec.*
+ 842f: 0e04.*
+ 8430: 6fec.*
+ 8431: 0fed.*
+ 8432: 0f04.*
+ 8433: 6fec.*
+ 8434: 0fee.*
+ 8435: 0f04.*
+ 8436: 6fec.*
+ 8437: 0fef.*
+ 8438: 0c05.*
+ 8439: 6fec.*
+ 843a: 0ff0.*
+ 843b: 0d05.*
+ 843c: 6fec.*
+ 843d: 0ff1.*
+ 843e: 0c05.*
+ 843f: 6fec.*
+ 8440: 0ff2.*
+ 8441: 0e05.*
+ 8442: 6fec.*
+ 8443: 0ff3.*
+ 8444: 0f05.*
+ 8445: 6fec.*
+ 8446: 0ff4.*
+ 8447: 0f05.*
+ 8448: 6fec.*
+ 8449: 0ff5.*
+ 844a: 0c06.*
+ 844b: 6fec.*
+ 844c: 0ff6.*
+ 844d: 0d06.*
+ 844e: 6fec.*
+ 844f: 0ff7.*
+ 8450: 0c06.*
+ 8451: 6fec.*
+ 8452: 0ff8.*
+ 8453: 0e06.*
+ 8454: 6fec.*
+ 8455: 0ff9.*
+ 8456: 0f06.*
+ 8457: 6fec.*
+ 8458: 0ffa.*
+ 8459: 0f06.*
+ 845a: 6fec.*
+ 845b: 0ffb.*
+ 845c: 0c07.*
+ 845d: 6fec.*
+ 845e: 0ffc.*
+ 845f: 0d07.*
+ 8460: 6fec.*
+ 8461: 0ffd.*
+ 8462: 0c07.*
+ 8463: 6fec.*
+ 8464: 0ffe.*
+ 8465: 0e07.*
+ 8466: 6fec.*
+ 8467: 0fff.*
+ 8468: 0f07.*
+ 8469: 6fec.*
+ 846a: 1000.*
+ 846b: 0f07.*
+ 846c: 6fec.*
+ 846d: 1001.*
+ 846e: 0c08.*
+ 846f: 6fec.*
+ 8470: 1002.*
+ 8471: 0d08.*
+ 8472: 6fec.*
+ 8473: 1003.*
+ 8474: 0c08.*
+ 8475: 6fec.*
+ 8476: 1004.*
+ 8477: 0e08.*
+ 8478: 6fec.*
+ 8479: 1005.*
+ 847a: 0f08.*
+ 847b: 6fec.*
+ 847c: 1006.*
+ 847d: 0f08.*
+ 847e: 6fec.*
+ 847f: 1007.*
+ 8480: 0c09.*
+ 8481: 6fec.*
+ 8482: 1008.*
+ 8483: 0d09.*
+ 8484: 6fec.*
+ 8485: 1009.*
+ 8486: 0c09.*
+ 8487: 6fec.*
+ 8488: 100a.*
+ 8489: 0e09.*
+ 848a: 6fec.*
+ 848b: 100b.*
+ 848c: 0f09.*
+ 848d: 6fec.*
+ 848e: 100c.*
+ 848f: 0f09.*
+ 8490: 6fec.*
+ 8491: 100d.*
+ 8492: 0c0a.*
+ 8493: 6fec.*
+ 8494: 100e.*
+ 8495: 0d0a.*
+ 8496: 6fec.*
+ 8497: 100f.*
+ 8498: 0c0a.*
+ 8499: 6fec.*
+ 849a: 1010.*
+ 849b: 0e0a.*
+ 849c: 6fec.*
+ 849d: 1011.*
+ 849e: 0f0a.*
+ 849f: 6fec.*
+ 84a0: 1012.*
+ 84a1: 0f0a.*
+ 84a2: 6fec.*
+ 84a3: 1013.*
+ 84a4: 0c0b.*
+ 84a5: 6fec.*
+ 84a6: 1014.*
+ 84a7: 0d0b.*
+ 84a8: 6fec.*
+ 84a9: 1015.*
+ 84aa: 0c0b.*
+ 84ab: 6fec.*
+ 84ac: 1016.*
+ 84ad: 0e0b.*
+ 84ae: 6fec.*
+ 84af: 1017.*
+ 84b0: 0f0b.*
+ 84b1: 6fec.*
+ 84b2: 1018.*
+ 84b3: 0f0b.*
+ 84b4: 6fec.*
+ 84b5: 1019.*
+ 84b6: 0c0c.*
+ 84b7: 6fec.*
+ 84b8: 101a.*
+ 84b9: 0d0c.*
+ 84ba: 6fec.*
+ 84bb: 101b.*
+ 84bc: 0c0c.*
+ 84bd: 6fec.*
+ 84be: 101c.*
+ 84bf: 0e0c.*
+ 84c0: 6fec.*
+ 84c1: 101d.*
+ 84c2: 0f0c.*
+ 84c3: 6fec.*
+ 84c4: 101e.*
+ 84c5: 0f0c.*
+ 84c6: 6fec.*
+ 84c7: 101f.*
+ 84c8: 0c0d.*
+ 84c9: 6fec.*
+ 84ca: 1020.*
+ 84cb: 0d0d.*
+ 84cc: 6fec.*
+ 84cd: 1021.*
+ 84ce: 0c0d.*
+ 84cf: 6fec.*
+ 84d0: 1022.*
+ 84d1: 0e0d.*
+ 84d2: 6fec.*
+ 84d3: 1023.*
+ 84d4: 0f0d.*
+ 84d5: 6fec.*
+ 84d6: 1024.*
+ 84d7: 0f0d.*
+ 84d8: 6fec.*
+ 84d9: 1025.*
+ 84da: 0c0e.*
+ 84db: 6fec.*
+ 84dc: 1026.*
+ 84dd: 0d0e.*
+ 84de: 6fec.*
+ 84df: 1027.*
+ 84e0: 0c0e.*
+ 84e1: 6fec.*
+ 84e2: 1028.*
+ 84e3: 0e0e.*
+ 84e4: 6fec.*
+ 84e5: 1029.*
+ 84e6: 0f0e.*
+ 84e7: 6fec.*
+ 84e8: 102a.*
+ 84e9: 0f0e.*
+ 84ea: 6fec.*
+ 84eb: 102b.*
+ 84ec: 0c0f.*
+ 84ed: 6fec.*
+ 84ee: 102c.*
+ 84ef: 0d0f.*
+ 84f0: 6fec.*
+ 84f1: 102d.*
+ 84f2: 0c0f.*
+ 84f3: 6fec.*
+ 84f4: 102e.*
+ 84f5: 0e0f.*
+ 84f6: 6fec.*
+ 84f7: 102f.*
+ 84f8: 0f0f.*
+ 84f9: 6fec.*
+ 84fa: 1030.*
+ 84fb: 0f0f.*
+ 84fc: 00f4.*
+ 84fd: 1031.*
+ 84fe: 6ff4.*
+ 84ff: 1032.*
+ 8500: 0d00.*
+ 8501: 00f4.*
+ 8502: 1033.*
+ 8503: 6ff4.*
+ 8504: 1034.*
+ 8505: 0e00.*
+ 8506: 01f4.*
+ 8507: 1035.*
+ 8508: 01f4.*
+ 8509: 1036.*
+ 850a: 6ff4.*
+ 850b: 1037.*
+ 850c: 0c10.*
+ 850d: 6ff4.*
+ 850e: 1038.*
+ 850f: 0d10.*
+ 8510: 6ff4.*
+ 8511: 1039.*
+ 8512: 0c10.*
+ 8513: 6ff4.*
+ 8514: 103a.*
+ 8515: 0e10.*
+ 8516: 6ff4.*
+ 8517: 103b.*
+ 8518: 0f10.*
+ 8519: 6ff4.*
+ 851a: 103c.*
+ 851b: 0f10.*
+ 851c: 6ff4.*
+ 851d: 103d.*
+ 851e: 0c11.*
+ 851f: 6ff4.*
+ 8520: 103e.*
+ 8521: 0d11.*
+ 8522: 6ff4.*
+ 8523: 103f.*
+ 8524: 0c11.*
+ 8525: 6ff4.*
+ 8526: 1040.*
+ 8527: 0e11.*
+ 8528: 6ff4.*
+ 8529: 1041.*
+ 852a: 0f11.*
+ 852b: 6ff4.*
+ 852c: 1042.*
+ 852d: 0f11.*
+ 852e: 6ff4.*
+ 852f: 1043.*
+ 8530: 0c12.*
+ 8531: 6ff4.*
+ 8532: 1044.*
+ 8533: 0d12.*
+ 8534: 6ff4.*
+ 8535: 1045.*
+ 8536: 0c12.*
+ 8537: 6ff4.*
+ 8538: 1046.*
+ 8539: 0e12.*
+ 853a: 6ff4.*
+ 853b: 1047.*
+ 853c: 0f12.*
+ 853d: 6ff4.*
+ 853e: 1048.*
+ 853f: 0f12.*
+ 8540: 6ff4.*
+ 8541: 1049.*
+ 8542: 0c13.*
+ 8543: 6ff4.*
+ 8544: 104a.*
+ 8545: 0d13.*
+ 8546: 6ff4.*
+ 8547: 104b.*
+ 8548: 0c13.*
+ 8549: 6ff4.*
+ 854a: 104c.*
+ 854b: 0e13.*
+ 854c: 6ff4.*
+ 854d: 104d.*
+ 854e: 0f13.*
+ 854f: 6ff4.*
+ 8550: 104e.*
+ 8551: 0f13.*
+ 8552: 6ff4.*
+ 8553: 104f.*
+ 8554: 0c14.*
+ 8555: 6ff4.*
+ 8556: 1050.*
+ 8557: 0d14.*
+ 8558: 6ff4.*
+ 8559: 1051.*
+ 855a: 0c14.*
+ 855b: 6ff4.*
+ 855c: 1052.*
+ 855d: 0e14.*
+ 855e: 6ff4.*
+ 855f: 1053.*
+ 8560: 0f14.*
+ 8561: 6ff4.*
+ 8562: 1054.*
+ 8563: 0f14.*
+ 8564: 6ff4.*
+ 8565: 1055.*
+ 8566: 0c15.*
+ 8567: 6ff4.*
+ 8568: 1056.*
+ 8569: 0d15.*
+ 856a: 6ff4.*
+ 856b: 1057.*
+ 856c: 0c15.*
+ 856d: 6ff4.*
+ 856e: 1058.*
+ 856f: 0e15.*
+ 8570: 6ff4.*
+ 8571: 1059.*
+ 8572: 0f15.*
+ 8573: 6ff4.*
+ 8574: 105a.*
+ 8575: 0f15.*
+ 8576: 6ff4.*
+ 8577: 105b.*
+ 8578: 0c16.*
+ 8579: 6ff4.*
+ 857a: 105c.*
+ 857b: 0d16.*
+ 857c: 6ff4.*
+ 857d: 105d.*
+ 857e: 0c16.*
+ 857f: 6ff4.*
+ 8580: 105e.*
+ 8581: 0e16.*
+ 8582: 6ff4.*
+ 8583: 105f.*
+ 8584: 0f16.*
+ 8585: 6ff4.*
+ 8586: 1060.*
+ 8587: 0f16.*
+ 8588: 6ff4.*
+ 8589: 1061.*
+ 858a: 0c17.*
+ 858b: 6ff4.*
+ 858c: 1062.*
+ 858d: 0d17.*
+ 858e: 6ff4.*
+ 858f: 1063.*
+ 8590: 0c17.*
+ 8591: 6ff4.*
+ 8592: 1064.*
+ 8593: 0e17.*
+ 8594: 6ff4.*
+ 8595: 1065.*
+ 8596: 0f17.*
+ 8597: 6ff4.*
+ 8598: 1066.*
+ 8599: 0f17.*
+ 859a: 6ff4.*
+ 859b: 1067.*
+ 859c: 0c18.*
+ 859d: 6ff4.*
+ 859e: 1068.*
+ 859f: 0d18.*
+ 85a0: 6ff4.*
+ 85a1: 1069.*
+ 85a2: 0c18.*
+ 85a3: 6ff4.*
+ 85a4: 106a.*
+ 85a5: 0e18.*
+ 85a6: 6ff4.*
+ 85a7: 106b.*
+ 85a8: 0f18.*
+ 85a9: 6ff4.*
+ 85aa: 106c.*
+ 85ab: 0f18.*
+ 85ac: 6ff4.*
+ 85ad: 106d.*
+ 85ae: 0c19.*
+ 85af: 6ff4.*
+ 85b0: 106e.*
+ 85b1: 0d19.*
+ 85b2: 6ff4.*
+ 85b3: 106f.*
+ 85b4: 0c19.*
+ 85b5: 6ff4.*
+ 85b6: 1070.*
+ 85b7: 0e19.*
+ 85b8: 6ff4.*
+ 85b9: 1071.*
+ 85ba: 0f19.*
+ 85bb: 6ff4.*
+ 85bc: 1072.*
+ 85bd: 0f19.*
+ 85be: 6ff4.*
+ 85bf: 1073.*
+ 85c0: 0c1a.*
+ 85c1: 6ff4.*
+ 85c2: 1074.*
+ 85c3: 0d1a.*
+ 85c4: 6ff4.*
+ 85c5: 1075.*
+ 85c6: 0c1a.*
+ 85c7: 6ff4.*
+ 85c8: 1076.*
+ 85c9: 0e1a.*
+ 85ca: 6ff4.*
+ 85cb: 1077.*
+ 85cc: 0f1a.*
+ 85cd: 6ff4.*
+ 85ce: 1078.*
+ 85cf: 0f1a.*
+ 85d0: 6ff4.*
+ 85d1: 1079.*
+ 85d2: 0c1b.*
+ 85d3: 6ff4.*
+ 85d4: 107a.*
+ 85d5: 0d1b.*
+ 85d6: 6ff4.*
+ 85d7: 107b.*
+ 85d8: 0c1b.*
+ 85d9: 6ff4.*
+ 85da: 107c.*
+ 85db: 0e1b.*
+ 85dc: 6ff4.*
+ 85dd: 107d.*
+ 85de: 0f1b.*
+ 85df: 6ff4.*
+ 85e0: 107e.*
+ 85e1: 0f1b.*
+ 85e2: 6ff4.*
+ 85e3: 107f.*
+ 85e4: 0c1c.*
+ 85e5: 6ff4.*
+ 85e6: 1080.*
+ 85e7: 0d1c.*
+ 85e8: 6ff4.*
+ 85e9: 1081.*
+ 85ea: 0c1c.*
+ 85eb: 6ff4.*
+ 85ec: 1082.*
+ 85ed: 0e1c.*
+ 85ee: 6ff4.*
+ 85ef: 1083.*
+ 85f0: 0f1c.*
+ 85f1: 6ff4.*
+ 85f2: 1084.*
+ 85f3: 0f1c.*
+ 85f4: 6ff4.*
+ 85f5: 1085.*
+ 85f6: 0c1d.*
+ 85f7: 6ff4.*
+ 85f8: 1086.*
+ 85f9: 0d1d.*
+ 85fa: 6ff4.*
+ 85fb: 1087.*
+ 85fc: 0c1d.*
+ 85fd: 6ff4.*
+ 85fe: 1088.*
+ 85ff: 0e1d.*
+ 8600: 6ff4.*
+ 8601: 1089.*
+ 8602: 0f1d.*
+ 8603: 6ff4.*
+ 8604: 108a.*
+ 8605: 0f1d.*
+ 8606: 6ff4.*
+ 8607: 108b.*
+ 8608: 0c1e.*
+ 8609: 6ff4.*
+ 860a: 108c.*
+ 860b: 0d1e.*
+ 860c: 6ff4.*
+ 860d: 108d.*
+ 860e: 0c1e.*
+ 860f: 6ff4.*
+ 8610: 108e.*
+ 8611: 0e1e.*
+ 8612: 6ff4.*
+ 8613: 108f.*
+ 8614: 0f1e.*
+ 8615: 6ff4.*
+ 8616: 1090.*
+ 8617: 0f1e.*
+ 8618: 6ff4.*
+ 8619: 1091.*
+ 861a: 0c1f.*
+ 861b: 6ff4.*
+ 861c: 1092.*
+ 861d: 0d1f.*
+ 861e: 6ff4.*
+ 861f: 1093.*
+ 8620: 0c1f.*
+ 8621: 6ff4.*
+ 8622: 1094.*
+ 8623: 0e1f.*
+ 8624: 6ff4.*
+ 8625: 1095.*
+ 8626: 0f1f.*
+ 8627: 6ff4.*
+ 8628: 1096.*
+ 8629: 0f1f.*
+ 862a: 00f4.*
+ 862b: 1097.*
+ 862c: 6ff4.*
+ 862d: 1098.*
+ 862e: 0d00.*
+ 862f: 00f4.*
+ 8630: 1099.*
+ 8631: 6ff4.*
+ 8632: 109a.*
+ 8633: 0e00.*
+ 8634: 01f4.*
+ 8635: 109b.*
+ 8636: 01f4.*
+ 8637: 109c.*
+ 8638: 6ff4.*
+ 8639: 109d.*
+ 863a: 0c01.*
+ 863b: 6ff4.*
+ 863c: 109e.*
+ 863d: 0d01.*
+ 863e: 6ff4.*
+ 863f: 109f.*
+ 8640: 0c01.*
+ 8641: 6ff4.*
+ 8642: 10a0.*
+ 8643: 0e01.*
+ 8644: 6ff4.*
+ 8645: 10a1.*
+ 8646: 0f01.*
+ 8647: 6ff4.*
+ 8648: 10a2.*
+ 8649: 0f01.*
+ 864a: 6ff4.*
+ 864b: 10a3.*
+ 864c: 0c02.*
+ 864d: 6ff4.*
+ 864e: 10a4.*
+ 864f: 0d02.*
+ 8650: 6ff4.*
+ 8651: 10a5.*
+ 8652: 0c02.*
+ 8653: 6ff4.*
+ 8654: 10a6.*
+ 8655: 0e02.*
+ 8656: 6ff4.*
+ 8657: 10a7.*
+ 8658: 0f02.*
+ 8659: 6ff4.*
+ 865a: 10a8.*
+ 865b: 0f02.*
+ 865c: 6ff4.*
+ 865d: 10a9.*
+ 865e: 0c03.*
+ 865f: 6ff4.*
+ 8660: 10aa.*
+ 8661: 0d03.*
+ 8662: 6ff4.*
+ 8663: 10ab.*
+ 8664: 0c03.*
+ 8665: 6ff4.*
+ 8666: 10ac.*
+ 8667: 0e03.*
+ 8668: 6ff4.*
+ 8669: 10ad.*
+ 866a: 0f03.*
+ 866b: 6ff4.*
+ 866c: 10ae.*
+ 866d: 0f03.*
+ 866e: 6ff4.*
+ 866f: 10af.*
+ 8670: 0c04.*
+ 8671: 6ff4.*
+ 8672: 10b0.*
+ 8673: 0d04.*
+ 8674: 6ff4.*
+ 8675: 10b1.*
+ 8676: 0c04.*
+ 8677: 6ff4.*
+ 8678: 10b2.*
+ 8679: 0e04.*
+ 867a: 6ff4.*
+ 867b: 10b3.*
+ 867c: 0f04.*
+ 867d: 6ff4.*
+ 867e: 10b4.*
+ 867f: 0f04.*
+ 8680: 6ff4.*
+ 8681: 10b5.*
+ 8682: 0c05.*
+ 8683: 6ff4.*
+ 8684: 10b6.*
+ 8685: 0d05.*
+ 8686: 6ff4.*
+ 8687: 10b7.*
+ 8688: 0c05.*
+ 8689: 6ff4.*
+ 868a: 10b8.*
+ 868b: 0e05.*
+ 868c: 6ff4.*
+ 868d: 10b9.*
+ 868e: 0f05.*
+ 868f: 6ff4.*
+ 8690: 10ba.*
+ 8691: 0f05.*
+ 8692: 6ff4.*
+ 8693: 10bb.*
+ 8694: 0c06.*
+ 8695: 6ff4.*
+ 8696: 10bc.*
+ 8697: 0d06.*
+ 8698: 6ff4.*
+ 8699: 10bd.*
+ 869a: 0c06.*
+ 869b: 6ff4.*
+ 869c: 10be.*
+ 869d: 0e06.*
+ 869e: 6ff4.*
+ 869f: 10bf.*
+ 86a0: 0f06.*
+ 86a1: 6ff4.*
+ 86a2: 10c0.*
+ 86a3: 0f06.*
+ 86a4: 6ff4.*
+ 86a5: 10c1.*
+ 86a6: 0c07.*
+ 86a7: 6ff4.*
+ 86a8: 10c2.*
+ 86a9: 0d07.*
+ 86aa: 6ff4.*
+ 86ab: 10c3.*
+ 86ac: 0c07.*
+ 86ad: 6ff4.*
+ 86ae: 10c4.*
+ 86af: 0e07.*
+ 86b0: 6ff4.*
+ 86b1: 10c5.*
+ 86b2: 0f07.*
+ 86b3: 6ff4.*
+ 86b4: 10c6.*
+ 86b5: 0f07.*
+ 86b6: 6ff4.*
+ 86b7: 10c7.*
+ 86b8: 0c08.*
+ 86b9: 6ff4.*
+ 86ba: 10c8.*
+ 86bb: 0d08.*
+ 86bc: 6ff4.*
+ 86bd: 10c9.*
+ 86be: 0c08.*
+ 86bf: 6ff4.*
+ 86c0: 10ca.*
+ 86c1: 0e08.*
+ 86c2: 6ff4.*
+ 86c3: 10cb.*
+ 86c4: 0f08.*
+ 86c5: 6ff4.*
+ 86c6: 10cc.*
+ 86c7: 0f08.*
+ 86c8: 6ff4.*
+ 86c9: 10cd.*
+ 86ca: 0c09.*
+ 86cb: 6ff4.*
+ 86cc: 10ce.*
+ 86cd: 0d09.*
+ 86ce: 6ff4.*
+ 86cf: 10cf.*
+ 86d0: 0c09.*
+ 86d1: 6ff4.*
+ 86d2: 10d0.*
+ 86d3: 0e09.*
+ 86d4: 6ff4.*
+ 86d5: 10d1.*
+ 86d6: 0f09.*
+ 86d7: 6ff4.*
+ 86d8: 10d2.*
+ 86d9: 0f09.*
+ 86da: 6ff4.*
+ 86db: 10d3.*
+ 86dc: 0c0a.*
+ 86dd: 6ff4.*
+ 86de: 10d4.*
+ 86df: 0d0a.*
+ 86e0: 6ff4.*
+ 86e1: 10d5.*
+ 86e2: 0c0a.*
+ 86e3: 6ff4.*
+ 86e4: 10d6.*
+ 86e5: 0e0a.*
+ 86e6: 6ff4.*
+ 86e7: 10d7.*
+ 86e8: 0f0a.*
+ 86e9: 6ff4.*
+ 86ea: 10d8.*
+ 86eb: 0f0a.*
+ 86ec: 6ff4.*
+ 86ed: 10d9.*
+ 86ee: 0c0b.*
+ 86ef: 6ff4.*
+ 86f0: 10da.*
+ 86f1: 0d0b.*
+ 86f2: 6ff4.*
+ 86f3: 10db.*
+ 86f4: 0c0b.*
+ 86f5: 6ff4.*
+ 86f6: 10dc.*
+ 86f7: 0e0b.*
+ 86f8: 6ff4.*
+ 86f9: 10dd.*
+ 86fa: 0f0b.*
+ 86fb: 6ff4.*
+ 86fc: 10de.*
+ 86fd: 0f0b.*
+ 86fe: 6ff4.*
+ 86ff: 10df.*
+ 8700: 0c0c.*
+ 8701: 6ff4.*
+ 8702: 10e0.*
+ 8703: 0d0c.*
+ 8704: 6ff4.*
+ 8705: 10e1.*
+ 8706: 0c0c.*
+ 8707: 6ff4.*
+ 8708: 10e2.*
+ 8709: 0e0c.*
+ 870a: 6ff4.*
+ 870b: 10e3.*
+ 870c: 0f0c.*
+ 870d: 6ff4.*
+ 870e: 10e4.*
+ 870f: 0f0c.*
+ 8710: 6ff4.*
+ 8711: 10e5.*
+ 8712: 0c0d.*
+ 8713: 6ff4.*
+ 8714: 10e6.*
+ 8715: 0d0d.*
+ 8716: 6ff4.*
+ 8717: 10e7.*
+ 8718: 0c0d.*
+ 8719: 6ff4.*
+ 871a: 10e8.*
+ 871b: 0e0d.*
+ 871c: 6ff4.*
+ 871d: 10e9.*
+ 871e: 0f0d.*
+ 871f: 6ff4.*
+ 8720: 10ea.*
+ 8721: 0f0d.*
+ 8722: 6ff4.*
+ 8723: 10eb.*
+ 8724: 0c0e.*
+ 8725: 6ff4.*
+ 8726: 10ec.*
+ 8727: 0d0e.*
+ 8728: 6ff4.*
+ 8729: 10ed.*
+ 872a: 0c0e.*
+ 872b: 6ff4.*
+ 872c: 10ee.*
+ 872d: 0e0e.*
+ 872e: 6ff4.*
+ 872f: 10ef.*
+ 8730: 0f0e.*
+ 8731: 6ff4.*
+ 8732: 10f0.*
+ 8733: 0f0e.*
+ 8734: 6ff4.*
+ 8735: 10f1.*
+ 8736: 0c0f.*
+ 8737: 6ff4.*
+ 8738: 10f2.*
+ 8739: 0d0f.*
+ 873a: 6ff4.*
+ 873b: 10f3.*
+ 873c: 0c0f.*
+ 873d: 6ff4.*
+ 873e: 10f4.*
+ 873f: 0e0f.*
+ 8740: 6ff4.*
+ 8741: 10f5.*
+ 8742: 0f0f.*
+ 8743: 6ff4.*
+ 8744: 10f6.*
+ 8745: 0f0f.*
+ 8746: 00f8.*
+ 8747: 10f7.*
+ 8748: 6ff8.*
+ 8749: 10f8.*
+ 874a: 0d00.*
+ 874b: 00f8.*
+ 874c: 10f9.*
+ 874d: 6ff8.*
+ 874e: 10fa.*
+ 874f: 0e00.*
+ 8750: 01f8.*
+ 8751: 10fb.*
+ 8752: 01f8.*
+ 8753: 10fc.*
+ 8754: 6ff8.*
+ 8755: 10fd.*
+ 8756: 0c10.*
+ 8757: 6ff8.*
+ 8758: 10fe.*
+ 8759: 0d10.*
+ 875a: 6ff8.*
+ 875b: 10ff.*
+ 875c: 0c10.*
+ 875d: 6ff8.*
+ 875e: 1100.*
+ 875f: 0e10.*
+ 8760: 6ff8.*
+ 8761: 1101.*
+ 8762: 0f10.*
+ 8763: 6ff8.*
+ 8764: 1102.*
+ 8765: 0f10.*
+ 8766: 6ff8.*
+ 8767: 1103.*
+ 8768: 0c11.*
+ 8769: 6ff8.*
+ 876a: 1104.*
+ 876b: 0d11.*
+ 876c: 6ff8.*
+ 876d: 1105.*
+ 876e: 0c11.*
+ 876f: 6ff8.*
+ 8770: 1106.*
+ 8771: 0e11.*
+ 8772: 6ff8.*
+ 8773: 1107.*
+ 8774: 0f11.*
+ 8775: 6ff8.*
+ 8776: 1108.*
+ 8777: 0f11.*
+ 8778: 6ff8.*
+ 8779: 1109.*
+ 877a: 0c12.*
+ 877b: 6ff8.*
+ 877c: 110a.*
+ 877d: 0d12.*
+ 877e: 6ff8.*
+ 877f: 110b.*
+ 8780: 0c12.*
+ 8781: 6ff8.*
+ 8782: 110c.*
+ 8783: 0e12.*
+ 8784: 6ff8.*
+ 8785: 110d.*
+ 8786: 0f12.*
+ 8787: 6ff8.*
+ 8788: 110e.*
+ 8789: 0f12.*
+ 878a: 6ff8.*
+ 878b: 110f.*
+ 878c: 0c13.*
+ 878d: 6ff8.*
+ 878e: 1110.*
+ 878f: 0d13.*
+ 8790: 6ff8.*
+ 8791: 1111.*
+ 8792: 0c13.*
+ 8793: 6ff8.*
+ 8794: 1112.*
+ 8795: 0e13.*
+ 8796: 6ff8.*
+ 8797: 1113.*
+ 8798: 0f13.*
+ 8799: 6ff8.*
+ 879a: 1114.*
+ 879b: 0f13.*
+ 879c: 6ff8.*
+ 879d: 1115.*
+ 879e: 0c14.*
+ 879f: 6ff8.*
+ 87a0: 1116.*
+ 87a1: 0d14.*
+ 87a2: 6ff8.*
+ 87a3: 1117.*
+ 87a4: 0c14.*
+ 87a5: 6ff8.*
+ 87a6: 1118.*
+ 87a7: 0e14.*
+ 87a8: 6ff8.*
+ 87a9: 1119.*
+ 87aa: 0f14.*
+ 87ab: 6ff8.*
+ 87ac: 111a.*
+ 87ad: 0f14.*
+ 87ae: 6ff8.*
+ 87af: 111b.*
+ 87b0: 0c15.*
+ 87b1: 6ff8.*
+ 87b2: 111c.*
+ 87b3: 0d15.*
+ 87b4: 6ff8.*
+ 87b5: 111d.*
+ 87b6: 0c15.*
+ 87b7: 6ff8.*
+ 87b8: 111e.*
+ 87b9: 0e15.*
+ 87ba: 6ff8.*
+ 87bb: 111f.*
+ 87bc: 0f15.*
+ 87bd: 6ff8.*
+ 87be: 1120.*
+ 87bf: 0f15.*
+ 87c0: 6ff8.*
+ 87c1: 1121.*
+ 87c2: 0c16.*
+ 87c3: 6ff8.*
+ 87c4: 1122.*
+ 87c5: 0d16.*
+ 87c6: 6ff8.*
+ 87c7: 1123.*
+ 87c8: 0c16.*
+ 87c9: 6ff8.*
+ 87ca: 1124.*
+ 87cb: 0e16.*
+ 87cc: 6ff8.*
+ 87cd: 1125.*
+ 87ce: 0f16.*
+ 87cf: 6ff8.*
+ 87d0: 1126.*
+ 87d1: 0f16.*
+ 87d2: 6ff8.*
+ 87d3: 1127.*
+ 87d4: 0c17.*
+ 87d5: 6ff8.*
+ 87d6: 1128.*
+ 87d7: 0d17.*
+ 87d8: 6ff8.*
+ 87d9: 1129.*
+ 87da: 0c17.*
+ 87db: 6ff8.*
+ 87dc: 112a.*
+ 87dd: 0e17.*
+ 87de: 6ff8.*
+ 87df: 112b.*
+ 87e0: 0f17.*
+ 87e1: 6ff8.*
+ 87e2: 112c.*
+ 87e3: 0f17.*
+ 87e4: 6ff8.*
+ 87e5: 112d.*
+ 87e6: 0c18.*
+ 87e7: 6ff8.*
+ 87e8: 112e.*
+ 87e9: 0d18.*
+ 87ea: 6ff8.*
+ 87eb: 112f.*
+ 87ec: 0c18.*
+ 87ed: 6ff8.*
+ 87ee: 1130.*
+ 87ef: 0e18.*
+ 87f0: 6ff8.*
+ 87f1: 1131.*
+ 87f2: 0f18.*
+ 87f3: 6ff8.*
+ 87f4: 1132.*
+ 87f5: 0f18.*
+ 87f6: 6ff8.*
+ 87f7: 1133.*
+ 87f8: 0c19.*
+ 87f9: 6ff8.*
+ 87fa: 1134.*
+ 87fb: 0d19.*
+ 87fc: 6ff8.*
+ 87fd: 1135.*
+ 87fe: 0c19.*
+ 87ff: 6ff8.*
+ 8800: 1136.*
+ 8801: 0e19.*
+ 8802: 6ff8.*
+ 8803: 1137.*
+ 8804: 0f19.*
+ 8805: 6ff8.*
+ 8806: 1138.*
+ 8807: 0f19.*
+ 8808: 6ff8.*
+ 8809: 1139.*
+ 880a: 0c1a.*
+ 880b: 6ff8.*
+ 880c: 113a.*
+ 880d: 0d1a.*
+ 880e: 6ff8.*
+ 880f: 113b.*
+ 8810: 0c1a.*
+ 8811: 6ff8.*
+ 8812: 113c.*
+ 8813: 0e1a.*
+ 8814: 6ff8.*
+ 8815: 113d.*
+ 8816: 0f1a.*
+ 8817: 6ff8.*
+ 8818: 113e.*
+ 8819: 0f1a.*
+ 881a: 6ff8.*
+ 881b: 113f.*
+ 881c: 0c1b.*
+ 881d: 6ff8.*
+ 881e: 1140.*
+ 881f: 0d1b.*
+ 8820: 6ff8.*
+ 8821: 1141.*
+ 8822: 0c1b.*
+ 8823: 6ff8.*
+ 8824: 1142.*
+ 8825: 0e1b.*
+ 8826: 6ff8.*
+ 8827: 1143.*
+ 8828: 0f1b.*
+ 8829: 6ff8.*
+ 882a: 1144.*
+ 882b: 0f1b.*
+ 882c: 6ff8.*
+ 882d: 1145.*
+ 882e: 0c1c.*
+ 882f: 6ff8.*
+ 8830: 1146.*
+ 8831: 0d1c.*
+ 8832: 6ff8.*
+ 8833: 1147.*
+ 8834: 0c1c.*
+ 8835: 6ff8.*
+ 8836: 1148.*
+ 8837: 0e1c.*
+ 8838: 6ff8.*
+ 8839: 1149.*
+ 883a: 0f1c.*
+ 883b: 6ff8.*
+ 883c: 114a.*
+ 883d: 0f1c.*
+ 883e: 6ff8.*
+ 883f: 114b.*
+ 8840: 0c1d.*
+ 8841: 6ff8.*
+ 8842: 114c.*
+ 8843: 0d1d.*
+ 8844: 6ff8.*
+ 8845: 114d.*
+ 8846: 0c1d.*
+ 8847: 6ff8.*
+ 8848: 114e.*
+ 8849: 0e1d.*
+ 884a: 6ff8.*
+ 884b: 114f.*
+ 884c: 0f1d.*
+ 884d: 6ff8.*
+ 884e: 1150.*
+ 884f: 0f1d.*
+ 8850: 6ff8.*
+ 8851: 1151.*
+ 8852: 0c1e.*
+ 8853: 6ff8.*
+ 8854: 1152.*
+ 8855: 0d1e.*
+ 8856: 6ff8.*
+ 8857: 1153.*
+ 8858: 0c1e.*
+ 8859: 6ff8.*
+ 885a: 1154.*
+ 885b: 0e1e.*
+ 885c: 6ff8.*
+ 885d: 1155.*
+ 885e: 0f1e.*
+ 885f: 6ff8.*
+ 8860: 1156.*
+ 8861: 0f1e.*
+ 8862: 6ff8.*
+ 8863: 1157.*
+ 8864: 0c1f.*
+ 8865: 6ff8.*
+ 8866: 1158.*
+ 8867: 0d1f.*
+ 8868: 6ff8.*
+ 8869: 1159.*
+ 886a: 0c1f.*
+ 886b: 6ff8.*
+ 886c: 115a.*
+ 886d: 0e1f.*
+ 886e: 6ff8.*
+ 886f: 115b.*
+ 8870: 0f1f.*
+ 8871: 6ff8.*
+ 8872: 115c.*
+ 8873: 0f1f.*
+ 8874: 00f8.*
+ 8875: 115d.*
+ 8876: 6ff8.*
+ 8877: 115e.*
+ 8878: 0d00.*
+ 8879: 00f8.*
+ 887a: 115f.*
+ 887b: 6ff8.*
+ 887c: 1160.*
+ 887d: 0e00.*
+ 887e: 01f8.*
+ 887f: 1161.*
+ 8880: 01f8.*
+ 8881: 1162.*
+ 8882: 6ff8.*
+ 8883: 1163.*
+ 8884: 0c01.*
+ 8885: 6ff8.*
+ 8886: 1164.*
+ 8887: 0d01.*
+ 8888: 6ff8.*
+ 8889: 1165.*
+ 888a: 0c01.*
+ 888b: 6ff8.*
+ 888c: 1166.*
+ 888d: 0e01.*
+ 888e: 6ff8.*
+ 888f: 1167.*
+ 8890: 0f01.*
+ 8891: 6ff8.*
+ 8892: 1168.*
+ 8893: 0f01.*
+ 8894: 6ff8.*
+ 8895: 1169.*
+ 8896: 0c02.*
+ 8897: 6ff8.*
+ 8898: 116a.*
+ 8899: 0d02.*
+ 889a: 6ff8.*
+ 889b: 116b.*
+ 889c: 0c02.*
+ 889d: 6ff8.*
+ 889e: 116c.*
+ 889f: 0e02.*
+ 88a0: 6ff8.*
+ 88a1: 116d.*
+ 88a2: 0f02.*
+ 88a3: 6ff8.*
+ 88a4: 116e.*
+ 88a5: 0f02.*
+ 88a6: 6ff8.*
+ 88a7: 116f.*
+ 88a8: 0c03.*
+ 88a9: 6ff8.*
+ 88aa: 1170.*
+ 88ab: 0d03.*
+ 88ac: 6ff8.*
+ 88ad: 1171.*
+ 88ae: 0c03.*
+ 88af: 6ff8.*
+ 88b0: 1172.*
+ 88b1: 0e03.*
+ 88b2: 6ff8.*
+ 88b3: 1173.*
+ 88b4: 0f03.*
+ 88b5: 6ff8.*
+ 88b6: 1174.*
+ 88b7: 0f03.*
+ 88b8: 6ff8.*
+ 88b9: 1175.*
+ 88ba: 0c04.*
+ 88bb: 6ff8.*
+ 88bc: 1176.*
+ 88bd: 0d04.*
+ 88be: 6ff8.*
+ 88bf: 1177.*
+ 88c0: 0c04.*
+ 88c1: 6ff8.*
+ 88c2: 1178.*
+ 88c3: 0e04.*
+ 88c4: 6ff8.*
+ 88c5: 1179.*
+ 88c6: 0f04.*
+ 88c7: 6ff8.*
+ 88c8: 117a.*
+ 88c9: 0f04.*
+ 88ca: 6ff8.*
+ 88cb: 117b.*
+ 88cc: 0c05.*
+ 88cd: 6ff8.*
+ 88ce: 117c.*
+ 88cf: 0d05.*
+ 88d0: 6ff8.*
+ 88d1: 117d.*
+ 88d2: 0c05.*
+ 88d3: 6ff8.*
+ 88d4: 117e.*
+ 88d5: 0e05.*
+ 88d6: 6ff8.*
+ 88d7: 117f.*
+ 88d8: 0f05.*
+ 88d9: 6ff8.*
+ 88da: 1180.*
+ 88db: 0f05.*
+ 88dc: 6ff8.*
+ 88dd: 1181.*
+ 88de: 0c06.*
+ 88df: 6ff8.*
+ 88e0: 1182.*
+ 88e1: 0d06.*
+ 88e2: 6ff8.*
+ 88e3: 1183.*
+ 88e4: 0c06.*
+ 88e5: 6ff8.*
+ 88e6: 1184.*
+ 88e7: 0e06.*
+ 88e8: 6ff8.*
+ 88e9: 1185.*
+ 88ea: 0f06.*
+ 88eb: 6ff8.*
+ 88ec: 1186.*
+ 88ed: 0f06.*
+ 88ee: 6ff8.*
+ 88ef: 1187.*
+ 88f0: 0c07.*
+ 88f1: 6ff8.*
+ 88f2: 1188.*
+ 88f3: 0d07.*
+ 88f4: 6ff8.*
+ 88f5: 1189.*
+ 88f6: 0c07.*
+ 88f7: 6ff8.*
+ 88f8: 118a.*
+ 88f9: 0e07.*
+ 88fa: 6ff8.*
+ 88fb: 118b.*
+ 88fc: 0f07.*
+ 88fd: 6ff8.*
+ 88fe: 118c.*
+ 88ff: 0f07.*
+ 8900: 6ff8.*
+ 8901: 118d.*
+ 8902: 0c08.*
+ 8903: 6ff8.*
+ 8904: 118e.*
+ 8905: 0d08.*
+ 8906: 6ff8.*
+ 8907: 118f.*
+ 8908: 0c08.*
+ 8909: 6ff8.*
+ 890a: 1190.*
+ 890b: 0e08.*
+ 890c: 6ff8.*
+ 890d: 1191.*
+ 890e: 0f08.*
+ 890f: 6ff8.*
+ 8910: 1192.*
+ 8911: 0f08.*
+ 8912: 6ff8.*
+ 8913: 1193.*
+ 8914: 0c09.*
+ 8915: 6ff8.*
+ 8916: 1194.*
+ 8917: 0d09.*
+ 8918: 6ff8.*
+ 8919: 1195.*
+ 891a: 0c09.*
+ 891b: 6ff8.*
+ 891c: 1196.*
+ 891d: 0e09.*
+ 891e: 6ff8.*
+ 891f: 1197.*
+ 8920: 0f09.*
+ 8921: 6ff8.*
+ 8922: 1198.*
+ 8923: 0f09.*
+ 8924: 6ff8.*
+ 8925: 1199.*
+ 8926: 0c0a.*
+ 8927: 6ff8.*
+ 8928: 119a.*
+ 8929: 0d0a.*
+ 892a: 6ff8.*
+ 892b: 119b.*
+ 892c: 0c0a.*
+ 892d: 6ff8.*
+ 892e: 119c.*
+ 892f: 0e0a.*
+ 8930: 6ff8.*
+ 8931: 119d.*
+ 8932: 0f0a.*
+ 8933: 6ff8.*
+ 8934: 119e.*
+ 8935: 0f0a.*
+ 8936: 6ff8.*
+ 8937: 119f.*
+ 8938: 0c0b.*
+ 8939: 6ff8.*
+ 893a: 11a0.*
+ 893b: 0d0b.*
+ 893c: 6ff8.*
+ 893d: 11a1.*
+ 893e: 0c0b.*
+ 893f: 6ff8.*
+ 8940: 11a2.*
+ 8941: 0e0b.*
+ 8942: 6ff8.*
+ 8943: 11a3.*
+ 8944: 0f0b.*
+ 8945: 6ff8.*
+ 8946: 11a4.*
+ 8947: 0f0b.*
+ 8948: 6ff8.*
+ 8949: 11a5.*
+ 894a: 0c0c.*
+ 894b: 6ff8.*
+ 894c: 11a6.*
+ 894d: 0d0c.*
+ 894e: 6ff8.*
+ 894f: 11a7.*
+ 8950: 0c0c.*
+ 8951: 6ff8.*
+ 8952: 11a8.*
+ 8953: 0e0c.*
+ 8954: 6ff8.*
+ 8955: 11a9.*
+ 8956: 0f0c.*
+ 8957: 6ff8.*
+ 8958: 11aa.*
+ 8959: 0f0c.*
+ 895a: 6ff8.*
+ 895b: 11ab.*
+ 895c: 0c0d.*
+ 895d: 6ff8.*
+ 895e: 11ac.*
+ 895f: 0d0d.*
+ 8960: 6ff8.*
+ 8961: 11ad.*
+ 8962: 0c0d.*
+ 8963: 6ff8.*
+ 8964: 11ae.*
+ 8965: 0e0d.*
+ 8966: 6ff8.*
+ 8967: 11af.*
+ 8968: 0f0d.*
+ 8969: 6ff8.*
+ 896a: 11b0.*
+ 896b: 0f0d.*
+ 896c: 6ff8.*
+ 896d: 11b1.*
+ 896e: 0c0e.*
+ 896f: 6ff8.*
+ 8970: 11b2.*
+ 8971: 0d0e.*
+ 8972: 6ff8.*
+ 8973: 11b3.*
+ 8974: 0c0e.*
+ 8975: 6ff8.*
+ 8976: 11b4.*
+ 8977: 0e0e.*
+ 8978: 6ff8.*
+ 8979: 11b5.*
+ 897a: 0f0e.*
+ 897b: 6ff8.*
+ 897c: 11b6.*
+ 897d: 0f0e.*
+ 897e: 6ff8.*
+ 897f: 11b7.*
+ 8980: 0c0f.*
+ 8981: 6ff8.*
+ 8982: 11b8.*
+ 8983: 0d0f.*
+ 8984: 6ff8.*
+ 8985: 11b9.*
+ 8986: 0c0f.*
+ 8987: 6ff8.*
+ 8988: 11ba.*
+ 8989: 0e0f.*
+ 898a: 6ff8.*
+ 898b: 11bb.*
+ 898c: 0f0f.*
+ 898d: 6ff8.*
+ 898e: 11bc.*
+ 898f: 0f0f.*
+ 8990: 0085.*
+ 8991: 6f85.*
+ 8992: 0d00.*
+ 8993: 0085.*
+ 8994: 6f85.*
+ 8995: 0e00.*
+ 8996: 0185.*
+ 8997: 0185.*
+ 8998: 6f85.*
+ 8999: 0c10.*
+ 899a: 6f85.*
+ 899b: 0d10.*
+ 899c: 6f85.*
+ 899d: 0c10.*
+ 899e: 6f85.*
+ 899f: 0e10.*
+ 89a0: 6f85.*
+ 89a1: 0f10.*
+ 89a2: 6f85.*
+ 89a3: 0f10.*
+ 89a4: 6f85.*
+ 89a5: 0c11.*
+ 89a6: 6f85.*
+ 89a7: 0d11.*
+ 89a8: 6f85.*
+ 89a9: 0c11.*
+ 89aa: 6f85.*
+ 89ab: 0e11.*
+ 89ac: 6f85.*
+ 89ad: 0f11.*
+ 89ae: 6f85.*
+ 89af: 0f11.*
+ 89b0: 6f85.*
+ 89b1: 0c12.*
+ 89b2: 6f85.*
+ 89b3: 0d12.*
+ 89b4: 6f85.*
+ 89b5: 0c12.*
+ 89b6: 6f85.*
+ 89b7: 0e12.*
+ 89b8: 6f85.*
+ 89b9: 0f12.*
+ 89ba: 6f85.*
+ 89bb: 0f12.*
+ 89bc: 6f85.*
+ 89bd: 0c13.*
+ 89be: 6f85.*
+ 89bf: 0d13.*
+ 89c0: 6f85.*
+ 89c1: 0c13.*
+ 89c2: 6f85.*
+ 89c3: 0e13.*
+ 89c4: 6f85.*
+ 89c5: 0f13.*
+ 89c6: 6f85.*
+ 89c7: 0f13.*
+ 89c8: 6f85.*
+ 89c9: 0c14.*
+ 89ca: 6f85.*
+ 89cb: 0d14.*
+ 89cc: 6f85.*
+ 89cd: 0c14.*
+ 89ce: 6f85.*
+ 89cf: 0e14.*
+ 89d0: 6f85.*
+ 89d1: 0f14.*
+ 89d2: 6f85.*
+ 89d3: 0f14.*
+ 89d4: 6f85.*
+ 89d5: 0c15.*
+ 89d6: 6f85.*
+ 89d7: 0d15.*
+ 89d8: 6f85.*
+ 89d9: 0c15.*
+ 89da: 6f85.*
+ 89db: 0e15.*
+ 89dc: 6f85.*
+ 89dd: 0f15.*
+ 89de: 6f85.*
+ 89df: 0f15.*
+ 89e0: 6f85.*
+ 89e1: 0c16.*
+ 89e2: 6f85.*
+ 89e3: 0d16.*
+ 89e4: 6f85.*
+ 89e5: 0c16.*
+ 89e6: 6f85.*
+ 89e7: 0e16.*
+ 89e8: 6f85.*
+ 89e9: 0f16.*
+ 89ea: 6f85.*
+ 89eb: 0f16.*
+ 89ec: 6f85.*
+ 89ed: 0c17.*
+ 89ee: 6f85.*
+ 89ef: 0d17.*
+ 89f0: 6f85.*
+ 89f1: 0c17.*
+ 89f2: 6f85.*
+ 89f3: 0e17.*
+ 89f4: 6f85.*
+ 89f5: 0f17.*
+ 89f6: 6f85.*
+ 89f7: 0f17.*
+ 89f8: 6f85.*
+ 89f9: 0c18.*
+ 89fa: 6f85.*
+ 89fb: 0d18.*
+ 89fc: 6f85.*
+ 89fd: 0c18.*
+ 89fe: 6f85.*
+ 89ff: 0e18.*
+ 8a00: 6f85.*
+ 8a01: 0f18.*
+ 8a02: 6f85.*
+ 8a03: 0f18.*
+ 8a04: 6f85.*
+ 8a05: 0c19.*
+ 8a06: 6f85.*
+ 8a07: 0d19.*
+ 8a08: 6f85.*
+ 8a09: 0c19.*
+ 8a0a: 6f85.*
+ 8a0b: 0e19.*
+ 8a0c: 6f85.*
+ 8a0d: 0f19.*
+ 8a0e: 6f85.*
+ 8a0f: 0f19.*
+ 8a10: 6f85.*
+ 8a11: 0c1a.*
+ 8a12: 6f85.*
+ 8a13: 0d1a.*
+ 8a14: 6f85.*
+ 8a15: 0c1a.*
+ 8a16: 6f85.*
+ 8a17: 0e1a.*
+ 8a18: 6f85.*
+ 8a19: 0f1a.*
+ 8a1a: 6f85.*
+ 8a1b: 0f1a.*
+ 8a1c: 6f85.*
+ 8a1d: 0c1b.*
+ 8a1e: 6f85.*
+ 8a1f: 0d1b.*
+ 8a20: 6f85.*
+ 8a21: 0c1b.*
+ 8a22: 6f85.*
+ 8a23: 0e1b.*
+ 8a24: 6f85.*
+ 8a25: 0f1b.*
+ 8a26: 6f85.*
+ 8a27: 0f1b.*
+ 8a28: 6f85.*
+ 8a29: 0c1c.*
+ 8a2a: 6f85.*
+ 8a2b: 0d1c.*
+ 8a2c: 6f85.*
+ 8a2d: 0c1c.*
+ 8a2e: 6f85.*
+ 8a2f: 0e1c.*
+ 8a30: 6f85.*
+ 8a31: 0f1c.*
+ 8a32: 6f85.*
+ 8a33: 0f1c.*
+ 8a34: 6f85.*
+ 8a35: 0c1d.*
+ 8a36: 6f85.*
+ 8a37: 0d1d.*
+ 8a38: 6f85.*
+ 8a39: 0c1d.*
+ 8a3a: 6f85.*
+ 8a3b: 0e1d.*
+ 8a3c: 6f85.*
+ 8a3d: 0f1d.*
+ 8a3e: 6f85.*
+ 8a3f: 0f1d.*
+ 8a40: 6f85.*
+ 8a41: 0c1e.*
+ 8a42: 6f85.*
+ 8a43: 0d1e.*
+ 8a44: 6f85.*
+ 8a45: 0c1e.*
+ 8a46: 6f85.*
+ 8a47: 0e1e.*
+ 8a48: 6f85.*
+ 8a49: 0f1e.*
+ 8a4a: 6f85.*
+ 8a4b: 0f1e.*
+ 8a4c: 6f85.*
+ 8a4d: 0c1f.*
+ 8a4e: 6f85.*
+ 8a4f: 0d1f.*
+ 8a50: 6f85.*
+ 8a51: 0c1f.*
+ 8a52: 6f85.*
+ 8a53: 0e1f.*
+ 8a54: 6f85.*
+ 8a55: 0f1f.*
+ 8a56: 6f85.*
+ 8a57: 0f1f.*
+ 8a58: 0085.*
+ 8a59: 6f85.*
+ 8a5a: 0d00.*
+ 8a5b: 0085.*
+ 8a5c: 6f85.*
+ 8a5d: 0e00.*
+ 8a5e: 0185.*
+ 8a5f: 0185.*
+ 8a60: 9031.*
+ 8a61: 6f85.*
+ 8a62: 0d01.*
+ 8a63: 9031.*
+ 8a64: 6f85.*
+ 8a65: 0e01.*
+ 8a66: 9131.*
+ 8a67: 9131.*
+ 8a68: 9032.*
+ 8a69: 6f85.*
+ 8a6a: 0d02.*
+ 8a6b: 9032.*
+ 8a6c: 6f85.*
+ 8a6d: 0e02.*
+ 8a6e: 9132.*
+ 8a6f: 9132.*
+ 8a70: 9033.*
+ 8a71: 6f85.*
+ 8a72: 0d03.*
+ 8a73: 9033.*
+ 8a74: 6f85.*
+ 8a75: 0e03.*
+ 8a76: 9133.*
+ 8a77: 9133.*
+ 8a78: 9034.*
+ 8a79: 6f85.*
+ 8a7a: 0d04.*
+ 8a7b: 9034.*
+ 8a7c: 6f85.*
+ 8a7d: 0e04.*
+ 8a7e: 9134.*
+ 8a7f: 9134.*
+ 8a80: 9035.*
+ 8a81: 6f85.*
+ 8a82: 0d05.*
+ 8a83: 9035.*
+ 8a84: 6f85.*
+ 8a85: 0e05.*
+ 8a86: 9135.*
+ 8a87: 9135.*
+ 8a88: 9036.*
+ 8a89: 6f85.*
+ 8a8a: 0d06.*
+ 8a8b: 9036.*
+ 8a8c: 6f85.*
+ 8a8d: 0e06.*
+ 8a8e: 9136.*
+ 8a8f: 9136.*
+ 8a90: 9037.*
+ 8a91: 6f85.*
+ 8a92: 0d07.*
+ 8a93: 9037.*
+ 8a94: 6f85.*
+ 8a95: 0e07.*
+ 8a96: 9137.*
+ 8a97: 9137.*
+ 8a98: 9038.*
+ 8a99: 6f85.*
+ 8a9a: 0d08.*
+ 8a9b: 9038.*
+ 8a9c: 6f85.*
+ 8a9d: 0e08.*
+ 8a9e: 9138.*
+ 8a9f: 9138.*
+ 8aa0: 9039.*
+ 8aa1: 6f85.*
+ 8aa2: 0d09.*
+ 8aa3: 9039.*
+ 8aa4: 6f85.*
+ 8aa5: 0e09.*
+ 8aa6: 9139.*
+ 8aa7: 9139.*
+ 8aa8: 903a.*
+ 8aa9: 6f85.*
+ 8aaa: 0d0a.*
+ 8aab: 903a.*
+ 8aac: 6f85.*
+ 8aad: 0e0a.*
+ 8aae: 913a.*
+ 8aaf: 913a.*
+ 8ab0: 903b.*
+ 8ab1: 6f85.*
+ 8ab2: 0d0b.*
+ 8ab3: 903b.*
+ 8ab4: 6f85.*
+ 8ab5: 0e0b.*
+ 8ab6: 913b.*
+ 8ab7: 913b.*
+ 8ab8: 903c.*
+ 8ab9: 6f85.*
+ 8aba: 0d0c.*
+ 8abb: 903c.*
+ 8abc: 6f85.*
+ 8abd: 0e0c.*
+ 8abe: 913c.*
+ 8abf: 913c.*
+ 8ac0: 903d.*
+ 8ac1: 6f85.*
+ 8ac2: 0d0d.*
+ 8ac3: 903d.*
+ 8ac4: 6f85.*
+ 8ac5: 0e0d.*
+ 8ac6: 913d.*
+ 8ac7: 913d.*
+ 8ac8: 903e.*
+ 8ac9: 6f85.*
+ 8aca: 0d0e.*
+ 8acb: 903e.*
+ 8acc: 6f85.*
+ 8acd: 0e0e.*
+ 8ace: 913e.*
+ 8acf: 913e.*
+ 8ad0: 903f.*
+ 8ad1: 6f85.*
+ 8ad2: 0d0f.*
+ 8ad3: 903f.*
+ 8ad4: 6f85.*
+ 8ad5: 0e0f.*
+ 8ad6: 913f.*
+ 8ad7: 913f.*
+ 8ad8: 008d.*
+ 8ad9: 6f8d.*
+ 8ada: 0d00.*
+ 8adb: 008d.*
+ 8adc: 6f8d.*
+ 8add: 0e00.*
+ 8ade: 018d.*
+ 8adf: 018d.*
+ 8ae0: 6f8d.*
+ 8ae1: 0c10.*
+ 8ae2: 6f8d.*
+ 8ae3: 0d10.*
+ 8ae4: 6f8d.*
+ 8ae5: 0c10.*
+ 8ae6: 6f8d.*
+ 8ae7: 0e10.*
+ 8ae8: 6f8d.*
+ 8ae9: 0f10.*
+ 8aea: 6f8d.*
+ 8aeb: 0f10.*
+ 8aec: 6f8d.*
+ 8aed: 0c11.*
+ 8aee: 6f8d.*
+ 8aef: 0d11.*
+ 8af0: 6f8d.*
+ 8af1: 0c11.*
+ 8af2: 6f8d.*
+ 8af3: 0e11.*
+ 8af4: 6f8d.*
+ 8af5: 0f11.*
+ 8af6: 6f8d.*
+ 8af7: 0f11.*
+ 8af8: 6f8d.*
+ 8af9: 0c12.*
+ 8afa: 6f8d.*
+ 8afb: 0d12.*
+ 8afc: 6f8d.*
+ 8afd: 0c12.*
+ 8afe: 6f8d.*
+ 8aff: 0e12.*
+ 8b00: 6f8d.*
+ 8b01: 0f12.*
+ 8b02: 6f8d.*
+ 8b03: 0f12.*
+ 8b04: 6f8d.*
+ 8b05: 0c13.*
+ 8b06: 6f8d.*
+ 8b07: 0d13.*
+ 8b08: 6f8d.*
+ 8b09: 0c13.*
+ 8b0a: 6f8d.*
+ 8b0b: 0e13.*
+ 8b0c: 6f8d.*
+ 8b0d: 0f13.*
+ 8b0e: 6f8d.*
+ 8b0f: 0f13.*
+ 8b10: 6f8d.*
+ 8b11: 0c14.*
+ 8b12: 6f8d.*
+ 8b13: 0d14.*
+ 8b14: 6f8d.*
+ 8b15: 0c14.*
+ 8b16: 6f8d.*
+ 8b17: 0e14.*
+ 8b18: 6f8d.*
+ 8b19: 0f14.*
+ 8b1a: 6f8d.*
+ 8b1b: 0f14.*
+ 8b1c: 6f8d.*
+ 8b1d: 0c15.*
+ 8b1e: 6f8d.*
+ 8b1f: 0d15.*
+ 8b20: 6f8d.*
+ 8b21: 0c15.*
+ 8b22: 6f8d.*
+ 8b23: 0e15.*
+ 8b24: 6f8d.*
+ 8b25: 0f15.*
+ 8b26: 6f8d.*
+ 8b27: 0f15.*
+ 8b28: 6f8d.*
+ 8b29: 0c16.*
+ 8b2a: 6f8d.*
+ 8b2b: 0d16.*
+ 8b2c: 6f8d.*
+ 8b2d: 0c16.*
+ 8b2e: 6f8d.*
+ 8b2f: 0e16.*
+ 8b30: 6f8d.*
+ 8b31: 0f16.*
+ 8b32: 6f8d.*
+ 8b33: 0f16.*
+ 8b34: 6f8d.*
+ 8b35: 0c17.*
+ 8b36: 6f8d.*
+ 8b37: 0d17.*
+ 8b38: 6f8d.*
+ 8b39: 0c17.*
+ 8b3a: 6f8d.*
+ 8b3b: 0e17.*
+ 8b3c: 6f8d.*
+ 8b3d: 0f17.*
+ 8b3e: 6f8d.*
+ 8b3f: 0f17.*
+ 8b40: 6f8d.*
+ 8b41: 0c18.*
+ 8b42: 6f8d.*
+ 8b43: 0d18.*
+ 8b44: 6f8d.*
+ 8b45: 0c18.*
+ 8b46: 6f8d.*
+ 8b47: 0e18.*
+ 8b48: 6f8d.*
+ 8b49: 0f18.*
+ 8b4a: 6f8d.*
+ 8b4b: 0f18.*
+ 8b4c: 6f8d.*
+ 8b4d: 0c19.*
+ 8b4e: 6f8d.*
+ 8b4f: 0d19.*
+ 8b50: 6f8d.*
+ 8b51: 0c19.*
+ 8b52: 6f8d.*
+ 8b53: 0e19.*
+ 8b54: 6f8d.*
+ 8b55: 0f19.*
+ 8b56: 6f8d.*
+ 8b57: 0f19.*
+ 8b58: 6f8d.*
+ 8b59: 0c1a.*
+ 8b5a: 6f8d.*
+ 8b5b: 0d1a.*
+ 8b5c: 6f8d.*
+ 8b5d: 0c1a.*
+ 8b5e: 6f8d.*
+ 8b5f: 0e1a.*
+ 8b60: 6f8d.*
+ 8b61: 0f1a.*
+ 8b62: 6f8d.*
+ 8b63: 0f1a.*
+ 8b64: 6f8d.*
+ 8b65: 0c1b.*
+ 8b66: 6f8d.*
+ 8b67: 0d1b.*
+ 8b68: 6f8d.*
+ 8b69: 0c1b.*
+ 8b6a: 6f8d.*
+ 8b6b: 0e1b.*
+ 8b6c: 6f8d.*
+ 8b6d: 0f1b.*
+ 8b6e: 6f8d.*
+ 8b6f: 0f1b.*
+ 8b70: 6f8d.*
+ 8b71: 0c1c.*
+ 8b72: 6f8d.*
+ 8b73: 0d1c.*
+ 8b74: 6f8d.*
+ 8b75: 0c1c.*
+ 8b76: 6f8d.*
+ 8b77: 0e1c.*
+ 8b78: 6f8d.*
+ 8b79: 0f1c.*
+ 8b7a: 6f8d.*
+ 8b7b: 0f1c.*
+ 8b7c: 6f8d.*
+ 8b7d: 0c1d.*
+ 8b7e: 6f8d.*
+ 8b7f: 0d1d.*
+ 8b80: 6f8d.*
+ 8b81: 0c1d.*
+ 8b82: 6f8d.*
+ 8b83: 0e1d.*
+ 8b84: 6f8d.*
+ 8b85: 0f1d.*
+ 8b86: 6f8d.*
+ 8b87: 0f1d.*
+ 8b88: 6f8d.*
+ 8b89: 0c1e.*
+ 8b8a: 6f8d.*
+ 8b8b: 0d1e.*
+ 8b8c: 6f8d.*
+ 8b8d: 0c1e.*
+ 8b8e: 6f8d.*
+ 8b8f: 0e1e.*
+ 8b90: 6f8d.*
+ 8b91: 0f1e.*
+ 8b92: 6f8d.*
+ 8b93: 0f1e.*
+ 8b94: 6f8d.*
+ 8b95: 0c1f.*
+ 8b96: 6f8d.*
+ 8b97: 0d1f.*
+ 8b98: 6f8d.*
+ 8b99: 0c1f.*
+ 8b9a: 6f8d.*
+ 8b9b: 0e1f.*
+ 8b9c: 6f8d.*
+ 8b9d: 0f1f.*
+ 8b9e: 6f8d.*
+ 8b9f: 0f1f.*
+ 8ba0: 008d.*
+ 8ba1: 6f8d.*
+ 8ba2: 0d00.*
+ 8ba3: 008d.*
+ 8ba4: 6f8d.*
+ 8ba5: 0e00.*
+ 8ba6: 018d.*
+ 8ba7: 018d.*
+ 8ba8: 9071.*
+ 8ba9: 6f8d.*
+ 8baa: 0d01.*
+ 8bab: 9071.*
+ 8bac: 6f8d.*
+ 8bad: 0e01.*
+ 8bae: 9171.*
+ 8baf: 9171.*
+ 8bb0: 9072.*
+ 8bb1: 6f8d.*
+ 8bb2: 0d02.*
+ 8bb3: 9072.*
+ 8bb4: 6f8d.*
+ 8bb5: 0e02.*
+ 8bb6: 9172.*
+ 8bb7: 9172.*
+ 8bb8: 9073.*
+ 8bb9: 6f8d.*
+ 8bba: 0d03.*
+ 8bbb: 9073.*
+ 8bbc: 6f8d.*
+ 8bbd: 0e03.*
+ 8bbe: 9173.*
+ 8bbf: 9173.*
+ 8bc0: 9074.*
+ 8bc1: 6f8d.*
+ 8bc2: 0d04.*
+ 8bc3: 9074.*
+ 8bc4: 6f8d.*
+ 8bc5: 0e04.*
+ 8bc6: 9174.*
+ 8bc7: 9174.*
+ 8bc8: 9075.*
+ 8bc9: 6f8d.*
+ 8bca: 0d05.*
+ 8bcb: 9075.*
+ 8bcc: 6f8d.*
+ 8bcd: 0e05.*
+ 8bce: 9175.*
+ 8bcf: 9175.*
+ 8bd0: 9076.*
+ 8bd1: 6f8d.*
+ 8bd2: 0d06.*
+ 8bd3: 9076.*
+ 8bd4: 6f8d.*
+ 8bd5: 0e06.*
+ 8bd6: 9176.*
+ 8bd7: 9176.*
+ 8bd8: 9077.*
+ 8bd9: 6f8d.*
+ 8bda: 0d07.*
+ 8bdb: 9077.*
+ 8bdc: 6f8d.*
+ 8bdd: 0e07.*
+ 8bde: 9177.*
+ 8bdf: 9177.*
+ 8be0: 9078.*
+ 8be1: 6f8d.*
+ 8be2: 0d08.*
+ 8be3: 9078.*
+ 8be4: 6f8d.*
+ 8be5: 0e08.*
+ 8be6: 9178.*
+ 8be7: 9178.*
+ 8be8: 9079.*
+ 8be9: 6f8d.*
+ 8bea: 0d09.*
+ 8beb: 9079.*
+ 8bec: 6f8d.*
+ 8bed: 0e09.*
+ 8bee: 9179.*
+ 8bef: 9179.*
+ 8bf0: 907a.*
+ 8bf1: 6f8d.*
+ 8bf2: 0d0a.*
+ 8bf3: 907a.*
+ 8bf4: 6f8d.*
+ 8bf5: 0e0a.*
+ 8bf6: 917a.*
+ 8bf7: 917a.*
+ 8bf8: 907b.*
+ 8bf9: 6f8d.*
+ 8bfa: 0d0b.*
+ 8bfb: 907b.*
+ 8bfc: 6f8d.*
+ 8bfd: 0e0b.*
+ 8bfe: 917b.*
+ 8bff: 917b.*
+ 8c00: 907c.*
+ 8c01: 6f8d.*
+ 8c02: 0d0c.*
+ 8c03: 907c.*
+ 8c04: 6f8d.*
+ 8c05: 0e0c.*
+ 8c06: 917c.*
+ 8c07: 917c.*
+ 8c08: 907d.*
+ 8c09: 6f8d.*
+ 8c0a: 0d0d.*
+ 8c0b: 907d.*
+ 8c0c: 6f8d.*
+ 8c0d: 0e0d.*
+ 8c0e: 917d.*
+ 8c0f: 917d.*
+ 8c10: 907e.*
+ 8c11: 6f8d.*
+ 8c12: 0d0e.*
+ 8c13: 907e.*
+ 8c14: 6f8d.*
+ 8c15: 0e0e.*
+ 8c16: 917e.*
+ 8c17: 917e.*
+ 8c18: 907f.*
+ 8c19: 6f8d.*
+ 8c1a: 0d0f.*
+ 8c1b: 907f.*
+ 8c1c: 6f8d.*
+ 8c1d: 0e0f.*
+ 8c1e: 917f.*
+ 8c1f: 917f.*
+ 8c20: 0095.*
+ 8c21: 6f95.*
+ 8c22: 0d00.*
+ 8c23: 0095.*
+ 8c24: 6f95.*
+ 8c25: 0e00.*
+ 8c26: 0195.*
+ 8c27: 0195.*
+ 8c28: 6f95.*
+ 8c29: 0c10.*
+ 8c2a: 6f95.*
+ 8c2b: 0d10.*
+ 8c2c: 6f95.*
+ 8c2d: 0c10.*
+ 8c2e: 6f95.*
+ 8c2f: 0e10.*
+ 8c30: 6f95.*
+ 8c31: 0f10.*
+ 8c32: 6f95.*
+ 8c33: 0f10.*
+ 8c34: 6f95.*
+ 8c35: 0c11.*
+ 8c36: 6f95.*
+ 8c37: 0d11.*
+ 8c38: 6f95.*
+ 8c39: 0c11.*
+ 8c3a: 6f95.*
+ 8c3b: 0e11.*
+ 8c3c: 6f95.*
+ 8c3d: 0f11.*
+ 8c3e: 6f95.*
+ 8c3f: 0f11.*
+ 8c40: 6f95.*
+ 8c41: 0c12.*
+ 8c42: 6f95.*
+ 8c43: 0d12.*
+ 8c44: 6f95.*
+ 8c45: 0c12.*
+ 8c46: 6f95.*
+ 8c47: 0e12.*
+ 8c48: 6f95.*
+ 8c49: 0f12.*
+ 8c4a: 6f95.*
+ 8c4b: 0f12.*
+ 8c4c: 6f95.*
+ 8c4d: 0c13.*
+ 8c4e: 6f95.*
+ 8c4f: 0d13.*
+ 8c50: 6f95.*
+ 8c51: 0c13.*
+ 8c52: 6f95.*
+ 8c53: 0e13.*
+ 8c54: 6f95.*
+ 8c55: 0f13.*
+ 8c56: 6f95.*
+ 8c57: 0f13.*
+ 8c58: 6f95.*
+ 8c59: 0c14.*
+ 8c5a: 6f95.*
+ 8c5b: 0d14.*
+ 8c5c: 6f95.*
+ 8c5d: 0c14.*
+ 8c5e: 6f95.*
+ 8c5f: 0e14.*
+ 8c60: 6f95.*
+ 8c61: 0f14.*
+ 8c62: 6f95.*
+ 8c63: 0f14.*
+ 8c64: 6f95.*
+ 8c65: 0c15.*
+ 8c66: 6f95.*
+ 8c67: 0d15.*
+ 8c68: 6f95.*
+ 8c69: 0c15.*
+ 8c6a: 6f95.*
+ 8c6b: 0e15.*
+ 8c6c: 6f95.*
+ 8c6d: 0f15.*
+ 8c6e: 6f95.*
+ 8c6f: 0f15.*
+ 8c70: 6f95.*
+ 8c71: 0c16.*
+ 8c72: 6f95.*
+ 8c73: 0d16.*
+ 8c74: 6f95.*
+ 8c75: 0c16.*
+ 8c76: 6f95.*
+ 8c77: 0e16.*
+ 8c78: 6f95.*
+ 8c79: 0f16.*
+ 8c7a: 6f95.*
+ 8c7b: 0f16.*
+ 8c7c: 6f95.*
+ 8c7d: 0c17.*
+ 8c7e: 6f95.*
+ 8c7f: 0d17.*
+ 8c80: 6f95.*
+ 8c81: 0c17.*
+ 8c82: 6f95.*
+ 8c83: 0e17.*
+ 8c84: 6f95.*
+ 8c85: 0f17.*
+ 8c86: 6f95.*
+ 8c87: 0f17.*
+ 8c88: 6f95.*
+ 8c89: 0c18.*
+ 8c8a: 6f95.*
+ 8c8b: 0d18.*
+ 8c8c: 6f95.*
+ 8c8d: 0c18.*
+ 8c8e: 6f95.*
+ 8c8f: 0e18.*
+ 8c90: 6f95.*
+ 8c91: 0f18.*
+ 8c92: 6f95.*
+ 8c93: 0f18.*
+ 8c94: 6f95.*
+ 8c95: 0c19.*
+ 8c96: 6f95.*
+ 8c97: 0d19.*
+ 8c98: 6f95.*
+ 8c99: 0c19.*
+ 8c9a: 6f95.*
+ 8c9b: 0e19.*
+ 8c9c: 6f95.*
+ 8c9d: 0f19.*
+ 8c9e: 6f95.*
+ 8c9f: 0f19.*
+ 8ca0: 6f95.*
+ 8ca1: 0c1a.*
+ 8ca2: 6f95.*
+ 8ca3: 0d1a.*
+ 8ca4: 6f95.*
+ 8ca5: 0c1a.*
+ 8ca6: 6f95.*
+ 8ca7: 0e1a.*
+ 8ca8: 6f95.*
+ 8ca9: 0f1a.*
+ 8caa: 6f95.*
+ 8cab: 0f1a.*
+ 8cac: 6f95.*
+ 8cad: 0c1b.*
+ 8cae: 6f95.*
+ 8caf: 0d1b.*
+ 8cb0: 6f95.*
+ 8cb1: 0c1b.*
+ 8cb2: 6f95.*
+ 8cb3: 0e1b.*
+ 8cb4: 6f95.*
+ 8cb5: 0f1b.*
+ 8cb6: 6f95.*
+ 8cb7: 0f1b.*
+ 8cb8: 6f95.*
+ 8cb9: 0c1c.*
+ 8cba: 6f95.*
+ 8cbb: 0d1c.*
+ 8cbc: 6f95.*
+ 8cbd: 0c1c.*
+ 8cbe: 6f95.*
+ 8cbf: 0e1c.*
+ 8cc0: 6f95.*
+ 8cc1: 0f1c.*
+ 8cc2: 6f95.*
+ 8cc3: 0f1c.*
+ 8cc4: 6f95.*
+ 8cc5: 0c1d.*
+ 8cc6: 6f95.*
+ 8cc7: 0d1d.*
+ 8cc8: 6f95.*
+ 8cc9: 0c1d.*
+ 8cca: 6f95.*
+ 8ccb: 0e1d.*
+ 8ccc: 6f95.*
+ 8ccd: 0f1d.*
+ 8cce: 6f95.*
+ 8ccf: 0f1d.*
+ 8cd0: 6f95.*
+ 8cd1: 0c1e.*
+ 8cd2: 6f95.*
+ 8cd3: 0d1e.*
+ 8cd4: 6f95.*
+ 8cd5: 0c1e.*
+ 8cd6: 6f95.*
+ 8cd7: 0e1e.*
+ 8cd8: 6f95.*
+ 8cd9: 0f1e.*
+ 8cda: 6f95.*
+ 8cdb: 0f1e.*
+ 8cdc: 6f95.*
+ 8cdd: 0c1f.*
+ 8cde: 6f95.*
+ 8cdf: 0d1f.*
+ 8ce0: 6f95.*
+ 8ce1: 0c1f.*
+ 8ce2: 6f95.*
+ 8ce3: 0e1f.*
+ 8ce4: 6f95.*
+ 8ce5: 0f1f.*
+ 8ce6: 6f95.*
+ 8ce7: 0f1f.*
+ 8ce8: 0095.*
+ 8ce9: 6f95.*
+ 8cea: 0d00.*
+ 8ceb: 0095.*
+ 8cec: 6f95.*
+ 8ced: 0e00.*
+ 8cee: 0195.*
+ 8cef: 0195.*
+ 8cf0: 90b1.*
+ 8cf1: 6f95.*
+ 8cf2: 0d01.*
+ 8cf3: 90b1.*
+ 8cf4: 6f95.*
+ 8cf5: 0e01.*
+ 8cf6: 91b1.*
+ 8cf7: 91b1.*
+ 8cf8: 90b2.*
+ 8cf9: 6f95.*
+ 8cfa: 0d02.*
+ 8cfb: 90b2.*
+ 8cfc: 6f95.*
+ 8cfd: 0e02.*
+ 8cfe: 91b2.*
+ 8cff: 91b2.*
+ 8d00: 90b3.*
+ 8d01: 6f95.*
+ 8d02: 0d03.*
+ 8d03: 90b3.*
+ 8d04: 6f95.*
+ 8d05: 0e03.*
+ 8d06: 91b3.*
+ 8d07: 91b3.*
+ 8d08: 90b4.*
+ 8d09: 6f95.*
+ 8d0a: 0d04.*
+ 8d0b: 90b4.*
+ 8d0c: 6f95.*
+ 8d0d: 0e04.*
+ 8d0e: 91b4.*
+ 8d0f: 91b4.*
+ 8d10: 90b5.*
+ 8d11: 6f95.*
+ 8d12: 0d05.*
+ 8d13: 90b5.*
+ 8d14: 6f95.*
+ 8d15: 0e05.*
+ 8d16: 91b5.*
+ 8d17: 91b5.*
+ 8d18: 90b6.*
+ 8d19: 6f95.*
+ 8d1a: 0d06.*
+ 8d1b: 90b6.*
+ 8d1c: 6f95.*
+ 8d1d: 0e06.*
+ 8d1e: 91b6.*
+ 8d1f: 91b6.*
+ 8d20: 90b7.*
+ 8d21: 6f95.*
+ 8d22: 0d07.*
+ 8d23: 90b7.*
+ 8d24: 6f95.*
+ 8d25: 0e07.*
+ 8d26: 91b7.*
+ 8d27: 91b7.*
+ 8d28: 90b8.*
+ 8d29: 6f95.*
+ 8d2a: 0d08.*
+ 8d2b: 90b8.*
+ 8d2c: 6f95.*
+ 8d2d: 0e08.*
+ 8d2e: 91b8.*
+ 8d2f: 91b8.*
+ 8d30: 90b9.*
+ 8d31: 6f95.*
+ 8d32: 0d09.*
+ 8d33: 90b9.*
+ 8d34: 6f95.*
+ 8d35: 0e09.*
+ 8d36: 91b9.*
+ 8d37: 91b9.*
+ 8d38: 90ba.*
+ 8d39: 6f95.*
+ 8d3a: 0d0a.*
+ 8d3b: 90ba.*
+ 8d3c: 6f95.*
+ 8d3d: 0e0a.*
+ 8d3e: 91ba.*
+ 8d3f: 91ba.*
+ 8d40: 90bb.*
+ 8d41: 6f95.*
+ 8d42: 0d0b.*
+ 8d43: 90bb.*
+ 8d44: 6f95.*
+ 8d45: 0e0b.*
+ 8d46: 91bb.*
+ 8d47: 91bb.*
+ 8d48: 90bc.*
+ 8d49: 6f95.*
+ 8d4a: 0d0c.*
+ 8d4b: 90bc.*
+ 8d4c: 6f95.*
+ 8d4d: 0e0c.*
+ 8d4e: 91bc.*
+ 8d4f: 91bc.*
+ 8d50: 90bd.*
+ 8d51: 6f95.*
+ 8d52: 0d0d.*
+ 8d53: 90bd.*
+ 8d54: 6f95.*
+ 8d55: 0e0d.*
+ 8d56: 91bd.*
+ 8d57: 91bd.*
+ 8d58: 90be.*
+ 8d59: 6f95.*
+ 8d5a: 0d0e.*
+ 8d5b: 90be.*
+ 8d5c: 6f95.*
+ 8d5d: 0e0e.*
+ 8d5e: 91be.*
+ 8d5f: 91be.*
+ 8d60: 90bf.*
+ 8d61: 6f95.*
+ 8d62: 0d0f.*
+ 8d63: 90bf.*
+ 8d64: 6f95.*
+ 8d65: 0e0f.*
+ 8d66: 91bf.*
+ 8d67: 91bf.*
+ 8d68: 00a5.*
+ 8d69: 6fa5.*
+ 8d6a: 0d00.*
+ 8d6b: 00a5.*
+ 8d6c: 6fa5.*
+ 8d6d: 0e00.*
+ 8d6e: 01a5.*
+ 8d6f: 01a5.*
+ 8d70: 6fa5.*
+ 8d71: 0c10.*
+ 8d72: 6fa5.*
+ 8d73: 0d10.*
+ 8d74: 6fa5.*
+ 8d75: 0c10.*
+ 8d76: 6fa5.*
+ 8d77: 0e10.*
+ 8d78: 6fa5.*
+ 8d79: 0f10.*
+ 8d7a: 6fa5.*
+ 8d7b: 0f10.*
+ 8d7c: 6fa5.*
+ 8d7d: 0c11.*
+ 8d7e: 6fa5.*
+ 8d7f: 0d11.*
+ 8d80: 6fa5.*
+ 8d81: 0c11.*
+ 8d82: 6fa5.*
+ 8d83: 0e11.*
+ 8d84: 6fa5.*
+ 8d85: 0f11.*
+ 8d86: 6fa5.*
+ 8d87: 0f11.*
+ 8d88: 6fa5.*
+ 8d89: 0c12.*
+ 8d8a: 6fa5.*
+ 8d8b: 0d12.*
+ 8d8c: 6fa5.*
+ 8d8d: 0c12.*
+ 8d8e: 6fa5.*
+ 8d8f: 0e12.*
+ 8d90: 6fa5.*
+ 8d91: 0f12.*
+ 8d92: 6fa5.*
+ 8d93: 0f12.*
+ 8d94: 6fa5.*
+ 8d95: 0c13.*
+ 8d96: 6fa5.*
+ 8d97: 0d13.*
+ 8d98: 6fa5.*
+ 8d99: 0c13.*
+ 8d9a: 6fa5.*
+ 8d9b: 0e13.*
+ 8d9c: 6fa5.*
+ 8d9d: 0f13.*
+ 8d9e: 6fa5.*
+ 8d9f: 0f13.*
+ 8da0: 6fa5.*
+ 8da1: 0c14.*
+ 8da2: 6fa5.*
+ 8da3: 0d14.*
+ 8da4: 6fa5.*
+ 8da5: 0c14.*
+ 8da6: 6fa5.*
+ 8da7: 0e14.*
+ 8da8: 6fa5.*
+ 8da9: 0f14.*
+ 8daa: 6fa5.*
+ 8dab: 0f14.*
+ 8dac: 6fa5.*
+ 8dad: 0c15.*
+ 8dae: 6fa5.*
+ 8daf: 0d15.*
+ 8db0: 6fa5.*
+ 8db1: 0c15.*
+ 8db2: 6fa5.*
+ 8db3: 0e15.*
+ 8db4: 6fa5.*
+ 8db5: 0f15.*
+ 8db6: 6fa5.*
+ 8db7: 0f15.*
+ 8db8: 6fa5.*
+ 8db9: 0c16.*
+ 8dba: 6fa5.*
+ 8dbb: 0d16.*
+ 8dbc: 6fa5.*
+ 8dbd: 0c16.*
+ 8dbe: 6fa5.*
+ 8dbf: 0e16.*
+ 8dc0: 6fa5.*
+ 8dc1: 0f16.*
+ 8dc2: 6fa5.*
+ 8dc3: 0f16.*
+ 8dc4: 6fa5.*
+ 8dc5: 0c17.*
+ 8dc6: 6fa5.*
+ 8dc7: 0d17.*
+ 8dc8: 6fa5.*
+ 8dc9: 0c17.*
+ 8dca: 6fa5.*
+ 8dcb: 0e17.*
+ 8dcc: 6fa5.*
+ 8dcd: 0f17.*
+ 8dce: 6fa5.*
+ 8dcf: 0f17.*
+ 8dd0: 6fa5.*
+ 8dd1: 0c18.*
+ 8dd2: 6fa5.*
+ 8dd3: 0d18.*
+ 8dd4: 6fa5.*
+ 8dd5: 0c18.*
+ 8dd6: 6fa5.*
+ 8dd7: 0e18.*
+ 8dd8: 6fa5.*
+ 8dd9: 0f18.*
+ 8dda: 6fa5.*
+ 8ddb: 0f18.*
+ 8ddc: 6fa5.*
+ 8ddd: 0c19.*
+ 8dde: 6fa5.*
+ 8ddf: 0d19.*
+ 8de0: 6fa5.*
+ 8de1: 0c19.*
+ 8de2: 6fa5.*
+ 8de3: 0e19.*
+ 8de4: 6fa5.*
+ 8de5: 0f19.*
+ 8de6: 6fa5.*
+ 8de7: 0f19.*
+ 8de8: 6fa5.*
+ 8de9: 0c1a.*
+ 8dea: 6fa5.*
+ 8deb: 0d1a.*
+ 8dec: 6fa5.*
+ 8ded: 0c1a.*
+ 8dee: 6fa5.*
+ 8def: 0e1a.*
+ 8df0: 6fa5.*
+ 8df1: 0f1a.*
+ 8df2: 6fa5.*
+ 8df3: 0f1a.*
+ 8df4: 6fa5.*
+ 8df5: 0c1b.*
+ 8df6: 6fa5.*
+ 8df7: 0d1b.*
+ 8df8: 6fa5.*
+ 8df9: 0c1b.*
+ 8dfa: 6fa5.*
+ 8dfb: 0e1b.*
+ 8dfc: 6fa5.*
+ 8dfd: 0f1b.*
+ 8dfe: 6fa5.*
+ 8dff: 0f1b.*
+ 8e00: 6fa5.*
+ 8e01: 0c1c.*
+ 8e02: 6fa5.*
+ 8e03: 0d1c.*
+ 8e04: 6fa5.*
+ 8e05: 0c1c.*
+ 8e06: 6fa5.*
+ 8e07: 0e1c.*
+ 8e08: 6fa5.*
+ 8e09: 0f1c.*
+ 8e0a: 6fa5.*
+ 8e0b: 0f1c.*
+ 8e0c: 6fa5.*
+ 8e0d: 0c1d.*
+ 8e0e: 6fa5.*
+ 8e0f: 0d1d.*
+ 8e10: 6fa5.*
+ 8e11: 0c1d.*
+ 8e12: 6fa5.*
+ 8e13: 0e1d.*
+ 8e14: 6fa5.*
+ 8e15: 0f1d.*
+ 8e16: 6fa5.*
+ 8e17: 0f1d.*
+ 8e18: 6fa5.*
+ 8e19: 0c1e.*
+ 8e1a: 6fa5.*
+ 8e1b: 0d1e.*
+ 8e1c: 6fa5.*
+ 8e1d: 0c1e.*
+ 8e1e: 6fa5.*
+ 8e1f: 0e1e.*
+ 8e20: 6fa5.*
+ 8e21: 0f1e.*
+ 8e22: 6fa5.*
+ 8e23: 0f1e.*
+ 8e24: 6fa5.*
+ 8e25: 0c1f.*
+ 8e26: 6fa5.*
+ 8e27: 0d1f.*
+ 8e28: 6fa5.*
+ 8e29: 0c1f.*
+ 8e2a: 6fa5.*
+ 8e2b: 0e1f.*
+ 8e2c: 6fa5.*
+ 8e2d: 0f1f.*
+ 8e2e: 6fa5.*
+ 8e2f: 0f1f.*
+ 8e30: 00a5.*
+ 8e31: 6fa5.*
+ 8e32: 0d00.*
+ 8e33: 00a5.*
+ 8e34: 6fa5.*
+ 8e35: 0e00.*
+ 8e36: 01a5.*
+ 8e37: 01a5.*
+ 8e38: 6fa5.*
+ 8e39: 0c01.*
+ 8e3a: 6fa5.*
+ 8e3b: 0d01.*
+ 8e3c: 6fa5.*
+ 8e3d: 0c01.*
+ 8e3e: 6fa5.*
+ 8e3f: 0e01.*
+ 8e40: 6fa5.*
+ 8e41: 0f01.*
+ 8e42: 6fa5.*
+ 8e43: 0f01.*
+ 8e44: 6fa5.*
+ 8e45: 0c02.*
+ 8e46: 6fa5.*
+ 8e47: 0d02.*
+ 8e48: 6fa5.*
+ 8e49: 0c02.*
+ 8e4a: 6fa5.*
+ 8e4b: 0e02.*
+ 8e4c: 6fa5.*
+ 8e4d: 0f02.*
+ 8e4e: 6fa5.*
+ 8e4f: 0f02.*
+ 8e50: 6fa5.*
+ 8e51: 0c03.*
+ 8e52: 6fa5.*
+ 8e53: 0d03.*
+ 8e54: 6fa5.*
+ 8e55: 0c03.*
+ 8e56: 6fa5.*
+ 8e57: 0e03.*
+ 8e58: 6fa5.*
+ 8e59: 0f03.*
+ 8e5a: 6fa5.*
+ 8e5b: 0f03.*
+ 8e5c: 6fa5.*
+ 8e5d: 0c04.*
+ 8e5e: 6fa5.*
+ 8e5f: 0d04.*
+ 8e60: 6fa5.*
+ 8e61: 0c04.*
+ 8e62: 6fa5.*
+ 8e63: 0e04.*
+ 8e64: 6fa5.*
+ 8e65: 0f04.*
+ 8e66: 6fa5.*
+ 8e67: 0f04.*
+ 8e68: 6fa5.*
+ 8e69: 0c05.*
+ 8e6a: 6fa5.*
+ 8e6b: 0d05.*
+ 8e6c: 6fa5.*
+ 8e6d: 0c05.*
+ 8e6e: 6fa5.*
+ 8e6f: 0e05.*
+ 8e70: 6fa5.*
+ 8e71: 0f05.*
+ 8e72: 6fa5.*
+ 8e73: 0f05.*
+ 8e74: 6fa5.*
+ 8e75: 0c06.*
+ 8e76: 6fa5.*
+ 8e77: 0d06.*
+ 8e78: 6fa5.*
+ 8e79: 0c06.*
+ 8e7a: 6fa5.*
+ 8e7b: 0e06.*
+ 8e7c: 6fa5.*
+ 8e7d: 0f06.*
+ 8e7e: 6fa5.*
+ 8e7f: 0f06.*
+ 8e80: 6fa5.*
+ 8e81: 0c07.*
+ 8e82: 6fa5.*
+ 8e83: 0d07.*
+ 8e84: 6fa5.*
+ 8e85: 0c07.*
+ 8e86: 6fa5.*
+ 8e87: 0e07.*
+ 8e88: 6fa5.*
+ 8e89: 0f07.*
+ 8e8a: 6fa5.*
+ 8e8b: 0f07.*
+ 8e8c: 6fa5.*
+ 8e8d: 0c08.*
+ 8e8e: 6fa5.*
+ 8e8f: 0d08.*
+ 8e90: 6fa5.*
+ 8e91: 0c08.*
+ 8e92: 6fa5.*
+ 8e93: 0e08.*
+ 8e94: 6fa5.*
+ 8e95: 0f08.*
+ 8e96: 6fa5.*
+ 8e97: 0f08.*
+ 8e98: 6fa5.*
+ 8e99: 0c09.*
+ 8e9a: 6fa5.*
+ 8e9b: 0d09.*
+ 8e9c: 6fa5.*
+ 8e9d: 0c09.*
+ 8e9e: 6fa5.*
+ 8e9f: 0e09.*
+ 8ea0: 6fa5.*
+ 8ea1: 0f09.*
+ 8ea2: 6fa5.*
+ 8ea3: 0f09.*
+ 8ea4: 6fa5.*
+ 8ea5: 0c0a.*
+ 8ea6: 6fa5.*
+ 8ea7: 0d0a.*
+ 8ea8: 6fa5.*
+ 8ea9: 0c0a.*
+ 8eaa: 6fa5.*
+ 8eab: 0e0a.*
+ 8eac: 6fa5.*
+ 8ead: 0f0a.*
+ 8eae: 6fa5.*
+ 8eaf: 0f0a.*
+ 8eb0: 6fa5.*
+ 8eb1: 0c0b.*
+ 8eb2: 6fa5.*
+ 8eb3: 0d0b.*
+ 8eb4: 6fa5.*
+ 8eb5: 0c0b.*
+ 8eb6: 6fa5.*
+ 8eb7: 0e0b.*
+ 8eb8: 6fa5.*
+ 8eb9: 0f0b.*
+ 8eba: 6fa5.*
+ 8ebb: 0f0b.*
+ 8ebc: 6fa5.*
+ 8ebd: 0c0c.*
+ 8ebe: 6fa5.*
+ 8ebf: 0d0c.*
+ 8ec0: 6fa5.*
+ 8ec1: 0c0c.*
+ 8ec2: 6fa5.*
+ 8ec3: 0e0c.*
+ 8ec4: 6fa5.*
+ 8ec5: 0f0c.*
+ 8ec6: 6fa5.*
+ 8ec7: 0f0c.*
+ 8ec8: 6fa5.*
+ 8ec9: 0c0d.*
+ 8eca: 6fa5.*
+ 8ecb: 0d0d.*
+ 8ecc: 6fa5.*
+ 8ecd: 0c0d.*
+ 8ece: 6fa5.*
+ 8ecf: 0e0d.*
+ 8ed0: 6fa5.*
+ 8ed1: 0f0d.*
+ 8ed2: 6fa5.*
+ 8ed3: 0f0d.*
+ 8ed4: 6fa5.*
+ 8ed5: 0c0e.*
+ 8ed6: 6fa5.*
+ 8ed7: 0d0e.*
+ 8ed8: 6fa5.*
+ 8ed9: 0c0e.*
+ 8eda: 6fa5.*
+ 8edb: 0e0e.*
+ 8edc: 6fa5.*
+ 8edd: 0f0e.*
+ 8ede: 6fa5.*
+ 8edf: 0f0e.*
+ 8ee0: 6fa5.*
+ 8ee1: 0c0f.*
+ 8ee2: 6fa5.*
+ 8ee3: 0d0f.*
+ 8ee4: 6fa5.*
+ 8ee5: 0c0f.*
+ 8ee6: 6fa5.*
+ 8ee7: 0e0f.*
+ 8ee8: 6fa5.*
+ 8ee9: 0f0f.*
+ 8eea: 6fa5.*
+ 8eeb: 0f0f.*
+ 8eec: 00ad.*
+ 8eed: 6fad.*
+ 8eee: 0d00.*
+ 8eef: 00ad.*
+ 8ef0: 6fad.*
+ 8ef1: 0e00.*
+ 8ef2: 01ad.*
+ 8ef3: 01ad.*
+ 8ef4: 6fad.*
+ 8ef5: 0c10.*
+ 8ef6: 6fad.*
+ 8ef7: 0d10.*
+ 8ef8: 6fad.*
+ 8ef9: 0c10.*
+ 8efa: 6fad.*
+ 8efb: 0e10.*
+ 8efc: 6fad.*
+ 8efd: 0f10.*
+ 8efe: 6fad.*
+ 8eff: 0f10.*
+ 8f00: 6fad.*
+ 8f01: 0c11.*
+ 8f02: 6fad.*
+ 8f03: 0d11.*
+ 8f04: 6fad.*
+ 8f05: 0c11.*
+ 8f06: 6fad.*
+ 8f07: 0e11.*
+ 8f08: 6fad.*
+ 8f09: 0f11.*
+ 8f0a: 6fad.*
+ 8f0b: 0f11.*
+ 8f0c: 6fad.*
+ 8f0d: 0c12.*
+ 8f0e: 6fad.*
+ 8f0f: 0d12.*
+ 8f10: 6fad.*
+ 8f11: 0c12.*
+ 8f12: 6fad.*
+ 8f13: 0e12.*
+ 8f14: 6fad.*
+ 8f15: 0f12.*
+ 8f16: 6fad.*
+ 8f17: 0f12.*
+ 8f18: 6fad.*
+ 8f19: 0c13.*
+ 8f1a: 6fad.*
+ 8f1b: 0d13.*
+ 8f1c: 6fad.*
+ 8f1d: 0c13.*
+ 8f1e: 6fad.*
+ 8f1f: 0e13.*
+ 8f20: 6fad.*
+ 8f21: 0f13.*
+ 8f22: 6fad.*
+ 8f23: 0f13.*
+ 8f24: 6fad.*
+ 8f25: 0c14.*
+ 8f26: 6fad.*
+ 8f27: 0d14.*
+ 8f28: 6fad.*
+ 8f29: 0c14.*
+ 8f2a: 6fad.*
+ 8f2b: 0e14.*
+ 8f2c: 6fad.*
+ 8f2d: 0f14.*
+ 8f2e: 6fad.*
+ 8f2f: 0f14.*
+ 8f30: 6fad.*
+ 8f31: 0c15.*
+ 8f32: 6fad.*
+ 8f33: 0d15.*
+ 8f34: 6fad.*
+ 8f35: 0c15.*
+ 8f36: 6fad.*
+ 8f37: 0e15.*
+ 8f38: 6fad.*
+ 8f39: 0f15.*
+ 8f3a: 6fad.*
+ 8f3b: 0f15.*
+ 8f3c: 6fad.*
+ 8f3d: 0c16.*
+ 8f3e: 6fad.*
+ 8f3f: 0d16.*
+ 8f40: 6fad.*
+ 8f41: 0c16.*
+ 8f42: 6fad.*
+ 8f43: 0e16.*
+ 8f44: 6fad.*
+ 8f45: 0f16.*
+ 8f46: 6fad.*
+ 8f47: 0f16.*
+ 8f48: 6fad.*
+ 8f49: 0c17.*
+ 8f4a: 6fad.*
+ 8f4b: 0d17.*
+ 8f4c: 6fad.*
+ 8f4d: 0c17.*
+ 8f4e: 6fad.*
+ 8f4f: 0e17.*
+ 8f50: 6fad.*
+ 8f51: 0f17.*
+ 8f52: 6fad.*
+ 8f53: 0f17.*
+ 8f54: 6fad.*
+ 8f55: 0c18.*
+ 8f56: 6fad.*
+ 8f57: 0d18.*
+ 8f58: 6fad.*
+ 8f59: 0c18.*
+ 8f5a: 6fad.*
+ 8f5b: 0e18.*
+ 8f5c: 6fad.*
+ 8f5d: 0f18.*
+ 8f5e: 6fad.*
+ 8f5f: 0f18.*
+ 8f60: 6fad.*
+ 8f61: 0c19.*
+ 8f62: 6fad.*
+ 8f63: 0d19.*
+ 8f64: 6fad.*
+ 8f65: 0c19.*
+ 8f66: 6fad.*
+ 8f67: 0e19.*
+ 8f68: 6fad.*
+ 8f69: 0f19.*
+ 8f6a: 6fad.*
+ 8f6b: 0f19.*
+ 8f6c: 6fad.*
+ 8f6d: 0c1a.*
+ 8f6e: 6fad.*
+ 8f6f: 0d1a.*
+ 8f70: 6fad.*
+ 8f71: 0c1a.*
+ 8f72: 6fad.*
+ 8f73: 0e1a.*
+ 8f74: 6fad.*
+ 8f75: 0f1a.*
+ 8f76: 6fad.*
+ 8f77: 0f1a.*
+ 8f78: 6fad.*
+ 8f79: 0c1b.*
+ 8f7a: 6fad.*
+ 8f7b: 0d1b.*
+ 8f7c: 6fad.*
+ 8f7d: 0c1b.*
+ 8f7e: 6fad.*
+ 8f7f: 0e1b.*
+ 8f80: 6fad.*
+ 8f81: 0f1b.*
+ 8f82: 6fad.*
+ 8f83: 0f1b.*
+ 8f84: 6fad.*
+ 8f85: 0c1c.*
+ 8f86: 6fad.*
+ 8f87: 0d1c.*
+ 8f88: 6fad.*
+ 8f89: 0c1c.*
+ 8f8a: 6fad.*
+ 8f8b: 0e1c.*
+ 8f8c: 6fad.*
+ 8f8d: 0f1c.*
+ 8f8e: 6fad.*
+ 8f8f: 0f1c.*
+ 8f90: 6fad.*
+ 8f91: 0c1d.*
+ 8f92: 6fad.*
+ 8f93: 0d1d.*
+ 8f94: 6fad.*
+ 8f95: 0c1d.*
+ 8f96: 6fad.*
+ 8f97: 0e1d.*
+ 8f98: 6fad.*
+ 8f99: 0f1d.*
+ 8f9a: 6fad.*
+ 8f9b: 0f1d.*
+ 8f9c: 6fad.*
+ 8f9d: 0c1e.*
+ 8f9e: 6fad.*
+ 8f9f: 0d1e.*
+ 8fa0: 6fad.*
+ 8fa1: 0c1e.*
+ 8fa2: 6fad.*
+ 8fa3: 0e1e.*
+ 8fa4: 6fad.*
+ 8fa5: 0f1e.*
+ 8fa6: 6fad.*
+ 8fa7: 0f1e.*
+ 8fa8: 6fad.*
+ 8fa9: 0c1f.*
+ 8faa: 6fad.*
+ 8fab: 0d1f.*
+ 8fac: 6fad.*
+ 8fad: 0c1f.*
+ 8fae: 6fad.*
+ 8faf: 0e1f.*
+ 8fb0: 6fad.*
+ 8fb1: 0f1f.*
+ 8fb2: 6fad.*
+ 8fb3: 0f1f.*
+ 8fb4: 00ad.*
+ 8fb5: 6fad.*
+ 8fb6: 0d00.*
+ 8fb7: 00ad.*
+ 8fb8: 6fad.*
+ 8fb9: 0e00.*
+ 8fba: 01ad.*
+ 8fbb: 01ad.*
+ 8fbc: 6fad.*
+ 8fbd: 0c01.*
+ 8fbe: 6fad.*
+ 8fbf: 0d01.*
+ 8fc0: 6fad.*
+ 8fc1: 0c01.*
+ 8fc2: 6fad.*
+ 8fc3: 0e01.*
+ 8fc4: 6fad.*
+ 8fc5: 0f01.*
+ 8fc6: 6fad.*
+ 8fc7: 0f01.*
+ 8fc8: 6fad.*
+ 8fc9: 0c02.*
+ 8fca: 6fad.*
+ 8fcb: 0d02.*
+ 8fcc: 6fad.*
+ 8fcd: 0c02.*
+ 8fce: 6fad.*
+ 8fcf: 0e02.*
+ 8fd0: 6fad.*
+ 8fd1: 0f02.*
+ 8fd2: 6fad.*
+ 8fd3: 0f02.*
+ 8fd4: 6fad.*
+ 8fd5: 0c03.*
+ 8fd6: 6fad.*
+ 8fd7: 0d03.*
+ 8fd8: 6fad.*
+ 8fd9: 0c03.*
+ 8fda: 6fad.*
+ 8fdb: 0e03.*
+ 8fdc: 6fad.*
+ 8fdd: 0f03.*
+ 8fde: 6fad.*
+ 8fdf: 0f03.*
+ 8fe0: 6fad.*
+ 8fe1: 0c04.*
+ 8fe2: 6fad.*
+ 8fe3: 0d04.*
+ 8fe4: 6fad.*
+ 8fe5: 0c04.*
+ 8fe6: 6fad.*
+ 8fe7: 0e04.*
+ 8fe8: 6fad.*
+ 8fe9: 0f04.*
+ 8fea: 6fad.*
+ 8feb: 0f04.*
+ 8fec: 6fad.*
+ 8fed: 0c05.*
+ 8fee: 6fad.*
+ 8fef: 0d05.*
+ 8ff0: 6fad.*
+ 8ff1: 0c05.*
+ 8ff2: 6fad.*
+ 8ff3: 0e05.*
+ 8ff4: 6fad.*
+ 8ff5: 0f05.*
+ 8ff6: 6fad.*
+ 8ff7: 0f05.*
+ 8ff8: 6fad.*
+ 8ff9: 0c06.*
+ 8ffa: 6fad.*
+ 8ffb: 0d06.*
+ 8ffc: 6fad.*
+ 8ffd: 0c06.*
+ 8ffe: 6fad.*
+ 8fff: 0e06.*
+ 9000: 6fad.*
+ 9001: 0f06.*
+ 9002: 6fad.*
+ 9003: 0f06.*
+ 9004: 6fad.*
+ 9005: 0c07.*
+ 9006: 6fad.*
+ 9007: 0d07.*
+ 9008: 6fad.*
+ 9009: 0c07.*
+ 900a: 6fad.*
+ 900b: 0e07.*
+ 900c: 6fad.*
+ 900d: 0f07.*
+ 900e: 6fad.*
+ 900f: 0f07.*
+ 9010: 6fad.*
+ 9011: 0c08.*
+ 9012: 6fad.*
+ 9013: 0d08.*
+ 9014: 6fad.*
+ 9015: 0c08.*
+ 9016: 6fad.*
+ 9017: 0e08.*
+ 9018: 6fad.*
+ 9019: 0f08.*
+ 901a: 6fad.*
+ 901b: 0f08.*
+ 901c: 6fad.*
+ 901d: 0c09.*
+ 901e: 6fad.*
+ 901f: 0d09.*
+ 9020: 6fad.*
+ 9021: 0c09.*
+ 9022: 6fad.*
+ 9023: 0e09.*
+ 9024: 6fad.*
+ 9025: 0f09.*
+ 9026: 6fad.*
+ 9027: 0f09.*
+ 9028: 6fad.*
+ 9029: 0c0a.*
+ 902a: 6fad.*
+ 902b: 0d0a.*
+ 902c: 6fad.*
+ 902d: 0c0a.*
+ 902e: 6fad.*
+ 902f: 0e0a.*
+ 9030: 6fad.*
+ 9031: 0f0a.*
+ 9032: 6fad.*
+ 9033: 0f0a.*
+ 9034: 6fad.*
+ 9035: 0c0b.*
+ 9036: 6fad.*
+ 9037: 0d0b.*
+ 9038: 6fad.*
+ 9039: 0c0b.*
+ 903a: 6fad.*
+ 903b: 0e0b.*
+ 903c: 6fad.*
+ 903d: 0f0b.*
+ 903e: 6fad.*
+ 903f: 0f0b.*
+ 9040: 6fad.*
+ 9041: 0c0c.*
+ 9042: 6fad.*
+ 9043: 0d0c.*
+ 9044: 6fad.*
+ 9045: 0c0c.*
+ 9046: 6fad.*
+ 9047: 0e0c.*
+ 9048: 6fad.*
+ 9049: 0f0c.*
+ 904a: 6fad.*
+ 904b: 0f0c.*
+ 904c: 6fad.*
+ 904d: 0c0d.*
+ 904e: 6fad.*
+ 904f: 0d0d.*
+ 9050: 6fad.*
+ 9051: 0c0d.*
+ 9052: 6fad.*
+ 9053: 0e0d.*
+ 9054: 6fad.*
+ 9055: 0f0d.*
+ 9056: 6fad.*
+ 9057: 0f0d.*
+ 9058: 6fad.*
+ 9059: 0c0e.*
+ 905a: 6fad.*
+ 905b: 0d0e.*
+ 905c: 6fad.*
+ 905d: 0c0e.*
+ 905e: 6fad.*
+ 905f: 0e0e.*
+ 9060: 6fad.*
+ 9061: 0f0e.*
+ 9062: 6fad.*
+ 9063: 0f0e.*
+ 9064: 6fad.*
+ 9065: 0c0f.*
+ 9066: 6fad.*
+ 9067: 0d0f.*
+ 9068: 6fad.*
+ 9069: 0c0f.*
+ 906a: 6fad.*
+ 906b: 0e0f.*
+ 906c: 6fad.*
+ 906d: 0f0f.*
+ 906e: 6fad.*
+ 906f: 0f0f.*
+ 9070: 00b5.*
+ 9071: 6fb5.*
+ 9072: 0d00.*
+ 9073: 00b5.*
+ 9074: 6fb5.*
+ 9075: 0e00.*
+ 9076: 01b5.*
+ 9077: 01b5.*
+ 9078: 6fb5.*
+ 9079: 0c10.*
+ 907a: 6fb5.*
+ 907b: 0d10.*
+ 907c: 6fb5.*
+ 907d: 0c10.*
+ 907e: 6fb5.*
+ 907f: 0e10.*
+ 9080: 6fb5.*
+ 9081: 0f10.*
+ 9082: 6fb5.*
+ 9083: 0f10.*
+ 9084: 6fb5.*
+ 9085: 0c11.*
+ 9086: 6fb5.*
+ 9087: 0d11.*
+ 9088: 6fb5.*
+ 9089: 0c11.*
+ 908a: 6fb5.*
+ 908b: 0e11.*
+ 908c: 6fb5.*
+ 908d: 0f11.*
+ 908e: 6fb5.*
+ 908f: 0f11.*
+ 9090: 6fb5.*
+ 9091: 0c12.*
+ 9092: 6fb5.*
+ 9093: 0d12.*
+ 9094: 6fb5.*
+ 9095: 0c12.*
+ 9096: 6fb5.*
+ 9097: 0e12.*
+ 9098: 6fb5.*
+ 9099: 0f12.*
+ 909a: 6fb5.*
+ 909b: 0f12.*
+ 909c: 6fb5.*
+ 909d: 0c13.*
+ 909e: 6fb5.*
+ 909f: 0d13.*
+ 90a0: 6fb5.*
+ 90a1: 0c13.*
+ 90a2: 6fb5.*
+ 90a3: 0e13.*
+ 90a4: 6fb5.*
+ 90a5: 0f13.*
+ 90a6: 6fb5.*
+ 90a7: 0f13.*
+ 90a8: 6fb5.*
+ 90a9: 0c14.*
+ 90aa: 6fb5.*
+ 90ab: 0d14.*
+ 90ac: 6fb5.*
+ 90ad: 0c14.*
+ 90ae: 6fb5.*
+ 90af: 0e14.*
+ 90b0: 6fb5.*
+ 90b1: 0f14.*
+ 90b2: 6fb5.*
+ 90b3: 0f14.*
+ 90b4: 6fb5.*
+ 90b5: 0c15.*
+ 90b6: 6fb5.*
+ 90b7: 0d15.*
+ 90b8: 6fb5.*
+ 90b9: 0c15.*
+ 90ba: 6fb5.*
+ 90bb: 0e15.*
+ 90bc: 6fb5.*
+ 90bd: 0f15.*
+ 90be: 6fb5.*
+ 90bf: 0f15.*
+ 90c0: 6fb5.*
+ 90c1: 0c16.*
+ 90c2: 6fb5.*
+ 90c3: 0d16.*
+ 90c4: 6fb5.*
+ 90c5: 0c16.*
+ 90c6: 6fb5.*
+ 90c7: 0e16.*
+ 90c8: 6fb5.*
+ 90c9: 0f16.*
+ 90ca: 6fb5.*
+ 90cb: 0f16.*
+ 90cc: 6fb5.*
+ 90cd: 0c17.*
+ 90ce: 6fb5.*
+ 90cf: 0d17.*
+ 90d0: 6fb5.*
+ 90d1: 0c17.*
+ 90d2: 6fb5.*
+ 90d3: 0e17.*
+ 90d4: 6fb5.*
+ 90d5: 0f17.*
+ 90d6: 6fb5.*
+ 90d7: 0f17.*
+ 90d8: 6fb5.*
+ 90d9: 0c18.*
+ 90da: 6fb5.*
+ 90db: 0d18.*
+ 90dc: 6fb5.*
+ 90dd: 0c18.*
+ 90de: 6fb5.*
+ 90df: 0e18.*
+ 90e0: 6fb5.*
+ 90e1: 0f18.*
+ 90e2: 6fb5.*
+ 90e3: 0f18.*
+ 90e4: 6fb5.*
+ 90e5: 0c19.*
+ 90e6: 6fb5.*
+ 90e7: 0d19.*
+ 90e8: 6fb5.*
+ 90e9: 0c19.*
+ 90ea: 6fb5.*
+ 90eb: 0e19.*
+ 90ec: 6fb5.*
+ 90ed: 0f19.*
+ 90ee: 6fb5.*
+ 90ef: 0f19.*
+ 90f0: 6fb5.*
+ 90f1: 0c1a.*
+ 90f2: 6fb5.*
+ 90f3: 0d1a.*
+ 90f4: 6fb5.*
+ 90f5: 0c1a.*
+ 90f6: 6fb5.*
+ 90f7: 0e1a.*
+ 90f8: 6fb5.*
+ 90f9: 0f1a.*
+ 90fa: 6fb5.*
+ 90fb: 0f1a.*
+ 90fc: 6fb5.*
+ 90fd: 0c1b.*
+ 90fe: 6fb5.*
+ 90ff: 0d1b.*
+ 9100: 6fb5.*
+ 9101: 0c1b.*
+ 9102: 6fb5.*
+ 9103: 0e1b.*
+ 9104: 6fb5.*
+ 9105: 0f1b.*
+ 9106: 6fb5.*
+ 9107: 0f1b.*
+ 9108: 6fb5.*
+ 9109: 0c1c.*
+ 910a: 6fb5.*
+ 910b: 0d1c.*
+ 910c: 6fb5.*
+ 910d: 0c1c.*
+ 910e: 6fb5.*
+ 910f: 0e1c.*
+ 9110: 6fb5.*
+ 9111: 0f1c.*
+ 9112: 6fb5.*
+ 9113: 0f1c.*
+ 9114: 6fb5.*
+ 9115: 0c1d.*
+ 9116: 6fb5.*
+ 9117: 0d1d.*
+ 9118: 6fb5.*
+ 9119: 0c1d.*
+ 911a: 6fb5.*
+ 911b: 0e1d.*
+ 911c: 6fb5.*
+ 911d: 0f1d.*
+ 911e: 6fb5.*
+ 911f: 0f1d.*
+ 9120: 6fb5.*
+ 9121: 0c1e.*
+ 9122: 6fb5.*
+ 9123: 0d1e.*
+ 9124: 6fb5.*
+ 9125: 0c1e.*
+ 9126: 6fb5.*
+ 9127: 0e1e.*
+ 9128: 6fb5.*
+ 9129: 0f1e.*
+ 912a: 6fb5.*
+ 912b: 0f1e.*
+ 912c: 6fb5.*
+ 912d: 0c1f.*
+ 912e: 6fb5.*
+ 912f: 0d1f.*
+ 9130: 6fb5.*
+ 9131: 0c1f.*
+ 9132: 6fb5.*
+ 9133: 0e1f.*
+ 9134: 6fb5.*
+ 9135: 0f1f.*
+ 9136: 6fb5.*
+ 9137: 0f1f.*
+ 9138: 00b5.*
+ 9139: 6fb5.*
+ 913a: 0d00.*
+ 913b: 00b5.*
+ 913c: 6fb5.*
+ 913d: 0e00.*
+ 913e: 01b5.*
+ 913f: 01b5.*
+ 9140: 6fb5.*
+ 9141: 0c01.*
+ 9142: 6fb5.*
+ 9143: 0d01.*
+ 9144: 6fb5.*
+ 9145: 0c01.*
+ 9146: 6fb5.*
+ 9147: 0e01.*
+ 9148: 6fb5.*
+ 9149: 0f01.*
+ 914a: 6fb5.*
+ 914b: 0f01.*
+ 914c: 6fb5.*
+ 914d: 0c02.*
+ 914e: 6fb5.*
+ 914f: 0d02.*
+ 9150: 6fb5.*
+ 9151: 0c02.*
+ 9152: 6fb5.*
+ 9153: 0e02.*
+ 9154: 6fb5.*
+ 9155: 0f02.*
+ 9156: 6fb5.*
+ 9157: 0f02.*
+ 9158: 6fb5.*
+ 9159: 0c03.*
+ 915a: 6fb5.*
+ 915b: 0d03.*
+ 915c: 6fb5.*
+ 915d: 0c03.*
+ 915e: 6fb5.*
+ 915f: 0e03.*
+ 9160: 6fb5.*
+ 9161: 0f03.*
+ 9162: 6fb5.*
+ 9163: 0f03.*
+ 9164: 6fb5.*
+ 9165: 0c04.*
+ 9166: 6fb5.*
+ 9167: 0d04.*
+ 9168: 6fb5.*
+ 9169: 0c04.*
+ 916a: 6fb5.*
+ 916b: 0e04.*
+ 916c: 6fb5.*
+ 916d: 0f04.*
+ 916e: 6fb5.*
+ 916f: 0f04.*
+ 9170: 6fb5.*
+ 9171: 0c05.*
+ 9172: 6fb5.*
+ 9173: 0d05.*
+ 9174: 6fb5.*
+ 9175: 0c05.*
+ 9176: 6fb5.*
+ 9177: 0e05.*
+ 9178: 6fb5.*
+ 9179: 0f05.*
+ 917a: 6fb5.*
+ 917b: 0f05.*
+ 917c: 6fb5.*
+ 917d: 0c06.*
+ 917e: 6fb5.*
+ 917f: 0d06.*
+ 9180: 6fb5.*
+ 9181: 0c06.*
+ 9182: 6fb5.*
+ 9183: 0e06.*
+ 9184: 6fb5.*
+ 9185: 0f06.*
+ 9186: 6fb5.*
+ 9187: 0f06.*
+ 9188: 6fb5.*
+ 9189: 0c07.*
+ 918a: 6fb5.*
+ 918b: 0d07.*
+ 918c: 6fb5.*
+ 918d: 0c07.*
+ 918e: 6fb5.*
+ 918f: 0e07.*
+ 9190: 6fb5.*
+ 9191: 0f07.*
+ 9192: 6fb5.*
+ 9193: 0f07.*
+ 9194: 6fb5.*
+ 9195: 0c08.*
+ 9196: 6fb5.*
+ 9197: 0d08.*
+ 9198: 6fb5.*
+ 9199: 0c08.*
+ 919a: 6fb5.*
+ 919b: 0e08.*
+ 919c: 6fb5.*
+ 919d: 0f08.*
+ 919e: 6fb5.*
+ 919f: 0f08.*
+ 91a0: 6fb5.*
+ 91a1: 0c09.*
+ 91a2: 6fb5.*
+ 91a3: 0d09.*
+ 91a4: 6fb5.*
+ 91a5: 0c09.*
+ 91a6: 6fb5.*
+ 91a7: 0e09.*
+ 91a8: 6fb5.*
+ 91a9: 0f09.*
+ 91aa: 6fb5.*
+ 91ab: 0f09.*
+ 91ac: 6fb5.*
+ 91ad: 0c0a.*
+ 91ae: 6fb5.*
+ 91af: 0d0a.*
+ 91b0: 6fb5.*
+ 91b1: 0c0a.*
+ 91b2: 6fb5.*
+ 91b3: 0e0a.*
+ 91b4: 6fb5.*
+ 91b5: 0f0a.*
+ 91b6: 6fb5.*
+ 91b7: 0f0a.*
+ 91b8: 6fb5.*
+ 91b9: 0c0b.*
+ 91ba: 6fb5.*
+ 91bb: 0d0b.*
+ 91bc: 6fb5.*
+ 91bd: 0c0b.*
+ 91be: 6fb5.*
+ 91bf: 0e0b.*
+ 91c0: 6fb5.*
+ 91c1: 0f0b.*
+ 91c2: 6fb5.*
+ 91c3: 0f0b.*
+ 91c4: 6fb5.*
+ 91c5: 0c0c.*
+ 91c6: 6fb5.*
+ 91c7: 0d0c.*
+ 91c8: 6fb5.*
+ 91c9: 0c0c.*
+ 91ca: 6fb5.*
+ 91cb: 0e0c.*
+ 91cc: 6fb5.*
+ 91cd: 0f0c.*
+ 91ce: 6fb5.*
+ 91cf: 0f0c.*
+ 91d0: 6fb5.*
+ 91d1: 0c0d.*
+ 91d2: 6fb5.*
+ 91d3: 0d0d.*
+ 91d4: 6fb5.*
+ 91d5: 0c0d.*
+ 91d6: 6fb5.*
+ 91d7: 0e0d.*
+ 91d8: 6fb5.*
+ 91d9: 0f0d.*
+ 91da: 6fb5.*
+ 91db: 0f0d.*
+ 91dc: 6fb5.*
+ 91dd: 0c0e.*
+ 91de: 6fb5.*
+ 91df: 0d0e.*
+ 91e0: 6fb5.*
+ 91e1: 0c0e.*
+ 91e2: 6fb5.*
+ 91e3: 0e0e.*
+ 91e4: 6fb5.*
+ 91e5: 0f0e.*
+ 91e6: 6fb5.*
+ 91e7: 0f0e.*
+ 91e8: 6fb5.*
+ 91e9: 0c0f.*
+ 91ea: 6fb5.*
+ 91eb: 0d0f.*
+ 91ec: 6fb5.*
+ 91ed: 0c0f.*
+ 91ee: 6fb5.*
+ 91ef: 0e0f.*
+ 91f0: 6fb5.*
+ 91f1: 0f0f.*
+ 91f2: 6fb5.*
+ 91f3: 0f0f.*
+ 91f4: 00bd.*
+ 91f5: 6fbd.*
+ 91f6: 0d00.*
+ 91f7: 00bd.*
+ 91f8: 6fbd.*
+ 91f9: 0e00.*
+ 91fa: 01bd.*
+ 91fb: 01bd.*
+ 91fc: 6fbd.*
+ 91fd: 0c10.*
+ 91fe: 6fbd.*
+ 91ff: 0d10.*
+ 9200: 6fbd.*
+ 9201: 0c10.*
+ 9202: 6fbd.*
+ 9203: 0e10.*
+ 9204: 6fbd.*
+ 9205: 0f10.*
+ 9206: 6fbd.*
+ 9207: 0f10.*
+ 9208: 6fbd.*
+ 9209: 0c11.*
+ 920a: 6fbd.*
+ 920b: 0d11.*
+ 920c: 6fbd.*
+ 920d: 0c11.*
+ 920e: 6fbd.*
+ 920f: 0e11.*
+ 9210: 6fbd.*
+ 9211: 0f11.*
+ 9212: 6fbd.*
+ 9213: 0f11.*
+ 9214: 6fbd.*
+ 9215: 0c12.*
+ 9216: 6fbd.*
+ 9217: 0d12.*
+ 9218: 6fbd.*
+ 9219: 0c12.*
+ 921a: 6fbd.*
+ 921b: 0e12.*
+ 921c: 6fbd.*
+ 921d: 0f12.*
+ 921e: 6fbd.*
+ 921f: 0f12.*
+ 9220: 6fbd.*
+ 9221: 0c13.*
+ 9222: 6fbd.*
+ 9223: 0d13.*
+ 9224: 6fbd.*
+ 9225: 0c13.*
+ 9226: 6fbd.*
+ 9227: 0e13.*
+ 9228: 6fbd.*
+ 9229: 0f13.*
+ 922a: 6fbd.*
+ 922b: 0f13.*
+ 922c: 6fbd.*
+ 922d: 0c14.*
+ 922e: 6fbd.*
+ 922f: 0d14.*
+ 9230: 6fbd.*
+ 9231: 0c14.*
+ 9232: 6fbd.*
+ 9233: 0e14.*
+ 9234: 6fbd.*
+ 9235: 0f14.*
+ 9236: 6fbd.*
+ 9237: 0f14.*
+ 9238: 6fbd.*
+ 9239: 0c15.*
+ 923a: 6fbd.*
+ 923b: 0d15.*
+ 923c: 6fbd.*
+ 923d: 0c15.*
+ 923e: 6fbd.*
+ 923f: 0e15.*
+ 9240: 6fbd.*
+ 9241: 0f15.*
+ 9242: 6fbd.*
+ 9243: 0f15.*
+ 9244: 6fbd.*
+ 9245: 0c16.*
+ 9246: 6fbd.*
+ 9247: 0d16.*
+ 9248: 6fbd.*
+ 9249: 0c16.*
+ 924a: 6fbd.*
+ 924b: 0e16.*
+ 924c: 6fbd.*
+ 924d: 0f16.*
+ 924e: 6fbd.*
+ 924f: 0f16.*
+ 9250: 6fbd.*
+ 9251: 0c17.*
+ 9252: 6fbd.*
+ 9253: 0d17.*
+ 9254: 6fbd.*
+ 9255: 0c17.*
+ 9256: 6fbd.*
+ 9257: 0e17.*
+ 9258: 6fbd.*
+ 9259: 0f17.*
+ 925a: 6fbd.*
+ 925b: 0f17.*
+ 925c: 6fbd.*
+ 925d: 0c18.*
+ 925e: 6fbd.*
+ 925f: 0d18.*
+ 9260: 6fbd.*
+ 9261: 0c18.*
+ 9262: 6fbd.*
+ 9263: 0e18.*
+ 9264: 6fbd.*
+ 9265: 0f18.*
+ 9266: 6fbd.*
+ 9267: 0f18.*
+ 9268: 6fbd.*
+ 9269: 0c19.*
+ 926a: 6fbd.*
+ 926b: 0d19.*
+ 926c: 6fbd.*
+ 926d: 0c19.*
+ 926e: 6fbd.*
+ 926f: 0e19.*
+ 9270: 6fbd.*
+ 9271: 0f19.*
+ 9272: 6fbd.*
+ 9273: 0f19.*
+ 9274: 6fbd.*
+ 9275: 0c1a.*
+ 9276: 6fbd.*
+ 9277: 0d1a.*
+ 9278: 6fbd.*
+ 9279: 0c1a.*
+ 927a: 6fbd.*
+ 927b: 0e1a.*
+ 927c: 6fbd.*
+ 927d: 0f1a.*
+ 927e: 6fbd.*
+ 927f: 0f1a.*
+ 9280: 6fbd.*
+ 9281: 0c1b.*
+ 9282: 6fbd.*
+ 9283: 0d1b.*
+ 9284: 6fbd.*
+ 9285: 0c1b.*
+ 9286: 6fbd.*
+ 9287: 0e1b.*
+ 9288: 6fbd.*
+ 9289: 0f1b.*
+ 928a: 6fbd.*
+ 928b: 0f1b.*
+ 928c: 6fbd.*
+ 928d: 0c1c.*
+ 928e: 6fbd.*
+ 928f: 0d1c.*
+ 9290: 6fbd.*
+ 9291: 0c1c.*
+ 9292: 6fbd.*
+ 9293: 0e1c.*
+ 9294: 6fbd.*
+ 9295: 0f1c.*
+ 9296: 6fbd.*
+ 9297: 0f1c.*
+ 9298: 6fbd.*
+ 9299: 0c1d.*
+ 929a: 6fbd.*
+ 929b: 0d1d.*
+ 929c: 6fbd.*
+ 929d: 0c1d.*
+ 929e: 6fbd.*
+ 929f: 0e1d.*
+ 92a0: 6fbd.*
+ 92a1: 0f1d.*
+ 92a2: 6fbd.*
+ 92a3: 0f1d.*
+ 92a4: 6fbd.*
+ 92a5: 0c1e.*
+ 92a6: 6fbd.*
+ 92a7: 0d1e.*
+ 92a8: 6fbd.*
+ 92a9: 0c1e.*
+ 92aa: 6fbd.*
+ 92ab: 0e1e.*
+ 92ac: 6fbd.*
+ 92ad: 0f1e.*
+ 92ae: 6fbd.*
+ 92af: 0f1e.*
+ 92b0: 6fbd.*
+ 92b1: 0c1f.*
+ 92b2: 6fbd.*
+ 92b3: 0d1f.*
+ 92b4: 6fbd.*
+ 92b5: 0c1f.*
+ 92b6: 6fbd.*
+ 92b7: 0e1f.*
+ 92b8: 6fbd.*
+ 92b9: 0f1f.*
+ 92ba: 6fbd.*
+ 92bb: 0f1f.*
+ 92bc: 00bd.*
+ 92bd: 6fbd.*
+ 92be: 0d00.*
+ 92bf: 00bd.*
+ 92c0: 6fbd.*
+ 92c1: 0e00.*
+ 92c2: 01bd.*
+ 92c3: 01bd.*
+ 92c4: 6fbd.*
+ 92c5: 0c01.*
+ 92c6: 6fbd.*
+ 92c7: 0d01.*
+ 92c8: 6fbd.*
+ 92c9: 0c01.*
+ 92ca: 6fbd.*
+ 92cb: 0e01.*
+ 92cc: 6fbd.*
+ 92cd: 0f01.*
+ 92ce: 6fbd.*
+ 92cf: 0f01.*
+ 92d0: 6fbd.*
+ 92d1: 0c02.*
+ 92d2: 6fbd.*
+ 92d3: 0d02.*
+ 92d4: 6fbd.*
+ 92d5: 0c02.*
+ 92d6: 6fbd.*
+ 92d7: 0e02.*
+ 92d8: 6fbd.*
+ 92d9: 0f02.*
+ 92da: 6fbd.*
+ 92db: 0f02.*
+ 92dc: 6fbd.*
+ 92dd: 0c03.*
+ 92de: 6fbd.*
+ 92df: 0d03.*
+ 92e0: 6fbd.*
+ 92e1: 0c03.*
+ 92e2: 6fbd.*
+ 92e3: 0e03.*
+ 92e4: 6fbd.*
+ 92e5: 0f03.*
+ 92e6: 6fbd.*
+ 92e7: 0f03.*
+ 92e8: 6fbd.*
+ 92e9: 0c04.*
+ 92ea: 6fbd.*
+ 92eb: 0d04.*
+ 92ec: 6fbd.*
+ 92ed: 0c04.*
+ 92ee: 6fbd.*
+ 92ef: 0e04.*
+ 92f0: 6fbd.*
+ 92f1: 0f04.*
+ 92f2: 6fbd.*
+ 92f3: 0f04.*
+ 92f4: 6fbd.*
+ 92f5: 0c05.*
+ 92f6: 6fbd.*
+ 92f7: 0d05.*
+ 92f8: 6fbd.*
+ 92f9: 0c05.*
+ 92fa: 6fbd.*
+ 92fb: 0e05.*
+ 92fc: 6fbd.*
+ 92fd: 0f05.*
+ 92fe: 6fbd.*
+ 92ff: 0f05.*
+ 9300: 6fbd.*
+ 9301: 0c06.*
+ 9302: 6fbd.*
+ 9303: 0d06.*
+ 9304: 6fbd.*
+ 9305: 0c06.*
+ 9306: 6fbd.*
+ 9307: 0e06.*
+ 9308: 6fbd.*
+ 9309: 0f06.*
+ 930a: 6fbd.*
+ 930b: 0f06.*
+ 930c: 6fbd.*
+ 930d: 0c07.*
+ 930e: 6fbd.*
+ 930f: 0d07.*
+ 9310: 6fbd.*
+ 9311: 0c07.*
+ 9312: 6fbd.*
+ 9313: 0e07.*
+ 9314: 6fbd.*
+ 9315: 0f07.*
+ 9316: 6fbd.*
+ 9317: 0f07.*
+ 9318: 6fbd.*
+ 9319: 0c08.*
+ 931a: 6fbd.*
+ 931b: 0d08.*
+ 931c: 6fbd.*
+ 931d: 0c08.*
+ 931e: 6fbd.*
+ 931f: 0e08.*
+ 9320: 6fbd.*
+ 9321: 0f08.*
+ 9322: 6fbd.*
+ 9323: 0f08.*
+ 9324: 6fbd.*
+ 9325: 0c09.*
+ 9326: 6fbd.*
+ 9327: 0d09.*
+ 9328: 6fbd.*
+ 9329: 0c09.*
+ 932a: 6fbd.*
+ 932b: 0e09.*
+ 932c: 6fbd.*
+ 932d: 0f09.*
+ 932e: 6fbd.*
+ 932f: 0f09.*
+ 9330: 6fbd.*
+ 9331: 0c0a.*
+ 9332: 6fbd.*
+ 9333: 0d0a.*
+ 9334: 6fbd.*
+ 9335: 0c0a.*
+ 9336: 6fbd.*
+ 9337: 0e0a.*
+ 9338: 6fbd.*
+ 9339: 0f0a.*
+ 933a: 6fbd.*
+ 933b: 0f0a.*
+ 933c: 6fbd.*
+ 933d: 0c0b.*
+ 933e: 6fbd.*
+ 933f: 0d0b.*
+ 9340: 6fbd.*
+ 9341: 0c0b.*
+ 9342: 6fbd.*
+ 9343: 0e0b.*
+ 9344: 6fbd.*
+ 9345: 0f0b.*
+ 9346: 6fbd.*
+ 9347: 0f0b.*
+ 9348: 6fbd.*
+ 9349: 0c0c.*
+ 934a: 6fbd.*
+ 934b: 0d0c.*
+ 934c: 6fbd.*
+ 934d: 0c0c.*
+ 934e: 6fbd.*
+ 934f: 0e0c.*
+ 9350: 6fbd.*
+ 9351: 0f0c.*
+ 9352: 6fbd.*
+ 9353: 0f0c.*
+ 9354: 6fbd.*
+ 9355: 0c0d.*
+ 9356: 6fbd.*
+ 9357: 0d0d.*
+ 9358: 6fbd.*
+ 9359: 0c0d.*
+ 935a: 6fbd.*
+ 935b: 0e0d.*
+ 935c: 6fbd.*
+ 935d: 0f0d.*
+ 935e: 6fbd.*
+ 935f: 0f0d.*
+ 9360: 6fbd.*
+ 9361: 0c0e.*
+ 9362: 6fbd.*
+ 9363: 0d0e.*
+ 9364: 6fbd.*
+ 9365: 0c0e.*
+ 9366: 6fbd.*
+ 9367: 0e0e.*
+ 9368: 6fbd.*
+ 9369: 0f0e.*
+ 936a: 6fbd.*
+ 936b: 0f0e.*
+ 936c: 6fbd.*
+ 936d: 0c0f.*
+ 936e: 6fbd.*
+ 936f: 0d0f.*
+ 9370: 6fbd.*
+ 9371: 0c0f.*
+ 9372: 6fbd.*
+ 9373: 0e0f.*
+ 9374: 6fbd.*
+ 9375: 0f0f.*
+ 9376: 6fbd.*
+ 9377: 0f0f.*
+ 9378: 00c5.*
+ 9379: 6fc5.*
+ 937a: 0d00.*
+ 937b: 00c5.*
+ 937c: 6fc5.*
+ 937d: 0e00.*
+ 937e: 01c5.*
+ 937f: 01c5.*
+ 9380: 6fc5.*
+ 9381: 0c10.*
+ 9382: 6fc5.*
+ 9383: 0d10.*
+ 9384: 6fc5.*
+ 9385: 0c10.*
+ 9386: 6fc5.*
+ 9387: 0e10.*
+ 9388: 6fc5.*
+ 9389: 0f10.*
+ 938a: 6fc5.*
+ 938b: 0f10.*
+ 938c: 6fc5.*
+ 938d: 0c11.*
+ 938e: 6fc5.*
+ 938f: 0d11.*
+ 9390: 6fc5.*
+ 9391: 0c11.*
+ 9392: 6fc5.*
+ 9393: 0e11.*
+ 9394: 6fc5.*
+ 9395: 0f11.*
+ 9396: 6fc5.*
+ 9397: 0f11.*
+ 9398: 6fc5.*
+ 9399: 0c12.*
+ 939a: 6fc5.*
+ 939b: 0d12.*
+ 939c: 6fc5.*
+ 939d: 0c12.*
+ 939e: 6fc5.*
+ 939f: 0e12.*
+ 93a0: 6fc5.*
+ 93a1: 0f12.*
+ 93a2: 6fc5.*
+ 93a3: 0f12.*
+ 93a4: 6fc5.*
+ 93a5: 0c13.*
+ 93a6: 6fc5.*
+ 93a7: 0d13.*
+ 93a8: 6fc5.*
+ 93a9: 0c13.*
+ 93aa: 6fc5.*
+ 93ab: 0e13.*
+ 93ac: 6fc5.*
+ 93ad: 0f13.*
+ 93ae: 6fc5.*
+ 93af: 0f13.*
+ 93b0: 6fc5.*
+ 93b1: 0c14.*
+ 93b2: 6fc5.*
+ 93b3: 0d14.*
+ 93b4: 6fc5.*
+ 93b5: 0c14.*
+ 93b6: 6fc5.*
+ 93b7: 0e14.*
+ 93b8: 6fc5.*
+ 93b9: 0f14.*
+ 93ba: 6fc5.*
+ 93bb: 0f14.*
+ 93bc: 6fc5.*
+ 93bd: 0c15.*
+ 93be: 6fc5.*
+ 93bf: 0d15.*
+ 93c0: 6fc5.*
+ 93c1: 0c15.*
+ 93c2: 6fc5.*
+ 93c3: 0e15.*
+ 93c4: 6fc5.*
+ 93c5: 0f15.*
+ 93c6: 6fc5.*
+ 93c7: 0f15.*
+ 93c8: 6fc5.*
+ 93c9: 0c16.*
+ 93ca: 6fc5.*
+ 93cb: 0d16.*
+ 93cc: 6fc5.*
+ 93cd: 0c16.*
+ 93ce: 6fc5.*
+ 93cf: 0e16.*
+ 93d0: 6fc5.*
+ 93d1: 0f16.*
+ 93d2: 6fc5.*
+ 93d3: 0f16.*
+ 93d4: 6fc5.*
+ 93d5: 0c17.*
+ 93d6: 6fc5.*
+ 93d7: 0d17.*
+ 93d8: 6fc5.*
+ 93d9: 0c17.*
+ 93da: 6fc5.*
+ 93db: 0e17.*
+ 93dc: 6fc5.*
+ 93dd: 0f17.*
+ 93de: 6fc5.*
+ 93df: 0f17.*
+ 93e0: 6fc5.*
+ 93e1: 0c18.*
+ 93e2: 6fc5.*
+ 93e3: 0d18.*
+ 93e4: 6fc5.*
+ 93e5: 0c18.*
+ 93e6: 6fc5.*
+ 93e7: 0e18.*
+ 93e8: 6fc5.*
+ 93e9: 0f18.*
+ 93ea: 6fc5.*
+ 93eb: 0f18.*
+ 93ec: 6fc5.*
+ 93ed: 0c19.*
+ 93ee: 6fc5.*
+ 93ef: 0d19.*
+ 93f0: 6fc5.*
+ 93f1: 0c19.*
+ 93f2: 6fc5.*
+ 93f3: 0e19.*
+ 93f4: 6fc5.*
+ 93f5: 0f19.*
+ 93f6: 6fc5.*
+ 93f7: 0f19.*
+ 93f8: 6fc5.*
+ 93f9: 0c1a.*
+ 93fa: 6fc5.*
+ 93fb: 0d1a.*
+ 93fc: 6fc5.*
+ 93fd: 0c1a.*
+ 93fe: 6fc5.*
+ 93ff: 0e1a.*
+ 9400: 6fc5.*
+ 9401: 0f1a.*
+ 9402: 6fc5.*
+ 9403: 0f1a.*
+ 9404: 6fc5.*
+ 9405: 0c1b.*
+ 9406: 6fc5.*
+ 9407: 0d1b.*
+ 9408: 6fc5.*
+ 9409: 0c1b.*
+ 940a: 6fc5.*
+ 940b: 0e1b.*
+ 940c: 6fc5.*
+ 940d: 0f1b.*
+ 940e: 6fc5.*
+ 940f: 0f1b.*
+ 9410: 6fc5.*
+ 9411: 0c1c.*
+ 9412: 6fc5.*
+ 9413: 0d1c.*
+ 9414: 6fc5.*
+ 9415: 0c1c.*
+ 9416: 6fc5.*
+ 9417: 0e1c.*
+ 9418: 6fc5.*
+ 9419: 0f1c.*
+ 941a: 6fc5.*
+ 941b: 0f1c.*
+ 941c: 6fc5.*
+ 941d: 0c1d.*
+ 941e: 6fc5.*
+ 941f: 0d1d.*
+ 9420: 6fc5.*
+ 9421: 0c1d.*
+ 9422: 6fc5.*
+ 9423: 0e1d.*
+ 9424: 6fc5.*
+ 9425: 0f1d.*
+ 9426: 6fc5.*
+ 9427: 0f1d.*
+ 9428: 6fc5.*
+ 9429: 0c1e.*
+ 942a: 6fc5.*
+ 942b: 0d1e.*
+ 942c: 6fc5.*
+ 942d: 0c1e.*
+ 942e: 6fc5.*
+ 942f: 0e1e.*
+ 9430: 6fc5.*
+ 9431: 0f1e.*
+ 9432: 6fc5.*
+ 9433: 0f1e.*
+ 9434: 6fc5.*
+ 9435: 0c1f.*
+ 9436: 6fc5.*
+ 9437: 0d1f.*
+ 9438: 6fc5.*
+ 9439: 0c1f.*
+ 943a: 6fc5.*
+ 943b: 0e1f.*
+ 943c: 6fc5.*
+ 943d: 0f1f.*
+ 943e: 6fc5.*
+ 943f: 0f1f.*
+ 9440: 00c5.*
+ 9441: 6fc5.*
+ 9442: 0d00.*
+ 9443: 00c5.*
+ 9444: 6fc5.*
+ 9445: 0e00.*
+ 9446: 01c5.*
+ 9447: 01c5.*
+ 9448: 6fc5.*
+ 9449: 0c01.*
+ 944a: 6fc5.*
+ 944b: 0d01.*
+ 944c: 6fc5.*
+ 944d: 0c01.*
+ 944e: 6fc5.*
+ 944f: 0e01.*
+ 9450: 6fc5.*
+ 9451: 0f01.*
+ 9452: 6fc5.*
+ 9453: 0f01.*
+ 9454: 6fc5.*
+ 9455: 0c02.*
+ 9456: 6fc5.*
+ 9457: 0d02.*
+ 9458: 6fc5.*
+ 9459: 0c02.*
+ 945a: 6fc5.*
+ 945b: 0e02.*
+ 945c: 6fc5.*
+ 945d: 0f02.*
+ 945e: 6fc5.*
+ 945f: 0f02.*
+ 9460: 6fc5.*
+ 9461: 0c03.*
+ 9462: 6fc5.*
+ 9463: 0d03.*
+ 9464: 6fc5.*
+ 9465: 0c03.*
+ 9466: 6fc5.*
+ 9467: 0e03.*
+ 9468: 6fc5.*
+ 9469: 0f03.*
+ 946a: 6fc5.*
+ 946b: 0f03.*
+ 946c: 6fc5.*
+ 946d: 0c04.*
+ 946e: 6fc5.*
+ 946f: 0d04.*
+ 9470: 6fc5.*
+ 9471: 0c04.*
+ 9472: 6fc5.*
+ 9473: 0e04.*
+ 9474: 6fc5.*
+ 9475: 0f04.*
+ 9476: 6fc5.*
+ 9477: 0f04.*
+ 9478: 6fc5.*
+ 9479: 0c05.*
+ 947a: 6fc5.*
+ 947b: 0d05.*
+ 947c: 6fc5.*
+ 947d: 0c05.*
+ 947e: 6fc5.*
+ 947f: 0e05.*
+ 9480: 6fc5.*
+ 9481: 0f05.*
+ 9482: 6fc5.*
+ 9483: 0f05.*
+ 9484: 6fc5.*
+ 9485: 0c06.*
+ 9486: 6fc5.*
+ 9487: 0d06.*
+ 9488: 6fc5.*
+ 9489: 0c06.*
+ 948a: 6fc5.*
+ 948b: 0e06.*
+ 948c: 6fc5.*
+ 948d: 0f06.*
+ 948e: 6fc5.*
+ 948f: 0f06.*
+ 9490: 6fc5.*
+ 9491: 0c07.*
+ 9492: 6fc5.*
+ 9493: 0d07.*
+ 9494: 6fc5.*
+ 9495: 0c07.*
+ 9496: 6fc5.*
+ 9497: 0e07.*
+ 9498: 6fc5.*
+ 9499: 0f07.*
+ 949a: 6fc5.*
+ 949b: 0f07.*
+ 949c: 6fc5.*
+ 949d: 0c08.*
+ 949e: 6fc5.*
+ 949f: 0d08.*
+ 94a0: 6fc5.*
+ 94a1: 0c08.*
+ 94a2: 6fc5.*
+ 94a3: 0e08.*
+ 94a4: 6fc5.*
+ 94a5: 0f08.*
+ 94a6: 6fc5.*
+ 94a7: 0f08.*
+ 94a8: 6fc5.*
+ 94a9: 0c09.*
+ 94aa: 6fc5.*
+ 94ab: 0d09.*
+ 94ac: 6fc5.*
+ 94ad: 0c09.*
+ 94ae: 6fc5.*
+ 94af: 0e09.*
+ 94b0: 6fc5.*
+ 94b1: 0f09.*
+ 94b2: 6fc5.*
+ 94b3: 0f09.*
+ 94b4: 6fc5.*
+ 94b5: 0c0a.*
+ 94b6: 6fc5.*
+ 94b7: 0d0a.*
+ 94b8: 6fc5.*
+ 94b9: 0c0a.*
+ 94ba: 6fc5.*
+ 94bb: 0e0a.*
+ 94bc: 6fc5.*
+ 94bd: 0f0a.*
+ 94be: 6fc5.*
+ 94bf: 0f0a.*
+ 94c0: 6fc5.*
+ 94c1: 0c0b.*
+ 94c2: 6fc5.*
+ 94c3: 0d0b.*
+ 94c4: 6fc5.*
+ 94c5: 0c0b.*
+ 94c6: 6fc5.*
+ 94c7: 0e0b.*
+ 94c8: 6fc5.*
+ 94c9: 0f0b.*
+ 94ca: 6fc5.*
+ 94cb: 0f0b.*
+ 94cc: 6fc5.*
+ 94cd: 0c0c.*
+ 94ce: 6fc5.*
+ 94cf: 0d0c.*
+ 94d0: 6fc5.*
+ 94d1: 0c0c.*
+ 94d2: 6fc5.*
+ 94d3: 0e0c.*
+ 94d4: 6fc5.*
+ 94d5: 0f0c.*
+ 94d6: 6fc5.*
+ 94d7: 0f0c.*
+ 94d8: 6fc5.*
+ 94d9: 0c0d.*
+ 94da: 6fc5.*
+ 94db: 0d0d.*
+ 94dc: 6fc5.*
+ 94dd: 0c0d.*
+ 94de: 6fc5.*
+ 94df: 0e0d.*
+ 94e0: 6fc5.*
+ 94e1: 0f0d.*
+ 94e2: 6fc5.*
+ 94e3: 0f0d.*
+ 94e4: 6fc5.*
+ 94e5: 0c0e.*
+ 94e6: 6fc5.*
+ 94e7: 0d0e.*
+ 94e8: 6fc5.*
+ 94e9: 0c0e.*
+ 94ea: 6fc5.*
+ 94eb: 0e0e.*
+ 94ec: 6fc5.*
+ 94ed: 0f0e.*
+ 94ee: 6fc5.*
+ 94ef: 0f0e.*
+ 94f0: 6fc5.*
+ 94f1: 0c0f.*
+ 94f2: 6fc5.*
+ 94f3: 0d0f.*
+ 94f4: 6fc5.*
+ 94f5: 0c0f.*
+ 94f6: 6fc5.*
+ 94f7: 0e0f.*
+ 94f8: 6fc5.*
+ 94f9: 0f0f.*
+ 94fa: 6fc5.*
+ 94fb: 0f0f.*
+ 94fc: 00cd.*
+ 94fd: 6fcd.*
+ 94fe: 0d00.*
+ 94ff: 00cd.*
+ 9500: 6fcd.*
+ 9501: 0e00.*
+ 9502: 01cd.*
+ 9503: 01cd.*
+ 9504: 6fcd.*
+ 9505: 0c10.*
+ 9506: 6fcd.*
+ 9507: 0d10.*
+ 9508: 6fcd.*
+ 9509: 0c10.*
+ 950a: 6fcd.*
+ 950b: 0e10.*
+ 950c: 6fcd.*
+ 950d: 0f10.*
+ 950e: 6fcd.*
+ 950f: 0f10.*
+ 9510: 6fcd.*
+ 9511: 0c11.*
+ 9512: 6fcd.*
+ 9513: 0d11.*
+ 9514: 6fcd.*
+ 9515: 0c11.*
+ 9516: 6fcd.*
+ 9517: 0e11.*
+ 9518: 6fcd.*
+ 9519: 0f11.*
+ 951a: 6fcd.*
+ 951b: 0f11.*
+ 951c: 6fcd.*
+ 951d: 0c12.*
+ 951e: 6fcd.*
+ 951f: 0d12.*
+ 9520: 6fcd.*
+ 9521: 0c12.*
+ 9522: 6fcd.*
+ 9523: 0e12.*
+ 9524: 6fcd.*
+ 9525: 0f12.*
+ 9526: 6fcd.*
+ 9527: 0f12.*
+ 9528: 6fcd.*
+ 9529: 0c13.*
+ 952a: 6fcd.*
+ 952b: 0d13.*
+ 952c: 6fcd.*
+ 952d: 0c13.*
+ 952e: 6fcd.*
+ 952f: 0e13.*
+ 9530: 6fcd.*
+ 9531: 0f13.*
+ 9532: 6fcd.*
+ 9533: 0f13.*
+ 9534: 6fcd.*
+ 9535: 0c14.*
+ 9536: 6fcd.*
+ 9537: 0d14.*
+ 9538: 6fcd.*
+ 9539: 0c14.*
+ 953a: 6fcd.*
+ 953b: 0e14.*
+ 953c: 6fcd.*
+ 953d: 0f14.*
+ 953e: 6fcd.*
+ 953f: 0f14.*
+ 9540: 6fcd.*
+ 9541: 0c15.*
+ 9542: 6fcd.*
+ 9543: 0d15.*
+ 9544: 6fcd.*
+ 9545: 0c15.*
+ 9546: 6fcd.*
+ 9547: 0e15.*
+ 9548: 6fcd.*
+ 9549: 0f15.*
+ 954a: 6fcd.*
+ 954b: 0f15.*
+ 954c: 6fcd.*
+ 954d: 0c16.*
+ 954e: 6fcd.*
+ 954f: 0d16.*
+ 9550: 6fcd.*
+ 9551: 0c16.*
+ 9552: 6fcd.*
+ 9553: 0e16.*
+ 9554: 6fcd.*
+ 9555: 0f16.*
+ 9556: 6fcd.*
+ 9557: 0f16.*
+ 9558: 6fcd.*
+ 9559: 0c17.*
+ 955a: 6fcd.*
+ 955b: 0d17.*
+ 955c: 6fcd.*
+ 955d: 0c17.*
+ 955e: 6fcd.*
+ 955f: 0e17.*
+ 9560: 6fcd.*
+ 9561: 0f17.*
+ 9562: 6fcd.*
+ 9563: 0f17.*
+ 9564: 6fcd.*
+ 9565: 0c18.*
+ 9566: 6fcd.*
+ 9567: 0d18.*
+ 9568: 6fcd.*
+ 9569: 0c18.*
+ 956a: 6fcd.*
+ 956b: 0e18.*
+ 956c: 6fcd.*
+ 956d: 0f18.*
+ 956e: 6fcd.*
+ 956f: 0f18.*
+ 9570: 6fcd.*
+ 9571: 0c19.*
+ 9572: 6fcd.*
+ 9573: 0d19.*
+ 9574: 6fcd.*
+ 9575: 0c19.*
+ 9576: 6fcd.*
+ 9577: 0e19.*
+ 9578: 6fcd.*
+ 9579: 0f19.*
+ 957a: 6fcd.*
+ 957b: 0f19.*
+ 957c: 6fcd.*
+ 957d: 0c1a.*
+ 957e: 6fcd.*
+ 957f: 0d1a.*
+ 9580: 6fcd.*
+ 9581: 0c1a.*
+ 9582: 6fcd.*
+ 9583: 0e1a.*
+ 9584: 6fcd.*
+ 9585: 0f1a.*
+ 9586: 6fcd.*
+ 9587: 0f1a.*
+ 9588: 6fcd.*
+ 9589: 0c1b.*
+ 958a: 6fcd.*
+ 958b: 0d1b.*
+ 958c: 6fcd.*
+ 958d: 0c1b.*
+ 958e: 6fcd.*
+ 958f: 0e1b.*
+ 9590: 6fcd.*
+ 9591: 0f1b.*
+ 9592: 6fcd.*
+ 9593: 0f1b.*
+ 9594: 6fcd.*
+ 9595: 0c1c.*
+ 9596: 6fcd.*
+ 9597: 0d1c.*
+ 9598: 6fcd.*
+ 9599: 0c1c.*
+ 959a: 6fcd.*
+ 959b: 0e1c.*
+ 959c: 6fcd.*
+ 959d: 0f1c.*
+ 959e: 6fcd.*
+ 959f: 0f1c.*
+ 95a0: 6fcd.*
+ 95a1: 0c1d.*
+ 95a2: 6fcd.*
+ 95a3: 0d1d.*
+ 95a4: 6fcd.*
+ 95a5: 0c1d.*
+ 95a6: 6fcd.*
+ 95a7: 0e1d.*
+ 95a8: 6fcd.*
+ 95a9: 0f1d.*
+ 95aa: 6fcd.*
+ 95ab: 0f1d.*
+ 95ac: 6fcd.*
+ 95ad: 0c1e.*
+ 95ae: 6fcd.*
+ 95af: 0d1e.*
+ 95b0: 6fcd.*
+ 95b1: 0c1e.*
+ 95b2: 6fcd.*
+ 95b3: 0e1e.*
+ 95b4: 6fcd.*
+ 95b5: 0f1e.*
+ 95b6: 6fcd.*
+ 95b7: 0f1e.*
+ 95b8: 6fcd.*
+ 95b9: 0c1f.*
+ 95ba: 6fcd.*
+ 95bb: 0d1f.*
+ 95bc: 6fcd.*
+ 95bd: 0c1f.*
+ 95be: 6fcd.*
+ 95bf: 0e1f.*
+ 95c0: 6fcd.*
+ 95c1: 0f1f.*
+ 95c2: 6fcd.*
+ 95c3: 0f1f.*
+ 95c4: 00cd.*
+ 95c5: 6fcd.*
+ 95c6: 0d00.*
+ 95c7: 00cd.*
+ 95c8: 6fcd.*
+ 95c9: 0e00.*
+ 95ca: 01cd.*
+ 95cb: 01cd.*
+ 95cc: 6fcd.*
+ 95cd: 0c01.*
+ 95ce: 6fcd.*
+ 95cf: 0d01.*
+ 95d0: 6fcd.*
+ 95d1: 0c01.*
+ 95d2: 6fcd.*
+ 95d3: 0e01.*
+ 95d4: 6fcd.*
+ 95d5: 0f01.*
+ 95d6: 6fcd.*
+ 95d7: 0f01.*
+ 95d8: 6fcd.*
+ 95d9: 0c02.*
+ 95da: 6fcd.*
+ 95db: 0d02.*
+ 95dc: 6fcd.*
+ 95dd: 0c02.*
+ 95de: 6fcd.*
+ 95df: 0e02.*
+ 95e0: 6fcd.*
+ 95e1: 0f02.*
+ 95e2: 6fcd.*
+ 95e3: 0f02.*
+ 95e4: 6fcd.*
+ 95e5: 0c03.*
+ 95e6: 6fcd.*
+ 95e7: 0d03.*
+ 95e8: 6fcd.*
+ 95e9: 0c03.*
+ 95ea: 6fcd.*
+ 95eb: 0e03.*
+ 95ec: 6fcd.*
+ 95ed: 0f03.*
+ 95ee: 6fcd.*
+ 95ef: 0f03.*
+ 95f0: 6fcd.*
+ 95f1: 0c04.*
+ 95f2: 6fcd.*
+ 95f3: 0d04.*
+ 95f4: 6fcd.*
+ 95f5: 0c04.*
+ 95f6: 6fcd.*
+ 95f7: 0e04.*
+ 95f8: 6fcd.*
+ 95f9: 0f04.*
+ 95fa: 6fcd.*
+ 95fb: 0f04.*
+ 95fc: 6fcd.*
+ 95fd: 0c05.*
+ 95fe: 6fcd.*
+ 95ff: 0d05.*
+ 9600: 6fcd.*
+ 9601: 0c05.*
+ 9602: 6fcd.*
+ 9603: 0e05.*
+ 9604: 6fcd.*
+ 9605: 0f05.*
+ 9606: 6fcd.*
+ 9607: 0f05.*
+ 9608: 6fcd.*
+ 9609: 0c06.*
+ 960a: 6fcd.*
+ 960b: 0d06.*
+ 960c: 6fcd.*
+ 960d: 0c06.*
+ 960e: 6fcd.*
+ 960f: 0e06.*
+ 9610: 6fcd.*
+ 9611: 0f06.*
+ 9612: 6fcd.*
+ 9613: 0f06.*
+ 9614: 6fcd.*
+ 9615: 0c07.*
+ 9616: 6fcd.*
+ 9617: 0d07.*
+ 9618: 6fcd.*
+ 9619: 0c07.*
+ 961a: 6fcd.*
+ 961b: 0e07.*
+ 961c: 6fcd.*
+ 961d: 0f07.*
+ 961e: 6fcd.*
+ 961f: 0f07.*
+ 9620: 6fcd.*
+ 9621: 0c08.*
+ 9622: 6fcd.*
+ 9623: 0d08.*
+ 9624: 6fcd.*
+ 9625: 0c08.*
+ 9626: 6fcd.*
+ 9627: 0e08.*
+ 9628: 6fcd.*
+ 9629: 0f08.*
+ 962a: 6fcd.*
+ 962b: 0f08.*
+ 962c: 6fcd.*
+ 962d: 0c09.*
+ 962e: 6fcd.*
+ 962f: 0d09.*
+ 9630: 6fcd.*
+ 9631: 0c09.*
+ 9632: 6fcd.*
+ 9633: 0e09.*
+ 9634: 6fcd.*
+ 9635: 0f09.*
+ 9636: 6fcd.*
+ 9637: 0f09.*
+ 9638: 6fcd.*
+ 9639: 0c0a.*
+ 963a: 6fcd.*
+ 963b: 0d0a.*
+ 963c: 6fcd.*
+ 963d: 0c0a.*
+ 963e: 6fcd.*
+ 963f: 0e0a.*
+ 9640: 6fcd.*
+ 9641: 0f0a.*
+ 9642: 6fcd.*
+ 9643: 0f0a.*
+ 9644: 6fcd.*
+ 9645: 0c0b.*
+ 9646: 6fcd.*
+ 9647: 0d0b.*
+ 9648: 6fcd.*
+ 9649: 0c0b.*
+ 964a: 6fcd.*
+ 964b: 0e0b.*
+ 964c: 6fcd.*
+ 964d: 0f0b.*
+ 964e: 6fcd.*
+ 964f: 0f0b.*
+ 9650: 6fcd.*
+ 9651: 0c0c.*
+ 9652: 6fcd.*
+ 9653: 0d0c.*
+ 9654: 6fcd.*
+ 9655: 0c0c.*
+ 9656: 6fcd.*
+ 9657: 0e0c.*
+ 9658: 6fcd.*
+ 9659: 0f0c.*
+ 965a: 6fcd.*
+ 965b: 0f0c.*
+ 965c: 6fcd.*
+ 965d: 0c0d.*
+ 965e: 6fcd.*
+ 965f: 0d0d.*
+ 9660: 6fcd.*
+ 9661: 0c0d.*
+ 9662: 6fcd.*
+ 9663: 0e0d.*
+ 9664: 6fcd.*
+ 9665: 0f0d.*
+ 9666: 6fcd.*
+ 9667: 0f0d.*
+ 9668: 6fcd.*
+ 9669: 0c0e.*
+ 966a: 6fcd.*
+ 966b: 0d0e.*
+ 966c: 6fcd.*
+ 966d: 0c0e.*
+ 966e: 6fcd.*
+ 966f: 0e0e.*
+ 9670: 6fcd.*
+ 9671: 0f0e.*
+ 9672: 6fcd.*
+ 9673: 0f0e.*
+ 9674: 6fcd.*
+ 9675: 0c0f.*
+ 9676: 6fcd.*
+ 9677: 0d0f.*
+ 9678: 6fcd.*
+ 9679: 0c0f.*
+ 967a: 6fcd.*
+ 967b: 0e0f.*
+ 967c: 6fcd.*
+ 967d: 0f0f.*
+ 967e: 6fcd.*
+ 967f: 0f0f.*
+ 9680: 00d5.*
+ 9681: 6fd5.*
+ 9682: 0d00.*
+ 9683: 00d5.*
+ 9684: 6fd5.*
+ 9685: 0e00.*
+ 9686: 01d5.*
+ 9687: 01d5.*
+ 9688: 6fd5.*
+ 9689: 0c10.*
+ 968a: 6fd5.*
+ 968b: 0d10.*
+ 968c: 6fd5.*
+ 968d: 0c10.*
+ 968e: 6fd5.*
+ 968f: 0e10.*
+ 9690: 6fd5.*
+ 9691: 0f10.*
+ 9692: 6fd5.*
+ 9693: 0f10.*
+ 9694: 6fd5.*
+ 9695: 0c11.*
+ 9696: 6fd5.*
+ 9697: 0d11.*
+ 9698: 6fd5.*
+ 9699: 0c11.*
+ 969a: 6fd5.*
+ 969b: 0e11.*
+ 969c: 6fd5.*
+ 969d: 0f11.*
+ 969e: 6fd5.*
+ 969f: 0f11.*
+ 96a0: 6fd5.*
+ 96a1: 0c12.*
+ 96a2: 6fd5.*
+ 96a3: 0d12.*
+ 96a4: 6fd5.*
+ 96a5: 0c12.*
+ 96a6: 6fd5.*
+ 96a7: 0e12.*
+ 96a8: 6fd5.*
+ 96a9: 0f12.*
+ 96aa: 6fd5.*
+ 96ab: 0f12.*
+ 96ac: 6fd5.*
+ 96ad: 0c13.*
+ 96ae: 6fd5.*
+ 96af: 0d13.*
+ 96b0: 6fd5.*
+ 96b1: 0c13.*
+ 96b2: 6fd5.*
+ 96b3: 0e13.*
+ 96b4: 6fd5.*
+ 96b5: 0f13.*
+ 96b6: 6fd5.*
+ 96b7: 0f13.*
+ 96b8: 6fd5.*
+ 96b9: 0c14.*
+ 96ba: 6fd5.*
+ 96bb: 0d14.*
+ 96bc: 6fd5.*
+ 96bd: 0c14.*
+ 96be: 6fd5.*
+ 96bf: 0e14.*
+ 96c0: 6fd5.*
+ 96c1: 0f14.*
+ 96c2: 6fd5.*
+ 96c3: 0f14.*
+ 96c4: 6fd5.*
+ 96c5: 0c15.*
+ 96c6: 6fd5.*
+ 96c7: 0d15.*
+ 96c8: 6fd5.*
+ 96c9: 0c15.*
+ 96ca: 6fd5.*
+ 96cb: 0e15.*
+ 96cc: 6fd5.*
+ 96cd: 0f15.*
+ 96ce: 6fd5.*
+ 96cf: 0f15.*
+ 96d0: 6fd5.*
+ 96d1: 0c16.*
+ 96d2: 6fd5.*
+ 96d3: 0d16.*
+ 96d4: 6fd5.*
+ 96d5: 0c16.*
+ 96d6: 6fd5.*
+ 96d7: 0e16.*
+ 96d8: 6fd5.*
+ 96d9: 0f16.*
+ 96da: 6fd5.*
+ 96db: 0f16.*
+ 96dc: 6fd5.*
+ 96dd: 0c17.*
+ 96de: 6fd5.*
+ 96df: 0d17.*
+ 96e0: 6fd5.*
+ 96e1: 0c17.*
+ 96e2: 6fd5.*
+ 96e3: 0e17.*
+ 96e4: 6fd5.*
+ 96e5: 0f17.*
+ 96e6: 6fd5.*
+ 96e7: 0f17.*
+ 96e8: 6fd5.*
+ 96e9: 0c18.*
+ 96ea: 6fd5.*
+ 96eb: 0d18.*
+ 96ec: 6fd5.*
+ 96ed: 0c18.*
+ 96ee: 6fd5.*
+ 96ef: 0e18.*
+ 96f0: 6fd5.*
+ 96f1: 0f18.*
+ 96f2: 6fd5.*
+ 96f3: 0f18.*
+ 96f4: 6fd5.*
+ 96f5: 0c19.*
+ 96f6: 6fd5.*
+ 96f7: 0d19.*
+ 96f8: 6fd5.*
+ 96f9: 0c19.*
+ 96fa: 6fd5.*
+ 96fb: 0e19.*
+ 96fc: 6fd5.*
+ 96fd: 0f19.*
+ 96fe: 6fd5.*
+ 96ff: 0f19.*
+ 9700: 6fd5.*
+ 9701: 0c1a.*
+ 9702: 6fd5.*
+ 9703: 0d1a.*
+ 9704: 6fd5.*
+ 9705: 0c1a.*
+ 9706: 6fd5.*
+ 9707: 0e1a.*
+ 9708: 6fd5.*
+ 9709: 0f1a.*
+ 970a: 6fd5.*
+ 970b: 0f1a.*
+ 970c: 6fd5.*
+ 970d: 0c1b.*
+ 970e: 6fd5.*
+ 970f: 0d1b.*
+ 9710: 6fd5.*
+ 9711: 0c1b.*
+ 9712: 6fd5.*
+ 9713: 0e1b.*
+ 9714: 6fd5.*
+ 9715: 0f1b.*
+ 9716: 6fd5.*
+ 9717: 0f1b.*
+ 9718: 6fd5.*
+ 9719: 0c1c.*
+ 971a: 6fd5.*
+ 971b: 0d1c.*
+ 971c: 6fd5.*
+ 971d: 0c1c.*
+ 971e: 6fd5.*
+ 971f: 0e1c.*
+ 9720: 6fd5.*
+ 9721: 0f1c.*
+ 9722: 6fd5.*
+ 9723: 0f1c.*
+ 9724: 6fd5.*
+ 9725: 0c1d.*
+ 9726: 6fd5.*
+ 9727: 0d1d.*
+ 9728: 6fd5.*
+ 9729: 0c1d.*
+ 972a: 6fd5.*
+ 972b: 0e1d.*
+ 972c: 6fd5.*
+ 972d: 0f1d.*
+ 972e: 6fd5.*
+ 972f: 0f1d.*
+ 9730: 6fd5.*
+ 9731: 0c1e.*
+ 9732: 6fd5.*
+ 9733: 0d1e.*
+ 9734: 6fd5.*
+ 9735: 0c1e.*
+ 9736: 6fd5.*
+ 9737: 0e1e.*
+ 9738: 6fd5.*
+ 9739: 0f1e.*
+ 973a: 6fd5.*
+ 973b: 0f1e.*
+ 973c: 6fd5.*
+ 973d: 0c1f.*
+ 973e: 6fd5.*
+ 973f: 0d1f.*
+ 9740: 6fd5.*
+ 9741: 0c1f.*
+ 9742: 6fd5.*
+ 9743: 0e1f.*
+ 9744: 6fd5.*
+ 9745: 0f1f.*
+ 9746: 6fd5.*
+ 9747: 0f1f.*
+ 9748: 00d5.*
+ 9749: 6fd5.*
+ 974a: 0d00.*
+ 974b: 00d5.*
+ 974c: 6fd5.*
+ 974d: 0e00.*
+ 974e: 01d5.*
+ 974f: 01d5.*
+ 9750: 6fd5.*
+ 9751: 0c01.*
+ 9752: 6fd5.*
+ 9753: 0d01.*
+ 9754: 6fd5.*
+ 9755: 0c01.*
+ 9756: 6fd5.*
+ 9757: 0e01.*
+ 9758: 6fd5.*
+ 9759: 0f01.*
+ 975a: 6fd5.*
+ 975b: 0f01.*
+ 975c: 6fd5.*
+ 975d: 0c02.*
+ 975e: 6fd5.*
+ 975f: 0d02.*
+ 9760: 6fd5.*
+ 9761: 0c02.*
+ 9762: 6fd5.*
+ 9763: 0e02.*
+ 9764: 6fd5.*
+ 9765: 0f02.*
+ 9766: 6fd5.*
+ 9767: 0f02.*
+ 9768: 6fd5.*
+ 9769: 0c03.*
+ 976a: 6fd5.*
+ 976b: 0d03.*
+ 976c: 6fd5.*
+ 976d: 0c03.*
+ 976e: 6fd5.*
+ 976f: 0e03.*
+ 9770: 6fd5.*
+ 9771: 0f03.*
+ 9772: 6fd5.*
+ 9773: 0f03.*
+ 9774: 6fd5.*
+ 9775: 0c04.*
+ 9776: 6fd5.*
+ 9777: 0d04.*
+ 9778: 6fd5.*
+ 9779: 0c04.*
+ 977a: 6fd5.*
+ 977b: 0e04.*
+ 977c: 6fd5.*
+ 977d: 0f04.*
+ 977e: 6fd5.*
+ 977f: 0f04.*
+ 9780: 6fd5.*
+ 9781: 0c05.*
+ 9782: 6fd5.*
+ 9783: 0d05.*
+ 9784: 6fd5.*
+ 9785: 0c05.*
+ 9786: 6fd5.*
+ 9787: 0e05.*
+ 9788: 6fd5.*
+ 9789: 0f05.*
+ 978a: 6fd5.*
+ 978b: 0f05.*
+ 978c: 6fd5.*
+ 978d: 0c06.*
+ 978e: 6fd5.*
+ 978f: 0d06.*
+ 9790: 6fd5.*
+ 9791: 0c06.*
+ 9792: 6fd5.*
+ 9793: 0e06.*
+ 9794: 6fd5.*
+ 9795: 0f06.*
+ 9796: 6fd5.*
+ 9797: 0f06.*
+ 9798: 6fd5.*
+ 9799: 0c07.*
+ 979a: 6fd5.*
+ 979b: 0d07.*
+ 979c: 6fd5.*
+ 979d: 0c07.*
+ 979e: 6fd5.*
+ 979f: 0e07.*
+ 97a0: 6fd5.*
+ 97a1: 0f07.*
+ 97a2: 6fd5.*
+ 97a3: 0f07.*
+ 97a4: 6fd5.*
+ 97a5: 0c08.*
+ 97a6: 6fd5.*
+ 97a7: 0d08.*
+ 97a8: 6fd5.*
+ 97a9: 0c08.*
+ 97aa: 6fd5.*
+ 97ab: 0e08.*
+ 97ac: 6fd5.*
+ 97ad: 0f08.*
+ 97ae: 6fd5.*
+ 97af: 0f08.*
+ 97b0: 6fd5.*
+ 97b1: 0c09.*
+ 97b2: 6fd5.*
+ 97b3: 0d09.*
+ 97b4: 6fd5.*
+ 97b5: 0c09.*
+ 97b6: 6fd5.*
+ 97b7: 0e09.*
+ 97b8: 6fd5.*
+ 97b9: 0f09.*
+ 97ba: 6fd5.*
+ 97bb: 0f09.*
+ 97bc: 6fd5.*
+ 97bd: 0c0a.*
+ 97be: 6fd5.*
+ 97bf: 0d0a.*
+ 97c0: 6fd5.*
+ 97c1: 0c0a.*
+ 97c2: 6fd5.*
+ 97c3: 0e0a.*
+ 97c4: 6fd5.*
+ 97c5: 0f0a.*
+ 97c6: 6fd5.*
+ 97c7: 0f0a.*
+ 97c8: 6fd5.*
+ 97c9: 0c0b.*
+ 97ca: 6fd5.*
+ 97cb: 0d0b.*
+ 97cc: 6fd5.*
+ 97cd: 0c0b.*
+ 97ce: 6fd5.*
+ 97cf: 0e0b.*
+ 97d0: 6fd5.*
+ 97d1: 0f0b.*
+ 97d2: 6fd5.*
+ 97d3: 0f0b.*
+ 97d4: 6fd5.*
+ 97d5: 0c0c.*
+ 97d6: 6fd5.*
+ 97d7: 0d0c.*
+ 97d8: 6fd5.*
+ 97d9: 0c0c.*
+ 97da: 6fd5.*
+ 97db: 0e0c.*
+ 97dc: 6fd5.*
+ 97dd: 0f0c.*
+ 97de: 6fd5.*
+ 97df: 0f0c.*
+ 97e0: 6fd5.*
+ 97e1: 0c0d.*
+ 97e2: 6fd5.*
+ 97e3: 0d0d.*
+ 97e4: 6fd5.*
+ 97e5: 0c0d.*
+ 97e6: 6fd5.*
+ 97e7: 0e0d.*
+ 97e8: 6fd5.*
+ 97e9: 0f0d.*
+ 97ea: 6fd5.*
+ 97eb: 0f0d.*
+ 97ec: 6fd5.*
+ 97ed: 0c0e.*
+ 97ee: 6fd5.*
+ 97ef: 0d0e.*
+ 97f0: 6fd5.*
+ 97f1: 0c0e.*
+ 97f2: 6fd5.*
+ 97f3: 0e0e.*
+ 97f4: 6fd5.*
+ 97f5: 0f0e.*
+ 97f6: 6fd5.*
+ 97f7: 0f0e.*
+ 97f8: 6fd5.*
+ 97f9: 0c0f.*
+ 97fa: 6fd5.*
+ 97fb: 0d0f.*
+ 97fc: 6fd5.*
+ 97fd: 0c0f.*
+ 97fe: 6fd5.*
+ 97ff: 0e0f.*
+ 9800: 6fd5.*
+ 9801: 0f0f.*
+ 9802: 6fd5.*
+ 9803: 0f0f.*
+ 9804: 00dd.*
+ 9805: 6fdd.*
+ 9806: 0d00.*
+ 9807: 00dd.*
+ 9808: 6fdd.*
+ 9809: 0e00.*
+ 980a: 01dd.*
+ 980b: 01dd.*
+ 980c: 6fdd.*
+ 980d: 0c10.*
+ 980e: 6fdd.*
+ 980f: 0d10.*
+ 9810: 6fdd.*
+ 9811: 0c10.*
+ 9812: 6fdd.*
+ 9813: 0e10.*
+ 9814: 6fdd.*
+ 9815: 0f10.*
+ 9816: 6fdd.*
+ 9817: 0f10.*
+ 9818: 6fdd.*
+ 9819: 0c11.*
+ 981a: 6fdd.*
+ 981b: 0d11.*
+ 981c: 6fdd.*
+ 981d: 0c11.*
+ 981e: 6fdd.*
+ 981f: 0e11.*
+ 9820: 6fdd.*
+ 9821: 0f11.*
+ 9822: 6fdd.*
+ 9823: 0f11.*
+ 9824: 6fdd.*
+ 9825: 0c12.*
+ 9826: 6fdd.*
+ 9827: 0d12.*
+ 9828: 6fdd.*
+ 9829: 0c12.*
+ 982a: 6fdd.*
+ 982b: 0e12.*
+ 982c: 6fdd.*
+ 982d: 0f12.*
+ 982e: 6fdd.*
+ 982f: 0f12.*
+ 9830: 6fdd.*
+ 9831: 0c13.*
+ 9832: 6fdd.*
+ 9833: 0d13.*
+ 9834: 6fdd.*
+ 9835: 0c13.*
+ 9836: 6fdd.*
+ 9837: 0e13.*
+ 9838: 6fdd.*
+ 9839: 0f13.*
+ 983a: 6fdd.*
+ 983b: 0f13.*
+ 983c: 6fdd.*
+ 983d: 0c14.*
+ 983e: 6fdd.*
+ 983f: 0d14.*
+ 9840: 6fdd.*
+ 9841: 0c14.*
+ 9842: 6fdd.*
+ 9843: 0e14.*
+ 9844: 6fdd.*
+ 9845: 0f14.*
+ 9846: 6fdd.*
+ 9847: 0f14.*
+ 9848: 6fdd.*
+ 9849: 0c15.*
+ 984a: 6fdd.*
+ 984b: 0d15.*
+ 984c: 6fdd.*
+ 984d: 0c15.*
+ 984e: 6fdd.*
+ 984f: 0e15.*
+ 9850: 6fdd.*
+ 9851: 0f15.*
+ 9852: 6fdd.*
+ 9853: 0f15.*
+ 9854: 6fdd.*
+ 9855: 0c16.*
+ 9856: 6fdd.*
+ 9857: 0d16.*
+ 9858: 6fdd.*
+ 9859: 0c16.*
+ 985a: 6fdd.*
+ 985b: 0e16.*
+ 985c: 6fdd.*
+ 985d: 0f16.*
+ 985e: 6fdd.*
+ 985f: 0f16.*
+ 9860: 6fdd.*
+ 9861: 0c17.*
+ 9862: 6fdd.*
+ 9863: 0d17.*
+ 9864: 6fdd.*
+ 9865: 0c17.*
+ 9866: 6fdd.*
+ 9867: 0e17.*
+ 9868: 6fdd.*
+ 9869: 0f17.*
+ 986a: 6fdd.*
+ 986b: 0f17.*
+ 986c: 6fdd.*
+ 986d: 0c18.*
+ 986e: 6fdd.*
+ 986f: 0d18.*
+ 9870: 6fdd.*
+ 9871: 0c18.*
+ 9872: 6fdd.*
+ 9873: 0e18.*
+ 9874: 6fdd.*
+ 9875: 0f18.*
+ 9876: 6fdd.*
+ 9877: 0f18.*
+ 9878: 6fdd.*
+ 9879: 0c19.*
+ 987a: 6fdd.*
+ 987b: 0d19.*
+ 987c: 6fdd.*
+ 987d: 0c19.*
+ 987e: 6fdd.*
+ 987f: 0e19.*
+ 9880: 6fdd.*
+ 9881: 0f19.*
+ 9882: 6fdd.*
+ 9883: 0f19.*
+ 9884: 6fdd.*
+ 9885: 0c1a.*
+ 9886: 6fdd.*
+ 9887: 0d1a.*
+ 9888: 6fdd.*
+ 9889: 0c1a.*
+ 988a: 6fdd.*
+ 988b: 0e1a.*
+ 988c: 6fdd.*
+ 988d: 0f1a.*
+ 988e: 6fdd.*
+ 988f: 0f1a.*
+ 9890: 6fdd.*
+ 9891: 0c1b.*
+ 9892: 6fdd.*
+ 9893: 0d1b.*
+ 9894: 6fdd.*
+ 9895: 0c1b.*
+ 9896: 6fdd.*
+ 9897: 0e1b.*
+ 9898: 6fdd.*
+ 9899: 0f1b.*
+ 989a: 6fdd.*
+ 989b: 0f1b.*
+ 989c: 6fdd.*
+ 989d: 0c1c.*
+ 989e: 6fdd.*
+ 989f: 0d1c.*
+ 98a0: 6fdd.*
+ 98a1: 0c1c.*
+ 98a2: 6fdd.*
+ 98a3: 0e1c.*
+ 98a4: 6fdd.*
+ 98a5: 0f1c.*
+ 98a6: 6fdd.*
+ 98a7: 0f1c.*
+ 98a8: 6fdd.*
+ 98a9: 0c1d.*
+ 98aa: 6fdd.*
+ 98ab: 0d1d.*
+ 98ac: 6fdd.*
+ 98ad: 0c1d.*
+ 98ae: 6fdd.*
+ 98af: 0e1d.*
+ 98b0: 6fdd.*
+ 98b1: 0f1d.*
+ 98b2: 6fdd.*
+ 98b3: 0f1d.*
+ 98b4: 6fdd.*
+ 98b5: 0c1e.*
+ 98b6: 6fdd.*
+ 98b7: 0d1e.*
+ 98b8: 6fdd.*
+ 98b9: 0c1e.*
+ 98ba: 6fdd.*
+ 98bb: 0e1e.*
+ 98bc: 6fdd.*
+ 98bd: 0f1e.*
+ 98be: 6fdd.*
+ 98bf: 0f1e.*
+ 98c0: 6fdd.*
+ 98c1: 0c1f.*
+ 98c2: 6fdd.*
+ 98c3: 0d1f.*
+ 98c4: 6fdd.*
+ 98c5: 0c1f.*
+ 98c6: 6fdd.*
+ 98c7: 0e1f.*
+ 98c8: 6fdd.*
+ 98c9: 0f1f.*
+ 98ca: 6fdd.*
+ 98cb: 0f1f.*
+ 98cc: 00dd.*
+ 98cd: 6fdd.*
+ 98ce: 0d00.*
+ 98cf: 00dd.*
+ 98d0: 6fdd.*
+ 98d1: 0e00.*
+ 98d2: 01dd.*
+ 98d3: 01dd.*
+ 98d4: 90f1.*
+ 98d5: 6fdd.*
+ 98d6: 0d01.*
+ 98d7: 90f1.*
+ 98d8: 6fdd.*
+ 98d9: 0e01.*
+ 98da: 91f1.*
+ 98db: 91f1.*
+ 98dc: 90f2.*
+ 98dd: 6fdd.*
+ 98de: 0d02.*
+ 98df: 90f2.*
+ 98e0: 6fdd.*
+ 98e1: 0e02.*
+ 98e2: 91f2.*
+ 98e3: 91f2.*
+ 98e4: 90f3.*
+ 98e5: 6fdd.*
+ 98e6: 0d03.*
+ 98e7: 90f3.*
+ 98e8: 6fdd.*
+ 98e9: 0e03.*
+ 98ea: 91f3.*
+ 98eb: 91f3.*
+ 98ec: 90f4.*
+ 98ed: 6fdd.*
+ 98ee: 0d04.*
+ 98ef: 90f4.*
+ 98f0: 6fdd.*
+ 98f1: 0e04.*
+ 98f2: 91f4.*
+ 98f3: 91f4.*
+ 98f4: 90f5.*
+ 98f5: 6fdd.*
+ 98f6: 0d05.*
+ 98f7: 90f5.*
+ 98f8: 6fdd.*
+ 98f9: 0e05.*
+ 98fa: 91f5.*
+ 98fb: 91f5.*
+ 98fc: 90f6.*
+ 98fd: 6fdd.*
+ 98fe: 0d06.*
+ 98ff: 90f6.*
+ 9900: 6fdd.*
+ 9901: 0e06.*
+ 9902: 91f6.*
+ 9903: 91f6.*
+ 9904: 90f7.*
+ 9905: 6fdd.*
+ 9906: 0d07.*
+ 9907: 90f7.*
+ 9908: 6fdd.*
+ 9909: 0e07.*
+ 990a: 91f7.*
+ 990b: 91f7.*
+ 990c: 90f8.*
+ 990d: 6fdd.*
+ 990e: 0d08.*
+ 990f: 90f8.*
+ 9910: 6fdd.*
+ 9911: 0e08.*
+ 9912: 91f8.*
+ 9913: 91f8.*
+ 9914: 90f9.*
+ 9915: 6fdd.*
+ 9916: 0d09.*
+ 9917: 90f9.*
+ 9918: 6fdd.*
+ 9919: 0e09.*
+ 991a: 91f9.*
+ 991b: 91f9.*
+ 991c: 90fa.*
+ 991d: 6fdd.*
+ 991e: 0d0a.*
+ 991f: 90fa.*
+ 9920: 6fdd.*
+ 9921: 0e0a.*
+ 9922: 91fa.*
+ 9923: 91fa.*
+ 9924: 90fb.*
+ 9925: 6fdd.*
+ 9926: 0d0b.*
+ 9927: 90fb.*
+ 9928: 6fdd.*
+ 9929: 0e0b.*
+ 992a: 91fb.*
+ 992b: 91fb.*
+ 992c: 90fc.*
+ 992d: 6fdd.*
+ 992e: 0d0c.*
+ 992f: 90fc.*
+ 9930: 6fdd.*
+ 9931: 0e0c.*
+ 9932: 91fc.*
+ 9933: 91fc.*
+ 9934: 90fd.*
+ 9935: 6fdd.*
+ 9936: 0d0d.*
+ 9937: 90fd.*
+ 9938: 6fdd.*
+ 9939: 0e0d.*
+ 993a: 91fd.*
+ 993b: 91fd.*
+ 993c: 90fe.*
+ 993d: 6fdd.*
+ 993e: 0d0e.*
+ 993f: 90fe.*
+ 9940: 6fdd.*
+ 9941: 0e0e.*
+ 9942: 91fe.*
+ 9943: 91fe.*
+ 9944: 90ff.*
+ 9945: 6fdd.*
+ 9946: 0d0f.*
+ 9947: 90ff.*
+ 9948: 6fdd.*
+ 9949: 0e0f.*
+ 994a: 91ff.*
+ 994b: 91ff.*
+ 994c: 00e5.*
+ 994d: 11bd.*
+ 994e: 6fe5.*
+ 994f: 11be.*
+ 9950: 0d00.*
+ 9951: 00e5.*
+ 9952: 11bf.*
+ 9953: 6fe5.*
+ 9954: 11c0.*
+ 9955: 0e00.*
+ 9956: 01e5.*
+ 9957: 11c1.*
+ 9958: 01e5.*
+ 9959: 11c2.*
+ 995a: 6fe5.*
+ 995b: 11c3.*
+ 995c: 0c10.*
+ 995d: 6fe5.*
+ 995e: 11c4.*
+ 995f: 0d10.*
+ 9960: 6fe5.*
+ 9961: 11c5.*
+ 9962: 0c10.*
+ 9963: 6fe5.*
+ 9964: 11c6.*
+ 9965: 0e10.*
+ 9966: 6fe5.*
+ 9967: 11c7.*
+ 9968: 0f10.*
+ 9969: 6fe5.*
+ 996a: 11c8.*
+ 996b: 0f10.*
+ 996c: 6fe5.*
+ 996d: 11c9.*
+ 996e: 0c11.*
+ 996f: 6fe5.*
+ 9970: 11ca.*
+ 9971: 0d11.*
+ 9972: 6fe5.*
+ 9973: 11cb.*
+ 9974: 0c11.*
+ 9975: 6fe5.*
+ 9976: 11cc.*
+ 9977: 0e11.*
+ 9978: 6fe5.*
+ 9979: 11cd.*
+ 997a: 0f11.*
+ 997b: 6fe5.*
+ 997c: 11ce.*
+ 997d: 0f11.*
+ 997e: 6fe5.*
+ 997f: 11cf.*
+ 9980: 0c12.*
+ 9981: 6fe5.*
+ 9982: 11d0.*
+ 9983: 0d12.*
+ 9984: 6fe5.*
+ 9985: 11d1.*
+ 9986: 0c12.*
+ 9987: 6fe5.*
+ 9988: 11d2.*
+ 9989: 0e12.*
+ 998a: 6fe5.*
+ 998b: 11d3.*
+ 998c: 0f12.*
+ 998d: 6fe5.*
+ 998e: 11d4.*
+ 998f: 0f12.*
+ 9990: 6fe5.*
+ 9991: 11d5.*
+ 9992: 0c13.*
+ 9993: 6fe5.*
+ 9994: 11d6.*
+ 9995: 0d13.*
+ 9996: 6fe5.*
+ 9997: 11d7.*
+ 9998: 0c13.*
+ 9999: 6fe5.*
+ 999a: 11d8.*
+ 999b: 0e13.*
+ 999c: 6fe5.*
+ 999d: 11d9.*
+ 999e: 0f13.*
+ 999f: 6fe5.*
+ 99a0: 11da.*
+ 99a1: 0f13.*
+ 99a2: 6fe5.*
+ 99a3: 11db.*
+ 99a4: 0c14.*
+ 99a5: 6fe5.*
+ 99a6: 11dc.*
+ 99a7: 0d14.*
+ 99a8: 6fe5.*
+ 99a9: 11dd.*
+ 99aa: 0c14.*
+ 99ab: 6fe5.*
+ 99ac: 11de.*
+ 99ad: 0e14.*
+ 99ae: 6fe5.*
+ 99af: 11df.*
+ 99b0: 0f14.*
+ 99b1: 6fe5.*
+ 99b2: 11e0.*
+ 99b3: 0f14.*
+ 99b4: 6fe5.*
+ 99b5: 11e1.*
+ 99b6: 0c15.*
+ 99b7: 6fe5.*
+ 99b8: 11e2.*
+ 99b9: 0d15.*
+ 99ba: 6fe5.*
+ 99bb: 11e3.*
+ 99bc: 0c15.*
+ 99bd: 6fe5.*
+ 99be: 11e4.*
+ 99bf: 0e15.*
+ 99c0: 6fe5.*
+ 99c1: 11e5.*
+ 99c2: 0f15.*
+ 99c3: 6fe5.*
+ 99c4: 11e6.*
+ 99c5: 0f15.*
+ 99c6: 6fe5.*
+ 99c7: 11e7.*
+ 99c8: 0c16.*
+ 99c9: 6fe5.*
+ 99ca: 11e8.*
+ 99cb: 0d16.*
+ 99cc: 6fe5.*
+ 99cd: 11e9.*
+ 99ce: 0c16.*
+ 99cf: 6fe5.*
+ 99d0: 11ea.*
+ 99d1: 0e16.*
+ 99d2: 6fe5.*
+ 99d3: 11eb.*
+ 99d4: 0f16.*
+ 99d5: 6fe5.*
+ 99d6: 11ec.*
+ 99d7: 0f16.*
+ 99d8: 6fe5.*
+ 99d9: 11ed.*
+ 99da: 0c17.*
+ 99db: 6fe5.*
+ 99dc: 11ee.*
+ 99dd: 0d17.*
+ 99de: 6fe5.*
+ 99df: 11ef.*
+ 99e0: 0c17.*
+ 99e1: 6fe5.*
+ 99e2: 11f0.*
+ 99e3: 0e17.*
+ 99e4: 6fe5.*
+ 99e5: 11f1.*
+ 99e6: 0f17.*
+ 99e7: 6fe5.*
+ 99e8: 11f2.*
+ 99e9: 0f17.*
+ 99ea: 6fe5.*
+ 99eb: 11f3.*
+ 99ec: 0c18.*
+ 99ed: 6fe5.*
+ 99ee: 11f4.*
+ 99ef: 0d18.*
+ 99f0: 6fe5.*
+ 99f1: 11f5.*
+ 99f2: 0c18.*
+ 99f3: 6fe5.*
+ 99f4: 11f6.*
+ 99f5: 0e18.*
+ 99f6: 6fe5.*
+ 99f7: 11f7.*
+ 99f8: 0f18.*
+ 99f9: 6fe5.*
+ 99fa: 11f8.*
+ 99fb: 0f18.*
+ 99fc: 6fe5.*
+ 99fd: 11f9.*
+ 99fe: 0c19.*
+ 99ff: 6fe5.*
+ 9a00: 11fa.*
+ 9a01: 0d19.*
+ 9a02: 6fe5.*
+ 9a03: 11fb.*
+ 9a04: 0c19.*
+ 9a05: 6fe5.*
+ 9a06: 11fc.*
+ 9a07: 0e19.*
+ 9a08: 6fe5.*
+ 9a09: 11fd.*
+ 9a0a: 0f19.*
+ 9a0b: 6fe5.*
+ 9a0c: 11fe.*
+ 9a0d: 0f19.*
+ 9a0e: 6fe5.*
+ 9a0f: 11ff.*
+ 9a10: 0c1a.*
+ 9a11: 6fe5.*
+ 9a12: 1200.*
+ 9a13: 0d1a.*
+ 9a14: 6fe5.*
+ 9a15: 1201.*
+ 9a16: 0c1a.*
+ 9a17: 6fe5.*
+ 9a18: 1202.*
+ 9a19: 0e1a.*
+ 9a1a: 6fe5.*
+ 9a1b: 1203.*
+ 9a1c: 0f1a.*
+ 9a1d: 6fe5.*
+ 9a1e: 1204.*
+ 9a1f: 0f1a.*
+ 9a20: 6fe5.*
+ 9a21: 1205.*
+ 9a22: 0c1b.*
+ 9a23: 6fe5.*
+ 9a24: 1206.*
+ 9a25: 0d1b.*
+ 9a26: 6fe5.*
+ 9a27: 1207.*
+ 9a28: 0c1b.*
+ 9a29: 6fe5.*
+ 9a2a: 1208.*
+ 9a2b: 0e1b.*
+ 9a2c: 6fe5.*
+ 9a2d: 1209.*
+ 9a2e: 0f1b.*
+ 9a2f: 6fe5.*
+ 9a30: 120a.*
+ 9a31: 0f1b.*
+ 9a32: 6fe5.*
+ 9a33: 120b.*
+ 9a34: 0c1c.*
+ 9a35: 6fe5.*
+ 9a36: 120c.*
+ 9a37: 0d1c.*
+ 9a38: 6fe5.*
+ 9a39: 120d.*
+ 9a3a: 0c1c.*
+ 9a3b: 6fe5.*
+ 9a3c: 120e.*
+ 9a3d: 0e1c.*
+ 9a3e: 6fe5.*
+ 9a3f: 120f.*
+ 9a40: 0f1c.*
+ 9a41: 6fe5.*
+ 9a42: 1210.*
+ 9a43: 0f1c.*
+ 9a44: 6fe5.*
+ 9a45: 1211.*
+ 9a46: 0c1d.*
+ 9a47: 6fe5.*
+ 9a48: 1212.*
+ 9a49: 0d1d.*
+ 9a4a: 6fe5.*
+ 9a4b: 1213.*
+ 9a4c: 0c1d.*
+ 9a4d: 6fe5.*
+ 9a4e: 1214.*
+ 9a4f: 0e1d.*
+ 9a50: 6fe5.*
+ 9a51: 1215.*
+ 9a52: 0f1d.*
+ 9a53: 6fe5.*
+ 9a54: 1216.*
+ 9a55: 0f1d.*
+ 9a56: 6fe5.*
+ 9a57: 1217.*
+ 9a58: 0c1e.*
+ 9a59: 6fe5.*
+ 9a5a: 1218.*
+ 9a5b: 0d1e.*
+ 9a5c: 6fe5.*
+ 9a5d: 1219.*
+ 9a5e: 0c1e.*
+ 9a5f: 6fe5.*
+ 9a60: 121a.*
+ 9a61: 0e1e.*
+ 9a62: 6fe5.*
+ 9a63: 121b.*
+ 9a64: 0f1e.*
+ 9a65: 6fe5.*
+ 9a66: 121c.*
+ 9a67: 0f1e.*
+ 9a68: 6fe5.*
+ 9a69: 121d.*
+ 9a6a: 0c1f.*
+ 9a6b: 6fe5.*
+ 9a6c: 121e.*
+ 9a6d: 0d1f.*
+ 9a6e: 6fe5.*
+ 9a6f: 121f.*
+ 9a70: 0c1f.*
+ 9a71: 6fe5.*
+ 9a72: 1220.*
+ 9a73: 0e1f.*
+ 9a74: 6fe5.*
+ 9a75: 1221.*
+ 9a76: 0f1f.*
+ 9a77: 6fe5.*
+ 9a78: 1222.*
+ 9a79: 0f1f.*
+ 9a7a: 00e5.*
+ 9a7b: 1223.*
+ 9a7c: 6fe5.*
+ 9a7d: 1224.*
+ 9a7e: 0d00.*
+ 9a7f: 00e5.*
+ 9a80: 1225.*
+ 9a81: 6fe5.*
+ 9a82: 1226.*
+ 9a83: 0e00.*
+ 9a84: 01e5.*
+ 9a85: 1227.*
+ 9a86: 01e5.*
+ 9a87: 1228.*
+ 9a88: 6fe5.*
+ 9a89: 1229.*
+ 9a8a: 0c01.*
+ 9a8b: 6fe5.*
+ 9a8c: 122a.*
+ 9a8d: 0d01.*
+ 9a8e: 6fe5.*
+ 9a8f: 122b.*
+ 9a90: 0c01.*
+ 9a91: 6fe5.*
+ 9a92: 122c.*
+ 9a93: 0e01.*
+ 9a94: 6fe5.*
+ 9a95: 122d.*
+ 9a96: 0f01.*
+ 9a97: 6fe5.*
+ 9a98: 122e.*
+ 9a99: 0f01.*
+ 9a9a: 6fe5.*
+ 9a9b: 122f.*
+ 9a9c: 0c02.*
+ 9a9d: 6fe5.*
+ 9a9e: 1230.*
+ 9a9f: 0d02.*
+ 9aa0: 6fe5.*
+ 9aa1: 1231.*
+ 9aa2: 0c02.*
+ 9aa3: 6fe5.*
+ 9aa4: 1232.*
+ 9aa5: 0e02.*
+ 9aa6: 6fe5.*
+ 9aa7: 1233.*
+ 9aa8: 0f02.*
+ 9aa9: 6fe5.*
+ 9aaa: 1234.*
+ 9aab: 0f02.*
+ 9aac: 6fe5.*
+ 9aad: 1235.*
+ 9aae: 0c03.*
+ 9aaf: 6fe5.*
+ 9ab0: 1236.*
+ 9ab1: 0d03.*
+ 9ab2: 6fe5.*
+ 9ab3: 1237.*
+ 9ab4: 0c03.*
+ 9ab5: 6fe5.*
+ 9ab6: 1238.*
+ 9ab7: 0e03.*
+ 9ab8: 6fe5.*
+ 9ab9: 1239.*
+ 9aba: 0f03.*
+ 9abb: 6fe5.*
+ 9abc: 123a.*
+ 9abd: 0f03.*
+ 9abe: 6fe5.*
+ 9abf: 123b.*
+ 9ac0: 0c04.*
+ 9ac1: 6fe5.*
+ 9ac2: 123c.*
+ 9ac3: 0d04.*
+ 9ac4: 6fe5.*
+ 9ac5: 123d.*
+ 9ac6: 0c04.*
+ 9ac7: 6fe5.*
+ 9ac8: 123e.*
+ 9ac9: 0e04.*
+ 9aca: 6fe5.*
+ 9acb: 123f.*
+ 9acc: 0f04.*
+ 9acd: 6fe5.*
+ 9ace: 1240.*
+ 9acf: 0f04.*
+ 9ad0: 6fe5.*
+ 9ad1: 1241.*
+ 9ad2: 0c05.*
+ 9ad3: 6fe5.*
+ 9ad4: 1242.*
+ 9ad5: 0d05.*
+ 9ad6: 6fe5.*
+ 9ad7: 1243.*
+ 9ad8: 0c05.*
+ 9ad9: 6fe5.*
+ 9ada: 1244.*
+ 9adb: 0e05.*
+ 9adc: 6fe5.*
+ 9add: 1245.*
+ 9ade: 0f05.*
+ 9adf: 6fe5.*
+ 9ae0: 1246.*
+ 9ae1: 0f05.*
+ 9ae2: 6fe5.*
+ 9ae3: 1247.*
+ 9ae4: 0c06.*
+ 9ae5: 6fe5.*
+ 9ae6: 1248.*
+ 9ae7: 0d06.*
+ 9ae8: 6fe5.*
+ 9ae9: 1249.*
+ 9aea: 0c06.*
+ 9aeb: 6fe5.*
+ 9aec: 124a.*
+ 9aed: 0e06.*
+ 9aee: 6fe5.*
+ 9aef: 124b.*
+ 9af0: 0f06.*
+ 9af1: 6fe5.*
+ 9af2: 124c.*
+ 9af3: 0f06.*
+ 9af4: 6fe5.*
+ 9af5: 124d.*
+ 9af6: 0c07.*
+ 9af7: 6fe5.*
+ 9af8: 124e.*
+ 9af9: 0d07.*
+ 9afa: 6fe5.*
+ 9afb: 124f.*
+ 9afc: 0c07.*
+ 9afd: 6fe5.*
+ 9afe: 1250.*
+ 9aff: 0e07.*
+ 9b00: 6fe5.*
+ 9b01: 1251.*
+ 9b02: 0f07.*
+ 9b03: 6fe5.*
+ 9b04: 1252.*
+ 9b05: 0f07.*
+ 9b06: 6fe5.*
+ 9b07: 1253.*
+ 9b08: 0c08.*
+ 9b09: 6fe5.*
+ 9b0a: 1254.*
+ 9b0b: 0d08.*
+ 9b0c: 6fe5.*
+ 9b0d: 1255.*
+ 9b0e: 0c08.*
+ 9b0f: 6fe5.*
+ 9b10: 1256.*
+ 9b11: 0e08.*
+ 9b12: 6fe5.*
+ 9b13: 1257.*
+ 9b14: 0f08.*
+ 9b15: 6fe5.*
+ 9b16: 1258.*
+ 9b17: 0f08.*
+ 9b18: 6fe5.*
+ 9b19: 1259.*
+ 9b1a: 0c09.*
+ 9b1b: 6fe5.*
+ 9b1c: 125a.*
+ 9b1d: 0d09.*
+ 9b1e: 6fe5.*
+ 9b1f: 125b.*
+ 9b20: 0c09.*
+ 9b21: 6fe5.*
+ 9b22: 125c.*
+ 9b23: 0e09.*
+ 9b24: 6fe5.*
+ 9b25: 125d.*
+ 9b26: 0f09.*
+ 9b27: 6fe5.*
+ 9b28: 125e.*
+ 9b29: 0f09.*
+ 9b2a: 6fe5.*
+ 9b2b: 125f.*
+ 9b2c: 0c0a.*
+ 9b2d: 6fe5.*
+ 9b2e: 1260.*
+ 9b2f: 0d0a.*
+ 9b30: 6fe5.*
+ 9b31: 1261.*
+ 9b32: 0c0a.*
+ 9b33: 6fe5.*
+ 9b34: 1262.*
+ 9b35: 0e0a.*
+ 9b36: 6fe5.*
+ 9b37: 1263.*
+ 9b38: 0f0a.*
+ 9b39: 6fe5.*
+ 9b3a: 1264.*
+ 9b3b: 0f0a.*
+ 9b3c: 6fe5.*
+ 9b3d: 1265.*
+ 9b3e: 0c0b.*
+ 9b3f: 6fe5.*
+ 9b40: 1266.*
+ 9b41: 0d0b.*
+ 9b42: 6fe5.*
+ 9b43: 1267.*
+ 9b44: 0c0b.*
+ 9b45: 6fe5.*
+ 9b46: 1268.*
+ 9b47: 0e0b.*
+ 9b48: 6fe5.*
+ 9b49: 1269.*
+ 9b4a: 0f0b.*
+ 9b4b: 6fe5.*
+ 9b4c: 126a.*
+ 9b4d: 0f0b.*
+ 9b4e: 6fe5.*
+ 9b4f: 126b.*
+ 9b50: 0c0c.*
+ 9b51: 6fe5.*
+ 9b52: 126c.*
+ 9b53: 0d0c.*
+ 9b54: 6fe5.*
+ 9b55: 126d.*
+ 9b56: 0c0c.*
+ 9b57: 6fe5.*
+ 9b58: 126e.*
+ 9b59: 0e0c.*
+ 9b5a: 6fe5.*
+ 9b5b: 126f.*
+ 9b5c: 0f0c.*
+ 9b5d: 6fe5.*
+ 9b5e: 1270.*
+ 9b5f: 0f0c.*
+ 9b60: 6fe5.*
+ 9b61: 1271.*
+ 9b62: 0c0d.*
+ 9b63: 6fe5.*
+ 9b64: 1272.*
+ 9b65: 0d0d.*
+ 9b66: 6fe5.*
+ 9b67: 1273.*
+ 9b68: 0c0d.*
+ 9b69: 6fe5.*
+ 9b6a: 1274.*
+ 9b6b: 0e0d.*
+ 9b6c: 6fe5.*
+ 9b6d: 1275.*
+ 9b6e: 0f0d.*
+ 9b6f: 6fe5.*
+ 9b70: 1276.*
+ 9b71: 0f0d.*
+ 9b72: 6fe5.*
+ 9b73: 1277.*
+ 9b74: 0c0e.*
+ 9b75: 6fe5.*
+ 9b76: 1278.*
+ 9b77: 0d0e.*
+ 9b78: 6fe5.*
+ 9b79: 1279.*
+ 9b7a: 0c0e.*
+ 9b7b: 6fe5.*
+ 9b7c: 127a.*
+ 9b7d: 0e0e.*
+ 9b7e: 6fe5.*
+ 9b7f: 127b.*
+ 9b80: 0f0e.*
+ 9b81: 6fe5.*
+ 9b82: 127c.*
+ 9b83: 0f0e.*
+ 9b84: 6fe5.*
+ 9b85: 127d.*
+ 9b86: 0c0f.*
+ 9b87: 6fe5.*
+ 9b88: 127e.*
+ 9b89: 0d0f.*
+ 9b8a: 6fe5.*
+ 9b8b: 127f.*
+ 9b8c: 0c0f.*
+ 9b8d: 6fe5.*
+ 9b8e: 1280.*
+ 9b8f: 0e0f.*
+ 9b90: 6fe5.*
+ 9b91: 1281.*
+ 9b92: 0f0f.*
+ 9b93: 6fe5.*
+ 9b94: 1282.*
+ 9b95: 0f0f.*
+ 9b96: 00ed.*
+ 9b97: 1283.*
+ 9b98: 6fed.*
+ 9b99: 1284.*
+ 9b9a: 0d00.*
+ 9b9b: 00ed.*
+ 9b9c: 1285.*
+ 9b9d: 6fed.*
+ 9b9e: 1286.*
+ 9b9f: 0e00.*
+ 9ba0: 01ed.*
+ 9ba1: 1287.*
+ 9ba2: 01ed.*
+ 9ba3: 1288.*
+ 9ba4: 6fed.*
+ 9ba5: 1289.*
+ 9ba6: 0c10.*
+ 9ba7: 6fed.*
+ 9ba8: 128a.*
+ 9ba9: 0d10.*
+ 9baa: 6fed.*
+ 9bab: 128b.*
+ 9bac: 0c10.*
+ 9bad: 6fed.*
+ 9bae: 128c.*
+ 9baf: 0e10.*
+ 9bb0: 6fed.*
+ 9bb1: 128d.*
+ 9bb2: 0f10.*
+ 9bb3: 6fed.*
+ 9bb4: 128e.*
+ 9bb5: 0f10.*
+ 9bb6: 6fed.*
+ 9bb7: 128f.*
+ 9bb8: 0c11.*
+ 9bb9: 6fed.*
+ 9bba: 1290.*
+ 9bbb: 0d11.*
+ 9bbc: 6fed.*
+ 9bbd: 1291.*
+ 9bbe: 0c11.*
+ 9bbf: 6fed.*
+ 9bc0: 1292.*
+ 9bc1: 0e11.*
+ 9bc2: 6fed.*
+ 9bc3: 1293.*
+ 9bc4: 0f11.*
+ 9bc5: 6fed.*
+ 9bc6: 1294.*
+ 9bc7: 0f11.*
+ 9bc8: 6fed.*
+ 9bc9: 1295.*
+ 9bca: 0c12.*
+ 9bcb: 6fed.*
+ 9bcc: 1296.*
+ 9bcd: 0d12.*
+ 9bce: 6fed.*
+ 9bcf: 1297.*
+ 9bd0: 0c12.*
+ 9bd1: 6fed.*
+ 9bd2: 1298.*
+ 9bd3: 0e12.*
+ 9bd4: 6fed.*
+ 9bd5: 1299.*
+ 9bd6: 0f12.*
+ 9bd7: 6fed.*
+ 9bd8: 129a.*
+ 9bd9: 0f12.*
+ 9bda: 6fed.*
+ 9bdb: 129b.*
+ 9bdc: 0c13.*
+ 9bdd: 6fed.*
+ 9bde: 129c.*
+ 9bdf: 0d13.*
+ 9be0: 6fed.*
+ 9be1: 129d.*
+ 9be2: 0c13.*
+ 9be3: 6fed.*
+ 9be4: 129e.*
+ 9be5: 0e13.*
+ 9be6: 6fed.*
+ 9be7: 129f.*
+ 9be8: 0f13.*
+ 9be9: 6fed.*
+ 9bea: 12a0.*
+ 9beb: 0f13.*
+ 9bec: 6fed.*
+ 9bed: 12a1.*
+ 9bee: 0c14.*
+ 9bef: 6fed.*
+ 9bf0: 12a2.*
+ 9bf1: 0d14.*
+ 9bf2: 6fed.*
+ 9bf3: 12a3.*
+ 9bf4: 0c14.*
+ 9bf5: 6fed.*
+ 9bf6: 12a4.*
+ 9bf7: 0e14.*
+ 9bf8: 6fed.*
+ 9bf9: 12a5.*
+ 9bfa: 0f14.*
+ 9bfb: 6fed.*
+ 9bfc: 12a6.*
+ 9bfd: 0f14.*
+ 9bfe: 6fed.*
+ 9bff: 12a7.*
+ 9c00: 0c15.*
+ 9c01: 6fed.*
+ 9c02: 12a8.*
+ 9c03: 0d15.*
+ 9c04: 6fed.*
+ 9c05: 12a9.*
+ 9c06: 0c15.*
+ 9c07: 6fed.*
+ 9c08: 12aa.*
+ 9c09: 0e15.*
+ 9c0a: 6fed.*
+ 9c0b: 12ab.*
+ 9c0c: 0f15.*
+ 9c0d: 6fed.*
+ 9c0e: 12ac.*
+ 9c0f: 0f15.*
+ 9c10: 6fed.*
+ 9c11: 12ad.*
+ 9c12: 0c16.*
+ 9c13: 6fed.*
+ 9c14: 12ae.*
+ 9c15: 0d16.*
+ 9c16: 6fed.*
+ 9c17: 12af.*
+ 9c18: 0c16.*
+ 9c19: 6fed.*
+ 9c1a: 12b0.*
+ 9c1b: 0e16.*
+ 9c1c: 6fed.*
+ 9c1d: 12b1.*
+ 9c1e: 0f16.*
+ 9c1f: 6fed.*
+ 9c20: 12b2.*
+ 9c21: 0f16.*
+ 9c22: 6fed.*
+ 9c23: 12b3.*
+ 9c24: 0c17.*
+ 9c25: 6fed.*
+ 9c26: 12b4.*
+ 9c27: 0d17.*
+ 9c28: 6fed.*
+ 9c29: 12b5.*
+ 9c2a: 0c17.*
+ 9c2b: 6fed.*
+ 9c2c: 12b6.*
+ 9c2d: 0e17.*
+ 9c2e: 6fed.*
+ 9c2f: 12b7.*
+ 9c30: 0f17.*
+ 9c31: 6fed.*
+ 9c32: 12b8.*
+ 9c33: 0f17.*
+ 9c34: 6fed.*
+ 9c35: 12b9.*
+ 9c36: 0c18.*
+ 9c37: 6fed.*
+ 9c38: 12ba.*
+ 9c39: 0d18.*
+ 9c3a: 6fed.*
+ 9c3b: 12bb.*
+ 9c3c: 0c18.*
+ 9c3d: 6fed.*
+ 9c3e: 12bc.*
+ 9c3f: 0e18.*
+ 9c40: 6fed.*
+ 9c41: 12bd.*
+ 9c42: 0f18.*
+ 9c43: 6fed.*
+ 9c44: 12be.*
+ 9c45: 0f18.*
+ 9c46: 6fed.*
+ 9c47: 12bf.*
+ 9c48: 0c19.*
+ 9c49: 6fed.*
+ 9c4a: 12c0.*
+ 9c4b: 0d19.*
+ 9c4c: 6fed.*
+ 9c4d: 12c1.*
+ 9c4e: 0c19.*
+ 9c4f: 6fed.*
+ 9c50: 12c2.*
+ 9c51: 0e19.*
+ 9c52: 6fed.*
+ 9c53: 12c3.*
+ 9c54: 0f19.*
+ 9c55: 6fed.*
+ 9c56: 12c4.*
+ 9c57: 0f19.*
+ 9c58: 6fed.*
+ 9c59: 12c5.*
+ 9c5a: 0c1a.*
+ 9c5b: 6fed.*
+ 9c5c: 12c6.*
+ 9c5d: 0d1a.*
+ 9c5e: 6fed.*
+ 9c5f: 12c7.*
+ 9c60: 0c1a.*
+ 9c61: 6fed.*
+ 9c62: 12c8.*
+ 9c63: 0e1a.*
+ 9c64: 6fed.*
+ 9c65: 12c9.*
+ 9c66: 0f1a.*
+ 9c67: 6fed.*
+ 9c68: 12ca.*
+ 9c69: 0f1a.*
+ 9c6a: 6fed.*
+ 9c6b: 12cb.*
+ 9c6c: 0c1b.*
+ 9c6d: 6fed.*
+ 9c6e: 12cc.*
+ 9c6f: 0d1b.*
+ 9c70: 6fed.*
+ 9c71: 12cd.*
+ 9c72: 0c1b.*
+ 9c73: 6fed.*
+ 9c74: 12ce.*
+ 9c75: 0e1b.*
+ 9c76: 6fed.*
+ 9c77: 12cf.*
+ 9c78: 0f1b.*
+ 9c79: 6fed.*
+ 9c7a: 12d0.*
+ 9c7b: 0f1b.*
+ 9c7c: 6fed.*
+ 9c7d: 12d1.*
+ 9c7e: 0c1c.*
+ 9c7f: 6fed.*
+ 9c80: 12d2.*
+ 9c81: 0d1c.*
+ 9c82: 6fed.*
+ 9c83: 12d3.*
+ 9c84: 0c1c.*
+ 9c85: 6fed.*
+ 9c86: 12d4.*
+ 9c87: 0e1c.*
+ 9c88: 6fed.*
+ 9c89: 12d5.*
+ 9c8a: 0f1c.*
+ 9c8b: 6fed.*
+ 9c8c: 12d6.*
+ 9c8d: 0f1c.*
+ 9c8e: 6fed.*
+ 9c8f: 12d7.*
+ 9c90: 0c1d.*
+ 9c91: 6fed.*
+ 9c92: 12d8.*
+ 9c93: 0d1d.*
+ 9c94: 6fed.*
+ 9c95: 12d9.*
+ 9c96: 0c1d.*
+ 9c97: 6fed.*
+ 9c98: 12da.*
+ 9c99: 0e1d.*
+ 9c9a: 6fed.*
+ 9c9b: 12db.*
+ 9c9c: 0f1d.*
+ 9c9d: 6fed.*
+ 9c9e: 12dc.*
+ 9c9f: 0f1d.*
+ 9ca0: 6fed.*
+ 9ca1: 12dd.*
+ 9ca2: 0c1e.*
+ 9ca3: 6fed.*
+ 9ca4: 12de.*
+ 9ca5: 0d1e.*
+ 9ca6: 6fed.*
+ 9ca7: 12df.*
+ 9ca8: 0c1e.*
+ 9ca9: 6fed.*
+ 9caa: 12e0.*
+ 9cab: 0e1e.*
+ 9cac: 6fed.*
+ 9cad: 12e1.*
+ 9cae: 0f1e.*
+ 9caf: 6fed.*
+ 9cb0: 12e2.*
+ 9cb1: 0f1e.*
+ 9cb2: 6fed.*
+ 9cb3: 12e3.*
+ 9cb4: 0c1f.*
+ 9cb5: 6fed.*
+ 9cb6: 12e4.*
+ 9cb7: 0d1f.*
+ 9cb8: 6fed.*
+ 9cb9: 12e5.*
+ 9cba: 0c1f.*
+ 9cbb: 6fed.*
+ 9cbc: 12e6.*
+ 9cbd: 0e1f.*
+ 9cbe: 6fed.*
+ 9cbf: 12e7.*
+ 9cc0: 0f1f.*
+ 9cc1: 6fed.*
+ 9cc2: 12e8.*
+ 9cc3: 0f1f.*
+ 9cc4: 00ed.*
+ 9cc5: 12e9.*
+ 9cc6: 6fed.*
+ 9cc7: 12ea.*
+ 9cc8: 0d00.*
+ 9cc9: 00ed.*
+ 9cca: 12eb.*
+ 9ccb: 6fed.*
+ 9ccc: 12ec.*
+ 9ccd: 0e00.*
+ 9cce: 01ed.*
+ 9ccf: 12ed.*
+ 9cd0: 01ed.*
+ 9cd1: 12ee.*
+ 9cd2: 6fed.*
+ 9cd3: 12ef.*
+ 9cd4: 0c01.*
+ 9cd5: 6fed.*
+ 9cd6: 12f0.*
+ 9cd7: 0d01.*
+ 9cd8: 6fed.*
+ 9cd9: 12f1.*
+ 9cda: 0c01.*
+ 9cdb: 6fed.*
+ 9cdc: 12f2.*
+ 9cdd: 0e01.*
+ 9cde: 6fed.*
+ 9cdf: 12f3.*
+ 9ce0: 0f01.*
+ 9ce1: 6fed.*
+ 9ce2: 12f4.*
+ 9ce3: 0f01.*
+ 9ce4: 6fed.*
+ 9ce5: 12f5.*
+ 9ce6: 0c02.*
+ 9ce7: 6fed.*
+ 9ce8: 12f6.*
+ 9ce9: 0d02.*
+ 9cea: 6fed.*
+ 9ceb: 12f7.*
+ 9cec: 0c02.*
+ 9ced: 6fed.*
+ 9cee: 12f8.*
+ 9cef: 0e02.*
+ 9cf0: 6fed.*
+ 9cf1: 12f9.*
+ 9cf2: 0f02.*
+ 9cf3: 6fed.*
+ 9cf4: 12fa.*
+ 9cf5: 0f02.*
+ 9cf6: 6fed.*
+ 9cf7: 12fb.*
+ 9cf8: 0c03.*
+ 9cf9: 6fed.*
+ 9cfa: 12fc.*
+ 9cfb: 0d03.*
+ 9cfc: 6fed.*
+ 9cfd: 12fd.*
+ 9cfe: 0c03.*
+ 9cff: 6fed.*
+ 9d00: 12fe.*
+ 9d01: 0e03.*
+ 9d02: 6fed.*
+ 9d03: 12ff.*
+ 9d04: 0f03.*
+ 9d05: 6fed.*
+ 9d06: 1300.*
+ 9d07: 0f03.*
+ 9d08: 6fed.*
+ 9d09: 1301.*
+ 9d0a: 0c04.*
+ 9d0b: 6fed.*
+ 9d0c: 1302.*
+ 9d0d: 0d04.*
+ 9d0e: 6fed.*
+ 9d0f: 1303.*
+ 9d10: 0c04.*
+ 9d11: 6fed.*
+ 9d12: 1304.*
+ 9d13: 0e04.*
+ 9d14: 6fed.*
+ 9d15: 1305.*
+ 9d16: 0f04.*
+ 9d17: 6fed.*
+ 9d18: 1306.*
+ 9d19: 0f04.*
+ 9d1a: 6fed.*
+ 9d1b: 1307.*
+ 9d1c: 0c05.*
+ 9d1d: 6fed.*
+ 9d1e: 1308.*
+ 9d1f: 0d05.*
+ 9d20: 6fed.*
+ 9d21: 1309.*
+ 9d22: 0c05.*
+ 9d23: 6fed.*
+ 9d24: 130a.*
+ 9d25: 0e05.*
+ 9d26: 6fed.*
+ 9d27: 130b.*
+ 9d28: 0f05.*
+ 9d29: 6fed.*
+ 9d2a: 130c.*
+ 9d2b: 0f05.*
+ 9d2c: 6fed.*
+ 9d2d: 130d.*
+ 9d2e: 0c06.*
+ 9d2f: 6fed.*
+ 9d30: 130e.*
+ 9d31: 0d06.*
+ 9d32: 6fed.*
+ 9d33: 130f.*
+ 9d34: 0c06.*
+ 9d35: 6fed.*
+ 9d36: 1310.*
+ 9d37: 0e06.*
+ 9d38: 6fed.*
+ 9d39: 1311.*
+ 9d3a: 0f06.*
+ 9d3b: 6fed.*
+ 9d3c: 1312.*
+ 9d3d: 0f06.*
+ 9d3e: 6fed.*
+ 9d3f: 1313.*
+ 9d40: 0c07.*
+ 9d41: 6fed.*
+ 9d42: 1314.*
+ 9d43: 0d07.*
+ 9d44: 6fed.*
+ 9d45: 1315.*
+ 9d46: 0c07.*
+ 9d47: 6fed.*
+ 9d48: 1316.*
+ 9d49: 0e07.*
+ 9d4a: 6fed.*
+ 9d4b: 1317.*
+ 9d4c: 0f07.*
+ 9d4d: 6fed.*
+ 9d4e: 1318.*
+ 9d4f: 0f07.*
+ 9d50: 6fed.*
+ 9d51: 1319.*
+ 9d52: 0c08.*
+ 9d53: 6fed.*
+ 9d54: 131a.*
+ 9d55: 0d08.*
+ 9d56: 6fed.*
+ 9d57: 131b.*
+ 9d58: 0c08.*
+ 9d59: 6fed.*
+ 9d5a: 131c.*
+ 9d5b: 0e08.*
+ 9d5c: 6fed.*
+ 9d5d: 131d.*
+ 9d5e: 0f08.*
+ 9d5f: 6fed.*
+ 9d60: 131e.*
+ 9d61: 0f08.*
+ 9d62: 6fed.*
+ 9d63: 131f.*
+ 9d64: 0c09.*
+ 9d65: 6fed.*
+ 9d66: 1320.*
+ 9d67: 0d09.*
+ 9d68: 6fed.*
+ 9d69: 1321.*
+ 9d6a: 0c09.*
+ 9d6b: 6fed.*
+ 9d6c: 1322.*
+ 9d6d: 0e09.*
+ 9d6e: 6fed.*
+ 9d6f: 1323.*
+ 9d70: 0f09.*
+ 9d71: 6fed.*
+ 9d72: 1324.*
+ 9d73: 0f09.*
+ 9d74: 6fed.*
+ 9d75: 1325.*
+ 9d76: 0c0a.*
+ 9d77: 6fed.*
+ 9d78: 1326.*
+ 9d79: 0d0a.*
+ 9d7a: 6fed.*
+ 9d7b: 1327.*
+ 9d7c: 0c0a.*
+ 9d7d: 6fed.*
+ 9d7e: 1328.*
+ 9d7f: 0e0a.*
+ 9d80: 6fed.*
+ 9d81: 1329.*
+ 9d82: 0f0a.*
+ 9d83: 6fed.*
+ 9d84: 132a.*
+ 9d85: 0f0a.*
+ 9d86: 6fed.*
+ 9d87: 132b.*
+ 9d88: 0c0b.*
+ 9d89: 6fed.*
+ 9d8a: 132c.*
+ 9d8b: 0d0b.*
+ 9d8c: 6fed.*
+ 9d8d: 132d.*
+ 9d8e: 0c0b.*
+ 9d8f: 6fed.*
+ 9d90: 132e.*
+ 9d91: 0e0b.*
+ 9d92: 6fed.*
+ 9d93: 132f.*
+ 9d94: 0f0b.*
+ 9d95: 6fed.*
+ 9d96: 1330.*
+ 9d97: 0f0b.*
+ 9d98: 6fed.*
+ 9d99: 1331.*
+ 9d9a: 0c0c.*
+ 9d9b: 6fed.*
+ 9d9c: 1332.*
+ 9d9d: 0d0c.*
+ 9d9e: 6fed.*
+ 9d9f: 1333.*
+ 9da0: 0c0c.*
+ 9da1: 6fed.*
+ 9da2: 1334.*
+ 9da3: 0e0c.*
+ 9da4: 6fed.*
+ 9da5: 1335.*
+ 9da6: 0f0c.*
+ 9da7: 6fed.*
+ 9da8: 1336.*
+ 9da9: 0f0c.*
+ 9daa: 6fed.*
+ 9dab: 1337.*
+ 9dac: 0c0d.*
+ 9dad: 6fed.*
+ 9dae: 1338.*
+ 9daf: 0d0d.*
+ 9db0: 6fed.*
+ 9db1: 1339.*
+ 9db2: 0c0d.*
+ 9db3: 6fed.*
+ 9db4: 133a.*
+ 9db5: 0e0d.*
+ 9db6: 6fed.*
+ 9db7: 133b.*
+ 9db8: 0f0d.*
+ 9db9: 6fed.*
+ 9dba: 133c.*
+ 9dbb: 0f0d.*
+ 9dbc: 6fed.*
+ 9dbd: 133d.*
+ 9dbe: 0c0e.*
+ 9dbf: 6fed.*
+ 9dc0: 133e.*
+ 9dc1: 0d0e.*
+ 9dc2: 6fed.*
+ 9dc3: 133f.*
+ 9dc4: 0c0e.*
+ 9dc5: 6fed.*
+ 9dc6: 1340.*
+ 9dc7: 0e0e.*
+ 9dc8: 6fed.*
+ 9dc9: 1341.*
+ 9dca: 0f0e.*
+ 9dcb: 6fed.*
+ 9dcc: 1342.*
+ 9dcd: 0f0e.*
+ 9dce: 6fed.*
+ 9dcf: 1343.*
+ 9dd0: 0c0f.*
+ 9dd1: 6fed.*
+ 9dd2: 1344.*
+ 9dd3: 0d0f.*
+ 9dd4: 6fed.*
+ 9dd5: 1345.*
+ 9dd6: 0c0f.*
+ 9dd7: 6fed.*
+ 9dd8: 1346.*
+ 9dd9: 0e0f.*
+ 9dda: 6fed.*
+ 9ddb: 1347.*
+ 9ddc: 0f0f.*
+ 9ddd: 6fed.*
+ 9dde: 1348.*
+ 9ddf: 0f0f.*
+ 9de0: 00f5.*
+ 9de1: 1349.*
+ 9de2: 6ff5.*
+ 9de3: 134a.*
+ 9de4: 0d00.*
+ 9de5: 00f5.*
+ 9de6: 134b.*
+ 9de7: 6ff5.*
+ 9de8: 134c.*
+ 9de9: 0e00.*
+ 9dea: 01f5.*
+ 9deb: 134d.*
+ 9dec: 01f5.*
+ 9ded: 134e.*
+ 9dee: 6ff5.*
+ 9def: 134f.*
+ 9df0: 0c10.*
+ 9df1: 6ff5.*
+ 9df2: 1350.*
+ 9df3: 0d10.*
+ 9df4: 6ff5.*
+ 9df5: 1351.*
+ 9df6: 0c10.*
+ 9df7: 6ff5.*
+ 9df8: 1352.*
+ 9df9: 0e10.*
+ 9dfa: 6ff5.*
+ 9dfb: 1353.*
+ 9dfc: 0f10.*
+ 9dfd: 6ff5.*
+ 9dfe: 1354.*
+ 9dff: 0f10.*
+ 9e00: 6ff5.*
+ 9e01: 1355.*
+ 9e02: 0c11.*
+ 9e03: 6ff5.*
+ 9e04: 1356.*
+ 9e05: 0d11.*
+ 9e06: 6ff5.*
+ 9e07: 1357.*
+ 9e08: 0c11.*
+ 9e09: 6ff5.*
+ 9e0a: 1358.*
+ 9e0b: 0e11.*
+ 9e0c: 6ff5.*
+ 9e0d: 1359.*
+ 9e0e: 0f11.*
+ 9e0f: 6ff5.*
+ 9e10: 135a.*
+ 9e11: 0f11.*
+ 9e12: 6ff5.*
+ 9e13: 135b.*
+ 9e14: 0c12.*
+ 9e15: 6ff5.*
+ 9e16: 135c.*
+ 9e17: 0d12.*
+ 9e18: 6ff5.*
+ 9e19: 135d.*
+ 9e1a: 0c12.*
+ 9e1b: 6ff5.*
+ 9e1c: 135e.*
+ 9e1d: 0e12.*
+ 9e1e: 6ff5.*
+ 9e1f: 135f.*
+ 9e20: 0f12.*
+ 9e21: 6ff5.*
+ 9e22: 1360.*
+ 9e23: 0f12.*
+ 9e24: 6ff5.*
+ 9e25: 1361.*
+ 9e26: 0c13.*
+ 9e27: 6ff5.*
+ 9e28: 1362.*
+ 9e29: 0d13.*
+ 9e2a: 6ff5.*
+ 9e2b: 1363.*
+ 9e2c: 0c13.*
+ 9e2d: 6ff5.*
+ 9e2e: 1364.*
+ 9e2f: 0e13.*
+ 9e30: 6ff5.*
+ 9e31: 1365.*
+ 9e32: 0f13.*
+ 9e33: 6ff5.*
+ 9e34: 1366.*
+ 9e35: 0f13.*
+ 9e36: 6ff5.*
+ 9e37: 1367.*
+ 9e38: 0c14.*
+ 9e39: 6ff5.*
+ 9e3a: 1368.*
+ 9e3b: 0d14.*
+ 9e3c: 6ff5.*
+ 9e3d: 1369.*
+ 9e3e: 0c14.*
+ 9e3f: 6ff5.*
+ 9e40: 136a.*
+ 9e41: 0e14.*
+ 9e42: 6ff5.*
+ 9e43: 136b.*
+ 9e44: 0f14.*
+ 9e45: 6ff5.*
+ 9e46: 136c.*
+ 9e47: 0f14.*
+ 9e48: 6ff5.*
+ 9e49: 136d.*
+ 9e4a: 0c15.*
+ 9e4b: 6ff5.*
+ 9e4c: 136e.*
+ 9e4d: 0d15.*
+ 9e4e: 6ff5.*
+ 9e4f: 136f.*
+ 9e50: 0c15.*
+ 9e51: 6ff5.*
+ 9e52: 1370.*
+ 9e53: 0e15.*
+ 9e54: 6ff5.*
+ 9e55: 1371.*
+ 9e56: 0f15.*
+ 9e57: 6ff5.*
+ 9e58: 1372.*
+ 9e59: 0f15.*
+ 9e5a: 6ff5.*
+ 9e5b: 1373.*
+ 9e5c: 0c16.*
+ 9e5d: 6ff5.*
+ 9e5e: 1374.*
+ 9e5f: 0d16.*
+ 9e60: 6ff5.*
+ 9e61: 1375.*
+ 9e62: 0c16.*
+ 9e63: 6ff5.*
+ 9e64: 1376.*
+ 9e65: 0e16.*
+ 9e66: 6ff5.*
+ 9e67: 1377.*
+ 9e68: 0f16.*
+ 9e69: 6ff5.*
+ 9e6a: 1378.*
+ 9e6b: 0f16.*
+ 9e6c: 6ff5.*
+ 9e6d: 1379.*
+ 9e6e: 0c17.*
+ 9e6f: 6ff5.*
+ 9e70: 137a.*
+ 9e71: 0d17.*
+ 9e72: 6ff5.*
+ 9e73: 137b.*
+ 9e74: 0c17.*
+ 9e75: 6ff5.*
+ 9e76: 137c.*
+ 9e77: 0e17.*
+ 9e78: 6ff5.*
+ 9e79: 137d.*
+ 9e7a: 0f17.*
+ 9e7b: 6ff5.*
+ 9e7c: 137e.*
+ 9e7d: 0f17.*
+ 9e7e: 6ff5.*
+ 9e7f: 137f.*
+ 9e80: 0c18.*
+ 9e81: 6ff5.*
+ 9e82: 1380.*
+ 9e83: 0d18.*
+ 9e84: 6ff5.*
+ 9e85: 1381.*
+ 9e86: 0c18.*
+ 9e87: 6ff5.*
+ 9e88: 1382.*
+ 9e89: 0e18.*
+ 9e8a: 6ff5.*
+ 9e8b: 1383.*
+ 9e8c: 0f18.*
+ 9e8d: 6ff5.*
+ 9e8e: 1384.*
+ 9e8f: 0f18.*
+ 9e90: 6ff5.*
+ 9e91: 1385.*
+ 9e92: 0c19.*
+ 9e93: 6ff5.*
+ 9e94: 1386.*
+ 9e95: 0d19.*
+ 9e96: 6ff5.*
+ 9e97: 1387.*
+ 9e98: 0c19.*
+ 9e99: 6ff5.*
+ 9e9a: 1388.*
+ 9e9b: 0e19.*
+ 9e9c: 6ff5.*
+ 9e9d: 1389.*
+ 9e9e: 0f19.*
+ 9e9f: 6ff5.*
+ 9ea0: 138a.*
+ 9ea1: 0f19.*
+ 9ea2: 6ff5.*
+ 9ea3: 138b.*
+ 9ea4: 0c1a.*
+ 9ea5: 6ff5.*
+ 9ea6: 138c.*
+ 9ea7: 0d1a.*
+ 9ea8: 6ff5.*
+ 9ea9: 138d.*
+ 9eaa: 0c1a.*
+ 9eab: 6ff5.*
+ 9eac: 138e.*
+ 9ead: 0e1a.*
+ 9eae: 6ff5.*
+ 9eaf: 138f.*
+ 9eb0: 0f1a.*
+ 9eb1: 6ff5.*
+ 9eb2: 1390.*
+ 9eb3: 0f1a.*
+ 9eb4: 6ff5.*
+ 9eb5: 1391.*
+ 9eb6: 0c1b.*
+ 9eb7: 6ff5.*
+ 9eb8: 1392.*
+ 9eb9: 0d1b.*
+ 9eba: 6ff5.*
+ 9ebb: 1393.*
+ 9ebc: 0c1b.*
+ 9ebd: 6ff5.*
+ 9ebe: 1394.*
+ 9ebf: 0e1b.*
+ 9ec0: 6ff5.*
+ 9ec1: 1395.*
+ 9ec2: 0f1b.*
+ 9ec3: 6ff5.*
+ 9ec4: 1396.*
+ 9ec5: 0f1b.*
+ 9ec6: 6ff5.*
+ 9ec7: 1397.*
+ 9ec8: 0c1c.*
+ 9ec9: 6ff5.*
+ 9eca: 1398.*
+ 9ecb: 0d1c.*
+ 9ecc: 6ff5.*
+ 9ecd: 1399.*
+ 9ece: 0c1c.*
+ 9ecf: 6ff5.*
+ 9ed0: 139a.*
+ 9ed1: 0e1c.*
+ 9ed2: 6ff5.*
+ 9ed3: 139b.*
+ 9ed4: 0f1c.*
+ 9ed5: 6ff5.*
+ 9ed6: 139c.*
+ 9ed7: 0f1c.*
+ 9ed8: 6ff5.*
+ 9ed9: 139d.*
+ 9eda: 0c1d.*
+ 9edb: 6ff5.*
+ 9edc: 139e.*
+ 9edd: 0d1d.*
+ 9ede: 6ff5.*
+ 9edf: 139f.*
+ 9ee0: 0c1d.*
+ 9ee1: 6ff5.*
+ 9ee2: 13a0.*
+ 9ee3: 0e1d.*
+ 9ee4: 6ff5.*
+ 9ee5: 13a1.*
+ 9ee6: 0f1d.*
+ 9ee7: 6ff5.*
+ 9ee8: 13a2.*
+ 9ee9: 0f1d.*
+ 9eea: 6ff5.*
+ 9eeb: 13a3.*
+ 9eec: 0c1e.*
+ 9eed: 6ff5.*
+ 9eee: 13a4.*
+ 9eef: 0d1e.*
+ 9ef0: 6ff5.*
+ 9ef1: 13a5.*
+ 9ef2: 0c1e.*
+ 9ef3: 6ff5.*
+ 9ef4: 13a6.*
+ 9ef5: 0e1e.*
+ 9ef6: 6ff5.*
+ 9ef7: 13a7.*
+ 9ef8: 0f1e.*
+ 9ef9: 6ff5.*
+ 9efa: 13a8.*
+ 9efb: 0f1e.*
+ 9efc: 6ff5.*
+ 9efd: 13a9.*
+ 9efe: 0c1f.*
+ 9eff: 6ff5.*
+ 9f00: 13aa.*
+ 9f01: 0d1f.*
+ 9f02: 6ff5.*
+ 9f03: 13ab.*
+ 9f04: 0c1f.*
+ 9f05: 6ff5.*
+ 9f06: 13ac.*
+ 9f07: 0e1f.*
+ 9f08: 6ff5.*
+ 9f09: 13ad.*
+ 9f0a: 0f1f.*
+ 9f0b: 6ff5.*
+ 9f0c: 13ae.*
+ 9f0d: 0f1f.*
+ 9f0e: 00f5.*
+ 9f0f: 13af.*
+ 9f10: 6ff5.*
+ 9f11: 13b0.*
+ 9f12: 0d00.*
+ 9f13: 00f5.*
+ 9f14: 13b1.*
+ 9f15: 6ff5.*
+ 9f16: 13b2.*
+ 9f17: 0e00.*
+ 9f18: 01f5.*
+ 9f19: 13b3.*
+ 9f1a: 01f5.*
+ 9f1b: 13b4.*
+ 9f1c: 6ff5.*
+ 9f1d: 13b5.*
+ 9f1e: 0c01.*
+ 9f1f: 6ff5.*
+ 9f20: 13b6.*
+ 9f21: 0d01.*
+ 9f22: 6ff5.*
+ 9f23: 13b7.*
+ 9f24: 0c01.*
+ 9f25: 6ff5.*
+ 9f26: 13b8.*
+ 9f27: 0e01.*
+ 9f28: 6ff5.*
+ 9f29: 13b9.*
+ 9f2a: 0f01.*
+ 9f2b: 6ff5.*
+ 9f2c: 13ba.*
+ 9f2d: 0f01.*
+ 9f2e: 6ff5.*
+ 9f2f: 13bb.*
+ 9f30: 0c02.*
+ 9f31: 6ff5.*
+ 9f32: 13bc.*
+ 9f33: 0d02.*
+ 9f34: 6ff5.*
+ 9f35: 13bd.*
+ 9f36: 0c02.*
+ 9f37: 6ff5.*
+ 9f38: 13be.*
+ 9f39: 0e02.*
+ 9f3a: 6ff5.*
+ 9f3b: 13bf.*
+ 9f3c: 0f02.*
+ 9f3d: 6ff5.*
+ 9f3e: 13c0.*
+ 9f3f: 0f02.*
+ 9f40: 6ff5.*
+ 9f41: 13c1.*
+ 9f42: 0c03.*
+ 9f43: 6ff5.*
+ 9f44: 13c2.*
+ 9f45: 0d03.*
+ 9f46: 6ff5.*
+ 9f47: 13c3.*
+ 9f48: 0c03.*
+ 9f49: 6ff5.*
+ 9f4a: 13c4.*
+ 9f4b: 0e03.*
+ 9f4c: 6ff5.*
+ 9f4d: 13c5.*
+ 9f4e: 0f03.*
+ 9f4f: 6ff5.*
+ 9f50: 13c6.*
+ 9f51: 0f03.*
+ 9f52: 6ff5.*
+ 9f53: 13c7.*
+ 9f54: 0c04.*
+ 9f55: 6ff5.*
+ 9f56: 13c8.*
+ 9f57: 0d04.*
+ 9f58: 6ff5.*
+ 9f59: 13c9.*
+ 9f5a: 0c04.*
+ 9f5b: 6ff5.*
+ 9f5c: 13ca.*
+ 9f5d: 0e04.*
+ 9f5e: 6ff5.*
+ 9f5f: 13cb.*
+ 9f60: 0f04.*
+ 9f61: 6ff5.*
+ 9f62: 13cc.*
+ 9f63: 0f04.*
+ 9f64: 6ff5.*
+ 9f65: 13cd.*
+ 9f66: 0c05.*
+ 9f67: 6ff5.*
+ 9f68: 13ce.*
+ 9f69: 0d05.*
+ 9f6a: 6ff5.*
+ 9f6b: 13cf.*
+ 9f6c: 0c05.*
+ 9f6d: 6ff5.*
+ 9f6e: 13d0.*
+ 9f6f: 0e05.*
+ 9f70: 6ff5.*
+ 9f71: 13d1.*
+ 9f72: 0f05.*
+ 9f73: 6ff5.*
+ 9f74: 13d2.*
+ 9f75: 0f05.*
+ 9f76: 6ff5.*
+ 9f77: 13d3.*
+ 9f78: 0c06.*
+ 9f79: 6ff5.*
+ 9f7a: 13d4.*
+ 9f7b: 0d06.*
+ 9f7c: 6ff5.*
+ 9f7d: 13d5.*
+ 9f7e: 0c06.*
+ 9f7f: 6ff5.*
+ 9f80: 13d6.*
+ 9f81: 0e06.*
+ 9f82: 6ff5.*
+ 9f83: 13d7.*
+ 9f84: 0f06.*
+ 9f85: 6ff5.*
+ 9f86: 13d8.*
+ 9f87: 0f06.*
+ 9f88: 6ff5.*
+ 9f89: 13d9.*
+ 9f8a: 0c07.*
+ 9f8b: 6ff5.*
+ 9f8c: 13da.*
+ 9f8d: 0d07.*
+ 9f8e: 6ff5.*
+ 9f8f: 13db.*
+ 9f90: 0c07.*
+ 9f91: 6ff5.*
+ 9f92: 13dc.*
+ 9f93: 0e07.*
+ 9f94: 6ff5.*
+ 9f95: 13dd.*
+ 9f96: 0f07.*
+ 9f97: 6ff5.*
+ 9f98: 13de.*
+ 9f99: 0f07.*
+ 9f9a: 6ff5.*
+ 9f9b: 13df.*
+ 9f9c: 0c08.*
+ 9f9d: 6ff5.*
+ 9f9e: 13e0.*
+ 9f9f: 0d08.*
+ 9fa0: 6ff5.*
+ 9fa1: 13e1.*
+ 9fa2: 0c08.*
+ 9fa3: 6ff5.*
+ 9fa4: 13e2.*
+ 9fa5: 0e08.*
+ 9fa6: 6ff5.*
+ 9fa7: 13e3.*
+ 9fa8: 0f08.*
+ 9fa9: 6ff5.*
+ 9faa: 13e4.*
+ 9fab: 0f08.*
+ 9fac: 6ff5.*
+ 9fad: 13e5.*
+ 9fae: 0c09.*
+ 9faf: 6ff5.*
+ 9fb0: 13e6.*
+ 9fb1: 0d09.*
+ 9fb2: 6ff5.*
+ 9fb3: 13e7.*
+ 9fb4: 0c09.*
+ 9fb5: 6ff5.*
+ 9fb6: 13e8.*
+ 9fb7: 0e09.*
+ 9fb8: 6ff5.*
+ 9fb9: 13e9.*
+ 9fba: 0f09.*
+ 9fbb: 6ff5.*
+ 9fbc: 13ea.*
+ 9fbd: 0f09.*
+ 9fbe: 6ff5.*
+ 9fbf: 13eb.*
+ 9fc0: 0c0a.*
+ 9fc1: 6ff5.*
+ 9fc2: 13ec.*
+ 9fc3: 0d0a.*
+ 9fc4: 6ff5.*
+ 9fc5: 13ed.*
+ 9fc6: 0c0a.*
+ 9fc7: 6ff5.*
+ 9fc8: 13ee.*
+ 9fc9: 0e0a.*
+ 9fca: 6ff5.*
+ 9fcb: 13ef.*
+ 9fcc: 0f0a.*
+ 9fcd: 6ff5.*
+ 9fce: 13f0.*
+ 9fcf: 0f0a.*
+ 9fd0: 6ff5.*
+ 9fd1: 13f1.*
+ 9fd2: 0c0b.*
+ 9fd3: 6ff5.*
+ 9fd4: 13f2.*
+ 9fd5: 0d0b.*
+ 9fd6: 6ff5.*
+ 9fd7: 13f3.*
+ 9fd8: 0c0b.*
+ 9fd9: 6ff5.*
+ 9fda: 13f4.*
+ 9fdb: 0e0b.*
+ 9fdc: 6ff5.*
+ 9fdd: 13f5.*
+ 9fde: 0f0b.*
+ 9fdf: 6ff5.*
+ 9fe0: 13f6.*
+ 9fe1: 0f0b.*
+ 9fe2: 6ff5.*
+ 9fe3: 13f7.*
+ 9fe4: 0c0c.*
+ 9fe5: 6ff5.*
+ 9fe6: 13f8.*
+ 9fe7: 0d0c.*
+ 9fe8: 6ff5.*
+ 9fe9: 13f9.*
+ 9fea: 0c0c.*
+ 9feb: 6ff5.*
+ 9fec: 13fa.*
+ 9fed: 0e0c.*
+ 9fee: 6ff5.*
+ 9fef: 13fb.*
+ 9ff0: 0f0c.*
+ 9ff1: 6ff5.*
+ 9ff2: 13fc.*
+ 9ff3: 0f0c.*
+ 9ff4: 6ff5.*
+ 9ff5: 13fd.*
+ 9ff6: 0c0d.*
+ 9ff7: 6ff5.*
+ 9ff8: 13fe.*
+ 9ff9: 0d0d.*
+ 9ffa: 6ff5.*
+ 9ffb: 13ff.*
+ 9ffc: 0c0d.*
+ 9ffd: 6ff5.*
+ 9ffe: 1400.*
+ 9fff: 0e0d.*
+ a000: 6ff5.*
+ a001: 1401.*
+ a002: 0f0d.*
+ a003: 6ff5.*
+ a004: 1402.*
+ a005: 0f0d.*
+ a006: 6ff5.*
+ a007: 1403.*
+ a008: 0c0e.*
+ a009: 6ff5.*
+ a00a: 1404.*
+ a00b: 0d0e.*
+ a00c: 6ff5.*
+ a00d: 1405.*
+ a00e: 0c0e.*
+ a00f: 6ff5.*
+ a010: 1406.*
+ a011: 0e0e.*
+ a012: 6ff5.*
+ a013: 1407.*
+ a014: 0f0e.*
+ a015: 6ff5.*
+ a016: 1408.*
+ a017: 0f0e.*
+ a018: 6ff5.*
+ a019: 1409.*
+ a01a: 0c0f.*
+ a01b: 6ff5.*
+ a01c: 140a.*
+ a01d: 0d0f.*
+ a01e: 6ff5.*
+ a01f: 140b.*
+ a020: 0c0f.*
+ a021: 6ff5.*
+ a022: 140c.*
+ a023: 0e0f.*
+ a024: 6ff5.*
+ a025: 140d.*
+ a026: 0f0f.*
+ a027: 6ff5.*
+ a028: 140e.*
+ a029: 0f0f.*
+ a02a: 00f8.*
+ a02b: 140f.*
+ a02c: 6ff8.*
+ a02d: 1410.*
+ a02e: 0d00.*
+ a02f: 00f8.*
+ a030: 1411.*
+ a031: 6ff8.*
+ a032: 1412.*
+ a033: 0e00.*
+ a034: 01f8.*
+ a035: 1413.*
+ a036: 01f8.*
+ a037: 1414.*
+ a038: 6ff8.*
+ a039: 1415.*
+ a03a: 0c10.*
+ a03b: 6ff8.*
+ a03c: 1416.*
+ a03d: 0d10.*
+ a03e: 6ff8.*
+ a03f: 1417.*
+ a040: 0c10.*
+ a041: 6ff8.*
+ a042: 1418.*
+ a043: 0e10.*
+ a044: 6ff8.*
+ a045: 1419.*
+ a046: 0f10.*
+ a047: 6ff8.*
+ a048: 141a.*
+ a049: 0f10.*
+ a04a: 6ff8.*
+ a04b: 141b.*
+ a04c: 0c11.*
+ a04d: 6ff8.*
+ a04e: 141c.*
+ a04f: 0d11.*
+ a050: 6ff8.*
+ a051: 141d.*
+ a052: 0c11.*
+ a053: 6ff8.*
+ a054: 141e.*
+ a055: 0e11.*
+ a056: 6ff8.*
+ a057: 141f.*
+ a058: 0f11.*
+ a059: 6ff8.*
+ a05a: 1420.*
+ a05b: 0f11.*
+ a05c: 6ff8.*
+ a05d: 1421.*
+ a05e: 0c12.*
+ a05f: 6ff8.*
+ a060: 1422.*
+ a061: 0d12.*
+ a062: 6ff8.*
+ a063: 1423.*
+ a064: 0c12.*
+ a065: 6ff8.*
+ a066: 1424.*
+ a067: 0e12.*
+ a068: 6ff8.*
+ a069: 1425.*
+ a06a: 0f12.*
+ a06b: 6ff8.*
+ a06c: 1426.*
+ a06d: 0f12.*
+ a06e: 6ff8.*
+ a06f: 1427.*
+ a070: 0c13.*
+ a071: 6ff8.*
+ a072: 1428.*
+ a073: 0d13.*
+ a074: 6ff8.*
+ a075: 1429.*
+ a076: 0c13.*
+ a077: 6ff8.*
+ a078: 142a.*
+ a079: 0e13.*
+ a07a: 6ff8.*
+ a07b: 142b.*
+ a07c: 0f13.*
+ a07d: 6ff8.*
+ a07e: 142c.*
+ a07f: 0f13.*
+ a080: 6ff8.*
+ a081: 142d.*
+ a082: 0c14.*
+ a083: 6ff8.*
+ a084: 142e.*
+ a085: 0d14.*
+ a086: 6ff8.*
+ a087: 142f.*
+ a088: 0c14.*
+ a089: 6ff8.*
+ a08a: 1430.*
+ a08b: 0e14.*
+ a08c: 6ff8.*
+ a08d: 1431.*
+ a08e: 0f14.*
+ a08f: 6ff8.*
+ a090: 1432.*
+ a091: 0f14.*
+ a092: 6ff8.*
+ a093: 1433.*
+ a094: 0c15.*
+ a095: 6ff8.*
+ a096: 1434.*
+ a097: 0d15.*
+ a098: 6ff8.*
+ a099: 1435.*
+ a09a: 0c15.*
+ a09b: 6ff8.*
+ a09c: 1436.*
+ a09d: 0e15.*
+ a09e: 6ff8.*
+ a09f: 1437.*
+ a0a0: 0f15.*
+ a0a1: 6ff8.*
+ a0a2: 1438.*
+ a0a3: 0f15.*
+ a0a4: 6ff8.*
+ a0a5: 1439.*
+ a0a6: 0c16.*
+ a0a7: 6ff8.*
+ a0a8: 143a.*
+ a0a9: 0d16.*
+ a0aa: 6ff8.*
+ a0ab: 143b.*
+ a0ac: 0c16.*
+ a0ad: 6ff8.*
+ a0ae: 143c.*
+ a0af: 0e16.*
+ a0b0: 6ff8.*
+ a0b1: 143d.*
+ a0b2: 0f16.*
+ a0b3: 6ff8.*
+ a0b4: 143e.*
+ a0b5: 0f16.*
+ a0b6: 6ff8.*
+ a0b7: 143f.*
+ a0b8: 0c17.*
+ a0b9: 6ff8.*
+ a0ba: 1440.*
+ a0bb: 0d17.*
+ a0bc: 6ff8.*
+ a0bd: 1441.*
+ a0be: 0c17.*
+ a0bf: 6ff8.*
+ a0c0: 1442.*
+ a0c1: 0e17.*
+ a0c2: 6ff8.*
+ a0c3: 1443.*
+ a0c4: 0f17.*
+ a0c5: 6ff8.*
+ a0c6: 1444.*
+ a0c7: 0f17.*
+ a0c8: 6ff8.*
+ a0c9: 1445.*
+ a0ca: 0c18.*
+ a0cb: 6ff8.*
+ a0cc: 1446.*
+ a0cd: 0d18.*
+ a0ce: 6ff8.*
+ a0cf: 1447.*
+ a0d0: 0c18.*
+ a0d1: 6ff8.*
+ a0d2: 1448.*
+ a0d3: 0e18.*
+ a0d4: 6ff8.*
+ a0d5: 1449.*
+ a0d6: 0f18.*
+ a0d7: 6ff8.*
+ a0d8: 144a.*
+ a0d9: 0f18.*
+ a0da: 6ff8.*
+ a0db: 144b.*
+ a0dc: 0c19.*
+ a0dd: 6ff8.*
+ a0de: 144c.*
+ a0df: 0d19.*
+ a0e0: 6ff8.*
+ a0e1: 144d.*
+ a0e2: 0c19.*
+ a0e3: 6ff8.*
+ a0e4: 144e.*
+ a0e5: 0e19.*
+ a0e6: 6ff8.*
+ a0e7: 144f.*
+ a0e8: 0f19.*
+ a0e9: 6ff8.*
+ a0ea: 1450.*
+ a0eb: 0f19.*
+ a0ec: 6ff8.*
+ a0ed: 1451.*
+ a0ee: 0c1a.*
+ a0ef: 6ff8.*
+ a0f0: 1452.*
+ a0f1: 0d1a.*
+ a0f2: 6ff8.*
+ a0f3: 1453.*
+ a0f4: 0c1a.*
+ a0f5: 6ff8.*
+ a0f6: 1454.*
+ a0f7: 0e1a.*
+ a0f8: 6ff8.*
+ a0f9: 1455.*
+ a0fa: 0f1a.*
+ a0fb: 6ff8.*
+ a0fc: 1456.*
+ a0fd: 0f1a.*
+ a0fe: 6ff8.*
+ a0ff: 1457.*
+ a100: 0c1b.*
+ a101: 6ff8.*
+ a102: 1458.*
+ a103: 0d1b.*
+ a104: 6ff8.*
+ a105: 1459.*
+ a106: 0c1b.*
+ a107: 6ff8.*
+ a108: 145a.*
+ a109: 0e1b.*
+ a10a: 6ff8.*
+ a10b: 145b.*
+ a10c: 0f1b.*
+ a10d: 6ff8.*
+ a10e: 145c.*
+ a10f: 0f1b.*
+ a110: 6ff8.*
+ a111: 145d.*
+ a112: 0c1c.*
+ a113: 6ff8.*
+ a114: 145e.*
+ a115: 0d1c.*
+ a116: 6ff8.*
+ a117: 145f.*
+ a118: 0c1c.*
+ a119: 6ff8.*
+ a11a: 1460.*
+ a11b: 0e1c.*
+ a11c: 6ff8.*
+ a11d: 1461.*
+ a11e: 0f1c.*
+ a11f: 6ff8.*
+ a120: 1462.*
+ a121: 0f1c.*
+ a122: 6ff8.*
+ a123: 1463.*
+ a124: 0c1d.*
+ a125: 6ff8.*
+ a126: 1464.*
+ a127: 0d1d.*
+ a128: 6ff8.*
+ a129: 1465.*
+ a12a: 0c1d.*
+ a12b: 6ff8.*
+ a12c: 1466.*
+ a12d: 0e1d.*
+ a12e: 6ff8.*
+ a12f: 1467.*
+ a130: 0f1d.*
+ a131: 6ff8.*
+ a132: 1468.*
+ a133: 0f1d.*
+ a134: 6ff8.*
+ a135: 1469.*
+ a136: 0c1e.*
+ a137: 6ff8.*
+ a138: 146a.*
+ a139: 0d1e.*
+ a13a: 6ff8.*
+ a13b: 146b.*
+ a13c: 0c1e.*
+ a13d: 6ff8.*
+ a13e: 146c.*
+ a13f: 0e1e.*
+ a140: 6ff8.*
+ a141: 146d.*
+ a142: 0f1e.*
+ a143: 6ff8.*
+ a144: 146e.*
+ a145: 0f1e.*
+ a146: 6ff8.*
+ a147: 146f.*
+ a148: 0c1f.*
+ a149: 6ff8.*
+ a14a: 1470.*
+ a14b: 0d1f.*
+ a14c: 6ff8.*
+ a14d: 1471.*
+ a14e: 0c1f.*
+ a14f: 6ff8.*
+ a150: 1472.*
+ a151: 0e1f.*
+ a152: 6ff8.*
+ a153: 1473.*
+ a154: 0f1f.*
+ a155: 6ff8.*
+ a156: 1474.*
+ a157: 0f1f.*
+ a158: 00f8.*
+ a159: 1475.*
+ a15a: 6ff8.*
+ a15b: 1476.*
+ a15c: 0d00.*
+ a15d: 00f8.*
+ a15e: 1477.*
+ a15f: 6ff8.*
+ a160: 1478.*
+ a161: 0e00.*
+ a162: 01f8.*
+ a163: 1479.*
+ a164: 01f8.*
+ a165: 147a.*
+ a166: 6ff8.*
+ a167: 147b.*
+ a168: 0c01.*
+ a169: 6ff8.*
+ a16a: 147c.*
+ a16b: 0d01.*
+ a16c: 6ff8.*
+ a16d: 147d.*
+ a16e: 0c01.*
+ a16f: 6ff8.*
+ a170: 147e.*
+ a171: 0e01.*
+ a172: 6ff8.*
+ a173: 147f.*
+ a174: 0f01.*
+ a175: 6ff8.*
+ a176: 1480.*
+ a177: 0f01.*
+ a178: 6ff8.*
+ a179: 1481.*
+ a17a: 0c02.*
+ a17b: 6ff8.*
+ a17c: 1482.*
+ a17d: 0d02.*
+ a17e: 6ff8.*
+ a17f: 1483.*
+ a180: 0c02.*
+ a181: 6ff8.*
+ a182: 1484.*
+ a183: 0e02.*
+ a184: 6ff8.*
+ a185: 1485.*
+ a186: 0f02.*
+ a187: 6ff8.*
+ a188: 1486.*
+ a189: 0f02.*
+ a18a: 6ff8.*
+ a18b: 1487.*
+ a18c: 0c03.*
+ a18d: 6ff8.*
+ a18e: 1488.*
+ a18f: 0d03.*
+ a190: 6ff8.*
+ a191: 1489.*
+ a192: 0c03.*
+ a193: 6ff8.*
+ a194: 148a.*
+ a195: 0e03.*
+ a196: 6ff8.*
+ a197: 148b.*
+ a198: 0f03.*
+ a199: 6ff8.*
+ a19a: 148c.*
+ a19b: 0f03.*
+ a19c: 6ff8.*
+ a19d: 148d.*
+ a19e: 0c04.*
+ a19f: 6ff8.*
+ a1a0: 148e.*
+ a1a1: 0d04.*
+ a1a2: 6ff8.*
+ a1a3: 148f.*
+ a1a4: 0c04.*
+ a1a5: 6ff8.*
+ a1a6: 1490.*
+ a1a7: 0e04.*
+ a1a8: 6ff8.*
+ a1a9: 1491.*
+ a1aa: 0f04.*
+ a1ab: 6ff8.*
+ a1ac: 1492.*
+ a1ad: 0f04.*
+ a1ae: 6ff8.*
+ a1af: 1493.*
+ a1b0: 0c05.*
+ a1b1: 6ff8.*
+ a1b2: 1494.*
+ a1b3: 0d05.*
+ a1b4: 6ff8.*
+ a1b5: 1495.*
+ a1b6: 0c05.*
+ a1b7: 6ff8.*
+ a1b8: 1496.*
+ a1b9: 0e05.*
+ a1ba: 6ff8.*
+ a1bb: 1497.*
+ a1bc: 0f05.*
+ a1bd: 6ff8.*
+ a1be: 1498.*
+ a1bf: 0f05.*
+ a1c0: 6ff8.*
+ a1c1: 1499.*
+ a1c2: 0c06.*
+ a1c3: 6ff8.*
+ a1c4: 149a.*
+ a1c5: 0d06.*
+ a1c6: 6ff8.*
+ a1c7: 149b.*
+ a1c8: 0c06.*
+ a1c9: 6ff8.*
+ a1ca: 149c.*
+ a1cb: 0e06.*
+ a1cc: 6ff8.*
+ a1cd: 149d.*
+ a1ce: 0f06.*
+ a1cf: 6ff8.*
+ a1d0: 149e.*
+ a1d1: 0f06.*
+ a1d2: 6ff8.*
+ a1d3: 149f.*
+ a1d4: 0c07.*
+ a1d5: 6ff8.*
+ a1d6: 14a0.*
+ a1d7: 0d07.*
+ a1d8: 6ff8.*
+ a1d9: 14a1.*
+ a1da: 0c07.*
+ a1db: 6ff8.*
+ a1dc: 14a2.*
+ a1dd: 0e07.*
+ a1de: 6ff8.*
+ a1df: 14a3.*
+ a1e0: 0f07.*
+ a1e1: 6ff8.*
+ a1e2: 14a4.*
+ a1e3: 0f07.*
+ a1e4: 6ff8.*
+ a1e5: 14a5.*
+ a1e6: 0c08.*
+ a1e7: 6ff8.*
+ a1e8: 14a6.*
+ a1e9: 0d08.*
+ a1ea: 6ff8.*
+ a1eb: 14a7.*
+ a1ec: 0c08.*
+ a1ed: 6ff8.*
+ a1ee: 14a8.*
+ a1ef: 0e08.*
+ a1f0: 6ff8.*
+ a1f1: 14a9.*
+ a1f2: 0f08.*
+ a1f3: 6ff8.*
+ a1f4: 14aa.*
+ a1f5: 0f08.*
+ a1f6: 6ff8.*
+ a1f7: 14ab.*
+ a1f8: 0c09.*
+ a1f9: 6ff8.*
+ a1fa: 14ac.*
+ a1fb: 0d09.*
+ a1fc: 6ff8.*
+ a1fd: 14ad.*
+ a1fe: 0c09.*
+ a1ff: 6ff8.*
+ a200: 14ae.*
+ a201: 0e09.*
+ a202: 6ff8.*
+ a203: 14af.*
+ a204: 0f09.*
+ a205: 6ff8.*
+ a206: 14b0.*
+ a207: 0f09.*
+ a208: 6ff8.*
+ a209: 14b1.*
+ a20a: 0c0a.*
+ a20b: 6ff8.*
+ a20c: 14b2.*
+ a20d: 0d0a.*
+ a20e: 6ff8.*
+ a20f: 14b3.*
+ a210: 0c0a.*
+ a211: 6ff8.*
+ a212: 14b4.*
+ a213: 0e0a.*
+ a214: 6ff8.*
+ a215: 14b5.*
+ a216: 0f0a.*
+ a217: 6ff8.*
+ a218: 14b6.*
+ a219: 0f0a.*
+ a21a: 6ff8.*
+ a21b: 14b7.*
+ a21c: 0c0b.*
+ a21d: 6ff8.*
+ a21e: 14b8.*
+ a21f: 0d0b.*
+ a220: 6ff8.*
+ a221: 14b9.*
+ a222: 0c0b.*
+ a223: 6ff8.*
+ a224: 14ba.*
+ a225: 0e0b.*
+ a226: 6ff8.*
+ a227: 14bb.*
+ a228: 0f0b.*
+ a229: 6ff8.*
+ a22a: 14bc.*
+ a22b: 0f0b.*
+ a22c: 6ff8.*
+ a22d: 14bd.*
+ a22e: 0c0c.*
+ a22f: 6ff8.*
+ a230: 14be.*
+ a231: 0d0c.*
+ a232: 6ff8.*
+ a233: 14bf.*
+ a234: 0c0c.*
+ a235: 6ff8.*
+ a236: 14c0.*
+ a237: 0e0c.*
+ a238: 6ff8.*
+ a239: 14c1.*
+ a23a: 0f0c.*
+ a23b: 6ff8.*
+ a23c: 14c2.*
+ a23d: 0f0c.*
+ a23e: 6ff8.*
+ a23f: 14c3.*
+ a240: 0c0d.*
+ a241: 6ff8.*
+ a242: 14c4.*
+ a243: 0d0d.*
+ a244: 6ff8.*
+ a245: 14c5.*
+ a246: 0c0d.*
+ a247: 6ff8.*
+ a248: 14c6.*
+ a249: 0e0d.*
+ a24a: 6ff8.*
+ a24b: 14c7.*
+ a24c: 0f0d.*
+ a24d: 6ff8.*
+ a24e: 14c8.*
+ a24f: 0f0d.*
+ a250: 6ff8.*
+ a251: 14c9.*
+ a252: 0c0e.*
+ a253: 6ff8.*
+ a254: 14ca.*
+ a255: 0d0e.*
+ a256: 6ff8.*
+ a257: 14cb.*
+ a258: 0c0e.*
+ a259: 6ff8.*
+ a25a: 14cc.*
+ a25b: 0e0e.*
+ a25c: 6ff8.*
+ a25d: 14cd.*
+ a25e: 0f0e.*
+ a25f: 6ff8.*
+ a260: 14ce.*
+ a261: 0f0e.*
+ a262: 6ff8.*
+ a263: 14cf.*
+ a264: 0c0f.*
+ a265: 6ff8.*
+ a266: 14d0.*
+ a267: 0d0f.*
+ a268: 6ff8.*
+ a269: 14d1.*
+ a26a: 0c0f.*
+ a26b: 6ff8.*
+ a26c: 14d2.*
+ a26d: 0e0f.*
+ a26e: 6ff8.*
+ a26f: 14d3.*
+ a270: 0f0f.*
+ a271: 6ff8.*
+ a272: 14d4.*
+ a273: 0f0f.*
+ a274: 0086.*
+ a275: 6f86.*
+ a276: 0d00.*
+ a277: 0086.*
+ a278: 6f86.*
+ a279: 0e00.*
+ a27a: 0186.*
+ a27b: 0186.*
+ a27c: 6f86.*
+ a27d: 0c10.*
+ a27e: 6f86.*
+ a27f: 0d10.*
+ a280: 6f86.*
+ a281: 0c10.*
+ a282: 6f86.*
+ a283: 0e10.*
+ a284: 6f86.*
+ a285: 0f10.*
+ a286: 6f86.*
+ a287: 0f10.*
+ a288: 6f86.*
+ a289: 0c11.*
+ a28a: 6f86.*
+ a28b: 0d11.*
+ a28c: 6f86.*
+ a28d: 0c11.*
+ a28e: 6f86.*
+ a28f: 0e11.*
+ a290: 6f86.*
+ a291: 0f11.*
+ a292: 6f86.*
+ a293: 0f11.*
+ a294: 6f86.*
+ a295: 0c12.*
+ a296: 6f86.*
+ a297: 0d12.*
+ a298: 6f86.*
+ a299: 0c12.*
+ a29a: 6f86.*
+ a29b: 0e12.*
+ a29c: 6f86.*
+ a29d: 0f12.*
+ a29e: 6f86.*
+ a29f: 0f12.*
+ a2a0: 6f86.*
+ a2a1: 0c13.*
+ a2a2: 6f86.*
+ a2a3: 0d13.*
+ a2a4: 6f86.*
+ a2a5: 0c13.*
+ a2a6: 6f86.*
+ a2a7: 0e13.*
+ a2a8: 6f86.*
+ a2a9: 0f13.*
+ a2aa: 6f86.*
+ a2ab: 0f13.*
+ a2ac: 6f86.*
+ a2ad: 0c14.*
+ a2ae: 6f86.*
+ a2af: 0d14.*
+ a2b0: 6f86.*
+ a2b1: 0c14.*
+ a2b2: 6f86.*
+ a2b3: 0e14.*
+ a2b4: 6f86.*
+ a2b5: 0f14.*
+ a2b6: 6f86.*
+ a2b7: 0f14.*
+ a2b8: 6f86.*
+ a2b9: 0c15.*
+ a2ba: 6f86.*
+ a2bb: 0d15.*
+ a2bc: 6f86.*
+ a2bd: 0c15.*
+ a2be: 6f86.*
+ a2bf: 0e15.*
+ a2c0: 6f86.*
+ a2c1: 0f15.*
+ a2c2: 6f86.*
+ a2c3: 0f15.*
+ a2c4: 6f86.*
+ a2c5: 0c16.*
+ a2c6: 6f86.*
+ a2c7: 0d16.*
+ a2c8: 6f86.*
+ a2c9: 0c16.*
+ a2ca: 6f86.*
+ a2cb: 0e16.*
+ a2cc: 6f86.*
+ a2cd: 0f16.*
+ a2ce: 6f86.*
+ a2cf: 0f16.*
+ a2d0: 6f86.*
+ a2d1: 0c17.*
+ a2d2: 6f86.*
+ a2d3: 0d17.*
+ a2d4: 6f86.*
+ a2d5: 0c17.*
+ a2d6: 6f86.*
+ a2d7: 0e17.*
+ a2d8: 6f86.*
+ a2d9: 0f17.*
+ a2da: 6f86.*
+ a2db: 0f17.*
+ a2dc: 6f86.*
+ a2dd: 0c18.*
+ a2de: 6f86.*
+ a2df: 0d18.*
+ a2e0: 6f86.*
+ a2e1: 0c18.*
+ a2e2: 6f86.*
+ a2e3: 0e18.*
+ a2e4: 6f86.*
+ a2e5: 0f18.*
+ a2e6: 6f86.*
+ a2e7: 0f18.*
+ a2e8: 6f86.*
+ a2e9: 0c19.*
+ a2ea: 6f86.*
+ a2eb: 0d19.*
+ a2ec: 6f86.*
+ a2ed: 0c19.*
+ a2ee: 6f86.*
+ a2ef: 0e19.*
+ a2f0: 6f86.*
+ a2f1: 0f19.*
+ a2f2: 6f86.*
+ a2f3: 0f19.*
+ a2f4: 6f86.*
+ a2f5: 0c1a.*
+ a2f6: 6f86.*
+ a2f7: 0d1a.*
+ a2f8: 6f86.*
+ a2f9: 0c1a.*
+ a2fa: 6f86.*
+ a2fb: 0e1a.*
+ a2fc: 6f86.*
+ a2fd: 0f1a.*
+ a2fe: 6f86.*
+ a2ff: 0f1a.*
+ a300: 6f86.*
+ a301: 0c1b.*
+ a302: 6f86.*
+ a303: 0d1b.*
+ a304: 6f86.*
+ a305: 0c1b.*
+ a306: 6f86.*
+ a307: 0e1b.*
+ a308: 6f86.*
+ a309: 0f1b.*
+ a30a: 6f86.*
+ a30b: 0f1b.*
+ a30c: 6f86.*
+ a30d: 0c1c.*
+ a30e: 6f86.*
+ a30f: 0d1c.*
+ a310: 6f86.*
+ a311: 0c1c.*
+ a312: 6f86.*
+ a313: 0e1c.*
+ a314: 6f86.*
+ a315: 0f1c.*
+ a316: 6f86.*
+ a317: 0f1c.*
+ a318: 6f86.*
+ a319: 0c1d.*
+ a31a: 6f86.*
+ a31b: 0d1d.*
+ a31c: 6f86.*
+ a31d: 0c1d.*
+ a31e: 6f86.*
+ a31f: 0e1d.*
+ a320: 6f86.*
+ a321: 0f1d.*
+ a322: 6f86.*
+ a323: 0f1d.*
+ a324: 6f86.*
+ a325: 0c1e.*
+ a326: 6f86.*
+ a327: 0d1e.*
+ a328: 6f86.*
+ a329: 0c1e.*
+ a32a: 6f86.*
+ a32b: 0e1e.*
+ a32c: 6f86.*
+ a32d: 0f1e.*
+ a32e: 6f86.*
+ a32f: 0f1e.*
+ a330: 6f86.*
+ a331: 0c1f.*
+ a332: 6f86.*
+ a333: 0d1f.*
+ a334: 6f86.*
+ a335: 0c1f.*
+ a336: 6f86.*
+ a337: 0e1f.*
+ a338: 6f86.*
+ a339: 0f1f.*
+ a33a: 6f86.*
+ a33b: 0f1f.*
+ a33c: 0086.*
+ a33d: 6f86.*
+ a33e: 0d00.*
+ a33f: 0086.*
+ a340: 6f86.*
+ a341: 0e00.*
+ a342: 0186.*
+ a343: 0186.*
+ a344: 6f86.*
+ a345: 0c01.*
+ a346: 6f86.*
+ a347: 0d01.*
+ a348: 6f86.*
+ a349: 0c01.*
+ a34a: 6f86.*
+ a34b: 0e01.*
+ a34c: 6f86.*
+ a34d: 0f01.*
+ a34e: 6f86.*
+ a34f: 0f01.*
+ a350: 6f86.*
+ a351: 0c02.*
+ a352: 6f86.*
+ a353: 0d02.*
+ a354: 6f86.*
+ a355: 0c02.*
+ a356: 6f86.*
+ a357: 0e02.*
+ a358: 6f86.*
+ a359: 0f02.*
+ a35a: 6f86.*
+ a35b: 0f02.*
+ a35c: 6f86.*
+ a35d: 0c03.*
+ a35e: 6f86.*
+ a35f: 0d03.*
+ a360: 6f86.*
+ a361: 0c03.*
+ a362: 6f86.*
+ a363: 0e03.*
+ a364: 6f86.*
+ a365: 0f03.*
+ a366: 6f86.*
+ a367: 0f03.*
+ a368: 6f86.*
+ a369: 0c04.*
+ a36a: 6f86.*
+ a36b: 0d04.*
+ a36c: 6f86.*
+ a36d: 0c04.*
+ a36e: 6f86.*
+ a36f: 0e04.*
+ a370: 6f86.*
+ a371: 0f04.*
+ a372: 6f86.*
+ a373: 0f04.*
+ a374: 6f86.*
+ a375: 0c05.*
+ a376: 6f86.*
+ a377: 0d05.*
+ a378: 6f86.*
+ a379: 0c05.*
+ a37a: 6f86.*
+ a37b: 0e05.*
+ a37c: 6f86.*
+ a37d: 0f05.*
+ a37e: 6f86.*
+ a37f: 0f05.*
+ a380: 6f86.*
+ a381: 0c06.*
+ a382: 6f86.*
+ a383: 0d06.*
+ a384: 6f86.*
+ a385: 0c06.*
+ a386: 6f86.*
+ a387: 0e06.*
+ a388: 6f86.*
+ a389: 0f06.*
+ a38a: 6f86.*
+ a38b: 0f06.*
+ a38c: 6f86.*
+ a38d: 0c07.*
+ a38e: 6f86.*
+ a38f: 0d07.*
+ a390: 6f86.*
+ a391: 0c07.*
+ a392: 6f86.*
+ a393: 0e07.*
+ a394: 6f86.*
+ a395: 0f07.*
+ a396: 6f86.*
+ a397: 0f07.*
+ a398: 6f86.*
+ a399: 0c08.*
+ a39a: 6f86.*
+ a39b: 0d08.*
+ a39c: 6f86.*
+ a39d: 0c08.*
+ a39e: 6f86.*
+ a39f: 0e08.*
+ a3a0: 6f86.*
+ a3a1: 0f08.*
+ a3a2: 6f86.*
+ a3a3: 0f08.*
+ a3a4: 6f86.*
+ a3a5: 0c09.*
+ a3a6: 6f86.*
+ a3a7: 0d09.*
+ a3a8: 6f86.*
+ a3a9: 0c09.*
+ a3aa: 6f86.*
+ a3ab: 0e09.*
+ a3ac: 6f86.*
+ a3ad: 0f09.*
+ a3ae: 6f86.*
+ a3af: 0f09.*
+ a3b0: 6f86.*
+ a3b1: 0c0a.*
+ a3b2: 6f86.*
+ a3b3: 0d0a.*
+ a3b4: 6f86.*
+ a3b5: 0c0a.*
+ a3b6: 6f86.*
+ a3b7: 0e0a.*
+ a3b8: 6f86.*
+ a3b9: 0f0a.*
+ a3ba: 6f86.*
+ a3bb: 0f0a.*
+ a3bc: 6f86.*
+ a3bd: 0c0b.*
+ a3be: 6f86.*
+ a3bf: 0d0b.*
+ a3c0: 6f86.*
+ a3c1: 0c0b.*
+ a3c2: 6f86.*
+ a3c3: 0e0b.*
+ a3c4: 6f86.*
+ a3c5: 0f0b.*
+ a3c6: 6f86.*
+ a3c7: 0f0b.*
+ a3c8: 6f86.*
+ a3c9: 0c0c.*
+ a3ca: 6f86.*
+ a3cb: 0d0c.*
+ a3cc: 6f86.*
+ a3cd: 0c0c.*
+ a3ce: 6f86.*
+ a3cf: 0e0c.*
+ a3d0: 6f86.*
+ a3d1: 0f0c.*
+ a3d2: 6f86.*
+ a3d3: 0f0c.*
+ a3d4: 6f86.*
+ a3d5: 0c0d.*
+ a3d6: 6f86.*
+ a3d7: 0d0d.*
+ a3d8: 6f86.*
+ a3d9: 0c0d.*
+ a3da: 6f86.*
+ a3db: 0e0d.*
+ a3dc: 6f86.*
+ a3dd: 0f0d.*
+ a3de: 6f86.*
+ a3df: 0f0d.*
+ a3e0: 6f86.*
+ a3e1: 0c0e.*
+ a3e2: 6f86.*
+ a3e3: 0d0e.*
+ a3e4: 6f86.*
+ a3e5: 0c0e.*
+ a3e6: 6f86.*
+ a3e7: 0e0e.*
+ a3e8: 6f86.*
+ a3e9: 0f0e.*
+ a3ea: 6f86.*
+ a3eb: 0f0e.*
+ a3ec: 6f86.*
+ a3ed: 0c0f.*
+ a3ee: 6f86.*
+ a3ef: 0d0f.*
+ a3f0: 6f86.*
+ a3f1: 0c0f.*
+ a3f2: 6f86.*
+ a3f3: 0e0f.*
+ a3f4: 6f86.*
+ a3f5: 0f0f.*
+ a3f6: 6f86.*
+ a3f7: 0f0f.*
+ a3f8: 008e.*
+ a3f9: 6f8e.*
+ a3fa: 0d00.*
+ a3fb: 008e.*
+ a3fc: 6f8e.*
+ a3fd: 0e00.*
+ a3fe: 018e.*
+ a3ff: 018e.*
+ a400: 6f8e.*
+ a401: 0c10.*
+ a402: 6f8e.*
+ a403: 0d10.*
+ a404: 6f8e.*
+ a405: 0c10.*
+ a406: 6f8e.*
+ a407: 0e10.*
+ a408: 6f8e.*
+ a409: 0f10.*
+ a40a: 6f8e.*
+ a40b: 0f10.*
+ a40c: 6f8e.*
+ a40d: 0c11.*
+ a40e: 6f8e.*
+ a40f: 0d11.*
+ a410: 6f8e.*
+ a411: 0c11.*
+ a412: 6f8e.*
+ a413: 0e11.*
+ a414: 6f8e.*
+ a415: 0f11.*
+ a416: 6f8e.*
+ a417: 0f11.*
+ a418: 6f8e.*
+ a419: 0c12.*
+ a41a: 6f8e.*
+ a41b: 0d12.*
+ a41c: 6f8e.*
+ a41d: 0c12.*
+ a41e: 6f8e.*
+ a41f: 0e12.*
+ a420: 6f8e.*
+ a421: 0f12.*
+ a422: 6f8e.*
+ a423: 0f12.*
+ a424: 6f8e.*
+ a425: 0c13.*
+ a426: 6f8e.*
+ a427: 0d13.*
+ a428: 6f8e.*
+ a429: 0c13.*
+ a42a: 6f8e.*
+ a42b: 0e13.*
+ a42c: 6f8e.*
+ a42d: 0f13.*
+ a42e: 6f8e.*
+ a42f: 0f13.*
+ a430: 6f8e.*
+ a431: 0c14.*
+ a432: 6f8e.*
+ a433: 0d14.*
+ a434: 6f8e.*
+ a435: 0c14.*
+ a436: 6f8e.*
+ a437: 0e14.*
+ a438: 6f8e.*
+ a439: 0f14.*
+ a43a: 6f8e.*
+ a43b: 0f14.*
+ a43c: 6f8e.*
+ a43d: 0c15.*
+ a43e: 6f8e.*
+ a43f: 0d15.*
+ a440: 6f8e.*
+ a441: 0c15.*
+ a442: 6f8e.*
+ a443: 0e15.*
+ a444: 6f8e.*
+ a445: 0f15.*
+ a446: 6f8e.*
+ a447: 0f15.*
+ a448: 6f8e.*
+ a449: 0c16.*
+ a44a: 6f8e.*
+ a44b: 0d16.*
+ a44c: 6f8e.*
+ a44d: 0c16.*
+ a44e: 6f8e.*
+ a44f: 0e16.*
+ a450: 6f8e.*
+ a451: 0f16.*
+ a452: 6f8e.*
+ a453: 0f16.*
+ a454: 6f8e.*
+ a455: 0c17.*
+ a456: 6f8e.*
+ a457: 0d17.*
+ a458: 6f8e.*
+ a459: 0c17.*
+ a45a: 6f8e.*
+ a45b: 0e17.*
+ a45c: 6f8e.*
+ a45d: 0f17.*
+ a45e: 6f8e.*
+ a45f: 0f17.*
+ a460: 6f8e.*
+ a461: 0c18.*
+ a462: 6f8e.*
+ a463: 0d18.*
+ a464: 6f8e.*
+ a465: 0c18.*
+ a466: 6f8e.*
+ a467: 0e18.*
+ a468: 6f8e.*
+ a469: 0f18.*
+ a46a: 6f8e.*
+ a46b: 0f18.*
+ a46c: 6f8e.*
+ a46d: 0c19.*
+ a46e: 6f8e.*
+ a46f: 0d19.*
+ a470: 6f8e.*
+ a471: 0c19.*
+ a472: 6f8e.*
+ a473: 0e19.*
+ a474: 6f8e.*
+ a475: 0f19.*
+ a476: 6f8e.*
+ a477: 0f19.*
+ a478: 6f8e.*
+ a479: 0c1a.*
+ a47a: 6f8e.*
+ a47b: 0d1a.*
+ a47c: 6f8e.*
+ a47d: 0c1a.*
+ a47e: 6f8e.*
+ a47f: 0e1a.*
+ a480: 6f8e.*
+ a481: 0f1a.*
+ a482: 6f8e.*
+ a483: 0f1a.*
+ a484: 6f8e.*
+ a485: 0c1b.*
+ a486: 6f8e.*
+ a487: 0d1b.*
+ a488: 6f8e.*
+ a489: 0c1b.*
+ a48a: 6f8e.*
+ a48b: 0e1b.*
+ a48c: 6f8e.*
+ a48d: 0f1b.*
+ a48e: 6f8e.*
+ a48f: 0f1b.*
+ a490: 6f8e.*
+ a491: 0c1c.*
+ a492: 6f8e.*
+ a493: 0d1c.*
+ a494: 6f8e.*
+ a495: 0c1c.*
+ a496: 6f8e.*
+ a497: 0e1c.*
+ a498: 6f8e.*
+ a499: 0f1c.*
+ a49a: 6f8e.*
+ a49b: 0f1c.*
+ a49c: 6f8e.*
+ a49d: 0c1d.*
+ a49e: 6f8e.*
+ a49f: 0d1d.*
+ a4a0: 6f8e.*
+ a4a1: 0c1d.*
+ a4a2: 6f8e.*
+ a4a3: 0e1d.*
+ a4a4: 6f8e.*
+ a4a5: 0f1d.*
+ a4a6: 6f8e.*
+ a4a7: 0f1d.*
+ a4a8: 6f8e.*
+ a4a9: 0c1e.*
+ a4aa: 6f8e.*
+ a4ab: 0d1e.*
+ a4ac: 6f8e.*
+ a4ad: 0c1e.*
+ a4ae: 6f8e.*
+ a4af: 0e1e.*
+ a4b0: 6f8e.*
+ a4b1: 0f1e.*
+ a4b2: 6f8e.*
+ a4b3: 0f1e.*
+ a4b4: 6f8e.*
+ a4b5: 0c1f.*
+ a4b6: 6f8e.*
+ a4b7: 0d1f.*
+ a4b8: 6f8e.*
+ a4b9: 0c1f.*
+ a4ba: 6f8e.*
+ a4bb: 0e1f.*
+ a4bc: 6f8e.*
+ a4bd: 0f1f.*
+ a4be: 6f8e.*
+ a4bf: 0f1f.*
+ a4c0: 008e.*
+ a4c1: 6f8e.*
+ a4c2: 0d00.*
+ a4c3: 008e.*
+ a4c4: 6f8e.*
+ a4c5: 0e00.*
+ a4c6: 018e.*
+ a4c7: 018e.*
+ a4c8: 6f8e.*
+ a4c9: 0c01.*
+ a4ca: 6f8e.*
+ a4cb: 0d01.*
+ a4cc: 6f8e.*
+ a4cd: 0c01.*
+ a4ce: 6f8e.*
+ a4cf: 0e01.*
+ a4d0: 6f8e.*
+ a4d1: 0f01.*
+ a4d2: 6f8e.*
+ a4d3: 0f01.*
+ a4d4: 6f8e.*
+ a4d5: 0c02.*
+ a4d6: 6f8e.*
+ a4d7: 0d02.*
+ a4d8: 6f8e.*
+ a4d9: 0c02.*
+ a4da: 6f8e.*
+ a4db: 0e02.*
+ a4dc: 6f8e.*
+ a4dd: 0f02.*
+ a4de: 6f8e.*
+ a4df: 0f02.*
+ a4e0: 6f8e.*
+ a4e1: 0c03.*
+ a4e2: 6f8e.*
+ a4e3: 0d03.*
+ a4e4: 6f8e.*
+ a4e5: 0c03.*
+ a4e6: 6f8e.*
+ a4e7: 0e03.*
+ a4e8: 6f8e.*
+ a4e9: 0f03.*
+ a4ea: 6f8e.*
+ a4eb: 0f03.*
+ a4ec: 6f8e.*
+ a4ed: 0c04.*
+ a4ee: 6f8e.*
+ a4ef: 0d04.*
+ a4f0: 6f8e.*
+ a4f1: 0c04.*
+ a4f2: 6f8e.*
+ a4f3: 0e04.*
+ a4f4: 6f8e.*
+ a4f5: 0f04.*
+ a4f6: 6f8e.*
+ a4f7: 0f04.*
+ a4f8: 6f8e.*
+ a4f9: 0c05.*
+ a4fa: 6f8e.*
+ a4fb: 0d05.*
+ a4fc: 6f8e.*
+ a4fd: 0c05.*
+ a4fe: 6f8e.*
+ a4ff: 0e05.*
+ a500: 6f8e.*
+ a501: 0f05.*
+ a502: 6f8e.*
+ a503: 0f05.*
+ a504: 6f8e.*
+ a505: 0c06.*
+ a506: 6f8e.*
+ a507: 0d06.*
+ a508: 6f8e.*
+ a509: 0c06.*
+ a50a: 6f8e.*
+ a50b: 0e06.*
+ a50c: 6f8e.*
+ a50d: 0f06.*
+ a50e: 6f8e.*
+ a50f: 0f06.*
+ a510: 6f8e.*
+ a511: 0c07.*
+ a512: 6f8e.*
+ a513: 0d07.*
+ a514: 6f8e.*
+ a515: 0c07.*
+ a516: 6f8e.*
+ a517: 0e07.*
+ a518: 6f8e.*
+ a519: 0f07.*
+ a51a: 6f8e.*
+ a51b: 0f07.*
+ a51c: 6f8e.*
+ a51d: 0c08.*
+ a51e: 6f8e.*
+ a51f: 0d08.*
+ a520: 6f8e.*
+ a521: 0c08.*
+ a522: 6f8e.*
+ a523: 0e08.*
+ a524: 6f8e.*
+ a525: 0f08.*
+ a526: 6f8e.*
+ a527: 0f08.*
+ a528: 6f8e.*
+ a529: 0c09.*
+ a52a: 6f8e.*
+ a52b: 0d09.*
+ a52c: 6f8e.*
+ a52d: 0c09.*
+ a52e: 6f8e.*
+ a52f: 0e09.*
+ a530: 6f8e.*
+ a531: 0f09.*
+ a532: 6f8e.*
+ a533: 0f09.*
+ a534: 6f8e.*
+ a535: 0c0a.*
+ a536: 6f8e.*
+ a537: 0d0a.*
+ a538: 6f8e.*
+ a539: 0c0a.*
+ a53a: 6f8e.*
+ a53b: 0e0a.*
+ a53c: 6f8e.*
+ a53d: 0f0a.*
+ a53e: 6f8e.*
+ a53f: 0f0a.*
+ a540: 6f8e.*
+ a541: 0c0b.*
+ a542: 6f8e.*
+ a543: 0d0b.*
+ a544: 6f8e.*
+ a545: 0c0b.*
+ a546: 6f8e.*
+ a547: 0e0b.*
+ a548: 6f8e.*
+ a549: 0f0b.*
+ a54a: 6f8e.*
+ a54b: 0f0b.*
+ a54c: 6f8e.*
+ a54d: 0c0c.*
+ a54e: 6f8e.*
+ a54f: 0d0c.*
+ a550: 6f8e.*
+ a551: 0c0c.*
+ a552: 6f8e.*
+ a553: 0e0c.*
+ a554: 6f8e.*
+ a555: 0f0c.*
+ a556: 6f8e.*
+ a557: 0f0c.*
+ a558: 6f8e.*
+ a559: 0c0d.*
+ a55a: 6f8e.*
+ a55b: 0d0d.*
+ a55c: 6f8e.*
+ a55d: 0c0d.*
+ a55e: 6f8e.*
+ a55f: 0e0d.*
+ a560: 6f8e.*
+ a561: 0f0d.*
+ a562: 6f8e.*
+ a563: 0f0d.*
+ a564: 6f8e.*
+ a565: 0c0e.*
+ a566: 6f8e.*
+ a567: 0d0e.*
+ a568: 6f8e.*
+ a569: 0c0e.*
+ a56a: 6f8e.*
+ a56b: 0e0e.*
+ a56c: 6f8e.*
+ a56d: 0f0e.*
+ a56e: 6f8e.*
+ a56f: 0f0e.*
+ a570: 6f8e.*
+ a571: 0c0f.*
+ a572: 6f8e.*
+ a573: 0d0f.*
+ a574: 6f8e.*
+ a575: 0c0f.*
+ a576: 6f8e.*
+ a577: 0e0f.*
+ a578: 6f8e.*
+ a579: 0f0f.*
+ a57a: 6f8e.*
+ a57b: 0f0f.*
+ a57c: 0096.*
+ a57d: 6f96.*
+ a57e: 0d00.*
+ a57f: 0096.*
+ a580: 6f96.*
+ a581: 0e00.*
+ a582: 0196.*
+ a583: 0196.*
+ a584: 6f96.*
+ a585: 0c10.*
+ a586: 6f96.*
+ a587: 0d10.*
+ a588: 6f96.*
+ a589: 0c10.*
+ a58a: 6f96.*
+ a58b: 0e10.*
+ a58c: 6f96.*
+ a58d: 0f10.*
+ a58e: 6f96.*
+ a58f: 0f10.*
+ a590: 6f96.*
+ a591: 0c11.*
+ a592: 6f96.*
+ a593: 0d11.*
+ a594: 6f96.*
+ a595: 0c11.*
+ a596: 6f96.*
+ a597: 0e11.*
+ a598: 6f96.*
+ a599: 0f11.*
+ a59a: 6f96.*
+ a59b: 0f11.*
+ a59c: 6f96.*
+ a59d: 0c12.*
+ a59e: 6f96.*
+ a59f: 0d12.*
+ a5a0: 6f96.*
+ a5a1: 0c12.*
+ a5a2: 6f96.*
+ a5a3: 0e12.*
+ a5a4: 6f96.*
+ a5a5: 0f12.*
+ a5a6: 6f96.*
+ a5a7: 0f12.*
+ a5a8: 6f96.*
+ a5a9: 0c13.*
+ a5aa: 6f96.*
+ a5ab: 0d13.*
+ a5ac: 6f96.*
+ a5ad: 0c13.*
+ a5ae: 6f96.*
+ a5af: 0e13.*
+ a5b0: 6f96.*
+ a5b1: 0f13.*
+ a5b2: 6f96.*
+ a5b3: 0f13.*
+ a5b4: 6f96.*
+ a5b5: 0c14.*
+ a5b6: 6f96.*
+ a5b7: 0d14.*
+ a5b8: 6f96.*
+ a5b9: 0c14.*
+ a5ba: 6f96.*
+ a5bb: 0e14.*
+ a5bc: 6f96.*
+ a5bd: 0f14.*
+ a5be: 6f96.*
+ a5bf: 0f14.*
+ a5c0: 6f96.*
+ a5c1: 0c15.*
+ a5c2: 6f96.*
+ a5c3: 0d15.*
+ a5c4: 6f96.*
+ a5c5: 0c15.*
+ a5c6: 6f96.*
+ a5c7: 0e15.*
+ a5c8: 6f96.*
+ a5c9: 0f15.*
+ a5ca: 6f96.*
+ a5cb: 0f15.*
+ a5cc: 6f96.*
+ a5cd: 0c16.*
+ a5ce: 6f96.*
+ a5cf: 0d16.*
+ a5d0: 6f96.*
+ a5d1: 0c16.*
+ a5d2: 6f96.*
+ a5d3: 0e16.*
+ a5d4: 6f96.*
+ a5d5: 0f16.*
+ a5d6: 6f96.*
+ a5d7: 0f16.*
+ a5d8: 6f96.*
+ a5d9: 0c17.*
+ a5da: 6f96.*
+ a5db: 0d17.*
+ a5dc: 6f96.*
+ a5dd: 0c17.*
+ a5de: 6f96.*
+ a5df: 0e17.*
+ a5e0: 6f96.*
+ a5e1: 0f17.*
+ a5e2: 6f96.*
+ a5e3: 0f17.*
+ a5e4: 6f96.*
+ a5e5: 0c18.*
+ a5e6: 6f96.*
+ a5e7: 0d18.*
+ a5e8: 6f96.*
+ a5e9: 0c18.*
+ a5ea: 6f96.*
+ a5eb: 0e18.*
+ a5ec: 6f96.*
+ a5ed: 0f18.*
+ a5ee: 6f96.*
+ a5ef: 0f18.*
+ a5f0: 6f96.*
+ a5f1: 0c19.*
+ a5f2: 6f96.*
+ a5f3: 0d19.*
+ a5f4: 6f96.*
+ a5f5: 0c19.*
+ a5f6: 6f96.*
+ a5f7: 0e19.*
+ a5f8: 6f96.*
+ a5f9: 0f19.*
+ a5fa: 6f96.*
+ a5fb: 0f19.*
+ a5fc: 6f96.*
+ a5fd: 0c1a.*
+ a5fe: 6f96.*
+ a5ff: 0d1a.*
+ a600: 6f96.*
+ a601: 0c1a.*
+ a602: 6f96.*
+ a603: 0e1a.*
+ a604: 6f96.*
+ a605: 0f1a.*
+ a606: 6f96.*
+ a607: 0f1a.*
+ a608: 6f96.*
+ a609: 0c1b.*
+ a60a: 6f96.*
+ a60b: 0d1b.*
+ a60c: 6f96.*
+ a60d: 0c1b.*
+ a60e: 6f96.*
+ a60f: 0e1b.*
+ a610: 6f96.*
+ a611: 0f1b.*
+ a612: 6f96.*
+ a613: 0f1b.*
+ a614: 6f96.*
+ a615: 0c1c.*
+ a616: 6f96.*
+ a617: 0d1c.*
+ a618: 6f96.*
+ a619: 0c1c.*
+ a61a: 6f96.*
+ a61b: 0e1c.*
+ a61c: 6f96.*
+ a61d: 0f1c.*
+ a61e: 6f96.*
+ a61f: 0f1c.*
+ a620: 6f96.*
+ a621: 0c1d.*
+ a622: 6f96.*
+ a623: 0d1d.*
+ a624: 6f96.*
+ a625: 0c1d.*
+ a626: 6f96.*
+ a627: 0e1d.*
+ a628: 6f96.*
+ a629: 0f1d.*
+ a62a: 6f96.*
+ a62b: 0f1d.*
+ a62c: 6f96.*
+ a62d: 0c1e.*
+ a62e: 6f96.*
+ a62f: 0d1e.*
+ a630: 6f96.*
+ a631: 0c1e.*
+ a632: 6f96.*
+ a633: 0e1e.*
+ a634: 6f96.*
+ a635: 0f1e.*
+ a636: 6f96.*
+ a637: 0f1e.*
+ a638: 6f96.*
+ a639: 0c1f.*
+ a63a: 6f96.*
+ a63b: 0d1f.*
+ a63c: 6f96.*
+ a63d: 0c1f.*
+ a63e: 6f96.*
+ a63f: 0e1f.*
+ a640: 6f96.*
+ a641: 0f1f.*
+ a642: 6f96.*
+ a643: 0f1f.*
+ a644: 0096.*
+ a645: 6f96.*
+ a646: 0d00.*
+ a647: 0096.*
+ a648: 6f96.*
+ a649: 0e00.*
+ a64a: 0196.*
+ a64b: 0196.*
+ a64c: 6f96.*
+ a64d: 0c01.*
+ a64e: 6f96.*
+ a64f: 0d01.*
+ a650: 6f96.*
+ a651: 0c01.*
+ a652: 6f96.*
+ a653: 0e01.*
+ a654: 6f96.*
+ a655: 0f01.*
+ a656: 6f96.*
+ a657: 0f01.*
+ a658: 6f96.*
+ a659: 0c02.*
+ a65a: 6f96.*
+ a65b: 0d02.*
+ a65c: 6f96.*
+ a65d: 0c02.*
+ a65e: 6f96.*
+ a65f: 0e02.*
+ a660: 6f96.*
+ a661: 0f02.*
+ a662: 6f96.*
+ a663: 0f02.*
+ a664: 6f96.*
+ a665: 0c03.*
+ a666: 6f96.*
+ a667: 0d03.*
+ a668: 6f96.*
+ a669: 0c03.*
+ a66a: 6f96.*
+ a66b: 0e03.*
+ a66c: 6f96.*
+ a66d: 0f03.*
+ a66e: 6f96.*
+ a66f: 0f03.*
+ a670: 6f96.*
+ a671: 0c04.*
+ a672: 6f96.*
+ a673: 0d04.*
+ a674: 6f96.*
+ a675: 0c04.*
+ a676: 6f96.*
+ a677: 0e04.*
+ a678: 6f96.*
+ a679: 0f04.*
+ a67a: 6f96.*
+ a67b: 0f04.*
+ a67c: 6f96.*
+ a67d: 0c05.*
+ a67e: 6f96.*
+ a67f: 0d05.*
+ a680: 6f96.*
+ a681: 0c05.*
+ a682: 6f96.*
+ a683: 0e05.*
+ a684: 6f96.*
+ a685: 0f05.*
+ a686: 6f96.*
+ a687: 0f05.*
+ a688: 6f96.*
+ a689: 0c06.*
+ a68a: 6f96.*
+ a68b: 0d06.*
+ a68c: 6f96.*
+ a68d: 0c06.*
+ a68e: 6f96.*
+ a68f: 0e06.*
+ a690: 6f96.*
+ a691: 0f06.*
+ a692: 6f96.*
+ a693: 0f06.*
+ a694: 6f96.*
+ a695: 0c07.*
+ a696: 6f96.*
+ a697: 0d07.*
+ a698: 6f96.*
+ a699: 0c07.*
+ a69a: 6f96.*
+ a69b: 0e07.*
+ a69c: 6f96.*
+ a69d: 0f07.*
+ a69e: 6f96.*
+ a69f: 0f07.*
+ a6a0: 6f96.*
+ a6a1: 0c08.*
+ a6a2: 6f96.*
+ a6a3: 0d08.*
+ a6a4: 6f96.*
+ a6a5: 0c08.*
+ a6a6: 6f96.*
+ a6a7: 0e08.*
+ a6a8: 6f96.*
+ a6a9: 0f08.*
+ a6aa: 6f96.*
+ a6ab: 0f08.*
+ a6ac: 6f96.*
+ a6ad: 0c09.*
+ a6ae: 6f96.*
+ a6af: 0d09.*
+ a6b0: 6f96.*
+ a6b1: 0c09.*
+ a6b2: 6f96.*
+ a6b3: 0e09.*
+ a6b4: 6f96.*
+ a6b5: 0f09.*
+ a6b6: 6f96.*
+ a6b7: 0f09.*
+ a6b8: 6f96.*
+ a6b9: 0c0a.*
+ a6ba: 6f96.*
+ a6bb: 0d0a.*
+ a6bc: 6f96.*
+ a6bd: 0c0a.*
+ a6be: 6f96.*
+ a6bf: 0e0a.*
+ a6c0: 6f96.*
+ a6c1: 0f0a.*
+ a6c2: 6f96.*
+ a6c3: 0f0a.*
+ a6c4: 6f96.*
+ a6c5: 0c0b.*
+ a6c6: 6f96.*
+ a6c7: 0d0b.*
+ a6c8: 6f96.*
+ a6c9: 0c0b.*
+ a6ca: 6f96.*
+ a6cb: 0e0b.*
+ a6cc: 6f96.*
+ a6cd: 0f0b.*
+ a6ce: 6f96.*
+ a6cf: 0f0b.*
+ a6d0: 6f96.*
+ a6d1: 0c0c.*
+ a6d2: 6f96.*
+ a6d3: 0d0c.*
+ a6d4: 6f96.*
+ a6d5: 0c0c.*
+ a6d6: 6f96.*
+ a6d7: 0e0c.*
+ a6d8: 6f96.*
+ a6d9: 0f0c.*
+ a6da: 6f96.*
+ a6db: 0f0c.*
+ a6dc: 6f96.*
+ a6dd: 0c0d.*
+ a6de: 6f96.*
+ a6df: 0d0d.*
+ a6e0: 6f96.*
+ a6e1: 0c0d.*
+ a6e2: 6f96.*
+ a6e3: 0e0d.*
+ a6e4: 6f96.*
+ a6e5: 0f0d.*
+ a6e6: 6f96.*
+ a6e7: 0f0d.*
+ a6e8: 6f96.*
+ a6e9: 0c0e.*
+ a6ea: 6f96.*
+ a6eb: 0d0e.*
+ a6ec: 6f96.*
+ a6ed: 0c0e.*
+ a6ee: 6f96.*
+ a6ef: 0e0e.*
+ a6f0: 6f96.*
+ a6f1: 0f0e.*
+ a6f2: 6f96.*
+ a6f3: 0f0e.*
+ a6f4: 6f96.*
+ a6f5: 0c0f.*
+ a6f6: 6f96.*
+ a6f7: 0d0f.*
+ a6f8: 6f96.*
+ a6f9: 0c0f.*
+ a6fa: 6f96.*
+ a6fb: 0e0f.*
+ a6fc: 6f96.*
+ a6fd: 0f0f.*
+ a6fe: 6f96.*
+ a6ff: 0f0f.*
+ a700: 00a6.*
+ a701: 6fa6.*
+ a702: 0d00.*
+ a703: 00a6.*
+ a704: 6fa6.*
+ a705: 0e00.*
+ a706: 01a6.*
+ a707: 01a6.*
+ a708: 6fa6.*
+ a709: 0c10.*
+ a70a: 6fa6.*
+ a70b: 0d10.*
+ a70c: 6fa6.*
+ a70d: 0c10.*
+ a70e: 6fa6.*
+ a70f: 0e10.*
+ a710: 6fa6.*
+ a711: 0f10.*
+ a712: 6fa6.*
+ a713: 0f10.*
+ a714: 6fa6.*
+ a715: 0c11.*
+ a716: 6fa6.*
+ a717: 0d11.*
+ a718: 6fa6.*
+ a719: 0c11.*
+ a71a: 6fa6.*
+ a71b: 0e11.*
+ a71c: 6fa6.*
+ a71d: 0f11.*
+ a71e: 6fa6.*
+ a71f: 0f11.*
+ a720: 6fa6.*
+ a721: 0c12.*
+ a722: 6fa6.*
+ a723: 0d12.*
+ a724: 6fa6.*
+ a725: 0c12.*
+ a726: 6fa6.*
+ a727: 0e12.*
+ a728: 6fa6.*
+ a729: 0f12.*
+ a72a: 6fa6.*
+ a72b: 0f12.*
+ a72c: 6fa6.*
+ a72d: 0c13.*
+ a72e: 6fa6.*
+ a72f: 0d13.*
+ a730: 6fa6.*
+ a731: 0c13.*
+ a732: 6fa6.*
+ a733: 0e13.*
+ a734: 6fa6.*
+ a735: 0f13.*
+ a736: 6fa6.*
+ a737: 0f13.*
+ a738: 6fa6.*
+ a739: 0c14.*
+ a73a: 6fa6.*
+ a73b: 0d14.*
+ a73c: 6fa6.*
+ a73d: 0c14.*
+ a73e: 6fa6.*
+ a73f: 0e14.*
+ a740: 6fa6.*
+ a741: 0f14.*
+ a742: 6fa6.*
+ a743: 0f14.*
+ a744: 6fa6.*
+ a745: 0c15.*
+ a746: 6fa6.*
+ a747: 0d15.*
+ a748: 6fa6.*
+ a749: 0c15.*
+ a74a: 6fa6.*
+ a74b: 0e15.*
+ a74c: 6fa6.*
+ a74d: 0f15.*
+ a74e: 6fa6.*
+ a74f: 0f15.*
+ a750: 6fa6.*
+ a751: 0c16.*
+ a752: 6fa6.*
+ a753: 0d16.*
+ a754: 6fa6.*
+ a755: 0c16.*
+ a756: 6fa6.*
+ a757: 0e16.*
+ a758: 6fa6.*
+ a759: 0f16.*
+ a75a: 6fa6.*
+ a75b: 0f16.*
+ a75c: 6fa6.*
+ a75d: 0c17.*
+ a75e: 6fa6.*
+ a75f: 0d17.*
+ a760: 6fa6.*
+ a761: 0c17.*
+ a762: 6fa6.*
+ a763: 0e17.*
+ a764: 6fa6.*
+ a765: 0f17.*
+ a766: 6fa6.*
+ a767: 0f17.*
+ a768: 6fa6.*
+ a769: 0c18.*
+ a76a: 6fa6.*
+ a76b: 0d18.*
+ a76c: 6fa6.*
+ a76d: 0c18.*
+ a76e: 6fa6.*
+ a76f: 0e18.*
+ a770: 6fa6.*
+ a771: 0f18.*
+ a772: 6fa6.*
+ a773: 0f18.*
+ a774: 6fa6.*
+ a775: 0c19.*
+ a776: 6fa6.*
+ a777: 0d19.*
+ a778: 6fa6.*
+ a779: 0c19.*
+ a77a: 6fa6.*
+ a77b: 0e19.*
+ a77c: 6fa6.*
+ a77d: 0f19.*
+ a77e: 6fa6.*
+ a77f: 0f19.*
+ a780: 6fa6.*
+ a781: 0c1a.*
+ a782: 6fa6.*
+ a783: 0d1a.*
+ a784: 6fa6.*
+ a785: 0c1a.*
+ a786: 6fa6.*
+ a787: 0e1a.*
+ a788: 6fa6.*
+ a789: 0f1a.*
+ a78a: 6fa6.*
+ a78b: 0f1a.*
+ a78c: 6fa6.*
+ a78d: 0c1b.*
+ a78e: 6fa6.*
+ a78f: 0d1b.*
+ a790: 6fa6.*
+ a791: 0c1b.*
+ a792: 6fa6.*
+ a793: 0e1b.*
+ a794: 6fa6.*
+ a795: 0f1b.*
+ a796: 6fa6.*
+ a797: 0f1b.*
+ a798: 6fa6.*
+ a799: 0c1c.*
+ a79a: 6fa6.*
+ a79b: 0d1c.*
+ a79c: 6fa6.*
+ a79d: 0c1c.*
+ a79e: 6fa6.*
+ a79f: 0e1c.*
+ a7a0: 6fa6.*
+ a7a1: 0f1c.*
+ a7a2: 6fa6.*
+ a7a3: 0f1c.*
+ a7a4: 6fa6.*
+ a7a5: 0c1d.*
+ a7a6: 6fa6.*
+ a7a7: 0d1d.*
+ a7a8: 6fa6.*
+ a7a9: 0c1d.*
+ a7aa: 6fa6.*
+ a7ab: 0e1d.*
+ a7ac: 6fa6.*
+ a7ad: 0f1d.*
+ a7ae: 6fa6.*
+ a7af: 0f1d.*
+ a7b0: 6fa6.*
+ a7b1: 0c1e.*
+ a7b2: 6fa6.*
+ a7b3: 0d1e.*
+ a7b4: 6fa6.*
+ a7b5: 0c1e.*
+ a7b6: 6fa6.*
+ a7b7: 0e1e.*
+ a7b8: 6fa6.*
+ a7b9: 0f1e.*
+ a7ba: 6fa6.*
+ a7bb: 0f1e.*
+ a7bc: 6fa6.*
+ a7bd: 0c1f.*
+ a7be: 6fa6.*
+ a7bf: 0d1f.*
+ a7c0: 6fa6.*
+ a7c1: 0c1f.*
+ a7c2: 6fa6.*
+ a7c3: 0e1f.*
+ a7c4: 6fa6.*
+ a7c5: 0f1f.*
+ a7c6: 6fa6.*
+ a7c7: 0f1f.*
+ a7c8: 00a6.*
+ a7c9: 6fa6.*
+ a7ca: 0d00.*
+ a7cb: 00a6.*
+ a7cc: 6fa6.*
+ a7cd: 0e00.*
+ a7ce: 01a6.*
+ a7cf: 01a6.*
+ a7d0: 6fa6.*
+ a7d1: 0c01.*
+ a7d2: 6fa6.*
+ a7d3: 0d01.*
+ a7d4: 6fa6.*
+ a7d5: 0c01.*
+ a7d6: 6fa6.*
+ a7d7: 0e01.*
+ a7d8: 6fa6.*
+ a7d9: 0f01.*
+ a7da: 6fa6.*
+ a7db: 0f01.*
+ a7dc: 6fa6.*
+ a7dd: 0c02.*
+ a7de: 6fa6.*
+ a7df: 0d02.*
+ a7e0: 6fa6.*
+ a7e1: 0c02.*
+ a7e2: 6fa6.*
+ a7e3: 0e02.*
+ a7e4: 6fa6.*
+ a7e5: 0f02.*
+ a7e6: 6fa6.*
+ a7e7: 0f02.*
+ a7e8: 6fa6.*
+ a7e9: 0c03.*
+ a7ea: 6fa6.*
+ a7eb: 0d03.*
+ a7ec: 6fa6.*
+ a7ed: 0c03.*
+ a7ee: 6fa6.*
+ a7ef: 0e03.*
+ a7f0: 6fa6.*
+ a7f1: 0f03.*
+ a7f2: 6fa6.*
+ a7f3: 0f03.*
+ a7f4: 6fa6.*
+ a7f5: 0c04.*
+ a7f6: 6fa6.*
+ a7f7: 0d04.*
+ a7f8: 6fa6.*
+ a7f9: 0c04.*
+ a7fa: 6fa6.*
+ a7fb: 0e04.*
+ a7fc: 6fa6.*
+ a7fd: 0f04.*
+ a7fe: 6fa6.*
+ a7ff: 0f04.*
+ a800: 6fa6.*
+ a801: 0c05.*
+ a802: 6fa6.*
+ a803: 0d05.*
+ a804: 6fa6.*
+ a805: 0c05.*
+ a806: 6fa6.*
+ a807: 0e05.*
+ a808: 6fa6.*
+ a809: 0f05.*
+ a80a: 6fa6.*
+ a80b: 0f05.*
+ a80c: 6fa6.*
+ a80d: 0c06.*
+ a80e: 6fa6.*
+ a80f: 0d06.*
+ a810: 6fa6.*
+ a811: 0c06.*
+ a812: 6fa6.*
+ a813: 0e06.*
+ a814: 6fa6.*
+ a815: 0f06.*
+ a816: 6fa6.*
+ a817: 0f06.*
+ a818: 6fa6.*
+ a819: 0c07.*
+ a81a: 6fa6.*
+ a81b: 0d07.*
+ a81c: 6fa6.*
+ a81d: 0c07.*
+ a81e: 6fa6.*
+ a81f: 0e07.*
+ a820: 6fa6.*
+ a821: 0f07.*
+ a822: 6fa6.*
+ a823: 0f07.*
+ a824: 6fa6.*
+ a825: 0c08.*
+ a826: 6fa6.*
+ a827: 0d08.*
+ a828: 6fa6.*
+ a829: 0c08.*
+ a82a: 6fa6.*
+ a82b: 0e08.*
+ a82c: 6fa6.*
+ a82d: 0f08.*
+ a82e: 6fa6.*
+ a82f: 0f08.*
+ a830: 6fa6.*
+ a831: 0c09.*
+ a832: 6fa6.*
+ a833: 0d09.*
+ a834: 6fa6.*
+ a835: 0c09.*
+ a836: 6fa6.*
+ a837: 0e09.*
+ a838: 6fa6.*
+ a839: 0f09.*
+ a83a: 6fa6.*
+ a83b: 0f09.*
+ a83c: 6fa6.*
+ a83d: 0c0a.*
+ a83e: 6fa6.*
+ a83f: 0d0a.*
+ a840: 6fa6.*
+ a841: 0c0a.*
+ a842: 6fa6.*
+ a843: 0e0a.*
+ a844: 6fa6.*
+ a845: 0f0a.*
+ a846: 6fa6.*
+ a847: 0f0a.*
+ a848: 6fa6.*
+ a849: 0c0b.*
+ a84a: 6fa6.*
+ a84b: 0d0b.*
+ a84c: 6fa6.*
+ a84d: 0c0b.*
+ a84e: 6fa6.*
+ a84f: 0e0b.*
+ a850: 6fa6.*
+ a851: 0f0b.*
+ a852: 6fa6.*
+ a853: 0f0b.*
+ a854: 6fa6.*
+ a855: 0c0c.*
+ a856: 6fa6.*
+ a857: 0d0c.*
+ a858: 6fa6.*
+ a859: 0c0c.*
+ a85a: 6fa6.*
+ a85b: 0e0c.*
+ a85c: 6fa6.*
+ a85d: 0f0c.*
+ a85e: 6fa6.*
+ a85f: 0f0c.*
+ a860: 6fa6.*
+ a861: 0c0d.*
+ a862: 6fa6.*
+ a863: 0d0d.*
+ a864: 6fa6.*
+ a865: 0c0d.*
+ a866: 6fa6.*
+ a867: 0e0d.*
+ a868: 6fa6.*
+ a869: 0f0d.*
+ a86a: 6fa6.*
+ a86b: 0f0d.*
+ a86c: 6fa6.*
+ a86d: 0c0e.*
+ a86e: 6fa6.*
+ a86f: 0d0e.*
+ a870: 6fa6.*
+ a871: 0c0e.*
+ a872: 6fa6.*
+ a873: 0e0e.*
+ a874: 6fa6.*
+ a875: 0f0e.*
+ a876: 6fa6.*
+ a877: 0f0e.*
+ a878: 6fa6.*
+ a879: 0c0f.*
+ a87a: 6fa6.*
+ a87b: 0d0f.*
+ a87c: 6fa6.*
+ a87d: 0c0f.*
+ a87e: 6fa6.*
+ a87f: 0e0f.*
+ a880: 6fa6.*
+ a881: 0f0f.*
+ a882: 6fa6.*
+ a883: 0f0f.*
+ a884: 00ae.*
+ a885: 6fae.*
+ a886: 0d00.*
+ a887: 00ae.*
+ a888: 6fae.*
+ a889: 0e00.*
+ a88a: 01ae.*
+ a88b: 01ae.*
+ a88c: 6fae.*
+ a88d: 0c10.*
+ a88e: 6fae.*
+ a88f: 0d10.*
+ a890: 6fae.*
+ a891: 0c10.*
+ a892: 6fae.*
+ a893: 0e10.*
+ a894: 6fae.*
+ a895: 0f10.*
+ a896: 6fae.*
+ a897: 0f10.*
+ a898: 6fae.*
+ a899: 0c11.*
+ a89a: 6fae.*
+ a89b: 0d11.*
+ a89c: 6fae.*
+ a89d: 0c11.*
+ a89e: 6fae.*
+ a89f: 0e11.*
+ a8a0: 6fae.*
+ a8a1: 0f11.*
+ a8a2: 6fae.*
+ a8a3: 0f11.*
+ a8a4: 6fae.*
+ a8a5: 0c12.*
+ a8a6: 6fae.*
+ a8a7: 0d12.*
+ a8a8: 6fae.*
+ a8a9: 0c12.*
+ a8aa: 6fae.*
+ a8ab: 0e12.*
+ a8ac: 6fae.*
+ a8ad: 0f12.*
+ a8ae: 6fae.*
+ a8af: 0f12.*
+ a8b0: 6fae.*
+ a8b1: 0c13.*
+ a8b2: 6fae.*
+ a8b3: 0d13.*
+ a8b4: 6fae.*
+ a8b5: 0c13.*
+ a8b6: 6fae.*
+ a8b7: 0e13.*
+ a8b8: 6fae.*
+ a8b9: 0f13.*
+ a8ba: 6fae.*
+ a8bb: 0f13.*
+ a8bc: 6fae.*
+ a8bd: 0c14.*
+ a8be: 6fae.*
+ a8bf: 0d14.*
+ a8c0: 6fae.*
+ a8c1: 0c14.*
+ a8c2: 6fae.*
+ a8c3: 0e14.*
+ a8c4: 6fae.*
+ a8c5: 0f14.*
+ a8c6: 6fae.*
+ a8c7: 0f14.*
+ a8c8: 6fae.*
+ a8c9: 0c15.*
+ a8ca: 6fae.*
+ a8cb: 0d15.*
+ a8cc: 6fae.*
+ a8cd: 0c15.*
+ a8ce: 6fae.*
+ a8cf: 0e15.*
+ a8d0: 6fae.*
+ a8d1: 0f15.*
+ a8d2: 6fae.*
+ a8d3: 0f15.*
+ a8d4: 6fae.*
+ a8d5: 0c16.*
+ a8d6: 6fae.*
+ a8d7: 0d16.*
+ a8d8: 6fae.*
+ a8d9: 0c16.*
+ a8da: 6fae.*
+ a8db: 0e16.*
+ a8dc: 6fae.*
+ a8dd: 0f16.*
+ a8de: 6fae.*
+ a8df: 0f16.*
+ a8e0: 6fae.*
+ a8e1: 0c17.*
+ a8e2: 6fae.*
+ a8e3: 0d17.*
+ a8e4: 6fae.*
+ a8e5: 0c17.*
+ a8e6: 6fae.*
+ a8e7: 0e17.*
+ a8e8: 6fae.*
+ a8e9: 0f17.*
+ a8ea: 6fae.*
+ a8eb: 0f17.*
+ a8ec: 6fae.*
+ a8ed: 0c18.*
+ a8ee: 6fae.*
+ a8ef: 0d18.*
+ a8f0: 6fae.*
+ a8f1: 0c18.*
+ a8f2: 6fae.*
+ a8f3: 0e18.*
+ a8f4: 6fae.*
+ a8f5: 0f18.*
+ a8f6: 6fae.*
+ a8f7: 0f18.*
+ a8f8: 6fae.*
+ a8f9: 0c19.*
+ a8fa: 6fae.*
+ a8fb: 0d19.*
+ a8fc: 6fae.*
+ a8fd: 0c19.*
+ a8fe: 6fae.*
+ a8ff: 0e19.*
+ a900: 6fae.*
+ a901: 0f19.*
+ a902: 6fae.*
+ a903: 0f19.*
+ a904: 6fae.*
+ a905: 0c1a.*
+ a906: 6fae.*
+ a907: 0d1a.*
+ a908: 6fae.*
+ a909: 0c1a.*
+ a90a: 6fae.*
+ a90b: 0e1a.*
+ a90c: 6fae.*
+ a90d: 0f1a.*
+ a90e: 6fae.*
+ a90f: 0f1a.*
+ a910: 6fae.*
+ a911: 0c1b.*
+ a912: 6fae.*
+ a913: 0d1b.*
+ a914: 6fae.*
+ a915: 0c1b.*
+ a916: 6fae.*
+ a917: 0e1b.*
+ a918: 6fae.*
+ a919: 0f1b.*
+ a91a: 6fae.*
+ a91b: 0f1b.*
+ a91c: 6fae.*
+ a91d: 0c1c.*
+ a91e: 6fae.*
+ a91f: 0d1c.*
+ a920: 6fae.*
+ a921: 0c1c.*
+ a922: 6fae.*
+ a923: 0e1c.*
+ a924: 6fae.*
+ a925: 0f1c.*
+ a926: 6fae.*
+ a927: 0f1c.*
+ a928: 6fae.*
+ a929: 0c1d.*
+ a92a: 6fae.*
+ a92b: 0d1d.*
+ a92c: 6fae.*
+ a92d: 0c1d.*
+ a92e: 6fae.*
+ a92f: 0e1d.*
+ a930: 6fae.*
+ a931: 0f1d.*
+ a932: 6fae.*
+ a933: 0f1d.*
+ a934: 6fae.*
+ a935: 0c1e.*
+ a936: 6fae.*
+ a937: 0d1e.*
+ a938: 6fae.*
+ a939: 0c1e.*
+ a93a: 6fae.*
+ a93b: 0e1e.*
+ a93c: 6fae.*
+ a93d: 0f1e.*
+ a93e: 6fae.*
+ a93f: 0f1e.*
+ a940: 6fae.*
+ a941: 0c1f.*
+ a942: 6fae.*
+ a943: 0d1f.*
+ a944: 6fae.*
+ a945: 0c1f.*
+ a946: 6fae.*
+ a947: 0e1f.*
+ a948: 6fae.*
+ a949: 0f1f.*
+ a94a: 6fae.*
+ a94b: 0f1f.*
+ a94c: 00ae.*
+ a94d: 6fae.*
+ a94e: 0d00.*
+ a94f: 00ae.*
+ a950: 6fae.*
+ a951: 0e00.*
+ a952: 01ae.*
+ a953: 01ae.*
+ a954: 6fae.*
+ a955: 0c01.*
+ a956: 6fae.*
+ a957: 0d01.*
+ a958: 6fae.*
+ a959: 0c01.*
+ a95a: 6fae.*
+ a95b: 0e01.*
+ a95c: 6fae.*
+ a95d: 0f01.*
+ a95e: 6fae.*
+ a95f: 0f01.*
+ a960: 6fae.*
+ a961: 0c02.*
+ a962: 6fae.*
+ a963: 0d02.*
+ a964: 6fae.*
+ a965: 0c02.*
+ a966: 6fae.*
+ a967: 0e02.*
+ a968: 6fae.*
+ a969: 0f02.*
+ a96a: 6fae.*
+ a96b: 0f02.*
+ a96c: 6fae.*
+ a96d: 0c03.*
+ a96e: 6fae.*
+ a96f: 0d03.*
+ a970: 6fae.*
+ a971: 0c03.*
+ a972: 6fae.*
+ a973: 0e03.*
+ a974: 6fae.*
+ a975: 0f03.*
+ a976: 6fae.*
+ a977: 0f03.*
+ a978: 6fae.*
+ a979: 0c04.*
+ a97a: 6fae.*
+ a97b: 0d04.*
+ a97c: 6fae.*
+ a97d: 0c04.*
+ a97e: 6fae.*
+ a97f: 0e04.*
+ a980: 6fae.*
+ a981: 0f04.*
+ a982: 6fae.*
+ a983: 0f04.*
+ a984: 6fae.*
+ a985: 0c05.*
+ a986: 6fae.*
+ a987: 0d05.*
+ a988: 6fae.*
+ a989: 0c05.*
+ a98a: 6fae.*
+ a98b: 0e05.*
+ a98c: 6fae.*
+ a98d: 0f05.*
+ a98e: 6fae.*
+ a98f: 0f05.*
+ a990: 6fae.*
+ a991: 0c06.*
+ a992: 6fae.*
+ a993: 0d06.*
+ a994: 6fae.*
+ a995: 0c06.*
+ a996: 6fae.*
+ a997: 0e06.*
+ a998: 6fae.*
+ a999: 0f06.*
+ a99a: 6fae.*
+ a99b: 0f06.*
+ a99c: 6fae.*
+ a99d: 0c07.*
+ a99e: 6fae.*
+ a99f: 0d07.*
+ a9a0: 6fae.*
+ a9a1: 0c07.*
+ a9a2: 6fae.*
+ a9a3: 0e07.*
+ a9a4: 6fae.*
+ a9a5: 0f07.*
+ a9a6: 6fae.*
+ a9a7: 0f07.*
+ a9a8: 6fae.*
+ a9a9: 0c08.*
+ a9aa: 6fae.*
+ a9ab: 0d08.*
+ a9ac: 6fae.*
+ a9ad: 0c08.*
+ a9ae: 6fae.*
+ a9af: 0e08.*
+ a9b0: 6fae.*
+ a9b1: 0f08.*
+ a9b2: 6fae.*
+ a9b3: 0f08.*
+ a9b4: 6fae.*
+ a9b5: 0c09.*
+ a9b6: 6fae.*
+ a9b7: 0d09.*
+ a9b8: 6fae.*
+ a9b9: 0c09.*
+ a9ba: 6fae.*
+ a9bb: 0e09.*
+ a9bc: 6fae.*
+ a9bd: 0f09.*
+ a9be: 6fae.*
+ a9bf: 0f09.*
+ a9c0: 6fae.*
+ a9c1: 0c0a.*
+ a9c2: 6fae.*
+ a9c3: 0d0a.*
+ a9c4: 6fae.*
+ a9c5: 0c0a.*
+ a9c6: 6fae.*
+ a9c7: 0e0a.*
+ a9c8: 6fae.*
+ a9c9: 0f0a.*
+ a9ca: 6fae.*
+ a9cb: 0f0a.*
+ a9cc: 6fae.*
+ a9cd: 0c0b.*
+ a9ce: 6fae.*
+ a9cf: 0d0b.*
+ a9d0: 6fae.*
+ a9d1: 0c0b.*
+ a9d2: 6fae.*
+ a9d3: 0e0b.*
+ a9d4: 6fae.*
+ a9d5: 0f0b.*
+ a9d6: 6fae.*
+ a9d7: 0f0b.*
+ a9d8: 6fae.*
+ a9d9: 0c0c.*
+ a9da: 6fae.*
+ a9db: 0d0c.*
+ a9dc: 6fae.*
+ a9dd: 0c0c.*
+ a9de: 6fae.*
+ a9df: 0e0c.*
+ a9e0: 6fae.*
+ a9e1: 0f0c.*
+ a9e2: 6fae.*
+ a9e3: 0f0c.*
+ a9e4: 6fae.*
+ a9e5: 0c0d.*
+ a9e6: 6fae.*
+ a9e7: 0d0d.*
+ a9e8: 6fae.*
+ a9e9: 0c0d.*
+ a9ea: 6fae.*
+ a9eb: 0e0d.*
+ a9ec: 6fae.*
+ a9ed: 0f0d.*
+ a9ee: 6fae.*
+ a9ef: 0f0d.*
+ a9f0: 6fae.*
+ a9f1: 0c0e.*
+ a9f2: 6fae.*
+ a9f3: 0d0e.*
+ a9f4: 6fae.*
+ a9f5: 0c0e.*
+ a9f6: 6fae.*
+ a9f7: 0e0e.*
+ a9f8: 6fae.*
+ a9f9: 0f0e.*
+ a9fa: 6fae.*
+ a9fb: 0f0e.*
+ a9fc: 6fae.*
+ a9fd: 0c0f.*
+ a9fe: 6fae.*
+ a9ff: 0d0f.*
+ aa00: 6fae.*
+ aa01: 0c0f.*
+ aa02: 6fae.*
+ aa03: 0e0f.*
+ aa04: 6fae.*
+ aa05: 0f0f.*
+ aa06: 6fae.*
+ aa07: 0f0f.*
+ aa08: 00b6.*
+ aa09: 6fb6.*
+ aa0a: 0d00.*
+ aa0b: 00b6.*
+ aa0c: 6fb6.*
+ aa0d: 0e00.*
+ aa0e: 01b6.*
+ aa0f: 01b6.*
+ aa10: 6fb6.*
+ aa11: 0c10.*
+ aa12: 6fb6.*
+ aa13: 0d10.*
+ aa14: 6fb6.*
+ aa15: 0c10.*
+ aa16: 6fb6.*
+ aa17: 0e10.*
+ aa18: 6fb6.*
+ aa19: 0f10.*
+ aa1a: 6fb6.*
+ aa1b: 0f10.*
+ aa1c: 6fb6.*
+ aa1d: 0c11.*
+ aa1e: 6fb6.*
+ aa1f: 0d11.*
+ aa20: 6fb6.*
+ aa21: 0c11.*
+ aa22: 6fb6.*
+ aa23: 0e11.*
+ aa24: 6fb6.*
+ aa25: 0f11.*
+ aa26: 6fb6.*
+ aa27: 0f11.*
+ aa28: 6fb6.*
+ aa29: 0c12.*
+ aa2a: 6fb6.*
+ aa2b: 0d12.*
+ aa2c: 6fb6.*
+ aa2d: 0c12.*
+ aa2e: 6fb6.*
+ aa2f: 0e12.*
+ aa30: 6fb6.*
+ aa31: 0f12.*
+ aa32: 6fb6.*
+ aa33: 0f12.*
+ aa34: 6fb6.*
+ aa35: 0c13.*
+ aa36: 6fb6.*
+ aa37: 0d13.*
+ aa38: 6fb6.*
+ aa39: 0c13.*
+ aa3a: 6fb6.*
+ aa3b: 0e13.*
+ aa3c: 6fb6.*
+ aa3d: 0f13.*
+ aa3e: 6fb6.*
+ aa3f: 0f13.*
+ aa40: 6fb6.*
+ aa41: 0c14.*
+ aa42: 6fb6.*
+ aa43: 0d14.*
+ aa44: 6fb6.*
+ aa45: 0c14.*
+ aa46: 6fb6.*
+ aa47: 0e14.*
+ aa48: 6fb6.*
+ aa49: 0f14.*
+ aa4a: 6fb6.*
+ aa4b: 0f14.*
+ aa4c: 6fb6.*
+ aa4d: 0c15.*
+ aa4e: 6fb6.*
+ aa4f: 0d15.*
+ aa50: 6fb6.*
+ aa51: 0c15.*
+ aa52: 6fb6.*
+ aa53: 0e15.*
+ aa54: 6fb6.*
+ aa55: 0f15.*
+ aa56: 6fb6.*
+ aa57: 0f15.*
+ aa58: 6fb6.*
+ aa59: 0c16.*
+ aa5a: 6fb6.*
+ aa5b: 0d16.*
+ aa5c: 6fb6.*
+ aa5d: 0c16.*
+ aa5e: 6fb6.*
+ aa5f: 0e16.*
+ aa60: 6fb6.*
+ aa61: 0f16.*
+ aa62: 6fb6.*
+ aa63: 0f16.*
+ aa64: 6fb6.*
+ aa65: 0c17.*
+ aa66: 6fb6.*
+ aa67: 0d17.*
+ aa68: 6fb6.*
+ aa69: 0c17.*
+ aa6a: 6fb6.*
+ aa6b: 0e17.*
+ aa6c: 6fb6.*
+ aa6d: 0f17.*
+ aa6e: 6fb6.*
+ aa6f: 0f17.*
+ aa70: 6fb6.*
+ aa71: 0c18.*
+ aa72: 6fb6.*
+ aa73: 0d18.*
+ aa74: 6fb6.*
+ aa75: 0c18.*
+ aa76: 6fb6.*
+ aa77: 0e18.*
+ aa78: 6fb6.*
+ aa79: 0f18.*
+ aa7a: 6fb6.*
+ aa7b: 0f18.*
+ aa7c: 6fb6.*
+ aa7d: 0c19.*
+ aa7e: 6fb6.*
+ aa7f: 0d19.*
+ aa80: 6fb6.*
+ aa81: 0c19.*
+ aa82: 6fb6.*
+ aa83: 0e19.*
+ aa84: 6fb6.*
+ aa85: 0f19.*
+ aa86: 6fb6.*
+ aa87: 0f19.*
+ aa88: 6fb6.*
+ aa89: 0c1a.*
+ aa8a: 6fb6.*
+ aa8b: 0d1a.*
+ aa8c: 6fb6.*
+ aa8d: 0c1a.*
+ aa8e: 6fb6.*
+ aa8f: 0e1a.*
+ aa90: 6fb6.*
+ aa91: 0f1a.*
+ aa92: 6fb6.*
+ aa93: 0f1a.*
+ aa94: 6fb6.*
+ aa95: 0c1b.*
+ aa96: 6fb6.*
+ aa97: 0d1b.*
+ aa98: 6fb6.*
+ aa99: 0c1b.*
+ aa9a: 6fb6.*
+ aa9b: 0e1b.*
+ aa9c: 6fb6.*
+ aa9d: 0f1b.*
+ aa9e: 6fb6.*
+ aa9f: 0f1b.*
+ aaa0: 6fb6.*
+ aaa1: 0c1c.*
+ aaa2: 6fb6.*
+ aaa3: 0d1c.*
+ aaa4: 6fb6.*
+ aaa5: 0c1c.*
+ aaa6: 6fb6.*
+ aaa7: 0e1c.*
+ aaa8: 6fb6.*
+ aaa9: 0f1c.*
+ aaaa: 6fb6.*
+ aaab: 0f1c.*
+ aaac: 6fb6.*
+ aaad: 0c1d.*
+ aaae: 6fb6.*
+ aaaf: 0d1d.*
+ aab0: 6fb6.*
+ aab1: 0c1d.*
+ aab2: 6fb6.*
+ aab3: 0e1d.*
+ aab4: 6fb6.*
+ aab5: 0f1d.*
+ aab6: 6fb6.*
+ aab7: 0f1d.*
+ aab8: 6fb6.*
+ aab9: 0c1e.*
+ aaba: 6fb6.*
+ aabb: 0d1e.*
+ aabc: 6fb6.*
+ aabd: 0c1e.*
+ aabe: 6fb6.*
+ aabf: 0e1e.*
+ aac0: 6fb6.*
+ aac1: 0f1e.*
+ aac2: 6fb6.*
+ aac3: 0f1e.*
+ aac4: 6fb6.*
+ aac5: 0c1f.*
+ aac6: 6fb6.*
+ aac7: 0d1f.*
+ aac8: 6fb6.*
+ aac9: 0c1f.*
+ aaca: 6fb6.*
+ aacb: 0e1f.*
+ aacc: 6fb6.*
+ aacd: 0f1f.*
+ aace: 6fb6.*
+ aacf: 0f1f.*
+ aad0: 00b6.*
+ aad1: 6fb6.*
+ aad2: 0d00.*
+ aad3: 00b6.*
+ aad4: 6fb6.*
+ aad5: 0e00.*
+ aad6: 01b6.*
+ aad7: 01b6.*
+ aad8: 6fb6.*
+ aad9: 0c01.*
+ aada: 6fb6.*
+ aadb: 0d01.*
+ aadc: 6fb6.*
+ aadd: 0c01.*
+ aade: 6fb6.*
+ aadf: 0e01.*
+ aae0: 6fb6.*
+ aae1: 0f01.*
+ aae2: 6fb6.*
+ aae3: 0f01.*
+ aae4: 6fb6.*
+ aae5: 0c02.*
+ aae6: 6fb6.*
+ aae7: 0d02.*
+ aae8: 6fb6.*
+ aae9: 0c02.*
+ aaea: 6fb6.*
+ aaeb: 0e02.*
+ aaec: 6fb6.*
+ aaed: 0f02.*
+ aaee: 6fb6.*
+ aaef: 0f02.*
+ aaf0: 6fb6.*
+ aaf1: 0c03.*
+ aaf2: 6fb6.*
+ aaf3: 0d03.*
+ aaf4: 6fb6.*
+ aaf5: 0c03.*
+ aaf6: 6fb6.*
+ aaf7: 0e03.*
+ aaf8: 6fb6.*
+ aaf9: 0f03.*
+ aafa: 6fb6.*
+ aafb: 0f03.*
+ aafc: 6fb6.*
+ aafd: 0c04.*
+ aafe: 6fb6.*
+ aaff: 0d04.*
+ ab00: 6fb6.*
+ ab01: 0c04.*
+ ab02: 6fb6.*
+ ab03: 0e04.*
+ ab04: 6fb6.*
+ ab05: 0f04.*
+ ab06: 6fb6.*
+ ab07: 0f04.*
+ ab08: 6fb6.*
+ ab09: 0c05.*
+ ab0a: 6fb6.*
+ ab0b: 0d05.*
+ ab0c: 6fb6.*
+ ab0d: 0c05.*
+ ab0e: 6fb6.*
+ ab0f: 0e05.*
+ ab10: 6fb6.*
+ ab11: 0f05.*
+ ab12: 6fb6.*
+ ab13: 0f05.*
+ ab14: 6fb6.*
+ ab15: 0c06.*
+ ab16: 6fb6.*
+ ab17: 0d06.*
+ ab18: 6fb6.*
+ ab19: 0c06.*
+ ab1a: 6fb6.*
+ ab1b: 0e06.*
+ ab1c: 6fb6.*
+ ab1d: 0f06.*
+ ab1e: 6fb6.*
+ ab1f: 0f06.*
+ ab20: 6fb6.*
+ ab21: 0c07.*
+ ab22: 6fb6.*
+ ab23: 0d07.*
+ ab24: 6fb6.*
+ ab25: 0c07.*
+ ab26: 6fb6.*
+ ab27: 0e07.*
+ ab28: 6fb6.*
+ ab29: 0f07.*
+ ab2a: 6fb6.*
+ ab2b: 0f07.*
+ ab2c: 6fb6.*
+ ab2d: 0c08.*
+ ab2e: 6fb6.*
+ ab2f: 0d08.*
+ ab30: 6fb6.*
+ ab31: 0c08.*
+ ab32: 6fb6.*
+ ab33: 0e08.*
+ ab34: 6fb6.*
+ ab35: 0f08.*
+ ab36: 6fb6.*
+ ab37: 0f08.*
+ ab38: 6fb6.*
+ ab39: 0c09.*
+ ab3a: 6fb6.*
+ ab3b: 0d09.*
+ ab3c: 6fb6.*
+ ab3d: 0c09.*
+ ab3e: 6fb6.*
+ ab3f: 0e09.*
+ ab40: 6fb6.*
+ ab41: 0f09.*
+ ab42: 6fb6.*
+ ab43: 0f09.*
+ ab44: 6fb6.*
+ ab45: 0c0a.*
+ ab46: 6fb6.*
+ ab47: 0d0a.*
+ ab48: 6fb6.*
+ ab49: 0c0a.*
+ ab4a: 6fb6.*
+ ab4b: 0e0a.*
+ ab4c: 6fb6.*
+ ab4d: 0f0a.*
+ ab4e: 6fb6.*
+ ab4f: 0f0a.*
+ ab50: 6fb6.*
+ ab51: 0c0b.*
+ ab52: 6fb6.*
+ ab53: 0d0b.*
+ ab54: 6fb6.*
+ ab55: 0c0b.*
+ ab56: 6fb6.*
+ ab57: 0e0b.*
+ ab58: 6fb6.*
+ ab59: 0f0b.*
+ ab5a: 6fb6.*
+ ab5b: 0f0b.*
+ ab5c: 6fb6.*
+ ab5d: 0c0c.*
+ ab5e: 6fb6.*
+ ab5f: 0d0c.*
+ ab60: 6fb6.*
+ ab61: 0c0c.*
+ ab62: 6fb6.*
+ ab63: 0e0c.*
+ ab64: 6fb6.*
+ ab65: 0f0c.*
+ ab66: 6fb6.*
+ ab67: 0f0c.*
+ ab68: 6fb6.*
+ ab69: 0c0d.*
+ ab6a: 6fb6.*
+ ab6b: 0d0d.*
+ ab6c: 6fb6.*
+ ab6d: 0c0d.*
+ ab6e: 6fb6.*
+ ab6f: 0e0d.*
+ ab70: 6fb6.*
+ ab71: 0f0d.*
+ ab72: 6fb6.*
+ ab73: 0f0d.*
+ ab74: 6fb6.*
+ ab75: 0c0e.*
+ ab76: 6fb6.*
+ ab77: 0d0e.*
+ ab78: 6fb6.*
+ ab79: 0c0e.*
+ ab7a: 6fb6.*
+ ab7b: 0e0e.*
+ ab7c: 6fb6.*
+ ab7d: 0f0e.*
+ ab7e: 6fb6.*
+ ab7f: 0f0e.*
+ ab80: 6fb6.*
+ ab81: 0c0f.*
+ ab82: 6fb6.*
+ ab83: 0d0f.*
+ ab84: 6fb6.*
+ ab85: 0c0f.*
+ ab86: 6fb6.*
+ ab87: 0e0f.*
+ ab88: 6fb6.*
+ ab89: 0f0f.*
+ ab8a: 6fb6.*
+ ab8b: 0f0f.*
+ ab8c: 00be.*
+ ab8d: 6fbe.*
+ ab8e: 0d00.*
+ ab8f: 00be.*
+ ab90: 6fbe.*
+ ab91: 0e00.*
+ ab92: 01be.*
+ ab93: 01be.*
+ ab94: 6fbe.*
+ ab95: 0c10.*
+ ab96: 6fbe.*
+ ab97: 0d10.*
+ ab98: 6fbe.*
+ ab99: 0c10.*
+ ab9a: 6fbe.*
+ ab9b: 0e10.*
+ ab9c: 6fbe.*
+ ab9d: 0f10.*
+ ab9e: 6fbe.*
+ ab9f: 0f10.*
+ aba0: 6fbe.*
+ aba1: 0c11.*
+ aba2: 6fbe.*
+ aba3: 0d11.*
+ aba4: 6fbe.*
+ aba5: 0c11.*
+ aba6: 6fbe.*
+ aba7: 0e11.*
+ aba8: 6fbe.*
+ aba9: 0f11.*
+ abaa: 6fbe.*
+ abab: 0f11.*
+ abac: 6fbe.*
+ abad: 0c12.*
+ abae: 6fbe.*
+ abaf: 0d12.*
+ abb0: 6fbe.*
+ abb1: 0c12.*
+ abb2: 6fbe.*
+ abb3: 0e12.*
+ abb4: 6fbe.*
+ abb5: 0f12.*
+ abb6: 6fbe.*
+ abb7: 0f12.*
+ abb8: 6fbe.*
+ abb9: 0c13.*
+ abba: 6fbe.*
+ abbb: 0d13.*
+ abbc: 6fbe.*
+ abbd: 0c13.*
+ abbe: 6fbe.*
+ abbf: 0e13.*
+ abc0: 6fbe.*
+ abc1: 0f13.*
+ abc2: 6fbe.*
+ abc3: 0f13.*
+ abc4: 6fbe.*
+ abc5: 0c14.*
+ abc6: 6fbe.*
+ abc7: 0d14.*
+ abc8: 6fbe.*
+ abc9: 0c14.*
+ abca: 6fbe.*
+ abcb: 0e14.*
+ abcc: 6fbe.*
+ abcd: 0f14.*
+ abce: 6fbe.*
+ abcf: 0f14.*
+ abd0: 6fbe.*
+ abd1: 0c15.*
+ abd2: 6fbe.*
+ abd3: 0d15.*
+ abd4: 6fbe.*
+ abd5: 0c15.*
+ abd6: 6fbe.*
+ abd7: 0e15.*
+ abd8: 6fbe.*
+ abd9: 0f15.*
+ abda: 6fbe.*
+ abdb: 0f15.*
+ abdc: 6fbe.*
+ abdd: 0c16.*
+ abde: 6fbe.*
+ abdf: 0d16.*
+ abe0: 6fbe.*
+ abe1: 0c16.*
+ abe2: 6fbe.*
+ abe3: 0e16.*
+ abe4: 6fbe.*
+ abe5: 0f16.*
+ abe6: 6fbe.*
+ abe7: 0f16.*
+ abe8: 6fbe.*
+ abe9: 0c17.*
+ abea: 6fbe.*
+ abeb: 0d17.*
+ abec: 6fbe.*
+ abed: 0c17.*
+ abee: 6fbe.*
+ abef: 0e17.*
+ abf0: 6fbe.*
+ abf1: 0f17.*
+ abf2: 6fbe.*
+ abf3: 0f17.*
+ abf4: 6fbe.*
+ abf5: 0c18.*
+ abf6: 6fbe.*
+ abf7: 0d18.*
+ abf8: 6fbe.*
+ abf9: 0c18.*
+ abfa: 6fbe.*
+ abfb: 0e18.*
+ abfc: 6fbe.*
+ abfd: 0f18.*
+ abfe: 6fbe.*
+ abff: 0f18.*
+ ac00: 6fbe.*
+ ac01: 0c19.*
+ ac02: 6fbe.*
+ ac03: 0d19.*
+ ac04: 6fbe.*
+ ac05: 0c19.*
+ ac06: 6fbe.*
+ ac07: 0e19.*
+ ac08: 6fbe.*
+ ac09: 0f19.*
+ ac0a: 6fbe.*
+ ac0b: 0f19.*
+ ac0c: 6fbe.*
+ ac0d: 0c1a.*
+ ac0e: 6fbe.*
+ ac0f: 0d1a.*
+ ac10: 6fbe.*
+ ac11: 0c1a.*
+ ac12: 6fbe.*
+ ac13: 0e1a.*
+ ac14: 6fbe.*
+ ac15: 0f1a.*
+ ac16: 6fbe.*
+ ac17: 0f1a.*
+ ac18: 6fbe.*
+ ac19: 0c1b.*
+ ac1a: 6fbe.*
+ ac1b: 0d1b.*
+ ac1c: 6fbe.*
+ ac1d: 0c1b.*
+ ac1e: 6fbe.*
+ ac1f: 0e1b.*
+ ac20: 6fbe.*
+ ac21: 0f1b.*
+ ac22: 6fbe.*
+ ac23: 0f1b.*
+ ac24: 6fbe.*
+ ac25: 0c1c.*
+ ac26: 6fbe.*
+ ac27: 0d1c.*
+ ac28: 6fbe.*
+ ac29: 0c1c.*
+ ac2a: 6fbe.*
+ ac2b: 0e1c.*
+ ac2c: 6fbe.*
+ ac2d: 0f1c.*
+ ac2e: 6fbe.*
+ ac2f: 0f1c.*
+ ac30: 6fbe.*
+ ac31: 0c1d.*
+ ac32: 6fbe.*
+ ac33: 0d1d.*
+ ac34: 6fbe.*
+ ac35: 0c1d.*
+ ac36: 6fbe.*
+ ac37: 0e1d.*
+ ac38: 6fbe.*
+ ac39: 0f1d.*
+ ac3a: 6fbe.*
+ ac3b: 0f1d.*
+ ac3c: 6fbe.*
+ ac3d: 0c1e.*
+ ac3e: 6fbe.*
+ ac3f: 0d1e.*
+ ac40: 6fbe.*
+ ac41: 0c1e.*
+ ac42: 6fbe.*
+ ac43: 0e1e.*
+ ac44: 6fbe.*
+ ac45: 0f1e.*
+ ac46: 6fbe.*
+ ac47: 0f1e.*
+ ac48: 6fbe.*
+ ac49: 0c1f.*
+ ac4a: 6fbe.*
+ ac4b: 0d1f.*
+ ac4c: 6fbe.*
+ ac4d: 0c1f.*
+ ac4e: 6fbe.*
+ ac4f: 0e1f.*
+ ac50: 6fbe.*
+ ac51: 0f1f.*
+ ac52: 6fbe.*
+ ac53: 0f1f.*
+ ac54: 00be.*
+ ac55: 6fbe.*
+ ac56: 0d00.*
+ ac57: 00be.*
+ ac58: 6fbe.*
+ ac59: 0e00.*
+ ac5a: 01be.*
+ ac5b: 01be.*
+ ac5c: 6fbe.*
+ ac5d: 0c01.*
+ ac5e: 6fbe.*
+ ac5f: 0d01.*
+ ac60: 6fbe.*
+ ac61: 0c01.*
+ ac62: 6fbe.*
+ ac63: 0e01.*
+ ac64: 6fbe.*
+ ac65: 0f01.*
+ ac66: 6fbe.*
+ ac67: 0f01.*
+ ac68: 6fbe.*
+ ac69: 0c02.*
+ ac6a: 6fbe.*
+ ac6b: 0d02.*
+ ac6c: 6fbe.*
+ ac6d: 0c02.*
+ ac6e: 6fbe.*
+ ac6f: 0e02.*
+ ac70: 6fbe.*
+ ac71: 0f02.*
+ ac72: 6fbe.*
+ ac73: 0f02.*
+ ac74: 6fbe.*
+ ac75: 0c03.*
+ ac76: 6fbe.*
+ ac77: 0d03.*
+ ac78: 6fbe.*
+ ac79: 0c03.*
+ ac7a: 6fbe.*
+ ac7b: 0e03.*
+ ac7c: 6fbe.*
+ ac7d: 0f03.*
+ ac7e: 6fbe.*
+ ac7f: 0f03.*
+ ac80: 6fbe.*
+ ac81: 0c04.*
+ ac82: 6fbe.*
+ ac83: 0d04.*
+ ac84: 6fbe.*
+ ac85: 0c04.*
+ ac86: 6fbe.*
+ ac87: 0e04.*
+ ac88: 6fbe.*
+ ac89: 0f04.*
+ ac8a: 6fbe.*
+ ac8b: 0f04.*
+ ac8c: 6fbe.*
+ ac8d: 0c05.*
+ ac8e: 6fbe.*
+ ac8f: 0d05.*
+ ac90: 6fbe.*
+ ac91: 0c05.*
+ ac92: 6fbe.*
+ ac93: 0e05.*
+ ac94: 6fbe.*
+ ac95: 0f05.*
+ ac96: 6fbe.*
+ ac97: 0f05.*
+ ac98: 6fbe.*
+ ac99: 0c06.*
+ ac9a: 6fbe.*
+ ac9b: 0d06.*
+ ac9c: 6fbe.*
+ ac9d: 0c06.*
+ ac9e: 6fbe.*
+ ac9f: 0e06.*
+ aca0: 6fbe.*
+ aca1: 0f06.*
+ aca2: 6fbe.*
+ aca3: 0f06.*
+ aca4: 6fbe.*
+ aca5: 0c07.*
+ aca6: 6fbe.*
+ aca7: 0d07.*
+ aca8: 6fbe.*
+ aca9: 0c07.*
+ acaa: 6fbe.*
+ acab: 0e07.*
+ acac: 6fbe.*
+ acad: 0f07.*
+ acae: 6fbe.*
+ acaf: 0f07.*
+ acb0: 6fbe.*
+ acb1: 0c08.*
+ acb2: 6fbe.*
+ acb3: 0d08.*
+ acb4: 6fbe.*
+ acb5: 0c08.*
+ acb6: 6fbe.*
+ acb7: 0e08.*
+ acb8: 6fbe.*
+ acb9: 0f08.*
+ acba: 6fbe.*
+ acbb: 0f08.*
+ acbc: 6fbe.*
+ acbd: 0c09.*
+ acbe: 6fbe.*
+ acbf: 0d09.*
+ acc0: 6fbe.*
+ acc1: 0c09.*
+ acc2: 6fbe.*
+ acc3: 0e09.*
+ acc4: 6fbe.*
+ acc5: 0f09.*
+ acc6: 6fbe.*
+ acc7: 0f09.*
+ acc8: 6fbe.*
+ acc9: 0c0a.*
+ acca: 6fbe.*
+ accb: 0d0a.*
+ accc: 6fbe.*
+ accd: 0c0a.*
+ acce: 6fbe.*
+ accf: 0e0a.*
+ acd0: 6fbe.*
+ acd1: 0f0a.*
+ acd2: 6fbe.*
+ acd3: 0f0a.*
+ acd4: 6fbe.*
+ acd5: 0c0b.*
+ acd6: 6fbe.*
+ acd7: 0d0b.*
+ acd8: 6fbe.*
+ acd9: 0c0b.*
+ acda: 6fbe.*
+ acdb: 0e0b.*
+ acdc: 6fbe.*
+ acdd: 0f0b.*
+ acde: 6fbe.*
+ acdf: 0f0b.*
+ ace0: 6fbe.*
+ ace1: 0c0c.*
+ ace2: 6fbe.*
+ ace3: 0d0c.*
+ ace4: 6fbe.*
+ ace5: 0c0c.*
+ ace6: 6fbe.*
+ ace7: 0e0c.*
+ ace8: 6fbe.*
+ ace9: 0f0c.*
+ acea: 6fbe.*
+ aceb: 0f0c.*
+ acec: 6fbe.*
+ aced: 0c0d.*
+ acee: 6fbe.*
+ acef: 0d0d.*
+ acf0: 6fbe.*
+ acf1: 0c0d.*
+ acf2: 6fbe.*
+ acf3: 0e0d.*
+ acf4: 6fbe.*
+ acf5: 0f0d.*
+ acf6: 6fbe.*
+ acf7: 0f0d.*
+ acf8: 6fbe.*
+ acf9: 0c0e.*
+ acfa: 6fbe.*
+ acfb: 0d0e.*
+ acfc: 6fbe.*
+ acfd: 0c0e.*
+ acfe: 6fbe.*
+ acff: 0e0e.*
+ ad00: 6fbe.*
+ ad01: 0f0e.*
+ ad02: 6fbe.*
+ ad03: 0f0e.*
+ ad04: 6fbe.*
+ ad05: 0c0f.*
+ ad06: 6fbe.*
+ ad07: 0d0f.*
+ ad08: 6fbe.*
+ ad09: 0c0f.*
+ ad0a: 6fbe.*
+ ad0b: 0e0f.*
+ ad0c: 6fbe.*
+ ad0d: 0f0f.*
+ ad0e: 6fbe.*
+ ad0f: 0f0f.*
+ ad10: 00c6.*
+ ad11: 6fc6.*
+ ad12: 0d00.*
+ ad13: 00c6.*
+ ad14: 6fc6.*
+ ad15: 0e00.*
+ ad16: 01c6.*
+ ad17: 01c6.*
+ ad18: 6fc6.*
+ ad19: 0c10.*
+ ad1a: 6fc6.*
+ ad1b: 0d10.*
+ ad1c: 6fc6.*
+ ad1d: 0c10.*
+ ad1e: 6fc6.*
+ ad1f: 0e10.*
+ ad20: 6fc6.*
+ ad21: 0f10.*
+ ad22: 6fc6.*
+ ad23: 0f10.*
+ ad24: 6fc6.*
+ ad25: 0c11.*
+ ad26: 6fc6.*
+ ad27: 0d11.*
+ ad28: 6fc6.*
+ ad29: 0c11.*
+ ad2a: 6fc6.*
+ ad2b: 0e11.*
+ ad2c: 6fc6.*
+ ad2d: 0f11.*
+ ad2e: 6fc6.*
+ ad2f: 0f11.*
+ ad30: 6fc6.*
+ ad31: 0c12.*
+ ad32: 6fc6.*
+ ad33: 0d12.*
+ ad34: 6fc6.*
+ ad35: 0c12.*
+ ad36: 6fc6.*
+ ad37: 0e12.*
+ ad38: 6fc6.*
+ ad39: 0f12.*
+ ad3a: 6fc6.*
+ ad3b: 0f12.*
+ ad3c: 6fc6.*
+ ad3d: 0c13.*
+ ad3e: 6fc6.*
+ ad3f: 0d13.*
+ ad40: 6fc6.*
+ ad41: 0c13.*
+ ad42: 6fc6.*
+ ad43: 0e13.*
+ ad44: 6fc6.*
+ ad45: 0f13.*
+ ad46: 6fc6.*
+ ad47: 0f13.*
+ ad48: 6fc6.*
+ ad49: 0c14.*
+ ad4a: 6fc6.*
+ ad4b: 0d14.*
+ ad4c: 6fc6.*
+ ad4d: 0c14.*
+ ad4e: 6fc6.*
+ ad4f: 0e14.*
+ ad50: 6fc6.*
+ ad51: 0f14.*
+ ad52: 6fc6.*
+ ad53: 0f14.*
+ ad54: 6fc6.*
+ ad55: 0c15.*
+ ad56: 6fc6.*
+ ad57: 0d15.*
+ ad58: 6fc6.*
+ ad59: 0c15.*
+ ad5a: 6fc6.*
+ ad5b: 0e15.*
+ ad5c: 6fc6.*
+ ad5d: 0f15.*
+ ad5e: 6fc6.*
+ ad5f: 0f15.*
+ ad60: 6fc6.*
+ ad61: 0c16.*
+ ad62: 6fc6.*
+ ad63: 0d16.*
+ ad64: 6fc6.*
+ ad65: 0c16.*
+ ad66: 6fc6.*
+ ad67: 0e16.*
+ ad68: 6fc6.*
+ ad69: 0f16.*
+ ad6a: 6fc6.*
+ ad6b: 0f16.*
+ ad6c: 6fc6.*
+ ad6d: 0c17.*
+ ad6e: 6fc6.*
+ ad6f: 0d17.*
+ ad70: 6fc6.*
+ ad71: 0c17.*
+ ad72: 6fc6.*
+ ad73: 0e17.*
+ ad74: 6fc6.*
+ ad75: 0f17.*
+ ad76: 6fc6.*
+ ad77: 0f17.*
+ ad78: 6fc6.*
+ ad79: 0c18.*
+ ad7a: 6fc6.*
+ ad7b: 0d18.*
+ ad7c: 6fc6.*
+ ad7d: 0c18.*
+ ad7e: 6fc6.*
+ ad7f: 0e18.*
+ ad80: 6fc6.*
+ ad81: 0f18.*
+ ad82: 6fc6.*
+ ad83: 0f18.*
+ ad84: 6fc6.*
+ ad85: 0c19.*
+ ad86: 6fc6.*
+ ad87: 0d19.*
+ ad88: 6fc6.*
+ ad89: 0c19.*
+ ad8a: 6fc6.*
+ ad8b: 0e19.*
+ ad8c: 6fc6.*
+ ad8d: 0f19.*
+ ad8e: 6fc6.*
+ ad8f: 0f19.*
+ ad90: 6fc6.*
+ ad91: 0c1a.*
+ ad92: 6fc6.*
+ ad93: 0d1a.*
+ ad94: 6fc6.*
+ ad95: 0c1a.*
+ ad96: 6fc6.*
+ ad97: 0e1a.*
+ ad98: 6fc6.*
+ ad99: 0f1a.*
+ ad9a: 6fc6.*
+ ad9b: 0f1a.*
+ ad9c: 6fc6.*
+ ad9d: 0c1b.*
+ ad9e: 6fc6.*
+ ad9f: 0d1b.*
+ ada0: 6fc6.*
+ ada1: 0c1b.*
+ ada2: 6fc6.*
+ ada3: 0e1b.*
+ ada4: 6fc6.*
+ ada5: 0f1b.*
+ ada6: 6fc6.*
+ ada7: 0f1b.*
+ ada8: 6fc6.*
+ ada9: 0c1c.*
+ adaa: 6fc6.*
+ adab: 0d1c.*
+ adac: 6fc6.*
+ adad: 0c1c.*
+ adae: 6fc6.*
+ adaf: 0e1c.*
+ adb0: 6fc6.*
+ adb1: 0f1c.*
+ adb2: 6fc6.*
+ adb3: 0f1c.*
+ adb4: 6fc6.*
+ adb5: 0c1d.*
+ adb6: 6fc6.*
+ adb7: 0d1d.*
+ adb8: 6fc6.*
+ adb9: 0c1d.*
+ adba: 6fc6.*
+ adbb: 0e1d.*
+ adbc: 6fc6.*
+ adbd: 0f1d.*
+ adbe: 6fc6.*
+ adbf: 0f1d.*
+ adc0: 6fc6.*
+ adc1: 0c1e.*
+ adc2: 6fc6.*
+ adc3: 0d1e.*
+ adc4: 6fc6.*
+ adc5: 0c1e.*
+ adc6: 6fc6.*
+ adc7: 0e1e.*
+ adc8: 6fc6.*
+ adc9: 0f1e.*
+ adca: 6fc6.*
+ adcb: 0f1e.*
+ adcc: 6fc6.*
+ adcd: 0c1f.*
+ adce: 6fc6.*
+ adcf: 0d1f.*
+ add0: 6fc6.*
+ add1: 0c1f.*
+ add2: 6fc6.*
+ add3: 0e1f.*
+ add4: 6fc6.*
+ add5: 0f1f.*
+ add6: 6fc6.*
+ add7: 0f1f.*
+ add8: 00c6.*
+ add9: 6fc6.*
+ adda: 0d00.*
+ addb: 00c6.*
+ addc: 6fc6.*
+ addd: 0e00.*
+ adde: 01c6.*
+ addf: 01c6.*
+ ade0: 6fc6.*
+ ade1: 0c01.*
+ ade2: 6fc6.*
+ ade3: 0d01.*
+ ade4: 6fc6.*
+ ade5: 0c01.*
+ ade6: 6fc6.*
+ ade7: 0e01.*
+ ade8: 6fc6.*
+ ade9: 0f01.*
+ adea: 6fc6.*
+ adeb: 0f01.*
+ adec: 6fc6.*
+ aded: 0c02.*
+ adee: 6fc6.*
+ adef: 0d02.*
+ adf0: 6fc6.*
+ adf1: 0c02.*
+ adf2: 6fc6.*
+ adf3: 0e02.*
+ adf4: 6fc6.*
+ adf5: 0f02.*
+ adf6: 6fc6.*
+ adf7: 0f02.*
+ adf8: 6fc6.*
+ adf9: 0c03.*
+ adfa: 6fc6.*
+ adfb: 0d03.*
+ adfc: 6fc6.*
+ adfd: 0c03.*
+ adfe: 6fc6.*
+ adff: 0e03.*
+ ae00: 6fc6.*
+ ae01: 0f03.*
+ ae02: 6fc6.*
+ ae03: 0f03.*
+ ae04: 6fc6.*
+ ae05: 0c04.*
+ ae06: 6fc6.*
+ ae07: 0d04.*
+ ae08: 6fc6.*
+ ae09: 0c04.*
+ ae0a: 6fc6.*
+ ae0b: 0e04.*
+ ae0c: 6fc6.*
+ ae0d: 0f04.*
+ ae0e: 6fc6.*
+ ae0f: 0f04.*
+ ae10: 6fc6.*
+ ae11: 0c05.*
+ ae12: 6fc6.*
+ ae13: 0d05.*
+ ae14: 6fc6.*
+ ae15: 0c05.*
+ ae16: 6fc6.*
+ ae17: 0e05.*
+ ae18: 6fc6.*
+ ae19: 0f05.*
+ ae1a: 6fc6.*
+ ae1b: 0f05.*
+ ae1c: 6fc6.*
+ ae1d: 0c06.*
+ ae1e: 6fc6.*
+ ae1f: 0d06.*
+ ae20: 6fc6.*
+ ae21: 0c06.*
+ ae22: 6fc6.*
+ ae23: 0e06.*
+ ae24: 6fc6.*
+ ae25: 0f06.*
+ ae26: 6fc6.*
+ ae27: 0f06.*
+ ae28: 6fc6.*
+ ae29: 0c07.*
+ ae2a: 6fc6.*
+ ae2b: 0d07.*
+ ae2c: 6fc6.*
+ ae2d: 0c07.*
+ ae2e: 6fc6.*
+ ae2f: 0e07.*
+ ae30: 6fc6.*
+ ae31: 0f07.*
+ ae32: 6fc6.*
+ ae33: 0f07.*
+ ae34: 6fc6.*
+ ae35: 0c08.*
+ ae36: 6fc6.*
+ ae37: 0d08.*
+ ae38: 6fc6.*
+ ae39: 0c08.*
+ ae3a: 6fc6.*
+ ae3b: 0e08.*
+ ae3c: 6fc6.*
+ ae3d: 0f08.*
+ ae3e: 6fc6.*
+ ae3f: 0f08.*
+ ae40: 6fc6.*
+ ae41: 0c09.*
+ ae42: 6fc6.*
+ ae43: 0d09.*
+ ae44: 6fc6.*
+ ae45: 0c09.*
+ ae46: 6fc6.*
+ ae47: 0e09.*
+ ae48: 6fc6.*
+ ae49: 0f09.*
+ ae4a: 6fc6.*
+ ae4b: 0f09.*
+ ae4c: 6fc6.*
+ ae4d: 0c0a.*
+ ae4e: 6fc6.*
+ ae4f: 0d0a.*
+ ae50: 6fc6.*
+ ae51: 0c0a.*
+ ae52: 6fc6.*
+ ae53: 0e0a.*
+ ae54: 6fc6.*
+ ae55: 0f0a.*
+ ae56: 6fc6.*
+ ae57: 0f0a.*
+ ae58: 6fc6.*
+ ae59: 0c0b.*
+ ae5a: 6fc6.*
+ ae5b: 0d0b.*
+ ae5c: 6fc6.*
+ ae5d: 0c0b.*
+ ae5e: 6fc6.*
+ ae5f: 0e0b.*
+ ae60: 6fc6.*
+ ae61: 0f0b.*
+ ae62: 6fc6.*
+ ae63: 0f0b.*
+ ae64: 6fc6.*
+ ae65: 0c0c.*
+ ae66: 6fc6.*
+ ae67: 0d0c.*
+ ae68: 6fc6.*
+ ae69: 0c0c.*
+ ae6a: 6fc6.*
+ ae6b: 0e0c.*
+ ae6c: 6fc6.*
+ ae6d: 0f0c.*
+ ae6e: 6fc6.*
+ ae6f: 0f0c.*
+ ae70: 6fc6.*
+ ae71: 0c0d.*
+ ae72: 6fc6.*
+ ae73: 0d0d.*
+ ae74: 6fc6.*
+ ae75: 0c0d.*
+ ae76: 6fc6.*
+ ae77: 0e0d.*
+ ae78: 6fc6.*
+ ae79: 0f0d.*
+ ae7a: 6fc6.*
+ ae7b: 0f0d.*
+ ae7c: 6fc6.*
+ ae7d: 0c0e.*
+ ae7e: 6fc6.*
+ ae7f: 0d0e.*
+ ae80: 6fc6.*
+ ae81: 0c0e.*
+ ae82: 6fc6.*
+ ae83: 0e0e.*
+ ae84: 6fc6.*
+ ae85: 0f0e.*
+ ae86: 6fc6.*
+ ae87: 0f0e.*
+ ae88: 6fc6.*
+ ae89: 0c0f.*
+ ae8a: 6fc6.*
+ ae8b: 0d0f.*
+ ae8c: 6fc6.*
+ ae8d: 0c0f.*
+ ae8e: 6fc6.*
+ ae8f: 0e0f.*
+ ae90: 6fc6.*
+ ae91: 0f0f.*
+ ae92: 6fc6.*
+ ae93: 0f0f.*
+ ae94: 00ce.*
+ ae95: 6fce.*
+ ae96: 0d00.*
+ ae97: 00ce.*
+ ae98: 6fce.*
+ ae99: 0e00.*
+ ae9a: 01ce.*
+ ae9b: 01ce.*
+ ae9c: 6fce.*
+ ae9d: 0c10.*
+ ae9e: 6fce.*
+ ae9f: 0d10.*
+ aea0: 6fce.*
+ aea1: 0c10.*
+ aea2: 6fce.*
+ aea3: 0e10.*
+ aea4: 6fce.*
+ aea5: 0f10.*
+ aea6: 6fce.*
+ aea7: 0f10.*
+ aea8: 6fce.*
+ aea9: 0c11.*
+ aeaa: 6fce.*
+ aeab: 0d11.*
+ aeac: 6fce.*
+ aead: 0c11.*
+ aeae: 6fce.*
+ aeaf: 0e11.*
+ aeb0: 6fce.*
+ aeb1: 0f11.*
+ aeb2: 6fce.*
+ aeb3: 0f11.*
+ aeb4: 6fce.*
+ aeb5: 0c12.*
+ aeb6: 6fce.*
+ aeb7: 0d12.*
+ aeb8: 6fce.*
+ aeb9: 0c12.*
+ aeba: 6fce.*
+ aebb: 0e12.*
+ aebc: 6fce.*
+ aebd: 0f12.*
+ aebe: 6fce.*
+ aebf: 0f12.*
+ aec0: 6fce.*
+ aec1: 0c13.*
+ aec2: 6fce.*
+ aec3: 0d13.*
+ aec4: 6fce.*
+ aec5: 0c13.*
+ aec6: 6fce.*
+ aec7: 0e13.*
+ aec8: 6fce.*
+ aec9: 0f13.*
+ aeca: 6fce.*
+ aecb: 0f13.*
+ aecc: 6fce.*
+ aecd: 0c14.*
+ aece: 6fce.*
+ aecf: 0d14.*
+ aed0: 6fce.*
+ aed1: 0c14.*
+ aed2: 6fce.*
+ aed3: 0e14.*
+ aed4: 6fce.*
+ aed5: 0f14.*
+ aed6: 6fce.*
+ aed7: 0f14.*
+ aed8: 6fce.*
+ aed9: 0c15.*
+ aeda: 6fce.*
+ aedb: 0d15.*
+ aedc: 6fce.*
+ aedd: 0c15.*
+ aede: 6fce.*
+ aedf: 0e15.*
+ aee0: 6fce.*
+ aee1: 0f15.*
+ aee2: 6fce.*
+ aee3: 0f15.*
+ aee4: 6fce.*
+ aee5: 0c16.*
+ aee6: 6fce.*
+ aee7: 0d16.*
+ aee8: 6fce.*
+ aee9: 0c16.*
+ aeea: 6fce.*
+ aeeb: 0e16.*
+ aeec: 6fce.*
+ aeed: 0f16.*
+ aeee: 6fce.*
+ aeef: 0f16.*
+ aef0: 6fce.*
+ aef1: 0c17.*
+ aef2: 6fce.*
+ aef3: 0d17.*
+ aef4: 6fce.*
+ aef5: 0c17.*
+ aef6: 6fce.*
+ aef7: 0e17.*
+ aef8: 6fce.*
+ aef9: 0f17.*
+ aefa: 6fce.*
+ aefb: 0f17.*
+ aefc: 6fce.*
+ aefd: 0c18.*
+ aefe: 6fce.*
+ aeff: 0d18.*
+ af00: 6fce.*
+ af01: 0c18.*
+ af02: 6fce.*
+ af03: 0e18.*
+ af04: 6fce.*
+ af05: 0f18.*
+ af06: 6fce.*
+ af07: 0f18.*
+ af08: 6fce.*
+ af09: 0c19.*
+ af0a: 6fce.*
+ af0b: 0d19.*
+ af0c: 6fce.*
+ af0d: 0c19.*
+ af0e: 6fce.*
+ af0f: 0e19.*
+ af10: 6fce.*
+ af11: 0f19.*
+ af12: 6fce.*
+ af13: 0f19.*
+ af14: 6fce.*
+ af15: 0c1a.*
+ af16: 6fce.*
+ af17: 0d1a.*
+ af18: 6fce.*
+ af19: 0c1a.*
+ af1a: 6fce.*
+ af1b: 0e1a.*
+ af1c: 6fce.*
+ af1d: 0f1a.*
+ af1e: 6fce.*
+ af1f: 0f1a.*
+ af20: 6fce.*
+ af21: 0c1b.*
+ af22: 6fce.*
+ af23: 0d1b.*
+ af24: 6fce.*
+ af25: 0c1b.*
+ af26: 6fce.*
+ af27: 0e1b.*
+ af28: 6fce.*
+ af29: 0f1b.*
+ af2a: 6fce.*
+ af2b: 0f1b.*
+ af2c: 6fce.*
+ af2d: 0c1c.*
+ af2e: 6fce.*
+ af2f: 0d1c.*
+ af30: 6fce.*
+ af31: 0c1c.*
+ af32: 6fce.*
+ af33: 0e1c.*
+ af34: 6fce.*
+ af35: 0f1c.*
+ af36: 6fce.*
+ af37: 0f1c.*
+ af38: 6fce.*
+ af39: 0c1d.*
+ af3a: 6fce.*
+ af3b: 0d1d.*
+ af3c: 6fce.*
+ af3d: 0c1d.*
+ af3e: 6fce.*
+ af3f: 0e1d.*
+ af40: 6fce.*
+ af41: 0f1d.*
+ af42: 6fce.*
+ af43: 0f1d.*
+ af44: 6fce.*
+ af45: 0c1e.*
+ af46: 6fce.*
+ af47: 0d1e.*
+ af48: 6fce.*
+ af49: 0c1e.*
+ af4a: 6fce.*
+ af4b: 0e1e.*
+ af4c: 6fce.*
+ af4d: 0f1e.*
+ af4e: 6fce.*
+ af4f: 0f1e.*
+ af50: 6fce.*
+ af51: 0c1f.*
+ af52: 6fce.*
+ af53: 0d1f.*
+ af54: 6fce.*
+ af55: 0c1f.*
+ af56: 6fce.*
+ af57: 0e1f.*
+ af58: 6fce.*
+ af59: 0f1f.*
+ af5a: 6fce.*
+ af5b: 0f1f.*
+ af5c: 00ce.*
+ af5d: 6fce.*
+ af5e: 0d00.*
+ af5f: 00ce.*
+ af60: 6fce.*
+ af61: 0e00.*
+ af62: 01ce.*
+ af63: 01ce.*
+ af64: 6fce.*
+ af65: 0c01.*
+ af66: 6fce.*
+ af67: 0d01.*
+ af68: 6fce.*
+ af69: 0c01.*
+ af6a: 6fce.*
+ af6b: 0e01.*
+ af6c: 6fce.*
+ af6d: 0f01.*
+ af6e: 6fce.*
+ af6f: 0f01.*
+ af70: 6fce.*
+ af71: 0c02.*
+ af72: 6fce.*
+ af73: 0d02.*
+ af74: 6fce.*
+ af75: 0c02.*
+ af76: 6fce.*
+ af77: 0e02.*
+ af78: 6fce.*
+ af79: 0f02.*
+ af7a: 6fce.*
+ af7b: 0f02.*
+ af7c: 6fce.*
+ af7d: 0c03.*
+ af7e: 6fce.*
+ af7f: 0d03.*
+ af80: 6fce.*
+ af81: 0c03.*
+ af82: 6fce.*
+ af83: 0e03.*
+ af84: 6fce.*
+ af85: 0f03.*
+ af86: 6fce.*
+ af87: 0f03.*
+ af88: 6fce.*
+ af89: 0c04.*
+ af8a: 6fce.*
+ af8b: 0d04.*
+ af8c: 6fce.*
+ af8d: 0c04.*
+ af8e: 6fce.*
+ af8f: 0e04.*
+ af90: 6fce.*
+ af91: 0f04.*
+ af92: 6fce.*
+ af93: 0f04.*
+ af94: 6fce.*
+ af95: 0c05.*
+ af96: 6fce.*
+ af97: 0d05.*
+ af98: 6fce.*
+ af99: 0c05.*
+ af9a: 6fce.*
+ af9b: 0e05.*
+ af9c: 6fce.*
+ af9d: 0f05.*
+ af9e: 6fce.*
+ af9f: 0f05.*
+ afa0: 6fce.*
+ afa1: 0c06.*
+ afa2: 6fce.*
+ afa3: 0d06.*
+ afa4: 6fce.*
+ afa5: 0c06.*
+ afa6: 6fce.*
+ afa7: 0e06.*
+ afa8: 6fce.*
+ afa9: 0f06.*
+ afaa: 6fce.*
+ afab: 0f06.*
+ afac: 6fce.*
+ afad: 0c07.*
+ afae: 6fce.*
+ afaf: 0d07.*
+ afb0: 6fce.*
+ afb1: 0c07.*
+ afb2: 6fce.*
+ afb3: 0e07.*
+ afb4: 6fce.*
+ afb5: 0f07.*
+ afb6: 6fce.*
+ afb7: 0f07.*
+ afb8: 6fce.*
+ afb9: 0c08.*
+ afba: 6fce.*
+ afbb: 0d08.*
+ afbc: 6fce.*
+ afbd: 0c08.*
+ afbe: 6fce.*
+ afbf: 0e08.*
+ afc0: 6fce.*
+ afc1: 0f08.*
+ afc2: 6fce.*
+ afc3: 0f08.*
+ afc4: 6fce.*
+ afc5: 0c09.*
+ afc6: 6fce.*
+ afc7: 0d09.*
+ afc8: 6fce.*
+ afc9: 0c09.*
+ afca: 6fce.*
+ afcb: 0e09.*
+ afcc: 6fce.*
+ afcd: 0f09.*
+ afce: 6fce.*
+ afcf: 0f09.*
+ afd0: 6fce.*
+ afd1: 0c0a.*
+ afd2: 6fce.*
+ afd3: 0d0a.*
+ afd4: 6fce.*
+ afd5: 0c0a.*
+ afd6: 6fce.*
+ afd7: 0e0a.*
+ afd8: 6fce.*
+ afd9: 0f0a.*
+ afda: 6fce.*
+ afdb: 0f0a.*
+ afdc: 6fce.*
+ afdd: 0c0b.*
+ afde: 6fce.*
+ afdf: 0d0b.*
+ afe0: 6fce.*
+ afe1: 0c0b.*
+ afe2: 6fce.*
+ afe3: 0e0b.*
+ afe4: 6fce.*
+ afe5: 0f0b.*
+ afe6: 6fce.*
+ afe7: 0f0b.*
+ afe8: 6fce.*
+ afe9: 0c0c.*
+ afea: 6fce.*
+ afeb: 0d0c.*
+ afec: 6fce.*
+ afed: 0c0c.*
+ afee: 6fce.*
+ afef: 0e0c.*
+ aff0: 6fce.*
+ aff1: 0f0c.*
+ aff2: 6fce.*
+ aff3: 0f0c.*
+ aff4: 6fce.*
+ aff5: 0c0d.*
+ aff6: 6fce.*
+ aff7: 0d0d.*
+ aff8: 6fce.*
+ aff9: 0c0d.*
+ affa: 6fce.*
+ affb: 0e0d.*
+ affc: 6fce.*
+ affd: 0f0d.*
+ affe: 6fce.*
+ afff: 0f0d.*
+ b000: 6fce.*
+ b001: 0c0e.*
+ b002: 6fce.*
+ b003: 0d0e.*
+ b004: 6fce.*
+ b005: 0c0e.*
+ b006: 6fce.*
+ b007: 0e0e.*
+ b008: 6fce.*
+ b009: 0f0e.*
+ b00a: 6fce.*
+ b00b: 0f0e.*
+ b00c: 6fce.*
+ b00d: 0c0f.*
+ b00e: 6fce.*
+ b00f: 0d0f.*
+ b010: 6fce.*
+ b011: 0c0f.*
+ b012: 6fce.*
+ b013: 0e0f.*
+ b014: 6fce.*
+ b015: 0f0f.*
+ b016: 6fce.*
+ b017: 0f0f.*
+ b018: 00d6.*
+ b019: 6fd6.*
+ b01a: 0d00.*
+ b01b: 00d6.*
+ b01c: 6fd6.*
+ b01d: 0e00.*
+ b01e: 01d6.*
+ b01f: 01d6.*
+ b020: 6fd6.*
+ b021: 0c10.*
+ b022: 6fd6.*
+ b023: 0d10.*
+ b024: 6fd6.*
+ b025: 0c10.*
+ b026: 6fd6.*
+ b027: 0e10.*
+ b028: 6fd6.*
+ b029: 0f10.*
+ b02a: 6fd6.*
+ b02b: 0f10.*
+ b02c: 6fd6.*
+ b02d: 0c11.*
+ b02e: 6fd6.*
+ b02f: 0d11.*
+ b030: 6fd6.*
+ b031: 0c11.*
+ b032: 6fd6.*
+ b033: 0e11.*
+ b034: 6fd6.*
+ b035: 0f11.*
+ b036: 6fd6.*
+ b037: 0f11.*
+ b038: 6fd6.*
+ b039: 0c12.*
+ b03a: 6fd6.*
+ b03b: 0d12.*
+ b03c: 6fd6.*
+ b03d: 0c12.*
+ b03e: 6fd6.*
+ b03f: 0e12.*
+ b040: 6fd6.*
+ b041: 0f12.*
+ b042: 6fd6.*
+ b043: 0f12.*
+ b044: 6fd6.*
+ b045: 0c13.*
+ b046: 6fd6.*
+ b047: 0d13.*
+ b048: 6fd6.*
+ b049: 0c13.*
+ b04a: 6fd6.*
+ b04b: 0e13.*
+ b04c: 6fd6.*
+ b04d: 0f13.*
+ b04e: 6fd6.*
+ b04f: 0f13.*
+ b050: 6fd6.*
+ b051: 0c14.*
+ b052: 6fd6.*
+ b053: 0d14.*
+ b054: 6fd6.*
+ b055: 0c14.*
+ b056: 6fd6.*
+ b057: 0e14.*
+ b058: 6fd6.*
+ b059: 0f14.*
+ b05a: 6fd6.*
+ b05b: 0f14.*
+ b05c: 6fd6.*
+ b05d: 0c15.*
+ b05e: 6fd6.*
+ b05f: 0d15.*
+ b060: 6fd6.*
+ b061: 0c15.*
+ b062: 6fd6.*
+ b063: 0e15.*
+ b064: 6fd6.*
+ b065: 0f15.*
+ b066: 6fd6.*
+ b067: 0f15.*
+ b068: 6fd6.*
+ b069: 0c16.*
+ b06a: 6fd6.*
+ b06b: 0d16.*
+ b06c: 6fd6.*
+ b06d: 0c16.*
+ b06e: 6fd6.*
+ b06f: 0e16.*
+ b070: 6fd6.*
+ b071: 0f16.*
+ b072: 6fd6.*
+ b073: 0f16.*
+ b074: 6fd6.*
+ b075: 0c17.*
+ b076: 6fd6.*
+ b077: 0d17.*
+ b078: 6fd6.*
+ b079: 0c17.*
+ b07a: 6fd6.*
+ b07b: 0e17.*
+ b07c: 6fd6.*
+ b07d: 0f17.*
+ b07e: 6fd6.*
+ b07f: 0f17.*
+ b080: 6fd6.*
+ b081: 0c18.*
+ b082: 6fd6.*
+ b083: 0d18.*
+ b084: 6fd6.*
+ b085: 0c18.*
+ b086: 6fd6.*
+ b087: 0e18.*
+ b088: 6fd6.*
+ b089: 0f18.*
+ b08a: 6fd6.*
+ b08b: 0f18.*
+ b08c: 6fd6.*
+ b08d: 0c19.*
+ b08e: 6fd6.*
+ b08f: 0d19.*
+ b090: 6fd6.*
+ b091: 0c19.*
+ b092: 6fd6.*
+ b093: 0e19.*
+ b094: 6fd6.*
+ b095: 0f19.*
+ b096: 6fd6.*
+ b097: 0f19.*
+ b098: 6fd6.*
+ b099: 0c1a.*
+ b09a: 6fd6.*
+ b09b: 0d1a.*
+ b09c: 6fd6.*
+ b09d: 0c1a.*
+ b09e: 6fd6.*
+ b09f: 0e1a.*
+ b0a0: 6fd6.*
+ b0a1: 0f1a.*
+ b0a2: 6fd6.*
+ b0a3: 0f1a.*
+ b0a4: 6fd6.*
+ b0a5: 0c1b.*
+ b0a6: 6fd6.*
+ b0a7: 0d1b.*
+ b0a8: 6fd6.*
+ b0a9: 0c1b.*
+ b0aa: 6fd6.*
+ b0ab: 0e1b.*
+ b0ac: 6fd6.*
+ b0ad: 0f1b.*
+ b0ae: 6fd6.*
+ b0af: 0f1b.*
+ b0b0: 6fd6.*
+ b0b1: 0c1c.*
+ b0b2: 6fd6.*
+ b0b3: 0d1c.*
+ b0b4: 6fd6.*
+ b0b5: 0c1c.*
+ b0b6: 6fd6.*
+ b0b7: 0e1c.*
+ b0b8: 6fd6.*
+ b0b9: 0f1c.*
+ b0ba: 6fd6.*
+ b0bb: 0f1c.*
+ b0bc: 6fd6.*
+ b0bd: 0c1d.*
+ b0be: 6fd6.*
+ b0bf: 0d1d.*
+ b0c0: 6fd6.*
+ b0c1: 0c1d.*
+ b0c2: 6fd6.*
+ b0c3: 0e1d.*
+ b0c4: 6fd6.*
+ b0c5: 0f1d.*
+ b0c6: 6fd6.*
+ b0c7: 0f1d.*
+ b0c8: 6fd6.*
+ b0c9: 0c1e.*
+ b0ca: 6fd6.*
+ b0cb: 0d1e.*
+ b0cc: 6fd6.*
+ b0cd: 0c1e.*
+ b0ce: 6fd6.*
+ b0cf: 0e1e.*
+ b0d0: 6fd6.*
+ b0d1: 0f1e.*
+ b0d2: 6fd6.*
+ b0d3: 0f1e.*
+ b0d4: 6fd6.*
+ b0d5: 0c1f.*
+ b0d6: 6fd6.*
+ b0d7: 0d1f.*
+ b0d8: 6fd6.*
+ b0d9: 0c1f.*
+ b0da: 6fd6.*
+ b0db: 0e1f.*
+ b0dc: 6fd6.*
+ b0dd: 0f1f.*
+ b0de: 6fd6.*
+ b0df: 0f1f.*
+ b0e0: 00d6.*
+ b0e1: 6fd6.*
+ b0e2: 0d00.*
+ b0e3: 00d6.*
+ b0e4: 6fd6.*
+ b0e5: 0e00.*
+ b0e6: 01d6.*
+ b0e7: 01d6.*
+ b0e8: 6fd6.*
+ b0e9: 0c01.*
+ b0ea: 6fd6.*
+ b0eb: 0d01.*
+ b0ec: 6fd6.*
+ b0ed: 0c01.*
+ b0ee: 6fd6.*
+ b0ef: 0e01.*
+ b0f0: 6fd6.*
+ b0f1: 0f01.*
+ b0f2: 6fd6.*
+ b0f3: 0f01.*
+ b0f4: 6fd6.*
+ b0f5: 0c02.*
+ b0f6: 6fd6.*
+ b0f7: 0d02.*
+ b0f8: 6fd6.*
+ b0f9: 0c02.*
+ b0fa: 6fd6.*
+ b0fb: 0e02.*
+ b0fc: 6fd6.*
+ b0fd: 0f02.*
+ b0fe: 6fd6.*
+ b0ff: 0f02.*
+ b100: 6fd6.*
+ b101: 0c03.*
+ b102: 6fd6.*
+ b103: 0d03.*
+ b104: 6fd6.*
+ b105: 0c03.*
+ b106: 6fd6.*
+ b107: 0e03.*
+ b108: 6fd6.*
+ b109: 0f03.*
+ b10a: 6fd6.*
+ b10b: 0f03.*
+ b10c: 6fd6.*
+ b10d: 0c04.*
+ b10e: 6fd6.*
+ b10f: 0d04.*
+ b110: 6fd6.*
+ b111: 0c04.*
+ b112: 6fd6.*
+ b113: 0e04.*
+ b114: 6fd6.*
+ b115: 0f04.*
+ b116: 6fd6.*
+ b117: 0f04.*
+ b118: 6fd6.*
+ b119: 0c05.*
+ b11a: 6fd6.*
+ b11b: 0d05.*
+ b11c: 6fd6.*
+ b11d: 0c05.*
+ b11e: 6fd6.*
+ b11f: 0e05.*
+ b120: 6fd6.*
+ b121: 0f05.*
+ b122: 6fd6.*
+ b123: 0f05.*
+ b124: 6fd6.*
+ b125: 0c06.*
+ b126: 6fd6.*
+ b127: 0d06.*
+ b128: 6fd6.*
+ b129: 0c06.*
+ b12a: 6fd6.*
+ b12b: 0e06.*
+ b12c: 6fd6.*
+ b12d: 0f06.*
+ b12e: 6fd6.*
+ b12f: 0f06.*
+ b130: 6fd6.*
+ b131: 0c07.*
+ b132: 6fd6.*
+ b133: 0d07.*
+ b134: 6fd6.*
+ b135: 0c07.*
+ b136: 6fd6.*
+ b137: 0e07.*
+ b138: 6fd6.*
+ b139: 0f07.*
+ b13a: 6fd6.*
+ b13b: 0f07.*
+ b13c: 6fd6.*
+ b13d: 0c08.*
+ b13e: 6fd6.*
+ b13f: 0d08.*
+ b140: 6fd6.*
+ b141: 0c08.*
+ b142: 6fd6.*
+ b143: 0e08.*
+ b144: 6fd6.*
+ b145: 0f08.*
+ b146: 6fd6.*
+ b147: 0f08.*
+ b148: 6fd6.*
+ b149: 0c09.*
+ b14a: 6fd6.*
+ b14b: 0d09.*
+ b14c: 6fd6.*
+ b14d: 0c09.*
+ b14e: 6fd6.*
+ b14f: 0e09.*
+ b150: 6fd6.*
+ b151: 0f09.*
+ b152: 6fd6.*
+ b153: 0f09.*
+ b154: 6fd6.*
+ b155: 0c0a.*
+ b156: 6fd6.*
+ b157: 0d0a.*
+ b158: 6fd6.*
+ b159: 0c0a.*
+ b15a: 6fd6.*
+ b15b: 0e0a.*
+ b15c: 6fd6.*
+ b15d: 0f0a.*
+ b15e: 6fd6.*
+ b15f: 0f0a.*
+ b160: 6fd6.*
+ b161: 0c0b.*
+ b162: 6fd6.*
+ b163: 0d0b.*
+ b164: 6fd6.*
+ b165: 0c0b.*
+ b166: 6fd6.*
+ b167: 0e0b.*
+ b168: 6fd6.*
+ b169: 0f0b.*
+ b16a: 6fd6.*
+ b16b: 0f0b.*
+ b16c: 6fd6.*
+ b16d: 0c0c.*
+ b16e: 6fd6.*
+ b16f: 0d0c.*
+ b170: 6fd6.*
+ b171: 0c0c.*
+ b172: 6fd6.*
+ b173: 0e0c.*
+ b174: 6fd6.*
+ b175: 0f0c.*
+ b176: 6fd6.*
+ b177: 0f0c.*
+ b178: 6fd6.*
+ b179: 0c0d.*
+ b17a: 6fd6.*
+ b17b: 0d0d.*
+ b17c: 6fd6.*
+ b17d: 0c0d.*
+ b17e: 6fd6.*
+ b17f: 0e0d.*
+ b180: 6fd6.*
+ b181: 0f0d.*
+ b182: 6fd6.*
+ b183: 0f0d.*
+ b184: 6fd6.*
+ b185: 0c0e.*
+ b186: 6fd6.*
+ b187: 0d0e.*
+ b188: 6fd6.*
+ b189: 0c0e.*
+ b18a: 6fd6.*
+ b18b: 0e0e.*
+ b18c: 6fd6.*
+ b18d: 0f0e.*
+ b18e: 6fd6.*
+ b18f: 0f0e.*
+ b190: 6fd6.*
+ b191: 0c0f.*
+ b192: 6fd6.*
+ b193: 0d0f.*
+ b194: 6fd6.*
+ b195: 0c0f.*
+ b196: 6fd6.*
+ b197: 0e0f.*
+ b198: 6fd6.*
+ b199: 0f0f.*
+ b19a: 6fd6.*
+ b19b: 0f0f.*
+ b19c: 00de.*
+ b19d: 6fde.*
+ b19e: 0d00.*
+ b19f: 00de.*
+ b1a0: 6fde.*
+ b1a1: 0e00.*
+ b1a2: 01de.*
+ b1a3: 01de.*
+ b1a4: 6fde.*
+ b1a5: 0c10.*
+ b1a6: 6fde.*
+ b1a7: 0d10.*
+ b1a8: 6fde.*
+ b1a9: 0c10.*
+ b1aa: 6fde.*
+ b1ab: 0e10.*
+ b1ac: 6fde.*
+ b1ad: 0f10.*
+ b1ae: 6fde.*
+ b1af: 0f10.*
+ b1b0: 6fde.*
+ b1b1: 0c11.*
+ b1b2: 6fde.*
+ b1b3: 0d11.*
+ b1b4: 6fde.*
+ b1b5: 0c11.*
+ b1b6: 6fde.*
+ b1b7: 0e11.*
+ b1b8: 6fde.*
+ b1b9: 0f11.*
+ b1ba: 6fde.*
+ b1bb: 0f11.*
+ b1bc: 6fde.*
+ b1bd: 0c12.*
+ b1be: 6fde.*
+ b1bf: 0d12.*
+ b1c0: 6fde.*
+ b1c1: 0c12.*
+ b1c2: 6fde.*
+ b1c3: 0e12.*
+ b1c4: 6fde.*
+ b1c5: 0f12.*
+ b1c6: 6fde.*
+ b1c7: 0f12.*
+ b1c8: 6fde.*
+ b1c9: 0c13.*
+ b1ca: 6fde.*
+ b1cb: 0d13.*
+ b1cc: 6fde.*
+ b1cd: 0c13.*
+ b1ce: 6fde.*
+ b1cf: 0e13.*
+ b1d0: 6fde.*
+ b1d1: 0f13.*
+ b1d2: 6fde.*
+ b1d3: 0f13.*
+ b1d4: 6fde.*
+ b1d5: 0c14.*
+ b1d6: 6fde.*
+ b1d7: 0d14.*
+ b1d8: 6fde.*
+ b1d9: 0c14.*
+ b1da: 6fde.*
+ b1db: 0e14.*
+ b1dc: 6fde.*
+ b1dd: 0f14.*
+ b1de: 6fde.*
+ b1df: 0f14.*
+ b1e0: 6fde.*
+ b1e1: 0c15.*
+ b1e2: 6fde.*
+ b1e3: 0d15.*
+ b1e4: 6fde.*
+ b1e5: 0c15.*
+ b1e6: 6fde.*
+ b1e7: 0e15.*
+ b1e8: 6fde.*
+ b1e9: 0f15.*
+ b1ea: 6fde.*
+ b1eb: 0f15.*
+ b1ec: 6fde.*
+ b1ed: 0c16.*
+ b1ee: 6fde.*
+ b1ef: 0d16.*
+ b1f0: 6fde.*
+ b1f1: 0c16.*
+ b1f2: 6fde.*
+ b1f3: 0e16.*
+ b1f4: 6fde.*
+ b1f5: 0f16.*
+ b1f6: 6fde.*
+ b1f7: 0f16.*
+ b1f8: 6fde.*
+ b1f9: 0c17.*
+ b1fa: 6fde.*
+ b1fb: 0d17.*
+ b1fc: 6fde.*
+ b1fd: 0c17.*
+ b1fe: 6fde.*
+ b1ff: 0e17.*
+ b200: 6fde.*
+ b201: 0f17.*
+ b202: 6fde.*
+ b203: 0f17.*
+ b204: 6fde.*
+ b205: 0c18.*
+ b206: 6fde.*
+ b207: 0d18.*
+ b208: 6fde.*
+ b209: 0c18.*
+ b20a: 6fde.*
+ b20b: 0e18.*
+ b20c: 6fde.*
+ b20d: 0f18.*
+ b20e: 6fde.*
+ b20f: 0f18.*
+ b210: 6fde.*
+ b211: 0c19.*
+ b212: 6fde.*
+ b213: 0d19.*
+ b214: 6fde.*
+ b215: 0c19.*
+ b216: 6fde.*
+ b217: 0e19.*
+ b218: 6fde.*
+ b219: 0f19.*
+ b21a: 6fde.*
+ b21b: 0f19.*
+ b21c: 6fde.*
+ b21d: 0c1a.*
+ b21e: 6fde.*
+ b21f: 0d1a.*
+ b220: 6fde.*
+ b221: 0c1a.*
+ b222: 6fde.*
+ b223: 0e1a.*
+ b224: 6fde.*
+ b225: 0f1a.*
+ b226: 6fde.*
+ b227: 0f1a.*
+ b228: 6fde.*
+ b229: 0c1b.*
+ b22a: 6fde.*
+ b22b: 0d1b.*
+ b22c: 6fde.*
+ b22d: 0c1b.*
+ b22e: 6fde.*
+ b22f: 0e1b.*
+ b230: 6fde.*
+ b231: 0f1b.*
+ b232: 6fde.*
+ b233: 0f1b.*
+ b234: 6fde.*
+ b235: 0c1c.*
+ b236: 6fde.*
+ b237: 0d1c.*
+ b238: 6fde.*
+ b239: 0c1c.*
+ b23a: 6fde.*
+ b23b: 0e1c.*
+ b23c: 6fde.*
+ b23d: 0f1c.*
+ b23e: 6fde.*
+ b23f: 0f1c.*
+ b240: 6fde.*
+ b241: 0c1d.*
+ b242: 6fde.*
+ b243: 0d1d.*
+ b244: 6fde.*
+ b245: 0c1d.*
+ b246: 6fde.*
+ b247: 0e1d.*
+ b248: 6fde.*
+ b249: 0f1d.*
+ b24a: 6fde.*
+ b24b: 0f1d.*
+ b24c: 6fde.*
+ b24d: 0c1e.*
+ b24e: 6fde.*
+ b24f: 0d1e.*
+ b250: 6fde.*
+ b251: 0c1e.*
+ b252: 6fde.*
+ b253: 0e1e.*
+ b254: 6fde.*
+ b255: 0f1e.*
+ b256: 6fde.*
+ b257: 0f1e.*
+ b258: 6fde.*
+ b259: 0c1f.*
+ b25a: 6fde.*
+ b25b: 0d1f.*
+ b25c: 6fde.*
+ b25d: 0c1f.*
+ b25e: 6fde.*
+ b25f: 0e1f.*
+ b260: 6fde.*
+ b261: 0f1f.*
+ b262: 6fde.*
+ b263: 0f1f.*
+ b264: 00de.*
+ b265: 6fde.*
+ b266: 0d00.*
+ b267: 00de.*
+ b268: 6fde.*
+ b269: 0e00.*
+ b26a: 01de.*
+ b26b: 01de.*
+ b26c: 6fde.*
+ b26d: 0c01.*
+ b26e: 6fde.*
+ b26f: 0d01.*
+ b270: 6fde.*
+ b271: 0c01.*
+ b272: 6fde.*
+ b273: 0e01.*
+ b274: 6fde.*
+ b275: 0f01.*
+ b276: 6fde.*
+ b277: 0f01.*
+ b278: 6fde.*
+ b279: 0c02.*
+ b27a: 6fde.*
+ b27b: 0d02.*
+ b27c: 6fde.*
+ b27d: 0c02.*
+ b27e: 6fde.*
+ b27f: 0e02.*
+ b280: 6fde.*
+ b281: 0f02.*
+ b282: 6fde.*
+ b283: 0f02.*
+ b284: 6fde.*
+ b285: 0c03.*
+ b286: 6fde.*
+ b287: 0d03.*
+ b288: 6fde.*
+ b289: 0c03.*
+ b28a: 6fde.*
+ b28b: 0e03.*
+ b28c: 6fde.*
+ b28d: 0f03.*
+ b28e: 6fde.*
+ b28f: 0f03.*
+ b290: 6fde.*
+ b291: 0c04.*
+ b292: 6fde.*
+ b293: 0d04.*
+ b294: 6fde.*
+ b295: 0c04.*
+ b296: 6fde.*
+ b297: 0e04.*
+ b298: 6fde.*
+ b299: 0f04.*
+ b29a: 6fde.*
+ b29b: 0f04.*
+ b29c: 6fde.*
+ b29d: 0c05.*
+ b29e: 6fde.*
+ b29f: 0d05.*
+ b2a0: 6fde.*
+ b2a1: 0c05.*
+ b2a2: 6fde.*
+ b2a3: 0e05.*
+ b2a4: 6fde.*
+ b2a5: 0f05.*
+ b2a6: 6fde.*
+ b2a7: 0f05.*
+ b2a8: 6fde.*
+ b2a9: 0c06.*
+ b2aa: 6fde.*
+ b2ab: 0d06.*
+ b2ac: 6fde.*
+ b2ad: 0c06.*
+ b2ae: 6fde.*
+ b2af: 0e06.*
+ b2b0: 6fde.*
+ b2b1: 0f06.*
+ b2b2: 6fde.*
+ b2b3: 0f06.*
+ b2b4: 6fde.*
+ b2b5: 0c07.*
+ b2b6: 6fde.*
+ b2b7: 0d07.*
+ b2b8: 6fde.*
+ b2b9: 0c07.*
+ b2ba: 6fde.*
+ b2bb: 0e07.*
+ b2bc: 6fde.*
+ b2bd: 0f07.*
+ b2be: 6fde.*
+ b2bf: 0f07.*
+ b2c0: 6fde.*
+ b2c1: 0c08.*
+ b2c2: 6fde.*
+ b2c3: 0d08.*
+ b2c4: 6fde.*
+ b2c5: 0c08.*
+ b2c6: 6fde.*
+ b2c7: 0e08.*
+ b2c8: 6fde.*
+ b2c9: 0f08.*
+ b2ca: 6fde.*
+ b2cb: 0f08.*
+ b2cc: 6fde.*
+ b2cd: 0c09.*
+ b2ce: 6fde.*
+ b2cf: 0d09.*
+ b2d0: 6fde.*
+ b2d1: 0c09.*
+ b2d2: 6fde.*
+ b2d3: 0e09.*
+ b2d4: 6fde.*
+ b2d5: 0f09.*
+ b2d6: 6fde.*
+ b2d7: 0f09.*
+ b2d8: 6fde.*
+ b2d9: 0c0a.*
+ b2da: 6fde.*
+ b2db: 0d0a.*
+ b2dc: 6fde.*
+ b2dd: 0c0a.*
+ b2de: 6fde.*
+ b2df: 0e0a.*
+ b2e0: 6fde.*
+ b2e1: 0f0a.*
+ b2e2: 6fde.*
+ b2e3: 0f0a.*
+ b2e4: 6fde.*
+ b2e5: 0c0b.*
+ b2e6: 6fde.*
+ b2e7: 0d0b.*
+ b2e8: 6fde.*
+ b2e9: 0c0b.*
+ b2ea: 6fde.*
+ b2eb: 0e0b.*
+ b2ec: 6fde.*
+ b2ed: 0f0b.*
+ b2ee: 6fde.*
+ b2ef: 0f0b.*
+ b2f0: 6fde.*
+ b2f1: 0c0c.*
+ b2f2: 6fde.*
+ b2f3: 0d0c.*
+ b2f4: 6fde.*
+ b2f5: 0c0c.*
+ b2f6: 6fde.*
+ b2f7: 0e0c.*
+ b2f8: 6fde.*
+ b2f9: 0f0c.*
+ b2fa: 6fde.*
+ b2fb: 0f0c.*
+ b2fc: 6fde.*
+ b2fd: 0c0d.*
+ b2fe: 6fde.*
+ b2ff: 0d0d.*
+ b300: 6fde.*
+ b301: 0c0d.*
+ b302: 6fde.*
+ b303: 0e0d.*
+ b304: 6fde.*
+ b305: 0f0d.*
+ b306: 6fde.*
+ b307: 0f0d.*
+ b308: 6fde.*
+ b309: 0c0e.*
+ b30a: 6fde.*
+ b30b: 0d0e.*
+ b30c: 6fde.*
+ b30d: 0c0e.*
+ b30e: 6fde.*
+ b30f: 0e0e.*
+ b310: 6fde.*
+ b311: 0f0e.*
+ b312: 6fde.*
+ b313: 0f0e.*
+ b314: 6fde.*
+ b315: 0c0f.*
+ b316: 6fde.*
+ b317: 0d0f.*
+ b318: 6fde.*
+ b319: 0c0f.*
+ b31a: 6fde.*
+ b31b: 0e0f.*
+ b31c: 6fde.*
+ b31d: 0f0f.*
+ b31e: 6fde.*
+ b31f: 0f0f.*
+ b320: 00e6.*
+ b321: 14d5.*
+ b322: 6fe6.*
+ b323: 14d6.*
+ b324: 0d00.*
+ b325: 00e6.*
+ b326: 14d7.*
+ b327: 6fe6.*
+ b328: 14d8.*
+ b329: 0e00.*
+ b32a: 01e6.*
+ b32b: 14d9.*
+ b32c: 01e6.*
+ b32d: 14da.*
+ b32e: 6fe6.*
+ b32f: 14db.*
+ b330: 0c10.*
+ b331: 6fe6.*
+ b332: 14dc.*
+ b333: 0d10.*
+ b334: 6fe6.*
+ b335: 14dd.*
+ b336: 0c10.*
+ b337: 6fe6.*
+ b338: 14de.*
+ b339: 0e10.*
+ b33a: 6fe6.*
+ b33b: 14df.*
+ b33c: 0f10.*
+ b33d: 6fe6.*
+ b33e: 14e0.*
+ b33f: 0f10.*
+ b340: 6fe6.*
+ b341: 14e1.*
+ b342: 0c11.*
+ b343: 6fe6.*
+ b344: 14e2.*
+ b345: 0d11.*
+ b346: 6fe6.*
+ b347: 14e3.*
+ b348: 0c11.*
+ b349: 6fe6.*
+ b34a: 14e4.*
+ b34b: 0e11.*
+ b34c: 6fe6.*
+ b34d: 14e5.*
+ b34e: 0f11.*
+ b34f: 6fe6.*
+ b350: 14e6.*
+ b351: 0f11.*
+ b352: 6fe6.*
+ b353: 14e7.*
+ b354: 0c12.*
+ b355: 6fe6.*
+ b356: 14e8.*
+ b357: 0d12.*
+ b358: 6fe6.*
+ b359: 14e9.*
+ b35a: 0c12.*
+ b35b: 6fe6.*
+ b35c: 14ea.*
+ b35d: 0e12.*
+ b35e: 6fe6.*
+ b35f: 14eb.*
+ b360: 0f12.*
+ b361: 6fe6.*
+ b362: 14ec.*
+ b363: 0f12.*
+ b364: 6fe6.*
+ b365: 14ed.*
+ b366: 0c13.*
+ b367: 6fe6.*
+ b368: 14ee.*
+ b369: 0d13.*
+ b36a: 6fe6.*
+ b36b: 14ef.*
+ b36c: 0c13.*
+ b36d: 6fe6.*
+ b36e: 14f0.*
+ b36f: 0e13.*
+ b370: 6fe6.*
+ b371: 14f1.*
+ b372: 0f13.*
+ b373: 6fe6.*
+ b374: 14f2.*
+ b375: 0f13.*
+ b376: 6fe6.*
+ b377: 14f3.*
+ b378: 0c14.*
+ b379: 6fe6.*
+ b37a: 14f4.*
+ b37b: 0d14.*
+ b37c: 6fe6.*
+ b37d: 14f5.*
+ b37e: 0c14.*
+ b37f: 6fe6.*
+ b380: 14f6.*
+ b381: 0e14.*
+ b382: 6fe6.*
+ b383: 14f7.*
+ b384: 0f14.*
+ b385: 6fe6.*
+ b386: 14f8.*
+ b387: 0f14.*
+ b388: 6fe6.*
+ b389: 14f9.*
+ b38a: 0c15.*
+ b38b: 6fe6.*
+ b38c: 14fa.*
+ b38d: 0d15.*
+ b38e: 6fe6.*
+ b38f: 14fb.*
+ b390: 0c15.*
+ b391: 6fe6.*
+ b392: 14fc.*
+ b393: 0e15.*
+ b394: 6fe6.*
+ b395: 14fd.*
+ b396: 0f15.*
+ b397: 6fe6.*
+ b398: 14fe.*
+ b399: 0f15.*
+ b39a: 6fe6.*
+ b39b: 14ff.*
+ b39c: 0c16.*
+ b39d: 6fe6.*
+ b39e: 1500.*
+ b39f: 0d16.*
+ b3a0: 6fe6.*
+ b3a1: 1501.*
+ b3a2: 0c16.*
+ b3a3: 6fe6.*
+ b3a4: 1502.*
+ b3a5: 0e16.*
+ b3a6: 6fe6.*
+ b3a7: 1503.*
+ b3a8: 0f16.*
+ b3a9: 6fe6.*
+ b3aa: 1504.*
+ b3ab: 0f16.*
+ b3ac: 6fe6.*
+ b3ad: 1505.*
+ b3ae: 0c17.*
+ b3af: 6fe6.*
+ b3b0: 1506.*
+ b3b1: 0d17.*
+ b3b2: 6fe6.*
+ b3b3: 1507.*
+ b3b4: 0c17.*
+ b3b5: 6fe6.*
+ b3b6: 1508.*
+ b3b7: 0e17.*
+ b3b8: 6fe6.*
+ b3b9: 1509.*
+ b3ba: 0f17.*
+ b3bb: 6fe6.*
+ b3bc: 150a.*
+ b3bd: 0f17.*
+ b3be: 6fe6.*
+ b3bf: 150b.*
+ b3c0: 0c18.*
+ b3c1: 6fe6.*
+ b3c2: 150c.*
+ b3c3: 0d18.*
+ b3c4: 6fe6.*
+ b3c5: 150d.*
+ b3c6: 0c18.*
+ b3c7: 6fe6.*
+ b3c8: 150e.*
+ b3c9: 0e18.*
+ b3ca: 6fe6.*
+ b3cb: 150f.*
+ b3cc: 0f18.*
+ b3cd: 6fe6.*
+ b3ce: 1510.*
+ b3cf: 0f18.*
+ b3d0: 6fe6.*
+ b3d1: 1511.*
+ b3d2: 0c19.*
+ b3d3: 6fe6.*
+ b3d4: 1512.*
+ b3d5: 0d19.*
+ b3d6: 6fe6.*
+ b3d7: 1513.*
+ b3d8: 0c19.*
+ b3d9: 6fe6.*
+ b3da: 1514.*
+ b3db: 0e19.*
+ b3dc: 6fe6.*
+ b3dd: 1515.*
+ b3de: 0f19.*
+ b3df: 6fe6.*
+ b3e0: 1516.*
+ b3e1: 0f19.*
+ b3e2: 6fe6.*
+ b3e3: 1517.*
+ b3e4: 0c1a.*
+ b3e5: 6fe6.*
+ b3e6: 1518.*
+ b3e7: 0d1a.*
+ b3e8: 6fe6.*
+ b3e9: 1519.*
+ b3ea: 0c1a.*
+ b3eb: 6fe6.*
+ b3ec: 151a.*
+ b3ed: 0e1a.*
+ b3ee: 6fe6.*
+ b3ef: 151b.*
+ b3f0: 0f1a.*
+ b3f1: 6fe6.*
+ b3f2: 151c.*
+ b3f3: 0f1a.*
+ b3f4: 6fe6.*
+ b3f5: 151d.*
+ b3f6: 0c1b.*
+ b3f7: 6fe6.*
+ b3f8: 151e.*
+ b3f9: 0d1b.*
+ b3fa: 6fe6.*
+ b3fb: 151f.*
+ b3fc: 0c1b.*
+ b3fd: 6fe6.*
+ b3fe: 1520.*
+ b3ff: 0e1b.*
+ b400: 6fe6.*
+ b401: 1521.*
+ b402: 0f1b.*
+ b403: 6fe6.*
+ b404: 1522.*
+ b405: 0f1b.*
+ b406: 6fe6.*
+ b407: 1523.*
+ b408: 0c1c.*
+ b409: 6fe6.*
+ b40a: 1524.*
+ b40b: 0d1c.*
+ b40c: 6fe6.*
+ b40d: 1525.*
+ b40e: 0c1c.*
+ b40f: 6fe6.*
+ b410: 1526.*
+ b411: 0e1c.*
+ b412: 6fe6.*
+ b413: 1527.*
+ b414: 0f1c.*
+ b415: 6fe6.*
+ b416: 1528.*
+ b417: 0f1c.*
+ b418: 6fe6.*
+ b419: 1529.*
+ b41a: 0c1d.*
+ b41b: 6fe6.*
+ b41c: 152a.*
+ b41d: 0d1d.*
+ b41e: 6fe6.*
+ b41f: 152b.*
+ b420: 0c1d.*
+ b421: 6fe6.*
+ b422: 152c.*
+ b423: 0e1d.*
+ b424: 6fe6.*
+ b425: 152d.*
+ b426: 0f1d.*
+ b427: 6fe6.*
+ b428: 152e.*
+ b429: 0f1d.*
+ b42a: 6fe6.*
+ b42b: 152f.*
+ b42c: 0c1e.*
+ b42d: 6fe6.*
+ b42e: 1530.*
+ b42f: 0d1e.*
+ b430: 6fe6.*
+ b431: 1531.*
+ b432: 0c1e.*
+ b433: 6fe6.*
+ b434: 1532.*
+ b435: 0e1e.*
+ b436: 6fe6.*
+ b437: 1533.*
+ b438: 0f1e.*
+ b439: 6fe6.*
+ b43a: 1534.*
+ b43b: 0f1e.*
+ b43c: 6fe6.*
+ b43d: 1535.*
+ b43e: 0c1f.*
+ b43f: 6fe6.*
+ b440: 1536.*
+ b441: 0d1f.*
+ b442: 6fe6.*
+ b443: 1537.*
+ b444: 0c1f.*
+ b445: 6fe6.*
+ b446: 1538.*
+ b447: 0e1f.*
+ b448: 6fe6.*
+ b449: 1539.*
+ b44a: 0f1f.*
+ b44b: 6fe6.*
+ b44c: 153a.*
+ b44d: 0f1f.*
+ b44e: 00e6.*
+ b44f: 153b.*
+ b450: 6fe6.*
+ b451: 153c.*
+ b452: 0d00.*
+ b453: 00e6.*
+ b454: 153d.*
+ b455: 6fe6.*
+ b456: 153e.*
+ b457: 0e00.*
+ b458: 01e6.*
+ b459: 153f.*
+ b45a: 01e6.*
+ b45b: 1540.*
+ b45c: 6fe6.*
+ b45d: 1541.*
+ b45e: 0c01.*
+ b45f: 6fe6.*
+ b460: 1542.*
+ b461: 0d01.*
+ b462: 6fe6.*
+ b463: 1543.*
+ b464: 0c01.*
+ b465: 6fe6.*
+ b466: 1544.*
+ b467: 0e01.*
+ b468: 6fe6.*
+ b469: 1545.*
+ b46a: 0f01.*
+ b46b: 6fe6.*
+ b46c: 1546.*
+ b46d: 0f01.*
+ b46e: 6fe6.*
+ b46f: 1547.*
+ b470: 0c02.*
+ b471: 6fe6.*
+ b472: 1548.*
+ b473: 0d02.*
+ b474: 6fe6.*
+ b475: 1549.*
+ b476: 0c02.*
+ b477: 6fe6.*
+ b478: 154a.*
+ b479: 0e02.*
+ b47a: 6fe6.*
+ b47b: 154b.*
+ b47c: 0f02.*
+ b47d: 6fe6.*
+ b47e: 154c.*
+ b47f: 0f02.*
+ b480: 6fe6.*
+ b481: 154d.*
+ b482: 0c03.*
+ b483: 6fe6.*
+ b484: 154e.*
+ b485: 0d03.*
+ b486: 6fe6.*
+ b487: 154f.*
+ b488: 0c03.*
+ b489: 6fe6.*
+ b48a: 1550.*
+ b48b: 0e03.*
+ b48c: 6fe6.*
+ b48d: 1551.*
+ b48e: 0f03.*
+ b48f: 6fe6.*
+ b490: 1552.*
+ b491: 0f03.*
+ b492: 6fe6.*
+ b493: 1553.*
+ b494: 0c04.*
+ b495: 6fe6.*
+ b496: 1554.*
+ b497: 0d04.*
+ b498: 6fe6.*
+ b499: 1555.*
+ b49a: 0c04.*
+ b49b: 6fe6.*
+ b49c: 1556.*
+ b49d: 0e04.*
+ b49e: 6fe6.*
+ b49f: 1557.*
+ b4a0: 0f04.*
+ b4a1: 6fe6.*
+ b4a2: 1558.*
+ b4a3: 0f04.*
+ b4a4: 6fe6.*
+ b4a5: 1559.*
+ b4a6: 0c05.*
+ b4a7: 6fe6.*
+ b4a8: 155a.*
+ b4a9: 0d05.*
+ b4aa: 6fe6.*
+ b4ab: 155b.*
+ b4ac: 0c05.*
+ b4ad: 6fe6.*
+ b4ae: 155c.*
+ b4af: 0e05.*
+ b4b0: 6fe6.*
+ b4b1: 155d.*
+ b4b2: 0f05.*
+ b4b3: 6fe6.*
+ b4b4: 155e.*
+ b4b5: 0f05.*
+ b4b6: 6fe6.*
+ b4b7: 155f.*
+ b4b8: 0c06.*
+ b4b9: 6fe6.*
+ b4ba: 1560.*
+ b4bb: 0d06.*
+ b4bc: 6fe6.*
+ b4bd: 1561.*
+ b4be: 0c06.*
+ b4bf: 6fe6.*
+ b4c0: 1562.*
+ b4c1: 0e06.*
+ b4c2: 6fe6.*
+ b4c3: 1563.*
+ b4c4: 0f06.*
+ b4c5: 6fe6.*
+ b4c6: 1564.*
+ b4c7: 0f06.*
+ b4c8: 6fe6.*
+ b4c9: 1565.*
+ b4ca: 0c07.*
+ b4cb: 6fe6.*
+ b4cc: 1566.*
+ b4cd: 0d07.*
+ b4ce: 6fe6.*
+ b4cf: 1567.*
+ b4d0: 0c07.*
+ b4d1: 6fe6.*
+ b4d2: 1568.*
+ b4d3: 0e07.*
+ b4d4: 6fe6.*
+ b4d5: 1569.*
+ b4d6: 0f07.*
+ b4d7: 6fe6.*
+ b4d8: 156a.*
+ b4d9: 0f07.*
+ b4da: 6fe6.*
+ b4db: 156b.*
+ b4dc: 0c08.*
+ b4dd: 6fe6.*
+ b4de: 156c.*
+ b4df: 0d08.*
+ b4e0: 6fe6.*
+ b4e1: 156d.*
+ b4e2: 0c08.*
+ b4e3: 6fe6.*
+ b4e4: 156e.*
+ b4e5: 0e08.*
+ b4e6: 6fe6.*
+ b4e7: 156f.*
+ b4e8: 0f08.*
+ b4e9: 6fe6.*
+ b4ea: 1570.*
+ b4eb: 0f08.*
+ b4ec: 6fe6.*
+ b4ed: 1571.*
+ b4ee: 0c09.*
+ b4ef: 6fe6.*
+ b4f0: 1572.*
+ b4f1: 0d09.*
+ b4f2: 6fe6.*
+ b4f3: 1573.*
+ b4f4: 0c09.*
+ b4f5: 6fe6.*
+ b4f6: 1574.*
+ b4f7: 0e09.*
+ b4f8: 6fe6.*
+ b4f9: 1575.*
+ b4fa: 0f09.*
+ b4fb: 6fe6.*
+ b4fc: 1576.*
+ b4fd: 0f09.*
+ b4fe: 6fe6.*
+ b4ff: 1577.*
+ b500: 0c0a.*
+ b501: 6fe6.*
+ b502: 1578.*
+ b503: 0d0a.*
+ b504: 6fe6.*
+ b505: 1579.*
+ b506: 0c0a.*
+ b507: 6fe6.*
+ b508: 157a.*
+ b509: 0e0a.*
+ b50a: 6fe6.*
+ b50b: 157b.*
+ b50c: 0f0a.*
+ b50d: 6fe6.*
+ b50e: 157c.*
+ b50f: 0f0a.*
+ b510: 6fe6.*
+ b511: 157d.*
+ b512: 0c0b.*
+ b513: 6fe6.*
+ b514: 157e.*
+ b515: 0d0b.*
+ b516: 6fe6.*
+ b517: 157f.*
+ b518: 0c0b.*
+ b519: 6fe6.*
+ b51a: 1580.*
+ b51b: 0e0b.*
+ b51c: 6fe6.*
+ b51d: 1581.*
+ b51e: 0f0b.*
+ b51f: 6fe6.*
+ b520: 1582.*
+ b521: 0f0b.*
+ b522: 6fe6.*
+ b523: 1583.*
+ b524: 0c0c.*
+ b525: 6fe6.*
+ b526: 1584.*
+ b527: 0d0c.*
+ b528: 6fe6.*
+ b529: 1585.*
+ b52a: 0c0c.*
+ b52b: 6fe6.*
+ b52c: 1586.*
+ b52d: 0e0c.*
+ b52e: 6fe6.*
+ b52f: 1587.*
+ b530: 0f0c.*
+ b531: 6fe6.*
+ b532: 1588.*
+ b533: 0f0c.*
+ b534: 6fe6.*
+ b535: 1589.*
+ b536: 0c0d.*
+ b537: 6fe6.*
+ b538: 158a.*
+ b539: 0d0d.*
+ b53a: 6fe6.*
+ b53b: 158b.*
+ b53c: 0c0d.*
+ b53d: 6fe6.*
+ b53e: 158c.*
+ b53f: 0e0d.*
+ b540: 6fe6.*
+ b541: 158d.*
+ b542: 0f0d.*
+ b543: 6fe6.*
+ b544: 158e.*
+ b545: 0f0d.*
+ b546: 6fe6.*
+ b547: 158f.*
+ b548: 0c0e.*
+ b549: 6fe6.*
+ b54a: 1590.*
+ b54b: 0d0e.*
+ b54c: 6fe6.*
+ b54d: 1591.*
+ b54e: 0c0e.*
+ b54f: 6fe6.*
+ b550: 1592.*
+ b551: 0e0e.*
+ b552: 6fe6.*
+ b553: 1593.*
+ b554: 0f0e.*
+ b555: 6fe6.*
+ b556: 1594.*
+ b557: 0f0e.*
+ b558: 6fe6.*
+ b559: 1595.*
+ b55a: 0c0f.*
+ b55b: 6fe6.*
+ b55c: 1596.*
+ b55d: 0d0f.*
+ b55e: 6fe6.*
+ b55f: 1597.*
+ b560: 0c0f.*
+ b561: 6fe6.*
+ b562: 1598.*
+ b563: 0e0f.*
+ b564: 6fe6.*
+ b565: 1599.*
+ b566: 0f0f.*
+ b567: 6fe6.*
+ b568: 159a.*
+ b569: 0f0f.*
+ b56a: 00ee.*
+ b56b: 159b.*
+ b56c: 6fee.*
+ b56d: 159c.*
+ b56e: 0d00.*
+ b56f: 00ee.*
+ b570: 159d.*
+ b571: 6fee.*
+ b572: 159e.*
+ b573: 0e00.*
+ b574: 01ee.*
+ b575: 159f.*
+ b576: 01ee.*
+ b577: 15a0.*
+ b578: 6fee.*
+ b579: 15a1.*
+ b57a: 0c10.*
+ b57b: 6fee.*
+ b57c: 15a2.*
+ b57d: 0d10.*
+ b57e: 6fee.*
+ b57f: 15a3.*
+ b580: 0c10.*
+ b581: 6fee.*
+ b582: 15a4.*
+ b583: 0e10.*
+ b584: 6fee.*
+ b585: 15a5.*
+ b586: 0f10.*
+ b587: 6fee.*
+ b588: 15a6.*
+ b589: 0f10.*
+ b58a: 6fee.*
+ b58b: 15a7.*
+ b58c: 0c11.*
+ b58d: 6fee.*
+ b58e: 15a8.*
+ b58f: 0d11.*
+ b590: 6fee.*
+ b591: 15a9.*
+ b592: 0c11.*
+ b593: 6fee.*
+ b594: 15aa.*
+ b595: 0e11.*
+ b596: 6fee.*
+ b597: 15ab.*
+ b598: 0f11.*
+ b599: 6fee.*
+ b59a: 15ac.*
+ b59b: 0f11.*
+ b59c: 6fee.*
+ b59d: 15ad.*
+ b59e: 0c12.*
+ b59f: 6fee.*
+ b5a0: 15ae.*
+ b5a1: 0d12.*
+ b5a2: 6fee.*
+ b5a3: 15af.*
+ b5a4: 0c12.*
+ b5a5: 6fee.*
+ b5a6: 15b0.*
+ b5a7: 0e12.*
+ b5a8: 6fee.*
+ b5a9: 15b1.*
+ b5aa: 0f12.*
+ b5ab: 6fee.*
+ b5ac: 15b2.*
+ b5ad: 0f12.*
+ b5ae: 6fee.*
+ b5af: 15b3.*
+ b5b0: 0c13.*
+ b5b1: 6fee.*
+ b5b2: 15b4.*
+ b5b3: 0d13.*
+ b5b4: 6fee.*
+ b5b5: 15b5.*
+ b5b6: 0c13.*
+ b5b7: 6fee.*
+ b5b8: 15b6.*
+ b5b9: 0e13.*
+ b5ba: 6fee.*
+ b5bb: 15b7.*
+ b5bc: 0f13.*
+ b5bd: 6fee.*
+ b5be: 15b8.*
+ b5bf: 0f13.*
+ b5c0: 6fee.*
+ b5c1: 15b9.*
+ b5c2: 0c14.*
+ b5c3: 6fee.*
+ b5c4: 15ba.*
+ b5c5: 0d14.*
+ b5c6: 6fee.*
+ b5c7: 15bb.*
+ b5c8: 0c14.*
+ b5c9: 6fee.*
+ b5ca: 15bc.*
+ b5cb: 0e14.*
+ b5cc: 6fee.*
+ b5cd: 15bd.*
+ b5ce: 0f14.*
+ b5cf: 6fee.*
+ b5d0: 15be.*
+ b5d1: 0f14.*
+ b5d2: 6fee.*
+ b5d3: 15bf.*
+ b5d4: 0c15.*
+ b5d5: 6fee.*
+ b5d6: 15c0.*
+ b5d7: 0d15.*
+ b5d8: 6fee.*
+ b5d9: 15c1.*
+ b5da: 0c15.*
+ b5db: 6fee.*
+ b5dc: 15c2.*
+ b5dd: 0e15.*
+ b5de: 6fee.*
+ b5df: 15c3.*
+ b5e0: 0f15.*
+ b5e1: 6fee.*
+ b5e2: 15c4.*
+ b5e3: 0f15.*
+ b5e4: 6fee.*
+ b5e5: 15c5.*
+ b5e6: 0c16.*
+ b5e7: 6fee.*
+ b5e8: 15c6.*
+ b5e9: 0d16.*
+ b5ea: 6fee.*
+ b5eb: 15c7.*
+ b5ec: 0c16.*
+ b5ed: 6fee.*
+ b5ee: 15c8.*
+ b5ef: 0e16.*
+ b5f0: 6fee.*
+ b5f1: 15c9.*
+ b5f2: 0f16.*
+ b5f3: 6fee.*
+ b5f4: 15ca.*
+ b5f5: 0f16.*
+ b5f6: 6fee.*
+ b5f7: 15cb.*
+ b5f8: 0c17.*
+ b5f9: 6fee.*
+ b5fa: 15cc.*
+ b5fb: 0d17.*
+ b5fc: 6fee.*
+ b5fd: 15cd.*
+ b5fe: 0c17.*
+ b5ff: 6fee.*
+ b600: 15ce.*
+ b601: 0e17.*
+ b602: 6fee.*
+ b603: 15cf.*
+ b604: 0f17.*
+ b605: 6fee.*
+ b606: 15d0.*
+ b607: 0f17.*
+ b608: 6fee.*
+ b609: 15d1.*
+ b60a: 0c18.*
+ b60b: 6fee.*
+ b60c: 15d2.*
+ b60d: 0d18.*
+ b60e: 6fee.*
+ b60f: 15d3.*
+ b610: 0c18.*
+ b611: 6fee.*
+ b612: 15d4.*
+ b613: 0e18.*
+ b614: 6fee.*
+ b615: 15d5.*
+ b616: 0f18.*
+ b617: 6fee.*
+ b618: 15d6.*
+ b619: 0f18.*
+ b61a: 6fee.*
+ b61b: 15d7.*
+ b61c: 0c19.*
+ b61d: 6fee.*
+ b61e: 15d8.*
+ b61f: 0d19.*
+ b620: 6fee.*
+ b621: 15d9.*
+ b622: 0c19.*
+ b623: 6fee.*
+ b624: 15da.*
+ b625: 0e19.*
+ b626: 6fee.*
+ b627: 15db.*
+ b628: 0f19.*
+ b629: 6fee.*
+ b62a: 15dc.*
+ b62b: 0f19.*
+ b62c: 6fee.*
+ b62d: 15dd.*
+ b62e: 0c1a.*
+ b62f: 6fee.*
+ b630: 15de.*
+ b631: 0d1a.*
+ b632: 6fee.*
+ b633: 15df.*
+ b634: 0c1a.*
+ b635: 6fee.*
+ b636: 15e0.*
+ b637: 0e1a.*
+ b638: 6fee.*
+ b639: 15e1.*
+ b63a: 0f1a.*
+ b63b: 6fee.*
+ b63c: 15e2.*
+ b63d: 0f1a.*
+ b63e: 6fee.*
+ b63f: 15e3.*
+ b640: 0c1b.*
+ b641: 6fee.*
+ b642: 15e4.*
+ b643: 0d1b.*
+ b644: 6fee.*
+ b645: 15e5.*
+ b646: 0c1b.*
+ b647: 6fee.*
+ b648: 15e6.*
+ b649: 0e1b.*
+ b64a: 6fee.*
+ b64b: 15e7.*
+ b64c: 0f1b.*
+ b64d: 6fee.*
+ b64e: 15e8.*
+ b64f: 0f1b.*
+ b650: 6fee.*
+ b651: 15e9.*
+ b652: 0c1c.*
+ b653: 6fee.*
+ b654: 15ea.*
+ b655: 0d1c.*
+ b656: 6fee.*
+ b657: 15eb.*
+ b658: 0c1c.*
+ b659: 6fee.*
+ b65a: 15ec.*
+ b65b: 0e1c.*
+ b65c: 6fee.*
+ b65d: 15ed.*
+ b65e: 0f1c.*
+ b65f: 6fee.*
+ b660: 15ee.*
+ b661: 0f1c.*
+ b662: 6fee.*
+ b663: 15ef.*
+ b664: 0c1d.*
+ b665: 6fee.*
+ b666: 15f0.*
+ b667: 0d1d.*
+ b668: 6fee.*
+ b669: 15f1.*
+ b66a: 0c1d.*
+ b66b: 6fee.*
+ b66c: 15f2.*
+ b66d: 0e1d.*
+ b66e: 6fee.*
+ b66f: 15f3.*
+ b670: 0f1d.*
+ b671: 6fee.*
+ b672: 15f4.*
+ b673: 0f1d.*
+ b674: 6fee.*
+ b675: 15f5.*
+ b676: 0c1e.*
+ b677: 6fee.*
+ b678: 15f6.*
+ b679: 0d1e.*
+ b67a: 6fee.*
+ b67b: 15f7.*
+ b67c: 0c1e.*
+ b67d: 6fee.*
+ b67e: 15f8.*
+ b67f: 0e1e.*
+ b680: 6fee.*
+ b681: 15f9.*
+ b682: 0f1e.*
+ b683: 6fee.*
+ b684: 15fa.*
+ b685: 0f1e.*
+ b686: 6fee.*
+ b687: 15fb.*
+ b688: 0c1f.*
+ b689: 6fee.*
+ b68a: 15fc.*
+ b68b: 0d1f.*
+ b68c: 6fee.*
+ b68d: 15fd.*
+ b68e: 0c1f.*
+ b68f: 6fee.*
+ b690: 15fe.*
+ b691: 0e1f.*
+ b692: 6fee.*
+ b693: 15ff.*
+ b694: 0f1f.*
+ b695: 6fee.*
+ b696: 1600.*
+ b697: 0f1f.*
+ b698: 00ee.*
+ b699: 1601.*
+ b69a: 6fee.*
+ b69b: 1602.*
+ b69c: 0d00.*
+ b69d: 00ee.*
+ b69e: 1603.*
+ b69f: 6fee.*
+ b6a0: 1604.*
+ b6a1: 0e00.*
+ b6a2: 01ee.*
+ b6a3: 1605.*
+ b6a4: 01ee.*
+ b6a5: 1606.*
+ b6a6: 6fee.*
+ b6a7: 1607.*
+ b6a8: 0c01.*
+ b6a9: 6fee.*
+ b6aa: 1608.*
+ b6ab: 0d01.*
+ b6ac: 6fee.*
+ b6ad: 1609.*
+ b6ae: 0c01.*
+ b6af: 6fee.*
+ b6b0: 160a.*
+ b6b1: 0e01.*
+ b6b2: 6fee.*
+ b6b3: 160b.*
+ b6b4: 0f01.*
+ b6b5: 6fee.*
+ b6b6: 160c.*
+ b6b7: 0f01.*
+ b6b8: 6fee.*
+ b6b9: 160d.*
+ b6ba: 0c02.*
+ b6bb: 6fee.*
+ b6bc: 160e.*
+ b6bd: 0d02.*
+ b6be: 6fee.*
+ b6bf: 160f.*
+ b6c0: 0c02.*
+ b6c1: 6fee.*
+ b6c2: 1610.*
+ b6c3: 0e02.*
+ b6c4: 6fee.*
+ b6c5: 1611.*
+ b6c6: 0f02.*
+ b6c7: 6fee.*
+ b6c8: 1612.*
+ b6c9: 0f02.*
+ b6ca: 6fee.*
+ b6cb: 1613.*
+ b6cc: 0c03.*
+ b6cd: 6fee.*
+ b6ce: 1614.*
+ b6cf: 0d03.*
+ b6d0: 6fee.*
+ b6d1: 1615.*
+ b6d2: 0c03.*
+ b6d3: 6fee.*
+ b6d4: 1616.*
+ b6d5: 0e03.*
+ b6d6: 6fee.*
+ b6d7: 1617.*
+ b6d8: 0f03.*
+ b6d9: 6fee.*
+ b6da: 1618.*
+ b6db: 0f03.*
+ b6dc: 6fee.*
+ b6dd: 1619.*
+ b6de: 0c04.*
+ b6df: 6fee.*
+ b6e0: 161a.*
+ b6e1: 0d04.*
+ b6e2: 6fee.*
+ b6e3: 161b.*
+ b6e4: 0c04.*
+ b6e5: 6fee.*
+ b6e6: 161c.*
+ b6e7: 0e04.*
+ b6e8: 6fee.*
+ b6e9: 161d.*
+ b6ea: 0f04.*
+ b6eb: 6fee.*
+ b6ec: 161e.*
+ b6ed: 0f04.*
+ b6ee: 6fee.*
+ b6ef: 161f.*
+ b6f0: 0c05.*
+ b6f1: 6fee.*
+ b6f2: 1620.*
+ b6f3: 0d05.*
+ b6f4: 6fee.*
+ b6f5: 1621.*
+ b6f6: 0c05.*
+ b6f7: 6fee.*
+ b6f8: 1622.*
+ b6f9: 0e05.*
+ b6fa: 6fee.*
+ b6fb: 1623.*
+ b6fc: 0f05.*
+ b6fd: 6fee.*
+ b6fe: 1624.*
+ b6ff: 0f05.*
+ b700: 6fee.*
+ b701: 1625.*
+ b702: 0c06.*
+ b703: 6fee.*
+ b704: 1626.*
+ b705: 0d06.*
+ b706: 6fee.*
+ b707: 1627.*
+ b708: 0c06.*
+ b709: 6fee.*
+ b70a: 1628.*
+ b70b: 0e06.*
+ b70c: 6fee.*
+ b70d: 1629.*
+ b70e: 0f06.*
+ b70f: 6fee.*
+ b710: 162a.*
+ b711: 0f06.*
+ b712: 6fee.*
+ b713: 162b.*
+ b714: 0c07.*
+ b715: 6fee.*
+ b716: 162c.*
+ b717: 0d07.*
+ b718: 6fee.*
+ b719: 162d.*
+ b71a: 0c07.*
+ b71b: 6fee.*
+ b71c: 162e.*
+ b71d: 0e07.*
+ b71e: 6fee.*
+ b71f: 162f.*
+ b720: 0f07.*
+ b721: 6fee.*
+ b722: 1630.*
+ b723: 0f07.*
+ b724: 6fee.*
+ b725: 1631.*
+ b726: 0c08.*
+ b727: 6fee.*
+ b728: 1632.*
+ b729: 0d08.*
+ b72a: 6fee.*
+ b72b: 1633.*
+ b72c: 0c08.*
+ b72d: 6fee.*
+ b72e: 1634.*
+ b72f: 0e08.*
+ b730: 6fee.*
+ b731: 1635.*
+ b732: 0f08.*
+ b733: 6fee.*
+ b734: 1636.*
+ b735: 0f08.*
+ b736: 6fee.*
+ b737: 1637.*
+ b738: 0c09.*
+ b739: 6fee.*
+ b73a: 1638.*
+ b73b: 0d09.*
+ b73c: 6fee.*
+ b73d: 1639.*
+ b73e: 0c09.*
+ b73f: 6fee.*
+ b740: 163a.*
+ b741: 0e09.*
+ b742: 6fee.*
+ b743: 163b.*
+ b744: 0f09.*
+ b745: 6fee.*
+ b746: 163c.*
+ b747: 0f09.*
+ b748: 6fee.*
+ b749: 163d.*
+ b74a: 0c0a.*
+ b74b: 6fee.*
+ b74c: 163e.*
+ b74d: 0d0a.*
+ b74e: 6fee.*
+ b74f: 163f.*
+ b750: 0c0a.*
+ b751: 6fee.*
+ b752: 1640.*
+ b753: 0e0a.*
+ b754: 6fee.*
+ b755: 1641.*
+ b756: 0f0a.*
+ b757: 6fee.*
+ b758: 1642.*
+ b759: 0f0a.*
+ b75a: 6fee.*
+ b75b: 1643.*
+ b75c: 0c0b.*
+ b75d: 6fee.*
+ b75e: 1644.*
+ b75f: 0d0b.*
+ b760: 6fee.*
+ b761: 1645.*
+ b762: 0c0b.*
+ b763: 6fee.*
+ b764: 1646.*
+ b765: 0e0b.*
+ b766: 6fee.*
+ b767: 1647.*
+ b768: 0f0b.*
+ b769: 6fee.*
+ b76a: 1648.*
+ b76b: 0f0b.*
+ b76c: 6fee.*
+ b76d: 1649.*
+ b76e: 0c0c.*
+ b76f: 6fee.*
+ b770: 164a.*
+ b771: 0d0c.*
+ b772: 6fee.*
+ b773: 164b.*
+ b774: 0c0c.*
+ b775: 6fee.*
+ b776: 164c.*
+ b777: 0e0c.*
+ b778: 6fee.*
+ b779: 164d.*
+ b77a: 0f0c.*
+ b77b: 6fee.*
+ b77c: 164e.*
+ b77d: 0f0c.*
+ b77e: 6fee.*
+ b77f: 164f.*
+ b780: 0c0d.*
+ b781: 6fee.*
+ b782: 1650.*
+ b783: 0d0d.*
+ b784: 6fee.*
+ b785: 1651.*
+ b786: 0c0d.*
+ b787: 6fee.*
+ b788: 1652.*
+ b789: 0e0d.*
+ b78a: 6fee.*
+ b78b: 1653.*
+ b78c: 0f0d.*
+ b78d: 6fee.*
+ b78e: 1654.*
+ b78f: 0f0d.*
+ b790: 6fee.*
+ b791: 1655.*
+ b792: 0c0e.*
+ b793: 6fee.*
+ b794: 1656.*
+ b795: 0d0e.*
+ b796: 6fee.*
+ b797: 1657.*
+ b798: 0c0e.*
+ b799: 6fee.*
+ b79a: 1658.*
+ b79b: 0e0e.*
+ b79c: 6fee.*
+ b79d: 1659.*
+ b79e: 0f0e.*
+ b79f: 6fee.*
+ b7a0: 165a.*
+ b7a1: 0f0e.*
+ b7a2: 6fee.*
+ b7a3: 165b.*
+ b7a4: 0c0f.*
+ b7a5: 6fee.*
+ b7a6: 165c.*
+ b7a7: 0d0f.*
+ b7a8: 6fee.*
+ b7a9: 165d.*
+ b7aa: 0c0f.*
+ b7ab: 6fee.*
+ b7ac: 165e.*
+ b7ad: 0e0f.*
+ b7ae: 6fee.*
+ b7af: 165f.*
+ b7b0: 0f0f.*
+ b7b1: 6fee.*
+ b7b2: 1660.*
+ b7b3: 0f0f.*
+ b7b4: 00f6.*
+ b7b5: 1661.*
+ b7b6: 6ff6.*
+ b7b7: 1662.*
+ b7b8: 0d00.*
+ b7b9: 00f6.*
+ b7ba: 1663.*
+ b7bb: 6ff6.*
+ b7bc: 1664.*
+ b7bd: 0e00.*
+ b7be: 01f6.*
+ b7bf: 1665.*
+ b7c0: 01f6.*
+ b7c1: 1666.*
+ b7c2: 6ff6.*
+ b7c3: 1667.*
+ b7c4: 0c10.*
+ b7c5: 6ff6.*
+ b7c6: 1668.*
+ b7c7: 0d10.*
+ b7c8: 6ff6.*
+ b7c9: 1669.*
+ b7ca: 0c10.*
+ b7cb: 6ff6.*
+ b7cc: 166a.*
+ b7cd: 0e10.*
+ b7ce: 6ff6.*
+ b7cf: 166b.*
+ b7d0: 0f10.*
+ b7d1: 6ff6.*
+ b7d2: 166c.*
+ b7d3: 0f10.*
+ b7d4: 6ff6.*
+ b7d5: 166d.*
+ b7d6: 0c11.*
+ b7d7: 6ff6.*
+ b7d8: 166e.*
+ b7d9: 0d11.*
+ b7da: 6ff6.*
+ b7db: 166f.*
+ b7dc: 0c11.*
+ b7dd: 6ff6.*
+ b7de: 1670.*
+ b7df: 0e11.*
+ b7e0: 6ff6.*
+ b7e1: 1671.*
+ b7e2: 0f11.*
+ b7e3: 6ff6.*
+ b7e4: 1672.*
+ b7e5: 0f11.*
+ b7e6: 6ff6.*
+ b7e7: 1673.*
+ b7e8: 0c12.*
+ b7e9: 6ff6.*
+ b7ea: 1674.*
+ b7eb: 0d12.*
+ b7ec: 6ff6.*
+ b7ed: 1675.*
+ b7ee: 0c12.*
+ b7ef: 6ff6.*
+ b7f0: 1676.*
+ b7f1: 0e12.*
+ b7f2: 6ff6.*
+ b7f3: 1677.*
+ b7f4: 0f12.*
+ b7f5: 6ff6.*
+ b7f6: 1678.*
+ b7f7: 0f12.*
+ b7f8: 6ff6.*
+ b7f9: 1679.*
+ b7fa: 0c13.*
+ b7fb: 6ff6.*
+ b7fc: 167a.*
+ b7fd: 0d13.*
+ b7fe: 6ff6.*
+ b7ff: 167b.*
+ b800: 0c13.*
+ b801: 6ff6.*
+ b802: 167c.*
+ b803: 0e13.*
+ b804: 6ff6.*
+ b805: 167d.*
+ b806: 0f13.*
+ b807: 6ff6.*
+ b808: 167e.*
+ b809: 0f13.*
+ b80a: 6ff6.*
+ b80b: 167f.*
+ b80c: 0c14.*
+ b80d: 6ff6.*
+ b80e: 1680.*
+ b80f: 0d14.*
+ b810: 6ff6.*
+ b811: 1681.*
+ b812: 0c14.*
+ b813: 6ff6.*
+ b814: 1682.*
+ b815: 0e14.*
+ b816: 6ff6.*
+ b817: 1683.*
+ b818: 0f14.*
+ b819: 6ff6.*
+ b81a: 1684.*
+ b81b: 0f14.*
+ b81c: 6ff6.*
+ b81d: 1685.*
+ b81e: 0c15.*
+ b81f: 6ff6.*
+ b820: 1686.*
+ b821: 0d15.*
+ b822: 6ff6.*
+ b823: 1687.*
+ b824: 0c15.*
+ b825: 6ff6.*
+ b826: 1688.*
+ b827: 0e15.*
+ b828: 6ff6.*
+ b829: 1689.*
+ b82a: 0f15.*
+ b82b: 6ff6.*
+ b82c: 168a.*
+ b82d: 0f15.*
+ b82e: 6ff6.*
+ b82f: 168b.*
+ b830: 0c16.*
+ b831: 6ff6.*
+ b832: 168c.*
+ b833: 0d16.*
+ b834: 6ff6.*
+ b835: 168d.*
+ b836: 0c16.*
+ b837: 6ff6.*
+ b838: 168e.*
+ b839: 0e16.*
+ b83a: 6ff6.*
+ b83b: 168f.*
+ b83c: 0f16.*
+ b83d: 6ff6.*
+ b83e: 1690.*
+ b83f: 0f16.*
+ b840: 6ff6.*
+ b841: 1691.*
+ b842: 0c17.*
+ b843: 6ff6.*
+ b844: 1692.*
+ b845: 0d17.*
+ b846: 6ff6.*
+ b847: 1693.*
+ b848: 0c17.*
+ b849: 6ff6.*
+ b84a: 1694.*
+ b84b: 0e17.*
+ b84c: 6ff6.*
+ b84d: 1695.*
+ b84e: 0f17.*
+ b84f: 6ff6.*
+ b850: 1696.*
+ b851: 0f17.*
+ b852: 6ff6.*
+ b853: 1697.*
+ b854: 0c18.*
+ b855: 6ff6.*
+ b856: 1698.*
+ b857: 0d18.*
+ b858: 6ff6.*
+ b859: 1699.*
+ b85a: 0c18.*
+ b85b: 6ff6.*
+ b85c: 169a.*
+ b85d: 0e18.*
+ b85e: 6ff6.*
+ b85f: 169b.*
+ b860: 0f18.*
+ b861: 6ff6.*
+ b862: 169c.*
+ b863: 0f18.*
+ b864: 6ff6.*
+ b865: 169d.*
+ b866: 0c19.*
+ b867: 6ff6.*
+ b868: 169e.*
+ b869: 0d19.*
+ b86a: 6ff6.*
+ b86b: 169f.*
+ b86c: 0c19.*
+ b86d: 6ff6.*
+ b86e: 16a0.*
+ b86f: 0e19.*
+ b870: 6ff6.*
+ b871: 16a1.*
+ b872: 0f19.*
+ b873: 6ff6.*
+ b874: 16a2.*
+ b875: 0f19.*
+ b876: 6ff6.*
+ b877: 16a3.*
+ b878: 0c1a.*
+ b879: 6ff6.*
+ b87a: 16a4.*
+ b87b: 0d1a.*
+ b87c: 6ff6.*
+ b87d: 16a5.*
+ b87e: 0c1a.*
+ b87f: 6ff6.*
+ b880: 16a6.*
+ b881: 0e1a.*
+ b882: 6ff6.*
+ b883: 16a7.*
+ b884: 0f1a.*
+ b885: 6ff6.*
+ b886: 16a8.*
+ b887: 0f1a.*
+ b888: 6ff6.*
+ b889: 16a9.*
+ b88a: 0c1b.*
+ b88b: 6ff6.*
+ b88c: 16aa.*
+ b88d: 0d1b.*
+ b88e: 6ff6.*
+ b88f: 16ab.*
+ b890: 0c1b.*
+ b891: 6ff6.*
+ b892: 16ac.*
+ b893: 0e1b.*
+ b894: 6ff6.*
+ b895: 16ad.*
+ b896: 0f1b.*
+ b897: 6ff6.*
+ b898: 16ae.*
+ b899: 0f1b.*
+ b89a: 6ff6.*
+ b89b: 16af.*
+ b89c: 0c1c.*
+ b89d: 6ff6.*
+ b89e: 16b0.*
+ b89f: 0d1c.*
+ b8a0: 6ff6.*
+ b8a1: 16b1.*
+ b8a2: 0c1c.*
+ b8a3: 6ff6.*
+ b8a4: 16b2.*
+ b8a5: 0e1c.*
+ b8a6: 6ff6.*
+ b8a7: 16b3.*
+ b8a8: 0f1c.*
+ b8a9: 6ff6.*
+ b8aa: 16b4.*
+ b8ab: 0f1c.*
+ b8ac: 6ff6.*
+ b8ad: 16b5.*
+ b8ae: 0c1d.*
+ b8af: 6ff6.*
+ b8b0: 16b6.*
+ b8b1: 0d1d.*
+ b8b2: 6ff6.*
+ b8b3: 16b7.*
+ b8b4: 0c1d.*
+ b8b5: 6ff6.*
+ b8b6: 16b8.*
+ b8b7: 0e1d.*
+ b8b8: 6ff6.*
+ b8b9: 16b9.*
+ b8ba: 0f1d.*
+ b8bb: 6ff6.*
+ b8bc: 16ba.*
+ b8bd: 0f1d.*
+ b8be: 6ff6.*
+ b8bf: 16bb.*
+ b8c0: 0c1e.*
+ b8c1: 6ff6.*
+ b8c2: 16bc.*
+ b8c3: 0d1e.*
+ b8c4: 6ff6.*
+ b8c5: 16bd.*
+ b8c6: 0c1e.*
+ b8c7: 6ff6.*
+ b8c8: 16be.*
+ b8c9: 0e1e.*
+ b8ca: 6ff6.*
+ b8cb: 16bf.*
+ b8cc: 0f1e.*
+ b8cd: 6ff6.*
+ b8ce: 16c0.*
+ b8cf: 0f1e.*
+ b8d0: 6ff6.*
+ b8d1: 16c1.*
+ b8d2: 0c1f.*
+ b8d3: 6ff6.*
+ b8d4: 16c2.*
+ b8d5: 0d1f.*
+ b8d6: 6ff6.*
+ b8d7: 16c3.*
+ b8d8: 0c1f.*
+ b8d9: 6ff6.*
+ b8da: 16c4.*
+ b8db: 0e1f.*
+ b8dc: 6ff6.*
+ b8dd: 16c5.*
+ b8de: 0f1f.*
+ b8df: 6ff6.*
+ b8e0: 16c6.*
+ b8e1: 0f1f.*
+ b8e2: 00f6.*
+ b8e3: 16c7.*
+ b8e4: 6ff6.*
+ b8e5: 16c8.*
+ b8e6: 0d00.*
+ b8e7: 00f6.*
+ b8e8: 16c9.*
+ b8e9: 6ff6.*
+ b8ea: 16ca.*
+ b8eb: 0e00.*
+ b8ec: 01f6.*
+ b8ed: 16cb.*
+ b8ee: 01f6.*
+ b8ef: 16cc.*
+ b8f0: 6ff6.*
+ b8f1: 16cd.*
+ b8f2: 0c01.*
+ b8f3: 6ff6.*
+ b8f4: 16ce.*
+ b8f5: 0d01.*
+ b8f6: 6ff6.*
+ b8f7: 16cf.*
+ b8f8: 0c01.*
+ b8f9: 6ff6.*
+ b8fa: 16d0.*
+ b8fb: 0e01.*
+ b8fc: 6ff6.*
+ b8fd: 16d1.*
+ b8fe: 0f01.*
+ b8ff: 6ff6.*
+ b900: 16d2.*
+ b901: 0f01.*
+ b902: 6ff6.*
+ b903: 16d3.*
+ b904: 0c02.*
+ b905: 6ff6.*
+ b906: 16d4.*
+ b907: 0d02.*
+ b908: 6ff6.*
+ b909: 16d5.*
+ b90a: 0c02.*
+ b90b: 6ff6.*
+ b90c: 16d6.*
+ b90d: 0e02.*
+ b90e: 6ff6.*
+ b90f: 16d7.*
+ b910: 0f02.*
+ b911: 6ff6.*
+ b912: 16d8.*
+ b913: 0f02.*
+ b914: 6ff6.*
+ b915: 16d9.*
+ b916: 0c03.*
+ b917: 6ff6.*
+ b918: 16da.*
+ b919: 0d03.*
+ b91a: 6ff6.*
+ b91b: 16db.*
+ b91c: 0c03.*
+ b91d: 6ff6.*
+ b91e: 16dc.*
+ b91f: 0e03.*
+ b920: 6ff6.*
+ b921: 16dd.*
+ b922: 0f03.*
+ b923: 6ff6.*
+ b924: 16de.*
+ b925: 0f03.*
+ b926: 6ff6.*
+ b927: 16df.*
+ b928: 0c04.*
+ b929: 6ff6.*
+ b92a: 16e0.*
+ b92b: 0d04.*
+ b92c: 6ff6.*
+ b92d: 16e1.*
+ b92e: 0c04.*
+ b92f: 6ff6.*
+ b930: 16e2.*
+ b931: 0e04.*
+ b932: 6ff6.*
+ b933: 16e3.*
+ b934: 0f04.*
+ b935: 6ff6.*
+ b936: 16e4.*
+ b937: 0f04.*
+ b938: 6ff6.*
+ b939: 16e5.*
+ b93a: 0c05.*
+ b93b: 6ff6.*
+ b93c: 16e6.*
+ b93d: 0d05.*
+ b93e: 6ff6.*
+ b93f: 16e7.*
+ b940: 0c05.*
+ b941: 6ff6.*
+ b942: 16e8.*
+ b943: 0e05.*
+ b944: 6ff6.*
+ b945: 16e9.*
+ b946: 0f05.*
+ b947: 6ff6.*
+ b948: 16ea.*
+ b949: 0f05.*
+ b94a: 6ff6.*
+ b94b: 16eb.*
+ b94c: 0c06.*
+ b94d: 6ff6.*
+ b94e: 16ec.*
+ b94f: 0d06.*
+ b950: 6ff6.*
+ b951: 16ed.*
+ b952: 0c06.*
+ b953: 6ff6.*
+ b954: 16ee.*
+ b955: 0e06.*
+ b956: 6ff6.*
+ b957: 16ef.*
+ b958: 0f06.*
+ b959: 6ff6.*
+ b95a: 16f0.*
+ b95b: 0f06.*
+ b95c: 6ff6.*
+ b95d: 16f1.*
+ b95e: 0c07.*
+ b95f: 6ff6.*
+ b960: 16f2.*
+ b961: 0d07.*
+ b962: 6ff6.*
+ b963: 16f3.*
+ b964: 0c07.*
+ b965: 6ff6.*
+ b966: 16f4.*
+ b967: 0e07.*
+ b968: 6ff6.*
+ b969: 16f5.*
+ b96a: 0f07.*
+ b96b: 6ff6.*
+ b96c: 16f6.*
+ b96d: 0f07.*
+ b96e: 6ff6.*
+ b96f: 16f7.*
+ b970: 0c08.*
+ b971: 6ff6.*
+ b972: 16f8.*
+ b973: 0d08.*
+ b974: 6ff6.*
+ b975: 16f9.*
+ b976: 0c08.*
+ b977: 6ff6.*
+ b978: 16fa.*
+ b979: 0e08.*
+ b97a: 6ff6.*
+ b97b: 16fb.*
+ b97c: 0f08.*
+ b97d: 6ff6.*
+ b97e: 16fc.*
+ b97f: 0f08.*
+ b980: 6ff6.*
+ b981: 16fd.*
+ b982: 0c09.*
+ b983: 6ff6.*
+ b984: 16fe.*
+ b985: 0d09.*
+ b986: 6ff6.*
+ b987: 16ff.*
+ b988: 0c09.*
+ b989: 6ff6.*
+ b98a: 1700.*
+ b98b: 0e09.*
+ b98c: 6ff6.*
+ b98d: 1701.*
+ b98e: 0f09.*
+ b98f: 6ff6.*
+ b990: 1702.*
+ b991: 0f09.*
+ b992: 6ff6.*
+ b993: 1703.*
+ b994: 0c0a.*
+ b995: 6ff6.*
+ b996: 1704.*
+ b997: 0d0a.*
+ b998: 6ff6.*
+ b999: 1705.*
+ b99a: 0c0a.*
+ b99b: 6ff6.*
+ b99c: 1706.*
+ b99d: 0e0a.*
+ b99e: 6ff6.*
+ b99f: 1707.*
+ b9a0: 0f0a.*
+ b9a1: 6ff6.*
+ b9a2: 1708.*
+ b9a3: 0f0a.*
+ b9a4: 6ff6.*
+ b9a5: 1709.*
+ b9a6: 0c0b.*
+ b9a7: 6ff6.*
+ b9a8: 170a.*
+ b9a9: 0d0b.*
+ b9aa: 6ff6.*
+ b9ab: 170b.*
+ b9ac: 0c0b.*
+ b9ad: 6ff6.*
+ b9ae: 170c.*
+ b9af: 0e0b.*
+ b9b0: 6ff6.*
+ b9b1: 170d.*
+ b9b2: 0f0b.*
+ b9b3: 6ff6.*
+ b9b4: 170e.*
+ b9b5: 0f0b.*
+ b9b6: 6ff6.*
+ b9b7: 170f.*
+ b9b8: 0c0c.*
+ b9b9: 6ff6.*
+ b9ba: 1710.*
+ b9bb: 0d0c.*
+ b9bc: 6ff6.*
+ b9bd: 1711.*
+ b9be: 0c0c.*
+ b9bf: 6ff6.*
+ b9c0: 1712.*
+ b9c1: 0e0c.*
+ b9c2: 6ff6.*
+ b9c3: 1713.*
+ b9c4: 0f0c.*
+ b9c5: 6ff6.*
+ b9c6: 1714.*
+ b9c7: 0f0c.*
+ b9c8: 6ff6.*
+ b9c9: 1715.*
+ b9ca: 0c0d.*
+ b9cb: 6ff6.*
+ b9cc: 1716.*
+ b9cd: 0d0d.*
+ b9ce: 6ff6.*
+ b9cf: 1717.*
+ b9d0: 0c0d.*
+ b9d1: 6ff6.*
+ b9d2: 1718.*
+ b9d3: 0e0d.*
+ b9d4: 6ff6.*
+ b9d5: 1719.*
+ b9d6: 0f0d.*
+ b9d7: 6ff6.*
+ b9d8: 171a.*
+ b9d9: 0f0d.*
+ b9da: 6ff6.*
+ b9db: 171b.*
+ b9dc: 0c0e.*
+ b9dd: 6ff6.*
+ b9de: 171c.*
+ b9df: 0d0e.*
+ b9e0: 6ff6.*
+ b9e1: 171d.*
+ b9e2: 0c0e.*
+ b9e3: 6ff6.*
+ b9e4: 171e.*
+ b9e5: 0e0e.*
+ b9e6: 6ff6.*
+ b9e7: 171f.*
+ b9e8: 0f0e.*
+ b9e9: 6ff6.*
+ b9ea: 1720.*
+ b9eb: 0f0e.*
+ b9ec: 6ff6.*
+ b9ed: 1721.*
+ b9ee: 0c0f.*
+ b9ef: 6ff6.*
+ b9f0: 1722.*
+ b9f1: 0d0f.*
+ b9f2: 6ff6.*
+ b9f3: 1723.*
+ b9f4: 0c0f.*
+ b9f5: 6ff6.*
+ b9f6: 1724.*
+ b9f7: 0e0f.*
+ b9f8: 6ff6.*
+ b9f9: 1725.*
+ b9fa: 0f0f.*
+ b9fb: 6ff6.*
+ b9fc: 1726.*
+ b9fd: 0f0f.*
+ b9fe: 00f8.*
+ b9ff: 1727.*
+ ba00: 6ff8.*
+ ba01: 1728.*
+ ba02: 0d00.*
+ ba03: 00f8.*
+ ba04: 1729.*
+ ba05: 6ff8.*
+ ba06: 172a.*
+ ba07: 0e00.*
+ ba08: 01f8.*
+ ba09: 172b.*
+ ba0a: 01f8.*
+ ba0b: 172c.*
+ ba0c: 6ff8.*
+ ba0d: 172d.*
+ ba0e: 0c10.*
+ ba0f: 6ff8.*
+ ba10: 172e.*
+ ba11: 0d10.*
+ ba12: 6ff8.*
+ ba13: 172f.*
+ ba14: 0c10.*
+ ba15: 6ff8.*
+ ba16: 1730.*
+ ba17: 0e10.*
+ ba18: 6ff8.*
+ ba19: 1731.*
+ ba1a: 0f10.*
+ ba1b: 6ff8.*
+ ba1c: 1732.*
+ ba1d: 0f10.*
+ ba1e: 6ff8.*
+ ba1f: 1733.*
+ ba20: 0c11.*
+ ba21: 6ff8.*
+ ba22: 1734.*
+ ba23: 0d11.*
+ ba24: 6ff8.*
+ ba25: 1735.*
+ ba26: 0c11.*
+ ba27: 6ff8.*
+ ba28: 1736.*
+ ba29: 0e11.*
+ ba2a: 6ff8.*
+ ba2b: 1737.*
+ ba2c: 0f11.*
+ ba2d: 6ff8.*
+ ba2e: 1738.*
+ ba2f: 0f11.*
+ ba30: 6ff8.*
+ ba31: 1739.*
+ ba32: 0c12.*
+ ba33: 6ff8.*
+ ba34: 173a.*
+ ba35: 0d12.*
+ ba36: 6ff8.*
+ ba37: 173b.*
+ ba38: 0c12.*
+ ba39: 6ff8.*
+ ba3a: 173c.*
+ ba3b: 0e12.*
+ ba3c: 6ff8.*
+ ba3d: 173d.*
+ ba3e: 0f12.*
+ ba3f: 6ff8.*
+ ba40: 173e.*
+ ba41: 0f12.*
+ ba42: 6ff8.*
+ ba43: 173f.*
+ ba44: 0c13.*
+ ba45: 6ff8.*
+ ba46: 1740.*
+ ba47: 0d13.*
+ ba48: 6ff8.*
+ ba49: 1741.*
+ ba4a: 0c13.*
+ ba4b: 6ff8.*
+ ba4c: 1742.*
+ ba4d: 0e13.*
+ ba4e: 6ff8.*
+ ba4f: 1743.*
+ ba50: 0f13.*
+ ba51: 6ff8.*
+ ba52: 1744.*
+ ba53: 0f13.*
+ ba54: 6ff8.*
+ ba55: 1745.*
+ ba56: 0c14.*
+ ba57: 6ff8.*
+ ba58: 1746.*
+ ba59: 0d14.*
+ ba5a: 6ff8.*
+ ba5b: 1747.*
+ ba5c: 0c14.*
+ ba5d: 6ff8.*
+ ba5e: 1748.*
+ ba5f: 0e14.*
+ ba60: 6ff8.*
+ ba61: 1749.*
+ ba62: 0f14.*
+ ba63: 6ff8.*
+ ba64: 174a.*
+ ba65: 0f14.*
+ ba66: 6ff8.*
+ ba67: 174b.*
+ ba68: 0c15.*
+ ba69: 6ff8.*
+ ba6a: 174c.*
+ ba6b: 0d15.*
+ ba6c: 6ff8.*
+ ba6d: 174d.*
+ ba6e: 0c15.*
+ ba6f: 6ff8.*
+ ba70: 174e.*
+ ba71: 0e15.*
+ ba72: 6ff8.*
+ ba73: 174f.*
+ ba74: 0f15.*
+ ba75: 6ff8.*
+ ba76: 1750.*
+ ba77: 0f15.*
+ ba78: 6ff8.*
+ ba79: 1751.*
+ ba7a: 0c16.*
+ ba7b: 6ff8.*
+ ba7c: 1752.*
+ ba7d: 0d16.*
+ ba7e: 6ff8.*
+ ba7f: 1753.*
+ ba80: 0c16.*
+ ba81: 6ff8.*
+ ba82: 1754.*
+ ba83: 0e16.*
+ ba84: 6ff8.*
+ ba85: 1755.*
+ ba86: 0f16.*
+ ba87: 6ff8.*
+ ba88: 1756.*
+ ba89: 0f16.*
+ ba8a: 6ff8.*
+ ba8b: 1757.*
+ ba8c: 0c17.*
+ ba8d: 6ff8.*
+ ba8e: 1758.*
+ ba8f: 0d17.*
+ ba90: 6ff8.*
+ ba91: 1759.*
+ ba92: 0c17.*
+ ba93: 6ff8.*
+ ba94: 175a.*
+ ba95: 0e17.*
+ ba96: 6ff8.*
+ ba97: 175b.*
+ ba98: 0f17.*
+ ba99: 6ff8.*
+ ba9a: 175c.*
+ ba9b: 0f17.*
+ ba9c: 6ff8.*
+ ba9d: 175d.*
+ ba9e: 0c18.*
+ ba9f: 6ff8.*
+ baa0: 175e.*
+ baa1: 0d18.*
+ baa2: 6ff8.*
+ baa3: 175f.*
+ baa4: 0c18.*
+ baa5: 6ff8.*
+ baa6: 1760.*
+ baa7: 0e18.*
+ baa8: 6ff8.*
+ baa9: 1761.*
+ baaa: 0f18.*
+ baab: 6ff8.*
+ baac: 1762.*
+ baad: 0f18.*
+ baae: 6ff8.*
+ baaf: 1763.*
+ bab0: 0c19.*
+ bab1: 6ff8.*
+ bab2: 1764.*
+ bab3: 0d19.*
+ bab4: 6ff8.*
+ bab5: 1765.*
+ bab6: 0c19.*
+ bab7: 6ff8.*
+ bab8: 1766.*
+ bab9: 0e19.*
+ baba: 6ff8.*
+ babb: 1767.*
+ babc: 0f19.*
+ babd: 6ff8.*
+ babe: 1768.*
+ babf: 0f19.*
+ bac0: 6ff8.*
+ bac1: 1769.*
+ bac2: 0c1a.*
+ bac3: 6ff8.*
+ bac4: 176a.*
+ bac5: 0d1a.*
+ bac6: 6ff8.*
+ bac7: 176b.*
+ bac8: 0c1a.*
+ bac9: 6ff8.*
+ baca: 176c.*
+ bacb: 0e1a.*
+ bacc: 6ff8.*
+ bacd: 176d.*
+ bace: 0f1a.*
+ bacf: 6ff8.*
+ bad0: 176e.*
+ bad1: 0f1a.*
+ bad2: 6ff8.*
+ bad3: 176f.*
+ bad4: 0c1b.*
+ bad5: 6ff8.*
+ bad6: 1770.*
+ bad7: 0d1b.*
+ bad8: 6ff8.*
+ bad9: 1771.*
+ bada: 0c1b.*
+ badb: 6ff8.*
+ badc: 1772.*
+ badd: 0e1b.*
+ bade: 6ff8.*
+ badf: 1773.*
+ bae0: 0f1b.*
+ bae1: 6ff8.*
+ bae2: 1774.*
+ bae3: 0f1b.*
+ bae4: 6ff8.*
+ bae5: 1775.*
+ bae6: 0c1c.*
+ bae7: 6ff8.*
+ bae8: 1776.*
+ bae9: 0d1c.*
+ baea: 6ff8.*
+ baeb: 1777.*
+ baec: 0c1c.*
+ baed: 6ff8.*
+ baee: 1778.*
+ baef: 0e1c.*
+ baf0: 6ff8.*
+ baf1: 1779.*
+ baf2: 0f1c.*
+ baf3: 6ff8.*
+ baf4: 177a.*
+ baf5: 0f1c.*
+ baf6: 6ff8.*
+ baf7: 177b.*
+ baf8: 0c1d.*
+ baf9: 6ff8.*
+ bafa: 177c.*
+ bafb: 0d1d.*
+ bafc: 6ff8.*
+ bafd: 177d.*
+ bafe: 0c1d.*
+ baff: 6ff8.*
+ bb00: 177e.*
+ bb01: 0e1d.*
+ bb02: 6ff8.*
+ bb03: 177f.*
+ bb04: 0f1d.*
+ bb05: 6ff8.*
+ bb06: 1780.*
+ bb07: 0f1d.*
+ bb08: 6ff8.*
+ bb09: 1781.*
+ bb0a: 0c1e.*
+ bb0b: 6ff8.*
+ bb0c: 1782.*
+ bb0d: 0d1e.*
+ bb0e: 6ff8.*
+ bb0f: 1783.*
+ bb10: 0c1e.*
+ bb11: 6ff8.*
+ bb12: 1784.*
+ bb13: 0e1e.*
+ bb14: 6ff8.*
+ bb15: 1785.*
+ bb16: 0f1e.*
+ bb17: 6ff8.*
+ bb18: 1786.*
+ bb19: 0f1e.*
+ bb1a: 6ff8.*
+ bb1b: 1787.*
+ bb1c: 0c1f.*
+ bb1d: 6ff8.*
+ bb1e: 1788.*
+ bb1f: 0d1f.*
+ bb20: 6ff8.*
+ bb21: 1789.*
+ bb22: 0c1f.*
+ bb23: 6ff8.*
+ bb24: 178a.*
+ bb25: 0e1f.*
+ bb26: 6ff8.*
+ bb27: 178b.*
+ bb28: 0f1f.*
+ bb29: 6ff8.*
+ bb2a: 178c.*
+ bb2b: 0f1f.*
+ bb2c: 00f8.*
+ bb2d: 178d.*
+ bb2e: 6ff8.*
+ bb2f: 178e.*
+ bb30: 0d00.*
+ bb31: 00f8.*
+ bb32: 178f.*
+ bb33: 6ff8.*
+ bb34: 1790.*
+ bb35: 0e00.*
+ bb36: 01f8.*
+ bb37: 1791.*
+ bb38: 01f8.*
+ bb39: 1792.*
+ bb3a: 6ff8.*
+ bb3b: 1793.*
+ bb3c: 0c01.*
+ bb3d: 6ff8.*
+ bb3e: 1794.*
+ bb3f: 0d01.*
+ bb40: 6ff8.*
+ bb41: 1795.*
+ bb42: 0c01.*
+ bb43: 6ff8.*
+ bb44: 1796.*
+ bb45: 0e01.*
+ bb46: 6ff8.*
+ bb47: 1797.*
+ bb48: 0f01.*
+ bb49: 6ff8.*
+ bb4a: 1798.*
+ bb4b: 0f01.*
+ bb4c: 6ff8.*
+ bb4d: 1799.*
+ bb4e: 0c02.*
+ bb4f: 6ff8.*
+ bb50: 179a.*
+ bb51: 0d02.*
+ bb52: 6ff8.*
+ bb53: 179b.*
+ bb54: 0c02.*
+ bb55: 6ff8.*
+ bb56: 179c.*
+ bb57: 0e02.*
+ bb58: 6ff8.*
+ bb59: 179d.*
+ bb5a: 0f02.*
+ bb5b: 6ff8.*
+ bb5c: 179e.*
+ bb5d: 0f02.*
+ bb5e: 6ff8.*
+ bb5f: 179f.*
+ bb60: 0c03.*
+ bb61: 6ff8.*
+ bb62: 17a0.*
+ bb63: 0d03.*
+ bb64: 6ff8.*
+ bb65: 17a1.*
+ bb66: 0c03.*
+ bb67: 6ff8.*
+ bb68: 17a2.*
+ bb69: 0e03.*
+ bb6a: 6ff8.*
+ bb6b: 17a3.*
+ bb6c: 0f03.*
+ bb6d: 6ff8.*
+ bb6e: 17a4.*
+ bb6f: 0f03.*
+ bb70: 6ff8.*
+ bb71: 17a5.*
+ bb72: 0c04.*
+ bb73: 6ff8.*
+ bb74: 17a6.*
+ bb75: 0d04.*
+ bb76: 6ff8.*
+ bb77: 17a7.*
+ bb78: 0c04.*
+ bb79: 6ff8.*
+ bb7a: 17a8.*
+ bb7b: 0e04.*
+ bb7c: 6ff8.*
+ bb7d: 17a9.*
+ bb7e: 0f04.*
+ bb7f: 6ff8.*
+ bb80: 17aa.*
+ bb81: 0f04.*
+ bb82: 6ff8.*
+ bb83: 17ab.*
+ bb84: 0c05.*
+ bb85: 6ff8.*
+ bb86: 17ac.*
+ bb87: 0d05.*
+ bb88: 6ff8.*
+ bb89: 17ad.*
+ bb8a: 0c05.*
+ bb8b: 6ff8.*
+ bb8c: 17ae.*
+ bb8d: 0e05.*
+ bb8e: 6ff8.*
+ bb8f: 17af.*
+ bb90: 0f05.*
+ bb91: 6ff8.*
+ bb92: 17b0.*
+ bb93: 0f05.*
+ bb94: 6ff8.*
+ bb95: 17b1.*
+ bb96: 0c06.*
+ bb97: 6ff8.*
+ bb98: 17b2.*
+ bb99: 0d06.*
+ bb9a: 6ff8.*
+ bb9b: 17b3.*
+ bb9c: 0c06.*
+ bb9d: 6ff8.*
+ bb9e: 17b4.*
+ bb9f: 0e06.*
+ bba0: 6ff8.*
+ bba1: 17b5.*
+ bba2: 0f06.*
+ bba3: 6ff8.*
+ bba4: 17b6.*
+ bba5: 0f06.*
+ bba6: 6ff8.*
+ bba7: 17b7.*
+ bba8: 0c07.*
+ bba9: 6ff8.*
+ bbaa: 17b8.*
+ bbab: 0d07.*
+ bbac: 6ff8.*
+ bbad: 17b9.*
+ bbae: 0c07.*
+ bbaf: 6ff8.*
+ bbb0: 17ba.*
+ bbb1: 0e07.*
+ bbb2: 6ff8.*
+ bbb3: 17bb.*
+ bbb4: 0f07.*
+ bbb5: 6ff8.*
+ bbb6: 17bc.*
+ bbb7: 0f07.*
+ bbb8: 6ff8.*
+ bbb9: 17bd.*
+ bbba: 0c08.*
+ bbbb: 6ff8.*
+ bbbc: 17be.*
+ bbbd: 0d08.*
+ bbbe: 6ff8.*
+ bbbf: 17bf.*
+ bbc0: 0c08.*
+ bbc1: 6ff8.*
+ bbc2: 17c0.*
+ bbc3: 0e08.*
+ bbc4: 6ff8.*
+ bbc5: 17c1.*
+ bbc6: 0f08.*
+ bbc7: 6ff8.*
+ bbc8: 17c2.*
+ bbc9: 0f08.*
+ bbca: 6ff8.*
+ bbcb: 17c3.*
+ bbcc: 0c09.*
+ bbcd: 6ff8.*
+ bbce: 17c4.*
+ bbcf: 0d09.*
+ bbd0: 6ff8.*
+ bbd1: 17c5.*
+ bbd2: 0c09.*
+ bbd3: 6ff8.*
+ bbd4: 17c6.*
+ bbd5: 0e09.*
+ bbd6: 6ff8.*
+ bbd7: 17c7.*
+ bbd8: 0f09.*
+ bbd9: 6ff8.*
+ bbda: 17c8.*
+ bbdb: 0f09.*
+ bbdc: 6ff8.*
+ bbdd: 17c9.*
+ bbde: 0c0a.*
+ bbdf: 6ff8.*
+ bbe0: 17ca.*
+ bbe1: 0d0a.*
+ bbe2: 6ff8.*
+ bbe3: 17cb.*
+ bbe4: 0c0a.*
+ bbe5: 6ff8.*
+ bbe6: 17cc.*
+ bbe7: 0e0a.*
+ bbe8: 6ff8.*
+ bbe9: 17cd.*
+ bbea: 0f0a.*
+ bbeb: 6ff8.*
+ bbec: 17ce.*
+ bbed: 0f0a.*
+ bbee: 6ff8.*
+ bbef: 17cf.*
+ bbf0: 0c0b.*
+ bbf1: 6ff8.*
+ bbf2: 17d0.*
+ bbf3: 0d0b.*
+ bbf4: 6ff8.*
+ bbf5: 17d1.*
+ bbf6: 0c0b.*
+ bbf7: 6ff8.*
+ bbf8: 17d2.*
+ bbf9: 0e0b.*
+ bbfa: 6ff8.*
+ bbfb: 17d3.*
+ bbfc: 0f0b.*
+ bbfd: 6ff8.*
+ bbfe: 17d4.*
+ bbff: 0f0b.*
+ bc00: 6ff8.*
+ bc01: 17d5.*
+ bc02: 0c0c.*
+ bc03: 6ff8.*
+ bc04: 17d6.*
+ bc05: 0d0c.*
+ bc06: 6ff8.*
+ bc07: 17d7.*
+ bc08: 0c0c.*
+ bc09: 6ff8.*
+ bc0a: 17d8.*
+ bc0b: 0e0c.*
+ bc0c: 6ff8.*
+ bc0d: 17d9.*
+ bc0e: 0f0c.*
+ bc0f: 6ff8.*
+ bc10: 17da.*
+ bc11: 0f0c.*
+ bc12: 6ff8.*
+ bc13: 17db.*
+ bc14: 0c0d.*
+ bc15: 6ff8.*
+ bc16: 17dc.*
+ bc17: 0d0d.*
+ bc18: 6ff8.*
+ bc19: 17dd.*
+ bc1a: 0c0d.*
+ bc1b: 6ff8.*
+ bc1c: 17de.*
+ bc1d: 0e0d.*
+ bc1e: 6ff8.*
+ bc1f: 17df.*
+ bc20: 0f0d.*
+ bc21: 6ff8.*
+ bc22: 17e0.*
+ bc23: 0f0d.*
+ bc24: 6ff8.*
+ bc25: 17e1.*
+ bc26: 0c0e.*
+ bc27: 6ff8.*
+ bc28: 17e2.*
+ bc29: 0d0e.*
+ bc2a: 6ff8.*
+ bc2b: 17e3.*
+ bc2c: 0c0e.*
+ bc2d: 6ff8.*
+ bc2e: 17e4.*
+ bc2f: 0e0e.*
+ bc30: 6ff8.*
+ bc31: 17e5.*
+ bc32: 0f0e.*
+ bc33: 6ff8.*
+ bc34: 17e6.*
+ bc35: 0f0e.*
+ bc36: 6ff8.*
+ bc37: 17e7.*
+ bc38: 0c0f.*
+ bc39: 6ff8.*
+ bc3a: 17e8.*
+ bc3b: 0d0f.*
+ bc3c: 6ff8.*
+ bc3d: 17e9.*
+ bc3e: 0c0f.*
+ bc3f: 6ff8.*
+ bc40: 17ea.*
+ bc41: 0e0f.*
+ bc42: 6ff8.*
+ bc43: 17eb.*
+ bc44: 0f0f.*
+ bc45: 6ff8.*
+ bc46: 17ec.*
+ bc47: 0f0f.*
+ bc48: 0087.*
+ bc49: 6f87.*
+ bc4a: 0d00.*
+ bc4b: 0087.*
+ bc4c: 6f87.*
+ bc4d: 0e00.*
+ bc4e: 0187.*
+ bc4f: 0187.*
+ bc50: 6f87.*
+ bc51: 0c10.*
+ bc52: 6f87.*
+ bc53: 0d10.*
+ bc54: 6f87.*
+ bc55: 0c10.*
+ bc56: 6f87.*
+ bc57: 0e10.*
+ bc58: 6f87.*
+ bc59: 0f10.*
+ bc5a: 6f87.*
+ bc5b: 0f10.*
+ bc5c: 6f87.*
+ bc5d: 0c11.*
+ bc5e: 6f87.*
+ bc5f: 0d11.*
+ bc60: 6f87.*
+ bc61: 0c11.*
+ bc62: 6f87.*
+ bc63: 0e11.*
+ bc64: 6f87.*
+ bc65: 0f11.*
+ bc66: 6f87.*
+ bc67: 0f11.*
+ bc68: 6f87.*
+ bc69: 0c12.*
+ bc6a: 6f87.*
+ bc6b: 0d12.*
+ bc6c: 6f87.*
+ bc6d: 0c12.*
+ bc6e: 6f87.*
+ bc6f: 0e12.*
+ bc70: 6f87.*
+ bc71: 0f12.*
+ bc72: 6f87.*
+ bc73: 0f12.*
+ bc74: 6f87.*
+ bc75: 0c13.*
+ bc76: 6f87.*
+ bc77: 0d13.*
+ bc78: 6f87.*
+ bc79: 0c13.*
+ bc7a: 6f87.*
+ bc7b: 0e13.*
+ bc7c: 6f87.*
+ bc7d: 0f13.*
+ bc7e: 6f87.*
+ bc7f: 0f13.*
+ bc80: 6f87.*
+ bc81: 0c14.*
+ bc82: 6f87.*
+ bc83: 0d14.*
+ bc84: 6f87.*
+ bc85: 0c14.*
+ bc86: 6f87.*
+ bc87: 0e14.*
+ bc88: 6f87.*
+ bc89: 0f14.*
+ bc8a: 6f87.*
+ bc8b: 0f14.*
+ bc8c: 6f87.*
+ bc8d: 0c15.*
+ bc8e: 6f87.*
+ bc8f: 0d15.*
+ bc90: 6f87.*
+ bc91: 0c15.*
+ bc92: 6f87.*
+ bc93: 0e15.*
+ bc94: 6f87.*
+ bc95: 0f15.*
+ bc96: 6f87.*
+ bc97: 0f15.*
+ bc98: 6f87.*
+ bc99: 0c16.*
+ bc9a: 6f87.*
+ bc9b: 0d16.*
+ bc9c: 6f87.*
+ bc9d: 0c16.*
+ bc9e: 6f87.*
+ bc9f: 0e16.*
+ bca0: 6f87.*
+ bca1: 0f16.*
+ bca2: 6f87.*
+ bca3: 0f16.*
+ bca4: 6f87.*
+ bca5: 0c17.*
+ bca6: 6f87.*
+ bca7: 0d17.*
+ bca8: 6f87.*
+ bca9: 0c17.*
+ bcaa: 6f87.*
+ bcab: 0e17.*
+ bcac: 6f87.*
+ bcad: 0f17.*
+ bcae: 6f87.*
+ bcaf: 0f17.*
+ bcb0: 6f87.*
+ bcb1: 0c18.*
+ bcb2: 6f87.*
+ bcb3: 0d18.*
+ bcb4: 6f87.*
+ bcb5: 0c18.*
+ bcb6: 6f87.*
+ bcb7: 0e18.*
+ bcb8: 6f87.*
+ bcb9: 0f18.*
+ bcba: 6f87.*
+ bcbb: 0f18.*
+ bcbc: 6f87.*
+ bcbd: 0c19.*
+ bcbe: 6f87.*
+ bcbf: 0d19.*
+ bcc0: 6f87.*
+ bcc1: 0c19.*
+ bcc2: 6f87.*
+ bcc3: 0e19.*
+ bcc4: 6f87.*
+ bcc5: 0f19.*
+ bcc6: 6f87.*
+ bcc7: 0f19.*
+ bcc8: 6f87.*
+ bcc9: 0c1a.*
+ bcca: 6f87.*
+ bccb: 0d1a.*
+ bccc: 6f87.*
+ bccd: 0c1a.*
+ bcce: 6f87.*
+ bccf: 0e1a.*
+ bcd0: 6f87.*
+ bcd1: 0f1a.*
+ bcd2: 6f87.*
+ bcd3: 0f1a.*
+ bcd4: 6f87.*
+ bcd5: 0c1b.*
+ bcd6: 6f87.*
+ bcd7: 0d1b.*
+ bcd8: 6f87.*
+ bcd9: 0c1b.*
+ bcda: 6f87.*
+ bcdb: 0e1b.*
+ bcdc: 6f87.*
+ bcdd: 0f1b.*
+ bcde: 6f87.*
+ bcdf: 0f1b.*
+ bce0: 6f87.*
+ bce1: 0c1c.*
+ bce2: 6f87.*
+ bce3: 0d1c.*
+ bce4: 6f87.*
+ bce5: 0c1c.*
+ bce6: 6f87.*
+ bce7: 0e1c.*
+ bce8: 6f87.*
+ bce9: 0f1c.*
+ bcea: 6f87.*
+ bceb: 0f1c.*
+ bcec: 6f87.*
+ bced: 0c1d.*
+ bcee: 6f87.*
+ bcef: 0d1d.*
+ bcf0: 6f87.*
+ bcf1: 0c1d.*
+ bcf2: 6f87.*
+ bcf3: 0e1d.*
+ bcf4: 6f87.*
+ bcf5: 0f1d.*
+ bcf6: 6f87.*
+ bcf7: 0f1d.*
+ bcf8: 6f87.*
+ bcf9: 0c1e.*
+ bcfa: 6f87.*
+ bcfb: 0d1e.*
+ bcfc: 6f87.*
+ bcfd: 0c1e.*
+ bcfe: 6f87.*
+ bcff: 0e1e.*
+ bd00: 6f87.*
+ bd01: 0f1e.*
+ bd02: 6f87.*
+ bd03: 0f1e.*
+ bd04: 6f87.*
+ bd05: 0c1f.*
+ bd06: 6f87.*
+ bd07: 0d1f.*
+ bd08: 6f87.*
+ bd09: 0c1f.*
+ bd0a: 6f87.*
+ bd0b: 0e1f.*
+ bd0c: 6f87.*
+ bd0d: 0f1f.*
+ bd0e: 6f87.*
+ bd0f: 0f1f.*
+ bd10: 0087.*
+ bd11: 6f87.*
+ bd12: 0d00.*
+ bd13: 0087.*
+ bd14: 6f87.*
+ bd15: 0e00.*
+ bd16: 0187.*
+ bd17: 0187.*
+ bd18: 6f87.*
+ bd19: 0c01.*
+ bd1a: 6f87.*
+ bd1b: 0d01.*
+ bd1c: 6f87.*
+ bd1d: 0c01.*
+ bd1e: 6f87.*
+ bd1f: 0e01.*
+ bd20: 6f87.*
+ bd21: 0f01.*
+ bd22: 6f87.*
+ bd23: 0f01.*
+ bd24: 6f87.*
+ bd25: 0c02.*
+ bd26: 6f87.*
+ bd27: 0d02.*
+ bd28: 6f87.*
+ bd29: 0c02.*
+ bd2a: 6f87.*
+ bd2b: 0e02.*
+ bd2c: 6f87.*
+ bd2d: 0f02.*
+ bd2e: 6f87.*
+ bd2f: 0f02.*
+ bd30: 6f87.*
+ bd31: 0c03.*
+ bd32: 6f87.*
+ bd33: 0d03.*
+ bd34: 6f87.*
+ bd35: 0c03.*
+ bd36: 6f87.*
+ bd37: 0e03.*
+ bd38: 6f87.*
+ bd39: 0f03.*
+ bd3a: 6f87.*
+ bd3b: 0f03.*
+ bd3c: 6f87.*
+ bd3d: 0c04.*
+ bd3e: 6f87.*
+ bd3f: 0d04.*
+ bd40: 6f87.*
+ bd41: 0c04.*
+ bd42: 6f87.*
+ bd43: 0e04.*
+ bd44: 6f87.*
+ bd45: 0f04.*
+ bd46: 6f87.*
+ bd47: 0f04.*
+ bd48: 6f87.*
+ bd49: 0c05.*
+ bd4a: 6f87.*
+ bd4b: 0d05.*
+ bd4c: 6f87.*
+ bd4d: 0c05.*
+ bd4e: 6f87.*
+ bd4f: 0e05.*
+ bd50: 6f87.*
+ bd51: 0f05.*
+ bd52: 6f87.*
+ bd53: 0f05.*
+ bd54: 6f87.*
+ bd55: 0c06.*
+ bd56: 6f87.*
+ bd57: 0d06.*
+ bd58: 6f87.*
+ bd59: 0c06.*
+ bd5a: 6f87.*
+ bd5b: 0e06.*
+ bd5c: 6f87.*
+ bd5d: 0f06.*
+ bd5e: 6f87.*
+ bd5f: 0f06.*
+ bd60: 6f87.*
+ bd61: 0c07.*
+ bd62: 6f87.*
+ bd63: 0d07.*
+ bd64: 6f87.*
+ bd65: 0c07.*
+ bd66: 6f87.*
+ bd67: 0e07.*
+ bd68: 6f87.*
+ bd69: 0f07.*
+ bd6a: 6f87.*
+ bd6b: 0f07.*
+ bd6c: 6f87.*
+ bd6d: 0c08.*
+ bd6e: 6f87.*
+ bd6f: 0d08.*
+ bd70: 6f87.*
+ bd71: 0c08.*
+ bd72: 6f87.*
+ bd73: 0e08.*
+ bd74: 6f87.*
+ bd75: 0f08.*
+ bd76: 6f87.*
+ bd77: 0f08.*
+ bd78: 6f87.*
+ bd79: 0c09.*
+ bd7a: 6f87.*
+ bd7b: 0d09.*
+ bd7c: 6f87.*
+ bd7d: 0c09.*
+ bd7e: 6f87.*
+ bd7f: 0e09.*
+ bd80: 6f87.*
+ bd81: 0f09.*
+ bd82: 6f87.*
+ bd83: 0f09.*
+ bd84: 6f87.*
+ bd85: 0c0a.*
+ bd86: 6f87.*
+ bd87: 0d0a.*
+ bd88: 6f87.*
+ bd89: 0c0a.*
+ bd8a: 6f87.*
+ bd8b: 0e0a.*
+ bd8c: 6f87.*
+ bd8d: 0f0a.*
+ bd8e: 6f87.*
+ bd8f: 0f0a.*
+ bd90: 6f87.*
+ bd91: 0c0b.*
+ bd92: 6f87.*
+ bd93: 0d0b.*
+ bd94: 6f87.*
+ bd95: 0c0b.*
+ bd96: 6f87.*
+ bd97: 0e0b.*
+ bd98: 6f87.*
+ bd99: 0f0b.*
+ bd9a: 6f87.*
+ bd9b: 0f0b.*
+ bd9c: 6f87.*
+ bd9d: 0c0c.*
+ bd9e: 6f87.*
+ bd9f: 0d0c.*
+ bda0: 6f87.*
+ bda1: 0c0c.*
+ bda2: 6f87.*
+ bda3: 0e0c.*
+ bda4: 6f87.*
+ bda5: 0f0c.*
+ bda6: 6f87.*
+ bda7: 0f0c.*
+ bda8: 6f87.*
+ bda9: 0c0d.*
+ bdaa: 6f87.*
+ bdab: 0d0d.*
+ bdac: 6f87.*
+ bdad: 0c0d.*
+ bdae: 6f87.*
+ bdaf: 0e0d.*
+ bdb0: 6f87.*
+ bdb1: 0f0d.*
+ bdb2: 6f87.*
+ bdb3: 0f0d.*
+ bdb4: 6f87.*
+ bdb5: 0c0e.*
+ bdb6: 6f87.*
+ bdb7: 0d0e.*
+ bdb8: 6f87.*
+ bdb9: 0c0e.*
+ bdba: 6f87.*
+ bdbb: 0e0e.*
+ bdbc: 6f87.*
+ bdbd: 0f0e.*
+ bdbe: 6f87.*
+ bdbf: 0f0e.*
+ bdc0: 6f87.*
+ bdc1: 0c0f.*
+ bdc2: 6f87.*
+ bdc3: 0d0f.*
+ bdc4: 6f87.*
+ bdc5: 0c0f.*
+ bdc6: 6f87.*
+ bdc7: 0e0f.*
+ bdc8: 6f87.*
+ bdc9: 0f0f.*
+ bdca: 6f87.*
+ bdcb: 0f0f.*
+ bdcc: 008f.*
+ bdcd: 6f8f.*
+ bdce: 0d00.*
+ bdcf: 008f.*
+ bdd0: 6f8f.*
+ bdd1: 0e00.*
+ bdd2: 018f.*
+ bdd3: 018f.*
+ bdd4: 6f8f.*
+ bdd5: 0c10.*
+ bdd6: 6f8f.*
+ bdd7: 0d10.*
+ bdd8: 6f8f.*
+ bdd9: 0c10.*
+ bdda: 6f8f.*
+ bddb: 0e10.*
+ bddc: 6f8f.*
+ bddd: 0f10.*
+ bdde: 6f8f.*
+ bddf: 0f10.*
+ bde0: 6f8f.*
+ bde1: 0c11.*
+ bde2: 6f8f.*
+ bde3: 0d11.*
+ bde4: 6f8f.*
+ bde5: 0c11.*
+ bde6: 6f8f.*
+ bde7: 0e11.*
+ bde8: 6f8f.*
+ bde9: 0f11.*
+ bdea: 6f8f.*
+ bdeb: 0f11.*
+ bdec: 6f8f.*
+ bded: 0c12.*
+ bdee: 6f8f.*
+ bdef: 0d12.*
+ bdf0: 6f8f.*
+ bdf1: 0c12.*
+ bdf2: 6f8f.*
+ bdf3: 0e12.*
+ bdf4: 6f8f.*
+ bdf5: 0f12.*
+ bdf6: 6f8f.*
+ bdf7: 0f12.*
+ bdf8: 6f8f.*
+ bdf9: 0c13.*
+ bdfa: 6f8f.*
+ bdfb: 0d13.*
+ bdfc: 6f8f.*
+ bdfd: 0c13.*
+ bdfe: 6f8f.*
+ bdff: 0e13.*
+ be00: 6f8f.*
+ be01: 0f13.*
+ be02: 6f8f.*
+ be03: 0f13.*
+ be04: 6f8f.*
+ be05: 0c14.*
+ be06: 6f8f.*
+ be07: 0d14.*
+ be08: 6f8f.*
+ be09: 0c14.*
+ be0a: 6f8f.*
+ be0b: 0e14.*
+ be0c: 6f8f.*
+ be0d: 0f14.*
+ be0e: 6f8f.*
+ be0f: 0f14.*
+ be10: 6f8f.*
+ be11: 0c15.*
+ be12: 6f8f.*
+ be13: 0d15.*
+ be14: 6f8f.*
+ be15: 0c15.*
+ be16: 6f8f.*
+ be17: 0e15.*
+ be18: 6f8f.*
+ be19: 0f15.*
+ be1a: 6f8f.*
+ be1b: 0f15.*
+ be1c: 6f8f.*
+ be1d: 0c16.*
+ be1e: 6f8f.*
+ be1f: 0d16.*
+ be20: 6f8f.*
+ be21: 0c16.*
+ be22: 6f8f.*
+ be23: 0e16.*
+ be24: 6f8f.*
+ be25: 0f16.*
+ be26: 6f8f.*
+ be27: 0f16.*
+ be28: 6f8f.*
+ be29: 0c17.*
+ be2a: 6f8f.*
+ be2b: 0d17.*
+ be2c: 6f8f.*
+ be2d: 0c17.*
+ be2e: 6f8f.*
+ be2f: 0e17.*
+ be30: 6f8f.*
+ be31: 0f17.*
+ be32: 6f8f.*
+ be33: 0f17.*
+ be34: 6f8f.*
+ be35: 0c18.*
+ be36: 6f8f.*
+ be37: 0d18.*
+ be38: 6f8f.*
+ be39: 0c18.*
+ be3a: 6f8f.*
+ be3b: 0e18.*
+ be3c: 6f8f.*
+ be3d: 0f18.*
+ be3e: 6f8f.*
+ be3f: 0f18.*
+ be40: 6f8f.*
+ be41: 0c19.*
+ be42: 6f8f.*
+ be43: 0d19.*
+ be44: 6f8f.*
+ be45: 0c19.*
+ be46: 6f8f.*
+ be47: 0e19.*
+ be48: 6f8f.*
+ be49: 0f19.*
+ be4a: 6f8f.*
+ be4b: 0f19.*
+ be4c: 6f8f.*
+ be4d: 0c1a.*
+ be4e: 6f8f.*
+ be4f: 0d1a.*
+ be50: 6f8f.*
+ be51: 0c1a.*
+ be52: 6f8f.*
+ be53: 0e1a.*
+ be54: 6f8f.*
+ be55: 0f1a.*
+ be56: 6f8f.*
+ be57: 0f1a.*
+ be58: 6f8f.*
+ be59: 0c1b.*
+ be5a: 6f8f.*
+ be5b: 0d1b.*
+ be5c: 6f8f.*
+ be5d: 0c1b.*
+ be5e: 6f8f.*
+ be5f: 0e1b.*
+ be60: 6f8f.*
+ be61: 0f1b.*
+ be62: 6f8f.*
+ be63: 0f1b.*
+ be64: 6f8f.*
+ be65: 0c1c.*
+ be66: 6f8f.*
+ be67: 0d1c.*
+ be68: 6f8f.*
+ be69: 0c1c.*
+ be6a: 6f8f.*
+ be6b: 0e1c.*
+ be6c: 6f8f.*
+ be6d: 0f1c.*
+ be6e: 6f8f.*
+ be6f: 0f1c.*
+ be70: 6f8f.*
+ be71: 0c1d.*
+ be72: 6f8f.*
+ be73: 0d1d.*
+ be74: 6f8f.*
+ be75: 0c1d.*
+ be76: 6f8f.*
+ be77: 0e1d.*
+ be78: 6f8f.*
+ be79: 0f1d.*
+ be7a: 6f8f.*
+ be7b: 0f1d.*
+ be7c: 6f8f.*
+ be7d: 0c1e.*
+ be7e: 6f8f.*
+ be7f: 0d1e.*
+ be80: 6f8f.*
+ be81: 0c1e.*
+ be82: 6f8f.*
+ be83: 0e1e.*
+ be84: 6f8f.*
+ be85: 0f1e.*
+ be86: 6f8f.*
+ be87: 0f1e.*
+ be88: 6f8f.*
+ be89: 0c1f.*
+ be8a: 6f8f.*
+ be8b: 0d1f.*
+ be8c: 6f8f.*
+ be8d: 0c1f.*
+ be8e: 6f8f.*
+ be8f: 0e1f.*
+ be90: 6f8f.*
+ be91: 0f1f.*
+ be92: 6f8f.*
+ be93: 0f1f.*
+ be94: 008f.*
+ be95: 6f8f.*
+ be96: 0d00.*
+ be97: 008f.*
+ be98: 6f8f.*
+ be99: 0e00.*
+ be9a: 018f.*
+ be9b: 018f.*
+ be9c: 6f8f.*
+ be9d: 0c01.*
+ be9e: 6f8f.*
+ be9f: 0d01.*
+ bea0: 6f8f.*
+ bea1: 0c01.*
+ bea2: 6f8f.*
+ bea3: 0e01.*
+ bea4: 6f8f.*
+ bea5: 0f01.*
+ bea6: 6f8f.*
+ bea7: 0f01.*
+ bea8: 6f8f.*
+ bea9: 0c02.*
+ beaa: 6f8f.*
+ beab: 0d02.*
+ beac: 6f8f.*
+ bead: 0c02.*
+ beae: 6f8f.*
+ beaf: 0e02.*
+ beb0: 6f8f.*
+ beb1: 0f02.*
+ beb2: 6f8f.*
+ beb3: 0f02.*
+ beb4: 6f8f.*
+ beb5: 0c03.*
+ beb6: 6f8f.*
+ beb7: 0d03.*
+ beb8: 6f8f.*
+ beb9: 0c03.*
+ beba: 6f8f.*
+ bebb: 0e03.*
+ bebc: 6f8f.*
+ bebd: 0f03.*
+ bebe: 6f8f.*
+ bebf: 0f03.*
+ bec0: 6f8f.*
+ bec1: 0c04.*
+ bec2: 6f8f.*
+ bec3: 0d04.*
+ bec4: 6f8f.*
+ bec5: 0c04.*
+ bec6: 6f8f.*
+ bec7: 0e04.*
+ bec8: 6f8f.*
+ bec9: 0f04.*
+ beca: 6f8f.*
+ becb: 0f04.*
+ becc: 6f8f.*
+ becd: 0c05.*
+ bece: 6f8f.*
+ becf: 0d05.*
+ bed0: 6f8f.*
+ bed1: 0c05.*
+ bed2: 6f8f.*
+ bed3: 0e05.*
+ bed4: 6f8f.*
+ bed5: 0f05.*
+ bed6: 6f8f.*
+ bed7: 0f05.*
+ bed8: 6f8f.*
+ bed9: 0c06.*
+ beda: 6f8f.*
+ bedb: 0d06.*
+ bedc: 6f8f.*
+ bedd: 0c06.*
+ bede: 6f8f.*
+ bedf: 0e06.*
+ bee0: 6f8f.*
+ bee1: 0f06.*
+ bee2: 6f8f.*
+ bee3: 0f06.*
+ bee4: 6f8f.*
+ bee5: 0c07.*
+ bee6: 6f8f.*
+ bee7: 0d07.*
+ bee8: 6f8f.*
+ bee9: 0c07.*
+ beea: 6f8f.*
+ beeb: 0e07.*
+ beec: 6f8f.*
+ beed: 0f07.*
+ beee: 6f8f.*
+ beef: 0f07.*
+ bef0: 6f8f.*
+ bef1: 0c08.*
+ bef2: 6f8f.*
+ bef3: 0d08.*
+ bef4: 6f8f.*
+ bef5: 0c08.*
+ bef6: 6f8f.*
+ bef7: 0e08.*
+ bef8: 6f8f.*
+ bef9: 0f08.*
+ befa: 6f8f.*
+ befb: 0f08.*
+ befc: 6f8f.*
+ befd: 0c09.*
+ befe: 6f8f.*
+ beff: 0d09.*
+ bf00: 6f8f.*
+ bf01: 0c09.*
+ bf02: 6f8f.*
+ bf03: 0e09.*
+ bf04: 6f8f.*
+ bf05: 0f09.*
+ bf06: 6f8f.*
+ bf07: 0f09.*
+ bf08: 6f8f.*
+ bf09: 0c0a.*
+ bf0a: 6f8f.*
+ bf0b: 0d0a.*
+ bf0c: 6f8f.*
+ bf0d: 0c0a.*
+ bf0e: 6f8f.*
+ bf0f: 0e0a.*
+ bf10: 6f8f.*
+ bf11: 0f0a.*
+ bf12: 6f8f.*
+ bf13: 0f0a.*
+ bf14: 6f8f.*
+ bf15: 0c0b.*
+ bf16: 6f8f.*
+ bf17: 0d0b.*
+ bf18: 6f8f.*
+ bf19: 0c0b.*
+ bf1a: 6f8f.*
+ bf1b: 0e0b.*
+ bf1c: 6f8f.*
+ bf1d: 0f0b.*
+ bf1e: 6f8f.*
+ bf1f: 0f0b.*
+ bf20: 6f8f.*
+ bf21: 0c0c.*
+ bf22: 6f8f.*
+ bf23: 0d0c.*
+ bf24: 6f8f.*
+ bf25: 0c0c.*
+ bf26: 6f8f.*
+ bf27: 0e0c.*
+ bf28: 6f8f.*
+ bf29: 0f0c.*
+ bf2a: 6f8f.*
+ bf2b: 0f0c.*
+ bf2c: 6f8f.*
+ bf2d: 0c0d.*
+ bf2e: 6f8f.*
+ bf2f: 0d0d.*
+ bf30: 6f8f.*
+ bf31: 0c0d.*
+ bf32: 6f8f.*
+ bf33: 0e0d.*
+ bf34: 6f8f.*
+ bf35: 0f0d.*
+ bf36: 6f8f.*
+ bf37: 0f0d.*
+ bf38: 6f8f.*
+ bf39: 0c0e.*
+ bf3a: 6f8f.*
+ bf3b: 0d0e.*
+ bf3c: 6f8f.*
+ bf3d: 0c0e.*
+ bf3e: 6f8f.*
+ bf3f: 0e0e.*
+ bf40: 6f8f.*
+ bf41: 0f0e.*
+ bf42: 6f8f.*
+ bf43: 0f0e.*
+ bf44: 6f8f.*
+ bf45: 0c0f.*
+ bf46: 6f8f.*
+ bf47: 0d0f.*
+ bf48: 6f8f.*
+ bf49: 0c0f.*
+ bf4a: 6f8f.*
+ bf4b: 0e0f.*
+ bf4c: 6f8f.*
+ bf4d: 0f0f.*
+ bf4e: 6f8f.*
+ bf4f: 0f0f.*
+ bf50: 0097.*
+ bf51: 6f97.*
+ bf52: 0d00.*
+ bf53: 0097.*
+ bf54: 6f97.*
+ bf55: 0e00.*
+ bf56: 0197.*
+ bf57: 0197.*
+ bf58: 6f97.*
+ bf59: 0c10.*
+ bf5a: 6f97.*
+ bf5b: 0d10.*
+ bf5c: 6f97.*
+ bf5d: 0c10.*
+ bf5e: 6f97.*
+ bf5f: 0e10.*
+ bf60: 6f97.*
+ bf61: 0f10.*
+ bf62: 6f97.*
+ bf63: 0f10.*
+ bf64: 6f97.*
+ bf65: 0c11.*
+ bf66: 6f97.*
+ bf67: 0d11.*
+ bf68: 6f97.*
+ bf69: 0c11.*
+ bf6a: 6f97.*
+ bf6b: 0e11.*
+ bf6c: 6f97.*
+ bf6d: 0f11.*
+ bf6e: 6f97.*
+ bf6f: 0f11.*
+ bf70: 6f97.*
+ bf71: 0c12.*
+ bf72: 6f97.*
+ bf73: 0d12.*
+ bf74: 6f97.*
+ bf75: 0c12.*
+ bf76: 6f97.*
+ bf77: 0e12.*
+ bf78: 6f97.*
+ bf79: 0f12.*
+ bf7a: 6f97.*
+ bf7b: 0f12.*
+ bf7c: 6f97.*
+ bf7d: 0c13.*
+ bf7e: 6f97.*
+ bf7f: 0d13.*
+ bf80: 6f97.*
+ bf81: 0c13.*
+ bf82: 6f97.*
+ bf83: 0e13.*
+ bf84: 6f97.*
+ bf85: 0f13.*
+ bf86: 6f97.*
+ bf87: 0f13.*
+ bf88: 6f97.*
+ bf89: 0c14.*
+ bf8a: 6f97.*
+ bf8b: 0d14.*
+ bf8c: 6f97.*
+ bf8d: 0c14.*
+ bf8e: 6f97.*
+ bf8f: 0e14.*
+ bf90: 6f97.*
+ bf91: 0f14.*
+ bf92: 6f97.*
+ bf93: 0f14.*
+ bf94: 6f97.*
+ bf95: 0c15.*
+ bf96: 6f97.*
+ bf97: 0d15.*
+ bf98: 6f97.*
+ bf99: 0c15.*
+ bf9a: 6f97.*
+ bf9b: 0e15.*
+ bf9c: 6f97.*
+ bf9d: 0f15.*
+ bf9e: 6f97.*
+ bf9f: 0f15.*
+ bfa0: 6f97.*
+ bfa1: 0c16.*
+ bfa2: 6f97.*
+ bfa3: 0d16.*
+ bfa4: 6f97.*
+ bfa5: 0c16.*
+ bfa6: 6f97.*
+ bfa7: 0e16.*
+ bfa8: 6f97.*
+ bfa9: 0f16.*
+ bfaa: 6f97.*
+ bfab: 0f16.*
+ bfac: 6f97.*
+ bfad: 0c17.*
+ bfae: 6f97.*
+ bfaf: 0d17.*
+ bfb0: 6f97.*
+ bfb1: 0c17.*
+ bfb2: 6f97.*
+ bfb3: 0e17.*
+ bfb4: 6f97.*
+ bfb5: 0f17.*
+ bfb6: 6f97.*
+ bfb7: 0f17.*
+ bfb8: 6f97.*
+ bfb9: 0c18.*
+ bfba: 6f97.*
+ bfbb: 0d18.*
+ bfbc: 6f97.*
+ bfbd: 0c18.*
+ bfbe: 6f97.*
+ bfbf: 0e18.*
+ bfc0: 6f97.*
+ bfc1: 0f18.*
+ bfc2: 6f97.*
+ bfc3: 0f18.*
+ bfc4: 6f97.*
+ bfc5: 0c19.*
+ bfc6: 6f97.*
+ bfc7: 0d19.*
+ bfc8: 6f97.*
+ bfc9: 0c19.*
+ bfca: 6f97.*
+ bfcb: 0e19.*
+ bfcc: 6f97.*
+ bfcd: 0f19.*
+ bfce: 6f97.*
+ bfcf: 0f19.*
+ bfd0: 6f97.*
+ bfd1: 0c1a.*
+ bfd2: 6f97.*
+ bfd3: 0d1a.*
+ bfd4: 6f97.*
+ bfd5: 0c1a.*
+ bfd6: 6f97.*
+ bfd7: 0e1a.*
+ bfd8: 6f97.*
+ bfd9: 0f1a.*
+ bfda: 6f97.*
+ bfdb: 0f1a.*
+ bfdc: 6f97.*
+ bfdd: 0c1b.*
+ bfde: 6f97.*
+ bfdf: 0d1b.*
+ bfe0: 6f97.*
+ bfe1: 0c1b.*
+ bfe2: 6f97.*
+ bfe3: 0e1b.*
+ bfe4: 6f97.*
+ bfe5: 0f1b.*
+ bfe6: 6f97.*
+ bfe7: 0f1b.*
+ bfe8: 6f97.*
+ bfe9: 0c1c.*
+ bfea: 6f97.*
+ bfeb: 0d1c.*
+ bfec: 6f97.*
+ bfed: 0c1c.*
+ bfee: 6f97.*
+ bfef: 0e1c.*
+ bff0: 6f97.*
+ bff1: 0f1c.*
+ bff2: 6f97.*
+ bff3: 0f1c.*
+ bff4: 6f97.*
+ bff5: 0c1d.*
+ bff6: 6f97.*
+ bff7: 0d1d.*
+ bff8: 6f97.*
+ bff9: 0c1d.*
+ bffa: 6f97.*
+ bffb: 0e1d.*
+ bffc: 6f97.*
+ bffd: 0f1d.*
+ bffe: 6f97.*
+ bfff: 0f1d.*
+ c000: 6f97.*
+ c001: 0c1e.*
+ c002: 6f97.*
+ c003: 0d1e.*
+ c004: 6f97.*
+ c005: 0c1e.*
+ c006: 6f97.*
+ c007: 0e1e.*
+ c008: 6f97.*
+ c009: 0f1e.*
+ c00a: 6f97.*
+ c00b: 0f1e.*
+ c00c: 6f97.*
+ c00d: 0c1f.*
+ c00e: 6f97.*
+ c00f: 0d1f.*
+ c010: 6f97.*
+ c011: 0c1f.*
+ c012: 6f97.*
+ c013: 0e1f.*
+ c014: 6f97.*
+ c015: 0f1f.*
+ c016: 6f97.*
+ c017: 0f1f.*
+ c018: 0097.*
+ c019: 6f97.*
+ c01a: 0d00.*
+ c01b: 0097.*
+ c01c: 6f97.*
+ c01d: 0e00.*
+ c01e: 0197.*
+ c01f: 0197.*
+ c020: 6f97.*
+ c021: 0c01.*
+ c022: 6f97.*
+ c023: 0d01.*
+ c024: 6f97.*
+ c025: 0c01.*
+ c026: 6f97.*
+ c027: 0e01.*
+ c028: 6f97.*
+ c029: 0f01.*
+ c02a: 6f97.*
+ c02b: 0f01.*
+ c02c: 6f97.*
+ c02d: 0c02.*
+ c02e: 6f97.*
+ c02f: 0d02.*
+ c030: 6f97.*
+ c031: 0c02.*
+ c032: 6f97.*
+ c033: 0e02.*
+ c034: 6f97.*
+ c035: 0f02.*
+ c036: 6f97.*
+ c037: 0f02.*
+ c038: 6f97.*
+ c039: 0c03.*
+ c03a: 6f97.*
+ c03b: 0d03.*
+ c03c: 6f97.*
+ c03d: 0c03.*
+ c03e: 6f97.*
+ c03f: 0e03.*
+ c040: 6f97.*
+ c041: 0f03.*
+ c042: 6f97.*
+ c043: 0f03.*
+ c044: 6f97.*
+ c045: 0c04.*
+ c046: 6f97.*
+ c047: 0d04.*
+ c048: 6f97.*
+ c049: 0c04.*
+ c04a: 6f97.*
+ c04b: 0e04.*
+ c04c: 6f97.*
+ c04d: 0f04.*
+ c04e: 6f97.*
+ c04f: 0f04.*
+ c050: 6f97.*
+ c051: 0c05.*
+ c052: 6f97.*
+ c053: 0d05.*
+ c054: 6f97.*
+ c055: 0c05.*
+ c056: 6f97.*
+ c057: 0e05.*
+ c058: 6f97.*
+ c059: 0f05.*
+ c05a: 6f97.*
+ c05b: 0f05.*
+ c05c: 6f97.*
+ c05d: 0c06.*
+ c05e: 6f97.*
+ c05f: 0d06.*
+ c060: 6f97.*
+ c061: 0c06.*
+ c062: 6f97.*
+ c063: 0e06.*
+ c064: 6f97.*
+ c065: 0f06.*
+ c066: 6f97.*
+ c067: 0f06.*
+ c068: 6f97.*
+ c069: 0c07.*
+ c06a: 6f97.*
+ c06b: 0d07.*
+ c06c: 6f97.*
+ c06d: 0c07.*
+ c06e: 6f97.*
+ c06f: 0e07.*
+ c070: 6f97.*
+ c071: 0f07.*
+ c072: 6f97.*
+ c073: 0f07.*
+ c074: 6f97.*
+ c075: 0c08.*
+ c076: 6f97.*
+ c077: 0d08.*
+ c078: 6f97.*
+ c079: 0c08.*
+ c07a: 6f97.*
+ c07b: 0e08.*
+ c07c: 6f97.*
+ c07d: 0f08.*
+ c07e: 6f97.*
+ c07f: 0f08.*
+ c080: 6f97.*
+ c081: 0c09.*
+ c082: 6f97.*
+ c083: 0d09.*
+ c084: 6f97.*
+ c085: 0c09.*
+ c086: 6f97.*
+ c087: 0e09.*
+ c088: 6f97.*
+ c089: 0f09.*
+ c08a: 6f97.*
+ c08b: 0f09.*
+ c08c: 6f97.*
+ c08d: 0c0a.*
+ c08e: 6f97.*
+ c08f: 0d0a.*
+ c090: 6f97.*
+ c091: 0c0a.*
+ c092: 6f97.*
+ c093: 0e0a.*
+ c094: 6f97.*
+ c095: 0f0a.*
+ c096: 6f97.*
+ c097: 0f0a.*
+ c098: 6f97.*
+ c099: 0c0b.*
+ c09a: 6f97.*
+ c09b: 0d0b.*
+ c09c: 6f97.*
+ c09d: 0c0b.*
+ c09e: 6f97.*
+ c09f: 0e0b.*
+ c0a0: 6f97.*
+ c0a1: 0f0b.*
+ c0a2: 6f97.*
+ c0a3: 0f0b.*
+ c0a4: 6f97.*
+ c0a5: 0c0c.*
+ c0a6: 6f97.*
+ c0a7: 0d0c.*
+ c0a8: 6f97.*
+ c0a9: 0c0c.*
+ c0aa: 6f97.*
+ c0ab: 0e0c.*
+ c0ac: 6f97.*
+ c0ad: 0f0c.*
+ c0ae: 6f97.*
+ c0af: 0f0c.*
+ c0b0: 6f97.*
+ c0b1: 0c0d.*
+ c0b2: 6f97.*
+ c0b3: 0d0d.*
+ c0b4: 6f97.*
+ c0b5: 0c0d.*
+ c0b6: 6f97.*
+ c0b7: 0e0d.*
+ c0b8: 6f97.*
+ c0b9: 0f0d.*
+ c0ba: 6f97.*
+ c0bb: 0f0d.*
+ c0bc: 6f97.*
+ c0bd: 0c0e.*
+ c0be: 6f97.*
+ c0bf: 0d0e.*
+ c0c0: 6f97.*
+ c0c1: 0c0e.*
+ c0c2: 6f97.*
+ c0c3: 0e0e.*
+ c0c4: 6f97.*
+ c0c5: 0f0e.*
+ c0c6: 6f97.*
+ c0c7: 0f0e.*
+ c0c8: 6f97.*
+ c0c9: 0c0f.*
+ c0ca: 6f97.*
+ c0cb: 0d0f.*
+ c0cc: 6f97.*
+ c0cd: 0c0f.*
+ c0ce: 6f97.*
+ c0cf: 0e0f.*
+ c0d0: 6f97.*
+ c0d1: 0f0f.*
+ c0d2: 6f97.*
+ c0d3: 0f0f.*
+ c0d4: 00a7.*
+ c0d5: 6fa7.*
+ c0d6: 0d00.*
+ c0d7: 00a7.*
+ c0d8: 6fa7.*
+ c0d9: 0e00.*
+ c0da: 01a7.*
+ c0db: 01a7.*
+ c0dc: 6fa7.*
+ c0dd: 0c10.*
+ c0de: 6fa7.*
+ c0df: 0d10.*
+ c0e0: 6fa7.*
+ c0e1: 0c10.*
+ c0e2: 6fa7.*
+ c0e3: 0e10.*
+ c0e4: 6fa7.*
+ c0e5: 0f10.*
+ c0e6: 6fa7.*
+ c0e7: 0f10.*
+ c0e8: 6fa7.*
+ c0e9: 0c11.*
+ c0ea: 6fa7.*
+ c0eb: 0d11.*
+ c0ec: 6fa7.*
+ c0ed: 0c11.*
+ c0ee: 6fa7.*
+ c0ef: 0e11.*
+ c0f0: 6fa7.*
+ c0f1: 0f11.*
+ c0f2: 6fa7.*
+ c0f3: 0f11.*
+ c0f4: 6fa7.*
+ c0f5: 0c12.*
+ c0f6: 6fa7.*
+ c0f7: 0d12.*
+ c0f8: 6fa7.*
+ c0f9: 0c12.*
+ c0fa: 6fa7.*
+ c0fb: 0e12.*
+ c0fc: 6fa7.*
+ c0fd: 0f12.*
+ c0fe: 6fa7.*
+ c0ff: 0f12.*
+ c100: 6fa7.*
+ c101: 0c13.*
+ c102: 6fa7.*
+ c103: 0d13.*
+ c104: 6fa7.*
+ c105: 0c13.*
+ c106: 6fa7.*
+ c107: 0e13.*
+ c108: 6fa7.*
+ c109: 0f13.*
+ c10a: 6fa7.*
+ c10b: 0f13.*
+ c10c: 6fa7.*
+ c10d: 0c14.*
+ c10e: 6fa7.*
+ c10f: 0d14.*
+ c110: 6fa7.*
+ c111: 0c14.*
+ c112: 6fa7.*
+ c113: 0e14.*
+ c114: 6fa7.*
+ c115: 0f14.*
+ c116: 6fa7.*
+ c117: 0f14.*
+ c118: 6fa7.*
+ c119: 0c15.*
+ c11a: 6fa7.*
+ c11b: 0d15.*
+ c11c: 6fa7.*
+ c11d: 0c15.*
+ c11e: 6fa7.*
+ c11f: 0e15.*
+ c120: 6fa7.*
+ c121: 0f15.*
+ c122: 6fa7.*
+ c123: 0f15.*
+ c124: 6fa7.*
+ c125: 0c16.*
+ c126: 6fa7.*
+ c127: 0d16.*
+ c128: 6fa7.*
+ c129: 0c16.*
+ c12a: 6fa7.*
+ c12b: 0e16.*
+ c12c: 6fa7.*
+ c12d: 0f16.*
+ c12e: 6fa7.*
+ c12f: 0f16.*
+ c130: 6fa7.*
+ c131: 0c17.*
+ c132: 6fa7.*
+ c133: 0d17.*
+ c134: 6fa7.*
+ c135: 0c17.*
+ c136: 6fa7.*
+ c137: 0e17.*
+ c138: 6fa7.*
+ c139: 0f17.*
+ c13a: 6fa7.*
+ c13b: 0f17.*
+ c13c: 6fa7.*
+ c13d: 0c18.*
+ c13e: 6fa7.*
+ c13f: 0d18.*
+ c140: 6fa7.*
+ c141: 0c18.*
+ c142: 6fa7.*
+ c143: 0e18.*
+ c144: 6fa7.*
+ c145: 0f18.*
+ c146: 6fa7.*
+ c147: 0f18.*
+ c148: 6fa7.*
+ c149: 0c19.*
+ c14a: 6fa7.*
+ c14b: 0d19.*
+ c14c: 6fa7.*
+ c14d: 0c19.*
+ c14e: 6fa7.*
+ c14f: 0e19.*
+ c150: 6fa7.*
+ c151: 0f19.*
+ c152: 6fa7.*
+ c153: 0f19.*
+ c154: 6fa7.*
+ c155: 0c1a.*
+ c156: 6fa7.*
+ c157: 0d1a.*
+ c158: 6fa7.*
+ c159: 0c1a.*
+ c15a: 6fa7.*
+ c15b: 0e1a.*
+ c15c: 6fa7.*
+ c15d: 0f1a.*
+ c15e: 6fa7.*
+ c15f: 0f1a.*
+ c160: 6fa7.*
+ c161: 0c1b.*
+ c162: 6fa7.*
+ c163: 0d1b.*
+ c164: 6fa7.*
+ c165: 0c1b.*
+ c166: 6fa7.*
+ c167: 0e1b.*
+ c168: 6fa7.*
+ c169: 0f1b.*
+ c16a: 6fa7.*
+ c16b: 0f1b.*
+ c16c: 6fa7.*
+ c16d: 0c1c.*
+ c16e: 6fa7.*
+ c16f: 0d1c.*
+ c170: 6fa7.*
+ c171: 0c1c.*
+ c172: 6fa7.*
+ c173: 0e1c.*
+ c174: 6fa7.*
+ c175: 0f1c.*
+ c176: 6fa7.*
+ c177: 0f1c.*
+ c178: 6fa7.*
+ c179: 0c1d.*
+ c17a: 6fa7.*
+ c17b: 0d1d.*
+ c17c: 6fa7.*
+ c17d: 0c1d.*
+ c17e: 6fa7.*
+ c17f: 0e1d.*
+ c180: 6fa7.*
+ c181: 0f1d.*
+ c182: 6fa7.*
+ c183: 0f1d.*
+ c184: 6fa7.*
+ c185: 0c1e.*
+ c186: 6fa7.*
+ c187: 0d1e.*
+ c188: 6fa7.*
+ c189: 0c1e.*
+ c18a: 6fa7.*
+ c18b: 0e1e.*
+ c18c: 6fa7.*
+ c18d: 0f1e.*
+ c18e: 6fa7.*
+ c18f: 0f1e.*
+ c190: 6fa7.*
+ c191: 0c1f.*
+ c192: 6fa7.*
+ c193: 0d1f.*
+ c194: 6fa7.*
+ c195: 0c1f.*
+ c196: 6fa7.*
+ c197: 0e1f.*
+ c198: 6fa7.*
+ c199: 0f1f.*
+ c19a: 6fa7.*
+ c19b: 0f1f.*
+ c19c: 00a7.*
+ c19d: 6fa7.*
+ c19e: 0d00.*
+ c19f: 00a7.*
+ c1a0: 6fa7.*
+ c1a1: 0e00.*
+ c1a2: 01a7.*
+ c1a3: 01a7.*
+ c1a4: 6fa7.*
+ c1a5: 0c01.*
+ c1a6: 6fa7.*
+ c1a7: 0d01.*
+ c1a8: 6fa7.*
+ c1a9: 0c01.*
+ c1aa: 6fa7.*
+ c1ab: 0e01.*
+ c1ac: 6fa7.*
+ c1ad: 0f01.*
+ c1ae: 6fa7.*
+ c1af: 0f01.*
+ c1b0: 6fa7.*
+ c1b1: 0c02.*
+ c1b2: 6fa7.*
+ c1b3: 0d02.*
+ c1b4: 6fa7.*
+ c1b5: 0c02.*
+ c1b6: 6fa7.*
+ c1b7: 0e02.*
+ c1b8: 6fa7.*
+ c1b9: 0f02.*
+ c1ba: 6fa7.*
+ c1bb: 0f02.*
+ c1bc: 6fa7.*
+ c1bd: 0c03.*
+ c1be: 6fa7.*
+ c1bf: 0d03.*
+ c1c0: 6fa7.*
+ c1c1: 0c03.*
+ c1c2: 6fa7.*
+ c1c3: 0e03.*
+ c1c4: 6fa7.*
+ c1c5: 0f03.*
+ c1c6: 6fa7.*
+ c1c7: 0f03.*
+ c1c8: 6fa7.*
+ c1c9: 0c04.*
+ c1ca: 6fa7.*
+ c1cb: 0d04.*
+ c1cc: 6fa7.*
+ c1cd: 0c04.*
+ c1ce: 6fa7.*
+ c1cf: 0e04.*
+ c1d0: 6fa7.*
+ c1d1: 0f04.*
+ c1d2: 6fa7.*
+ c1d3: 0f04.*
+ c1d4: 6fa7.*
+ c1d5: 0c05.*
+ c1d6: 6fa7.*
+ c1d7: 0d05.*
+ c1d8: 6fa7.*
+ c1d9: 0c05.*
+ c1da: 6fa7.*
+ c1db: 0e05.*
+ c1dc: 6fa7.*
+ c1dd: 0f05.*
+ c1de: 6fa7.*
+ c1df: 0f05.*
+ c1e0: 6fa7.*
+ c1e1: 0c06.*
+ c1e2: 6fa7.*
+ c1e3: 0d06.*
+ c1e4: 6fa7.*
+ c1e5: 0c06.*
+ c1e6: 6fa7.*
+ c1e7: 0e06.*
+ c1e8: 6fa7.*
+ c1e9: 0f06.*
+ c1ea: 6fa7.*
+ c1eb: 0f06.*
+ c1ec: 6fa7.*
+ c1ed: 0c07.*
+ c1ee: 6fa7.*
+ c1ef: 0d07.*
+ c1f0: 6fa7.*
+ c1f1: 0c07.*
+ c1f2: 6fa7.*
+ c1f3: 0e07.*
+ c1f4: 6fa7.*
+ c1f5: 0f07.*
+ c1f6: 6fa7.*
+ c1f7: 0f07.*
+ c1f8: 6fa7.*
+ c1f9: 0c08.*
+ c1fa: 6fa7.*
+ c1fb: 0d08.*
+ c1fc: 6fa7.*
+ c1fd: 0c08.*
+ c1fe: 6fa7.*
+ c1ff: 0e08.*
+ c200: 6fa7.*
+ c201: 0f08.*
+ c202: 6fa7.*
+ c203: 0f08.*
+ c204: 6fa7.*
+ c205: 0c09.*
+ c206: 6fa7.*
+ c207: 0d09.*
+ c208: 6fa7.*
+ c209: 0c09.*
+ c20a: 6fa7.*
+ c20b: 0e09.*
+ c20c: 6fa7.*
+ c20d: 0f09.*
+ c20e: 6fa7.*
+ c20f: 0f09.*
+ c210: 6fa7.*
+ c211: 0c0a.*
+ c212: 6fa7.*
+ c213: 0d0a.*
+ c214: 6fa7.*
+ c215: 0c0a.*
+ c216: 6fa7.*
+ c217: 0e0a.*
+ c218: 6fa7.*
+ c219: 0f0a.*
+ c21a: 6fa7.*
+ c21b: 0f0a.*
+ c21c: 6fa7.*
+ c21d: 0c0b.*
+ c21e: 6fa7.*
+ c21f: 0d0b.*
+ c220: 6fa7.*
+ c221: 0c0b.*
+ c222: 6fa7.*
+ c223: 0e0b.*
+ c224: 6fa7.*
+ c225: 0f0b.*
+ c226: 6fa7.*
+ c227: 0f0b.*
+ c228: 6fa7.*
+ c229: 0c0c.*
+ c22a: 6fa7.*
+ c22b: 0d0c.*
+ c22c: 6fa7.*
+ c22d: 0c0c.*
+ c22e: 6fa7.*
+ c22f: 0e0c.*
+ c230: 6fa7.*
+ c231: 0f0c.*
+ c232: 6fa7.*
+ c233: 0f0c.*
+ c234: 6fa7.*
+ c235: 0c0d.*
+ c236: 6fa7.*
+ c237: 0d0d.*
+ c238: 6fa7.*
+ c239: 0c0d.*
+ c23a: 6fa7.*
+ c23b: 0e0d.*
+ c23c: 6fa7.*
+ c23d: 0f0d.*
+ c23e: 6fa7.*
+ c23f: 0f0d.*
+ c240: 6fa7.*
+ c241: 0c0e.*
+ c242: 6fa7.*
+ c243: 0d0e.*
+ c244: 6fa7.*
+ c245: 0c0e.*
+ c246: 6fa7.*
+ c247: 0e0e.*
+ c248: 6fa7.*
+ c249: 0f0e.*
+ c24a: 6fa7.*
+ c24b: 0f0e.*
+ c24c: 6fa7.*
+ c24d: 0c0f.*
+ c24e: 6fa7.*
+ c24f: 0d0f.*
+ c250: 6fa7.*
+ c251: 0c0f.*
+ c252: 6fa7.*
+ c253: 0e0f.*
+ c254: 6fa7.*
+ c255: 0f0f.*
+ c256: 6fa7.*
+ c257: 0f0f.*
+ c258: 00af.*
+ c259: 6faf.*
+ c25a: 0d00.*
+ c25b: 00af.*
+ c25c: 6faf.*
+ c25d: 0e00.*
+ c25e: 01af.*
+ c25f: 01af.*
+ c260: 6faf.*
+ c261: 0c10.*
+ c262: 6faf.*
+ c263: 0d10.*
+ c264: 6faf.*
+ c265: 0c10.*
+ c266: 6faf.*
+ c267: 0e10.*
+ c268: 6faf.*
+ c269: 0f10.*
+ c26a: 6faf.*
+ c26b: 0f10.*
+ c26c: 6faf.*
+ c26d: 0c11.*
+ c26e: 6faf.*
+ c26f: 0d11.*
+ c270: 6faf.*
+ c271: 0c11.*
+ c272: 6faf.*
+ c273: 0e11.*
+ c274: 6faf.*
+ c275: 0f11.*
+ c276: 6faf.*
+ c277: 0f11.*
+ c278: 6faf.*
+ c279: 0c12.*
+ c27a: 6faf.*
+ c27b: 0d12.*
+ c27c: 6faf.*
+ c27d: 0c12.*
+ c27e: 6faf.*
+ c27f: 0e12.*
+ c280: 6faf.*
+ c281: 0f12.*
+ c282: 6faf.*
+ c283: 0f12.*
+ c284: 6faf.*
+ c285: 0c13.*
+ c286: 6faf.*
+ c287: 0d13.*
+ c288: 6faf.*
+ c289: 0c13.*
+ c28a: 6faf.*
+ c28b: 0e13.*
+ c28c: 6faf.*
+ c28d: 0f13.*
+ c28e: 6faf.*
+ c28f: 0f13.*
+ c290: 6faf.*
+ c291: 0c14.*
+ c292: 6faf.*
+ c293: 0d14.*
+ c294: 6faf.*
+ c295: 0c14.*
+ c296: 6faf.*
+ c297: 0e14.*
+ c298: 6faf.*
+ c299: 0f14.*
+ c29a: 6faf.*
+ c29b: 0f14.*
+ c29c: 6faf.*
+ c29d: 0c15.*
+ c29e: 6faf.*
+ c29f: 0d15.*
+ c2a0: 6faf.*
+ c2a1: 0c15.*
+ c2a2: 6faf.*
+ c2a3: 0e15.*
+ c2a4: 6faf.*
+ c2a5: 0f15.*
+ c2a6: 6faf.*
+ c2a7: 0f15.*
+ c2a8: 6faf.*
+ c2a9: 0c16.*
+ c2aa: 6faf.*
+ c2ab: 0d16.*
+ c2ac: 6faf.*
+ c2ad: 0c16.*
+ c2ae: 6faf.*
+ c2af: 0e16.*
+ c2b0: 6faf.*
+ c2b1: 0f16.*
+ c2b2: 6faf.*
+ c2b3: 0f16.*
+ c2b4: 6faf.*
+ c2b5: 0c17.*
+ c2b6: 6faf.*
+ c2b7: 0d17.*
+ c2b8: 6faf.*
+ c2b9: 0c17.*
+ c2ba: 6faf.*
+ c2bb: 0e17.*
+ c2bc: 6faf.*
+ c2bd: 0f17.*
+ c2be: 6faf.*
+ c2bf: 0f17.*
+ c2c0: 6faf.*
+ c2c1: 0c18.*
+ c2c2: 6faf.*
+ c2c3: 0d18.*
+ c2c4: 6faf.*
+ c2c5: 0c18.*
+ c2c6: 6faf.*
+ c2c7: 0e18.*
+ c2c8: 6faf.*
+ c2c9: 0f18.*
+ c2ca: 6faf.*
+ c2cb: 0f18.*
+ c2cc: 6faf.*
+ c2cd: 0c19.*
+ c2ce: 6faf.*
+ c2cf: 0d19.*
+ c2d0: 6faf.*
+ c2d1: 0c19.*
+ c2d2: 6faf.*
+ c2d3: 0e19.*
+ c2d4: 6faf.*
+ c2d5: 0f19.*
+ c2d6: 6faf.*
+ c2d7: 0f19.*
+ c2d8: 6faf.*
+ c2d9: 0c1a.*
+ c2da: 6faf.*
+ c2db: 0d1a.*
+ c2dc: 6faf.*
+ c2dd: 0c1a.*
+ c2de: 6faf.*
+ c2df: 0e1a.*
+ c2e0: 6faf.*
+ c2e1: 0f1a.*
+ c2e2: 6faf.*
+ c2e3: 0f1a.*
+ c2e4: 6faf.*
+ c2e5: 0c1b.*
+ c2e6: 6faf.*
+ c2e7: 0d1b.*
+ c2e8: 6faf.*
+ c2e9: 0c1b.*
+ c2ea: 6faf.*
+ c2eb: 0e1b.*
+ c2ec: 6faf.*
+ c2ed: 0f1b.*
+ c2ee: 6faf.*
+ c2ef: 0f1b.*
+ c2f0: 6faf.*
+ c2f1: 0c1c.*
+ c2f2: 6faf.*
+ c2f3: 0d1c.*
+ c2f4: 6faf.*
+ c2f5: 0c1c.*
+ c2f6: 6faf.*
+ c2f7: 0e1c.*
+ c2f8: 6faf.*
+ c2f9: 0f1c.*
+ c2fa: 6faf.*
+ c2fb: 0f1c.*
+ c2fc: 6faf.*
+ c2fd: 0c1d.*
+ c2fe: 6faf.*
+ c2ff: 0d1d.*
+ c300: 6faf.*
+ c301: 0c1d.*
+ c302: 6faf.*
+ c303: 0e1d.*
+ c304: 6faf.*
+ c305: 0f1d.*
+ c306: 6faf.*
+ c307: 0f1d.*
+ c308: 6faf.*
+ c309: 0c1e.*
+ c30a: 6faf.*
+ c30b: 0d1e.*
+ c30c: 6faf.*
+ c30d: 0c1e.*
+ c30e: 6faf.*
+ c30f: 0e1e.*
+ c310: 6faf.*
+ c311: 0f1e.*
+ c312: 6faf.*
+ c313: 0f1e.*
+ c314: 6faf.*
+ c315: 0c1f.*
+ c316: 6faf.*
+ c317: 0d1f.*
+ c318: 6faf.*
+ c319: 0c1f.*
+ c31a: 6faf.*
+ c31b: 0e1f.*
+ c31c: 6faf.*
+ c31d: 0f1f.*
+ c31e: 6faf.*
+ c31f: 0f1f.*
+ c320: 00af.*
+ c321: 6faf.*
+ c322: 0d00.*
+ c323: 00af.*
+ c324: 6faf.*
+ c325: 0e00.*
+ c326: 01af.*
+ c327: 01af.*
+ c328: 6faf.*
+ c329: 0c01.*
+ c32a: 6faf.*
+ c32b: 0d01.*
+ c32c: 6faf.*
+ c32d: 0c01.*
+ c32e: 6faf.*
+ c32f: 0e01.*
+ c330: 6faf.*
+ c331: 0f01.*
+ c332: 6faf.*
+ c333: 0f01.*
+ c334: 6faf.*
+ c335: 0c02.*
+ c336: 6faf.*
+ c337: 0d02.*
+ c338: 6faf.*
+ c339: 0c02.*
+ c33a: 6faf.*
+ c33b: 0e02.*
+ c33c: 6faf.*
+ c33d: 0f02.*
+ c33e: 6faf.*
+ c33f: 0f02.*
+ c340: 6faf.*
+ c341: 0c03.*
+ c342: 6faf.*
+ c343: 0d03.*
+ c344: 6faf.*
+ c345: 0c03.*
+ c346: 6faf.*
+ c347: 0e03.*
+ c348: 6faf.*
+ c349: 0f03.*
+ c34a: 6faf.*
+ c34b: 0f03.*
+ c34c: 6faf.*
+ c34d: 0c04.*
+ c34e: 6faf.*
+ c34f: 0d04.*
+ c350: 6faf.*
+ c351: 0c04.*
+ c352: 6faf.*
+ c353: 0e04.*
+ c354: 6faf.*
+ c355: 0f04.*
+ c356: 6faf.*
+ c357: 0f04.*
+ c358: 6faf.*
+ c359: 0c05.*
+ c35a: 6faf.*
+ c35b: 0d05.*
+ c35c: 6faf.*
+ c35d: 0c05.*
+ c35e: 6faf.*
+ c35f: 0e05.*
+ c360: 6faf.*
+ c361: 0f05.*
+ c362: 6faf.*
+ c363: 0f05.*
+ c364: 6faf.*
+ c365: 0c06.*
+ c366: 6faf.*
+ c367: 0d06.*
+ c368: 6faf.*
+ c369: 0c06.*
+ c36a: 6faf.*
+ c36b: 0e06.*
+ c36c: 6faf.*
+ c36d: 0f06.*
+ c36e: 6faf.*
+ c36f: 0f06.*
+ c370: 6faf.*
+ c371: 0c07.*
+ c372: 6faf.*
+ c373: 0d07.*
+ c374: 6faf.*
+ c375: 0c07.*
+ c376: 6faf.*
+ c377: 0e07.*
+ c378: 6faf.*
+ c379: 0f07.*
+ c37a: 6faf.*
+ c37b: 0f07.*
+ c37c: 6faf.*
+ c37d: 0c08.*
+ c37e: 6faf.*
+ c37f: 0d08.*
+ c380: 6faf.*
+ c381: 0c08.*
+ c382: 6faf.*
+ c383: 0e08.*
+ c384: 6faf.*
+ c385: 0f08.*
+ c386: 6faf.*
+ c387: 0f08.*
+ c388: 6faf.*
+ c389: 0c09.*
+ c38a: 6faf.*
+ c38b: 0d09.*
+ c38c: 6faf.*
+ c38d: 0c09.*
+ c38e: 6faf.*
+ c38f: 0e09.*
+ c390: 6faf.*
+ c391: 0f09.*
+ c392: 6faf.*
+ c393: 0f09.*
+ c394: 6faf.*
+ c395: 0c0a.*
+ c396: 6faf.*
+ c397: 0d0a.*
+ c398: 6faf.*
+ c399: 0c0a.*
+ c39a: 6faf.*
+ c39b: 0e0a.*
+ c39c: 6faf.*
+ c39d: 0f0a.*
+ c39e: 6faf.*
+ c39f: 0f0a.*
+ c3a0: 6faf.*
+ c3a1: 0c0b.*
+ c3a2: 6faf.*
+ c3a3: 0d0b.*
+ c3a4: 6faf.*
+ c3a5: 0c0b.*
+ c3a6: 6faf.*
+ c3a7: 0e0b.*
+ c3a8: 6faf.*
+ c3a9: 0f0b.*
+ c3aa: 6faf.*
+ c3ab: 0f0b.*
+ c3ac: 6faf.*
+ c3ad: 0c0c.*
+ c3ae: 6faf.*
+ c3af: 0d0c.*
+ c3b0: 6faf.*
+ c3b1: 0c0c.*
+ c3b2: 6faf.*
+ c3b3: 0e0c.*
+ c3b4: 6faf.*
+ c3b5: 0f0c.*
+ c3b6: 6faf.*
+ c3b7: 0f0c.*
+ c3b8: 6faf.*
+ c3b9: 0c0d.*
+ c3ba: 6faf.*
+ c3bb: 0d0d.*
+ c3bc: 6faf.*
+ c3bd: 0c0d.*
+ c3be: 6faf.*
+ c3bf: 0e0d.*
+ c3c0: 6faf.*
+ c3c1: 0f0d.*
+ c3c2: 6faf.*
+ c3c3: 0f0d.*
+ c3c4: 6faf.*
+ c3c5: 0c0e.*
+ c3c6: 6faf.*
+ c3c7: 0d0e.*
+ c3c8: 6faf.*
+ c3c9: 0c0e.*
+ c3ca: 6faf.*
+ c3cb: 0e0e.*
+ c3cc: 6faf.*
+ c3cd: 0f0e.*
+ c3ce: 6faf.*
+ c3cf: 0f0e.*
+ c3d0: 6faf.*
+ c3d1: 0c0f.*
+ c3d2: 6faf.*
+ c3d3: 0d0f.*
+ c3d4: 6faf.*
+ c3d5: 0c0f.*
+ c3d6: 6faf.*
+ c3d7: 0e0f.*
+ c3d8: 6faf.*
+ c3d9: 0f0f.*
+ c3da: 6faf.*
+ c3db: 0f0f.*
+ c3dc: 00b7.*
+ c3dd: 6fb7.*
+ c3de: 0d00.*
+ c3df: 00b7.*
+ c3e0: 6fb7.*
+ c3e1: 0e00.*
+ c3e2: 01b7.*
+ c3e3: 01b7.*
+ c3e4: 6fb7.*
+ c3e5: 0c10.*
+ c3e6: 6fb7.*
+ c3e7: 0d10.*
+ c3e8: 6fb7.*
+ c3e9: 0c10.*
+ c3ea: 6fb7.*
+ c3eb: 0e10.*
+ c3ec: 6fb7.*
+ c3ed: 0f10.*
+ c3ee: 6fb7.*
+ c3ef: 0f10.*
+ c3f0: 6fb7.*
+ c3f1: 0c11.*
+ c3f2: 6fb7.*
+ c3f3: 0d11.*
+ c3f4: 6fb7.*
+ c3f5: 0c11.*
+ c3f6: 6fb7.*
+ c3f7: 0e11.*
+ c3f8: 6fb7.*
+ c3f9: 0f11.*
+ c3fa: 6fb7.*
+ c3fb: 0f11.*
+ c3fc: 6fb7.*
+ c3fd: 0c12.*
+ c3fe: 6fb7.*
+ c3ff: 0d12.*
+ c400: 6fb7.*
+ c401: 0c12.*
+ c402: 6fb7.*
+ c403: 0e12.*
+ c404: 6fb7.*
+ c405: 0f12.*
+ c406: 6fb7.*
+ c407: 0f12.*
+ c408: 6fb7.*
+ c409: 0c13.*
+ c40a: 6fb7.*
+ c40b: 0d13.*
+ c40c: 6fb7.*
+ c40d: 0c13.*
+ c40e: 6fb7.*
+ c40f: 0e13.*
+ c410: 6fb7.*
+ c411: 0f13.*
+ c412: 6fb7.*
+ c413: 0f13.*
+ c414: 6fb7.*
+ c415: 0c14.*
+ c416: 6fb7.*
+ c417: 0d14.*
+ c418: 6fb7.*
+ c419: 0c14.*
+ c41a: 6fb7.*
+ c41b: 0e14.*
+ c41c: 6fb7.*
+ c41d: 0f14.*
+ c41e: 6fb7.*
+ c41f: 0f14.*
+ c420: 6fb7.*
+ c421: 0c15.*
+ c422: 6fb7.*
+ c423: 0d15.*
+ c424: 6fb7.*
+ c425: 0c15.*
+ c426: 6fb7.*
+ c427: 0e15.*
+ c428: 6fb7.*
+ c429: 0f15.*
+ c42a: 6fb7.*
+ c42b: 0f15.*
+ c42c: 6fb7.*
+ c42d: 0c16.*
+ c42e: 6fb7.*
+ c42f: 0d16.*
+ c430: 6fb7.*
+ c431: 0c16.*
+ c432: 6fb7.*
+ c433: 0e16.*
+ c434: 6fb7.*
+ c435: 0f16.*
+ c436: 6fb7.*
+ c437: 0f16.*
+ c438: 6fb7.*
+ c439: 0c17.*
+ c43a: 6fb7.*
+ c43b: 0d17.*
+ c43c: 6fb7.*
+ c43d: 0c17.*
+ c43e: 6fb7.*
+ c43f: 0e17.*
+ c440: 6fb7.*
+ c441: 0f17.*
+ c442: 6fb7.*
+ c443: 0f17.*
+ c444: 6fb7.*
+ c445: 0c18.*
+ c446: 6fb7.*
+ c447: 0d18.*
+ c448: 6fb7.*
+ c449: 0c18.*
+ c44a: 6fb7.*
+ c44b: 0e18.*
+ c44c: 6fb7.*
+ c44d: 0f18.*
+ c44e: 6fb7.*
+ c44f: 0f18.*
+ c450: 6fb7.*
+ c451: 0c19.*
+ c452: 6fb7.*
+ c453: 0d19.*
+ c454: 6fb7.*
+ c455: 0c19.*
+ c456: 6fb7.*
+ c457: 0e19.*
+ c458: 6fb7.*
+ c459: 0f19.*
+ c45a: 6fb7.*
+ c45b: 0f19.*
+ c45c: 6fb7.*
+ c45d: 0c1a.*
+ c45e: 6fb7.*
+ c45f: 0d1a.*
+ c460: 6fb7.*
+ c461: 0c1a.*
+ c462: 6fb7.*
+ c463: 0e1a.*
+ c464: 6fb7.*
+ c465: 0f1a.*
+ c466: 6fb7.*
+ c467: 0f1a.*
+ c468: 6fb7.*
+ c469: 0c1b.*
+ c46a: 6fb7.*
+ c46b: 0d1b.*
+ c46c: 6fb7.*
+ c46d: 0c1b.*
+ c46e: 6fb7.*
+ c46f: 0e1b.*
+ c470: 6fb7.*
+ c471: 0f1b.*
+ c472: 6fb7.*
+ c473: 0f1b.*
+ c474: 6fb7.*
+ c475: 0c1c.*
+ c476: 6fb7.*
+ c477: 0d1c.*
+ c478: 6fb7.*
+ c479: 0c1c.*
+ c47a: 6fb7.*
+ c47b: 0e1c.*
+ c47c: 6fb7.*
+ c47d: 0f1c.*
+ c47e: 6fb7.*
+ c47f: 0f1c.*
+ c480: 6fb7.*
+ c481: 0c1d.*
+ c482: 6fb7.*
+ c483: 0d1d.*
+ c484: 6fb7.*
+ c485: 0c1d.*
+ c486: 6fb7.*
+ c487: 0e1d.*
+ c488: 6fb7.*
+ c489: 0f1d.*
+ c48a: 6fb7.*
+ c48b: 0f1d.*
+ c48c: 6fb7.*
+ c48d: 0c1e.*
+ c48e: 6fb7.*
+ c48f: 0d1e.*
+ c490: 6fb7.*
+ c491: 0c1e.*
+ c492: 6fb7.*
+ c493: 0e1e.*
+ c494: 6fb7.*
+ c495: 0f1e.*
+ c496: 6fb7.*
+ c497: 0f1e.*
+ c498: 6fb7.*
+ c499: 0c1f.*
+ c49a: 6fb7.*
+ c49b: 0d1f.*
+ c49c: 6fb7.*
+ c49d: 0c1f.*
+ c49e: 6fb7.*
+ c49f: 0e1f.*
+ c4a0: 6fb7.*
+ c4a1: 0f1f.*
+ c4a2: 6fb7.*
+ c4a3: 0f1f.*
+ c4a4: 00b7.*
+ c4a5: 6fb7.*
+ c4a6: 0d00.*
+ c4a7: 00b7.*
+ c4a8: 6fb7.*
+ c4a9: 0e00.*
+ c4aa: 01b7.*
+ c4ab: 01b7.*
+ c4ac: 6fb7.*
+ c4ad: 0c01.*
+ c4ae: 6fb7.*
+ c4af: 0d01.*
+ c4b0: 6fb7.*
+ c4b1: 0c01.*
+ c4b2: 6fb7.*
+ c4b3: 0e01.*
+ c4b4: 6fb7.*
+ c4b5: 0f01.*
+ c4b6: 6fb7.*
+ c4b7: 0f01.*
+ c4b8: 6fb7.*
+ c4b9: 0c02.*
+ c4ba: 6fb7.*
+ c4bb: 0d02.*
+ c4bc: 6fb7.*
+ c4bd: 0c02.*
+ c4be: 6fb7.*
+ c4bf: 0e02.*
+ c4c0: 6fb7.*
+ c4c1: 0f02.*
+ c4c2: 6fb7.*
+ c4c3: 0f02.*
+ c4c4: 6fb7.*
+ c4c5: 0c03.*
+ c4c6: 6fb7.*
+ c4c7: 0d03.*
+ c4c8: 6fb7.*
+ c4c9: 0c03.*
+ c4ca: 6fb7.*
+ c4cb: 0e03.*
+ c4cc: 6fb7.*
+ c4cd: 0f03.*
+ c4ce: 6fb7.*
+ c4cf: 0f03.*
+ c4d0: 6fb7.*
+ c4d1: 0c04.*
+ c4d2: 6fb7.*
+ c4d3: 0d04.*
+ c4d4: 6fb7.*
+ c4d5: 0c04.*
+ c4d6: 6fb7.*
+ c4d7: 0e04.*
+ c4d8: 6fb7.*
+ c4d9: 0f04.*
+ c4da: 6fb7.*
+ c4db: 0f04.*
+ c4dc: 6fb7.*
+ c4dd: 0c05.*
+ c4de: 6fb7.*
+ c4df: 0d05.*
+ c4e0: 6fb7.*
+ c4e1: 0c05.*
+ c4e2: 6fb7.*
+ c4e3: 0e05.*
+ c4e4: 6fb7.*
+ c4e5: 0f05.*
+ c4e6: 6fb7.*
+ c4e7: 0f05.*
+ c4e8: 6fb7.*
+ c4e9: 0c06.*
+ c4ea: 6fb7.*
+ c4eb: 0d06.*
+ c4ec: 6fb7.*
+ c4ed: 0c06.*
+ c4ee: 6fb7.*
+ c4ef: 0e06.*
+ c4f0: 6fb7.*
+ c4f1: 0f06.*
+ c4f2: 6fb7.*
+ c4f3: 0f06.*
+ c4f4: 6fb7.*
+ c4f5: 0c07.*
+ c4f6: 6fb7.*
+ c4f7: 0d07.*
+ c4f8: 6fb7.*
+ c4f9: 0c07.*
+ c4fa: 6fb7.*
+ c4fb: 0e07.*
+ c4fc: 6fb7.*
+ c4fd: 0f07.*
+ c4fe: 6fb7.*
+ c4ff: 0f07.*
+ c500: 6fb7.*
+ c501: 0c08.*
+ c502: 6fb7.*
+ c503: 0d08.*
+ c504: 6fb7.*
+ c505: 0c08.*
+ c506: 6fb7.*
+ c507: 0e08.*
+ c508: 6fb7.*
+ c509: 0f08.*
+ c50a: 6fb7.*
+ c50b: 0f08.*
+ c50c: 6fb7.*
+ c50d: 0c09.*
+ c50e: 6fb7.*
+ c50f: 0d09.*
+ c510: 6fb7.*
+ c511: 0c09.*
+ c512: 6fb7.*
+ c513: 0e09.*
+ c514: 6fb7.*
+ c515: 0f09.*
+ c516: 6fb7.*
+ c517: 0f09.*
+ c518: 6fb7.*
+ c519: 0c0a.*
+ c51a: 6fb7.*
+ c51b: 0d0a.*
+ c51c: 6fb7.*
+ c51d: 0c0a.*
+ c51e: 6fb7.*
+ c51f: 0e0a.*
+ c520: 6fb7.*
+ c521: 0f0a.*
+ c522: 6fb7.*
+ c523: 0f0a.*
+ c524: 6fb7.*
+ c525: 0c0b.*
+ c526: 6fb7.*
+ c527: 0d0b.*
+ c528: 6fb7.*
+ c529: 0c0b.*
+ c52a: 6fb7.*
+ c52b: 0e0b.*
+ c52c: 6fb7.*
+ c52d: 0f0b.*
+ c52e: 6fb7.*
+ c52f: 0f0b.*
+ c530: 6fb7.*
+ c531: 0c0c.*
+ c532: 6fb7.*
+ c533: 0d0c.*
+ c534: 6fb7.*
+ c535: 0c0c.*
+ c536: 6fb7.*
+ c537: 0e0c.*
+ c538: 6fb7.*
+ c539: 0f0c.*
+ c53a: 6fb7.*
+ c53b: 0f0c.*
+ c53c: 6fb7.*
+ c53d: 0c0d.*
+ c53e: 6fb7.*
+ c53f: 0d0d.*
+ c540: 6fb7.*
+ c541: 0c0d.*
+ c542: 6fb7.*
+ c543: 0e0d.*
+ c544: 6fb7.*
+ c545: 0f0d.*
+ c546: 6fb7.*
+ c547: 0f0d.*
+ c548: 6fb7.*
+ c549: 0c0e.*
+ c54a: 6fb7.*
+ c54b: 0d0e.*
+ c54c: 6fb7.*
+ c54d: 0c0e.*
+ c54e: 6fb7.*
+ c54f: 0e0e.*
+ c550: 6fb7.*
+ c551: 0f0e.*
+ c552: 6fb7.*
+ c553: 0f0e.*
+ c554: 6fb7.*
+ c555: 0c0f.*
+ c556: 6fb7.*
+ c557: 0d0f.*
+ c558: 6fb7.*
+ c559: 0c0f.*
+ c55a: 6fb7.*
+ c55b: 0e0f.*
+ c55c: 6fb7.*
+ c55d: 0f0f.*
+ c55e: 6fb7.*
+ c55f: 0f0f.*
+ c560: 00bf.*
+ c561: 6fbf.*
+ c562: 0d00.*
+ c563: 00bf.*
+ c564: 6fbf.*
+ c565: 0e00.*
+ c566: 01bf.*
+ c567: 01bf.*
+ c568: 6fbf.*
+ c569: 0c10.*
+ c56a: 6fbf.*
+ c56b: 0d10.*
+ c56c: 6fbf.*
+ c56d: 0c10.*
+ c56e: 6fbf.*
+ c56f: 0e10.*
+ c570: 6fbf.*
+ c571: 0f10.*
+ c572: 6fbf.*
+ c573: 0f10.*
+ c574: 6fbf.*
+ c575: 0c11.*
+ c576: 6fbf.*
+ c577: 0d11.*
+ c578: 6fbf.*
+ c579: 0c11.*
+ c57a: 6fbf.*
+ c57b: 0e11.*
+ c57c: 6fbf.*
+ c57d: 0f11.*
+ c57e: 6fbf.*
+ c57f: 0f11.*
+ c580: 6fbf.*
+ c581: 0c12.*
+ c582: 6fbf.*
+ c583: 0d12.*
+ c584: 6fbf.*
+ c585: 0c12.*
+ c586: 6fbf.*
+ c587: 0e12.*
+ c588: 6fbf.*
+ c589: 0f12.*
+ c58a: 6fbf.*
+ c58b: 0f12.*
+ c58c: 6fbf.*
+ c58d: 0c13.*
+ c58e: 6fbf.*
+ c58f: 0d13.*
+ c590: 6fbf.*
+ c591: 0c13.*
+ c592: 6fbf.*
+ c593: 0e13.*
+ c594: 6fbf.*
+ c595: 0f13.*
+ c596: 6fbf.*
+ c597: 0f13.*
+ c598: 6fbf.*
+ c599: 0c14.*
+ c59a: 6fbf.*
+ c59b: 0d14.*
+ c59c: 6fbf.*
+ c59d: 0c14.*
+ c59e: 6fbf.*
+ c59f: 0e14.*
+ c5a0: 6fbf.*
+ c5a1: 0f14.*
+ c5a2: 6fbf.*
+ c5a3: 0f14.*
+ c5a4: 6fbf.*
+ c5a5: 0c15.*
+ c5a6: 6fbf.*
+ c5a7: 0d15.*
+ c5a8: 6fbf.*
+ c5a9: 0c15.*
+ c5aa: 6fbf.*
+ c5ab: 0e15.*
+ c5ac: 6fbf.*
+ c5ad: 0f15.*
+ c5ae: 6fbf.*
+ c5af: 0f15.*
+ c5b0: 6fbf.*
+ c5b1: 0c16.*
+ c5b2: 6fbf.*
+ c5b3: 0d16.*
+ c5b4: 6fbf.*
+ c5b5: 0c16.*
+ c5b6: 6fbf.*
+ c5b7: 0e16.*
+ c5b8: 6fbf.*
+ c5b9: 0f16.*
+ c5ba: 6fbf.*
+ c5bb: 0f16.*
+ c5bc: 6fbf.*
+ c5bd: 0c17.*
+ c5be: 6fbf.*
+ c5bf: 0d17.*
+ c5c0: 6fbf.*
+ c5c1: 0c17.*
+ c5c2: 6fbf.*
+ c5c3: 0e17.*
+ c5c4: 6fbf.*
+ c5c5: 0f17.*
+ c5c6: 6fbf.*
+ c5c7: 0f17.*
+ c5c8: 6fbf.*
+ c5c9: 0c18.*
+ c5ca: 6fbf.*
+ c5cb: 0d18.*
+ c5cc: 6fbf.*
+ c5cd: 0c18.*
+ c5ce: 6fbf.*
+ c5cf: 0e18.*
+ c5d0: 6fbf.*
+ c5d1: 0f18.*
+ c5d2: 6fbf.*
+ c5d3: 0f18.*
+ c5d4: 6fbf.*
+ c5d5: 0c19.*
+ c5d6: 6fbf.*
+ c5d7: 0d19.*
+ c5d8: 6fbf.*
+ c5d9: 0c19.*
+ c5da: 6fbf.*
+ c5db: 0e19.*
+ c5dc: 6fbf.*
+ c5dd: 0f19.*
+ c5de: 6fbf.*
+ c5df: 0f19.*
+ c5e0: 6fbf.*
+ c5e1: 0c1a.*
+ c5e2: 6fbf.*
+ c5e3: 0d1a.*
+ c5e4: 6fbf.*
+ c5e5: 0c1a.*
+ c5e6: 6fbf.*
+ c5e7: 0e1a.*
+ c5e8: 6fbf.*
+ c5e9: 0f1a.*
+ c5ea: 6fbf.*
+ c5eb: 0f1a.*
+ c5ec: 6fbf.*
+ c5ed: 0c1b.*
+ c5ee: 6fbf.*
+ c5ef: 0d1b.*
+ c5f0: 6fbf.*
+ c5f1: 0c1b.*
+ c5f2: 6fbf.*
+ c5f3: 0e1b.*
+ c5f4: 6fbf.*
+ c5f5: 0f1b.*
+ c5f6: 6fbf.*
+ c5f7: 0f1b.*
+ c5f8: 6fbf.*
+ c5f9: 0c1c.*
+ c5fa: 6fbf.*
+ c5fb: 0d1c.*
+ c5fc: 6fbf.*
+ c5fd: 0c1c.*
+ c5fe: 6fbf.*
+ c5ff: 0e1c.*
+ c600: 6fbf.*
+ c601: 0f1c.*
+ c602: 6fbf.*
+ c603: 0f1c.*
+ c604: 6fbf.*
+ c605: 0c1d.*
+ c606: 6fbf.*
+ c607: 0d1d.*
+ c608: 6fbf.*
+ c609: 0c1d.*
+ c60a: 6fbf.*
+ c60b: 0e1d.*
+ c60c: 6fbf.*
+ c60d: 0f1d.*
+ c60e: 6fbf.*
+ c60f: 0f1d.*
+ c610: 6fbf.*
+ c611: 0c1e.*
+ c612: 6fbf.*
+ c613: 0d1e.*
+ c614: 6fbf.*
+ c615: 0c1e.*
+ c616: 6fbf.*
+ c617: 0e1e.*
+ c618: 6fbf.*
+ c619: 0f1e.*
+ c61a: 6fbf.*
+ c61b: 0f1e.*
+ c61c: 6fbf.*
+ c61d: 0c1f.*
+ c61e: 6fbf.*
+ c61f: 0d1f.*
+ c620: 6fbf.*
+ c621: 0c1f.*
+ c622: 6fbf.*
+ c623: 0e1f.*
+ c624: 6fbf.*
+ c625: 0f1f.*
+ c626: 6fbf.*
+ c627: 0f1f.*
+ c628: 00bf.*
+ c629: 6fbf.*
+ c62a: 0d00.*
+ c62b: 00bf.*
+ c62c: 6fbf.*
+ c62d: 0e00.*
+ c62e: 01bf.*
+ c62f: 01bf.*
+ c630: 6fbf.*
+ c631: 0c01.*
+ c632: 6fbf.*
+ c633: 0d01.*
+ c634: 6fbf.*
+ c635: 0c01.*
+ c636: 6fbf.*
+ c637: 0e01.*
+ c638: 6fbf.*
+ c639: 0f01.*
+ c63a: 6fbf.*
+ c63b: 0f01.*
+ c63c: 6fbf.*
+ c63d: 0c02.*
+ c63e: 6fbf.*
+ c63f: 0d02.*
+ c640: 6fbf.*
+ c641: 0c02.*
+ c642: 6fbf.*
+ c643: 0e02.*
+ c644: 6fbf.*
+ c645: 0f02.*
+ c646: 6fbf.*
+ c647: 0f02.*
+ c648: 6fbf.*
+ c649: 0c03.*
+ c64a: 6fbf.*
+ c64b: 0d03.*
+ c64c: 6fbf.*
+ c64d: 0c03.*
+ c64e: 6fbf.*
+ c64f: 0e03.*
+ c650: 6fbf.*
+ c651: 0f03.*
+ c652: 6fbf.*
+ c653: 0f03.*
+ c654: 6fbf.*
+ c655: 0c04.*
+ c656: 6fbf.*
+ c657: 0d04.*
+ c658: 6fbf.*
+ c659: 0c04.*
+ c65a: 6fbf.*
+ c65b: 0e04.*
+ c65c: 6fbf.*
+ c65d: 0f04.*
+ c65e: 6fbf.*
+ c65f: 0f04.*
+ c660: 6fbf.*
+ c661: 0c05.*
+ c662: 6fbf.*
+ c663: 0d05.*
+ c664: 6fbf.*
+ c665: 0c05.*
+ c666: 6fbf.*
+ c667: 0e05.*
+ c668: 6fbf.*
+ c669: 0f05.*
+ c66a: 6fbf.*
+ c66b: 0f05.*
+ c66c: 6fbf.*
+ c66d: 0c06.*
+ c66e: 6fbf.*
+ c66f: 0d06.*
+ c670: 6fbf.*
+ c671: 0c06.*
+ c672: 6fbf.*
+ c673: 0e06.*
+ c674: 6fbf.*
+ c675: 0f06.*
+ c676: 6fbf.*
+ c677: 0f06.*
+ c678: 6fbf.*
+ c679: 0c07.*
+ c67a: 6fbf.*
+ c67b: 0d07.*
+ c67c: 6fbf.*
+ c67d: 0c07.*
+ c67e: 6fbf.*
+ c67f: 0e07.*
+ c680: 6fbf.*
+ c681: 0f07.*
+ c682: 6fbf.*
+ c683: 0f07.*
+ c684: 6fbf.*
+ c685: 0c08.*
+ c686: 6fbf.*
+ c687: 0d08.*
+ c688: 6fbf.*
+ c689: 0c08.*
+ c68a: 6fbf.*
+ c68b: 0e08.*
+ c68c: 6fbf.*
+ c68d: 0f08.*
+ c68e: 6fbf.*
+ c68f: 0f08.*
+ c690: 6fbf.*
+ c691: 0c09.*
+ c692: 6fbf.*
+ c693: 0d09.*
+ c694: 6fbf.*
+ c695: 0c09.*
+ c696: 6fbf.*
+ c697: 0e09.*
+ c698: 6fbf.*
+ c699: 0f09.*
+ c69a: 6fbf.*
+ c69b: 0f09.*
+ c69c: 6fbf.*
+ c69d: 0c0a.*
+ c69e: 6fbf.*
+ c69f: 0d0a.*
+ c6a0: 6fbf.*
+ c6a1: 0c0a.*
+ c6a2: 6fbf.*
+ c6a3: 0e0a.*
+ c6a4: 6fbf.*
+ c6a5: 0f0a.*
+ c6a6: 6fbf.*
+ c6a7: 0f0a.*
+ c6a8: 6fbf.*
+ c6a9: 0c0b.*
+ c6aa: 6fbf.*
+ c6ab: 0d0b.*
+ c6ac: 6fbf.*
+ c6ad: 0c0b.*
+ c6ae: 6fbf.*
+ c6af: 0e0b.*
+ c6b0: 6fbf.*
+ c6b1: 0f0b.*
+ c6b2: 6fbf.*
+ c6b3: 0f0b.*
+ c6b4: 6fbf.*
+ c6b5: 0c0c.*
+ c6b6: 6fbf.*
+ c6b7: 0d0c.*
+ c6b8: 6fbf.*
+ c6b9: 0c0c.*
+ c6ba: 6fbf.*
+ c6bb: 0e0c.*
+ c6bc: 6fbf.*
+ c6bd: 0f0c.*
+ c6be: 6fbf.*
+ c6bf: 0f0c.*
+ c6c0: 6fbf.*
+ c6c1: 0c0d.*
+ c6c2: 6fbf.*
+ c6c3: 0d0d.*
+ c6c4: 6fbf.*
+ c6c5: 0c0d.*
+ c6c6: 6fbf.*
+ c6c7: 0e0d.*
+ c6c8: 6fbf.*
+ c6c9: 0f0d.*
+ c6ca: 6fbf.*
+ c6cb: 0f0d.*
+ c6cc: 6fbf.*
+ c6cd: 0c0e.*
+ c6ce: 6fbf.*
+ c6cf: 0d0e.*
+ c6d0: 6fbf.*
+ c6d1: 0c0e.*
+ c6d2: 6fbf.*
+ c6d3: 0e0e.*
+ c6d4: 6fbf.*
+ c6d5: 0f0e.*
+ c6d6: 6fbf.*
+ c6d7: 0f0e.*
+ c6d8: 6fbf.*
+ c6d9: 0c0f.*
+ c6da: 6fbf.*
+ c6db: 0d0f.*
+ c6dc: 6fbf.*
+ c6dd: 0c0f.*
+ c6de: 6fbf.*
+ c6df: 0e0f.*
+ c6e0: 6fbf.*
+ c6e1: 0f0f.*
+ c6e2: 6fbf.*
+ c6e3: 0f0f.*
+ c6e4: 00c7.*
+ c6e5: 6fc7.*
+ c6e6: 0d00.*
+ c6e7: 00c7.*
+ c6e8: 6fc7.*
+ c6e9: 0e00.*
+ c6ea: 01c7.*
+ c6eb: 01c7.*
+ c6ec: 6fc7.*
+ c6ed: 0c10.*
+ c6ee: 6fc7.*
+ c6ef: 0d10.*
+ c6f0: 6fc7.*
+ c6f1: 0c10.*
+ c6f2: 6fc7.*
+ c6f3: 0e10.*
+ c6f4: 6fc7.*
+ c6f5: 0f10.*
+ c6f6: 6fc7.*
+ c6f7: 0f10.*
+ c6f8: 6fc7.*
+ c6f9: 0c11.*
+ c6fa: 6fc7.*
+ c6fb: 0d11.*
+ c6fc: 6fc7.*
+ c6fd: 0c11.*
+ c6fe: 6fc7.*
+ c6ff: 0e11.*
+ c700: 6fc7.*
+ c701: 0f11.*
+ c702: 6fc7.*
+ c703: 0f11.*
+ c704: 6fc7.*
+ c705: 0c12.*
+ c706: 6fc7.*
+ c707: 0d12.*
+ c708: 6fc7.*
+ c709: 0c12.*
+ c70a: 6fc7.*
+ c70b: 0e12.*
+ c70c: 6fc7.*
+ c70d: 0f12.*
+ c70e: 6fc7.*
+ c70f: 0f12.*
+ c710: 6fc7.*
+ c711: 0c13.*
+ c712: 6fc7.*
+ c713: 0d13.*
+ c714: 6fc7.*
+ c715: 0c13.*
+ c716: 6fc7.*
+ c717: 0e13.*
+ c718: 6fc7.*
+ c719: 0f13.*
+ c71a: 6fc7.*
+ c71b: 0f13.*
+ c71c: 6fc7.*
+ c71d: 0c14.*
+ c71e: 6fc7.*
+ c71f: 0d14.*
+ c720: 6fc7.*
+ c721: 0c14.*
+ c722: 6fc7.*
+ c723: 0e14.*
+ c724: 6fc7.*
+ c725: 0f14.*
+ c726: 6fc7.*
+ c727: 0f14.*
+ c728: 6fc7.*
+ c729: 0c15.*
+ c72a: 6fc7.*
+ c72b: 0d15.*
+ c72c: 6fc7.*
+ c72d: 0c15.*
+ c72e: 6fc7.*
+ c72f: 0e15.*
+ c730: 6fc7.*
+ c731: 0f15.*
+ c732: 6fc7.*
+ c733: 0f15.*
+ c734: 6fc7.*
+ c735: 0c16.*
+ c736: 6fc7.*
+ c737: 0d16.*
+ c738: 6fc7.*
+ c739: 0c16.*
+ c73a: 6fc7.*
+ c73b: 0e16.*
+ c73c: 6fc7.*
+ c73d: 0f16.*
+ c73e: 6fc7.*
+ c73f: 0f16.*
+ c740: 6fc7.*
+ c741: 0c17.*
+ c742: 6fc7.*
+ c743: 0d17.*
+ c744: 6fc7.*
+ c745: 0c17.*
+ c746: 6fc7.*
+ c747: 0e17.*
+ c748: 6fc7.*
+ c749: 0f17.*
+ c74a: 6fc7.*
+ c74b: 0f17.*
+ c74c: 6fc7.*
+ c74d: 0c18.*
+ c74e: 6fc7.*
+ c74f: 0d18.*
+ c750: 6fc7.*
+ c751: 0c18.*
+ c752: 6fc7.*
+ c753: 0e18.*
+ c754: 6fc7.*
+ c755: 0f18.*
+ c756: 6fc7.*
+ c757: 0f18.*
+ c758: 6fc7.*
+ c759: 0c19.*
+ c75a: 6fc7.*
+ c75b: 0d19.*
+ c75c: 6fc7.*
+ c75d: 0c19.*
+ c75e: 6fc7.*
+ c75f: 0e19.*
+ c760: 6fc7.*
+ c761: 0f19.*
+ c762: 6fc7.*
+ c763: 0f19.*
+ c764: 6fc7.*
+ c765: 0c1a.*
+ c766: 6fc7.*
+ c767: 0d1a.*
+ c768: 6fc7.*
+ c769: 0c1a.*
+ c76a: 6fc7.*
+ c76b: 0e1a.*
+ c76c: 6fc7.*
+ c76d: 0f1a.*
+ c76e: 6fc7.*
+ c76f: 0f1a.*
+ c770: 6fc7.*
+ c771: 0c1b.*
+ c772: 6fc7.*
+ c773: 0d1b.*
+ c774: 6fc7.*
+ c775: 0c1b.*
+ c776: 6fc7.*
+ c777: 0e1b.*
+ c778: 6fc7.*
+ c779: 0f1b.*
+ c77a: 6fc7.*
+ c77b: 0f1b.*
+ c77c: 6fc7.*
+ c77d: 0c1c.*
+ c77e: 6fc7.*
+ c77f: 0d1c.*
+ c780: 6fc7.*
+ c781: 0c1c.*
+ c782: 6fc7.*
+ c783: 0e1c.*
+ c784: 6fc7.*
+ c785: 0f1c.*
+ c786: 6fc7.*
+ c787: 0f1c.*
+ c788: 6fc7.*
+ c789: 0c1d.*
+ c78a: 6fc7.*
+ c78b: 0d1d.*
+ c78c: 6fc7.*
+ c78d: 0c1d.*
+ c78e: 6fc7.*
+ c78f: 0e1d.*
+ c790: 6fc7.*
+ c791: 0f1d.*
+ c792: 6fc7.*
+ c793: 0f1d.*
+ c794: 6fc7.*
+ c795: 0c1e.*
+ c796: 6fc7.*
+ c797: 0d1e.*
+ c798: 6fc7.*
+ c799: 0c1e.*
+ c79a: 6fc7.*
+ c79b: 0e1e.*
+ c79c: 6fc7.*
+ c79d: 0f1e.*
+ c79e: 6fc7.*
+ c79f: 0f1e.*
+ c7a0: 6fc7.*
+ c7a1: 0c1f.*
+ c7a2: 6fc7.*
+ c7a3: 0d1f.*
+ c7a4: 6fc7.*
+ c7a5: 0c1f.*
+ c7a6: 6fc7.*
+ c7a7: 0e1f.*
+ c7a8: 6fc7.*
+ c7a9: 0f1f.*
+ c7aa: 6fc7.*
+ c7ab: 0f1f.*
+ c7ac: 00c7.*
+ c7ad: 6fc7.*
+ c7ae: 0d00.*
+ c7af: 00c7.*
+ c7b0: 6fc7.*
+ c7b1: 0e00.*
+ c7b2: 01c7.*
+ c7b3: 01c7.*
+ c7b4: 6fc7.*
+ c7b5: 0c01.*
+ c7b6: 6fc7.*
+ c7b7: 0d01.*
+ c7b8: 6fc7.*
+ c7b9: 0c01.*
+ c7ba: 6fc7.*
+ c7bb: 0e01.*
+ c7bc: 6fc7.*
+ c7bd: 0f01.*
+ c7be: 6fc7.*
+ c7bf: 0f01.*
+ c7c0: 6fc7.*
+ c7c1: 0c02.*
+ c7c2: 6fc7.*
+ c7c3: 0d02.*
+ c7c4: 6fc7.*
+ c7c5: 0c02.*
+ c7c6: 6fc7.*
+ c7c7: 0e02.*
+ c7c8: 6fc7.*
+ c7c9: 0f02.*
+ c7ca: 6fc7.*
+ c7cb: 0f02.*
+ c7cc: 6fc7.*
+ c7cd: 0c03.*
+ c7ce: 6fc7.*
+ c7cf: 0d03.*
+ c7d0: 6fc7.*
+ c7d1: 0c03.*
+ c7d2: 6fc7.*
+ c7d3: 0e03.*
+ c7d4: 6fc7.*
+ c7d5: 0f03.*
+ c7d6: 6fc7.*
+ c7d7: 0f03.*
+ c7d8: 6fc7.*
+ c7d9: 0c04.*
+ c7da: 6fc7.*
+ c7db: 0d04.*
+ c7dc: 6fc7.*
+ c7dd: 0c04.*
+ c7de: 6fc7.*
+ c7df: 0e04.*
+ c7e0: 6fc7.*
+ c7e1: 0f04.*
+ c7e2: 6fc7.*
+ c7e3: 0f04.*
+ c7e4: 6fc7.*
+ c7e5: 0c05.*
+ c7e6: 6fc7.*
+ c7e7: 0d05.*
+ c7e8: 6fc7.*
+ c7e9: 0c05.*
+ c7ea: 6fc7.*
+ c7eb: 0e05.*
+ c7ec: 6fc7.*
+ c7ed: 0f05.*
+ c7ee: 6fc7.*
+ c7ef: 0f05.*
+ c7f0: 6fc7.*
+ c7f1: 0c06.*
+ c7f2: 6fc7.*
+ c7f3: 0d06.*
+ c7f4: 6fc7.*
+ c7f5: 0c06.*
+ c7f6: 6fc7.*
+ c7f7: 0e06.*
+ c7f8: 6fc7.*
+ c7f9: 0f06.*
+ c7fa: 6fc7.*
+ c7fb: 0f06.*
+ c7fc: 6fc7.*
+ c7fd: 0c07.*
+ c7fe: 6fc7.*
+ c7ff: 0d07.*
+ c800: 6fc7.*
+ c801: 0c07.*
+ c802: 6fc7.*
+ c803: 0e07.*
+ c804: 6fc7.*
+ c805: 0f07.*
+ c806: 6fc7.*
+ c807: 0f07.*
+ c808: 6fc7.*
+ c809: 0c08.*
+ c80a: 6fc7.*
+ c80b: 0d08.*
+ c80c: 6fc7.*
+ c80d: 0c08.*
+ c80e: 6fc7.*
+ c80f: 0e08.*
+ c810: 6fc7.*
+ c811: 0f08.*
+ c812: 6fc7.*
+ c813: 0f08.*
+ c814: 6fc7.*
+ c815: 0c09.*
+ c816: 6fc7.*
+ c817: 0d09.*
+ c818: 6fc7.*
+ c819: 0c09.*
+ c81a: 6fc7.*
+ c81b: 0e09.*
+ c81c: 6fc7.*
+ c81d: 0f09.*
+ c81e: 6fc7.*
+ c81f: 0f09.*
+ c820: 6fc7.*
+ c821: 0c0a.*
+ c822: 6fc7.*
+ c823: 0d0a.*
+ c824: 6fc7.*
+ c825: 0c0a.*
+ c826: 6fc7.*
+ c827: 0e0a.*
+ c828: 6fc7.*
+ c829: 0f0a.*
+ c82a: 6fc7.*
+ c82b: 0f0a.*
+ c82c: 6fc7.*
+ c82d: 0c0b.*
+ c82e: 6fc7.*
+ c82f: 0d0b.*
+ c830: 6fc7.*
+ c831: 0c0b.*
+ c832: 6fc7.*
+ c833: 0e0b.*
+ c834: 6fc7.*
+ c835: 0f0b.*
+ c836: 6fc7.*
+ c837: 0f0b.*
+ c838: 6fc7.*
+ c839: 0c0c.*
+ c83a: 6fc7.*
+ c83b: 0d0c.*
+ c83c: 6fc7.*
+ c83d: 0c0c.*
+ c83e: 6fc7.*
+ c83f: 0e0c.*
+ c840: 6fc7.*
+ c841: 0f0c.*
+ c842: 6fc7.*
+ c843: 0f0c.*
+ c844: 6fc7.*
+ c845: 0c0d.*
+ c846: 6fc7.*
+ c847: 0d0d.*
+ c848: 6fc7.*
+ c849: 0c0d.*
+ c84a: 6fc7.*
+ c84b: 0e0d.*
+ c84c: 6fc7.*
+ c84d: 0f0d.*
+ c84e: 6fc7.*
+ c84f: 0f0d.*
+ c850: 6fc7.*
+ c851: 0c0e.*
+ c852: 6fc7.*
+ c853: 0d0e.*
+ c854: 6fc7.*
+ c855: 0c0e.*
+ c856: 6fc7.*
+ c857: 0e0e.*
+ c858: 6fc7.*
+ c859: 0f0e.*
+ c85a: 6fc7.*
+ c85b: 0f0e.*
+ c85c: 6fc7.*
+ c85d: 0c0f.*
+ c85e: 6fc7.*
+ c85f: 0d0f.*
+ c860: 6fc7.*
+ c861: 0c0f.*
+ c862: 6fc7.*
+ c863: 0e0f.*
+ c864: 6fc7.*
+ c865: 0f0f.*
+ c866: 6fc7.*
+ c867: 0f0f.*
+ c868: 00cf.*
+ c869: 6fcf.*
+ c86a: 0d00.*
+ c86b: 00cf.*
+ c86c: 6fcf.*
+ c86d: 0e00.*
+ c86e: 01cf.*
+ c86f: 01cf.*
+ c870: 6fcf.*
+ c871: 0c10.*
+ c872: 6fcf.*
+ c873: 0d10.*
+ c874: 6fcf.*
+ c875: 0c10.*
+ c876: 6fcf.*
+ c877: 0e10.*
+ c878: 6fcf.*
+ c879: 0f10.*
+ c87a: 6fcf.*
+ c87b: 0f10.*
+ c87c: 6fcf.*
+ c87d: 0c11.*
+ c87e: 6fcf.*
+ c87f: 0d11.*
+ c880: 6fcf.*
+ c881: 0c11.*
+ c882: 6fcf.*
+ c883: 0e11.*
+ c884: 6fcf.*
+ c885: 0f11.*
+ c886: 6fcf.*
+ c887: 0f11.*
+ c888: 6fcf.*
+ c889: 0c12.*
+ c88a: 6fcf.*
+ c88b: 0d12.*
+ c88c: 6fcf.*
+ c88d: 0c12.*
+ c88e: 6fcf.*
+ c88f: 0e12.*
+ c890: 6fcf.*
+ c891: 0f12.*
+ c892: 6fcf.*
+ c893: 0f12.*
+ c894: 6fcf.*
+ c895: 0c13.*
+ c896: 6fcf.*
+ c897: 0d13.*
+ c898: 6fcf.*
+ c899: 0c13.*
+ c89a: 6fcf.*
+ c89b: 0e13.*
+ c89c: 6fcf.*
+ c89d: 0f13.*
+ c89e: 6fcf.*
+ c89f: 0f13.*
+ c8a0: 6fcf.*
+ c8a1: 0c14.*
+ c8a2: 6fcf.*
+ c8a3: 0d14.*
+ c8a4: 6fcf.*
+ c8a5: 0c14.*
+ c8a6: 6fcf.*
+ c8a7: 0e14.*
+ c8a8: 6fcf.*
+ c8a9: 0f14.*
+ c8aa: 6fcf.*
+ c8ab: 0f14.*
+ c8ac: 6fcf.*
+ c8ad: 0c15.*
+ c8ae: 6fcf.*
+ c8af: 0d15.*
+ c8b0: 6fcf.*
+ c8b1: 0c15.*
+ c8b2: 6fcf.*
+ c8b3: 0e15.*
+ c8b4: 6fcf.*
+ c8b5: 0f15.*
+ c8b6: 6fcf.*
+ c8b7: 0f15.*
+ c8b8: 6fcf.*
+ c8b9: 0c16.*
+ c8ba: 6fcf.*
+ c8bb: 0d16.*
+ c8bc: 6fcf.*
+ c8bd: 0c16.*
+ c8be: 6fcf.*
+ c8bf: 0e16.*
+ c8c0: 6fcf.*
+ c8c1: 0f16.*
+ c8c2: 6fcf.*
+ c8c3: 0f16.*
+ c8c4: 6fcf.*
+ c8c5: 0c17.*
+ c8c6: 6fcf.*
+ c8c7: 0d17.*
+ c8c8: 6fcf.*
+ c8c9: 0c17.*
+ c8ca: 6fcf.*
+ c8cb: 0e17.*
+ c8cc: 6fcf.*
+ c8cd: 0f17.*
+ c8ce: 6fcf.*
+ c8cf: 0f17.*
+ c8d0: 6fcf.*
+ c8d1: 0c18.*
+ c8d2: 6fcf.*
+ c8d3: 0d18.*
+ c8d4: 6fcf.*
+ c8d5: 0c18.*
+ c8d6: 6fcf.*
+ c8d7: 0e18.*
+ c8d8: 6fcf.*
+ c8d9: 0f18.*
+ c8da: 6fcf.*
+ c8db: 0f18.*
+ c8dc: 6fcf.*
+ c8dd: 0c19.*
+ c8de: 6fcf.*
+ c8df: 0d19.*
+ c8e0: 6fcf.*
+ c8e1: 0c19.*
+ c8e2: 6fcf.*
+ c8e3: 0e19.*
+ c8e4: 6fcf.*
+ c8e5: 0f19.*
+ c8e6: 6fcf.*
+ c8e7: 0f19.*
+ c8e8: 6fcf.*
+ c8e9: 0c1a.*
+ c8ea: 6fcf.*
+ c8eb: 0d1a.*
+ c8ec: 6fcf.*
+ c8ed: 0c1a.*
+ c8ee: 6fcf.*
+ c8ef: 0e1a.*
+ c8f0: 6fcf.*
+ c8f1: 0f1a.*
+ c8f2: 6fcf.*
+ c8f3: 0f1a.*
+ c8f4: 6fcf.*
+ c8f5: 0c1b.*
+ c8f6: 6fcf.*
+ c8f7: 0d1b.*
+ c8f8: 6fcf.*
+ c8f9: 0c1b.*
+ c8fa: 6fcf.*
+ c8fb: 0e1b.*
+ c8fc: 6fcf.*
+ c8fd: 0f1b.*
+ c8fe: 6fcf.*
+ c8ff: 0f1b.*
+ c900: 6fcf.*
+ c901: 0c1c.*
+ c902: 6fcf.*
+ c903: 0d1c.*
+ c904: 6fcf.*
+ c905: 0c1c.*
+ c906: 6fcf.*
+ c907: 0e1c.*
+ c908: 6fcf.*
+ c909: 0f1c.*
+ c90a: 6fcf.*
+ c90b: 0f1c.*
+ c90c: 6fcf.*
+ c90d: 0c1d.*
+ c90e: 6fcf.*
+ c90f: 0d1d.*
+ c910: 6fcf.*
+ c911: 0c1d.*
+ c912: 6fcf.*
+ c913: 0e1d.*
+ c914: 6fcf.*
+ c915: 0f1d.*
+ c916: 6fcf.*
+ c917: 0f1d.*
+ c918: 6fcf.*
+ c919: 0c1e.*
+ c91a: 6fcf.*
+ c91b: 0d1e.*
+ c91c: 6fcf.*
+ c91d: 0c1e.*
+ c91e: 6fcf.*
+ c91f: 0e1e.*
+ c920: 6fcf.*
+ c921: 0f1e.*
+ c922: 6fcf.*
+ c923: 0f1e.*
+ c924: 6fcf.*
+ c925: 0c1f.*
+ c926: 6fcf.*
+ c927: 0d1f.*
+ c928: 6fcf.*
+ c929: 0c1f.*
+ c92a: 6fcf.*
+ c92b: 0e1f.*
+ c92c: 6fcf.*
+ c92d: 0f1f.*
+ c92e: 6fcf.*
+ c92f: 0f1f.*
+ c930: 00cf.*
+ c931: 6fcf.*
+ c932: 0d00.*
+ c933: 00cf.*
+ c934: 6fcf.*
+ c935: 0e00.*
+ c936: 01cf.*
+ c937: 01cf.*
+ c938: 6fcf.*
+ c939: 0c01.*
+ c93a: 6fcf.*
+ c93b: 0d01.*
+ c93c: 6fcf.*
+ c93d: 0c01.*
+ c93e: 6fcf.*
+ c93f: 0e01.*
+ c940: 6fcf.*
+ c941: 0f01.*
+ c942: 6fcf.*
+ c943: 0f01.*
+ c944: 6fcf.*
+ c945: 0c02.*
+ c946: 6fcf.*
+ c947: 0d02.*
+ c948: 6fcf.*
+ c949: 0c02.*
+ c94a: 6fcf.*
+ c94b: 0e02.*
+ c94c: 6fcf.*
+ c94d: 0f02.*
+ c94e: 6fcf.*
+ c94f: 0f02.*
+ c950: 6fcf.*
+ c951: 0c03.*
+ c952: 6fcf.*
+ c953: 0d03.*
+ c954: 6fcf.*
+ c955: 0c03.*
+ c956: 6fcf.*
+ c957: 0e03.*
+ c958: 6fcf.*
+ c959: 0f03.*
+ c95a: 6fcf.*
+ c95b: 0f03.*
+ c95c: 6fcf.*
+ c95d: 0c04.*
+ c95e: 6fcf.*
+ c95f: 0d04.*
+ c960: 6fcf.*
+ c961: 0c04.*
+ c962: 6fcf.*
+ c963: 0e04.*
+ c964: 6fcf.*
+ c965: 0f04.*
+ c966: 6fcf.*
+ c967: 0f04.*
+ c968: 6fcf.*
+ c969: 0c05.*
+ c96a: 6fcf.*
+ c96b: 0d05.*
+ c96c: 6fcf.*
+ c96d: 0c05.*
+ c96e: 6fcf.*
+ c96f: 0e05.*
+ c970: 6fcf.*
+ c971: 0f05.*
+ c972: 6fcf.*
+ c973: 0f05.*
+ c974: 6fcf.*
+ c975: 0c06.*
+ c976: 6fcf.*
+ c977: 0d06.*
+ c978: 6fcf.*
+ c979: 0c06.*
+ c97a: 6fcf.*
+ c97b: 0e06.*
+ c97c: 6fcf.*
+ c97d: 0f06.*
+ c97e: 6fcf.*
+ c97f: 0f06.*
+ c980: 6fcf.*
+ c981: 0c07.*
+ c982: 6fcf.*
+ c983: 0d07.*
+ c984: 6fcf.*
+ c985: 0c07.*
+ c986: 6fcf.*
+ c987: 0e07.*
+ c988: 6fcf.*
+ c989: 0f07.*
+ c98a: 6fcf.*
+ c98b: 0f07.*
+ c98c: 6fcf.*
+ c98d: 0c08.*
+ c98e: 6fcf.*
+ c98f: 0d08.*
+ c990: 6fcf.*
+ c991: 0c08.*
+ c992: 6fcf.*
+ c993: 0e08.*
+ c994: 6fcf.*
+ c995: 0f08.*
+ c996: 6fcf.*
+ c997: 0f08.*
+ c998: 6fcf.*
+ c999: 0c09.*
+ c99a: 6fcf.*
+ c99b: 0d09.*
+ c99c: 6fcf.*
+ c99d: 0c09.*
+ c99e: 6fcf.*
+ c99f: 0e09.*
+ c9a0: 6fcf.*
+ c9a1: 0f09.*
+ c9a2: 6fcf.*
+ c9a3: 0f09.*
+ c9a4: 6fcf.*
+ c9a5: 0c0a.*
+ c9a6: 6fcf.*
+ c9a7: 0d0a.*
+ c9a8: 6fcf.*
+ c9a9: 0c0a.*
+ c9aa: 6fcf.*
+ c9ab: 0e0a.*
+ c9ac: 6fcf.*
+ c9ad: 0f0a.*
+ c9ae: 6fcf.*
+ c9af: 0f0a.*
+ c9b0: 6fcf.*
+ c9b1: 0c0b.*
+ c9b2: 6fcf.*
+ c9b3: 0d0b.*
+ c9b4: 6fcf.*
+ c9b5: 0c0b.*
+ c9b6: 6fcf.*
+ c9b7: 0e0b.*
+ c9b8: 6fcf.*
+ c9b9: 0f0b.*
+ c9ba: 6fcf.*
+ c9bb: 0f0b.*
+ c9bc: 6fcf.*
+ c9bd: 0c0c.*
+ c9be: 6fcf.*
+ c9bf: 0d0c.*
+ c9c0: 6fcf.*
+ c9c1: 0c0c.*
+ c9c2: 6fcf.*
+ c9c3: 0e0c.*
+ c9c4: 6fcf.*
+ c9c5: 0f0c.*
+ c9c6: 6fcf.*
+ c9c7: 0f0c.*
+ c9c8: 6fcf.*
+ c9c9: 0c0d.*
+ c9ca: 6fcf.*
+ c9cb: 0d0d.*
+ c9cc: 6fcf.*
+ c9cd: 0c0d.*
+ c9ce: 6fcf.*
+ c9cf: 0e0d.*
+ c9d0: 6fcf.*
+ c9d1: 0f0d.*
+ c9d2: 6fcf.*
+ c9d3: 0f0d.*
+ c9d4: 6fcf.*
+ c9d5: 0c0e.*
+ c9d6: 6fcf.*
+ c9d7: 0d0e.*
+ c9d8: 6fcf.*
+ c9d9: 0c0e.*
+ c9da: 6fcf.*
+ c9db: 0e0e.*
+ c9dc: 6fcf.*
+ c9dd: 0f0e.*
+ c9de: 6fcf.*
+ c9df: 0f0e.*
+ c9e0: 6fcf.*
+ c9e1: 0c0f.*
+ c9e2: 6fcf.*
+ c9e3: 0d0f.*
+ c9e4: 6fcf.*
+ c9e5: 0c0f.*
+ c9e6: 6fcf.*
+ c9e7: 0e0f.*
+ c9e8: 6fcf.*
+ c9e9: 0f0f.*
+ c9ea: 6fcf.*
+ c9eb: 0f0f.*
+ c9ec: 00d7.*
+ c9ed: 6fd7.*
+ c9ee: 0d00.*
+ c9ef: 00d7.*
+ c9f0: 6fd7.*
+ c9f1: 0e00.*
+ c9f2: 01d7.*
+ c9f3: 01d7.*
+ c9f4: 6fd7.*
+ c9f5: 0c10.*
+ c9f6: 6fd7.*
+ c9f7: 0d10.*
+ c9f8: 6fd7.*
+ c9f9: 0c10.*
+ c9fa: 6fd7.*
+ c9fb: 0e10.*
+ c9fc: 6fd7.*
+ c9fd: 0f10.*
+ c9fe: 6fd7.*
+ c9ff: 0f10.*
+ ca00: 6fd7.*
+ ca01: 0c11.*
+ ca02: 6fd7.*
+ ca03: 0d11.*
+ ca04: 6fd7.*
+ ca05: 0c11.*
+ ca06: 6fd7.*
+ ca07: 0e11.*
+ ca08: 6fd7.*
+ ca09: 0f11.*
+ ca0a: 6fd7.*
+ ca0b: 0f11.*
+ ca0c: 6fd7.*
+ ca0d: 0c12.*
+ ca0e: 6fd7.*
+ ca0f: 0d12.*
+ ca10: 6fd7.*
+ ca11: 0c12.*
+ ca12: 6fd7.*
+ ca13: 0e12.*
+ ca14: 6fd7.*
+ ca15: 0f12.*
+ ca16: 6fd7.*
+ ca17: 0f12.*
+ ca18: 6fd7.*
+ ca19: 0c13.*
+ ca1a: 6fd7.*
+ ca1b: 0d13.*
+ ca1c: 6fd7.*
+ ca1d: 0c13.*
+ ca1e: 6fd7.*
+ ca1f: 0e13.*
+ ca20: 6fd7.*
+ ca21: 0f13.*
+ ca22: 6fd7.*
+ ca23: 0f13.*
+ ca24: 6fd7.*
+ ca25: 0c14.*
+ ca26: 6fd7.*
+ ca27: 0d14.*
+ ca28: 6fd7.*
+ ca29: 0c14.*
+ ca2a: 6fd7.*
+ ca2b: 0e14.*
+ ca2c: 6fd7.*
+ ca2d: 0f14.*
+ ca2e: 6fd7.*
+ ca2f: 0f14.*
+ ca30: 6fd7.*
+ ca31: 0c15.*
+ ca32: 6fd7.*
+ ca33: 0d15.*
+ ca34: 6fd7.*
+ ca35: 0c15.*
+ ca36: 6fd7.*
+ ca37: 0e15.*
+ ca38: 6fd7.*
+ ca39: 0f15.*
+ ca3a: 6fd7.*
+ ca3b: 0f15.*
+ ca3c: 6fd7.*
+ ca3d: 0c16.*
+ ca3e: 6fd7.*
+ ca3f: 0d16.*
+ ca40: 6fd7.*
+ ca41: 0c16.*
+ ca42: 6fd7.*
+ ca43: 0e16.*
+ ca44: 6fd7.*
+ ca45: 0f16.*
+ ca46: 6fd7.*
+ ca47: 0f16.*
+ ca48: 6fd7.*
+ ca49: 0c17.*
+ ca4a: 6fd7.*
+ ca4b: 0d17.*
+ ca4c: 6fd7.*
+ ca4d: 0c17.*
+ ca4e: 6fd7.*
+ ca4f: 0e17.*
+ ca50: 6fd7.*
+ ca51: 0f17.*
+ ca52: 6fd7.*
+ ca53: 0f17.*
+ ca54: 6fd7.*
+ ca55: 0c18.*
+ ca56: 6fd7.*
+ ca57: 0d18.*
+ ca58: 6fd7.*
+ ca59: 0c18.*
+ ca5a: 6fd7.*
+ ca5b: 0e18.*
+ ca5c: 6fd7.*
+ ca5d: 0f18.*
+ ca5e: 6fd7.*
+ ca5f: 0f18.*
+ ca60: 6fd7.*
+ ca61: 0c19.*
+ ca62: 6fd7.*
+ ca63: 0d19.*
+ ca64: 6fd7.*
+ ca65: 0c19.*
+ ca66: 6fd7.*
+ ca67: 0e19.*
+ ca68: 6fd7.*
+ ca69: 0f19.*
+ ca6a: 6fd7.*
+ ca6b: 0f19.*
+ ca6c: 6fd7.*
+ ca6d: 0c1a.*
+ ca6e: 6fd7.*
+ ca6f: 0d1a.*
+ ca70: 6fd7.*
+ ca71: 0c1a.*
+ ca72: 6fd7.*
+ ca73: 0e1a.*
+ ca74: 6fd7.*
+ ca75: 0f1a.*
+ ca76: 6fd7.*
+ ca77: 0f1a.*
+ ca78: 6fd7.*
+ ca79: 0c1b.*
+ ca7a: 6fd7.*
+ ca7b: 0d1b.*
+ ca7c: 6fd7.*
+ ca7d: 0c1b.*
+ ca7e: 6fd7.*
+ ca7f: 0e1b.*
+ ca80: 6fd7.*
+ ca81: 0f1b.*
+ ca82: 6fd7.*
+ ca83: 0f1b.*
+ ca84: 6fd7.*
+ ca85: 0c1c.*
+ ca86: 6fd7.*
+ ca87: 0d1c.*
+ ca88: 6fd7.*
+ ca89: 0c1c.*
+ ca8a: 6fd7.*
+ ca8b: 0e1c.*
+ ca8c: 6fd7.*
+ ca8d: 0f1c.*
+ ca8e: 6fd7.*
+ ca8f: 0f1c.*
+ ca90: 6fd7.*
+ ca91: 0c1d.*
+ ca92: 6fd7.*
+ ca93: 0d1d.*
+ ca94: 6fd7.*
+ ca95: 0c1d.*
+ ca96: 6fd7.*
+ ca97: 0e1d.*
+ ca98: 6fd7.*
+ ca99: 0f1d.*
+ ca9a: 6fd7.*
+ ca9b: 0f1d.*
+ ca9c: 6fd7.*
+ ca9d: 0c1e.*
+ ca9e: 6fd7.*
+ ca9f: 0d1e.*
+ caa0: 6fd7.*
+ caa1: 0c1e.*
+ caa2: 6fd7.*
+ caa3: 0e1e.*
+ caa4: 6fd7.*
+ caa5: 0f1e.*
+ caa6: 6fd7.*
+ caa7: 0f1e.*
+ caa8: 6fd7.*
+ caa9: 0c1f.*
+ caaa: 6fd7.*
+ caab: 0d1f.*
+ caac: 6fd7.*
+ caad: 0c1f.*
+ caae: 6fd7.*
+ caaf: 0e1f.*
+ cab0: 6fd7.*
+ cab1: 0f1f.*
+ cab2: 6fd7.*
+ cab3: 0f1f.*
+ cab4: 00d7.*
+ cab5: 6fd7.*
+ cab6: 0d00.*
+ cab7: 00d7.*
+ cab8: 6fd7.*
+ cab9: 0e00.*
+ caba: 01d7.*
+ cabb: 01d7.*
+ cabc: 6fd7.*
+ cabd: 0c01.*
+ cabe: 6fd7.*
+ cabf: 0d01.*
+ cac0: 6fd7.*
+ cac1: 0c01.*
+ cac2: 6fd7.*
+ cac3: 0e01.*
+ cac4: 6fd7.*
+ cac5: 0f01.*
+ cac6: 6fd7.*
+ cac7: 0f01.*
+ cac8: 6fd7.*
+ cac9: 0c02.*
+ caca: 6fd7.*
+ cacb: 0d02.*
+ cacc: 6fd7.*
+ cacd: 0c02.*
+ cace: 6fd7.*
+ cacf: 0e02.*
+ cad0: 6fd7.*
+ cad1: 0f02.*
+ cad2: 6fd7.*
+ cad3: 0f02.*
+ cad4: 6fd7.*
+ cad5: 0c03.*
+ cad6: 6fd7.*
+ cad7: 0d03.*
+ cad8: 6fd7.*
+ cad9: 0c03.*
+ cada: 6fd7.*
+ cadb: 0e03.*
+ cadc: 6fd7.*
+ cadd: 0f03.*
+ cade: 6fd7.*
+ cadf: 0f03.*
+ cae0: 6fd7.*
+ cae1: 0c04.*
+ cae2: 6fd7.*
+ cae3: 0d04.*
+ cae4: 6fd7.*
+ cae5: 0c04.*
+ cae6: 6fd7.*
+ cae7: 0e04.*
+ cae8: 6fd7.*
+ cae9: 0f04.*
+ caea: 6fd7.*
+ caeb: 0f04.*
+ caec: 6fd7.*
+ caed: 0c05.*
+ caee: 6fd7.*
+ caef: 0d05.*
+ caf0: 6fd7.*
+ caf1: 0c05.*
+ caf2: 6fd7.*
+ caf3: 0e05.*
+ caf4: 6fd7.*
+ caf5: 0f05.*
+ caf6: 6fd7.*
+ caf7: 0f05.*
+ caf8: 6fd7.*
+ caf9: 0c06.*
+ cafa: 6fd7.*
+ cafb: 0d06.*
+ cafc: 6fd7.*
+ cafd: 0c06.*
+ cafe: 6fd7.*
+ caff: 0e06.*
+ cb00: 6fd7.*
+ cb01: 0f06.*
+ cb02: 6fd7.*
+ cb03: 0f06.*
+ cb04: 6fd7.*
+ cb05: 0c07.*
+ cb06: 6fd7.*
+ cb07: 0d07.*
+ cb08: 6fd7.*
+ cb09: 0c07.*
+ cb0a: 6fd7.*
+ cb0b: 0e07.*
+ cb0c: 6fd7.*
+ cb0d: 0f07.*
+ cb0e: 6fd7.*
+ cb0f: 0f07.*
+ cb10: 6fd7.*
+ cb11: 0c08.*
+ cb12: 6fd7.*
+ cb13: 0d08.*
+ cb14: 6fd7.*
+ cb15: 0c08.*
+ cb16: 6fd7.*
+ cb17: 0e08.*
+ cb18: 6fd7.*
+ cb19: 0f08.*
+ cb1a: 6fd7.*
+ cb1b: 0f08.*
+ cb1c: 6fd7.*
+ cb1d: 0c09.*
+ cb1e: 6fd7.*
+ cb1f: 0d09.*
+ cb20: 6fd7.*
+ cb21: 0c09.*
+ cb22: 6fd7.*
+ cb23: 0e09.*
+ cb24: 6fd7.*
+ cb25: 0f09.*
+ cb26: 6fd7.*
+ cb27: 0f09.*
+ cb28: 6fd7.*
+ cb29: 0c0a.*
+ cb2a: 6fd7.*
+ cb2b: 0d0a.*
+ cb2c: 6fd7.*
+ cb2d: 0c0a.*
+ cb2e: 6fd7.*
+ cb2f: 0e0a.*
+ cb30: 6fd7.*
+ cb31: 0f0a.*
+ cb32: 6fd7.*
+ cb33: 0f0a.*
+ cb34: 6fd7.*
+ cb35: 0c0b.*
+ cb36: 6fd7.*
+ cb37: 0d0b.*
+ cb38: 6fd7.*
+ cb39: 0c0b.*
+ cb3a: 6fd7.*
+ cb3b: 0e0b.*
+ cb3c: 6fd7.*
+ cb3d: 0f0b.*
+ cb3e: 6fd7.*
+ cb3f: 0f0b.*
+ cb40: 6fd7.*
+ cb41: 0c0c.*
+ cb42: 6fd7.*
+ cb43: 0d0c.*
+ cb44: 6fd7.*
+ cb45: 0c0c.*
+ cb46: 6fd7.*
+ cb47: 0e0c.*
+ cb48: 6fd7.*
+ cb49: 0f0c.*
+ cb4a: 6fd7.*
+ cb4b: 0f0c.*
+ cb4c: 6fd7.*
+ cb4d: 0c0d.*
+ cb4e: 6fd7.*
+ cb4f: 0d0d.*
+ cb50: 6fd7.*
+ cb51: 0c0d.*
+ cb52: 6fd7.*
+ cb53: 0e0d.*
+ cb54: 6fd7.*
+ cb55: 0f0d.*
+ cb56: 6fd7.*
+ cb57: 0f0d.*
+ cb58: 6fd7.*
+ cb59: 0c0e.*
+ cb5a: 6fd7.*
+ cb5b: 0d0e.*
+ cb5c: 6fd7.*
+ cb5d: 0c0e.*
+ cb5e: 6fd7.*
+ cb5f: 0e0e.*
+ cb60: 6fd7.*
+ cb61: 0f0e.*
+ cb62: 6fd7.*
+ cb63: 0f0e.*
+ cb64: 6fd7.*
+ cb65: 0c0f.*
+ cb66: 6fd7.*
+ cb67: 0d0f.*
+ cb68: 6fd7.*
+ cb69: 0c0f.*
+ cb6a: 6fd7.*
+ cb6b: 0e0f.*
+ cb6c: 6fd7.*
+ cb6d: 0f0f.*
+ cb6e: 6fd7.*
+ cb6f: 0f0f.*
+ cb70: 00df.*
+ cb71: 6fdf.*
+ cb72: 0d00.*
+ cb73: 00df.*
+ cb74: 6fdf.*
+ cb75: 0e00.*
+ cb76: 01df.*
+ cb77: 01df.*
+ cb78: 6fdf.*
+ cb79: 0c10.*
+ cb7a: 6fdf.*
+ cb7b: 0d10.*
+ cb7c: 6fdf.*
+ cb7d: 0c10.*
+ cb7e: 6fdf.*
+ cb7f: 0e10.*
+ cb80: 6fdf.*
+ cb81: 0f10.*
+ cb82: 6fdf.*
+ cb83: 0f10.*
+ cb84: 6fdf.*
+ cb85: 0c11.*
+ cb86: 6fdf.*
+ cb87: 0d11.*
+ cb88: 6fdf.*
+ cb89: 0c11.*
+ cb8a: 6fdf.*
+ cb8b: 0e11.*
+ cb8c: 6fdf.*
+ cb8d: 0f11.*
+ cb8e: 6fdf.*
+ cb8f: 0f11.*
+ cb90: 6fdf.*
+ cb91: 0c12.*
+ cb92: 6fdf.*
+ cb93: 0d12.*
+ cb94: 6fdf.*
+ cb95: 0c12.*
+ cb96: 6fdf.*
+ cb97: 0e12.*
+ cb98: 6fdf.*
+ cb99: 0f12.*
+ cb9a: 6fdf.*
+ cb9b: 0f12.*
+ cb9c: 6fdf.*
+ cb9d: 0c13.*
+ cb9e: 6fdf.*
+ cb9f: 0d13.*
+ cba0: 6fdf.*
+ cba1: 0c13.*
+ cba2: 6fdf.*
+ cba3: 0e13.*
+ cba4: 6fdf.*
+ cba5: 0f13.*
+ cba6: 6fdf.*
+ cba7: 0f13.*
+ cba8: 6fdf.*
+ cba9: 0c14.*
+ cbaa: 6fdf.*
+ cbab: 0d14.*
+ cbac: 6fdf.*
+ cbad: 0c14.*
+ cbae: 6fdf.*
+ cbaf: 0e14.*
+ cbb0: 6fdf.*
+ cbb1: 0f14.*
+ cbb2: 6fdf.*
+ cbb3: 0f14.*
+ cbb4: 6fdf.*
+ cbb5: 0c15.*
+ cbb6: 6fdf.*
+ cbb7: 0d15.*
+ cbb8: 6fdf.*
+ cbb9: 0c15.*
+ cbba: 6fdf.*
+ cbbb: 0e15.*
+ cbbc: 6fdf.*
+ cbbd: 0f15.*
+ cbbe: 6fdf.*
+ cbbf: 0f15.*
+ cbc0: 6fdf.*
+ cbc1: 0c16.*
+ cbc2: 6fdf.*
+ cbc3: 0d16.*
+ cbc4: 6fdf.*
+ cbc5: 0c16.*
+ cbc6: 6fdf.*
+ cbc7: 0e16.*
+ cbc8: 6fdf.*
+ cbc9: 0f16.*
+ cbca: 6fdf.*
+ cbcb: 0f16.*
+ cbcc: 6fdf.*
+ cbcd: 0c17.*
+ cbce: 6fdf.*
+ cbcf: 0d17.*
+ cbd0: 6fdf.*
+ cbd1: 0c17.*
+ cbd2: 6fdf.*
+ cbd3: 0e17.*
+ cbd4: 6fdf.*
+ cbd5: 0f17.*
+ cbd6: 6fdf.*
+ cbd7: 0f17.*
+ cbd8: 6fdf.*
+ cbd9: 0c18.*
+ cbda: 6fdf.*
+ cbdb: 0d18.*
+ cbdc: 6fdf.*
+ cbdd: 0c18.*
+ cbde: 6fdf.*
+ cbdf: 0e18.*
+ cbe0: 6fdf.*
+ cbe1: 0f18.*
+ cbe2: 6fdf.*
+ cbe3: 0f18.*
+ cbe4: 6fdf.*
+ cbe5: 0c19.*
+ cbe6: 6fdf.*
+ cbe7: 0d19.*
+ cbe8: 6fdf.*
+ cbe9: 0c19.*
+ cbea: 6fdf.*
+ cbeb: 0e19.*
+ cbec: 6fdf.*
+ cbed: 0f19.*
+ cbee: 6fdf.*
+ cbef: 0f19.*
+ cbf0: 6fdf.*
+ cbf1: 0c1a.*
+ cbf2: 6fdf.*
+ cbf3: 0d1a.*
+ cbf4: 6fdf.*
+ cbf5: 0c1a.*
+ cbf6: 6fdf.*
+ cbf7: 0e1a.*
+ cbf8: 6fdf.*
+ cbf9: 0f1a.*
+ cbfa: 6fdf.*
+ cbfb: 0f1a.*
+ cbfc: 6fdf.*
+ cbfd: 0c1b.*
+ cbfe: 6fdf.*
+ cbff: 0d1b.*
+ cc00: 6fdf.*
+ cc01: 0c1b.*
+ cc02: 6fdf.*
+ cc03: 0e1b.*
+ cc04: 6fdf.*
+ cc05: 0f1b.*
+ cc06: 6fdf.*
+ cc07: 0f1b.*
+ cc08: 6fdf.*
+ cc09: 0c1c.*
+ cc0a: 6fdf.*
+ cc0b: 0d1c.*
+ cc0c: 6fdf.*
+ cc0d: 0c1c.*
+ cc0e: 6fdf.*
+ cc0f: 0e1c.*
+ cc10: 6fdf.*
+ cc11: 0f1c.*
+ cc12: 6fdf.*
+ cc13: 0f1c.*
+ cc14: 6fdf.*
+ cc15: 0c1d.*
+ cc16: 6fdf.*
+ cc17: 0d1d.*
+ cc18: 6fdf.*
+ cc19: 0c1d.*
+ cc1a: 6fdf.*
+ cc1b: 0e1d.*
+ cc1c: 6fdf.*
+ cc1d: 0f1d.*
+ cc1e: 6fdf.*
+ cc1f: 0f1d.*
+ cc20: 6fdf.*
+ cc21: 0c1e.*
+ cc22: 6fdf.*
+ cc23: 0d1e.*
+ cc24: 6fdf.*
+ cc25: 0c1e.*
+ cc26: 6fdf.*
+ cc27: 0e1e.*
+ cc28: 6fdf.*
+ cc29: 0f1e.*
+ cc2a: 6fdf.*
+ cc2b: 0f1e.*
+ cc2c: 6fdf.*
+ cc2d: 0c1f.*
+ cc2e: 6fdf.*
+ cc2f: 0d1f.*
+ cc30: 6fdf.*
+ cc31: 0c1f.*
+ cc32: 6fdf.*
+ cc33: 0e1f.*
+ cc34: 6fdf.*
+ cc35: 0f1f.*
+ cc36: 6fdf.*
+ cc37: 0f1f.*
+ cc38: 00df.*
+ cc39: 6fdf.*
+ cc3a: 0d00.*
+ cc3b: 00df.*
+ cc3c: 6fdf.*
+ cc3d: 0e00.*
+ cc3e: 01df.*
+ cc3f: 01df.*
+ cc40: 6fdf.*
+ cc41: 0c01.*
+ cc42: 6fdf.*
+ cc43: 0d01.*
+ cc44: 6fdf.*
+ cc45: 0c01.*
+ cc46: 6fdf.*
+ cc47: 0e01.*
+ cc48: 6fdf.*
+ cc49: 0f01.*
+ cc4a: 6fdf.*
+ cc4b: 0f01.*
+ cc4c: 6fdf.*
+ cc4d: 0c02.*
+ cc4e: 6fdf.*
+ cc4f: 0d02.*
+ cc50: 6fdf.*
+ cc51: 0c02.*
+ cc52: 6fdf.*
+ cc53: 0e02.*
+ cc54: 6fdf.*
+ cc55: 0f02.*
+ cc56: 6fdf.*
+ cc57: 0f02.*
+ cc58: 6fdf.*
+ cc59: 0c03.*
+ cc5a: 6fdf.*
+ cc5b: 0d03.*
+ cc5c: 6fdf.*
+ cc5d: 0c03.*
+ cc5e: 6fdf.*
+ cc5f: 0e03.*
+ cc60: 6fdf.*
+ cc61: 0f03.*
+ cc62: 6fdf.*
+ cc63: 0f03.*
+ cc64: 6fdf.*
+ cc65: 0c04.*
+ cc66: 6fdf.*
+ cc67: 0d04.*
+ cc68: 6fdf.*
+ cc69: 0c04.*
+ cc6a: 6fdf.*
+ cc6b: 0e04.*
+ cc6c: 6fdf.*
+ cc6d: 0f04.*
+ cc6e: 6fdf.*
+ cc6f: 0f04.*
+ cc70: 6fdf.*
+ cc71: 0c05.*
+ cc72: 6fdf.*
+ cc73: 0d05.*
+ cc74: 6fdf.*
+ cc75: 0c05.*
+ cc76: 6fdf.*
+ cc77: 0e05.*
+ cc78: 6fdf.*
+ cc79: 0f05.*
+ cc7a: 6fdf.*
+ cc7b: 0f05.*
+ cc7c: 6fdf.*
+ cc7d: 0c06.*
+ cc7e: 6fdf.*
+ cc7f: 0d06.*
+ cc80: 6fdf.*
+ cc81: 0c06.*
+ cc82: 6fdf.*
+ cc83: 0e06.*
+ cc84: 6fdf.*
+ cc85: 0f06.*
+ cc86: 6fdf.*
+ cc87: 0f06.*
+ cc88: 6fdf.*
+ cc89: 0c07.*
+ cc8a: 6fdf.*
+ cc8b: 0d07.*
+ cc8c: 6fdf.*
+ cc8d: 0c07.*
+ cc8e: 6fdf.*
+ cc8f: 0e07.*
+ cc90: 6fdf.*
+ cc91: 0f07.*
+ cc92: 6fdf.*
+ cc93: 0f07.*
+ cc94: 6fdf.*
+ cc95: 0c08.*
+ cc96: 6fdf.*
+ cc97: 0d08.*
+ cc98: 6fdf.*
+ cc99: 0c08.*
+ cc9a: 6fdf.*
+ cc9b: 0e08.*
+ cc9c: 6fdf.*
+ cc9d: 0f08.*
+ cc9e: 6fdf.*
+ cc9f: 0f08.*
+ cca0: 6fdf.*
+ cca1: 0c09.*
+ cca2: 6fdf.*
+ cca3: 0d09.*
+ cca4: 6fdf.*
+ cca5: 0c09.*
+ cca6: 6fdf.*
+ cca7: 0e09.*
+ cca8: 6fdf.*
+ cca9: 0f09.*
+ ccaa: 6fdf.*
+ ccab: 0f09.*
+ ccac: 6fdf.*
+ ccad: 0c0a.*
+ ccae: 6fdf.*
+ ccaf: 0d0a.*
+ ccb0: 6fdf.*
+ ccb1: 0c0a.*
+ ccb2: 6fdf.*
+ ccb3: 0e0a.*
+ ccb4: 6fdf.*
+ ccb5: 0f0a.*
+ ccb6: 6fdf.*
+ ccb7: 0f0a.*
+ ccb8: 6fdf.*
+ ccb9: 0c0b.*
+ ccba: 6fdf.*
+ ccbb: 0d0b.*
+ ccbc: 6fdf.*
+ ccbd: 0c0b.*
+ ccbe: 6fdf.*
+ ccbf: 0e0b.*
+ ccc0: 6fdf.*
+ ccc1: 0f0b.*
+ ccc2: 6fdf.*
+ ccc3: 0f0b.*
+ ccc4: 6fdf.*
+ ccc5: 0c0c.*
+ ccc6: 6fdf.*
+ ccc7: 0d0c.*
+ ccc8: 6fdf.*
+ ccc9: 0c0c.*
+ ccca: 6fdf.*
+ cccb: 0e0c.*
+ cccc: 6fdf.*
+ cccd: 0f0c.*
+ ccce: 6fdf.*
+ cccf: 0f0c.*
+ ccd0: 6fdf.*
+ ccd1: 0c0d.*
+ ccd2: 6fdf.*
+ ccd3: 0d0d.*
+ ccd4: 6fdf.*
+ ccd5: 0c0d.*
+ ccd6: 6fdf.*
+ ccd7: 0e0d.*
+ ccd8: 6fdf.*
+ ccd9: 0f0d.*
+ ccda: 6fdf.*
+ ccdb: 0f0d.*
+ ccdc: 6fdf.*
+ ccdd: 0c0e.*
+ ccde: 6fdf.*
+ ccdf: 0d0e.*
+ cce0: 6fdf.*
+ cce1: 0c0e.*
+ cce2: 6fdf.*
+ cce3: 0e0e.*
+ cce4: 6fdf.*
+ cce5: 0f0e.*
+ cce6: 6fdf.*
+ cce7: 0f0e.*
+ cce8: 6fdf.*
+ cce9: 0c0f.*
+ ccea: 6fdf.*
+ cceb: 0d0f.*
+ ccec: 6fdf.*
+ cced: 0c0f.*
+ ccee: 6fdf.*
+ ccef: 0e0f.*
+ ccf0: 6fdf.*
+ ccf1: 0f0f.*
+ ccf2: 6fdf.*
+ ccf3: 0f0f.*
+ ccf4: 00e7.*
+ ccf5: 17ed.*
+ ccf6: 6fe7.*
+ ccf7: 17ee.*
+ ccf8: 0d00.*
+ ccf9: 00e7.*
+ ccfa: 17ef.*
+ ccfb: 6fe7.*
+ ccfc: 17f0.*
+ ccfd: 0e00.*
+ ccfe: 01e7.*
+ ccff: 17f1.*
+ cd00: 01e7.*
+ cd01: 17f2.*
+ cd02: 6fe7.*
+ cd03: 17f3.*
+ cd04: 0c10.*
+ cd05: 6fe7.*
+ cd06: 17f4.*
+ cd07: 0d10.*
+ cd08: 6fe7.*
+ cd09: 17f5.*
+ cd0a: 0c10.*
+ cd0b: 6fe7.*
+ cd0c: 17f6.*
+ cd0d: 0e10.*
+ cd0e: 6fe7.*
+ cd0f: 17f7.*
+ cd10: 0f10.*
+ cd11: 6fe7.*
+ cd12: 17f8.*
+ cd13: 0f10.*
+ cd14: 6fe7.*
+ cd15: 17f9.*
+ cd16: 0c11.*
+ cd17: 6fe7.*
+ cd18: 17fa.*
+ cd19: 0d11.*
+ cd1a: 6fe7.*
+ cd1b: 17fb.*
+ cd1c: 0c11.*
+ cd1d: 6fe7.*
+ cd1e: 17fc.*
+ cd1f: 0e11.*
+ cd20: 6fe7.*
+ cd21: 17fd.*
+ cd22: 0f11.*
+ cd23: 6fe7.*
+ cd24: 17fe.*
+ cd25: 0f11.*
+ cd26: 6fe7.*
+ cd27: 17ff.*
+ cd28: 0c12.*
+ cd29: 6fe7.*
+ cd2a: 1800.*
+ cd2b: 0d12.*
+ cd2c: 6fe7.*
+ cd2d: 1801.*
+ cd2e: 0c12.*
+ cd2f: 6fe7.*
+ cd30: 1802.*
+ cd31: 0e12.*
+ cd32: 6fe7.*
+ cd33: 1803.*
+ cd34: 0f12.*
+ cd35: 6fe7.*
+ cd36: 1804.*
+ cd37: 0f12.*
+ cd38: 6fe7.*
+ cd39: 1805.*
+ cd3a: 0c13.*
+ cd3b: 6fe7.*
+ cd3c: 1806.*
+ cd3d: 0d13.*
+ cd3e: 6fe7.*
+ cd3f: 1807.*
+ cd40: 0c13.*
+ cd41: 6fe7.*
+ cd42: 1808.*
+ cd43: 0e13.*
+ cd44: 6fe7.*
+ cd45: 1809.*
+ cd46: 0f13.*
+ cd47: 6fe7.*
+ cd48: 180a.*
+ cd49: 0f13.*
+ cd4a: 6fe7.*
+ cd4b: 180b.*
+ cd4c: 0c14.*
+ cd4d: 6fe7.*
+ cd4e: 180c.*
+ cd4f: 0d14.*
+ cd50: 6fe7.*
+ cd51: 180d.*
+ cd52: 0c14.*
+ cd53: 6fe7.*
+ cd54: 180e.*
+ cd55: 0e14.*
+ cd56: 6fe7.*
+ cd57: 180f.*
+ cd58: 0f14.*
+ cd59: 6fe7.*
+ cd5a: 1810.*
+ cd5b: 0f14.*
+ cd5c: 6fe7.*
+ cd5d: 1811.*
+ cd5e: 0c15.*
+ cd5f: 6fe7.*
+ cd60: 1812.*
+ cd61: 0d15.*
+ cd62: 6fe7.*
+ cd63: 1813.*
+ cd64: 0c15.*
+ cd65: 6fe7.*
+ cd66: 1814.*
+ cd67: 0e15.*
+ cd68: 6fe7.*
+ cd69: 1815.*
+ cd6a: 0f15.*
+ cd6b: 6fe7.*
+ cd6c: 1816.*
+ cd6d: 0f15.*
+ cd6e: 6fe7.*
+ cd6f: 1817.*
+ cd70: 0c16.*
+ cd71: 6fe7.*
+ cd72: 1818.*
+ cd73: 0d16.*
+ cd74: 6fe7.*
+ cd75: 1819.*
+ cd76: 0c16.*
+ cd77: 6fe7.*
+ cd78: 181a.*
+ cd79: 0e16.*
+ cd7a: 6fe7.*
+ cd7b: 181b.*
+ cd7c: 0f16.*
+ cd7d: 6fe7.*
+ cd7e: 181c.*
+ cd7f: 0f16.*
+ cd80: 6fe7.*
+ cd81: 181d.*
+ cd82: 0c17.*
+ cd83: 6fe7.*
+ cd84: 181e.*
+ cd85: 0d17.*
+ cd86: 6fe7.*
+ cd87: 181f.*
+ cd88: 0c17.*
+ cd89: 6fe7.*
+ cd8a: 1820.*
+ cd8b: 0e17.*
+ cd8c: 6fe7.*
+ cd8d: 1821.*
+ cd8e: 0f17.*
+ cd8f: 6fe7.*
+ cd90: 1822.*
+ cd91: 0f17.*
+ cd92: 6fe7.*
+ cd93: 1823.*
+ cd94: 0c18.*
+ cd95: 6fe7.*
+ cd96: 1824.*
+ cd97: 0d18.*
+ cd98: 6fe7.*
+ cd99: 1825.*
+ cd9a: 0c18.*
+ cd9b: 6fe7.*
+ cd9c: 1826.*
+ cd9d: 0e18.*
+ cd9e: 6fe7.*
+ cd9f: 1827.*
+ cda0: 0f18.*
+ cda1: 6fe7.*
+ cda2: 1828.*
+ cda3: 0f18.*
+ cda4: 6fe7.*
+ cda5: 1829.*
+ cda6: 0c19.*
+ cda7: 6fe7.*
+ cda8: 182a.*
+ cda9: 0d19.*
+ cdaa: 6fe7.*
+ cdab: 182b.*
+ cdac: 0c19.*
+ cdad: 6fe7.*
+ cdae: 182c.*
+ cdaf: 0e19.*
+ cdb0: 6fe7.*
+ cdb1: 182d.*
+ cdb2: 0f19.*
+ cdb3: 6fe7.*
+ cdb4: 182e.*
+ cdb5: 0f19.*
+ cdb6: 6fe7.*
+ cdb7: 182f.*
+ cdb8: 0c1a.*
+ cdb9: 6fe7.*
+ cdba: 1830.*
+ cdbb: 0d1a.*
+ cdbc: 6fe7.*
+ cdbd: 1831.*
+ cdbe: 0c1a.*
+ cdbf: 6fe7.*
+ cdc0: 1832.*
+ cdc1: 0e1a.*
+ cdc2: 6fe7.*
+ cdc3: 1833.*
+ cdc4: 0f1a.*
+ cdc5: 6fe7.*
+ cdc6: 1834.*
+ cdc7: 0f1a.*
+ cdc8: 6fe7.*
+ cdc9: 1835.*
+ cdca: 0c1b.*
+ cdcb: 6fe7.*
+ cdcc: 1836.*
+ cdcd: 0d1b.*
+ cdce: 6fe7.*
+ cdcf: 1837.*
+ cdd0: 0c1b.*
+ cdd1: 6fe7.*
+ cdd2: 1838.*
+ cdd3: 0e1b.*
+ cdd4: 6fe7.*
+ cdd5: 1839.*
+ cdd6: 0f1b.*
+ cdd7: 6fe7.*
+ cdd8: 183a.*
+ cdd9: 0f1b.*
+ cdda: 6fe7.*
+ cddb: 183b.*
+ cddc: 0c1c.*
+ cddd: 6fe7.*
+ cdde: 183c.*
+ cddf: 0d1c.*
+ cde0: 6fe7.*
+ cde1: 183d.*
+ cde2: 0c1c.*
+ cde3: 6fe7.*
+ cde4: 183e.*
+ cde5: 0e1c.*
+ cde6: 6fe7.*
+ cde7: 183f.*
+ cde8: 0f1c.*
+ cde9: 6fe7.*
+ cdea: 1840.*
+ cdeb: 0f1c.*
+ cdec: 6fe7.*
+ cded: 1841.*
+ cdee: 0c1d.*
+ cdef: 6fe7.*
+ cdf0: 1842.*
+ cdf1: 0d1d.*
+ cdf2: 6fe7.*
+ cdf3: 1843.*
+ cdf4: 0c1d.*
+ cdf5: 6fe7.*
+ cdf6: 1844.*
+ cdf7: 0e1d.*
+ cdf8: 6fe7.*
+ cdf9: 1845.*
+ cdfa: 0f1d.*
+ cdfb: 6fe7.*
+ cdfc: 1846.*
+ cdfd: 0f1d.*
+ cdfe: 6fe7.*
+ cdff: 1847.*
+ ce00: 0c1e.*
+ ce01: 6fe7.*
+ ce02: 1848.*
+ ce03: 0d1e.*
+ ce04: 6fe7.*
+ ce05: 1849.*
+ ce06: 0c1e.*
+ ce07: 6fe7.*
+ ce08: 184a.*
+ ce09: 0e1e.*
+ ce0a: 6fe7.*
+ ce0b: 184b.*
+ ce0c: 0f1e.*
+ ce0d: 6fe7.*
+ ce0e: 184c.*
+ ce0f: 0f1e.*
+ ce10: 6fe7.*
+ ce11: 184d.*
+ ce12: 0c1f.*
+ ce13: 6fe7.*
+ ce14: 184e.*
+ ce15: 0d1f.*
+ ce16: 6fe7.*
+ ce17: 184f.*
+ ce18: 0c1f.*
+ ce19: 6fe7.*
+ ce1a: 1850.*
+ ce1b: 0e1f.*
+ ce1c: 6fe7.*
+ ce1d: 1851.*
+ ce1e: 0f1f.*
+ ce1f: 6fe7.*
+ ce20: 1852.*
+ ce21: 0f1f.*
+ ce22: 00e7.*
+ ce23: 1853.*
+ ce24: 6fe7.*
+ ce25: 1854.*
+ ce26: 0d00.*
+ ce27: 00e7.*
+ ce28: 1855.*
+ ce29: 6fe7.*
+ ce2a: 1856.*
+ ce2b: 0e00.*
+ ce2c: 01e7.*
+ ce2d: 1857.*
+ ce2e: 01e7.*
+ ce2f: 1858.*
+ ce30: 6fe7.*
+ ce31: 1859.*
+ ce32: 0c01.*
+ ce33: 6fe7.*
+ ce34: 185a.*
+ ce35: 0d01.*
+ ce36: 6fe7.*
+ ce37: 185b.*
+ ce38: 0c01.*
+ ce39: 6fe7.*
+ ce3a: 185c.*
+ ce3b: 0e01.*
+ ce3c: 6fe7.*
+ ce3d: 185d.*
+ ce3e: 0f01.*
+ ce3f: 6fe7.*
+ ce40: 185e.*
+ ce41: 0f01.*
+ ce42: 6fe7.*
+ ce43: 185f.*
+ ce44: 0c02.*
+ ce45: 6fe7.*
+ ce46: 1860.*
+ ce47: 0d02.*
+ ce48: 6fe7.*
+ ce49: 1861.*
+ ce4a: 0c02.*
+ ce4b: 6fe7.*
+ ce4c: 1862.*
+ ce4d: 0e02.*
+ ce4e: 6fe7.*
+ ce4f: 1863.*
+ ce50: 0f02.*
+ ce51: 6fe7.*
+ ce52: 1864.*
+ ce53: 0f02.*
+ ce54: 6fe7.*
+ ce55: 1865.*
+ ce56: 0c03.*
+ ce57: 6fe7.*
+ ce58: 1866.*
+ ce59: 0d03.*
+ ce5a: 6fe7.*
+ ce5b: 1867.*
+ ce5c: 0c03.*
+ ce5d: 6fe7.*
+ ce5e: 1868.*
+ ce5f: 0e03.*
+ ce60: 6fe7.*
+ ce61: 1869.*
+ ce62: 0f03.*
+ ce63: 6fe7.*
+ ce64: 186a.*
+ ce65: 0f03.*
+ ce66: 6fe7.*
+ ce67: 186b.*
+ ce68: 0c04.*
+ ce69: 6fe7.*
+ ce6a: 186c.*
+ ce6b: 0d04.*
+ ce6c: 6fe7.*
+ ce6d: 186d.*
+ ce6e: 0c04.*
+ ce6f: 6fe7.*
+ ce70: 186e.*
+ ce71: 0e04.*
+ ce72: 6fe7.*
+ ce73: 186f.*
+ ce74: 0f04.*
+ ce75: 6fe7.*
+ ce76: 1870.*
+ ce77: 0f04.*
+ ce78: 6fe7.*
+ ce79: 1871.*
+ ce7a: 0c05.*
+ ce7b: 6fe7.*
+ ce7c: 1872.*
+ ce7d: 0d05.*
+ ce7e: 6fe7.*
+ ce7f: 1873.*
+ ce80: 0c05.*
+ ce81: 6fe7.*
+ ce82: 1874.*
+ ce83: 0e05.*
+ ce84: 6fe7.*
+ ce85: 1875.*
+ ce86: 0f05.*
+ ce87: 6fe7.*
+ ce88: 1876.*
+ ce89: 0f05.*
+ ce8a: 6fe7.*
+ ce8b: 1877.*
+ ce8c: 0c06.*
+ ce8d: 6fe7.*
+ ce8e: 1878.*
+ ce8f: 0d06.*
+ ce90: 6fe7.*
+ ce91: 1879.*
+ ce92: 0c06.*
+ ce93: 6fe7.*
+ ce94: 187a.*
+ ce95: 0e06.*
+ ce96: 6fe7.*
+ ce97: 187b.*
+ ce98: 0f06.*
+ ce99: 6fe7.*
+ ce9a: 187c.*
+ ce9b: 0f06.*
+ ce9c: 6fe7.*
+ ce9d: 187d.*
+ ce9e: 0c07.*
+ ce9f: 6fe7.*
+ cea0: 187e.*
+ cea1: 0d07.*
+ cea2: 6fe7.*
+ cea3: 187f.*
+ cea4: 0c07.*
+ cea5: 6fe7.*
+ cea6: 1880.*
+ cea7: 0e07.*
+ cea8: 6fe7.*
+ cea9: 1881.*
+ ceaa: 0f07.*
+ ceab: 6fe7.*
+ ceac: 1882.*
+ cead: 0f07.*
+ ceae: 6fe7.*
+ ceaf: 1883.*
+ ceb0: 0c08.*
+ ceb1: 6fe7.*
+ ceb2: 1884.*
+ ceb3: 0d08.*
+ ceb4: 6fe7.*
+ ceb5: 1885.*
+ ceb6: 0c08.*
+ ceb7: 6fe7.*
+ ceb8: 1886.*
+ ceb9: 0e08.*
+ ceba: 6fe7.*
+ cebb: 1887.*
+ cebc: 0f08.*
+ cebd: 6fe7.*
+ cebe: 1888.*
+ cebf: 0f08.*
+ cec0: 6fe7.*
+ cec1: 1889.*
+ cec2: 0c09.*
+ cec3: 6fe7.*
+ cec4: 188a.*
+ cec5: 0d09.*
+ cec6: 6fe7.*
+ cec7: 188b.*
+ cec8: 0c09.*
+ cec9: 6fe7.*
+ ceca: 188c.*
+ cecb: 0e09.*
+ cecc: 6fe7.*
+ cecd: 188d.*
+ cece: 0f09.*
+ cecf: 6fe7.*
+ ced0: 188e.*
+ ced1: 0f09.*
+ ced2: 6fe7.*
+ ced3: 188f.*
+ ced4: 0c0a.*
+ ced5: 6fe7.*
+ ced6: 1890.*
+ ced7: 0d0a.*
+ ced8: 6fe7.*
+ ced9: 1891.*
+ ceda: 0c0a.*
+ cedb: 6fe7.*
+ cedc: 1892.*
+ cedd: 0e0a.*
+ cede: 6fe7.*
+ cedf: 1893.*
+ cee0: 0f0a.*
+ cee1: 6fe7.*
+ cee2: 1894.*
+ cee3: 0f0a.*
+ cee4: 6fe7.*
+ cee5: 1895.*
+ cee6: 0c0b.*
+ cee7: 6fe7.*
+ cee8: 1896.*
+ cee9: 0d0b.*
+ ceea: 6fe7.*
+ ceeb: 1897.*
+ ceec: 0c0b.*
+ ceed: 6fe7.*
+ ceee: 1898.*
+ ceef: 0e0b.*
+ cef0: 6fe7.*
+ cef1: 1899.*
+ cef2: 0f0b.*
+ cef3: 6fe7.*
+ cef4: 189a.*
+ cef5: 0f0b.*
+ cef6: 6fe7.*
+ cef7: 189b.*
+ cef8: 0c0c.*
+ cef9: 6fe7.*
+ cefa: 189c.*
+ cefb: 0d0c.*
+ cefc: 6fe7.*
+ cefd: 189d.*
+ cefe: 0c0c.*
+ ceff: 6fe7.*
+ cf00: 189e.*
+ cf01: 0e0c.*
+ cf02: 6fe7.*
+ cf03: 189f.*
+ cf04: 0f0c.*
+ cf05: 6fe7.*
+ cf06: 18a0.*
+ cf07: 0f0c.*
+ cf08: 6fe7.*
+ cf09: 18a1.*
+ cf0a: 0c0d.*
+ cf0b: 6fe7.*
+ cf0c: 18a2.*
+ cf0d: 0d0d.*
+ cf0e: 6fe7.*
+ cf0f: 18a3.*
+ cf10: 0c0d.*
+ cf11: 6fe7.*
+ cf12: 18a4.*
+ cf13: 0e0d.*
+ cf14: 6fe7.*
+ cf15: 18a5.*
+ cf16: 0f0d.*
+ cf17: 6fe7.*
+ cf18: 18a6.*
+ cf19: 0f0d.*
+ cf1a: 6fe7.*
+ cf1b: 18a7.*
+ cf1c: 0c0e.*
+ cf1d: 6fe7.*
+ cf1e: 18a8.*
+ cf1f: 0d0e.*
+ cf20: 6fe7.*
+ cf21: 18a9.*
+ cf22: 0c0e.*
+ cf23: 6fe7.*
+ cf24: 18aa.*
+ cf25: 0e0e.*
+ cf26: 6fe7.*
+ cf27: 18ab.*
+ cf28: 0f0e.*
+ cf29: 6fe7.*
+ cf2a: 18ac.*
+ cf2b: 0f0e.*
+ cf2c: 6fe7.*
+ cf2d: 18ad.*
+ cf2e: 0c0f.*
+ cf2f: 6fe7.*
+ cf30: 18ae.*
+ cf31: 0d0f.*
+ cf32: 6fe7.*
+ cf33: 18af.*
+ cf34: 0c0f.*
+ cf35: 6fe7.*
+ cf36: 18b0.*
+ cf37: 0e0f.*
+ cf38: 6fe7.*
+ cf39: 18b1.*
+ cf3a: 0f0f.*
+ cf3b: 6fe7.*
+ cf3c: 18b2.*
+ cf3d: 0f0f.*
+ cf3e: 00ef.*
+ cf3f: 18b3.*
+ cf40: 6fef.*
+ cf41: 18b4.*
+ cf42: 0d00.*
+ cf43: 00ef.*
+ cf44: 18b5.*
+ cf45: 6fef.*
+ cf46: 18b6.*
+ cf47: 0e00.*
+ cf48: 01ef.*
+ cf49: 18b7.*
+ cf4a: 01ef.*
+ cf4b: 18b8.*
+ cf4c: 6fef.*
+ cf4d: 18b9.*
+ cf4e: 0c10.*
+ cf4f: 6fef.*
+ cf50: 18ba.*
+ cf51: 0d10.*
+ cf52: 6fef.*
+ cf53: 18bb.*
+ cf54: 0c10.*
+ cf55: 6fef.*
+ cf56: 18bc.*
+ cf57: 0e10.*
+ cf58: 6fef.*
+ cf59: 18bd.*
+ cf5a: 0f10.*
+ cf5b: 6fef.*
+ cf5c: 18be.*
+ cf5d: 0f10.*
+ cf5e: 6fef.*
+ cf5f: 18bf.*
+ cf60: 0c11.*
+ cf61: 6fef.*
+ cf62: 18c0.*
+ cf63: 0d11.*
+ cf64: 6fef.*
+ cf65: 18c1.*
+ cf66: 0c11.*
+ cf67: 6fef.*
+ cf68: 18c2.*
+ cf69: 0e11.*
+ cf6a: 6fef.*
+ cf6b: 18c3.*
+ cf6c: 0f11.*
+ cf6d: 6fef.*
+ cf6e: 18c4.*
+ cf6f: 0f11.*
+ cf70: 6fef.*
+ cf71: 18c5.*
+ cf72: 0c12.*
+ cf73: 6fef.*
+ cf74: 18c6.*
+ cf75: 0d12.*
+ cf76: 6fef.*
+ cf77: 18c7.*
+ cf78: 0c12.*
+ cf79: 6fef.*
+ cf7a: 18c8.*
+ cf7b: 0e12.*
+ cf7c: 6fef.*
+ cf7d: 18c9.*
+ cf7e: 0f12.*
+ cf7f: 6fef.*
+ cf80: 18ca.*
+ cf81: 0f12.*
+ cf82: 6fef.*
+ cf83: 18cb.*
+ cf84: 0c13.*
+ cf85: 6fef.*
+ cf86: 18cc.*
+ cf87: 0d13.*
+ cf88: 6fef.*
+ cf89: 18cd.*
+ cf8a: 0c13.*
+ cf8b: 6fef.*
+ cf8c: 18ce.*
+ cf8d: 0e13.*
+ cf8e: 6fef.*
+ cf8f: 18cf.*
+ cf90: 0f13.*
+ cf91: 6fef.*
+ cf92: 18d0.*
+ cf93: 0f13.*
+ cf94: 6fef.*
+ cf95: 18d1.*
+ cf96: 0c14.*
+ cf97: 6fef.*
+ cf98: 18d2.*
+ cf99: 0d14.*
+ cf9a: 6fef.*
+ cf9b: 18d3.*
+ cf9c: 0c14.*
+ cf9d: 6fef.*
+ cf9e: 18d4.*
+ cf9f: 0e14.*
+ cfa0: 6fef.*
+ cfa1: 18d5.*
+ cfa2: 0f14.*
+ cfa3: 6fef.*
+ cfa4: 18d6.*
+ cfa5: 0f14.*
+ cfa6: 6fef.*
+ cfa7: 18d7.*
+ cfa8: 0c15.*
+ cfa9: 6fef.*
+ cfaa: 18d8.*
+ cfab: 0d15.*
+ cfac: 6fef.*
+ cfad: 18d9.*
+ cfae: 0c15.*
+ cfaf: 6fef.*
+ cfb0: 18da.*
+ cfb1: 0e15.*
+ cfb2: 6fef.*
+ cfb3: 18db.*
+ cfb4: 0f15.*
+ cfb5: 6fef.*
+ cfb6: 18dc.*
+ cfb7: 0f15.*
+ cfb8: 6fef.*
+ cfb9: 18dd.*
+ cfba: 0c16.*
+ cfbb: 6fef.*
+ cfbc: 18de.*
+ cfbd: 0d16.*
+ cfbe: 6fef.*
+ cfbf: 18df.*
+ cfc0: 0c16.*
+ cfc1: 6fef.*
+ cfc2: 18e0.*
+ cfc3: 0e16.*
+ cfc4: 6fef.*
+ cfc5: 18e1.*
+ cfc6: 0f16.*
+ cfc7: 6fef.*
+ cfc8: 18e2.*
+ cfc9: 0f16.*
+ cfca: 6fef.*
+ cfcb: 18e3.*
+ cfcc: 0c17.*
+ cfcd: 6fef.*
+ cfce: 18e4.*
+ cfcf: 0d17.*
+ cfd0: 6fef.*
+ cfd1: 18e5.*
+ cfd2: 0c17.*
+ cfd3: 6fef.*
+ cfd4: 18e6.*
+ cfd5: 0e17.*
+ cfd6: 6fef.*
+ cfd7: 18e7.*
+ cfd8: 0f17.*
+ cfd9: 6fef.*
+ cfda: 18e8.*
+ cfdb: 0f17.*
+ cfdc: 6fef.*
+ cfdd: 18e9.*
+ cfde: 0c18.*
+ cfdf: 6fef.*
+ cfe0: 18ea.*
+ cfe1: 0d18.*
+ cfe2: 6fef.*
+ cfe3: 18eb.*
+ cfe4: 0c18.*
+ cfe5: 6fef.*
+ cfe6: 18ec.*
+ cfe7: 0e18.*
+ cfe8: 6fef.*
+ cfe9: 18ed.*
+ cfea: 0f18.*
+ cfeb: 6fef.*
+ cfec: 18ee.*
+ cfed: 0f18.*
+ cfee: 6fef.*
+ cfef: 18ef.*
+ cff0: 0c19.*
+ cff1: 6fef.*
+ cff2: 18f0.*
+ cff3: 0d19.*
+ cff4: 6fef.*
+ cff5: 18f1.*
+ cff6: 0c19.*
+ cff7: 6fef.*
+ cff8: 18f2.*
+ cff9: 0e19.*
+ cffa: 6fef.*
+ cffb: 18f3.*
+ cffc: 0f19.*
+ cffd: 6fef.*
+ cffe: 18f4.*
+ cfff: 0f19.*
+ d000: 6fef.*
+ d001: 18f5.*
+ d002: 0c1a.*
+ d003: 6fef.*
+ d004: 18f6.*
+ d005: 0d1a.*
+ d006: 6fef.*
+ d007: 18f7.*
+ d008: 0c1a.*
+ d009: 6fef.*
+ d00a: 18f8.*
+ d00b: 0e1a.*
+ d00c: 6fef.*
+ d00d: 18f9.*
+ d00e: 0f1a.*
+ d00f: 6fef.*
+ d010: 18fa.*
+ d011: 0f1a.*
+ d012: 6fef.*
+ d013: 18fb.*
+ d014: 0c1b.*
+ d015: 6fef.*
+ d016: 18fc.*
+ d017: 0d1b.*
+ d018: 6fef.*
+ d019: 18fd.*
+ d01a: 0c1b.*
+ d01b: 6fef.*
+ d01c: 18fe.*
+ d01d: 0e1b.*
+ d01e: 6fef.*
+ d01f: 18ff.*
+ d020: 0f1b.*
+ d021: 6fef.*
+ d022: 1900.*
+ d023: 0f1b.*
+ d024: 6fef.*
+ d025: 1901.*
+ d026: 0c1c.*
+ d027: 6fef.*
+ d028: 1902.*
+ d029: 0d1c.*
+ d02a: 6fef.*
+ d02b: 1903.*
+ d02c: 0c1c.*
+ d02d: 6fef.*
+ d02e: 1904.*
+ d02f: 0e1c.*
+ d030: 6fef.*
+ d031: 1905.*
+ d032: 0f1c.*
+ d033: 6fef.*
+ d034: 1906.*
+ d035: 0f1c.*
+ d036: 6fef.*
+ d037: 1907.*
+ d038: 0c1d.*
+ d039: 6fef.*
+ d03a: 1908.*
+ d03b: 0d1d.*
+ d03c: 6fef.*
+ d03d: 1909.*
+ d03e: 0c1d.*
+ d03f: 6fef.*
+ d040: 190a.*
+ d041: 0e1d.*
+ d042: 6fef.*
+ d043: 190b.*
+ d044: 0f1d.*
+ d045: 6fef.*
+ d046: 190c.*
+ d047: 0f1d.*
+ d048: 6fef.*
+ d049: 190d.*
+ d04a: 0c1e.*
+ d04b: 6fef.*
+ d04c: 190e.*
+ d04d: 0d1e.*
+ d04e: 6fef.*
+ d04f: 190f.*
+ d050: 0c1e.*
+ d051: 6fef.*
+ d052: 1910.*
+ d053: 0e1e.*
+ d054: 6fef.*
+ d055: 1911.*
+ d056: 0f1e.*
+ d057: 6fef.*
+ d058: 1912.*
+ d059: 0f1e.*
+ d05a: 6fef.*
+ d05b: 1913.*
+ d05c: 0c1f.*
+ d05d: 6fef.*
+ d05e: 1914.*
+ d05f: 0d1f.*
+ d060: 6fef.*
+ d061: 1915.*
+ d062: 0c1f.*
+ d063: 6fef.*
+ d064: 1916.*
+ d065: 0e1f.*
+ d066: 6fef.*
+ d067: 1917.*
+ d068: 0f1f.*
+ d069: 6fef.*
+ d06a: 1918.*
+ d06b: 0f1f.*
+ d06c: 00ef.*
+ d06d: 1919.*
+ d06e: 6fef.*
+ d06f: 191a.*
+ d070: 0d00.*
+ d071: 00ef.*
+ d072: 191b.*
+ d073: 6fef.*
+ d074: 191c.*
+ d075: 0e00.*
+ d076: 01ef.*
+ d077: 191d.*
+ d078: 01ef.*
+ d079: 191e.*
+ d07a: 6fef.*
+ d07b: 191f.*
+ d07c: 0c01.*
+ d07d: 6fef.*
+ d07e: 1920.*
+ d07f: 0d01.*
+ d080: 6fef.*
+ d081: 1921.*
+ d082: 0c01.*
+ d083: 6fef.*
+ d084: 1922.*
+ d085: 0e01.*
+ d086: 6fef.*
+ d087: 1923.*
+ d088: 0f01.*
+ d089: 6fef.*
+ d08a: 1924.*
+ d08b: 0f01.*
+ d08c: 6fef.*
+ d08d: 1925.*
+ d08e: 0c02.*
+ d08f: 6fef.*
+ d090: 1926.*
+ d091: 0d02.*
+ d092: 6fef.*
+ d093: 1927.*
+ d094: 0c02.*
+ d095: 6fef.*
+ d096: 1928.*
+ d097: 0e02.*
+ d098: 6fef.*
+ d099: 1929.*
+ d09a: 0f02.*
+ d09b: 6fef.*
+ d09c: 192a.*
+ d09d: 0f02.*
+ d09e: 6fef.*
+ d09f: 192b.*
+ d0a0: 0c03.*
+ d0a1: 6fef.*
+ d0a2: 192c.*
+ d0a3: 0d03.*
+ d0a4: 6fef.*
+ d0a5: 192d.*
+ d0a6: 0c03.*
+ d0a7: 6fef.*
+ d0a8: 192e.*
+ d0a9: 0e03.*
+ d0aa: 6fef.*
+ d0ab: 192f.*
+ d0ac: 0f03.*
+ d0ad: 6fef.*
+ d0ae: 1930.*
+ d0af: 0f03.*
+ d0b0: 6fef.*
+ d0b1: 1931.*
+ d0b2: 0c04.*
+ d0b3: 6fef.*
+ d0b4: 1932.*
+ d0b5: 0d04.*
+ d0b6: 6fef.*
+ d0b7: 1933.*
+ d0b8: 0c04.*
+ d0b9: 6fef.*
+ d0ba: 1934.*
+ d0bb: 0e04.*
+ d0bc: 6fef.*
+ d0bd: 1935.*
+ d0be: 0f04.*
+ d0bf: 6fef.*
+ d0c0: 1936.*
+ d0c1: 0f04.*
+ d0c2: 6fef.*
+ d0c3: 1937.*
+ d0c4: 0c05.*
+ d0c5: 6fef.*
+ d0c6: 1938.*
+ d0c7: 0d05.*
+ d0c8: 6fef.*
+ d0c9: 1939.*
+ d0ca: 0c05.*
+ d0cb: 6fef.*
+ d0cc: 193a.*
+ d0cd: 0e05.*
+ d0ce: 6fef.*
+ d0cf: 193b.*
+ d0d0: 0f05.*
+ d0d1: 6fef.*
+ d0d2: 193c.*
+ d0d3: 0f05.*
+ d0d4: 6fef.*
+ d0d5: 193d.*
+ d0d6: 0c06.*
+ d0d7: 6fef.*
+ d0d8: 193e.*
+ d0d9: 0d06.*
+ d0da: 6fef.*
+ d0db: 193f.*
+ d0dc: 0c06.*
+ d0dd: 6fef.*
+ d0de: 1940.*
+ d0df: 0e06.*
+ d0e0: 6fef.*
+ d0e1: 1941.*
+ d0e2: 0f06.*
+ d0e3: 6fef.*
+ d0e4: 1942.*
+ d0e5: 0f06.*
+ d0e6: 6fef.*
+ d0e7: 1943.*
+ d0e8: 0c07.*
+ d0e9: 6fef.*
+ d0ea: 1944.*
+ d0eb: 0d07.*
+ d0ec: 6fef.*
+ d0ed: 1945.*
+ d0ee: 0c07.*
+ d0ef: 6fef.*
+ d0f0: 1946.*
+ d0f1: 0e07.*
+ d0f2: 6fef.*
+ d0f3: 1947.*
+ d0f4: 0f07.*
+ d0f5: 6fef.*
+ d0f6: 1948.*
+ d0f7: 0f07.*
+ d0f8: 6fef.*
+ d0f9: 1949.*
+ d0fa: 0c08.*
+ d0fb: 6fef.*
+ d0fc: 194a.*
+ d0fd: 0d08.*
+ d0fe: 6fef.*
+ d0ff: 194b.*
+ d100: 0c08.*
+ d101: 6fef.*
+ d102: 194c.*
+ d103: 0e08.*
+ d104: 6fef.*
+ d105: 194d.*
+ d106: 0f08.*
+ d107: 6fef.*
+ d108: 194e.*
+ d109: 0f08.*
+ d10a: 6fef.*
+ d10b: 194f.*
+ d10c: 0c09.*
+ d10d: 6fef.*
+ d10e: 1950.*
+ d10f: 0d09.*
+ d110: 6fef.*
+ d111: 1951.*
+ d112: 0c09.*
+ d113: 6fef.*
+ d114: 1952.*
+ d115: 0e09.*
+ d116: 6fef.*
+ d117: 1953.*
+ d118: 0f09.*
+ d119: 6fef.*
+ d11a: 1954.*
+ d11b: 0f09.*
+ d11c: 6fef.*
+ d11d: 1955.*
+ d11e: 0c0a.*
+ d11f: 6fef.*
+ d120: 1956.*
+ d121: 0d0a.*
+ d122: 6fef.*
+ d123: 1957.*
+ d124: 0c0a.*
+ d125: 6fef.*
+ d126: 1958.*
+ d127: 0e0a.*
+ d128: 6fef.*
+ d129: 1959.*
+ d12a: 0f0a.*
+ d12b: 6fef.*
+ d12c: 195a.*
+ d12d: 0f0a.*
+ d12e: 6fef.*
+ d12f: 195b.*
+ d130: 0c0b.*
+ d131: 6fef.*
+ d132: 195c.*
+ d133: 0d0b.*
+ d134: 6fef.*
+ d135: 195d.*
+ d136: 0c0b.*
+ d137: 6fef.*
+ d138: 195e.*
+ d139: 0e0b.*
+ d13a: 6fef.*
+ d13b: 195f.*
+ d13c: 0f0b.*
+ d13d: 6fef.*
+ d13e: 1960.*
+ d13f: 0f0b.*
+ d140: 6fef.*
+ d141: 1961.*
+ d142: 0c0c.*
+ d143: 6fef.*
+ d144: 1962.*
+ d145: 0d0c.*
+ d146: 6fef.*
+ d147: 1963.*
+ d148: 0c0c.*
+ d149: 6fef.*
+ d14a: 1964.*
+ d14b: 0e0c.*
+ d14c: 6fef.*
+ d14d: 1965.*
+ d14e: 0f0c.*
+ d14f: 6fef.*
+ d150: 1966.*
+ d151: 0f0c.*
+ d152: 6fef.*
+ d153: 1967.*
+ d154: 0c0d.*
+ d155: 6fef.*
+ d156: 1968.*
+ d157: 0d0d.*
+ d158: 6fef.*
+ d159: 1969.*
+ d15a: 0c0d.*
+ d15b: 6fef.*
+ d15c: 196a.*
+ d15d: 0e0d.*
+ d15e: 6fef.*
+ d15f: 196b.*
+ d160: 0f0d.*
+ d161: 6fef.*
+ d162: 196c.*
+ d163: 0f0d.*
+ d164: 6fef.*
+ d165: 196d.*
+ d166: 0c0e.*
+ d167: 6fef.*
+ d168: 196e.*
+ d169: 0d0e.*
+ d16a: 6fef.*
+ d16b: 196f.*
+ d16c: 0c0e.*
+ d16d: 6fef.*
+ d16e: 1970.*
+ d16f: 0e0e.*
+ d170: 6fef.*
+ d171: 1971.*
+ d172: 0f0e.*
+ d173: 6fef.*
+ d174: 1972.*
+ d175: 0f0e.*
+ d176: 6fef.*
+ d177: 1973.*
+ d178: 0c0f.*
+ d179: 6fef.*
+ d17a: 1974.*
+ d17b: 0d0f.*
+ d17c: 6fef.*
+ d17d: 1975.*
+ d17e: 0c0f.*
+ d17f: 6fef.*
+ d180: 1976.*
+ d181: 0e0f.*
+ d182: 6fef.*
+ d183: 1977.*
+ d184: 0f0f.*
+ d185: 6fef.*
+ d186: 1978.*
+ d187: 0f0f.*
+ d188: 00f7.*
+ d189: 1979.*
+ d18a: 6ff7.*
+ d18b: 197a.*
+ d18c: 0d00.*
+ d18d: 00f7.*
+ d18e: 197b.*
+ d18f: 6ff7.*
+ d190: 197c.*
+ d191: 0e00.*
+ d192: 01f7.*
+ d193: 197d.*
+ d194: 01f7.*
+ d195: 197e.*
+ d196: 6ff7.*
+ d197: 197f.*
+ d198: 0c10.*
+ d199: 6ff7.*
+ d19a: 1980.*
+ d19b: 0d10.*
+ d19c: 6ff7.*
+ d19d: 1981.*
+ d19e: 0c10.*
+ d19f: 6ff7.*
+ d1a0: 1982.*
+ d1a1: 0e10.*
+ d1a2: 6ff7.*
+ d1a3: 1983.*
+ d1a4: 0f10.*
+ d1a5: 6ff7.*
+ d1a6: 1984.*
+ d1a7: 0f10.*
+ d1a8: 6ff7.*
+ d1a9: 1985.*
+ d1aa: 0c11.*
+ d1ab: 6ff7.*
+ d1ac: 1986.*
+ d1ad: 0d11.*
+ d1ae: 6ff7.*
+ d1af: 1987.*
+ d1b0: 0c11.*
+ d1b1: 6ff7.*
+ d1b2: 1988.*
+ d1b3: 0e11.*
+ d1b4: 6ff7.*
+ d1b5: 1989.*
+ d1b6: 0f11.*
+ d1b7: 6ff7.*
+ d1b8: 198a.*
+ d1b9: 0f11.*
+ d1ba: 6ff7.*
+ d1bb: 198b.*
+ d1bc: 0c12.*
+ d1bd: 6ff7.*
+ d1be: 198c.*
+ d1bf: 0d12.*
+ d1c0: 6ff7.*
+ d1c1: 198d.*
+ d1c2: 0c12.*
+ d1c3: 6ff7.*
+ d1c4: 198e.*
+ d1c5: 0e12.*
+ d1c6: 6ff7.*
+ d1c7: 198f.*
+ d1c8: 0f12.*
+ d1c9: 6ff7.*
+ d1ca: 1990.*
+ d1cb: 0f12.*
+ d1cc: 6ff7.*
+ d1cd: 1991.*
+ d1ce: 0c13.*
+ d1cf: 6ff7.*
+ d1d0: 1992.*
+ d1d1: 0d13.*
+ d1d2: 6ff7.*
+ d1d3: 1993.*
+ d1d4: 0c13.*
+ d1d5: 6ff7.*
+ d1d6: 1994.*
+ d1d7: 0e13.*
+ d1d8: 6ff7.*
+ d1d9: 1995.*
+ d1da: 0f13.*
+ d1db: 6ff7.*
+ d1dc: 1996.*
+ d1dd: 0f13.*
+ d1de: 6ff7.*
+ d1df: 1997.*
+ d1e0: 0c14.*
+ d1e1: 6ff7.*
+ d1e2: 1998.*
+ d1e3: 0d14.*
+ d1e4: 6ff7.*
+ d1e5: 1999.*
+ d1e6: 0c14.*
+ d1e7: 6ff7.*
+ d1e8: 199a.*
+ d1e9: 0e14.*
+ d1ea: 6ff7.*
+ d1eb: 199b.*
+ d1ec: 0f14.*
+ d1ed: 6ff7.*
+ d1ee: 199c.*
+ d1ef: 0f14.*
+ d1f0: 6ff7.*
+ d1f1: 199d.*
+ d1f2: 0c15.*
+ d1f3: 6ff7.*
+ d1f4: 199e.*
+ d1f5: 0d15.*
+ d1f6: 6ff7.*
+ d1f7: 199f.*
+ d1f8: 0c15.*
+ d1f9: 6ff7.*
+ d1fa: 19a0.*
+ d1fb: 0e15.*
+ d1fc: 6ff7.*
+ d1fd: 19a1.*
+ d1fe: 0f15.*
+ d1ff: 6ff7.*
+ d200: 19a2.*
+ d201: 0f15.*
+ d202: 6ff7.*
+ d203: 19a3.*
+ d204: 0c16.*
+ d205: 6ff7.*
+ d206: 19a4.*
+ d207: 0d16.*
+ d208: 6ff7.*
+ d209: 19a5.*
+ d20a: 0c16.*
+ d20b: 6ff7.*
+ d20c: 19a6.*
+ d20d: 0e16.*
+ d20e: 6ff7.*
+ d20f: 19a7.*
+ d210: 0f16.*
+ d211: 6ff7.*
+ d212: 19a8.*
+ d213: 0f16.*
+ d214: 6ff7.*
+ d215: 19a9.*
+ d216: 0c17.*
+ d217: 6ff7.*
+ d218: 19aa.*
+ d219: 0d17.*
+ d21a: 6ff7.*
+ d21b: 19ab.*
+ d21c: 0c17.*
+ d21d: 6ff7.*
+ d21e: 19ac.*
+ d21f: 0e17.*
+ d220: 6ff7.*
+ d221: 19ad.*
+ d222: 0f17.*
+ d223: 6ff7.*
+ d224: 19ae.*
+ d225: 0f17.*
+ d226: 6ff7.*
+ d227: 19af.*
+ d228: 0c18.*
+ d229: 6ff7.*
+ d22a: 19b0.*
+ d22b: 0d18.*
+ d22c: 6ff7.*
+ d22d: 19b1.*
+ d22e: 0c18.*
+ d22f: 6ff7.*
+ d230: 19b2.*
+ d231: 0e18.*
+ d232: 6ff7.*
+ d233: 19b3.*
+ d234: 0f18.*
+ d235: 6ff7.*
+ d236: 19b4.*
+ d237: 0f18.*
+ d238: 6ff7.*
+ d239: 19b5.*
+ d23a: 0c19.*
+ d23b: 6ff7.*
+ d23c: 19b6.*
+ d23d: 0d19.*
+ d23e: 6ff7.*
+ d23f: 19b7.*
+ d240: 0c19.*
+ d241: 6ff7.*
+ d242: 19b8.*
+ d243: 0e19.*
+ d244: 6ff7.*
+ d245: 19b9.*
+ d246: 0f19.*
+ d247: 6ff7.*
+ d248: 19ba.*
+ d249: 0f19.*
+ d24a: 6ff7.*
+ d24b: 19bb.*
+ d24c: 0c1a.*
+ d24d: 6ff7.*
+ d24e: 19bc.*
+ d24f: 0d1a.*
+ d250: 6ff7.*
+ d251: 19bd.*
+ d252: 0c1a.*
+ d253: 6ff7.*
+ d254: 19be.*
+ d255: 0e1a.*
+ d256: 6ff7.*
+ d257: 19bf.*
+ d258: 0f1a.*
+ d259: 6ff7.*
+ d25a: 19c0.*
+ d25b: 0f1a.*
+ d25c: 6ff7.*
+ d25d: 19c1.*
+ d25e: 0c1b.*
+ d25f: 6ff7.*
+ d260: 19c2.*
+ d261: 0d1b.*
+ d262: 6ff7.*
+ d263: 19c3.*
+ d264: 0c1b.*
+ d265: 6ff7.*
+ d266: 19c4.*
+ d267: 0e1b.*
+ d268: 6ff7.*
+ d269: 19c5.*
+ d26a: 0f1b.*
+ d26b: 6ff7.*
+ d26c: 19c6.*
+ d26d: 0f1b.*
+ d26e: 6ff7.*
+ d26f: 19c7.*
+ d270: 0c1c.*
+ d271: 6ff7.*
+ d272: 19c8.*
+ d273: 0d1c.*
+ d274: 6ff7.*
+ d275: 19c9.*
+ d276: 0c1c.*
+ d277: 6ff7.*
+ d278: 19ca.*
+ d279: 0e1c.*
+ d27a: 6ff7.*
+ d27b: 19cb.*
+ d27c: 0f1c.*
+ d27d: 6ff7.*
+ d27e: 19cc.*
+ d27f: 0f1c.*
+ d280: 6ff7.*
+ d281: 19cd.*
+ d282: 0c1d.*
+ d283: 6ff7.*
+ d284: 19ce.*
+ d285: 0d1d.*
+ d286: 6ff7.*
+ d287: 19cf.*
+ d288: 0c1d.*
+ d289: 6ff7.*
+ d28a: 19d0.*
+ d28b: 0e1d.*
+ d28c: 6ff7.*
+ d28d: 19d1.*
+ d28e: 0f1d.*
+ d28f: 6ff7.*
+ d290: 19d2.*
+ d291: 0f1d.*
+ d292: 6ff7.*
+ d293: 19d3.*
+ d294: 0c1e.*
+ d295: 6ff7.*
+ d296: 19d4.*
+ d297: 0d1e.*
+ d298: 6ff7.*
+ d299: 19d5.*
+ d29a: 0c1e.*
+ d29b: 6ff7.*
+ d29c: 19d6.*
+ d29d: 0e1e.*
+ d29e: 6ff7.*
+ d29f: 19d7.*
+ d2a0: 0f1e.*
+ d2a1: 6ff7.*
+ d2a2: 19d8.*
+ d2a3: 0f1e.*
+ d2a4: 6ff7.*
+ d2a5: 19d9.*
+ d2a6: 0c1f.*
+ d2a7: 6ff7.*
+ d2a8: 19da.*
+ d2a9: 0d1f.*
+ d2aa: 6ff7.*
+ d2ab: 19db.*
+ d2ac: 0c1f.*
+ d2ad: 6ff7.*
+ d2ae: 19dc.*
+ d2af: 0e1f.*
+ d2b0: 6ff7.*
+ d2b1: 19dd.*
+ d2b2: 0f1f.*
+ d2b3: 6ff7.*
+ d2b4: 19de.*
+ d2b5: 0f1f.*
+ d2b6: 00f7.*
+ d2b7: 19df.*
+ d2b8: 6ff7.*
+ d2b9: 19e0.*
+ d2ba: 0d00.*
+ d2bb: 00f7.*
+ d2bc: 19e1.*
+ d2bd: 6ff7.*
+ d2be: 19e2.*
+ d2bf: 0e00.*
+ d2c0: 01f7.*
+ d2c1: 19e3.*
+ d2c2: 01f7.*
+ d2c3: 19e4.*
+ d2c4: 6ff7.*
+ d2c5: 19e5.*
+ d2c6: 0c01.*
+ d2c7: 6ff7.*
+ d2c8: 19e6.*
+ d2c9: 0d01.*
+ d2ca: 6ff7.*
+ d2cb: 19e7.*
+ d2cc: 0c01.*
+ d2cd: 6ff7.*
+ d2ce: 19e8.*
+ d2cf: 0e01.*
+ d2d0: 6ff7.*
+ d2d1: 19e9.*
+ d2d2: 0f01.*
+ d2d3: 6ff7.*
+ d2d4: 19ea.*
+ d2d5: 0f01.*
+ d2d6: 6ff7.*
+ d2d7: 19eb.*
+ d2d8: 0c02.*
+ d2d9: 6ff7.*
+ d2da: 19ec.*
+ d2db: 0d02.*
+ d2dc: 6ff7.*
+ d2dd: 19ed.*
+ d2de: 0c02.*
+ d2df: 6ff7.*
+ d2e0: 19ee.*
+ d2e1: 0e02.*
+ d2e2: 6ff7.*
+ d2e3: 19ef.*
+ d2e4: 0f02.*
+ d2e5: 6ff7.*
+ d2e6: 19f0.*
+ d2e7: 0f02.*
+ d2e8: 6ff7.*
+ d2e9: 19f1.*
+ d2ea: 0c03.*
+ d2eb: 6ff7.*
+ d2ec: 19f2.*
+ d2ed: 0d03.*
+ d2ee: 6ff7.*
+ d2ef: 19f3.*
+ d2f0: 0c03.*
+ d2f1: 6ff7.*
+ d2f2: 19f4.*
+ d2f3: 0e03.*
+ d2f4: 6ff7.*
+ d2f5: 19f5.*
+ d2f6: 0f03.*
+ d2f7: 6ff7.*
+ d2f8: 19f6.*
+ d2f9: 0f03.*
+ d2fa: 6ff7.*
+ d2fb: 19f7.*
+ d2fc: 0c04.*
+ d2fd: 6ff7.*
+ d2fe: 19f8.*
+ d2ff: 0d04.*
+ d300: 6ff7.*
+ d301: 19f9.*
+ d302: 0c04.*
+ d303: 6ff7.*
+ d304: 19fa.*
+ d305: 0e04.*
+ d306: 6ff7.*
+ d307: 19fb.*
+ d308: 0f04.*
+ d309: 6ff7.*
+ d30a: 19fc.*
+ d30b: 0f04.*
+ d30c: 6ff7.*
+ d30d: 19fd.*
+ d30e: 0c05.*
+ d30f: 6ff7.*
+ d310: 19fe.*
+ d311: 0d05.*
+ d312: 6ff7.*
+ d313: 19ff.*
+ d314: 0c05.*
+ d315: 6ff7.*
+ d316: 1a00.*
+ d317: 0e05.*
+ d318: 6ff7.*
+ d319: 1a01.*
+ d31a: 0f05.*
+ d31b: 6ff7.*
+ d31c: 1a02.*
+ d31d: 0f05.*
+ d31e: 6ff7.*
+ d31f: 1a03.*
+ d320: 0c06.*
+ d321: 6ff7.*
+ d322: 1a04.*
+ d323: 0d06.*
+ d324: 6ff7.*
+ d325: 1a05.*
+ d326: 0c06.*
+ d327: 6ff7.*
+ d328: 1a06.*
+ d329: 0e06.*
+ d32a: 6ff7.*
+ d32b: 1a07.*
+ d32c: 0f06.*
+ d32d: 6ff7.*
+ d32e: 1a08.*
+ d32f: 0f06.*
+ d330: 6ff7.*
+ d331: 1a09.*
+ d332: 0c07.*
+ d333: 6ff7.*
+ d334: 1a0a.*
+ d335: 0d07.*
+ d336: 6ff7.*
+ d337: 1a0b.*
+ d338: 0c07.*
+ d339: 6ff7.*
+ d33a: 1a0c.*
+ d33b: 0e07.*
+ d33c: 6ff7.*
+ d33d: 1a0d.*
+ d33e: 0f07.*
+ d33f: 6ff7.*
+ d340: 1a0e.*
+ d341: 0f07.*
+ d342: 6ff7.*
+ d343: 1a0f.*
+ d344: 0c08.*
+ d345: 6ff7.*
+ d346: 1a10.*
+ d347: 0d08.*
+ d348: 6ff7.*
+ d349: 1a11.*
+ d34a: 0c08.*
+ d34b: 6ff7.*
+ d34c: 1a12.*
+ d34d: 0e08.*
+ d34e: 6ff7.*
+ d34f: 1a13.*
+ d350: 0f08.*
+ d351: 6ff7.*
+ d352: 1a14.*
+ d353: 0f08.*
+ d354: 6ff7.*
+ d355: 1a15.*
+ d356: 0c09.*
+ d357: 6ff7.*
+ d358: 1a16.*
+ d359: 0d09.*
+ d35a: 6ff7.*
+ d35b: 1a17.*
+ d35c: 0c09.*
+ d35d: 6ff7.*
+ d35e: 1a18.*
+ d35f: 0e09.*
+ d360: 6ff7.*
+ d361: 1a19.*
+ d362: 0f09.*
+ d363: 6ff7.*
+ d364: 1a1a.*
+ d365: 0f09.*
+ d366: 6ff7.*
+ d367: 1a1b.*
+ d368: 0c0a.*
+ d369: 6ff7.*
+ d36a: 1a1c.*
+ d36b: 0d0a.*
+ d36c: 6ff7.*
+ d36d: 1a1d.*
+ d36e: 0c0a.*
+ d36f: 6ff7.*
+ d370: 1a1e.*
+ d371: 0e0a.*
+ d372: 6ff7.*
+ d373: 1a1f.*
+ d374: 0f0a.*
+ d375: 6ff7.*
+ d376: 1a20.*
+ d377: 0f0a.*
+ d378: 6ff7.*
+ d379: 1a21.*
+ d37a: 0c0b.*
+ d37b: 6ff7.*
+ d37c: 1a22.*
+ d37d: 0d0b.*
+ d37e: 6ff7.*
+ d37f: 1a23.*
+ d380: 0c0b.*
+ d381: 6ff7.*
+ d382: 1a24.*
+ d383: 0e0b.*
+ d384: 6ff7.*
+ d385: 1a25.*
+ d386: 0f0b.*
+ d387: 6ff7.*
+ d388: 1a26.*
+ d389: 0f0b.*
+ d38a: 6ff7.*
+ d38b: 1a27.*
+ d38c: 0c0c.*
+ d38d: 6ff7.*
+ d38e: 1a28.*
+ d38f: 0d0c.*
+ d390: 6ff7.*
+ d391: 1a29.*
+ d392: 0c0c.*
+ d393: 6ff7.*
+ d394: 1a2a.*
+ d395: 0e0c.*
+ d396: 6ff7.*
+ d397: 1a2b.*
+ d398: 0f0c.*
+ d399: 6ff7.*
+ d39a: 1a2c.*
+ d39b: 0f0c.*
+ d39c: 6ff7.*
+ d39d: 1a2d.*
+ d39e: 0c0d.*
+ d39f: 6ff7.*
+ d3a0: 1a2e.*
+ d3a1: 0d0d.*
+ d3a2: 6ff7.*
+ d3a3: 1a2f.*
+ d3a4: 0c0d.*
+ d3a5: 6ff7.*
+ d3a6: 1a30.*
+ d3a7: 0e0d.*
+ d3a8: 6ff7.*
+ d3a9: 1a31.*
+ d3aa: 0f0d.*
+ d3ab: 6ff7.*
+ d3ac: 1a32.*
+ d3ad: 0f0d.*
+ d3ae: 6ff7.*
+ d3af: 1a33.*
+ d3b0: 0c0e.*
+ d3b1: 6ff7.*
+ d3b2: 1a34.*
+ d3b3: 0d0e.*
+ d3b4: 6ff7.*
+ d3b5: 1a35.*
+ d3b6: 0c0e.*
+ d3b7: 6ff7.*
+ d3b8: 1a36.*
+ d3b9: 0e0e.*
+ d3ba: 6ff7.*
+ d3bb: 1a37.*
+ d3bc: 0f0e.*
+ d3bd: 6ff7.*
+ d3be: 1a38.*
+ d3bf: 0f0e.*
+ d3c0: 6ff7.*
+ d3c1: 1a39.*
+ d3c2: 0c0f.*
+ d3c3: 6ff7.*
+ d3c4: 1a3a.*
+ d3c5: 0d0f.*
+ d3c6: 6ff7.*
+ d3c7: 1a3b.*
+ d3c8: 0c0f.*
+ d3c9: 6ff7.*
+ d3ca: 1a3c.*
+ d3cb: 0e0f.*
+ d3cc: 6ff7.*
+ d3cd: 1a3d.*
+ d3ce: 0f0f.*
+ d3cf: 6ff7.*
+ d3d0: 1a3e.*
+ d3d1: 0f0f.*
+ d3d2: 00f8.*
+ d3d3: 1a3f.*
+ d3d4: 6ff8.*
+ d3d5: 1a40.*
+ d3d6: 0d00.*
+ d3d7: 00f8.*
+ d3d8: 1a41.*
+ d3d9: 6ff8.*
+ d3da: 1a42.*
+ d3db: 0e00.*
+ d3dc: 01f8.*
+ d3dd: 1a43.*
+ d3de: 01f8.*
+ d3df: 1a44.*
+ d3e0: 6ff8.*
+ d3e1: 1a45.*
+ d3e2: 0c10.*
+ d3e3: 6ff8.*
+ d3e4: 1a46.*
+ d3e5: 0d10.*
+ d3e6: 6ff8.*
+ d3e7: 1a47.*
+ d3e8: 0c10.*
+ d3e9: 6ff8.*
+ d3ea: 1a48.*
+ d3eb: 0e10.*
+ d3ec: 6ff8.*
+ d3ed: 1a49.*
+ d3ee: 0f10.*
+ d3ef: 6ff8.*
+ d3f0: 1a4a.*
+ d3f1: 0f10.*
+ d3f2: 6ff8.*
+ d3f3: 1a4b.*
+ d3f4: 0c11.*
+ d3f5: 6ff8.*
+ d3f6: 1a4c.*
+ d3f7: 0d11.*
+ d3f8: 6ff8.*
+ d3f9: 1a4d.*
+ d3fa: 0c11.*
+ d3fb: 6ff8.*
+ d3fc: 1a4e.*
+ d3fd: 0e11.*
+ d3fe: 6ff8.*
+ d3ff: 1a4f.*
+ d400: 0f11.*
+ d401: 6ff8.*
+ d402: 1a50.*
+ d403: 0f11.*
+ d404: 6ff8.*
+ d405: 1a51.*
+ d406: 0c12.*
+ d407: 6ff8.*
+ d408: 1a52.*
+ d409: 0d12.*
+ d40a: 6ff8.*
+ d40b: 1a53.*
+ d40c: 0c12.*
+ d40d: 6ff8.*
+ d40e: 1a54.*
+ d40f: 0e12.*
+ d410: 6ff8.*
+ d411: 1a55.*
+ d412: 0f12.*
+ d413: 6ff8.*
+ d414: 1a56.*
+ d415: 0f12.*
+ d416: 6ff8.*
+ d417: 1a57.*
+ d418: 0c13.*
+ d419: 6ff8.*
+ d41a: 1a58.*
+ d41b: 0d13.*
+ d41c: 6ff8.*
+ d41d: 1a59.*
+ d41e: 0c13.*
+ d41f: 6ff8.*
+ d420: 1a5a.*
+ d421: 0e13.*
+ d422: 6ff8.*
+ d423: 1a5b.*
+ d424: 0f13.*
+ d425: 6ff8.*
+ d426: 1a5c.*
+ d427: 0f13.*
+ d428: 6ff8.*
+ d429: 1a5d.*
+ d42a: 0c14.*
+ d42b: 6ff8.*
+ d42c: 1a5e.*
+ d42d: 0d14.*
+ d42e: 6ff8.*
+ d42f: 1a5f.*
+ d430: 0c14.*
+ d431: 6ff8.*
+ d432: 1a60.*
+ d433: 0e14.*
+ d434: 6ff8.*
+ d435: 1a61.*
+ d436: 0f14.*
+ d437: 6ff8.*
+ d438: 1a62.*
+ d439: 0f14.*
+ d43a: 6ff8.*
+ d43b: 1a63.*
+ d43c: 0c15.*
+ d43d: 6ff8.*
+ d43e: 1a64.*
+ d43f: 0d15.*
+ d440: 6ff8.*
+ d441: 1a65.*
+ d442: 0c15.*
+ d443: 6ff8.*
+ d444: 1a66.*
+ d445: 0e15.*
+ d446: 6ff8.*
+ d447: 1a67.*
+ d448: 0f15.*
+ d449: 6ff8.*
+ d44a: 1a68.*
+ d44b: 0f15.*
+ d44c: 6ff8.*
+ d44d: 1a69.*
+ d44e: 0c16.*
+ d44f: 6ff8.*
+ d450: 1a6a.*
+ d451: 0d16.*
+ d452: 6ff8.*
+ d453: 1a6b.*
+ d454: 0c16.*
+ d455: 6ff8.*
+ d456: 1a6c.*
+ d457: 0e16.*
+ d458: 6ff8.*
+ d459: 1a6d.*
+ d45a: 0f16.*
+ d45b: 6ff8.*
+ d45c: 1a6e.*
+ d45d: 0f16.*
+ d45e: 6ff8.*
+ d45f: 1a6f.*
+ d460: 0c17.*
+ d461: 6ff8.*
+ d462: 1a70.*
+ d463: 0d17.*
+ d464: 6ff8.*
+ d465: 1a71.*
+ d466: 0c17.*
+ d467: 6ff8.*
+ d468: 1a72.*
+ d469: 0e17.*
+ d46a: 6ff8.*
+ d46b: 1a73.*
+ d46c: 0f17.*
+ d46d: 6ff8.*
+ d46e: 1a74.*
+ d46f: 0f17.*
+ d470: 6ff8.*
+ d471: 1a75.*
+ d472: 0c18.*
+ d473: 6ff8.*
+ d474: 1a76.*
+ d475: 0d18.*
+ d476: 6ff8.*
+ d477: 1a77.*
+ d478: 0c18.*
+ d479: 6ff8.*
+ d47a: 1a78.*
+ d47b: 0e18.*
+ d47c: 6ff8.*
+ d47d: 1a79.*
+ d47e: 0f18.*
+ d47f: 6ff8.*
+ d480: 1a7a.*
+ d481: 0f18.*
+ d482: 6ff8.*
+ d483: 1a7b.*
+ d484: 0c19.*
+ d485: 6ff8.*
+ d486: 1a7c.*
+ d487: 0d19.*
+ d488: 6ff8.*
+ d489: 1a7d.*
+ d48a: 0c19.*
+ d48b: 6ff8.*
+ d48c: 1a7e.*
+ d48d: 0e19.*
+ d48e: 6ff8.*
+ d48f: 1a7f.*
+ d490: 0f19.*
+ d491: 6ff8.*
+ d492: 1a80.*
+ d493: 0f19.*
+ d494: 6ff8.*
+ d495: 1a81.*
+ d496: 0c1a.*
+ d497: 6ff8.*
+ d498: 1a82.*
+ d499: 0d1a.*
+ d49a: 6ff8.*
+ d49b: 1a83.*
+ d49c: 0c1a.*
+ d49d: 6ff8.*
+ d49e: 1a84.*
+ d49f: 0e1a.*
+ d4a0: 6ff8.*
+ d4a1: 1a85.*
+ d4a2: 0f1a.*
+ d4a3: 6ff8.*
+ d4a4: 1a86.*
+ d4a5: 0f1a.*
+ d4a6: 6ff8.*
+ d4a7: 1a87.*
+ d4a8: 0c1b.*
+ d4a9: 6ff8.*
+ d4aa: 1a88.*
+ d4ab: 0d1b.*
+ d4ac: 6ff8.*
+ d4ad: 1a89.*
+ d4ae: 0c1b.*
+ d4af: 6ff8.*
+ d4b0: 1a8a.*
+ d4b1: 0e1b.*
+ d4b2: 6ff8.*
+ d4b3: 1a8b.*
+ d4b4: 0f1b.*
+ d4b5: 6ff8.*
+ d4b6: 1a8c.*
+ d4b7: 0f1b.*
+ d4b8: 6ff8.*
+ d4b9: 1a8d.*
+ d4ba: 0c1c.*
+ d4bb: 6ff8.*
+ d4bc: 1a8e.*
+ d4bd: 0d1c.*
+ d4be: 6ff8.*
+ d4bf: 1a8f.*
+ d4c0: 0c1c.*
+ d4c1: 6ff8.*
+ d4c2: 1a90.*
+ d4c3: 0e1c.*
+ d4c4: 6ff8.*
+ d4c5: 1a91.*
+ d4c6: 0f1c.*
+ d4c7: 6ff8.*
+ d4c8: 1a92.*
+ d4c9: 0f1c.*
+ d4ca: 6ff8.*
+ d4cb: 1a93.*
+ d4cc: 0c1d.*
+ d4cd: 6ff8.*
+ d4ce: 1a94.*
+ d4cf: 0d1d.*
+ d4d0: 6ff8.*
+ d4d1: 1a95.*
+ d4d2: 0c1d.*
+ d4d3: 6ff8.*
+ d4d4: 1a96.*
+ d4d5: 0e1d.*
+ d4d6: 6ff8.*
+ d4d7: 1a97.*
+ d4d8: 0f1d.*
+ d4d9: 6ff8.*
+ d4da: 1a98.*
+ d4db: 0f1d.*
+ d4dc: 6ff8.*
+ d4dd: 1a99.*
+ d4de: 0c1e.*
+ d4df: 6ff8.*
+ d4e0: 1a9a.*
+ d4e1: 0d1e.*
+ d4e2: 6ff8.*
+ d4e3: 1a9b.*
+ d4e4: 0c1e.*
+ d4e5: 6ff8.*
+ d4e6: 1a9c.*
+ d4e7: 0e1e.*
+ d4e8: 6ff8.*
+ d4e9: 1a9d.*
+ d4ea: 0f1e.*
+ d4eb: 6ff8.*
+ d4ec: 1a9e.*
+ d4ed: 0f1e.*
+ d4ee: 6ff8.*
+ d4ef: 1a9f.*
+ d4f0: 0c1f.*
+ d4f1: 6ff8.*
+ d4f2: 1aa0.*
+ d4f3: 0d1f.*
+ d4f4: 6ff8.*
+ d4f5: 1aa1.*
+ d4f6: 0c1f.*
+ d4f7: 6ff8.*
+ d4f8: 1aa2.*
+ d4f9: 0e1f.*
+ d4fa: 6ff8.*
+ d4fb: 1aa3.*
+ d4fc: 0f1f.*
+ d4fd: 6ff8.*
+ d4fe: 1aa4.*
+ d4ff: 0f1f.*
+ d500: 00f8.*
+ d501: 1aa5.*
+ d502: 6ff8.*
+ d503: 1aa6.*
+ d504: 0d00.*
+ d505: 00f8.*
+ d506: 1aa7.*
+ d507: 6ff8.*
+ d508: 1aa8.*
+ d509: 0e00.*
+ d50a: 01f8.*
+ d50b: 1aa9.*
+ d50c: 01f8.*
+ d50d: 1aaa.*
+ d50e: 6ff8.*
+ d50f: 1aab.*
+ d510: 0c01.*
+ d511: 6ff8.*
+ d512: 1aac.*
+ d513: 0d01.*
+ d514: 6ff8.*
+ d515: 1aad.*
+ d516: 0c01.*
+ d517: 6ff8.*
+ d518: 1aae.*
+ d519: 0e01.*
+ d51a: 6ff8.*
+ d51b: 1aaf.*
+ d51c: 0f01.*
+ d51d: 6ff8.*
+ d51e: 1ab0.*
+ d51f: 0f01.*
+ d520: 6ff8.*
+ d521: 1ab1.*
+ d522: 0c02.*
+ d523: 6ff8.*
+ d524: 1ab2.*
+ d525: 0d02.*
+ d526: 6ff8.*
+ d527: 1ab3.*
+ d528: 0c02.*
+ d529: 6ff8.*
+ d52a: 1ab4.*
+ d52b: 0e02.*
+ d52c: 6ff8.*
+ d52d: 1ab5.*
+ d52e: 0f02.*
+ d52f: 6ff8.*
+ d530: 1ab6.*
+ d531: 0f02.*
+ d532: 6ff8.*
+ d533: 1ab7.*
+ d534: 0c03.*
+ d535: 6ff8.*
+ d536: 1ab8.*
+ d537: 0d03.*
+ d538: 6ff8.*
+ d539: 1ab9.*
+ d53a: 0c03.*
+ d53b: 6ff8.*
+ d53c: 1aba.*
+ d53d: 0e03.*
+ d53e: 6ff8.*
+ d53f: 1abb.*
+ d540: 0f03.*
+ d541: 6ff8.*
+ d542: 1abc.*
+ d543: 0f03.*
+ d544: 6ff8.*
+ d545: 1abd.*
+ d546: 0c04.*
+ d547: 6ff8.*
+ d548: 1abe.*
+ d549: 0d04.*
+ d54a: 6ff8.*
+ d54b: 1abf.*
+ d54c: 0c04.*
+ d54d: 6ff8.*
+ d54e: 1ac0.*
+ d54f: 0e04.*
+ d550: 6ff8.*
+ d551: 1ac1.*
+ d552: 0f04.*
+ d553: 6ff8.*
+ d554: 1ac2.*
+ d555: 0f04.*
+ d556: 6ff8.*
+ d557: 1ac3.*
+ d558: 0c05.*
+ d559: 6ff8.*
+ d55a: 1ac4.*
+ d55b: 0d05.*
+ d55c: 6ff8.*
+ d55d: 1ac5.*
+ d55e: 0c05.*
+ d55f: 6ff8.*
+ d560: 1ac6.*
+ d561: 0e05.*
+ d562: 6ff8.*
+ d563: 1ac7.*
+ d564: 0f05.*
+ d565: 6ff8.*
+ d566: 1ac8.*
+ d567: 0f05.*
+ d568: 6ff8.*
+ d569: 1ac9.*
+ d56a: 0c06.*
+ d56b: 6ff8.*
+ d56c: 1aca.*
+ d56d: 0d06.*
+ d56e: 6ff8.*
+ d56f: 1acb.*
+ d570: 0c06.*
+ d571: 6ff8.*
+ d572: 1acc.*
+ d573: 0e06.*
+ d574: 6ff8.*
+ d575: 1acd.*
+ d576: 0f06.*
+ d577: 6ff8.*
+ d578: 1ace.*
+ d579: 0f06.*
+ d57a: 6ff8.*
+ d57b: 1acf.*
+ d57c: 0c07.*
+ d57d: 6ff8.*
+ d57e: 1ad0.*
+ d57f: 0d07.*
+ d580: 6ff8.*
+ d581: 1ad1.*
+ d582: 0c07.*
+ d583: 6ff8.*
+ d584: 1ad2.*
+ d585: 0e07.*
+ d586: 6ff8.*
+ d587: 1ad3.*
+ d588: 0f07.*
+ d589: 6ff8.*
+ d58a: 1ad4.*
+ d58b: 0f07.*
+ d58c: 6ff8.*
+ d58d: 1ad5.*
+ d58e: 0c08.*
+ d58f: 6ff8.*
+ d590: 1ad6.*
+ d591: 0d08.*
+ d592: 6ff8.*
+ d593: 1ad7.*
+ d594: 0c08.*
+ d595: 6ff8.*
+ d596: 1ad8.*
+ d597: 0e08.*
+ d598: 6ff8.*
+ d599: 1ad9.*
+ d59a: 0f08.*
+ d59b: 6ff8.*
+ d59c: 1ada.*
+ d59d: 0f08.*
+ d59e: 6ff8.*
+ d59f: 1adb.*
+ d5a0: 0c09.*
+ d5a1: 6ff8.*
+ d5a2: 1adc.*
+ d5a3: 0d09.*
+ d5a4: 6ff8.*
+ d5a5: 1add.*
+ d5a6: 0c09.*
+ d5a7: 6ff8.*
+ d5a8: 1ade.*
+ d5a9: 0e09.*
+ d5aa: 6ff8.*
+ d5ab: 1adf.*
+ d5ac: 0f09.*
+ d5ad: 6ff8.*
+ d5ae: 1ae0.*
+ d5af: 0f09.*
+ d5b0: 6ff8.*
+ d5b1: 1ae1.*
+ d5b2: 0c0a.*
+ d5b3: 6ff8.*
+ d5b4: 1ae2.*
+ d5b5: 0d0a.*
+ d5b6: 6ff8.*
+ d5b7: 1ae3.*
+ d5b8: 0c0a.*
+ d5b9: 6ff8.*
+ d5ba: 1ae4.*
+ d5bb: 0e0a.*
+ d5bc: 6ff8.*
+ d5bd: 1ae5.*
+ d5be: 0f0a.*
+ d5bf: 6ff8.*
+ d5c0: 1ae6.*
+ d5c1: 0f0a.*
+ d5c2: 6ff8.*
+ d5c3: 1ae7.*
+ d5c4: 0c0b.*
+ d5c5: 6ff8.*
+ d5c6: 1ae8.*
+ d5c7: 0d0b.*
+ d5c8: 6ff8.*
+ d5c9: 1ae9.*
+ d5ca: 0c0b.*
+ d5cb: 6ff8.*
+ d5cc: 1aea.*
+ d5cd: 0e0b.*
+ d5ce: 6ff8.*
+ d5cf: 1aeb.*
+ d5d0: 0f0b.*
+ d5d1: 6ff8.*
+ d5d2: 1aec.*
+ d5d3: 0f0b.*
+ d5d4: 6ff8.*
+ d5d5: 1aed.*
+ d5d6: 0c0c.*
+ d5d7: 6ff8.*
+ d5d8: 1aee.*
+ d5d9: 0d0c.*
+ d5da: 6ff8.*
+ d5db: 1aef.*
+ d5dc: 0c0c.*
+ d5dd: 6ff8.*
+ d5de: 1af0.*
+ d5df: 0e0c.*
+ d5e0: 6ff8.*
+ d5e1: 1af1.*
+ d5e2: 0f0c.*
+ d5e3: 6ff8.*
+ d5e4: 1af2.*
+ d5e5: 0f0c.*
+ d5e6: 6ff8.*
+ d5e7: 1af3.*
+ d5e8: 0c0d.*
+ d5e9: 6ff8.*
+ d5ea: 1af4.*
+ d5eb: 0d0d.*
+ d5ec: 6ff8.*
+ d5ed: 1af5.*
+ d5ee: 0c0d.*
+ d5ef: 6ff8.*
+ d5f0: 1af6.*
+ d5f1: 0e0d.*
+ d5f2: 6ff8.*
+ d5f3: 1af7.*
+ d5f4: 0f0d.*
+ d5f5: 6ff8.*
+ d5f6: 1af8.*
+ d5f7: 0f0d.*
+ d5f8: 6ff8.*
+ d5f9: 1af9.*
+ d5fa: 0c0e.*
+ d5fb: 6ff8.*
+ d5fc: 1afa.*
+ d5fd: 0d0e.*
+ d5fe: 6ff8.*
+ d5ff: 1afb.*
+ d600: 0c0e.*
+ d601: 6ff8.*
+ d602: 1afc.*
+ d603: 0e0e.*
+ d604: 6ff8.*
+ d605: 1afd.*
+ d606: 0f0e.*
+ d607: 6ff8.*
+ d608: 1afe.*
+ d609: 0f0e.*
+ d60a: 6ff8.*
+ d60b: 1aff.*
+ d60c: 0c0f.*
+ d60d: 6ff8.*
+ d60e: 1b00.*
+ d60f: 0d0f.*
+ d610: 6ff8.*
+ d611: 1b01.*
+ d612: 0c0f.*
+ d613: 6ff8.*
+ d614: 1b02.*
+ d615: 0e0f.*
+ d616: 6ff8.*
+ d617: 1b03.*
+ d618: 0f0f.*
+ d619: 6ff8.*
+ d61a: 1b04.*
+ d61b: 0f0f.*
+ d61c: 0080.*
+ d61d: 0180.*
+ d61e: 0088.*
+ d61f: 0188.*
+ d620: 0090.*
+ d621: 0190.*
+ d622: 00a0.*
+ d623: 01a0.*
+ d624: 00a8.*
+ d625: 01a8.*
+ d626: 00b0.*
+ d627: 01b0.*
+ d628: 00b8.*
+ d629: 01b8.*
+ d62a: 00c0.*
+ d62b: 01c0.*
+ d62c: 00c8.*
+ d62d: 01c8.*
+ d62e: 00d0.*
+ d62f: 01d0.*
+ d630: 00d8.*
+ d631: 01d8.*
+ d632: 00e0.*
+ d633: 1b05.*
+ d634: 01e0.*
+ d635: 1b06.*
+ d636: 00e8.*
+ d637: 1b07.*
+ d638: 01e8.*
+ d639: 1b08.*
+ d63a: 00f0.*
+ d63b: 1b09.*
+ d63c: 01f0.*
+ d63d: 1b0a.*
+ d63e: 00f8.*
+ d63f: 1b0b.*
+ d640: 01f8.*
+ d641: 1b0c.*
+ d642: 0081.*
+ d643: 0181.*
+ d644: 0089.*
+ d645: 0189.*
+ d646: 0091.*
+ d647: 0191.*
+ d648: 00a1.*
+ d649: 01a1.*
+ d64a: 00a9.*
+ d64b: 01a9.*
+ d64c: 00b1.*
+ d64d: 01b1.*
+ d64e: 00b9.*
+ d64f: 01b9.*
+ d650: 00c1.*
+ d651: 01c1.*
+ d652: 00c9.*
+ d653: 01c9.*
+ d654: 00d1.*
+ d655: 01d1.*
+ d656: 00d9.*
+ d657: 01d9.*
+ d658: 00e1.*
+ d659: 1b0d.*
+ d65a: 01e1.*
+ d65b: 1b0e.*
+ d65c: 00e9.*
+ d65d: 1b0f.*
+ d65e: 01e9.*
+ d65f: 1b10.*
+ d660: 00f1.*
+ d661: 1b11.*
+ d662: 01f1.*
+ d663: 1b12.*
+ d664: 00f8.*
+ d665: 1b13.*
+ d666: 01f8.*
+ d667: 1b14.*
+ d668: 0082.*
+ d669: 0182.*
+ d66a: 008a.*
+ d66b: 018a.*
+ d66c: 0092.*
+ d66d: 0192.*
+ d66e: 00a2.*
+ d66f: 01a2.*
+ d670: 00aa.*
+ d671: 01aa.*
+ d672: 00b2.*
+ d673: 01b2.*
+ d674: 00ba.*
+ d675: 01ba.*
+ d676: 00c2.*
+ d677: 01c2.*
+ d678: 00ca.*
+ d679: 01ca.*
+ d67a: 00d2.*
+ d67b: 01d2.*
+ d67c: 00da.*
+ d67d: 01da.*
+ d67e: 00e2.*
+ d67f: 1b15.*
+ d680: 01e2.*
+ d681: 1b16.*
+ d682: 00ea.*
+ d683: 1b17.*
+ d684: 01ea.*
+ d685: 1b18.*
+ d686: 00f2.*
+ d687: 1b19.*
+ d688: 01f2.*
+ d689: 1b1a.*
+ d68a: 00f8.*
+ d68b: 1b1b.*
+ d68c: 01f8.*
+ d68d: 1b1c.*
+ d68e: 0083.*
+ d68f: 0183.*
+ d690: 008b.*
+ d691: 018b.*
+ d692: 0093.*
+ d693: 0193.*
+ d694: 00a3.*
+ d695: 01a3.*
+ d696: 00ab.*
+ d697: 01ab.*
+ d698: 00b3.*
+ d699: 01b3.*
+ d69a: 00bb.*
+ d69b: 01bb.*
+ d69c: 00c3.*
+ d69d: 01c3.*
+ d69e: 00cb.*
+ d69f: 01cb.*
+ d6a0: 00d3.*
+ d6a1: 01d3.*
+ d6a2: 00db.*
+ d6a3: 01db.*
+ d6a4: 00e3.*
+ d6a5: 1b1d.*
+ d6a6: 01e3.*
+ d6a7: 1b1e.*
+ d6a8: 00eb.*
+ d6a9: 1b1f.*
+ d6aa: 01eb.*
+ d6ab: 1b20.*
+ d6ac: 00f3.*
+ d6ad: 1b21.*
+ d6ae: 01f3.*
+ d6af: 1b22.*
+ d6b0: 00f8.*
+ d6b1: 1b23.*
+ d6b2: 01f8.*
+ d6b3: 1b24.*
+ d6b4: 0084.*
+ d6b5: 0184.*
+ d6b6: 008c.*
+ d6b7: 018c.*
+ d6b8: 0094.*
+ d6b9: 0194.*
+ d6ba: 00a4.*
+ d6bb: 01a4.*
+ d6bc: 00ac.*
+ d6bd: 01ac.*
+ d6be: 00b4.*
+ d6bf: 01b4.*
+ d6c0: 00bc.*
+ d6c1: 01bc.*
+ d6c2: 00c4.*
+ d6c3: 01c4.*
+ d6c4: 00cc.*
+ d6c5: 01cc.*
+ d6c6: 00d4.*
+ d6c7: 01d4.*
+ d6c8: 00dc.*
+ d6c9: 01dc.*
+ d6ca: 00e4.*
+ d6cb: 1b25.*
+ d6cc: 01e4.*
+ d6cd: 1b26.*
+ d6ce: 00ec.*
+ d6cf: 1b27.*
+ d6d0: 01ec.*
+ d6d1: 1b28.*
+ d6d2: 00f4.*
+ d6d3: 1b29.*
+ d6d4: 01f4.*
+ d6d5: 1b2a.*
+ d6d6: 00f8.*
+ d6d7: 1b2b.*
+ d6d8: 01f8.*
+ d6d9: 1b2c.*
+ d6da: 0085.*
+ d6db: 0185.*
+ d6dc: 008d.*
+ d6dd: 018d.*
+ d6de: 0095.*
+ d6df: 0195.*
+ d6e0: 00a5.*
+ d6e1: 01a5.*
+ d6e2: 00ad.*
+ d6e3: 01ad.*
+ d6e4: 00b5.*
+ d6e5: 01b5.*
+ d6e6: 00bd.*
+ d6e7: 01bd.*
+ d6e8: 00c5.*
+ d6e9: 01c5.*
+ d6ea: 00cd.*
+ d6eb: 01cd.*
+ d6ec: 00d5.*
+ d6ed: 01d5.*
+ d6ee: 00dd.*
+ d6ef: 01dd.*
+ d6f0: 00e5.*
+ d6f1: 1b2d.*
+ d6f2: 01e5.*
+ d6f3: 1b2e.*
+ d6f4: 00ed.*
+ d6f5: 1b2f.*
+ d6f6: 01ed.*
+ d6f7: 1b30.*
+ d6f8: 00f5.*
+ d6f9: 1b31.*
+ d6fa: 01f5.*
+ d6fb: 1b32.*
+ d6fc: 00f8.*
+ d6fd: 1b33.*
+ d6fe: 01f8.*
+ d6ff: 1b34.*
+ d700: 0086.*
+ d701: 0186.*
+ d702: 008e.*
+ d703: 018e.*
+ d704: 0096.*
+ d705: 0196.*
+ d706: 00a6.*
+ d707: 01a6.*
+ d708: 00ae.*
+ d709: 01ae.*
+ d70a: 00b6.*
+ d70b: 01b6.*
+ d70c: 00be.*
+ d70d: 01be.*
+ d70e: 00c6.*
+ d70f: 01c6.*
+ d710: 00ce.*
+ d711: 01ce.*
+ d712: 00d6.*
+ d713: 01d6.*
+ d714: 00de.*
+ d715: 01de.*
+ d716: 00e6.*
+ d717: 1b35.*
+ d718: 01e6.*
+ d719: 1b36.*
+ d71a: 00ee.*
+ d71b: 1b37.*
+ d71c: 01ee.*
+ d71d: 1b38.*
+ d71e: 00f6.*
+ d71f: 1b39.*
+ d720: 01f6.*
+ d721: 1b3a.*
+ d722: 00f8.*
+ d723: 1b3b.*
+ d724: 01f8.*
+ d725: 1b3c.*
+ d726: 0087.*
+ d727: 0187.*
+ d728: 008f.*
+ d729: 018f.*
+ d72a: 0097.*
+ d72b: 0197.*
+ d72c: 00a7.*
+ d72d: 01a7.*
+ d72e: 00af.*
+ d72f: 01af.*
+ d730: 00b7.*
+ d731: 01b7.*
+ d732: 00bf.*
+ d733: 01bf.*
+ d734: 00c7.*
+ d735: 01c7.*
+ d736: 00cf.*
+ d737: 01cf.*
+ d738: 00d7.*
+ d739: 01d7.*
+ d73a: 00df.*
+ d73b: 01df.*
+ d73c: 00e7.*
+ d73d: 1b3d.*
+ d73e: 01e7.*
+ d73f: 1b3e.*
+ d740: 00ef.*
+ d741: 1b3f.*
+ d742: 01ef.*
+ d743: 1b40.*
+ d744: 00f7.*
+ d745: 1b41.*
+ d746: 01f7.*
+ d747: 1b42.*
+ d748: 00f8.*
+ d749: 1b43.*
+ d74a: 01f8.*
+ d74b: 1b44.*
+ d74c: 0453.*
+ d74d: 0554.*
+ d74e: 0480.*
+ d74f: 0580.*
+ d750: 0488.*
+ d751: 0588.*
+ d752: 0490.*
+ d753: 0590.*
+ d754: 04a0.*
+ d755: 05a0.*
+ d756: 04a8.*
+ d757: 05a8.*
+ d758: 04b0.*
+ d759: 05b0.*
+ d75a: 04b8.*
+ d75b: 05b8.*
+ d75c: 04c0.*
+ d75d: 05c0.*
+ d75e: 04c8.*
+ d75f: 05c8.*
+ d760: 04d0.*
+ d761: 05d0.*
+ d762: 04d8.*
+ d763: 05d8.*
+ d764: 04e0.*
+ d765: 1b45.*
+ d766: 05e0.*
+ d767: 1b46.*
+ d768: 04e8.*
+ d769: 1b47.*
+ d76a: 05e8.*
+ d76b: 1b48.*
+ d76c: 04f0.*
+ d76d: 1b49.*
+ d76e: 05f0.*
+ d76f: 1b4a.*
+ d770: 04f8.*
+ d771: 1b4b.*
+ d772: 05f8.*
+ d773: 1b4c.*
+ d774: 0481.*
+ d775: 0581.*
+ d776: 0489.*
+ d777: 0589.*
+ d778: 0491.*
+ d779: 0591.*
+ d77a: 04a1.*
+ d77b: 05a1.*
+ d77c: 04a9.*
+ d77d: 05a9.*
+ d77e: 04b1.*
+ d77f: 05b1.*
+ d780: 04b9.*
+ d781: 05b9.*
+ d782: 04c1.*
+ d783: 05c1.*
+ d784: 04c9.*
+ d785: 05c9.*
+ d786: 04d1.*
+ d787: 05d1.*
+ d788: 04d9.*
+ d789: 05d9.*
+ d78a: 04e1.*
+ d78b: 1b4d.*
+ d78c: 05e1.*
+ d78d: 1b4e.*
+ d78e: 04e9.*
+ d78f: 1b4f.*
+ d790: 05e9.*
+ d791: 1b50.*
+ d792: 04f1.*
+ d793: 1b51.*
+ d794: 05f1.*
+ d795: 1b52.*
+ d796: 04f8.*
+ d797: 1b53.*
+ d798: 05f8.*
+ d799: 1b54.*
+ d79a: 0482.*
+ d79b: 0582.*
+ d79c: 048a.*
+ d79d: 058a.*
+ d79e: 0492.*
+ d79f: 0592.*
+ d7a0: 04a2.*
+ d7a1: 05a2.*
+ d7a2: 04aa.*
+ d7a3: 05aa.*
+ d7a4: 04b2.*
+ d7a5: 05b2.*
+ d7a6: 04ba.*
+ d7a7: 05ba.*
+ d7a8: 04c2.*
+ d7a9: 05c2.*
+ d7aa: 04ca.*
+ d7ab: 05ca.*
+ d7ac: 04d2.*
+ d7ad: 05d2.*
+ d7ae: 04da.*
+ d7af: 05da.*
+ d7b0: 04e2.*
+ d7b1: 1b55.*
+ d7b2: 05e2.*
+ d7b3: 1b56.*
+ d7b4: 04ea.*
+ d7b5: 1b57.*
+ d7b6: 05ea.*
+ d7b7: 1b58.*
+ d7b8: 04f2.*
+ d7b9: 1b59.*
+ d7ba: 05f2.*
+ d7bb: 1b5a.*
+ d7bc: 04f8.*
+ d7bd: 1b5b.*
+ d7be: 05f8.*
+ d7bf: 1b5c.*
+ d7c0: 0483.*
+ d7c1: 0583.*
+ d7c2: 048b.*
+ d7c3: 058b.*
+ d7c4: 0493.*
+ d7c5: 0593.*
+ d7c6: 04a3.*
+ d7c7: 05a3.*
+ d7c8: 04ab.*
+ d7c9: 05ab.*
+ d7ca: 04b3.*
+ d7cb: 05b3.*
+ d7cc: 04bb.*
+ d7cd: 05bb.*
+ d7ce: 04c3.*
+ d7cf: 05c3.*
+ d7d0: 04cb.*
+ d7d1: 05cb.*
+ d7d2: 04d3.*
+ d7d3: 05d3.*
+ d7d4: 04db.*
+ d7d5: 05db.*
+ d7d6: 04e3.*
+ d7d7: 1b5d.*
+ d7d8: 05e3.*
+ d7d9: 1b5e.*
+ d7da: 04eb.*
+ d7db: 1b5f.*
+ d7dc: 05eb.*
+ d7dd: 1b60.*
+ d7de: 04f3.*
+ d7df: 1b61.*
+ d7e0: 05f3.*
+ d7e1: 1b62.*
+ d7e2: 04f8.*
+ d7e3: 1b63.*
+ d7e4: 05f8.*
+ d7e5: 1b64.*
+ d7e6: 0484.*
+ d7e7: 0584.*
+ d7e8: 048c.*
+ d7e9: 058c.*
+ d7ea: 0494.*
+ d7eb: 0594.*
+ d7ec: 04a4.*
+ d7ed: 05a4.*
+ d7ee: 04ac.*
+ d7ef: 05ac.*
+ d7f0: 04b4.*
+ d7f1: 05b4.*
+ d7f2: 04bc.*
+ d7f3: 05bc.*
+ d7f4: 04c4.*
+ d7f5: 05c4.*
+ d7f6: 04cc.*
+ d7f7: 05cc.*
+ d7f8: 04d4.*
+ d7f9: 05d4.*
+ d7fa: 04dc.*
+ d7fb: 05dc.*
+ d7fc: 04e4.*
+ d7fd: 1b65.*
+ d7fe: 05e4.*
+ d7ff: 1b66.*
+ d800: 04ec.*
+ d801: 1b67.*
+ d802: 05ec.*
+ d803: 1b68.*
+ d804: 04f4.*
+ d805: 1b69.*
+ d806: 05f4.*
+ d807: 1b6a.*
+ d808: 04f8.*
+ d809: 1b6b.*
+ d80a: 05f8.*
+ d80b: 1b6c.*
+ d80c: 0485.*
+ d80d: 0585.*
+ d80e: 048d.*
+ d80f: 058d.*
+ d810: 0495.*
+ d811: 0595.*
+ d812: 04a5.*
+ d813: 05a5.*
+ d814: 04ad.*
+ d815: 05ad.*
+ d816: 04b5.*
+ d817: 05b5.*
+ d818: 04bd.*
+ d819: 05bd.*
+ d81a: 04c5.*
+ d81b: 05c5.*
+ d81c: 04cd.*
+ d81d: 05cd.*
+ d81e: 04d5.*
+ d81f: 05d5.*
+ d820: 04dd.*
+ d821: 05dd.*
+ d822: 04e5.*
+ d823: 1b6d.*
+ d824: 05e5.*
+ d825: 1b6e.*
+ d826: 04ed.*
+ d827: 1b6f.*
+ d828: 05ed.*
+ d829: 1b70.*
+ d82a: 04f5.*
+ d82b: 1b71.*
+ d82c: 05f5.*
+ d82d: 1b72.*
+ d82e: 04f8.*
+ d82f: 1b73.*
+ d830: 05f8.*
+ d831: 1b74.*
+ d832: 0486.*
+ d833: 0586.*
+ d834: 048e.*
+ d835: 058e.*
+ d836: 0496.*
+ d837: 0596.*
+ d838: 04a6.*
+ d839: 05a6.*
+ d83a: 04ae.*
+ d83b: 05ae.*
+ d83c: 04b6.*
+ d83d: 05b6.*
+ d83e: 04be.*
+ d83f: 05be.*
+ d840: 04c6.*
+ d841: 05c6.*
+ d842: 04ce.*
+ d843: 05ce.*
+ d844: 04d6.*
+ d845: 05d6.*
+ d846: 04de.*
+ d847: 05de.*
+ d848: 04e6.*
+ d849: 1b75.*
+ d84a: 05e6.*
+ d84b: 1b76.*
+ d84c: 04ee.*
+ d84d: 1b77.*
+ d84e: 05ee.*
+ d84f: 1b78.*
+ d850: 04f6.*
+ d851: 1b79.*
+ d852: 05f6.*
+ d853: 1b7a.*
+ d854: 04f8.*
+ d855: 1b7b.*
+ d856: 05f8.*
+ d857: 1b7c.*
+ d858: 0487.*
+ d859: 0587.*
+ d85a: 048f.*
+ d85b: 058f.*
+ d85c: 0497.*
+ d85d: 0597.*
+ d85e: 04a7.*
+ d85f: 05a7.*
+ d860: 04af.*
+ d861: 05af.*
+ d862: 04b7.*
+ d863: 05b7.*
+ d864: 04bf.*
+ d865: 05bf.*
+ d866: 04c7.*
+ d867: 05c7.*
+ d868: 04cf.*
+ d869: 05cf.*
+ d86a: 04d7.*
+ d86b: 05d7.*
+ d86c: 04df.*
+ d86d: 05df.*
+ d86e: 04e7.*
+ d86f: 1b7d.*
+ d870: 05e7.*
+ d871: 1b7e.*
+ d872: 04ef.*
+ d873: 1b7f.*
+ d874: 05ef.*
+ d875: 1b80.*
+ d876: 04f7.*
+ d877: 1b81.*
+ d878: 05f7.*
+ d879: 1b82.*
+ d87a: 04f8.*
+ d87b: 1b83.*
+ d87c: 05f8.*
+ d87d: 1b84.*
+ d87e: 0082.*
+ d87f: 0182.*
+ d880: 9001.*
+ d881: 9101.*
+ d882: 9002.*
+ d883: 9102.*
+ d884: 9003.*
+ d885: 9103.*
+ d886: 9004.*
+ d887: 9104.*
+ d888: 9005.*
+ d889: 9105.*
+ d88a: 9006.*
+ d88b: 9106.*
+ d88c: 9007.*
+ d88d: 9107.*
+ d88e: 9008.*
+ d88f: 9108.*
+ d890: 9009.*
+ d891: 9109.*
+ d892: 900a.*
+ d893: 910a.*
+ d894: 900b.*
+ d895: 910b.*
+ d896: 900c.*
+ d897: 910c.*
+ d898: 900d.*
+ d899: 910d.*
+ d89a: 900e.*
+ d89b: 910e.*
+ d89c: 900f.*
+ d89d: 910f.*
+ d89e: 008a.*
+ d89f: 018a.*
+ d8a0: 9041.*
+ d8a1: 9141.*
+ d8a2: 9042.*
+ d8a3: 9142.*
+ d8a4: 9043.*
+ d8a5: 9143.*
+ d8a6: 9044.*
+ d8a7: 9144.*
+ d8a8: 9045.*
+ d8a9: 9145.*
+ d8aa: 9046.*
+ d8ab: 9146.*
+ d8ac: 9047.*
+ d8ad: 9147.*
+ d8ae: 9048.*
+ d8af: 9148.*
+ d8b0: 9049.*
+ d8b1: 9149.*
+ d8b2: 904a.*
+ d8b3: 914a.*
+ d8b4: 904b.*
+ d8b5: 914b.*
+ d8b6: 904c.*
+ d8b7: 914c.*
+ d8b8: 904d.*
+ d8b9: 914d.*
+ d8ba: 904e.*
+ d8bb: 914e.*
+ d8bc: 904f.*
+ d8bd: 914f.*
+ d8be: 0092.*
+ d8bf: 0192.*
+ d8c0: 9081.*
+ d8c1: 9181.*
+ d8c2: 9082.*
+ d8c3: 9182.*
+ d8c4: 9083.*
+ d8c5: 9183.*
+ d8c6: 9084.*
+ d8c7: 9184.*
+ d8c8: 9085.*
+ d8c9: 9185.*
+ d8ca: 9086.*
+ d8cb: 9186.*
+ d8cc: 9087.*
+ d8cd: 9187.*
+ d8ce: 9088.*
+ d8cf: 9188.*
+ d8d0: 9089.*
+ d8d1: 9189.*
+ d8d2: 908a.*
+ d8d3: 918a.*
+ d8d4: 908b.*
+ d8d5: 918b.*
+ d8d6: 908c.*
+ d8d7: 918c.*
+ d8d8: 908d.*
+ d8d9: 918d.*
+ d8da: 908e.*
+ d8db: 918e.*
+ d8dc: 908f.*
+ d8dd: 918f.*
+ d8de: 00da.*
+ d8df: 01da.*
+ d8e0: 90c1.*
+ d8e1: 91c1.*
+ d8e2: 90c2.*
+ d8e3: 91c2.*
+ d8e4: 90c3.*
+ d8e5: 91c3.*
+ d8e6: 90c4.*
+ d8e7: 91c4.*
+ d8e8: 90c5.*
+ d8e9: 91c5.*
+ d8ea: 90c6.*
+ d8eb: 91c6.*
+ d8ec: 90c7.*
+ d8ed: 91c7.*
+ d8ee: 90c8.*
+ d8ef: 91c8.*
+ d8f0: 90c9.*
+ d8f1: 91c9.*
+ d8f2: 90ca.*
+ d8f3: 91ca.*
+ d8f4: 90cb.*
+ d8f5: 91cb.*
+ d8f6: 90cc.*
+ d8f7: 91cc.*
+ d8f8: 90cd.*
+ d8f9: 91cd.*
+ d8fa: 90ce.*
+ d8fb: 91ce.*
+ d8fc: 90cf.*
+ d8fd: 91cf.*
+ d8fe: 0083.*
+ d8ff: 0183.*
+ d900: 9011.*
+ d901: 9111.*
+ d902: 9012.*
+ d903: 9112.*
+ d904: 9013.*
+ d905: 9113.*
+ d906: 9014.*
+ d907: 9114.*
+ d908: 9015.*
+ d909: 9115.*
+ d90a: 9016.*
+ d90b: 9116.*
+ d90c: 9017.*
+ d90d: 9117.*
+ d90e: 9018.*
+ d90f: 9118.*
+ d910: 9019.*
+ d911: 9119.*
+ d912: 901a.*
+ d913: 911a.*
+ d914: 901b.*
+ d915: 911b.*
+ d916: 901c.*
+ d917: 911c.*
+ d918: 901d.*
+ d919: 911d.*
+ d91a: 901e.*
+ d91b: 911e.*
+ d91c: 901f.*
+ d91d: 911f.*
+ d91e: 008b.*
+ d91f: 018b.*
+ d920: 9051.*
+ d921: 9151.*
+ d922: 9052.*
+ d923: 9152.*
+ d924: 9053.*
+ d925: 9153.*
+ d926: 9054.*
+ d927: 9154.*
+ d928: 9055.*
+ d929: 9155.*
+ d92a: 9056.*
+ d92b: 9156.*
+ d92c: 9057.*
+ d92d: 9157.*
+ d92e: 9058.*
+ d92f: 9158.*
+ d930: 9059.*
+ d931: 9159.*
+ d932: 905a.*
+ d933: 915a.*
+ d934: 905b.*
+ d935: 915b.*
+ d936: 905c.*
+ d937: 915c.*
+ d938: 905d.*
+ d939: 915d.*
+ d93a: 905e.*
+ d93b: 915e.*
+ d93c: 905f.*
+ d93d: 915f.*
+ d93e: 0093.*
+ d93f: 0193.*
+ d940: 9091.*
+ d941: 9191.*
+ d942: 9092.*
+ d943: 9192.*
+ d944: 9093.*
+ d945: 9193.*
+ d946: 9094.*
+ d947: 9194.*
+ d948: 9095.*
+ d949: 9195.*
+ d94a: 9096.*
+ d94b: 9196.*
+ d94c: 9097.*
+ d94d: 9197.*
+ d94e: 9098.*
+ d94f: 9198.*
+ d950: 9099.*
+ d951: 9199.*
+ d952: 909a.*
+ d953: 919a.*
+ d954: 909b.*
+ d955: 919b.*
+ d956: 909c.*
+ d957: 919c.*
+ d958: 909d.*
+ d959: 919d.*
+ d95a: 909e.*
+ d95b: 919e.*
+ d95c: 909f.*
+ d95d: 919f.*
+ d95e: 00db.*
+ d95f: 01db.*
+ d960: 90d1.*
+ d961: 91d1.*
+ d962: 90d2.*
+ d963: 91d2.*
+ d964: 90d3.*
+ d965: 91d3.*
+ d966: 90d4.*
+ d967: 91d4.*
+ d968: 90d5.*
+ d969: 91d5.*
+ d96a: 90d6.*
+ d96b: 91d6.*
+ d96c: 90d7.*
+ d96d: 91d7.*
+ d96e: 90d8.*
+ d96f: 91d8.*
+ d970: 90d9.*
+ d971: 91d9.*
+ d972: 90da.*
+ d973: 91da.*
+ d974: 90db.*
+ d975: 91db.*
+ d976: 90dc.*
+ d977: 91dc.*
+ d978: 90dd.*
+ d979: 91dd.*
+ d97a: 90de.*
+ d97b: 91de.*
+ d97c: 90df.*
+ d97d: 91df.*
+ d97e: 0084.*
+ d97f: 0184.*
+ d980: 9021.*
+ d981: 9121.*
+ d982: 9022.*
+ d983: 9122.*
+ d984: 9023.*
+ d985: 9123.*
+ d986: 9024.*
+ d987: 9124.*
+ d988: 9025.*
+ d989: 9125.*
+ d98a: 9026.*
+ d98b: 9126.*
+ d98c: 9027.*
+ d98d: 9127.*
+ d98e: 9028.*
+ d98f: 9128.*
+ d990: 9029.*
+ d991: 9129.*
+ d992: 902a.*
+ d993: 912a.*
+ d994: 902b.*
+ d995: 912b.*
+ d996: 902c.*
+ d997: 912c.*
+ d998: 902d.*
+ d999: 912d.*
+ d99a: 902e.*
+ d99b: 912e.*
+ d99c: 902f.*
+ d99d: 912f.*
+ d99e: 008c.*
+ d99f: 018c.*
+ d9a0: 9061.*
+ d9a1: 9161.*
+ d9a2: 9062.*
+ d9a3: 9162.*
+ d9a4: 9063.*
+ d9a5: 9163.*
+ d9a6: 9064.*
+ d9a7: 9164.*
+ d9a8: 9065.*
+ d9a9: 9165.*
+ d9aa: 9066.*
+ d9ab: 9166.*
+ d9ac: 9067.*
+ d9ad: 9167.*
+ d9ae: 9068.*
+ d9af: 9168.*
+ d9b0: 9069.*
+ d9b1: 9169.*
+ d9b2: 906a.*
+ d9b3: 916a.*
+ d9b4: 906b.*
+ d9b5: 916b.*
+ d9b6: 906c.*
+ d9b7: 916c.*
+ d9b8: 906d.*
+ d9b9: 916d.*
+ d9ba: 906e.*
+ d9bb: 916e.*
+ d9bc: 906f.*
+ d9bd: 916f.*
+ d9be: 0094.*
+ d9bf: 0194.*
+ d9c0: 90a1.*
+ d9c1: 91a1.*
+ d9c2: 90a2.*
+ d9c3: 91a2.*
+ d9c4: 90a3.*
+ d9c5: 91a3.*
+ d9c6: 90a4.*
+ d9c7: 91a4.*
+ d9c8: 90a5.*
+ d9c9: 91a5.*
+ d9ca: 90a6.*
+ d9cb: 91a6.*
+ d9cc: 90a7.*
+ d9cd: 91a7.*
+ d9ce: 90a8.*
+ d9cf: 91a8.*
+ d9d0: 90a9.*
+ d9d1: 91a9.*
+ d9d2: 90aa.*
+ d9d3: 91aa.*
+ d9d4: 90ab.*
+ d9d5: 91ab.*
+ d9d6: 90ac.*
+ d9d7: 91ac.*
+ d9d8: 90ad.*
+ d9d9: 91ad.*
+ d9da: 90ae.*
+ d9db: 91ae.*
+ d9dc: 90af.*
+ d9dd: 91af.*
+ d9de: 00dc.*
+ d9df: 01dc.*
+ d9e0: 90e1.*
+ d9e1: 91e1.*
+ d9e2: 90e2.*
+ d9e3: 91e2.*
+ d9e4: 90e3.*
+ d9e5: 91e3.*
+ d9e6: 90e4.*
+ d9e7: 91e4.*
+ d9e8: 90e5.*
+ d9e9: 91e5.*
+ d9ea: 90e6.*
+ d9eb: 91e6.*
+ d9ec: 90e7.*
+ d9ed: 91e7.*
+ d9ee: 90e8.*
+ d9ef: 91e8.*
+ d9f0: 90e9.*
+ d9f1: 91e9.*
+ d9f2: 90ea.*
+ d9f3: 91ea.*
+ d9f4: 90eb.*
+ d9f5: 91eb.*
+ d9f6: 90ec.*
+ d9f7: 91ec.*
+ d9f8: 90ed.*
+ d9f9: 91ed.*
+ d9fa: 90ee.*
+ d9fb: 91ee.*
+ d9fc: 90ef.*
+ d9fd: 91ef.*
+ d9fe: 0085.*
+ d9ff: 0185.*
+ da00: 9031.*
+ da01: 9131.*
+ da02: 9032.*
+ da03: 9132.*
+ da04: 9033.*
+ da05: 9133.*
+ da06: 9034.*
+ da07: 9134.*
+ da08: 9035.*
+ da09: 9135.*
+ da0a: 9036.*
+ da0b: 9136.*
+ da0c: 9037.*
+ da0d: 9137.*
+ da0e: 9038.*
+ da0f: 9138.*
+ da10: 9039.*
+ da11: 9139.*
+ da12: 903a.*
+ da13: 913a.*
+ da14: 903b.*
+ da15: 913b.*
+ da16: 903c.*
+ da17: 913c.*
+ da18: 903d.*
+ da19: 913d.*
+ da1a: 903e.*
+ da1b: 913e.*
+ da1c: 903f.*
+ da1d: 913f.*
+ da1e: 008d.*
+ da1f: 018d.*
+ da20: 9071.*
+ da21: 9171.*
+ da22: 9072.*
+ da23: 9172.*
+ da24: 9073.*
+ da25: 9173.*
+ da26: 9074.*
+ da27: 9174.*
+ da28: 9075.*
+ da29: 9175.*
+ da2a: 9076.*
+ da2b: 9176.*
+ da2c: 9077.*
+ da2d: 9177.*
+ da2e: 9078.*
+ da2f: 9178.*
+ da30: 9079.*
+ da31: 9179.*
+ da32: 907a.*
+ da33: 917a.*
+ da34: 907b.*
+ da35: 917b.*
+ da36: 907c.*
+ da37: 917c.*
+ da38: 907d.*
+ da39: 917d.*
+ da3a: 907e.*
+ da3b: 917e.*
+ da3c: 907f.*
+ da3d: 917f.*
+ da3e: 0095.*
+ da3f: 0195.*
+ da40: 90b1.*
+ da41: 91b1.*
+ da42: 90b2.*
+ da43: 91b2.*
+ da44: 90b3.*
+ da45: 91b3.*
+ da46: 90b4.*
+ da47: 91b4.*
+ da48: 90b5.*
+ da49: 91b5.*
+ da4a: 90b6.*
+ da4b: 91b6.*
+ da4c: 90b7.*
+ da4d: 91b7.*
+ da4e: 90b8.*
+ da4f: 91b8.*
+ da50: 90b9.*
+ da51: 91b9.*
+ da52: 90ba.*
+ da53: 91ba.*
+ da54: 90bb.*
+ da55: 91bb.*
+ da56: 90bc.*
+ da57: 91bc.*
+ da58: 90bd.*
+ da59: 91bd.*
+ da5a: 90be.*
+ da5b: 91be.*
+ da5c: 90bf.*
+ da5d: 91bf.*
+ da5e: 00dd.*
+ da5f: 01dd.*
+ da60: 90f1.*
+ da61: 91f1.*
+ da62: 90f2.*
+ da63: 91f2.*
+ da64: 90f3.*
+ da65: 91f3.*
+ da66: 90f4.*
+ da67: 91f4.*
+ da68: 90f5.*
+ da69: 91f5.*
+ da6a: 90f6.*
+ da6b: 91f6.*
+ da6c: 90f7.*
+ da6d: 91f7.*
+ da6e: 90f8.*
+ da6f: 91f8.*
+ da70: 90f9.*
+ da71: 91f9.*
+ da72: 90fa.*
+ da73: 91fa.*
+ da74: 90fb.*
+ da75: 91fb.*
+ da76: 90fc.*
+ da77: 91fc.*
+ da78: 90fd.*
+ da79: 91fd.*
+ da7a: 90fe.*
+ da7b: 91fe.*
+ da7c: 90ff.*
+ da7d: 91ff.*
+ da7e: a000.*
+ da7f: a100.*
+ da80: a004.*
+ da81: a104.*
+ da82: a008.*
+ da83: a108.*
+ da84: a00c.*
+ da85: a10c.*
+ da86: a001.*
+ da87: a101.*
+ da88: a005.*
+ da89: a105.*
+ da8a: a009.*
+ da8b: a109.*
+ da8c: a00d.*
+ da8d: a10d.*
+ da8e: a002.*
+ da8f: a102.*
+ da90: a006.*
+ da91: a106.*
+ da92: a00a.*
+ da93: a10a.*
+ da94: a00e.*
+ da95: a10e.*
+ da96: a003.*
+ da97: a103.*
+ da98: a007.*
+ da99: a107.*
+ da9a: a00b.*
+ da9b: a10b.*
+ da9c: a00f.*
+ da9d: a10f.*
+ da9e: a040.*
+ da9f: a140.*
+ daa0: a044.*
+ daa1: a144.*
+ daa2: a048.*
+ daa3: a148.*
+ daa4: a04c.*
+ daa5: a14c.*
+ daa6: a041.*
+ daa7: a141.*
+ daa8: a045.*
+ daa9: a145.*
+ daaa: a049.*
+ daab: a149.*
+ daac: a04d.*
+ daad: a14d.*
+ daae: a042.*
+ daaf: a142.*
+ dab0: a046.*
+ dab1: a146.*
+ dab2: a04a.*
+ dab3: a14a.*
+ dab4: a04e.*
+ dab5: a14e.*
+ dab6: a043.*
+ dab7: a143.*
+ dab8: a047.*
+ dab9: a147.*
+ daba: a04b.*
+ dabb: a14b.*
+ dabc: a04f.*
+ dabd: a14f.*
+ dabe: a080.*
+ dabf: a180.*
+ dac0: a084.*
+ dac1: a184.*
+ dac2: a088.*
+ dac3: a188.*
+ dac4: a08c.*
+ dac5: a18c.*
+ dac6: a081.*
+ dac7: a181.*
+ dac8: a085.*
+ dac9: a185.*
+ daca: a089.*
+ dacb: a189.*
+ dacc: a08d.*
+ dacd: a18d.*
+ dace: a082.*
+ dacf: a182.*
+ dad0: a086.*
+ dad1: a186.*
+ dad2: a08a.*
+ dad3: a18a.*
+ dad4: a08e.*
+ dad5: a18e.*
+ dad6: a083.*
+ dad7: a183.*
+ dad8: a087.*
+ dad9: a187.*
+ dada: a08b.*
+ dadb: a18b.*
+ dadc: a08f.*
+ dadd: a18f.*
+ dade: a0c0.*
+ dadf: a1c0.*
+ dae0: a0c4.*
+ dae1: a1c4.*
+ dae2: a0c8.*
+ dae3: a1c8.*
+ dae4: a0cc.*
+ dae5: a1cc.*
+ dae6: a0c1.*
+ dae7: a1c1.*
+ dae8: a0c5.*
+ dae9: a1c5.*
+ daea: a0c9.*
+ daeb: a1c9.*
+ daec: a0cd.*
+ daed: a1cd.*
+ daee: a0c2.*
+ daef: a1c2.*
+ daf0: a0c6.*
+ daf1: a1c6.*
+ daf2: a0ca.*
+ daf3: a1ca.*
+ daf4: a0ce.*
+ daf5: a1ce.*
+ daf6: a0c3.*
+ daf7: a1c3.*
+ daf8: a0c7.*
+ daf9: a1c7.*
+ dafa: a0cb.*
+ dafb: a1cb.*
+ dafc: a0cf.*
+ dafd: a1cf.*
+ dafe: a010.*
+ daff: a110.*
+ db00: a014.*
+ db01: a114.*
+ db02: a018.*
+ db03: a118.*
+ db04: a01c.*
+ db05: a11c.*
+ db06: a011.*
+ db07: a111.*
+ db08: a015.*
+ db09: a115.*
+ db0a: a019.*
+ db0b: a119.*
+ db0c: a01d.*
+ db0d: a11d.*
+ db0e: a012.*
+ db0f: a112.*
+ db10: a016.*
+ db11: a116.*
+ db12: a01a.*
+ db13: a11a.*
+ db14: a01e.*
+ db15: a11e.*
+ db16: a013.*
+ db17: a113.*
+ db18: a017.*
+ db19: a117.*
+ db1a: a01b.*
+ db1b: a11b.*
+ db1c: a01f.*
+ db1d: a11f.*
+ db1e: a050.*
+ db1f: a150.*
+ db20: a054.*
+ db21: a154.*
+ db22: a058.*
+ db23: a158.*
+ db24: a05c.*
+ db25: a15c.*
+ db26: a051.*
+ db27: a151.*
+ db28: a055.*
+ db29: a155.*
+ db2a: a059.*
+ db2b: a159.*
+ db2c: a05d.*
+ db2d: a15d.*
+ db2e: a052.*
+ db2f: a152.*
+ db30: a056.*
+ db31: a156.*
+ db32: a05a.*
+ db33: a15a.*
+ db34: a05e.*
+ db35: a15e.*
+ db36: a053.*
+ db37: a153.*
+ db38: a057.*
+ db39: a157.*
+ db3a: a05b.*
+ db3b: a15b.*
+ db3c: a05f.*
+ db3d: a15f.*
+ db3e: a090.*
+ db3f: a190.*
+ db40: a094.*
+ db41: a194.*
+ db42: a098.*
+ db43: a198.*
+ db44: a09c.*
+ db45: a19c.*
+ db46: a091.*
+ db47: a191.*
+ db48: a095.*
+ db49: a195.*
+ db4a: a099.*
+ db4b: a199.*
+ db4c: a09d.*
+ db4d: a19d.*
+ db4e: a092.*
+ db4f: a192.*
+ db50: a096.*
+ db51: a196.*
+ db52: a09a.*
+ db53: a19a.*
+ db54: a09e.*
+ db55: a19e.*
+ db56: a093.*
+ db57: a193.*
+ db58: a097.*
+ db59: a197.*
+ db5a: a09b.*
+ db5b: a19b.*
+ db5c: a09f.*
+ db5d: a19f.*
+ db5e: a0d0.*
+ db5f: a1d0.*
+ db60: a0d4.*
+ db61: a1d4.*
+ db62: a0d8.*
+ db63: a1d8.*
+ db64: a0dc.*
+ db65: a1dc.*
+ db66: a0d1.*
+ db67: a1d1.*
+ db68: a0d5.*
+ db69: a1d5.*
+ db6a: a0d9.*
+ db6b: a1d9.*
+ db6c: a0dd.*
+ db6d: a1dd.*
+ db6e: a0d2.*
+ db6f: a1d2.*
+ db70: a0d6.*
+ db71: a1d6.*
+ db72: a0da.*
+ db73: a1da.*
+ db74: a0de.*
+ db75: a1de.*
+ db76: a0d3.*
+ db77: a1d3.*
+ db78: a0d7.*
+ db79: a1d7.*
+ db7a: a0db.*
+ db7b: a1db.*
+ db7c: a0df.*
+ db7d: a1df.*
+ db7e: a020.*
+ db7f: a120.*
+ db80: a024.*
+ db81: a124.*
+ db82: a028.*
+ db83: a128.*
+ db84: a02c.*
+ db85: a12c.*
+ db86: a021.*
+ db87: a121.*
+ db88: a025.*
+ db89: a125.*
+ db8a: a029.*
+ db8b: a129.*
+ db8c: a02d.*
+ db8d: a12d.*
+ db8e: a022.*
+ db8f: a122.*
+ db90: a026.*
+ db91: a126.*
+ db92: a02a.*
+ db93: a12a.*
+ db94: a02e.*
+ db95: a12e.*
+ db96: a023.*
+ db97: a123.*
+ db98: a027.*
+ db99: a127.*
+ db9a: a02b.*
+ db9b: a12b.*
+ db9c: a02f.*
+ db9d: a12f.*
+ db9e: a060.*
+ db9f: a160.*
+ dba0: a064.*
+ dba1: a164.*
+ dba2: a068.*
+ dba3: a168.*
+ dba4: a06c.*
+ dba5: a16c.*
+ dba6: a061.*
+ dba7: a161.*
+ dba8: a065.*
+ dba9: a165.*
+ dbaa: a069.*
+ dbab: a169.*
+ dbac: a06d.*
+ dbad: a16d.*
+ dbae: a062.*
+ dbaf: a162.*
+ dbb0: a066.*
+ dbb1: a166.*
+ dbb2: a06a.*
+ dbb3: a16a.*
+ dbb4: a06e.*
+ dbb5: a16e.*
+ dbb6: a063.*
+ dbb7: a163.*
+ dbb8: a067.*
+ dbb9: a167.*
+ dbba: a06b.*
+ dbbb: a16b.*
+ dbbc: a06f.*
+ dbbd: a16f.*
+ dbbe: a0a0.*
+ dbbf: a1a0.*
+ dbc0: a0a4.*
+ dbc1: a1a4.*
+ dbc2: a0a8.*
+ dbc3: a1a8.*
+ dbc4: a0ac.*
+ dbc5: a1ac.*
+ dbc6: a0a1.*
+ dbc7: a1a1.*
+ dbc8: a0a5.*
+ dbc9: a1a5.*
+ dbca: a0a9.*
+ dbcb: a1a9.*
+ dbcc: a0ad.*
+ dbcd: a1ad.*
+ dbce: a0a2.*
+ dbcf: a1a2.*
+ dbd0: a0a6.*
+ dbd1: a1a6.*
+ dbd2: a0aa.*
+ dbd3: a1aa.*
+ dbd4: a0ae.*
+ dbd5: a1ae.*
+ dbd6: a0a3.*
+ dbd7: a1a3.*
+ dbd8: a0a7.*
+ dbd9: a1a7.*
+ dbda: a0ab.*
+ dbdb: a1ab.*
+ dbdc: a0af.*
+ dbdd: a1af.*
+ dbde: a0e0.*
+ dbdf: a1e0.*
+ dbe0: a0e4.*
+ dbe1: a1e4.*
+ dbe2: a0e8.*
+ dbe3: a1e8.*
+ dbe4: a0ec.*
+ dbe5: a1ec.*
+ dbe6: a0e1.*
+ dbe7: a1e1.*
+ dbe8: a0e5.*
+ dbe9: a1e5.*
+ dbea: a0e9.*
+ dbeb: a1e9.*
+ dbec: a0ed.*
+ dbed: a1ed.*
+ dbee: a0e2.*
+ dbef: a1e2.*
+ dbf0: a0e6.*
+ dbf1: a1e6.*
+ dbf2: a0ea.*
+ dbf3: a1ea.*
+ dbf4: a0ee.*
+ dbf5: a1ee.*
+ dbf6: a0e3.*
+ dbf7: a1e3.*
+ dbf8: a0e7.*
+ dbf9: a1e7.*
+ dbfa: a0eb.*
+ dbfb: a1eb.*
+ dbfc: a0ef.*
+ dbfd: a1ef.*
+ dbfe: a030.*
+ dbff: a130.*
+ dc00: a034.*
+ dc01: a134.*
+ dc02: a038.*
+ dc03: a138.*
+ dc04: a03c.*
+ dc05: a13c.*
+ dc06: a031.*
+ dc07: a131.*
+ dc08: a035.*
+ dc09: a135.*
+ dc0a: a039.*
+ dc0b: a139.*
+ dc0c: a03d.*
+ dc0d: a13d.*
+ dc0e: a032.*
+ dc0f: a132.*
+ dc10: a036.*
+ dc11: a136.*
+ dc12: a03a.*
+ dc13: a13a.*
+ dc14: a03e.*
+ dc15: a13e.*
+ dc16: a033.*
+ dc17: a133.*
+ dc18: a037.*
+ dc19: a137.*
+ dc1a: a03b.*
+ dc1b: a13b.*
+ dc1c: a03f.*
+ dc1d: a13f.*
+ dc1e: a070.*
+ dc1f: a170.*
+ dc20: a074.*
+ dc21: a174.*
+ dc22: a078.*
+ dc23: a178.*
+ dc24: a07c.*
+ dc25: a17c.*
+ dc26: a071.*
+ dc27: a171.*
+ dc28: a075.*
+ dc29: a175.*
+ dc2a: a079.*
+ dc2b: a179.*
+ dc2c: a07d.*
+ dc2d: a17d.*
+ dc2e: a072.*
+ dc2f: a172.*
+ dc30: a076.*
+ dc31: a176.*
+ dc32: a07a.*
+ dc33: a17a.*
+ dc34: a07e.*
+ dc35: a17e.*
+ dc36: a073.*
+ dc37: a173.*
+ dc38: a077.*
+ dc39: a177.*
+ dc3a: a07b.*
+ dc3b: a17b.*
+ dc3c: a07f.*
+ dc3d: a17f.*
+ dc3e: a0b0.*
+ dc3f: a1b0.*
+ dc40: a0b4.*
+ dc41: a1b4.*
+ dc42: a0b8.*
+ dc43: a1b8.*
+ dc44: a0bc.*
+ dc45: a1bc.*
+ dc46: a0b1.*
+ dc47: a1b1.*
+ dc48: a0b5.*
+ dc49: a1b5.*
+ dc4a: a0b9.*
+ dc4b: a1b9.*
+ dc4c: a0bd.*
+ dc4d: a1bd.*
+ dc4e: a0b2.*
+ dc4f: a1b2.*
+ dc50: a0b6.*
+ dc51: a1b6.*
+ dc52: a0ba.*
+ dc53: a1ba.*
+ dc54: a0be.*
+ dc55: a1be.*
+ dc56: a0b3.*
+ dc57: a1b3.*
+ dc58: a0b7.*
+ dc59: a1b7.*
+ dc5a: a0bb.*
+ dc5b: a1bb.*
+ dc5c: a0bf.*
+ dc5d: a1bf.*
+ dc5e: a0f0.*
+ dc5f: a1f0.*
+ dc60: a0f4.*
+ dc61: a1f4.*
+ dc62: a0f8.*
+ dc63: a1f8.*
+ dc64: a0fc.*
+ dc65: a1fc.*
+ dc66: a0f1.*
+ dc67: a1f1.*
+ dc68: a0f5.*
+ dc69: a1f5.*
+ dc6a: a0f9.*
+ dc6b: a1f9.*
+ dc6c: a0fd.*
+ dc6d: a1fd.*
+ dc6e: a0f2.*
+ dc6f: a1f2.*
+ dc70: a0f6.*
+ dc71: a1f6.*
+ dc72: a0fa.*
+ dc73: a1fa.*
+ dc74: a0fe.*
+ dc75: a1fe.*
+ dc76: a0f3.*
+ dc77: a1f3.*
+ dc78: a0f7.*
+ dc79: a1f7.*
+ dc7a: a0fb.*
+ dc7b: a1fb.*
+ dc7c: a0ff.*
+ dc7d: a1ff.*
+ dc7e: f063.*
+ dc7f: 1b85.*
+ dc80: f163.*
+ dc81: 1b86.*
+ dc82: f063.*
+ dc83: 1b87.*
+ dc84: f263.*
+ dc85: 1b88.*
+ dc86: f363.*
+ dc87: 1b89.*
+ dc88: f363.*
+ dc89: 1b8a.*
+ dc8a: 6855.*
+ dc8b: 1b8b.*
+ dc8c: 6880.*
+ dc8d: 1b8c.*
+ dc8e: 6888.*
+ dc8f: 1b8d.*
+ dc90: 6890.*
+ dc91: 1b8e.*
+ dc92: 68a0.*
+ dc93: 1b8f.*
+ dc94: 68a8.*
+ dc95: 1b90.*
+ dc96: 68b0.*
+ dc97: 1b91.*
+ dc98: 68b8.*
+ dc99: 1b92.*
+ dc9a: 68c0.*
+ dc9b: 1b93.*
+ dc9c: 68c8.*
+ dc9d: 1b94.*
+ dc9e: 68d0.*
+ dc9f: 1b95.*
+ dca0: 68d8.*
+ dca1: 1b96.*
+ dca2: 68e0.*
+ dca3: 1b97.*
+ dca4: 1b98.*
+ dca5: 68e8.*
+ dca6: 1b99.*
+ dca7: 1b9a.*
+ dca8: 68f0.*
+ dca9: 1b9b.*
+ dcaa: 1b9c.*
+ dcab: 68f8.*
+ dcac: 1b9d.*
+ dcad: 1b9e.*
+ dcae: 6881.*
+ dcaf: 1b9f.*
+ dcb0: 6889.*
+ dcb1: 1ba0.*
+ dcb2: 6891.*
+ dcb3: 1ba1.*
+ dcb4: 68a1.*
+ dcb5: 1ba2.*
+ dcb6: 68a9.*
+ dcb7: 1ba3.*
+ dcb8: 68b1.*
+ dcb9: 1ba4.*
+ dcba: 68b9.*
+ dcbb: 1ba5.*
+ dcbc: 68c1.*
+ dcbd: 1ba6.*
+ dcbe: 68c9.*
+ dcbf: 1ba7.*
+ dcc0: 68d1.*
+ dcc1: 1ba8.*
+ dcc2: 68d9.*
+ dcc3: 1ba9.*
+ dcc4: 68e1.*
+ dcc5: 1baa.*
+ dcc6: 1bab.*
+ dcc7: 68e9.*
+ dcc8: 1bac.*
+ dcc9: 1bad.*
+ dcca: 68f1.*
+ dccb: 1bae.*
+ dccc: 1baf.*
+ dccd: 68f8.*
+ dcce: 1bb0.*
+ dccf: 1bb1.*
+ dcd0: 6882.*
+ dcd1: 1bb2.*
+ dcd2: 688a.*
+ dcd3: 1bb3.*
+ dcd4: 6892.*
+ dcd5: 1bb4.*
+ dcd6: 68a2.*
+ dcd7: 1bb5.*
+ dcd8: 68aa.*
+ dcd9: 1bb6.*
+ dcda: 68b2.*
+ dcdb: 1bb7.*
+ dcdc: 68ba.*
+ dcdd: 1bb8.*
+ dcde: 68c2.*
+ dcdf: 1bb9.*
+ dce0: 68ca.*
+ dce1: 1bba.*
+ dce2: 68d2.*
+ dce3: 1bbb.*
+ dce4: 68da.*
+ dce5: 1bbc.*
+ dce6: 68e2.*
+ dce7: 1bbd.*
+ dce8: 1bbe.*
+ dce9: 68ea.*
+ dcea: 1bbf.*
+ dceb: 1bc0.*
+ dcec: 68f2.*
+ dced: 1bc1.*
+ dcee: 1bc2.*
+ dcef: 68f8.*
+ dcf0: 1bc3.*
+ dcf1: 1bc4.*
+ dcf2: 6883.*
+ dcf3: 1bc5.*
+ dcf4: 688b.*
+ dcf5: 1bc6.*
+ dcf6: 6893.*
+ dcf7: 1bc7.*
+ dcf8: 68a3.*
+ dcf9: 1bc8.*
+ dcfa: 68ab.*
+ dcfb: 1bc9.*
+ dcfc: 68b3.*
+ dcfd: 1bca.*
+ dcfe: 68bb.*
+ dcff: 1bcb.*
+ dd00: 68c3.*
+ dd01: 1bcc.*
+ dd02: 68cb.*
+ dd03: 1bcd.*
+ dd04: 68d3.*
+ dd05: 1bce.*
+ dd06: 68db.*
+ dd07: 1bcf.*
+ dd08: 68e3.*
+ dd09: 1bd0.*
+ dd0a: 1bd1.*
+ dd0b: 68eb.*
+ dd0c: 1bd2.*
+ dd0d: 1bd3.*
+ dd0e: 68f3.*
+ dd0f: 1bd4.*
+ dd10: 1bd5.*
+ dd11: 68f8.*
+ dd12: 1bd6.*
+ dd13: 1bd7.*
+ dd14: 6884.*
+ dd15: 1bd8.*
+ dd16: 688c.*
+ dd17: 1bd9.*
+ dd18: 6894.*
+ dd19: 1bda.*
+ dd1a: 68a4.*
+ dd1b: 1bdb.*
+ dd1c: 68ac.*
+ dd1d: 1bdc.*
+ dd1e: 68b4.*
+ dd1f: 1bdd.*
+ dd20: 68bc.*
+ dd21: 1bde.*
+ dd22: 68c4.*
+ dd23: 1bdf.*
+ dd24: 68cc.*
+ dd25: 1be0.*
+ dd26: 68d4.*
+ dd27: 1be1.*
+ dd28: 68dc.*
+ dd29: 1be2.*
+ dd2a: 68e4.*
+ dd2b: 1be3.*
+ dd2c: 1be4.*
+ dd2d: 68ec.*
+ dd2e: 1be5.*
+ dd2f: 1be6.*
+ dd30: 68f4.*
+ dd31: 1be7.*
+ dd32: 1be8.*
+ dd33: 68f8.*
+ dd34: 1be9.*
+ dd35: 1bea.*
+ dd36: 6885.*
+ dd37: 1beb.*
+ dd38: 688d.*
+ dd39: 1bec.*
+ dd3a: 6895.*
+ dd3b: 1bed.*
+ dd3c: 68a5.*
+ dd3d: 1bee.*
+ dd3e: 68ad.*
+ dd3f: 1bef.*
+ dd40: 68b5.*
+ dd41: 1bf0.*
+ dd42: 68bd.*
+ dd43: 1bf1.*
+ dd44: 68c5.*
+ dd45: 1bf2.*
+ dd46: 68cd.*
+ dd47: 1bf3.*
+ dd48: 68d5.*
+ dd49: 1bf4.*
+ dd4a: 68dd.*
+ dd4b: 1bf5.*
+ dd4c: 68e5.*
+ dd4d: 1bf6.*
+ dd4e: 1bf7.*
+ dd4f: 68ed.*
+ dd50: 1bf8.*
+ dd51: 1bf9.*
+ dd52: 68f5.*
+ dd53: 1bfa.*
+ dd54: 1bfb.*
+ dd55: 68f8.*
+ dd56: 1bfc.*
+ dd57: 1bfd.*
+ dd58: 6886.*
+ dd59: 1bfe.*
+ dd5a: 688e.*
+ dd5b: 1bff.*
+ dd5c: 6896.*
+ dd5d: 1c00.*
+ dd5e: 68a6.*
+ dd5f: 1c01.*
+ dd60: 68ae.*
+ dd61: 1c02.*
+ dd62: 68b6.*
+ dd63: 1c03.*
+ dd64: 68be.*
+ dd65: 1c04.*
+ dd66: 68c6.*
+ dd67: 1c05.*
+ dd68: 68ce.*
+ dd69: 1c06.*
+ dd6a: 68d6.*
+ dd6b: 1c07.*
+ dd6c: 68de.*
+ dd6d: 1c08.*
+ dd6e: 68e6.*
+ dd6f: 1c09.*
+ dd70: 1c0a.*
+ dd71: 68ee.*
+ dd72: 1c0b.*
+ dd73: 1c0c.*
+ dd74: 68f6.*
+ dd75: 1c0d.*
+ dd76: 1c0e.*
+ dd77: 68f8.*
+ dd78: 1c0f.*
+ dd79: 1c10.*
+ dd7a: 6887.*
+ dd7b: 1c11.*
+ dd7c: 688f.*
+ dd7d: 1c12.*
+ dd7e: 6897.*
+ dd7f: 1c13.*
+ dd80: 68a7.*
+ dd81: 1c14.*
+ dd82: 68af.*
+ dd83: 1c15.*
+ dd84: 68b7.*
+ dd85: 1c16.*
+ dd86: 68bf.*
+ dd87: 1c17.*
+ dd88: 68c7.*
+ dd89: 1c18.*
+ dd8a: 68cf.*
+ dd8b: 1c19.*
+ dd8c: 68d7.*
+ dd8d: 1c1a.*
+ dd8e: 68df.*
+ dd8f: 1c1b.*
+ dd90: 68e7.*
+ dd91: 1c1c.*
+ dd92: 1c1d.*
+ dd93: 68ef.*
+ dd94: 1c1e.*
+ dd95: 1c1f.*
+ dd96: 68f7.*
+ dd97: 1c20.*
+ dd98: 1c21.*
+ dd99: 68f8.*
+ dd9a: 1c22.*
+ dd9b: 1c23.*
+ dd9c: f030.*
+ dd9d: 1c24.*
+ dd9e: f130.*
+ dd9f: 1c25.*
+ dda0: f030.*
+ dda1: 1c26.*
+ dda2: f230.*
+ dda3: 1c27.*
+ dda4: f330.*
+ dda5: 1c28.*
+ dda6: f330.*
+ dda7: 1c29.*
+ dda8: f030.*
+ dda9: 1c2a.*
+ ddaa: f130.*
+ ddab: 1c2b.*
+ ddac: f030.*
+ ddad: 1c2c.*
+ ddae: f230.*
+ ddaf: 1c2d.*
+ ddb0: f330.*
+ ddb1: 1c2e.*
+ ddb2: f330.*
+ ddb3: 1c2f.*
+ ddb4: f031.*
+ ddb5: 1c30.*
+ ddb6: f131.*
+ ddb7: 1c31.*
+ ddb8: f031.*
+ ddb9: 1c32.*
+ ddba: f231.*
+ ddbb: 1c33.*
+ ddbc: f331.*
+ ddbd: 1c34.*
+ ddbe: f331.*
+ ddbf: 1c35.*
+ ddc0: f032.*
+ ddc1: 1c36.*
+ ddc2: f132.*
+ ddc3: 1c37.*
+ ddc4: f032.*
+ ddc5: 1c38.*
+ ddc6: f232.*
+ ddc7: 1c39.*
+ ddc8: f332.*
+ ddc9: 1c3a.*
+ ddca: f332.*
+ ddcb: 1c3b.*
+ ddcc: f033.*
+ ddcd: 1c3c.*
+ ddce: f133.*
+ ddcf: 1c3d.*
+ ddd0: f033.*
+ ddd1: 1c3e.*
+ ddd2: f233.*
+ ddd3: 1c3f.*
+ ddd4: f333.*
+ ddd5: 1c40.*
+ ddd6: f333.*
+ ddd7: 1c41.*
+ ddd8: f034.*
+ ddd9: 1c42.*
+ ddda: f134.*
+ dddb: 1c43.*
+ dddc: f034.*
+ dddd: 1c44.*
+ ddde: f234.*
+ dddf: 1c45.*
+ dde0: f334.*
+ dde1: 1c46.*
+ dde2: f334.*
+ dde3: 1c47.*
+ dde4: f035.*
+ dde5: 1c48.*
+ dde6: f135.*
+ dde7: 1c49.*
+ dde8: f035.*
+ dde9: 1c4a.*
+ ddea: f235.*
+ ddeb: 1c4b.*
+ ddec: f335.*
+ dded: 1c4c.*
+ ddee: f335.*
+ ddef: 1c4d.*
+ ddf0: f036.*
+ ddf1: 1c4e.*
+ ddf2: f136.*
+ ddf3: 1c4f.*
+ ddf4: f036.*
+ ddf5: 1c50.*
+ ddf6: f236.*
+ ddf7: 1c51.*
+ ddf8: f336.*
+ ddf9: 1c52.*
+ ddfa: f336.*
+ ddfb: 1c53.*
+ ddfc: f037.*
+ ddfd: 1c54.*
+ ddfe: f137.*
+ ddff: 1c55.*
+ de00: f037.*
+ de01: 1c56.*
+ de02: f237.*
+ de03: 1c57.*
+ de04: f337.*
+ de05: 1c58.*
+ de06: f337.*
+ de07: 1c59.*
+ de08: f038.*
+ de09: 1c5a.*
+ de0a: f138.*
+ de0b: 1c5b.*
+ de0c: f038.*
+ de0d: 1c5c.*
+ de0e: f238.*
+ de0f: 1c5d.*
+ de10: f338.*
+ de11: 1c5e.*
+ de12: f338.*
+ de13: 1c5f.*
+ de14: f039.*
+ de15: 1c60.*
+ de16: f139.*
+ de17: 1c61.*
+ de18: f039.*
+ de19: 1c62.*
+ de1a: f239.*
+ de1b: 1c63.*
+ de1c: f339.*
+ de1d: 1c64.*
+ de1e: f339.*
+ de1f: 1c65.*
+ de20: f03a.*
+ de21: 1c66.*
+ de22: f13a.*
+ de23: 1c67.*
+ de24: f03a.*
+ de25: 1c68.*
+ de26: f23a.*
+ de27: 1c69.*
+ de28: f33a.*
+ de29: 1c6a.*
+ de2a: f33a.*
+ de2b: 1c6b.*
+ de2c: f03b.*
+ de2d: 1c6c.*
+ de2e: f13b.*
+ de2f: 1c6d.*
+ de30: f03b.*
+ de31: 1c6e.*
+ de32: f23b.*
+ de33: 1c6f.*
+ de34: f33b.*
+ de35: 1c70.*
+ de36: f33b.*
+ de37: 1c71.*
+ de38: f03c.*
+ de39: 1c72.*
+ de3a: f13c.*
+ de3b: 1c73.*
+ de3c: f03c.*
+ de3d: 1c74.*
+ de3e: f23c.*
+ de3f: 1c75.*
+ de40: f33c.*
+ de41: 1c76.*
+ de42: f33c.*
+ de43: 1c77.*
+ de44: f03d.*
+ de45: 1c78.*
+ de46: f13d.*
+ de47: 1c79.*
+ de48: f03d.*
+ de49: 1c7a.*
+ de4a: f23d.*
+ de4b: 1c7b.*
+ de4c: f33d.*
+ de4d: 1c7c.*
+ de4e: f33d.*
+ de4f: 1c7d.*
+ de50: f03e.*
+ de51: 1c7e.*
+ de52: f13e.*
+ de53: 1c7f.*
+ de54: f03e.*
+ de55: 1c80.*
+ de56: f23e.*
+ de57: 1c81.*
+ de58: f33e.*
+ de59: 1c82.*
+ de5a: f33e.*
+ de5b: 1c83.*
+ de5c: f03f.*
+ de5d: 1c84.*
+ de5e: f13f.*
+ de5f: 1c85.*
+ de60: f03f.*
+ de61: 1c86.*
+ de62: f23f.*
+ de63: 1c87.*
+ de64: f33f.*
+ de65: 1c88.*
+ de66: f33f.*
+ de67: 1c89.*
+ de68: f080.*
+ de69: f180.*
+ de6a: f080.*
+ de6b: f090.*
+ de6c: f190.*
+ de6d: f090.*
+ de6e: f091.*
+ de6f: f191.*
+ de70: f091.*
+ de71: f092.*
+ de72: f192.*
+ de73: f092.*
+ de74: f093.*
+ de75: f193.*
+ de76: f093.*
+ de77: f094.*
+ de78: f194.*
+ de79: f094.*
+ de7a: f095.*
+ de7b: f195.*
+ de7c: f095.*
+ de7d: f096.*
+ de7e: f196.*
+ de7f: f096.*
+ de80: f097.*
+ de81: f197.*
+ de82: f097.*
+ de83: f098.*
+ de84: f198.*
+ de85: f098.*
+ de86: f099.*
+ de87: f199.*
+ de88: f099.*
+ de89: f09a.*
+ de8a: f19a.*
+ de8b: f09a.*
+ de8c: f09b.*
+ de8d: f19b.*
+ de8e: f09b.*
+ de8f: f09c.*
+ de90: f19c.*
+ de91: f09c.*
+ de92: f09d.*
+ de93: f19d.*
+ de94: f09d.*
+ de95: f09e.*
+ de96: f19e.*
+ de97: f09e.*
+ de98: f09f.*
+ de99: f19f.*
+ de9a: f09f.*
+ de9b: f080.*
+ de9c: f180.*
+ de9d: f080.*
+ de9e: f081.*
+ de9f: f181.*
+ dea0: f081.*
+ dea1: f082.*
+ dea2: f182.*
+ dea3: f082.*
+ dea4: f083.*
+ dea5: f183.*
+ dea6: f083.*
+ dea7: f084.*
+ dea8: f184.*
+ dea9: f084.*
+ deaa: f085.*
+ deab: f185.*
+ deac: f085.*
+ dead: f086.*
+ deae: f186.*
+ deaf: f086.*
+ deb0: f087.*
+ deb1: f187.*
+ deb2: f087.*
+ deb3: f088.*
+ deb4: f188.*
+ deb5: f088.*
+ deb6: f089.*
+ deb7: f189.*
+ deb8: f089.*
+ deb9: f08a.*
+ deba: f18a.*
+ debb: f08a.*
+ debc: f08b.*
+ debd: f18b.*
+ debe: f08b.*
+ debf: f08c.*
+ dec0: f18c.*
+ dec1: f08c.*
+ dec2: f08d.*
+ dec3: f18d.*
+ dec4: f08d.*
+ dec5: f08e.*
+ dec6: f18e.*
+ dec7: f08e.*
+ dec8: f08f.*
+ dec9: f18f.*
+ deca: f08f.*
+ decb: f280.*
+ decc: f380.*
+ decd: f380.*
+ dece: f290.*
+ decf: f390.*
+ ded0: f390.*
+ ded1: f291.*
+ ded2: f391.*
+ ded3: f391.*
+ ded4: f292.*
+ ded5: f392.*
+ ded6: f392.*
+ ded7: f293.*
+ ded8: f393.*
+ ded9: f393.*
+ deda: f294.*
+ dedb: f394.*
+ dedc: f394.*
+ dedd: f295.*
+ dede: f395.*
+ dedf: f395.*
+ dee0: f296.*
+ dee1: f396.*
+ dee2: f396.*
+ dee3: f297.*
+ dee4: f397.*
+ dee5: f397.*
+ dee6: f298.*
+ dee7: f398.*
+ dee8: f398.*
+ dee9: f299.*
+ deea: f399.*
+ deeb: f399.*
+ deec: f29a.*
+ deed: f39a.*
+ deee: f39a.*
+ deef: f29b.*
+ def0: f39b.*
+ def1: f39b.*
+ def2: f29c.*
+ def3: f39c.*
+ def4: f39c.*
+ def5: f29d.*
+ def6: f39d.*
+ def7: f39d.*
+ def8: f29e.*
+ def9: f39e.*
+ defa: f39e.*
+ defb: f29f.*
+ defc: f39f.*
+ defd: f39f.*
+ defe: f280.*
+ deff: f380.*
+ df00: f380.*
+ df01: f281.*
+ df02: f381.*
+ df03: f381.*
+ df04: f282.*
+ df05: f382.*
+ df06: f382.*
+ df07: f283.*
+ df08: f383.*
+ df09: f383.*
+ df0a: f284.*
+ df0b: f384.*
+ df0c: f384.*
+ df0d: f285.*
+ df0e: f385.*
+ df0f: f385.*
+ df10: f286.*
+ df11: f386.*
+ df12: f386.*
+ df13: f287.*
+ df14: f387.*
+ df15: f387.*
+ df16: f288.*
+ df17: f388.*
+ df18: f388.*
+ df19: f289.*
+ df1a: f389.*
+ df1b: f389.*
+ df1c: f28a.*
+ df1d: f38a.*
+ df1e: f38a.*
+ df1f: f28b.*
+ df20: f38b.*
+ df21: f38b.*
+ df22: f28c.*
+ df23: f38c.*
+ df24: f38c.*
+ df25: f28d.*
+ df26: f38d.*
+ df27: f38d.*
+ df28: f28e.*
+ df29: f38e.*
+ df2a: f38e.*
+ df2b: f28f.*
+ df2c: f38f.*
+ df2d: f38f.*
+ df2e: 1856.*
+ df2f: 1957.*
+ df30: 1880.*
+ df31: 1980.*
+ df32: 1888.*
+ df33: 1988.*
+ df34: 1890.*
+ df35: 1990.*
+ df36: 18a0.*
+ df37: 19a0.*
+ df38: 18a8.*
+ df39: 19a8.*
+ df3a: 18b0.*
+ df3b: 19b0.*
+ df3c: 18b8.*
+ df3d: 19b8.*
+ df3e: 18c0.*
+ df3f: 19c0.*
+ df40: 18c8.*
+ df41: 19c8.*
+ df42: 18d0.*
+ df43: 19d0.*
+ df44: 18d8.*
+ df45: 19d8.*
+ df46: 18e0.*
+ df47: 1c8a.*
+ df48: 19e0.*
+ df49: 1c8b.*
+ df4a: 18e8.*
+ df4b: 1c8c.*
+ df4c: 19e8.*
+ df4d: 1c8d.*
+ df4e: 18f0.*
+ df4f: 1c8e.*
+ df50: 19f0.*
+ df51: 1c8f.*
+ df52: 18f8.*
+ df53: 1c90.*
+ df54: 19f8.*
+ df55: 1c91.*
+ df56: 1881.*
+ df57: 1981.*
+ df58: 1889.*
+ df59: 1989.*
+ df5a: 1891.*
+ df5b: 1991.*
+ df5c: 18a1.*
+ df5d: 19a1.*
+ df5e: 18a9.*
+ df5f: 19a9.*
+ df60: 18b1.*
+ df61: 19b1.*
+ df62: 18b9.*
+ df63: 19b9.*
+ df64: 18c1.*
+ df65: 19c1.*
+ df66: 18c9.*
+ df67: 19c9.*
+ df68: 18d1.*
+ df69: 19d1.*
+ df6a: 18d9.*
+ df6b: 19d9.*
+ df6c: 18e1.*
+ df6d: 1c92.*
+ df6e: 19e1.*
+ df6f: 1c93.*
+ df70: 18e9.*
+ df71: 1c94.*
+ df72: 19e9.*
+ df73: 1c95.*
+ df74: 18f1.*
+ df75: 1c96.*
+ df76: 19f1.*
+ df77: 1c97.*
+ df78: 18f8.*
+ df79: 1c98.*
+ df7a: 19f8.*
+ df7b: 1c99.*
+ df7c: 1882.*
+ df7d: 1982.*
+ df7e: 188a.*
+ df7f: 198a.*
+ df80: 1892.*
+ df81: 1992.*
+ df82: 18a2.*
+ df83: 19a2.*
+ df84: 18aa.*
+ df85: 19aa.*
+ df86: 18b2.*
+ df87: 19b2.*
+ df88: 18ba.*
+ df89: 19ba.*
+ df8a: 18c2.*
+ df8b: 19c2.*
+ df8c: 18ca.*
+ df8d: 19ca.*
+ df8e: 18d2.*
+ df8f: 19d2.*
+ df90: 18da.*
+ df91: 19da.*
+ df92: 18e2.*
+ df93: 1c9a.*
+ df94: 19e2.*
+ df95: 1c9b.*
+ df96: 18ea.*
+ df97: 1c9c.*
+ df98: 19ea.*
+ df99: 1c9d.*
+ df9a: 18f2.*
+ df9b: 1c9e.*
+ df9c: 19f2.*
+ df9d: 1c9f.*
+ df9e: 18f8.*
+ df9f: 1ca0.*
+ dfa0: 19f8.*
+ dfa1: 1ca1.*
+ dfa2: 1883.*
+ dfa3: 1983.*
+ dfa4: 188b.*
+ dfa5: 198b.*
+ dfa6: 1893.*
+ dfa7: 1993.*
+ dfa8: 18a3.*
+ dfa9: 19a3.*
+ dfaa: 18ab.*
+ dfab: 19ab.*
+ dfac: 18b3.*
+ dfad: 19b3.*
+ dfae: 18bb.*
+ dfaf: 19bb.*
+ dfb0: 18c3.*
+ dfb1: 19c3.*
+ dfb2: 18cb.*
+ dfb3: 19cb.*
+ dfb4: 18d3.*
+ dfb5: 19d3.*
+ dfb6: 18db.*
+ dfb7: 19db.*
+ dfb8: 18e3.*
+ dfb9: 1ca2.*
+ dfba: 19e3.*
+ dfbb: 1ca3.*
+ dfbc: 18eb.*
+ dfbd: 1ca4.*
+ dfbe: 19eb.*
+ dfbf: 1ca5.*
+ dfc0: 18f3.*
+ dfc1: 1ca6.*
+ dfc2: 19f3.*
+ dfc3: 1ca7.*
+ dfc4: 18f8.*
+ dfc5: 1ca8.*
+ dfc6: 19f8.*
+ dfc7: 1ca9.*
+ dfc8: 1884.*
+ dfc9: 1984.*
+ dfca: 188c.*
+ dfcb: 198c.*
+ dfcc: 1894.*
+ dfcd: 1994.*
+ dfce: 18a4.*
+ dfcf: 19a4.*
+ dfd0: 18ac.*
+ dfd1: 19ac.*
+ dfd2: 18b4.*
+ dfd3: 19b4.*
+ dfd4: 18bc.*
+ dfd5: 19bc.*
+ dfd6: 18c4.*
+ dfd7: 19c4.*
+ dfd8: 18cc.*
+ dfd9: 19cc.*
+ dfda: 18d4.*
+ dfdb: 19d4.*
+ dfdc: 18dc.*
+ dfdd: 19dc.*
+ dfde: 18e4.*
+ dfdf: 1caa.*
+ dfe0: 19e4.*
+ dfe1: 1cab.*
+ dfe2: 18ec.*
+ dfe3: 1cac.*
+ dfe4: 19ec.*
+ dfe5: 1cad.*
+ dfe6: 18f4.*
+ dfe7: 1cae.*
+ dfe8: 19f4.*
+ dfe9: 1caf.*
+ dfea: 18f8.*
+ dfeb: 1cb0.*
+ dfec: 19f8.*
+ dfed: 1cb1.*
+ dfee: 1885.*
+ dfef: 1985.*
+ dff0: 188d.*
+ dff1: 198d.*
+ dff2: 1895.*
+ dff3: 1995.*
+ dff4: 18a5.*
+ dff5: 19a5.*
+ dff6: 18ad.*
+ dff7: 19ad.*
+ dff8: 18b5.*
+ dff9: 19b5.*
+ dffa: 18bd.*
+ dffb: 19bd.*
+ dffc: 18c5.*
+ dffd: 19c5.*
+ dffe: 18cd.*
+ dfff: 19cd.*
+ e000: 18d5.*
+ e001: 19d5.*
+ e002: 18dd.*
+ e003: 19dd.*
+ e004: 18e5.*
+ e005: 1cb2.*
+ e006: 19e5.*
+ e007: 1cb3.*
+ e008: 18ed.*
+ e009: 1cb4.*
+ e00a: 19ed.*
+ e00b: 1cb5.*
+ e00c: 18f5.*
+ e00d: 1cb6.*
+ e00e: 19f5.*
+ e00f: 1cb7.*
+ e010: 18f8.*
+ e011: 1cb8.*
+ e012: 19f8.*
+ e013: 1cb9.*
+ e014: 1886.*
+ e015: 1986.*
+ e016: 188e.*
+ e017: 198e.*
+ e018: 1896.*
+ e019: 1996.*
+ e01a: 18a6.*
+ e01b: 19a6.*
+ e01c: 18ae.*
+ e01d: 19ae.*
+ e01e: 18b6.*
+ e01f: 19b6.*
+ e020: 18be.*
+ e021: 19be.*
+ e022: 18c6.*
+ e023: 19c6.*
+ e024: 18ce.*
+ e025: 19ce.*
+ e026: 18d6.*
+ e027: 19d6.*
+ e028: 18de.*
+ e029: 19de.*
+ e02a: 18e6.*
+ e02b: 1cba.*
+ e02c: 19e6.*
+ e02d: 1cbb.*
+ e02e: 18ee.*
+ e02f: 1cbc.*
+ e030: 19ee.*
+ e031: 1cbd.*
+ e032: 18f6.*
+ e033: 1cbe.*
+ e034: 19f6.*
+ e035: 1cbf.*
+ e036: 18f8.*
+ e037: 1cc0.*
+ e038: 19f8.*
+ e039: 1cc1.*
+ e03a: 1887.*
+ e03b: 1987.*
+ e03c: 188f.*
+ e03d: 198f.*
+ e03e: 1897.*
+ e03f: 1997.*
+ e040: 18a7.*
+ e041: 19a7.*
+ e042: 18af.*
+ e043: 19af.*
+ e044: 18b7.*
+ e045: 19b7.*
+ e046: 18bf.*
+ e047: 19bf.*
+ e048: 18c7.*
+ e049: 19c7.*
+ e04a: 18cf.*
+ e04b: 19cf.*
+ e04c: 18d7.*
+ e04d: 19d7.*
+ e04e: 18df.*
+ e04f: 19df.*
+ e050: 18e7.*
+ e051: 1cc2.*
+ e052: 19e7.*
+ e053: 1cc3.*
+ e054: 18ef.*
+ e055: 1cc4.*
+ e056: 19ef.*
+ e057: 1cc5.*
+ e058: 18f7.*
+ e059: 1cc6.*
+ e05a: 19f7.*
+ e05b: 1cc7.*
+ e05c: 18f8.*
+ e05d: 1cc8.*
+ e05e: 19f8.*
+ e05f: 1cc9.*
+ e060: f4e2.*
+ e061: f5e2.*
+ e062: f6e2.*
+ e063: f495.*
+ e064: f495.*
+ e065: f7e2.*
+ e066: f495.*
+ e067: f495.*
+ e068: 6c80.*
+ e069: 0060.*
+ e06a: 6c88.*
+ e06b: 0061.*
+ e06c: 6c90.*
+ e06d: 0062.*
+ e06e: 6ca0.*
+ e06f: 0063.*
+ e070: 6ca8.*
+ e071: 0064.*
+ e072: 6cb0.*
+ e073: 0065.*
+ e074: 6cb8.*
+ e075: 0066.*
+ e076: 6cc0.*
+ e077: 0067.*
+ e078: 6cc8.*
+ e079: 0068.*
+ e07a: 6cd0.*
+ e07b: 0069.*
+ e07c: 6cd8.*
+ e07d: 006a.*
+ e07e: 6ce0.*
+ e07f: 1cca.*
+ e080: 006b.*
+ e081: 6ce8.*
+ e082: 1ccb.*
+ e083: 006c.*
+ e084: 6cf0.*
+ e085: 1ccc.*
+ e086: 006d.*
+ e087: 6cf8.*
+ e088: 1ccd.*
+ e089: 006e.*
+ e08a: 6c81.*
+ e08b: 006f.*
+ e08c: 6c89.*
+ e08d: 0070.*
+ e08e: 6c91.*
+ e08f: 0071.*
+ e090: 6ca1.*
+ e091: 0072.*
+ e092: 6ca9.*
+ e093: 0073.*
+ e094: 6cb1.*
+ e095: 0074.*
+ e096: 6cb9.*
+ e097: 0075.*
+ e098: 6cc1.*
+ e099: 0076.*
+ e09a: 6cc9.*
+ e09b: 0077.*
+ e09c: 6cd1.*
+ e09d: 0078.*
+ e09e: 6cd9.*
+ e09f: 0079.*
+ e0a0: 6ce1.*
+ e0a1: 1cce.*
+ e0a2: 007a.*
+ e0a3: 6ce9.*
+ e0a4: 1ccf.*
+ e0a5: 007b.*
+ e0a6: 6cf1.*
+ e0a7: 1cd0.*
+ e0a8: 007c.*
+ e0a9: 6cf8.*
+ e0aa: 1cd1.*
+ e0ab: 007d.*
+ e0ac: 6c82.*
+ e0ad: 007e.*
+ e0ae: 6c8a.*
+ e0af: 007f.*
+ e0b0: 6c92.*
+ e0b1: 0080.*
+ e0b2: 6ca2.*
+ e0b3: 0081.*
+ e0b4: 6caa.*
+ e0b5: 0082.*
+ e0b6: 6cb2.*
+ e0b7: 0083.*
+ e0b8: 6cba.*
+ e0b9: 0084.*
+ e0ba: 6cc2.*
+ e0bb: 0085.*
+ e0bc: 6cca.*
+ e0bd: 0086.*
+ e0be: 6cd2.*
+ e0bf: 0087.*
+ e0c0: 6cda.*
+ e0c1: 0088.*
+ e0c2: 6ce2.*
+ e0c3: 1cd2.*
+ e0c4: 0089.*
+ e0c5: 6cea.*
+ e0c6: 1cd3.*
+ e0c7: 008a.*
+ e0c8: 6cf2.*
+ e0c9: 1cd4.*
+ e0ca: 008b.*
+ e0cb: 6cf8.*
+ e0cc: 1cd5.*
+ e0cd: 008c.*
+ e0ce: 6c83.*
+ e0cf: 008d.*
+ e0d0: 6c8b.*
+ e0d1: 008e.*
+ e0d2: 6c93.*
+ e0d3: 008f.*
+ e0d4: 6ca3.*
+ e0d5: 0090.*
+ e0d6: 6cab.*
+ e0d7: 0091.*
+ e0d8: 6cb3.*
+ e0d9: 0092.*
+ e0da: 6cbb.*
+ e0db: 0093.*
+ e0dc: 6cc3.*
+ e0dd: 0094.*
+ e0de: 6ccb.*
+ e0df: 0095.*
+ e0e0: 6cd3.*
+ e0e1: 0096.*
+ e0e2: 6cdb.*
+ e0e3: 0097.*
+ e0e4: 6ce3.*
+ e0e5: 1cd6.*
+ e0e6: 0098.*
+ e0e7: 6ceb.*
+ e0e8: 1cd7.*
+ e0e9: 0099.*
+ e0ea: 6cf3.*
+ e0eb: 1cd8.*
+ e0ec: 009a.*
+ e0ed: 6cf8.*
+ e0ee: 1cd9.*
+ e0ef: 009b.*
+ e0f0: 6c84.*
+ e0f1: 009c.*
+ e0f2: 6c8c.*
+ e0f3: 009d.*
+ e0f4: 6c94.*
+ e0f5: 009e.*
+ e0f6: 6ca4.*
+ e0f7: 009f.*
+ e0f8: 6cac.*
+ e0f9: 00a0.*
+ e0fa: 6cb4.*
+ e0fb: 00a1.*
+ e0fc: 6cbc.*
+ e0fd: 00a2.*
+ e0fe: 6cc4.*
+ e0ff: 00a3.*
+ e100: 6ccc.*
+ e101: 00a4.*
+ e102: 6cd4.*
+ e103: 00a5.*
+ e104: 6cdc.*
+ e105: 00a6.*
+ e106: 6ce4.*
+ e107: 1cda.*
+ e108: 00a7.*
+ e109: 6cec.*
+ e10a: 1cdb.*
+ e10b: 00a8.*
+ e10c: 6cf4.*
+ e10d: 1cdc.*
+ e10e: 00a9.*
+ e10f: 6cf8.*
+ e110: 1cdd.*
+ e111: 00aa.*
+ e112: 6c85.*
+ e113: 00ab.*
+ e114: 6c8d.*
+ e115: 00ac.*
+ e116: 6c95.*
+ e117: 00ad.*
+ e118: 6ca5.*
+ e119: 00ae.*
+ e11a: 6cad.*
+ e11b: 00af.*
+ e11c: 6cb5.*
+ e11d: 00b0.*
+ e11e: 6cbd.*
+ e11f: 00b1.*
+ e120: 6cc5.*
+ e121: 00b2.*
+ e122: 6ccd.*
+ e123: 00b3.*
+ e124: 6cd5.*
+ e125: 00b4.*
+ e126: 6cdd.*
+ e127: 00b5.*
+ e128: 6ce5.*
+ e129: 1cde.*
+ e12a: 00b6.*
+ e12b: 6ced.*
+ e12c: 1cdf.*
+ e12d: 00b7.*
+ e12e: 6cf5.*
+ e12f: 1ce0.*
+ e130: 00b8.*
+ e131: 6cf8.*
+ e132: 1ce1.*
+ e133: 00b9.*
+ e134: 6c86.*
+ e135: 00ba.*
+ e136: 6c8e.*
+ e137: 00bb.*
+ e138: 6c96.*
+ e139: 00bc.*
+ e13a: 6ca6.*
+ e13b: 00bd.*
+ e13c: 6cae.*
+ e13d: 00be.*
+ e13e: 6cb6.*
+ e13f: 00bf.*
+ e140: 6cbe.*
+ e141: 00c0.*
+ e142: 6cc6.*
+ e143: 00c1.*
+ e144: 6cce.*
+ e145: 00c2.*
+ e146: 6cd6.*
+ e147: 00c3.*
+ e148: 6cde.*
+ e149: 00c4.*
+ e14a: 6ce6.*
+ e14b: 1ce2.*
+ e14c: 00c5.*
+ e14d: 6cee.*
+ e14e: 1ce3.*
+ e14f: 00c6.*
+ e150: 6cf6.*
+ e151: 1ce4.*
+ e152: 00c7.*
+ e153: 6cf8.*
+ e154: 1ce5.*
+ e155: 00c8.*
+ e156: 6c87.*
+ e157: 00c9.*
+ e158: 6c8f.*
+ e159: 00ca.*
+ e15a: 6c97.*
+ e15b: 00cb.*
+ e15c: 6ca7.*
+ e15d: 00cc.*
+ e15e: 6caf.*
+ e15f: 00cd.*
+ e160: 6cb7.*
+ e161: 00ce.*
+ e162: 6cbf.*
+ e163: 00cf.*
+ e164: 6cc7.*
+ e165: 00d0.*
+ e166: 6ccf.*
+ e167: 00d1.*
+ e168: 6cd7.*
+ e169: 00d2.*
+ e16a: 6cdf.*
+ e16b: 00d3.*
+ e16c: 6ce7.*
+ e16d: 1ce6.*
+ e16e: 00d4.*
+ e16f: 6cef.*
+ e170: 1ce7.*
+ e171: 00d5.*
+ e172: 6cf7.*
+ e173: 1ce8.*
+ e174: 00d6.*
+ e175: 6cf8.*
+ e176: 1ce9.*
+ e177: 00d7.*
+ e178: 6e80.*
+ e179: 00d8.*
+ e17a: f495.*
+ e17b: f495.*
+ e17c: 6e88.*
+ e17d: 00d9.*
+ e17e: f495.*
+ e17f: f495.*
+ e180: 6e90.*
+ e181: 00da.*
+ e182: f495.*
+ e183: f495.*
+ e184: 6ea0.*
+ e185: 00db.*
+ e186: f495.*
+ e187: f495.*
+ e188: 6ea8.*
+ e189: 00dc.*
+ e18a: f495.*
+ e18b: f495.*
+ e18c: 6eb0.*
+ e18d: 00dd.*
+ e18e: f495.*
+ e18f: f495.*
+ e190: 6eb8.*
+ e191: 00de.*
+ e192: f495.*
+ e193: f495.*
+ e194: 6ec0.*
+ e195: 00df.*
+ e196: f495.*
+ e197: f495.*
+ e198: 6ec8.*
+ e199: 00e0.*
+ e19a: f495.*
+ e19b: f495.*
+ e19c: 6ed0.*
+ e19d: 00e1.*
+ e19e: f495.*
+ e19f: f495.*
+ e1a0: 6ed8.*
+ e1a1: 00e2.*
+ e1a2: f495.*
+ e1a3: f495.*
+ e1a4: 6ee0.*
+ e1a5: 1cea.*
+ e1a6: 00e3.*
+ e1a7: f495.*
+ e1a8: f495.*
+ e1a9: 6ee8.*
+ e1aa: 1ceb.*
+ e1ab: 00e4.*
+ e1ac: f495.*
+ e1ad: f495.*
+ e1ae: 6ef0.*
+ e1af: 1cec.*
+ e1b0: 00e5.*
+ e1b1: f495.*
+ e1b2: f495.*
+ e1b3: 6ef8.*
+ e1b4: 1ced.*
+ e1b5: 00e6.*
+ e1b6: f495.*
+ e1b7: f495.*
+ e1b8: 6e81.*
+ e1b9: 00e7.*
+ e1ba: f495.*
+ e1bb: f495.*
+ e1bc: 6e89.*
+ e1bd: 00e8.*
+ e1be: f495.*
+ e1bf: f495.*
+ e1c0: 6e91.*
+ e1c1: 00e9.*
+ e1c2: f495.*
+ e1c3: f495.*
+ e1c4: 6ea1.*
+ e1c5: 00ea.*
+ e1c6: f495.*
+ e1c7: f495.*
+ e1c8: 6ea9.*
+ e1c9: 00eb.*
+ e1ca: f495.*
+ e1cb: f495.*
+ e1cc: 6eb1.*
+ e1cd: 00ec.*
+ e1ce: f495.*
+ e1cf: f495.*
+ e1d0: 6eb9.*
+ e1d1: 00ed.*
+ e1d2: f495.*
+ e1d3: f495.*
+ e1d4: 6ec1.*
+ e1d5: 00ee.*
+ e1d6: f495.*
+ e1d7: f495.*
+ e1d8: 6ec9.*
+ e1d9: 00ef.*
+ e1da: f495.*
+ e1db: f495.*
+ e1dc: 6ed1.*
+ e1dd: 00f0.*
+ e1de: f495.*
+ e1df: f495.*
+ e1e0: 6ed9.*
+ e1e1: 00f1.*
+ e1e2: f495.*
+ e1e3: f495.*
+ e1e4: 6ee1.*
+ e1e5: 1cee.*
+ e1e6: 00f2.*
+ e1e7: f495.*
+ e1e8: f495.*
+ e1e9: 6ee9.*
+ e1ea: 1cef.*
+ e1eb: 00f3.*
+ e1ec: f495.*
+ e1ed: f495.*
+ e1ee: 6ef1.*
+ e1ef: 1cf0.*
+ e1f0: 00f4.*
+ e1f1: f495.*
+ e1f2: f495.*
+ e1f3: 6ef8.*
+ e1f4: 1cf1.*
+ e1f5: 00f5.*
+ e1f6: f495.*
+ e1f7: f495.*
+ e1f8: 6e82.*
+ e1f9: 00f6.*
+ e1fa: f495.*
+ e1fb: f495.*
+ e1fc: 6e8a.*
+ e1fd: 00f7.*
+ e1fe: f495.*
+ e1ff: f495.*
+ e200: 6e92.*
+ e201: 00f8.*
+ e202: f495.*
+ e203: f495.*
+ e204: 6ea2.*
+ e205: 00f9.*
+ e206: f495.*
+ e207: f495.*
+ e208: 6eaa.*
+ e209: 00fa.*
+ e20a: f495.*
+ e20b: f495.*
+ e20c: 6eb2.*
+ e20d: 00fb.*
+ e20e: f495.*
+ e20f: f495.*
+ e210: 6eba.*
+ e211: 00fc.*
+ e212: f495.*
+ e213: f495.*
+ e214: 6ec2.*
+ e215: 00fd.*
+ e216: f495.*
+ e217: f495.*
+ e218: 6eca.*
+ e219: 00fe.*
+ e21a: f495.*
+ e21b: f495.*
+ e21c: 6ed2.*
+ e21d: 00ff.*
+ e21e: f495.*
+ e21f: f495.*
+ e220: 6eda.*
+ e221: 0100.*
+ e222: f495.*
+ e223: f495.*
+ e224: 6ee2.*
+ e225: 1cf2.*
+ e226: 0101.*
+ e227: f495.*
+ e228: f495.*
+ e229: 6eea.*
+ e22a: 1cf3.*
+ e22b: 0102.*
+ e22c: f495.*
+ e22d: f495.*
+ e22e: 6ef2.*
+ e22f: 1cf4.*
+ e230: 0103.*
+ e231: f495.*
+ e232: f495.*
+ e233: 6ef8.*
+ e234: 1cf5.*
+ e235: 0104.*
+ e236: f495.*
+ e237: f495.*
+ e238: 6e83.*
+ e239: 0105.*
+ e23a: f495.*
+ e23b: f495.*
+ e23c: 6e8b.*
+ e23d: 0106.*
+ e23e: f495.*
+ e23f: f495.*
+ e240: 6e93.*
+ e241: 0107.*
+ e242: f495.*
+ e243: f495.*
+ e244: 6ea3.*
+ e245: 0108.*
+ e246: f495.*
+ e247: f495.*
+ e248: 6eab.*
+ e249: 0109.*
+ e24a: f495.*
+ e24b: f495.*
+ e24c: 6eb3.*
+ e24d: 010a.*
+ e24e: f495.*
+ e24f: f495.*
+ e250: 6ebb.*
+ e251: 010b.*
+ e252: f495.*
+ e253: f495.*
+ e254: 6ec3.*
+ e255: 010c.*
+ e256: f495.*
+ e257: f495.*
+ e258: 6ecb.*
+ e259: 010d.*
+ e25a: f495.*
+ e25b: f495.*
+ e25c: 6ed3.*
+ e25d: 010e.*
+ e25e: f495.*
+ e25f: f495.*
+ e260: 6edb.*
+ e261: 010f.*
+ e262: f495.*
+ e263: f495.*
+ e264: 6ee3.*
+ e265: 1cf6.*
+ e266: 0110.*
+ e267: f495.*
+ e268: f495.*
+ e269: 6eeb.*
+ e26a: 1cf7.*
+ e26b: 0111.*
+ e26c: f495.*
+ e26d: f495.*
+ e26e: 6ef3.*
+ e26f: 1cf8.*
+ e270: 0112.*
+ e271: f495.*
+ e272: f495.*
+ e273: 6ef8.*
+ e274: 1cf9.*
+ e275: 0113.*
+ e276: f495.*
+ e277: f495.*
+ e278: 6e84.*
+ e279: 0114.*
+ e27a: f495.*
+ e27b: f495.*
+ e27c: 6e8c.*
+ e27d: 0115.*
+ e27e: f495.*
+ e27f: f495.*
+ e280: 6e94.*
+ e281: 0116.*
+ e282: f495.*
+ e283: f495.*
+ e284: 6ea4.*
+ e285: 0117.*
+ e286: f495.*
+ e287: f495.*
+ e288: 6eac.*
+ e289: 0118.*
+ e28a: f495.*
+ e28b: f495.*
+ e28c: 6eb4.*
+ e28d: 0119.*
+ e28e: f495.*
+ e28f: f495.*
+ e290: 6ebc.*
+ e291: 011a.*
+ e292: f495.*
+ e293: f495.*
+ e294: 6ec4.*
+ e295: 011b.*
+ e296: f495.*
+ e297: f495.*
+ e298: 6ecc.*
+ e299: 011c.*
+ e29a: f495.*
+ e29b: f495.*
+ e29c: 6ed4.*
+ e29d: 011d.*
+ e29e: f495.*
+ e29f: f495.*
+ e2a0: 6edc.*
+ e2a1: 011e.*
+ e2a2: f495.*
+ e2a3: f495.*
+ e2a4: 6ee4.*
+ e2a5: 1cfa.*
+ e2a6: 011f.*
+ e2a7: f495.*
+ e2a8: f495.*
+ e2a9: 6eec.*
+ e2aa: 1cfb.*
+ e2ab: 0120.*
+ e2ac: f495.*
+ e2ad: f495.*
+ e2ae: 6ef4.*
+ e2af: 1cfc.*
+ e2b0: 0121.*
+ e2b1: f495.*
+ e2b2: f495.*
+ e2b3: 6ef8.*
+ e2b4: 1cfd.*
+ e2b5: 0122.*
+ e2b6: f495.*
+ e2b7: f495.*
+ e2b8: 6e85.*
+ e2b9: 0123.*
+ e2ba: f495.*
+ e2bb: f495.*
+ e2bc: 6e8d.*
+ e2bd: 0124.*
+ e2be: f495.*
+ e2bf: f495.*
+ e2c0: 6e95.*
+ e2c1: 0125.*
+ e2c2: f495.*
+ e2c3: f495.*
+ e2c4: 6ea5.*
+ e2c5: 0126.*
+ e2c6: f495.*
+ e2c7: f495.*
+ e2c8: 6ead.*
+ e2c9: 0127.*
+ e2ca: f495.*
+ e2cb: f495.*
+ e2cc: 6eb5.*
+ e2cd: 0128.*
+ e2ce: f495.*
+ e2cf: f495.*
+ e2d0: 6ebd.*
+ e2d1: 0129.*
+ e2d2: f495.*
+ e2d3: f495.*
+ e2d4: 6ec5.*
+ e2d5: 012a.*
+ e2d6: f495.*
+ e2d7: f495.*
+ e2d8: 6ecd.*
+ e2d9: 012b.*
+ e2da: f495.*
+ e2db: f495.*
+ e2dc: 6ed5.*
+ e2dd: 012c.*
+ e2de: f495.*
+ e2df: f495.*
+ e2e0: 6edd.*
+ e2e1: 012d.*
+ e2e2: f495.*
+ e2e3: f495.*
+ e2e4: 6ee5.*
+ e2e5: 1cfe.*
+ e2e6: 012e.*
+ e2e7: f495.*
+ e2e8: f495.*
+ e2e9: 6eed.*
+ e2ea: 1cff.*
+ e2eb: 012f.*
+ e2ec: f495.*
+ e2ed: f495.*
+ e2ee: 6ef5.*
+ e2ef: 1d00.*
+ e2f0: 0130.*
+ e2f1: f495.*
+ e2f2: f495.*
+ e2f3: 6ef8.*
+ e2f4: 1d01.*
+ e2f5: 0131.*
+ e2f6: f495.*
+ e2f7: f495.*
+ e2f8: 6e86.*
+ e2f9: 0132.*
+ e2fa: f495.*
+ e2fb: f495.*
+ e2fc: 6e8e.*
+ e2fd: 0133.*
+ e2fe: f495.*
+ e2ff: f495.*
+ e300: 6e96.*
+ e301: 0134.*
+ e302: f495.*
+ e303: f495.*
+ e304: 6ea6.*
+ e305: 0135.*
+ e306: f495.*
+ e307: f495.*
+ e308: 6eae.*
+ e309: 0136.*
+ e30a: f495.*
+ e30b: f495.*
+ e30c: 6eb6.*
+ e30d: 0137.*
+ e30e: f495.*
+ e30f: f495.*
+ e310: 6ebe.*
+ e311: 0138.*
+ e312: f495.*
+ e313: f495.*
+ e314: 6ec6.*
+ e315: 0139.*
+ e316: f495.*
+ e317: f495.*
+ e318: 6ece.*
+ e319: 013a.*
+ e31a: f495.*
+ e31b: f495.*
+ e31c: 6ed6.*
+ e31d: 013b.*
+ e31e: f495.*
+ e31f: f495.*
+ e320: 6ede.*
+ e321: 013c.*
+ e322: f495.*
+ e323: f495.*
+ e324: 6ee6.*
+ e325: 1d02.*
+ e326: 013d.*
+ e327: f495.*
+ e328: f495.*
+ e329: 6eee.*
+ e32a: 1d03.*
+ e32b: 013e.*
+ e32c: f495.*
+ e32d: f495.*
+ e32e: 6ef6.*
+ e32f: 1d04.*
+ e330: 013f.*
+ e331: f495.*
+ e332: f495.*
+ e333: 6ef8.*
+ e334: 1d05.*
+ e335: 0140.*
+ e336: f495.*
+ e337: f495.*
+ e338: 6e87.*
+ e339: 0141.*
+ e33a: f495.*
+ e33b: f495.*
+ e33c: 6e8f.*
+ e33d: 0142.*
+ e33e: f495.*
+ e33f: f495.*
+ e340: 6e97.*
+ e341: 0143.*
+ e342: f495.*
+ e343: f495.*
+ e344: 6ea7.*
+ e345: 0144.*
+ e346: f495.*
+ e347: f495.*
+ e348: 6eaf.*
+ e349: 0145.*
+ e34a: f495.*
+ e34b: f495.*
+ e34c: 6eb7.*
+ e34d: 0146.*
+ e34e: f495.*
+ e34f: f495.*
+ e350: 6ebf.*
+ e351: 0147.*
+ e352: f495.*
+ e353: f495.*
+ e354: 6ec7.*
+ e355: 0148.*
+ e356: f495.*
+ e357: f495.*
+ e358: 6ecf.*
+ e359: 0149.*
+ e35a: f495.*
+ e35b: f495.*
+ e35c: 6ed7.*
+ e35d: 014a.*
+ e35e: f495.*
+ e35f: f495.*
+ e360: 6edf.*
+ e361: 014b.*
+ e362: f495.*
+ e363: f495.*
+ e364: 6ee7.*
+ e365: 1d06.*
+ e366: 014c.*
+ e367: f495.*
+ e368: f495.*
+ e369: 6eef.*
+ e36a: 1d07.*
+ e36b: 014d.*
+ e36c: f495.*
+ e36d: f495.*
+ e36e: 6ef7.*
+ e36f: 1d08.*
+ e370: 014e.*
+ e371: f495.*
+ e372: f495.*
+ e373: 6ef8.*
+ e374: 1d09.*
+ e375: 014f.*
+ e376: f495.*
+ e377: f495.*
+ e378: f800.*
+ e379: 0150.*
+ e37a: f843.*
+ e37b: 0151.*
+ e37c: f84b.*
+ e37d: 0152.*
+ e37e: f847.*
+ e37f: 0153.*
+ e380: f84f.*
+ e381: 0154.*
+ e382: f846.*
+ e383: 0155.*
+ e384: f84e.*
+ e385: 0156.*
+ e386: f844.*
+ e387: 0157.*
+ e388: f84c.*
+ e389: 0158.*
+ e38a: f842.*
+ e38b: 0159.*
+ e38c: f84a.*
+ e38d: 015a.*
+ e38e: f845.*
+ e38f: 015b.*
+ e390: f84d.*
+ e391: 015c.*
+ e392: f860.*
+ e393: 015d.*
+ e394: f868.*
+ e395: 015e.*
+ e396: f870.*
+ e397: 015f.*
+ e398: f878.*
+ e399: 0160.*
+ e39a: f820.*
+ e39b: 0161.*
+ e39c: f830.*
+ e39d: 0162.*
+ e39e: f808.*
+ e39f: 0163.*
+ e3a0: f80c.*
+ e3a1: 0164.*
+ e3a2: f802.*
+ e3a3: 0165.*
+ e3a4: f803.*
+ e3a5: 0166.*
+ e3a6: f863.*
+ e3a7: 0167.*
+ e3a8: f863.*
+ e3a9: 0168.*
+ e3aa: f86b.*
+ e3ab: 0169.*
+ e3ac: f86b.*
+ e3ad: 016a.*
+ e3ae: f873.*
+ e3af: 016b.*
+ e3b0: f873.*
+ e3b1: 016c.*
+ e3b2: f87b.*
+ e3b3: 016d.*
+ e3b4: f87b.*
+ e3b5: 016e.*
+ e3b6: f867.*
+ e3b7: 016f.*
+ e3b8: f867.*
+ e3b9: 0170.*
+ e3ba: f86f.*
+ e3bb: 0171.*
+ e3bc: f86f.*
+ e3bd: 0172.*
+ e3be: f877.*
+ e3bf: 0173.*
+ e3c0: f877.*
+ e3c1: 0174.*
+ e3c2: f87f.*
+ e3c3: 0175.*
+ e3c4: f87f.*
+ e3c5: 0176.*
+ e3c6: f866.*
+ e3c7: 0177.*
+ e3c8: f866.*
+ e3c9: 0178.*
+ e3ca: f86e.*
+ e3cb: 0179.*
+ e3cc: f86e.*
+ e3cd: 017a.*
+ e3ce: f876.*
+ e3cf: 017b.*
+ e3d0: f876.*
+ e3d1: 017c.*
+ e3d2: f87e.*
+ e3d3: 017d.*
+ e3d4: f87e.*
+ e3d5: 017e.*
+ e3d6: f864.*
+ e3d7: 017f.*
+ e3d8: f864.*
+ e3d9: 0180.*
+ e3da: f86c.*
+ e3db: 0181.*
+ e3dc: f86c.*
+ e3dd: 0182.*
+ e3de: f874.*
+ e3df: 0183.*
+ e3e0: f874.*
+ e3e1: 0184.*
+ e3e2: f87c.*
+ e3e3: 0185.*
+ e3e4: f87c.*
+ e3e5: 0186.*
+ e3e6: f862.*
+ e3e7: 0187.*
+ e3e8: f862.*
+ e3e9: 0188.*
+ e3ea: f86a.*
+ e3eb: 0189.*
+ e3ec: f86a.*
+ e3ed: 018a.*
+ e3ee: f872.*
+ e3ef: 018b.*
+ e3f0: f872.*
+ e3f1: 018c.*
+ e3f2: f87a.*
+ e3f3: 018d.*
+ e3f4: f87a.*
+ e3f5: 018e.*
+ e3f6: f865.*
+ e3f7: 018f.*
+ e3f8: f865.*
+ e3f9: 0190.*
+ e3fa: f86d.*
+ e3fb: 0191.*
+ e3fc: f86d.*
+ e3fd: 0192.*
+ e3fe: f875.*
+ e3ff: 0193.*
+ e400: f875.*
+ e401: 0194.*
+ e402: f87d.*
+ e403: 0195.*
+ e404: f87d.*
+ e405: 0196.*
+ e406: f82a.*
+ e407: 0197.*
+ e408: f82a.*
+ e409: 0198.*
+ e40a: f82a.*
+ e40b: 0199.*
+ e40c: f82a.*
+ e40d: 019a.*
+ e40e: f82a.*
+ e40f: 019b.*
+ e410: f82a.*
+ e411: 019c.*
+ e412: f828.*
+ e413: 019d.*
+ e414: f822.*
+ e415: 019e.*
+ e416: f822.*
+ e417: 019f.*
+ e418: f80a.*
+ e419: 01a0.*
+ e41a: f80a.*
+ e41b: 01a1.*
+ e41c: f82b.*
+ e41d: 01a2.*
+ e41e: f82b.*
+ e41f: 01a3.*
+ e420: f82b.*
+ e421: 01a4.*
+ e422: f82b.*
+ e423: 01a5.*
+ e424: f82b.*
+ e425: 01a6.*
+ e426: f82b.*
+ e427: 01a7.*
+ e428: f828.*
+ e429: 01a8.*
+ e42a: f823.*
+ e42b: 01a9.*
+ e42c: f823.*
+ e42d: 01aa.*
+ e42e: f80b.*
+ e42f: 01ab.*
+ e430: f80b.*
+ e431: 01ac.*
+ e432: f82e.*
+ e433: 01ad.*
+ e434: f82e.*
+ e435: 01ae.*
+ e436: f82e.*
+ e437: 01af.*
+ e438: f82e.*
+ e439: 01b0.*
+ e43a: f82e.*
+ e43b: 01b1.*
+ e43c: f82e.*
+ e43d: 01b2.*
+ e43e: f82c.*
+ e43f: 01b3.*
+ e440: f822.*
+ e441: 01b4.*
+ e442: f822.*
+ e443: 01b5.*
+ e444: f80e.*
+ e445: 01b6.*
+ e446: f80e.*
+ e447: 01b7.*
+ e448: f82f.*
+ e449: 01b8.*
+ e44a: f82f.*
+ e44b: 01b9.*
+ e44c: f82f.*
+ e44d: 01ba.*
+ e44e: f82f.*
+ e44f: 01bb.*
+ e450: f82f.*
+ e451: 01bc.*
+ e452: f82f.*
+ e453: 01bd.*
+ e454: f82c.*
+ e455: 01be.*
+ e456: f823.*
+ e457: 01bf.*
+ e458: f823.*
+ e459: 01c0.*
+ e45a: f80f.*
+ e45b: 01c1.*
+ e45c: f80f.*
+ e45d: 01c2.*
+ e45e: f83a.*
+ e45f: 01c3.*
+ e460: f83a.*
+ e461: 01c4.*
+ e462: f83a.*
+ e463: 01c5.*
+ e464: f83a.*
+ e465: 01c6.*
+ e466: f83a.*
+ e467: 01c7.*
+ e468: f83a.*
+ e469: 01c8.*
+ e46a: f838.*
+ e46b: 01c9.*
+ e46c: f832.*
+ e46d: 01ca.*
+ e46e: f832.*
+ e46f: 01cb.*
+ e470: f80a.*
+ e471: 01cc.*
+ e472: f80a.*
+ e473: 01cd.*
+ e474: f83b.*
+ e475: 01ce.*
+ e476: f83b.*
+ e477: 01cf.*
+ e478: f83b.*
+ e479: 01d0.*
+ e47a: f83b.*
+ e47b: 01d1.*
+ e47c: f83b.*
+ e47d: 01d2.*
+ e47e: f83b.*
+ e47f: 01d3.*
+ e480: f838.*
+ e481: 01d4.*
+ e482: f833.*
+ e483: 01d5.*
+ e484: f833.*
+ e485: 01d6.*
+ e486: f80b.*
+ e487: 01d7.*
+ e488: f80b.*
+ e489: 01d8.*
+ e48a: f83e.*
+ e48b: 01d9.*
+ e48c: f83e.*
+ e48d: 01da.*
+ e48e: f83e.*
+ e48f: 01db.*
+ e490: f83e.*
+ e491: 01dc.*
+ e492: f83e.*
+ e493: 01dd.*
+ e494: f83e.*
+ e495: 01de.*
+ e496: f83c.*
+ e497: 01df.*
+ e498: f832.*
+ e499: 01e0.*
+ e49a: f832.*
+ e49b: 01e1.*
+ e49c: f80e.*
+ e49d: 01e2.*
+ e49e: f80e.*
+ e49f: 01e3.*
+ e4a0: f83f.*
+ e4a1: 01e4.*
+ e4a2: f83f.*
+ e4a3: 01e5.*
+ e4a4: f83f.*
+ e4a5: 01e6.*
+ e4a6: f83f.*
+ e4a7: 01e7.*
+ e4a8: f83f.*
+ e4a9: 01e8.*
+ e4aa: f83f.*
+ e4ab: 01e9.*
+ e4ac: f83c.*
+ e4ad: 01ea.*
+ e4ae: f833.*
+ e4af: 01eb.*
+ e4b0: f833.*
+ e4b1: 01ec.*
+ e4b2: f80f.*
+ e4b3: 01ed.*
+ e4b4: f80f.*
+ e4b5: 01ee.*
+ e4b6: fa00.*
+ e4b7: 01ef.*
+ e4b8: f495.*
+ e4b9: f495.*
+ e4ba: fa43.*
+ e4bb: 01f0.*
+ e4bc: f495.*
+ e4bd: f495.*
+ e4be: fa4b.*
+ e4bf: 01f1.*
+ e4c0: f495.*
+ e4c1: f495.*
+ e4c2: fa47.*
+ e4c3: 01f2.*
+ e4c4: f495.*
+ e4c5: f495.*
+ e4c6: fa4f.*
+ e4c7: 01f3.*
+ e4c8: f495.*
+ e4c9: f495.*
+ e4ca: fa46.*
+ e4cb: 01f4.*
+ e4cc: f495.*
+ e4cd: f495.*
+ e4ce: fa4e.*
+ e4cf: 01f5.*
+ e4d0: f495.*
+ e4d1: f495.*
+ e4d2: fa44.*
+ e4d3: 01f6.*
+ e4d4: f495.*
+ e4d5: f495.*
+ e4d6: fa4c.*
+ e4d7: 01f7.*
+ e4d8: f495.*
+ e4d9: f495.*
+ e4da: fa42.*
+ e4db: 01f8.*
+ e4dc: f495.*
+ e4dd: f495.*
+ e4de: fa4a.*
+ e4df: 01f9.*
+ e4e0: f495.*
+ e4e1: f495.*
+ e4e2: fa45.*
+ e4e3: 01fa.*
+ e4e4: f495.*
+ e4e5: f495.*
+ e4e6: fa4d.*
+ e4e7: 01fb.*
+ e4e8: f495.*
+ e4e9: f495.*
+ e4ea: fa60.*
+ e4eb: 01fc.*
+ e4ec: f495.*
+ e4ed: f495.*
+ e4ee: fa68.*
+ e4ef: 01fd.*
+ e4f0: f495.*
+ e4f1: f495.*
+ e4f2: fa70.*
+ e4f3: 01fe.*
+ e4f4: f495.*
+ e4f5: f495.*
+ e4f6: fa78.*
+ e4f7: 01ff.*
+ e4f8: f495.*
+ e4f9: f495.*
+ e4fa: fa20.*
+ e4fb: 0200.*
+ e4fc: f495.*
+ e4fd: f495.*
+ e4fe: fa30.*
+ e4ff: 0201.*
+ e500: f495.*
+ e501: f495.*
+ e502: fa08.*
+ e503: 0202.*
+ e504: f495.*
+ e505: f495.*
+ e506: fa0c.*
+ e507: 0203.*
+ e508: f495.*
+ e509: f495.*
+ e50a: fa02.*
+ e50b: 0204.*
+ e50c: f495.*
+ e50d: f495.*
+ e50e: fa03.*
+ e50f: 0205.*
+ e510: f495.*
+ e511: f495.*
+ e512: fa63.*
+ e513: 0206.*
+ e514: f495.*
+ e515: f495.*
+ e516: fa63.*
+ e517: 0207.*
+ e518: f495.*
+ e519: f495.*
+ e51a: fa6b.*
+ e51b: 0208.*
+ e51c: f495.*
+ e51d: f495.*
+ e51e: fa6b.*
+ e51f: 0209.*
+ e520: f495.*
+ e521: f495.*
+ e522: fa73.*
+ e523: 020a.*
+ e524: f495.*
+ e525: f495.*
+ e526: fa73.*
+ e527: 020b.*
+ e528: f495.*
+ e529: f495.*
+ e52a: fa7b.*
+ e52b: 020c.*
+ e52c: f495.*
+ e52d: f495.*
+ e52e: fa7b.*
+ e52f: 020d.*
+ e530: f495.*
+ e531: f495.*
+ e532: fa67.*
+ e533: 020e.*
+ e534: f495.*
+ e535: f495.*
+ e536: fa67.*
+ e537: 020f.*
+ e538: f495.*
+ e539: f495.*
+ e53a: fa6f.*
+ e53b: 0210.*
+ e53c: f495.*
+ e53d: f495.*
+ e53e: fa6f.*
+ e53f: 0211.*
+ e540: f495.*
+ e541: f495.*
+ e542: fa77.*
+ e543: 0212.*
+ e544: f495.*
+ e545: f495.*
+ e546: fa77.*
+ e547: 0213.*
+ e548: f495.*
+ e549: f495.*
+ e54a: fa7f.*
+ e54b: 0214.*
+ e54c: f495.*
+ e54d: f495.*
+ e54e: fa7f.*
+ e54f: 0215.*
+ e550: f495.*
+ e551: f495.*
+ e552: fa66.*
+ e553: 0216.*
+ e554: f495.*
+ e555: f495.*
+ e556: fa66.*
+ e557: 0217.*
+ e558: f495.*
+ e559: f495.*
+ e55a: fa6e.*
+ e55b: 0218.*
+ e55c: f495.*
+ e55d: f495.*
+ e55e: fa6e.*
+ e55f: 0219.*
+ e560: f495.*
+ e561: f495.*
+ e562: fa76.*
+ e563: 021a.*
+ e564: f495.*
+ e565: f495.*
+ e566: fa76.*
+ e567: 021b.*
+ e568: f495.*
+ e569: f495.*
+ e56a: fa7e.*
+ e56b: 021c.*
+ e56c: f495.*
+ e56d: f495.*
+ e56e: fa7e.*
+ e56f: 021d.*
+ e570: f495.*
+ e571: f495.*
+ e572: fa64.*
+ e573: 021e.*
+ e574: f495.*
+ e575: f495.*
+ e576: fa64.*
+ e577: 021f.*
+ e578: f495.*
+ e579: f495.*
+ e57a: fa6c.*
+ e57b: 0220.*
+ e57c: f495.*
+ e57d: f495.*
+ e57e: fa6c.*
+ e57f: 0221.*
+ e580: f495.*
+ e581: f495.*
+ e582: fa74.*
+ e583: 0222.*
+ e584: f495.*
+ e585: f495.*
+ e586: fa74.*
+ e587: 0223.*
+ e588: f495.*
+ e589: f495.*
+ e58a: fa7c.*
+ e58b: 0224.*
+ e58c: f495.*
+ e58d: f495.*
+ e58e: fa7c.*
+ e58f: 0225.*
+ e590: f495.*
+ e591: f495.*
+ e592: fa62.*
+ e593: 0226.*
+ e594: f495.*
+ e595: f495.*
+ e596: fa62.*
+ e597: 0227.*
+ e598: f495.*
+ e599: f495.*
+ e59a: fa6a.*
+ e59b: 0228.*
+ e59c: f495.*
+ e59d: f495.*
+ e59e: fa6a.*
+ e59f: 0229.*
+ e5a0: f495.*
+ e5a1: f495.*
+ e5a2: fa72.*
+ e5a3: 022a.*
+ e5a4: f495.*
+ e5a5: f495.*
+ e5a6: fa72.*
+ e5a7: 022b.*
+ e5a8: f495.*
+ e5a9: f495.*
+ e5aa: fa7a.*
+ e5ab: 022c.*
+ e5ac: f495.*
+ e5ad: f495.*
+ e5ae: fa7a.*
+ e5af: 022d.*
+ e5b0: f495.*
+ e5b1: f495.*
+ e5b2: fa65.*
+ e5b3: 022e.*
+ e5b4: f495.*
+ e5b5: f495.*
+ e5b6: fa65.*
+ e5b7: 022f.*
+ e5b8: f495.*
+ e5b9: f495.*
+ e5ba: fa6d.*
+ e5bb: 0230.*
+ e5bc: f495.*
+ e5bd: f495.*
+ e5be: fa6d.*
+ e5bf: 0231.*
+ e5c0: f495.*
+ e5c1: f495.*
+ e5c2: fa75.*
+ e5c3: 0232.*
+ e5c4: f495.*
+ e5c5: f495.*
+ e5c6: fa75.*
+ e5c7: 0233.*
+ e5c8: f495.*
+ e5c9: f495.*
+ e5ca: fa7d.*
+ e5cb: 0234.*
+ e5cc: f495.*
+ e5cd: f495.*
+ e5ce: fa7d.*
+ e5cf: 0235.*
+ e5d0: f495.*
+ e5d1: f495.*
+ e5d2: fa2a.*
+ e5d3: 0236.*
+ e5d4: f495.*
+ e5d5: f495.*
+ e5d6: fa2a.*
+ e5d7: 0237.*
+ e5d8: f495.*
+ e5d9: f495.*
+ e5da: fa2a.*
+ e5db: 0238.*
+ e5dc: f495.*
+ e5dd: f495.*
+ e5de: fa2a.*
+ e5df: 0239.*
+ e5e0: f495.*
+ e5e1: f495.*
+ e5e2: fa2a.*
+ e5e3: 023a.*
+ e5e4: f495.*
+ e5e5: f495.*
+ e5e6: fa2a.*
+ e5e7: 023b.*
+ e5e8: f495.*
+ e5e9: f495.*
+ e5ea: fa28.*
+ e5eb: 023c.*
+ e5ec: f495.*
+ e5ed: f495.*
+ e5ee: fa22.*
+ e5ef: 023d.*
+ e5f0: f495.*
+ e5f1: f495.*
+ e5f2: fa22.*
+ e5f3: 023e.*
+ e5f4: f495.*
+ e5f5: f495.*
+ e5f6: fa0a.*
+ e5f7: 023f.*
+ e5f8: f495.*
+ e5f9: f495.*
+ e5fa: fa0a.*
+ e5fb: 0240.*
+ e5fc: f495.*
+ e5fd: f495.*
+ e5fe: fa2b.*
+ e5ff: 0241.*
+ e600: f495.*
+ e601: f495.*
+ e602: fa2b.*
+ e603: 0242.*
+ e604: f495.*
+ e605: f495.*
+ e606: fa2b.*
+ e607: 0243.*
+ e608: f495.*
+ e609: f495.*
+ e60a: fa2b.*
+ e60b: 0244.*
+ e60c: f495.*
+ e60d: f495.*
+ e60e: fa2b.*
+ e60f: 0245.*
+ e610: f495.*
+ e611: f495.*
+ e612: fa2b.*
+ e613: 0246.*
+ e614: f495.*
+ e615: f495.*
+ e616: fa28.*
+ e617: 0247.*
+ e618: f495.*
+ e619: f495.*
+ e61a: fa23.*
+ e61b: 0248.*
+ e61c: f495.*
+ e61d: f495.*
+ e61e: fa23.*
+ e61f: 0249.*
+ e620: f495.*
+ e621: f495.*
+ e622: fa0b.*
+ e623: 024a.*
+ e624: f495.*
+ e625: f495.*
+ e626: fa0b.*
+ e627: 024b.*
+ e628: f495.*
+ e629: f495.*
+ e62a: fa2e.*
+ e62b: 024c.*
+ e62c: f495.*
+ e62d: f495.*
+ e62e: fa2e.*
+ e62f: 024d.*
+ e630: f495.*
+ e631: f495.*
+ e632: fa2e.*
+ e633: 024e.*
+ e634: f495.*
+ e635: f495.*
+ e636: fa2e.*
+ e637: 024f.*
+ e638: f495.*
+ e639: f495.*
+ e63a: fa2e.*
+ e63b: 0250.*
+ e63c: f495.*
+ e63d: f495.*
+ e63e: fa2e.*
+ e63f: 0251.*
+ e640: f495.*
+ e641: f495.*
+ e642: fa2c.*
+ e643: 0252.*
+ e644: f495.*
+ e645: f495.*
+ e646: fa22.*
+ e647: 0253.*
+ e648: f495.*
+ e649: f495.*
+ e64a: fa22.*
+ e64b: 0254.*
+ e64c: f495.*
+ e64d: f495.*
+ e64e: fa0e.*
+ e64f: 0255.*
+ e650: f495.*
+ e651: f495.*
+ e652: fa0e.*
+ e653: 0256.*
+ e654: f495.*
+ e655: f495.*
+ e656: fa2f.*
+ e657: 0257.*
+ e658: f495.*
+ e659: f495.*
+ e65a: fa2f.*
+ e65b: 0258.*
+ e65c: f495.*
+ e65d: f495.*
+ e65e: fa2f.*
+ e65f: 0259.*
+ e660: f495.*
+ e661: f495.*
+ e662: fa2f.*
+ e663: 025a.*
+ e664: f495.*
+ e665: f495.*
+ e666: fa2f.*
+ e667: 025b.*
+ e668: f495.*
+ e669: f495.*
+ e66a: fa2f.*
+ e66b: 025c.*
+ e66c: f495.*
+ e66d: f495.*
+ e66e: fa2c.*
+ e66f: 025d.*
+ e670: f495.*
+ e671: f495.*
+ e672: fa23.*
+ e673: 025e.*
+ e674: f495.*
+ e675: f495.*
+ e676: fa23.*
+ e677: 025f.*
+ e678: f495.*
+ e679: f495.*
+ e67a: fa0f.*
+ e67b: 0260.*
+ e67c: f495.*
+ e67d: f495.*
+ e67e: fa0f.*
+ e67f: 0261.*
+ e680: f495.*
+ e681: f495.*
+ e682: fa3a.*
+ e683: 0262.*
+ e684: f495.*
+ e685: f495.*
+ e686: fa3a.*
+ e687: 0263.*
+ e688: f495.*
+ e689: f495.*
+ e68a: fa3a.*
+ e68b: 0264.*
+ e68c: f495.*
+ e68d: f495.*
+ e68e: fa3a.*
+ e68f: 0265.*
+ e690: f495.*
+ e691: f495.*
+ e692: fa3a.*
+ e693: 0266.*
+ e694: f495.*
+ e695: f495.*
+ e696: fa3a.*
+ e697: 0267.*
+ e698: f495.*
+ e699: f495.*
+ e69a: fa38.*
+ e69b: 0268.*
+ e69c: f495.*
+ e69d: f495.*
+ e69e: fa32.*
+ e69f: 0269.*
+ e6a0: f495.*
+ e6a1: f495.*
+ e6a2: fa32.*
+ e6a3: 026a.*
+ e6a4: f495.*
+ e6a5: f495.*
+ e6a6: fa0a.*
+ e6a7: 026b.*
+ e6a8: f495.*
+ e6a9: f495.*
+ e6aa: fa0a.*
+ e6ab: 026c.*
+ e6ac: f495.*
+ e6ad: f495.*
+ e6ae: fa3b.*
+ e6af: 026d.*
+ e6b0: f495.*
+ e6b1: f495.*
+ e6b2: fa3b.*
+ e6b3: 026e.*
+ e6b4: f495.*
+ e6b5: f495.*
+ e6b6: fa3b.*
+ e6b7: 026f.*
+ e6b8: f495.*
+ e6b9: f495.*
+ e6ba: fa3b.*
+ e6bb: 0270.*
+ e6bc: f495.*
+ e6bd: f495.*
+ e6be: fa3b.*
+ e6bf: 0271.*
+ e6c0: f495.*
+ e6c1: f495.*
+ e6c2: fa3b.*
+ e6c3: 0272.*
+ e6c4: f495.*
+ e6c5: f495.*
+ e6c6: fa38.*
+ e6c7: 0273.*
+ e6c8: f495.*
+ e6c9: f495.*
+ e6ca: fa33.*
+ e6cb: 0274.*
+ e6cc: f495.*
+ e6cd: f495.*
+ e6ce: fa33.*
+ e6cf: 0275.*
+ e6d0: f495.*
+ e6d1: f495.*
+ e6d2: fa0b.*
+ e6d3: 0276.*
+ e6d4: f495.*
+ e6d5: f495.*
+ e6d6: fa0b.*
+ e6d7: 0277.*
+ e6d8: f495.*
+ e6d9: f495.*
+ e6da: fa3e.*
+ e6db: 0278.*
+ e6dc: f495.*
+ e6dd: f495.*
+ e6de: fa3e.*
+ e6df: 0279.*
+ e6e0: f495.*
+ e6e1: f495.*
+ e6e2: fa3e.*
+ e6e3: 027a.*
+ e6e4: f495.*
+ e6e5: f495.*
+ e6e6: fa3e.*
+ e6e7: 027b.*
+ e6e8: f495.*
+ e6e9: f495.*
+ e6ea: fa3e.*
+ e6eb: 027c.*
+ e6ec: f495.*
+ e6ed: f495.*
+ e6ee: fa3e.*
+ e6ef: 027d.*
+ e6f0: f495.*
+ e6f1: f495.*
+ e6f2: fa3c.*
+ e6f3: 027e.*
+ e6f4: f495.*
+ e6f5: f495.*
+ e6f6: fa32.*
+ e6f7: 027f.*
+ e6f8: f495.*
+ e6f9: f495.*
+ e6fa: fa32.*
+ e6fb: 0280.*
+ e6fc: f495.*
+ e6fd: f495.*
+ e6fe: fa0e.*
+ e6ff: 0281.*
+ e700: f495.*
+ e701: f495.*
+ e702: fa0e.*
+ e703: 0282.*
+ e704: f495.*
+ e705: f495.*
+ e706: fa3f.*
+ e707: 0283.*
+ e708: f495.*
+ e709: f495.*
+ e70a: fa3f.*
+ e70b: 0284.*
+ e70c: f495.*
+ e70d: f495.*
+ e70e: fa3f.*
+ e70f: 0285.*
+ e710: f495.*
+ e711: f495.*
+ e712: fa3f.*
+ e713: 0286.*
+ e714: f495.*
+ e715: f495.*
+ e716: fa3f.*
+ e717: 0287.*
+ e718: f495.*
+ e719: f495.*
+ e71a: fa3f.*
+ e71b: 0288.*
+ e71c: f495.*
+ e71d: f495.*
+ e71e: fa3c.*
+ e71f: 0289.*
+ e720: f495.*
+ e721: f495.*
+ e722: fa33.*
+ e723: 028a.*
+ e724: f495.*
+ e725: f495.*
+ e726: fa33.*
+ e727: 028b.*
+ e728: f495.*
+ e729: f495.*
+ e72a: fa0f.*
+ e72b: 028c.*
+ e72c: f495.*
+ e72d: f495.*
+ e72e: fa0f.*
+ e72f: 028d.*
+ e730: f495.*
+ e731: f495.*
+ e732: 9600.*
+ e733: 9601.*
+ e734: 9602.*
+ e735: 9603.*
+ e736: 9604.*
+ e737: 9605.*
+ e738: 9606.*
+ e739: 9607.*
+ e73a: 9608.*
+ e73b: 9609.*
+ e73c: 960a.*
+ e73d: 960b.*
+ e73e: 960c.*
+ e73f: 960d.*
+ e740: 960e.*
+ e741: 960f.*
+ e742: 9640.*
+ e743: 9641.*
+ e744: 9642.*
+ e745: 9643.*
+ e746: 9644.*
+ e747: 9645.*
+ e748: 9646.*
+ e749: 9647.*
+ e74a: 9648.*
+ e74b: 9649.*
+ e74c: 964a.*
+ e74d: 964b.*
+ e74e: 964c.*
+ e74f: 964d.*
+ e750: 964e.*
+ e751: 964f.*
+ e752: 9680.*
+ e753: 9681.*
+ e754: 9682.*
+ e755: 9683.*
+ e756: 9684.*
+ e757: 9685.*
+ e758: 9686.*
+ e759: 9687.*
+ e75a: 9688.*
+ e75b: 9689.*
+ e75c: 968a.*
+ e75d: 968b.*
+ e75e: 968c.*
+ e75f: 968d.*
+ e760: 968e.*
+ e761: 968f.*
+ e762: 96c0.*
+ e763: 96c1.*
+ e764: 96c2.*
+ e765: 96c3.*
+ e766: 96c4.*
+ e767: 96c5.*
+ e768: 96c6.*
+ e769: 96c7.*
+ e76a: 96c8.*
+ e76b: 96c9.*
+ e76c: 96ca.*
+ e76d: 96cb.*
+ e76e: 96cc.*
+ e76f: 96cd.*
+ e770: 96ce.*
+ e771: 96cf.*
+ e772: 9610.*
+ e773: 9611.*
+ e774: 9612.*
+ e775: 9613.*
+ e776: 9614.*
+ e777: 9615.*
+ e778: 9616.*
+ e779: 9617.*
+ e77a: 9618.*
+ e77b: 9619.*
+ e77c: 961a.*
+ e77d: 961b.*
+ e77e: 961c.*
+ e77f: 961d.*
+ e780: 961e.*
+ e781: 961f.*
+ e782: 9650.*
+ e783: 9651.*
+ e784: 9652.*
+ e785: 9653.*
+ e786: 9654.*
+ e787: 9655.*
+ e788: 9656.*
+ e789: 9657.*
+ e78a: 9658.*
+ e78b: 9659.*
+ e78c: 965a.*
+ e78d: 965b.*
+ e78e: 965c.*
+ e78f: 965d.*
+ e790: 965e.*
+ e791: 965f.*
+ e792: 9690.*
+ e793: 9691.*
+ e794: 9692.*
+ e795: 9693.*
+ e796: 9694.*
+ e797: 9695.*
+ e798: 9696.*
+ e799: 9697.*
+ e79a: 9698.*
+ e79b: 9699.*
+ e79c: 969a.*
+ e79d: 969b.*
+ e79e: 969c.*
+ e79f: 969d.*
+ e7a0: 969e.*
+ e7a1: 969f.*
+ e7a2: 96d0.*
+ e7a3: 96d1.*
+ e7a4: 96d2.*
+ e7a5: 96d3.*
+ e7a6: 96d4.*
+ e7a7: 96d5.*
+ e7a8: 96d6.*
+ e7a9: 96d7.*
+ e7aa: 96d8.*
+ e7ab: 96d9.*
+ e7ac: 96da.*
+ e7ad: 96db.*
+ e7ae: 96dc.*
+ e7af: 96dd.*
+ e7b0: 96de.*
+ e7b1: 96df.*
+ e7b2: 9620.*
+ e7b3: 9621.*
+ e7b4: 9622.*
+ e7b5: 9623.*
+ e7b6: 9624.*
+ e7b7: 9625.*
+ e7b8: 9626.*
+ e7b9: 9627.*
+ e7ba: 9628.*
+ e7bb: 9629.*
+ e7bc: 962a.*
+ e7bd: 962b.*
+ e7be: 962c.*
+ e7bf: 962d.*
+ e7c0: 962e.*
+ e7c1: 962f.*
+ e7c2: 9660.*
+ e7c3: 9661.*
+ e7c4: 9662.*
+ e7c5: 9663.*
+ e7c6: 9664.*
+ e7c7: 9665.*
+ e7c8: 9666.*
+ e7c9: 9667.*
+ e7ca: 9668.*
+ e7cb: 9669.*
+ e7cc: 966a.*
+ e7cd: 966b.*
+ e7ce: 966c.*
+ e7cf: 966d.*
+ e7d0: 966e.*
+ e7d1: 966f.*
+ e7d2: 96a0.*
+ e7d3: 96a1.*
+ e7d4: 96a2.*
+ e7d5: 96a3.*
+ e7d6: 96a4.*
+ e7d7: 96a5.*
+ e7d8: 96a6.*
+ e7d9: 96a7.*
+ e7da: 96a8.*
+ e7db: 96a9.*
+ e7dc: 96aa.*
+ e7dd: 96ab.*
+ e7de: 96ac.*
+ e7df: 96ad.*
+ e7e0: 96ae.*
+ e7e1: 96af.*
+ e7e2: 96e0.*
+ e7e3: 96e1.*
+ e7e4: 96e2.*
+ e7e5: 96e3.*
+ e7e6: 96e4.*
+ e7e7: 96e5.*
+ e7e8: 96e6.*
+ e7e9: 96e7.*
+ e7ea: 96e8.*
+ e7eb: 96e9.*
+ e7ec: 96ea.*
+ e7ed: 96eb.*
+ e7ee: 96ec.*
+ e7ef: 96ed.*
+ e7f0: 96ee.*
+ e7f1: 96ef.*
+ e7f2: 9630.*
+ e7f3: 9631.*
+ e7f4: 9632.*
+ e7f5: 9633.*
+ e7f6: 9634.*
+ e7f7: 9635.*
+ e7f8: 9636.*
+ e7f9: 9637.*
+ e7fa: 9638.*
+ e7fb: 9639.*
+ e7fc: 963a.*
+ e7fd: 963b.*
+ e7fe: 963c.*
+ e7ff: 963d.*
+ e800: 963e.*
+ e801: 963f.*
+ e802: 9670.*
+ e803: 9671.*
+ e804: 9672.*
+ e805: 9673.*
+ e806: 9674.*
+ e807: 9675.*
+ e808: 9676.*
+ e809: 9677.*
+ e80a: 9678.*
+ e80b: 9679.*
+ e80c: 967a.*
+ e80d: 967b.*
+ e80e: 967c.*
+ e80f: 967d.*
+ e810: 967e.*
+ e811: 967f.*
+ e812: 96b0.*
+ e813: 96b1.*
+ e814: 96b2.*
+ e815: 96b3.*
+ e816: 96b4.*
+ e817: 96b5.*
+ e818: 96b6.*
+ e819: 96b7.*
+ e81a: 96b8.*
+ e81b: 96b9.*
+ e81c: 96ba.*
+ e81d: 96bb.*
+ e81e: 96bc.*
+ e81f: 96bd.*
+ e820: 96be.*
+ e821: 96bf.*
+ e822: 96f0.*
+ e823: 96f1.*
+ e824: 96f2.*
+ e825: 96f3.*
+ e826: 96f4.*
+ e827: 96f5.*
+ e828: 96f6.*
+ e829: 96f7.*
+ e82a: 96f8.*
+ e82b: 96f9.*
+ e82c: 96fa.*
+ e82d: 96fb.*
+ e82e: 96fc.*
+ e82f: 96fd.*
+ e830: 96fe.*
+ e831: 96ff.*
+ e832: 6158.*
+ e833: 1d0a.*
+ e834: 6180.*
+ e835: 1d0b.*
+ e836: 6188.*
+ e837: 1d0c.*
+ e838: 6190.*
+ e839: 1d0d.*
+ e83a: 61a0.*
+ e83b: 1d0e.*
+ e83c: 61a8.*
+ e83d: 1d0f.*
+ e83e: 61b0.*
+ e83f: 1d10.*
+ e840: 61b8.*
+ e841: 1d11.*
+ e842: 61c0.*
+ e843: 1d12.*
+ e844: 61c8.*
+ e845: 1d13.*
+ e846: 61d0.*
+ e847: 1d14.*
+ e848: 61d8.*
+ e849: 1d15.*
+ e84a: 61e0.*
+ e84b: 1d16.*
+ e84c: 1d17.*
+ e84d: 61e8.*
+ e84e: 1d18.*
+ e84f: 1d19.*
+ e850: 61f0.*
+ e851: 1d1a.*
+ e852: 1d1b.*
+ e853: 61f8.*
+ e854: 1d1c.*
+ e855: 1d1d.*
+ e856: 6181.*
+ e857: 1d1e.*
+ e858: 6189.*
+ e859: 1d1f.*
+ e85a: 6191.*
+ e85b: 1d20.*
+ e85c: 61a1.*
+ e85d: 1d21.*
+ e85e: 61a9.*
+ e85f: 1d22.*
+ e860: 61b1.*
+ e861: 1d23.*
+ e862: 61b9.*
+ e863: 1d24.*
+ e864: 61c1.*
+ e865: 1d25.*
+ e866: 61c9.*
+ e867: 1d26.*
+ e868: 61d1.*
+ e869: 1d27.*
+ e86a: 61d9.*
+ e86b: 1d28.*
+ e86c: 61e1.*
+ e86d: 1d29.*
+ e86e: 1d2a.*
+ e86f: 61e9.*
+ e870: 1d2b.*
+ e871: 1d2c.*
+ e872: 61f1.*
+ e873: 1d2d.*
+ e874: 1d2e.*
+ e875: 61f8.*
+ e876: 1d2f.*
+ e877: 1d30.*
+ e878: 6182.*
+ e879: 1d31.*
+ e87a: 618a.*
+ e87b: 1d32.*
+ e87c: 6192.*
+ e87d: 1d33.*
+ e87e: 61a2.*
+ e87f: 1d34.*
+ e880: 61aa.*
+ e881: 1d35.*
+ e882: 61b2.*
+ e883: 1d36.*
+ e884: 61ba.*
+ e885: 1d37.*
+ e886: 61c2.*
+ e887: 1d38.*
+ e888: 61ca.*
+ e889: 1d39.*
+ e88a: 61d2.*
+ e88b: 1d3a.*
+ e88c: 61da.*
+ e88d: 1d3b.*
+ e88e: 61e2.*
+ e88f: 1d3c.*
+ e890: 1d3d.*
+ e891: 61ea.*
+ e892: 1d3e.*
+ e893: 1d3f.*
+ e894: 61f2.*
+ e895: 1d40.*
+ e896: 1d41.*
+ e897: 61f8.*
+ e898: 1d42.*
+ e899: 1d43.*
+ e89a: 6183.*
+ e89b: 1d44.*
+ e89c: 618b.*
+ e89d: 1d45.*
+ e89e: 6193.*
+ e89f: 1d46.*
+ e8a0: 61a3.*
+ e8a1: 1d47.*
+ e8a2: 61ab.*
+ e8a3: 1d48.*
+ e8a4: 61b3.*
+ e8a5: 1d49.*
+ e8a6: 61bb.*
+ e8a7: 1d4a.*
+ e8a8: 61c3.*
+ e8a9: 1d4b.*
+ e8aa: 61cb.*
+ e8ab: 1d4c.*
+ e8ac: 61d3.*
+ e8ad: 1d4d.*
+ e8ae: 61db.*
+ e8af: 1d4e.*
+ e8b0: 61e3.*
+ e8b1: 1d4f.*
+ e8b2: 1d50.*
+ e8b3: 61eb.*
+ e8b4: 1d51.*
+ e8b5: 1d52.*
+ e8b6: 61f3.*
+ e8b7: 1d53.*
+ e8b8: 1d54.*
+ e8b9: 61f8.*
+ e8ba: 1d55.*
+ e8bb: 1d56.*
+ e8bc: 6184.*
+ e8bd: 1d57.*
+ e8be: 618c.*
+ e8bf: 1d58.*
+ e8c0: 6194.*
+ e8c1: 1d59.*
+ e8c2: 61a4.*
+ e8c3: 1d5a.*
+ e8c4: 61ac.*
+ e8c5: 1d5b.*
+ e8c6: 61b4.*
+ e8c7: 1d5c.*
+ e8c8: 61bc.*
+ e8c9: 1d5d.*
+ e8ca: 61c4.*
+ e8cb: 1d5e.*
+ e8cc: 61cc.*
+ e8cd: 1d5f.*
+ e8ce: 61d4.*
+ e8cf: 1d60.*
+ e8d0: 61dc.*
+ e8d1: 1d61.*
+ e8d2: 61e4.*
+ e8d3: 1d62.*
+ e8d4: 1d63.*
+ e8d5: 61ec.*
+ e8d6: 1d64.*
+ e8d7: 1d65.*
+ e8d8: 61f4.*
+ e8d9: 1d66.*
+ e8da: 1d67.*
+ e8db: 61f8.*
+ e8dc: 1d68.*
+ e8dd: 1d69.*
+ e8de: 6185.*
+ e8df: 1d6a.*
+ e8e0: 618d.*
+ e8e1: 1d6b.*
+ e8e2: 6195.*
+ e8e3: 1d6c.*
+ e8e4: 61a5.*
+ e8e5: 1d6d.*
+ e8e6: 61ad.*
+ e8e7: 1d6e.*
+ e8e8: 61b5.*
+ e8e9: 1d6f.*
+ e8ea: 61bd.*
+ e8eb: 1d70.*
+ e8ec: 61c5.*
+ e8ed: 1d71.*
+ e8ee: 61cd.*
+ e8ef: 1d72.*
+ e8f0: 61d5.*
+ e8f1: 1d73.*
+ e8f2: 61dd.*
+ e8f3: 1d74.*
+ e8f4: 61e5.*
+ e8f5: 1d75.*
+ e8f6: 1d76.*
+ e8f7: 61ed.*
+ e8f8: 1d77.*
+ e8f9: 1d78.*
+ e8fa: 61f5.*
+ e8fb: 1d79.*
+ e8fc: 1d7a.*
+ e8fd: 61f8.*
+ e8fe: 1d7b.*
+ e8ff: 1d7c.*
+ e900: 6186.*
+ e901: 1d7d.*
+ e902: 618e.*
+ e903: 1d7e.*
+ e904: 6196.*
+ e905: 1d7f.*
+ e906: 61a6.*
+ e907: 1d80.*
+ e908: 61ae.*
+ e909: 1d81.*
+ e90a: 61b6.*
+ e90b: 1d82.*
+ e90c: 61be.*
+ e90d: 1d83.*
+ e90e: 61c6.*
+ e90f: 1d84.*
+ e910: 61ce.*
+ e911: 1d85.*
+ e912: 61d6.*
+ e913: 1d86.*
+ e914: 61de.*
+ e915: 1d87.*
+ e916: 61e6.*
+ e917: 1d88.*
+ e918: 1d89.*
+ e919: 61ee.*
+ e91a: 1d8a.*
+ e91b: 1d8b.*
+ e91c: 61f6.*
+ e91d: 1d8c.*
+ e91e: 1d8d.*
+ e91f: 61f8.*
+ e920: 1d8e.*
+ e921: 1d8f.*
+ e922: 6187.*
+ e923: 1d90.*
+ e924: 618f.*
+ e925: 1d91.*
+ e926: 6197.*
+ e927: 1d92.*
+ e928: 61a7.*
+ e929: 1d93.*
+ e92a: 61af.*
+ e92b: 1d94.*
+ e92c: 61b7.*
+ e92d: 1d95.*
+ e92e: 61bf.*
+ e92f: 1d96.*
+ e930: 61c7.*
+ e931: 1d97.*
+ e932: 61cf.*
+ e933: 1d98.*
+ e934: 61d7.*
+ e935: 1d99.*
+ e936: 61df.*
+ e937: 1d9a.*
+ e938: 61e7.*
+ e939: 1d9b.*
+ e93a: 1d9c.*
+ e93b: 61ef.*
+ e93c: 1d9d.*
+ e93d: 1d9e.*
+ e93e: 61f7.*
+ e93f: 1d9f.*
+ e940: 1da0.*
+ e941: 61f8.*
+ e942: 1da1.*
+ e943: 1da2.*
+ e944: 3459.*
+ e945: 3480.*
+ e946: 3488.*
+ e947: 3490.*
+ e948: 34a0.*
+ e949: 34a8.*
+ e94a: 34b0.*
+ e94b: 34b8.*
+ e94c: 34c0.*
+ e94d: 34c8.*
+ e94e: 34d0.*
+ e94f: 34d8.*
+ e950: 34e0.*
+ e951: 1da3.*
+ e952: 34e8.*
+ e953: 1da4.*
+ e954: 34f0.*
+ e955: 1da5.*
+ e956: 34f8.*
+ e957: 1da6.*
+ e958: 3481.*
+ e959: 3489.*
+ e95a: 3491.*
+ e95b: 34a1.*
+ e95c: 34a9.*
+ e95d: 34b1.*
+ e95e: 34b9.*
+ e95f: 34c1.*
+ e960: 34c9.*
+ e961: 34d1.*
+ e962: 34d9.*
+ e963: 34e1.*
+ e964: 1da7.*
+ e965: 34e9.*
+ e966: 1da8.*
+ e967: 34f1.*
+ e968: 1da9.*
+ e969: 34f8.*
+ e96a: 1daa.*
+ e96b: 3482.*
+ e96c: 348a.*
+ e96d: 3492.*
+ e96e: 34a2.*
+ e96f: 34aa.*
+ e970: 34b2.*
+ e971: 34ba.*
+ e972: 34c2.*
+ e973: 34ca.*
+ e974: 34d2.*
+ e975: 34da.*
+ e976: 34e2.*
+ e977: 1dab.*
+ e978: 34ea.*
+ e979: 1dac.*
+ e97a: 34f2.*
+ e97b: 1dad.*
+ e97c: 34f8.*
+ e97d: 1dae.*
+ e97e: 3483.*
+ e97f: 348b.*
+ e980: 3493.*
+ e981: 34a3.*
+ e982: 34ab.*
+ e983: 34b3.*
+ e984: 34bb.*
+ e985: 34c3.*
+ e986: 34cb.*
+ e987: 34d3.*
+ e988: 34db.*
+ e989: 34e3.*
+ e98a: 1daf.*
+ e98b: 34eb.*
+ e98c: 1db0.*
+ e98d: 34f3.*
+ e98e: 1db1.*
+ e98f: 34f8.*
+ e990: 1db2.*
+ e991: 3484.*
+ e992: 348c.*
+ e993: 3494.*
+ e994: 34a4.*
+ e995: 34ac.*
+ e996: 34b4.*
+ e997: 34bc.*
+ e998: 34c4.*
+ e999: 34cc.*
+ e99a: 34d4.*
+ e99b: 34dc.*
+ e99c: 34e4.*
+ e99d: 1db3.*
+ e99e: 34ec.*
+ e99f: 1db4.*
+ e9a0: 34f4.*
+ e9a1: 1db5.*
+ e9a2: 34f8.*
+ e9a3: 1db6.*
+ e9a4: 3485.*
+ e9a5: 348d.*
+ e9a6: 3495.*
+ e9a7: 34a5.*
+ e9a8: 34ad.*
+ e9a9: 34b5.*
+ e9aa: 34bd.*
+ e9ab: 34c5.*
+ e9ac: 34cd.*
+ e9ad: 34d5.*
+ e9ae: 34dd.*
+ e9af: 34e5.*
+ e9b0: 1db7.*
+ e9b1: 34ed.*
+ e9b2: 1db8.*
+ e9b3: 34f5.*
+ e9b4: 1db9.*
+ e9b5: 34f8.*
+ e9b6: 1dba.*
+ e9b7: 3486.*
+ e9b8: 348e.*
+ e9b9: 3496.*
+ e9ba: 34a6.*
+ e9bb: 34ae.*
+ e9bc: 34b6.*
+ e9bd: 34be.*
+ e9be: 34c6.*
+ e9bf: 34ce.*
+ e9c0: 34d6.*
+ e9c1: 34de.*
+ e9c2: 34e6.*
+ e9c3: 1dbb.*
+ e9c4: 34ee.*
+ e9c5: 1dbc.*
+ e9c6: 34f6.*
+ e9c7: 1dbd.*
+ e9c8: 34f8.*
+ e9c9: 1dbe.*
+ e9ca: 3487.*
+ e9cb: 348f.*
+ e9cc: 3497.*
+ e9cd: 34a7.*
+ e9ce: 34af.*
+ e9cf: 34b7.*
+ e9d0: 34bf.*
+ e9d1: 34c7.*
+ e9d2: 34cf.*
+ e9d3: 34d7.*
+ e9d4: 34df.*
+ e9d5: 34e7.*
+ e9d6: 1dbf.*
+ e9d7: 34ef.*
+ e9d8: 1dc0.*
+ e9d9: 34f7.*
+ e9da: 1dc1.*
+ e9db: 34f8.*
+ e9dc: 1dc2.*
+ e9dd: f073.*
+ e9de: 028e.*
+ e9df: f273.*
+ e9e0: 028f.*
+ e9e1: f495.*
+ e9e2: f495.*
+ e9e3: f4e3.*
+ e9e4: f5e3.*
+ e9e5: f6e3.*
+ e9e6: f495.*
+ e9e7: f495.*
+ e9e8: f7e3.*
+ e9e9: f495.*
+ e9ea: f495.*
+ e9eb: f074.*
+ e9ec: 0290.*
+ e9ed: f274.*
+ e9ee: 0291.*
+ e9ef: f495.*
+ e9f0: f495.*
+ e9f1: f900.*
+ e9f2: 0292.*
+ e9f3: f943.*
+ e9f4: 0293.*
+ e9f5: f94b.*
+ e9f6: 0294.*
+ e9f7: f947.*
+ e9f8: 0295.*
+ e9f9: f94f.*
+ e9fa: 0296.*
+ e9fb: f946.*
+ e9fc: 0297.*
+ e9fd: f94e.*
+ e9fe: 0298.*
+ e9ff: f944.*
+ ea00: 0299.*
+ ea01: f94c.*
+ ea02: 029a.*
+ ea03: f942.*
+ ea04: 029b.*
+ ea05: f94a.*
+ ea06: 029c.*
+ ea07: f945.*
+ ea08: 029d.*
+ ea09: f94d.*
+ ea0a: 029e.*
+ ea0b: f960.*
+ ea0c: 029f.*
+ ea0d: f968.*
+ ea0e: 02a0.*
+ ea0f: f970.*
+ ea10: 02a1.*
+ ea11: f978.*
+ ea12: 02a2.*
+ ea13: f920.*
+ ea14: 02a3.*
+ ea15: f930.*
+ ea16: 02a4.*
+ ea17: f908.*
+ ea18: 02a5.*
+ ea19: f90c.*
+ ea1a: 02a6.*
+ ea1b: f902.*
+ ea1c: 02a7.*
+ ea1d: f903.*
+ ea1e: 02a8.*
+ ea1f: f963.*
+ ea20: 02a9.*
+ ea21: f963.*
+ ea22: 02aa.*
+ ea23: f96b.*
+ ea24: 02ab.*
+ ea25: f96b.*
+ ea26: 02ac.*
+ ea27: f973.*
+ ea28: 02ad.*
+ ea29: f973.*
+ ea2a: 02ae.*
+ ea2b: f97b.*
+ ea2c: 02af.*
+ ea2d: f97b.*
+ ea2e: 02b0.*
+ ea2f: f967.*
+ ea30: 02b1.*
+ ea31: f967.*
+ ea32: 02b2.*
+ ea33: f96f.*
+ ea34: 02b3.*
+ ea35: f96f.*
+ ea36: 02b4.*
+ ea37: f977.*
+ ea38: 02b5.*
+ ea39: f977.*
+ ea3a: 02b6.*
+ ea3b: f97f.*
+ ea3c: 02b7.*
+ ea3d: f97f.*
+ ea3e: 02b8.*
+ ea3f: f966.*
+ ea40: 02b9.*
+ ea41: f966.*
+ ea42: 02ba.*
+ ea43: f96e.*
+ ea44: 02bb.*
+ ea45: f96e.*
+ ea46: 02bc.*
+ ea47: f976.*
+ ea48: 02bd.*
+ ea49: f976.*
+ ea4a: 02be.*
+ ea4b: f97e.*
+ ea4c: 02bf.*
+ ea4d: f97e.*
+ ea4e: 02c0.*
+ ea4f: f964.*
+ ea50: 02c1.*
+ ea51: f964.*
+ ea52: 02c2.*
+ ea53: f96c.*
+ ea54: 02c3.*
+ ea55: f96c.*
+ ea56: 02c4.*
+ ea57: f974.*
+ ea58: 02c5.*
+ ea59: f974.*
+ ea5a: 02c6.*
+ ea5b: f97c.*
+ ea5c: 02c7.*
+ ea5d: f97c.*
+ ea5e: 02c8.*
+ ea5f: f962.*
+ ea60: 02c9.*
+ ea61: f962.*
+ ea62: 02ca.*
+ ea63: f96a.*
+ ea64: 02cb.*
+ ea65: f96a.*
+ ea66: 02cc.*
+ ea67: f972.*
+ ea68: 02cd.*
+ ea69: f972.*
+ ea6a: 02ce.*
+ ea6b: f97a.*
+ ea6c: 02cf.*
+ ea6d: f97a.*
+ ea6e: 02d0.*
+ ea6f: f965.*
+ ea70: 02d1.*
+ ea71: f965.*
+ ea72: 02d2.*
+ ea73: f96d.*
+ ea74: 02d3.*
+ ea75: f96d.*
+ ea76: 02d4.*
+ ea77: f975.*
+ ea78: 02d5.*
+ ea79: f975.*
+ ea7a: 02d6.*
+ ea7b: f97d.*
+ ea7c: 02d7.*
+ ea7d: f97d.*
+ ea7e: 02d8.*
+ ea7f: f92a.*
+ ea80: 02d9.*
+ ea81: f92a.*
+ ea82: 02da.*
+ ea83: f92a.*
+ ea84: 02db.*
+ ea85: f92a.*
+ ea86: 02dc.*
+ ea87: f92a.*
+ ea88: 02dd.*
+ ea89: f92a.*
+ ea8a: 02de.*
+ ea8b: f928.*
+ ea8c: 02df.*
+ ea8d: f922.*
+ ea8e: 02e0.*
+ ea8f: f922.*
+ ea90: 02e1.*
+ ea91: f90a.*
+ ea92: 02e2.*
+ ea93: f90a.*
+ ea94: 02e3.*
+ ea95: f92b.*
+ ea96: 02e4.*
+ ea97: f92b.*
+ ea98: 02e5.*
+ ea99: f92b.*
+ ea9a: 02e6.*
+ ea9b: f92b.*
+ ea9c: 02e7.*
+ ea9d: f92b.*
+ ea9e: 02e8.*
+ ea9f: f92b.*
+ eaa0: 02e9.*
+ eaa1: f928.*
+ eaa2: 02ea.*
+ eaa3: f923.*
+ eaa4: 02eb.*
+ eaa5: f923.*
+ eaa6: 02ec.*
+ eaa7: f90b.*
+ eaa8: 02ed.*
+ eaa9: f90b.*
+ eaaa: 02ee.*
+ eaab: f92e.*
+ eaac: 02ef.*
+ eaad: f92e.*
+ eaae: 02f0.*
+ eaaf: f92e.*
+ eab0: 02f1.*
+ eab1: f92e.*
+ eab2: 02f2.*
+ eab3: f92e.*
+ eab4: 02f3.*
+ eab5: f92e.*
+ eab6: 02f4.*
+ eab7: f92c.*
+ eab8: 02f5.*
+ eab9: f922.*
+ eaba: 02f6.*
+ eabb: f922.*
+ eabc: 02f7.*
+ eabd: f90e.*
+ eabe: 02f8.*
+ eabf: f90e.*
+ eac0: 02f9.*
+ eac1: f92f.*
+ eac2: 02fa.*
+ eac3: f92f.*
+ eac4: 02fb.*
+ eac5: f92f.*
+ eac6: 02fc.*
+ eac7: f92f.*
+ eac8: 02fd.*
+ eac9: f92f.*
+ eaca: 02fe.*
+ eacb: f92f.*
+ eacc: 02ff.*
+ eacd: f92c.*
+ eace: 0300.*
+ eacf: f923.*
+ ead0: 0301.*
+ ead1: f923.*
+ ead2: 0302.*
+ ead3: f90f.*
+ ead4: 0303.*
+ ead5: f90f.*
+ ead6: 0304.*
+ ead7: f93a.*
+ ead8: 0305.*
+ ead9: f93a.*
+ eada: 0306.*
+ eadb: f93a.*
+ eadc: 0307.*
+ eadd: f93a.*
+ eade: 0308.*
+ eadf: f93a.*
+ eae0: 0309.*
+ eae1: f93a.*
+ eae2: 030a.*
+ eae3: f938.*
+ eae4: 030b.*
+ eae5: f932.*
+ eae6: 030c.*
+ eae7: f932.*
+ eae8: 030d.*
+ eae9: f90a.*
+ eaea: 030e.*
+ eaeb: f90a.*
+ eaec: 030f.*
+ eaed: f93b.*
+ eaee: 0310.*
+ eaef: f93b.*
+ eaf0: 0311.*
+ eaf1: f93b.*
+ eaf2: 0312.*
+ eaf3: f93b.*
+ eaf4: 0313.*
+ eaf5: f93b.*
+ eaf6: 0314.*
+ eaf7: f93b.*
+ eaf8: 0315.*
+ eaf9: f938.*
+ eafa: 0316.*
+ eafb: f933.*
+ eafc: 0317.*
+ eafd: f933.*
+ eafe: 0318.*
+ eaff: f90b.*
+ eb00: 0319.*
+ eb01: f90b.*
+ eb02: 031a.*
+ eb03: f93e.*
+ eb04: 031b.*
+ eb05: f93e.*
+ eb06: 031c.*
+ eb07: f93e.*
+ eb08: 031d.*
+ eb09: f93e.*
+ eb0a: 031e.*
+ eb0b: f93e.*
+ eb0c: 031f.*
+ eb0d: f93e.*
+ eb0e: 0320.*
+ eb0f: f93c.*
+ eb10: 0321.*
+ eb11: f932.*
+ eb12: 0322.*
+ eb13: f932.*
+ eb14: 0323.*
+ eb15: f90e.*
+ eb16: 0324.*
+ eb17: f90e.*
+ eb18: 0325.*
+ eb19: f93f.*
+ eb1a: 0326.*
+ eb1b: f93f.*
+ eb1c: 0327.*
+ eb1d: f93f.*
+ eb1e: 0328.*
+ eb1f: f93f.*
+ eb20: 0329.*
+ eb21: f93f.*
+ eb22: 032a.*
+ eb23: f93f.*
+ eb24: 032b.*
+ eb25: f93c.*
+ eb26: 032c.*
+ eb27: f933.*
+ eb28: 032d.*
+ eb29: f933.*
+ eb2a: 032e.*
+ eb2b: f90f.*
+ eb2c: 032f.*
+ eb2d: f90f.*
+ eb2e: 0330.*
+ eb2f: fb00.*
+ eb30: 0331.*
+ eb31: f495.*
+ eb32: f495.*
+ eb33: fb43.*
+ eb34: 0332.*
+ eb35: f495.*
+ eb36: f495.*
+ eb37: fb4b.*
+ eb38: 0333.*
+ eb39: f495.*
+ eb3a: f495.*
+ eb3b: fb47.*
+ eb3c: 0334.*
+ eb3d: f495.*
+ eb3e: f495.*
+ eb3f: fb4f.*
+ eb40: 0335.*
+ eb41: f495.*
+ eb42: f495.*
+ eb43: fb46.*
+ eb44: 0336.*
+ eb45: f495.*
+ eb46: f495.*
+ eb47: fb4e.*
+ eb48: 0337.*
+ eb49: f495.*
+ eb4a: f495.*
+ eb4b: fb44.*
+ eb4c: 0338.*
+ eb4d: f495.*
+ eb4e: f495.*
+ eb4f: fb4c.*
+ eb50: 0339.*
+ eb51: f495.*
+ eb52: f495.*
+ eb53: fb42.*
+ eb54: 033a.*
+ eb55: f495.*
+ eb56: f495.*
+ eb57: fb4a.*
+ eb58: 033b.*
+ eb59: f495.*
+ eb5a: f495.*
+ eb5b: fb45.*
+ eb5c: 033c.*
+ eb5d: f495.*
+ eb5e: f495.*
+ eb5f: fb4d.*
+ eb60: 033d.*
+ eb61: f495.*
+ eb62: f495.*
+ eb63: fb60.*
+ eb64: 033e.*
+ eb65: f495.*
+ eb66: f495.*
+ eb67: fb68.*
+ eb68: 033f.*
+ eb69: f495.*
+ eb6a: f495.*
+ eb6b: fb70.*
+ eb6c: 0340.*
+ eb6d: f495.*
+ eb6e: f495.*
+ eb6f: fb78.*
+ eb70: 0341.*
+ eb71: f495.*
+ eb72: f495.*
+ eb73: fb20.*
+ eb74: 0342.*
+ eb75: f495.*
+ eb76: f495.*
+ eb77: fb30.*
+ eb78: 0343.*
+ eb79: f495.*
+ eb7a: f495.*
+ eb7b: fb08.*
+ eb7c: 0344.*
+ eb7d: f495.*
+ eb7e: f495.*
+ eb7f: fb0c.*
+ eb80: 0345.*
+ eb81: f495.*
+ eb82: f495.*
+ eb83: fb02.*
+ eb84: 0346.*
+ eb85: f495.*
+ eb86: f495.*
+ eb87: fb03.*
+ eb88: 0347.*
+ eb89: f495.*
+ eb8a: f495.*
+ eb8b: fb63.*
+ eb8c: 0348.*
+ eb8d: f495.*
+ eb8e: f495.*
+ eb8f: fb63.*
+ eb90: 0349.*
+ eb91: f495.*
+ eb92: f495.*
+ eb93: fb6b.*
+ eb94: 034a.*
+ eb95: f495.*
+ eb96: f495.*
+ eb97: fb6b.*
+ eb98: 034b.*
+ eb99: f495.*
+ eb9a: f495.*
+ eb9b: fb73.*
+ eb9c: 034c.*
+ eb9d: f495.*
+ eb9e: f495.*
+ eb9f: fb73.*
+ eba0: 034d.*
+ eba1: f495.*
+ eba2: f495.*
+ eba3: fb7b.*
+ eba4: 034e.*
+ eba5: f495.*
+ eba6: f495.*
+ eba7: fb7b.*
+ eba8: 034f.*
+ eba9: f495.*
+ ebaa: f495.*
+ ebab: fb67.*
+ ebac: 0350.*
+ ebad: f495.*
+ ebae: f495.*
+ ebaf: fb67.*
+ ebb0: 0351.*
+ ebb1: f495.*
+ ebb2: f495.*
+ ebb3: fb6f.*
+ ebb4: 0352.*
+ ebb5: f495.*
+ ebb6: f495.*
+ ebb7: fb6f.*
+ ebb8: 0353.*
+ ebb9: f495.*
+ ebba: f495.*
+ ebbb: fb77.*
+ ebbc: 0354.*
+ ebbd: f495.*
+ ebbe: f495.*
+ ebbf: fb77.*
+ ebc0: 0355.*
+ ebc1: f495.*
+ ebc2: f495.*
+ ebc3: fb7f.*
+ ebc4: 0356.*
+ ebc5: f495.*
+ ebc6: f495.*
+ ebc7: fb7f.*
+ ebc8: 0357.*
+ ebc9: f495.*
+ ebca: f495.*
+ ebcb: fb66.*
+ ebcc: 0358.*
+ ebcd: f495.*
+ ebce: f495.*
+ ebcf: fb66.*
+ ebd0: 0359.*
+ ebd1: f495.*
+ ebd2: f495.*
+ ebd3: fb6e.*
+ ebd4: 035a.*
+ ebd5: f495.*
+ ebd6: f495.*
+ ebd7: fb6e.*
+ ebd8: 035b.*
+ ebd9: f495.*
+ ebda: f495.*
+ ebdb: fb76.*
+ ebdc: 035c.*
+ ebdd: f495.*
+ ebde: f495.*
+ ebdf: fb76.*
+ ebe0: 035d.*
+ ebe1: f495.*
+ ebe2: f495.*
+ ebe3: fb7e.*
+ ebe4: 035e.*
+ ebe5: f495.*
+ ebe6: f495.*
+ ebe7: fb7e.*
+ ebe8: 035f.*
+ ebe9: f495.*
+ ebea: f495.*
+ ebeb: fb64.*
+ ebec: 0360.*
+ ebed: f495.*
+ ebee: f495.*
+ ebef: fb64.*
+ ebf0: 0361.*
+ ebf1: f495.*
+ ebf2: f495.*
+ ebf3: fb6c.*
+ ebf4: 0362.*
+ ebf5: f495.*
+ ebf6: f495.*
+ ebf7: fb6c.*
+ ebf8: 0363.*
+ ebf9: f495.*
+ ebfa: f495.*
+ ebfb: fb74.*
+ ebfc: 0364.*
+ ebfd: f495.*
+ ebfe: f495.*
+ ebff: fb74.*
+ ec00: 0365.*
+ ec01: f495.*
+ ec02: f495.*
+ ec03: fb7c.*
+ ec04: 0366.*
+ ec05: f495.*
+ ec06: f495.*
+ ec07: fb7c.*
+ ec08: 0367.*
+ ec09: f495.*
+ ec0a: f495.*
+ ec0b: fb62.*
+ ec0c: 0368.*
+ ec0d: f495.*
+ ec0e: f495.*
+ ec0f: fb62.*
+ ec10: 0369.*
+ ec11: f495.*
+ ec12: f495.*
+ ec13: fb6a.*
+ ec14: 036a.*
+ ec15: f495.*
+ ec16: f495.*
+ ec17: fb6a.*
+ ec18: 036b.*
+ ec19: f495.*
+ ec1a: f495.*
+ ec1b: fb72.*
+ ec1c: 036c.*
+ ec1d: f495.*
+ ec1e: f495.*
+ ec1f: fb72.*
+ ec20: 036d.*
+ ec21: f495.*
+ ec22: f495.*
+ ec23: fb7a.*
+ ec24: 036e.*
+ ec25: f495.*
+ ec26: f495.*
+ ec27: fb7a.*
+ ec28: 036f.*
+ ec29: f495.*
+ ec2a: f495.*
+ ec2b: fb65.*
+ ec2c: 0370.*
+ ec2d: f495.*
+ ec2e: f495.*
+ ec2f: fb65.*
+ ec30: 0371.*
+ ec31: f495.*
+ ec32: f495.*
+ ec33: fb6d.*
+ ec34: 0372.*
+ ec35: f495.*
+ ec36: f495.*
+ ec37: fb6d.*
+ ec38: 0373.*
+ ec39: f495.*
+ ec3a: f495.*
+ ec3b: fb75.*
+ ec3c: 0374.*
+ ec3d: f495.*
+ ec3e: f495.*
+ ec3f: fb75.*
+ ec40: 0375.*
+ ec41: f495.*
+ ec42: f495.*
+ ec43: fb7d.*
+ ec44: 0376.*
+ ec45: f495.*
+ ec46: f495.*
+ ec47: fb7d.*
+ ec48: 0377.*
+ ec49: f495.*
+ ec4a: f495.*
+ ec4b: fb2a.*
+ ec4c: 0378.*
+ ec4d: f495.*
+ ec4e: f495.*
+ ec4f: fb2a.*
+ ec50: 0379.*
+ ec51: f495.*
+ ec52: f495.*
+ ec53: fb2a.*
+ ec54: 037a.*
+ ec55: f495.*
+ ec56: f495.*
+ ec57: fb2a.*
+ ec58: 037b.*
+ ec59: f495.*
+ ec5a: f495.*
+ ec5b: fb2a.*
+ ec5c: 037c.*
+ ec5d: f495.*
+ ec5e: f495.*
+ ec5f: fb2a.*
+ ec60: 037d.*
+ ec61: f495.*
+ ec62: f495.*
+ ec63: fb28.*
+ ec64: 037e.*
+ ec65: f495.*
+ ec66: f495.*
+ ec67: fb22.*
+ ec68: 037f.*
+ ec69: f495.*
+ ec6a: f495.*
+ ec6b: fb22.*
+ ec6c: 0380.*
+ ec6d: f495.*
+ ec6e: f495.*
+ ec6f: fb0a.*
+ ec70: 0381.*
+ ec71: f495.*
+ ec72: f495.*
+ ec73: fb0a.*
+ ec74: 0382.*
+ ec75: f495.*
+ ec76: f495.*
+ ec77: fb2b.*
+ ec78: 0383.*
+ ec79: f495.*
+ ec7a: f495.*
+ ec7b: fb2b.*
+ ec7c: 0384.*
+ ec7d: f495.*
+ ec7e: f495.*
+ ec7f: fb2b.*
+ ec80: 0385.*
+ ec81: f495.*
+ ec82: f495.*
+ ec83: fb2b.*
+ ec84: 0386.*
+ ec85: f495.*
+ ec86: f495.*
+ ec87: fb2b.*
+ ec88: 0387.*
+ ec89: f495.*
+ ec8a: f495.*
+ ec8b: fb2b.*
+ ec8c: 0388.*
+ ec8d: f495.*
+ ec8e: f495.*
+ ec8f: fb28.*
+ ec90: 0389.*
+ ec91: f495.*
+ ec92: f495.*
+ ec93: fb23.*
+ ec94: 038a.*
+ ec95: f495.*
+ ec96: f495.*
+ ec97: fb23.*
+ ec98: 038b.*
+ ec99: f495.*
+ ec9a: f495.*
+ ec9b: fb0b.*
+ ec9c: 038c.*
+ ec9d: f495.*
+ ec9e: f495.*
+ ec9f: fb0b.*
+ eca0: 038d.*
+ eca1: f495.*
+ eca2: f495.*
+ eca3: fb2e.*
+ eca4: 038e.*
+ eca5: f495.*
+ eca6: f495.*
+ eca7: fb2e.*
+ eca8: 038f.*
+ eca9: f495.*
+ ecaa: f495.*
+ ecab: fb2e.*
+ ecac: 0390.*
+ ecad: f495.*
+ ecae: f495.*
+ ecaf: fb2e.*
+ ecb0: 0391.*
+ ecb1: f495.*
+ ecb2: f495.*
+ ecb3: fb2e.*
+ ecb4: 0392.*
+ ecb5: f495.*
+ ecb6: f495.*
+ ecb7: fb2e.*
+ ecb8: 0393.*
+ ecb9: f495.*
+ ecba: f495.*
+ ecbb: fb2c.*
+ ecbc: 0394.*
+ ecbd: f495.*
+ ecbe: f495.*
+ ecbf: fb22.*
+ ecc0: 0395.*
+ ecc1: f495.*
+ ecc2: f495.*
+ ecc3: fb22.*
+ ecc4: 0396.*
+ ecc5: f495.*
+ ecc6: f495.*
+ ecc7: fb0e.*
+ ecc8: 0397.*
+ ecc9: f495.*
+ ecca: f495.*
+ eccb: fb0e.*
+ eccc: 0398.*
+ eccd: f495.*
+ ecce: f495.*
+ eccf: fb2f.*
+ ecd0: 0399.*
+ ecd1: f495.*
+ ecd2: f495.*
+ ecd3: fb2f.*
+ ecd4: 039a.*
+ ecd5: f495.*
+ ecd6: f495.*
+ ecd7: fb2f.*
+ ecd8: 039b.*
+ ecd9: f495.*
+ ecda: f495.*
+ ecdb: fb2f.*
+ ecdc: 039c.*
+ ecdd: f495.*
+ ecde: f495.*
+ ecdf: fb2f.*
+ ece0: 039d.*
+ ece1: f495.*
+ ece2: f495.*
+ ece3: fb2f.*
+ ece4: 039e.*
+ ece5: f495.*
+ ece6: f495.*
+ ece7: fb2c.*
+ ece8: 039f.*
+ ece9: f495.*
+ ecea: f495.*
+ eceb: fb23.*
+ ecec: 03a0.*
+ eced: f495.*
+ ecee: f495.*
+ ecef: fb23.*
+ ecf0: 03a1.*
+ ecf1: f495.*
+ ecf2: f495.*
+ ecf3: fb0f.*
+ ecf4: 03a2.*
+ ecf5: f495.*
+ ecf6: f495.*
+ ecf7: fb0f.*
+ ecf8: 03a3.*
+ ecf9: f495.*
+ ecfa: f495.*
+ ecfb: fb3a.*
+ ecfc: 03a4.*
+ ecfd: f495.*
+ ecfe: f495.*
+ ecff: fb3a.*
+ ed00: 03a5.*
+ ed01: f495.*
+ ed02: f495.*
+ ed03: fb3a.*
+ ed04: 03a6.*
+ ed05: f495.*
+ ed06: f495.*
+ ed07: fb3a.*
+ ed08: 03a7.*
+ ed09: f495.*
+ ed0a: f495.*
+ ed0b: fb3a.*
+ ed0c: 03a8.*
+ ed0d: f495.*
+ ed0e: f495.*
+ ed0f: fb3a.*
+ ed10: 03a9.*
+ ed11: f495.*
+ ed12: f495.*
+ ed13: fb38.*
+ ed14: 03aa.*
+ ed15: f495.*
+ ed16: f495.*
+ ed17: fb32.*
+ ed18: 03ab.*
+ ed19: f495.*
+ ed1a: f495.*
+ ed1b: fb32.*
+ ed1c: 03ac.*
+ ed1d: f495.*
+ ed1e: f495.*
+ ed1f: fb0a.*
+ ed20: 03ad.*
+ ed21: f495.*
+ ed22: f495.*
+ ed23: fb0a.*
+ ed24: 03ae.*
+ ed25: f495.*
+ ed26: f495.*
+ ed27: fb3b.*
+ ed28: 03af.*
+ ed29: f495.*
+ ed2a: f495.*
+ ed2b: fb3b.*
+ ed2c: 03b0.*
+ ed2d: f495.*
+ ed2e: f495.*
+ ed2f: fb3b.*
+ ed30: 03b1.*
+ ed31: f495.*
+ ed32: f495.*
+ ed33: fb3b.*
+ ed34: 03b2.*
+ ed35: f495.*
+ ed36: f495.*
+ ed37: fb3b.*
+ ed38: 03b3.*
+ ed39: f495.*
+ ed3a: f495.*
+ ed3b: fb3b.*
+ ed3c: 03b4.*
+ ed3d: f495.*
+ ed3e: f495.*
+ ed3f: fb38.*
+ ed40: 03b5.*
+ ed41: f495.*
+ ed42: f495.*
+ ed43: fb33.*
+ ed44: 03b6.*
+ ed45: f495.*
+ ed46: f495.*
+ ed47: fb33.*
+ ed48: 03b7.*
+ ed49: f495.*
+ ed4a: f495.*
+ ed4b: fb0b.*
+ ed4c: 03b8.*
+ ed4d: f495.*
+ ed4e: f495.*
+ ed4f: fb0b.*
+ ed50: 03b9.*
+ ed51: f495.*
+ ed52: f495.*
+ ed53: fb3e.*
+ ed54: 03ba.*
+ ed55: f495.*
+ ed56: f495.*
+ ed57: fb3e.*
+ ed58: 03bb.*
+ ed59: f495.*
+ ed5a: f495.*
+ ed5b: fb3e.*
+ ed5c: 03bc.*
+ ed5d: f495.*
+ ed5e: f495.*
+ ed5f: fb3e.*
+ ed60: 03bd.*
+ ed61: f495.*
+ ed62: f495.*
+ ed63: fb3e.*
+ ed64: 03be.*
+ ed65: f495.*
+ ed66: f495.*
+ ed67: fb3e.*
+ ed68: 03bf.*
+ ed69: f495.*
+ ed6a: f495.*
+ ed6b: fb3c.*
+ ed6c: 03c0.*
+ ed6d: f495.*
+ ed6e: f495.*
+ ed6f: fb32.*
+ ed70: 03c1.*
+ ed71: f495.*
+ ed72: f495.*
+ ed73: fb32.*
+ ed74: 03c2.*
+ ed75: f495.*
+ ed76: f495.*
+ ed77: fb0e.*
+ ed78: 03c3.*
+ ed79: f495.*
+ ed7a: f495.*
+ ed7b: fb0e.*
+ ed7c: 03c4.*
+ ed7d: f495.*
+ ed7e: f495.*
+ ed7f: fb3f.*
+ ed80: 03c5.*
+ ed81: f495.*
+ ed82: f495.*
+ ed83: fb3f.*
+ ed84: 03c6.*
+ ed85: f495.*
+ ed86: f495.*
+ ed87: fb3f.*
+ ed88: 03c7.*
+ ed89: f495.*
+ ed8a: f495.*
+ ed8b: fb3f.*
+ ed8c: 03c8.*
+ ed8d: f495.*
+ ed8e: f495.*
+ ed8f: fb3f.*
+ ed90: 03c9.*
+ ed91: f495.*
+ ed92: f495.*
+ ed93: fb3f.*
+ ed94: 03ca.*
+ ed95: f495.*
+ ed96: f495.*
+ ed97: fb3c.*
+ ed98: 03cb.*
+ ed99: f495.*
+ ed9a: f495.*
+ ed9b: fb33.*
+ ed9c: 03cc.*
+ ed9d: f495.*
+ ed9e: f495.*
+ ed9f: fb33.*
+ eda0: 03cd.*
+ eda1: f495.*
+ eda2: f495.*
+ eda3: fb0f.*
+ eda4: 03ce.*
+ eda5: f495.*
+ eda6: f495.*
+ eda7: fb0f.*
+ eda8: 03cf.*
+ eda9: f495.*
+ edaa: f495.*
+ edab: f493.*
+ edac: f593.*
+ edad: f493.*
+ edae: f693.*
+ edaf: f793.*
+ edb0: f793.*
+ edb1: 605a.*
+ edb2: 1dc3.*
+ edb3: 6080.*
+ edb4: 1dc4.*
+ edb5: 6088.*
+ edb6: 1dc5.*
+ edb7: 6090.*
+ edb8: 1dc6.*
+ edb9: 60a0.*
+ edba: 1dc7.*
+ edbb: 60a8.*
+ edbc: 1dc8.*
+ edbd: 60b0.*
+ edbe: 1dc9.*
+ edbf: 60b8.*
+ edc0: 1dca.*
+ edc1: 60c0.*
+ edc2: 1dcb.*
+ edc3: 60c8.*
+ edc4: 1dcc.*
+ edc5: 60d0.*
+ edc6: 1dcd.*
+ edc7: 60d8.*
+ edc8: 1dce.*
+ edc9: 60e0.*
+ edca: 1dcf.*
+ edcb: 1dd0.*
+ edcc: 60e8.*
+ edcd: 1dd1.*
+ edce: 1dd2.*
+ edcf: 60f0.*
+ edd0: 1dd3.*
+ edd1: 1dd4.*
+ edd2: 60f8.*
+ edd3: 1dd5.*
+ edd4: 1dd6.*
+ edd5: 6081.*
+ edd6: 1dd7.*
+ edd7: 6089.*
+ edd8: 1dd8.*
+ edd9: 6091.*
+ edda: 1dd9.*
+ eddb: 60a1.*
+ eddc: 1dda.*
+ eddd: 60a9.*
+ edde: 1ddb.*
+ eddf: 60b1.*
+ ede0: 1ddc.*
+ ede1: 60b9.*
+ ede2: 1ddd.*
+ ede3: 60c1.*
+ ede4: 1dde.*
+ ede5: 60c9.*
+ ede6: 1ddf.*
+ ede7: 60d1.*
+ ede8: 1de0.*
+ ede9: 60d9.*
+ edea: 1de1.*
+ edeb: 60e1.*
+ edec: 1de2.*
+ eded: 1de3.*
+ edee: 60e9.*
+ edef: 1de4.*
+ edf0: 1de5.*
+ edf1: 60f1.*
+ edf2: 1de6.*
+ edf3: 1de7.*
+ edf4: 60f8.*
+ edf5: 1de8.*
+ edf6: 1de9.*
+ edf7: 6082.*
+ edf8: 1dea.*
+ edf9: 608a.*
+ edfa: 1deb.*
+ edfb: 6092.*
+ edfc: 1dec.*
+ edfd: 60a2.*
+ edfe: 1ded.*
+ edff: 60aa.*
+ ee00: 1dee.*
+ ee01: 60b2.*
+ ee02: 1def.*
+ ee03: 60ba.*
+ ee04: 1df0.*
+ ee05: 60c2.*
+ ee06: 1df1.*
+ ee07: 60ca.*
+ ee08: 1df2.*
+ ee09: 60d2.*
+ ee0a: 1df3.*
+ ee0b: 60da.*
+ ee0c: 1df4.*
+ ee0d: 60e2.*
+ ee0e: 1df5.*
+ ee0f: 1df6.*
+ ee10: 60ea.*
+ ee11: 1df7.*
+ ee12: 1df8.*
+ ee13: 60f2.*
+ ee14: 1df9.*
+ ee15: 1dfa.*
+ ee16: 60f8.*
+ ee17: 1dfb.*
+ ee18: 1dfc.*
+ ee19: 6083.*
+ ee1a: 1dfd.*
+ ee1b: 608b.*
+ ee1c: 1dfe.*
+ ee1d: 6093.*
+ ee1e: 1dff.*
+ ee1f: 60a3.*
+ ee20: 1e00.*
+ ee21: 60ab.*
+ ee22: 1e01.*
+ ee23: 60b3.*
+ ee24: 1e02.*
+ ee25: 60bb.*
+ ee26: 1e03.*
+ ee27: 60c3.*
+ ee28: 1e04.*
+ ee29: 60cb.*
+ ee2a: 1e05.*
+ ee2b: 60d3.*
+ ee2c: 1e06.*
+ ee2d: 60db.*
+ ee2e: 1e07.*
+ ee2f: 60e3.*
+ ee30: 1e08.*
+ ee31: 1e09.*
+ ee32: 60eb.*
+ ee33: 1e0a.*
+ ee34: 1e0b.*
+ ee35: 60f3.*
+ ee36: 1e0c.*
+ ee37: 1e0d.*
+ ee38: 60f8.*
+ ee39: 1e0e.*
+ ee3a: 1e0f.*
+ ee3b: 6084.*
+ ee3c: 1e10.*
+ ee3d: 608c.*
+ ee3e: 1e11.*
+ ee3f: 6094.*
+ ee40: 1e12.*
+ ee41: 60a4.*
+ ee42: 1e13.*
+ ee43: 60ac.*
+ ee44: 1e14.*
+ ee45: 60b4.*
+ ee46: 1e15.*
+ ee47: 60bc.*
+ ee48: 1e16.*
+ ee49: 60c4.*
+ ee4a: 1e17.*
+ ee4b: 60cc.*
+ ee4c: 1e18.*
+ ee4d: 60d4.*
+ ee4e: 1e19.*
+ ee4f: 60dc.*
+ ee50: 1e1a.*
+ ee51: 60e4.*
+ ee52: 1e1b.*
+ ee53: 1e1c.*
+ ee54: 60ec.*
+ ee55: 1e1d.*
+ ee56: 1e1e.*
+ ee57: 60f4.*
+ ee58: 1e1f.*
+ ee59: 1e20.*
+ ee5a: 60f8.*
+ ee5b: 1e21.*
+ ee5c: 1e22.*
+ ee5d: 6085.*
+ ee5e: 1e23.*
+ ee5f: 608d.*
+ ee60: 1e24.*
+ ee61: 6095.*
+ ee62: 1e25.*
+ ee63: 60a5.*
+ ee64: 1e26.*
+ ee65: 60ad.*
+ ee66: 1e27.*
+ ee67: 60b5.*
+ ee68: 1e28.*
+ ee69: 60bd.*
+ ee6a: 1e29.*
+ ee6b: 60c5.*
+ ee6c: 1e2a.*
+ ee6d: 60cd.*
+ ee6e: 1e2b.*
+ ee6f: 60d5.*
+ ee70: 1e2c.*
+ ee71: 60dd.*
+ ee72: 1e2d.*
+ ee73: 60e5.*
+ ee74: 1e2e.*
+ ee75: 1e2f.*
+ ee76: 60ed.*
+ ee77: 1e30.*
+ ee78: 1e31.*
+ ee79: 60f5.*
+ ee7a: 1e32.*
+ ee7b: 1e33.*
+ ee7c: 60f8.*
+ ee7d: 1e34.*
+ ee7e: 1e35.*
+ ee7f: 6086.*
+ ee80: 1e36.*
+ ee81: 608e.*
+ ee82: 1e37.*
+ ee83: 6096.*
+ ee84: 1e38.*
+ ee85: 60a6.*
+ ee86: 1e39.*
+ ee87: 60ae.*
+ ee88: 1e3a.*
+ ee89: 60b6.*
+ ee8a: 1e3b.*
+ ee8b: 60be.*
+ ee8c: 1e3c.*
+ ee8d: 60c6.*
+ ee8e: 1e3d.*
+ ee8f: 60ce.*
+ ee90: 1e3e.*
+ ee91: 60d6.*
+ ee92: 1e3f.*
+ ee93: 60de.*
+ ee94: 1e40.*
+ ee95: 60e6.*
+ ee96: 1e41.*
+ ee97: 1e42.*
+ ee98: 60ee.*
+ ee99: 1e43.*
+ ee9a: 1e44.*
+ ee9b: 60f6.*
+ ee9c: 1e45.*
+ ee9d: 1e46.*
+ ee9e: 60f8.*
+ ee9f: 1e47.*
+ eea0: 1e48.*
+ eea1: 6087.*
+ eea2: 1e49.*
+ eea3: 608f.*
+ eea4: 1e4a.*
+ eea5: 6097.*
+ eea6: 1e4b.*
+ eea7: 60a7.*
+ eea8: 1e4c.*
+ eea9: 60af.*
+ eeaa: 1e4d.*
+ eeab: 60b7.*
+ eeac: 1e4e.*
+ eead: 60bf.*
+ eeae: 1e4f.*
+ eeaf: 60c7.*
+ eeb0: 1e50.*
+ eeb1: 60cf.*
+ eeb2: 1e51.*
+ eeb3: 60d7.*
+ eeb4: 1e52.*
+ eeb5: 60df.*
+ eeb6: 1e53.*
+ eeb7: 60e7.*
+ eeb8: 1e54.*
+ eeb9: 1e55.*
+ eeba: 60ef.*
+ eebb: 1e56.*
+ eebc: 1e57.*
+ eebd: 60f7.*
+ eebe: 1e58.*
+ eebf: 1e59.*
+ eec0: 60f8.*
+ eec1: 1e5a.*
+ eec2: 1e5b.*
+ eec3: f4a8.*
+ eec4: f4a9.*
+ eec5: f4aa.*
+ eec6: f4ab.*
+ eec7: f4ac.*
+ eec8: f4ad.*
+ eec9: f4ae.*
+ eeca: f4af.*
+ eecb: f5a8.*
+ eecc: f5a9.*
+ eecd: f5aa.*
+ eece: f5ab.*
+ eecf: f5ac.*
+ eed0: f5ad.*
+ eed1: f5ae.*
+ eed2: f5af.*
+ eed3: f6a8.*
+ eed4: f6a9.*
+ eed5: f6aa.*
+ eed6: f6ab.*
+ eed7: f6ac.*
+ eed8: f6ad.*
+ eed9: f6ae.*
+ eeda: f6af.*
+ eedb: f7a8.*
+ eedc: f7a9.*
+ eedd: f7aa.*
+ eede: f7ab.*
+ eedf: f7ac.*
+ eee0: f7ad.*
+ eee1: f7ae.*
+ eee2: f7af.*
+ eee3: 8e5b.*
+ eee4: 8f5c.*
+ eee5: 8e80.*
+ eee6: 8e88.*
+ eee7: 8e90.*
+ eee8: 8e98.*
+ eee9: 8ea0.*
+ eeea: 8ea8.*
+ eeeb: 8eb0.*
+ eeec: 8eb8.*
+ eeed: 8ec0.*
+ eeee: 8ec8.*
+ eeef: 8ed0.*
+ eef0: 8ed8.*
+ eef1: 8ee0.*
+ eef2: 1e5c.*
+ eef3: 8ee8.*
+ eef4: 1e5d.*
+ eef5: 8ef0.*
+ eef6: 1e5e.*
+ eef7: 8ef8.*
+ eef8: 1e5f.*
+ eef9: 8e81.*
+ eefa: 8e89.*
+ eefb: 8e91.*
+ eefc: 8e99.*
+ eefd: 8ea1.*
+ eefe: 8ea9.*
+ eeff: 8eb1.*
+ ef00: 8eb9.*
+ ef01: 8ec1.*
+ ef02: 8ec9.*
+ ef03: 8ed1.*
+ ef04: 8ed9.*
+ ef05: 8ee1.*
+ ef06: 1e60.*
+ ef07: 8ee9.*
+ ef08: 1e61.*
+ ef09: 8ef1.*
+ ef0a: 1e62.*
+ ef0b: 8ef8.*
+ ef0c: 1e63.*
+ ef0d: 8e82.*
+ ef0e: 8e8a.*
+ ef0f: 8e92.*
+ ef10: 8e9a.*
+ ef11: 8ea2.*
+ ef12: 8eaa.*
+ ef13: 8eb2.*
+ ef14: 8eba.*
+ ef15: 8ec2.*
+ ef16: 8eca.*
+ ef17: 8ed2.*
+ ef18: 8eda.*
+ ef19: 8ee2.*
+ ef1a: 1e64.*
+ ef1b: 8eea.*
+ ef1c: 1e65.*
+ ef1d: 8ef2.*
+ ef1e: 1e66.*
+ ef1f: 8ef8.*
+ ef20: 1e67.*
+ ef21: 8e83.*
+ ef22: 8e8b.*
+ ef23: 8e93.*
+ ef24: 8e9b.*
+ ef25: 8ea3.*
+ ef26: 8eab.*
+ ef27: 8eb3.*
+ ef28: 8ebb.*
+ ef29: 8ec3.*
+ ef2a: 8ecb.*
+ ef2b: 8ed3.*
+ ef2c: 8edb.*
+ ef2d: 8ee3.*
+ ef2e: 1e68.*
+ ef2f: 8eeb.*
+ ef30: 1e69.*
+ ef31: 8ef3.*
+ ef32: 1e6a.*
+ ef33: 8ef8.*
+ ef34: 1e6b.*
+ ef35: 8e84.*
+ ef36: 8e8c.*
+ ef37: 8e94.*
+ ef38: 8e9c.*
+ ef39: 8ea4.*
+ ef3a: 8eac.*
+ ef3b: 8eb4.*
+ ef3c: 8ebc.*
+ ef3d: 8ec4.*
+ ef3e: 8ecc.*
+ ef3f: 8ed4.*
+ ef40: 8edc.*
+ ef41: 8ee4.*
+ ef42: 1e6c.*
+ ef43: 8eec.*
+ ef44: 1e6d.*
+ ef45: 8ef4.*
+ ef46: 1e6e.*
+ ef47: 8ef8.*
+ ef48: 1e6f.*
+ ef49: 8e85.*
+ ef4a: 8e8d.*
+ ef4b: 8e95.*
+ ef4c: 8e9d.*
+ ef4d: 8ea5.*
+ ef4e: 8ead.*
+ ef4f: 8eb5.*
+ ef50: 8ebd.*
+ ef51: 8ec5.*
+ ef52: 8ecd.*
+ ef53: 8ed5.*
+ ef54: 8edd.*
+ ef55: 8ee5.*
+ ef56: 1e70.*
+ ef57: 8eed.*
+ ef58: 1e71.*
+ ef59: 8ef5.*
+ ef5a: 1e72.*
+ ef5b: 8ef8.*
+ ef5c: 1e73.*
+ ef5d: 8e86.*
+ ef5e: 8e8e.*
+ ef5f: 8e96.*
+ ef60: 8e9e.*
+ ef61: 8ea6.*
+ ef62: 8eae.*
+ ef63: 8eb6.*
+ ef64: 8ebe.*
+ ef65: 8ec6.*
+ ef66: 8ece.*
+ ef67: 8ed6.*
+ ef68: 8ede.*
+ ef69: 8ee6.*
+ ef6a: 1e74.*
+ ef6b: 8eee.*
+ ef6c: 1e75.*
+ ef6d: 8ef6.*
+ ef6e: 1e76.*
+ ef6f: 8ef8.*
+ ef70: 1e77.*
+ ef71: 8e87.*
+ ef72: 8e8f.*
+ ef73: 8e97.*
+ ef74: 8e9f.*
+ ef75: 8ea7.*
+ ef76: 8eaf.*
+ ef77: 8eb7.*
+ ef78: 8ebf.*
+ ef79: 8ec7.*
+ ef7a: 8ecf.*
+ ef7b: 8ed7.*
+ ef7c: 8edf.*
+ ef7d: 8ee7.*
+ ef7e: 1e78.*
+ ef7f: 8eef.*
+ ef80: 1e79.*
+ ef81: 8ef7.*
+ ef82: 1e7a.*
+ ef83: 8ef8.*
+ ef84: 1e7b.*
+ ef85: 8f80.*
+ ef86: 8f88.*
+ ef87: 8f90.*
+ ef88: 8f98.*
+ ef89: 8fa0.*
+ ef8a: 8fa8.*
+ ef8b: 8fb0.*
+ ef8c: 8fb8.*
+ ef8d: 8fc0.*
+ ef8e: 8fc8.*
+ ef8f: 8fd0.*
+ ef90: 8fd8.*
+ ef91: 8fe0.*
+ ef92: 1e7c.*
+ ef93: 8fe8.*
+ ef94: 1e7d.*
+ ef95: 8ff0.*
+ ef96: 1e7e.*
+ ef97: 8ff8.*
+ ef98: 1e7f.*
+ ef99: 8f81.*
+ ef9a: 8f89.*
+ ef9b: 8f91.*
+ ef9c: 8f99.*
+ ef9d: 8fa1.*
+ ef9e: 8fa9.*
+ ef9f: 8fb1.*
+ efa0: 8fb9.*
+ efa1: 8fc1.*
+ efa2: 8fc9.*
+ efa3: 8fd1.*
+ efa4: 8fd9.*
+ efa5: 8fe1.*
+ efa6: 1e80.*
+ efa7: 8fe9.*
+ efa8: 1e81.*
+ efa9: 8ff1.*
+ efaa: 1e82.*
+ efab: 8ff8.*
+ efac: 1e83.*
+ efad: 8f82.*
+ efae: 8f8a.*
+ efaf: 8f92.*
+ efb0: 8f9a.*
+ efb1: 8fa2.*
+ efb2: 8faa.*
+ efb3: 8fb2.*
+ efb4: 8fba.*
+ efb5: 8fc2.*
+ efb6: 8fca.*
+ efb7: 8fd2.*
+ efb8: 8fda.*
+ efb9: 8fe2.*
+ efba: 1e84.*
+ efbb: 8fea.*
+ efbc: 1e85.*
+ efbd: 8ff2.*
+ efbe: 1e86.*
+ efbf: 8ff8.*
+ efc0: 1e87.*
+ efc1: 8f83.*
+ efc2: 8f8b.*
+ efc3: 8f93.*
+ efc4: 8f9b.*
+ efc5: 8fa3.*
+ efc6: 8fab.*
+ efc7: 8fb3.*
+ efc8: 8fbb.*
+ efc9: 8fc3.*
+ efca: 8fcb.*
+ efcb: 8fd3.*
+ efcc: 8fdb.*
+ efcd: 8fe3.*
+ efce: 1e88.*
+ efcf: 8feb.*
+ efd0: 1e89.*
+ efd1: 8ff3.*
+ efd2: 1e8a.*
+ efd3: 8ff8.*
+ efd4: 1e8b.*
+ efd5: 8f84.*
+ efd6: 8f8c.*
+ efd7: 8f94.*
+ efd8: 8f9c.*
+ efd9: 8fa4.*
+ efda: 8fac.*
+ efdb: 8fb4.*
+ efdc: 8fbc.*
+ efdd: 8fc4.*
+ efde: 8fcc.*
+ efdf: 8fd4.*
+ efe0: 8fdc.*
+ efe1: 8fe4.*
+ efe2: 1e8c.*
+ efe3: 8fec.*
+ efe4: 1e8d.*
+ efe5: 8ff4.*
+ efe6: 1e8e.*
+ efe7: 8ff8.*
+ efe8: 1e8f.*
+ efe9: 8f85.*
+ efea: 8f8d.*
+ efeb: 8f95.*
+ efec: 8f9d.*
+ efed: 8fa5.*
+ efee: 8fad.*
+ efef: 8fb5.*
+ eff0: 8fbd.*
+ eff1: 8fc5.*
+ eff2: 8fcd.*
+ eff3: 8fd5.*
+ eff4: 8fdd.*
+ eff5: 8fe5.*
+ eff6: 1e90.*
+ eff7: 8fed.*
+ eff8: 1e91.*
+ eff9: 8ff5.*
+ effa: 1e92.*
+ effb: 8ff8.*
+ effc: 1e93.*
+ effd: 8f86.*
+ effe: 8f8e.*
+ efff: 8f96.*
+ f000: 8f9e.*
+ f001: 8fa6.*
+ f002: 8fae.*
+ f003: 8fb6.*
+ f004: 8fbe.*
+ f005: 8fc6.*
+ f006: 8fce.*
+ f007: 8fd6.*
+ f008: 8fde.*
+ f009: 8fe6.*
+ f00a: 1e94.*
+ f00b: 8fee.*
+ f00c: 1e95.*
+ f00d: 8ff6.*
+ f00e: 1e96.*
+ f00f: 8ff8.*
+ f010: 1e97.*
+ f011: 8f87.*
+ f012: 8f8f.*
+ f013: 8f97.*
+ f014: 8f9f.*
+ f015: 8fa7.*
+ f016: 8faf.*
+ f017: 8fb7.*
+ f018: 8fbf.*
+ f019: 8fc7.*
+ f01a: 8fcf.*
+ f01b: 8fd7.*
+ f01c: 8fdf.*
+ f01d: 8fe7.*
+ f01e: 1e98.*
+ f01f: 8fef.*
+ f020: 1e99.*
+ f021: 8ff7.*
+ f022: 1e9a.*
+ f023: 8ff8.*
+ f024: 1e9b.*
+ f025: 505d.*
+ f026: 515e.*
+ f027: 505f.*
+ f028: 5260.*
+ f029: 5361.*
+ f02a: 5362.*
+ f02b: 5080.*
+ f02c: 5180.*
+ f02d: 5080.*
+ f02e: 5280.*
+ f02f: 5380.*
+ f030: 5380.*
+ f031: 5088.*
+ f032: 5188.*
+ f033: 5088.*
+ f034: 5288.*
+ f035: 5388.*
+ f036: 5388.*
+ f037: 5090.*
+ f038: 5190.*
+ f039: 5090.*
+ f03a: 5290.*
+ f03b: 5390.*
+ f03c: 5390.*
+ f03d: 50a0.*
+ f03e: 51a0.*
+ f03f: 50a0.*
+ f040: 52a0.*
+ f041: 53a0.*
+ f042: 53a0.*
+ f043: 50a8.*
+ f044: 51a8.*
+ f045: 50a8.*
+ f046: 52a8.*
+ f047: 53a8.*
+ f048: 53a8.*
+ f049: 50b0.*
+ f04a: 51b0.*
+ f04b: 50b0.*
+ f04c: 52b0.*
+ f04d: 53b0.*
+ f04e: 53b0.*
+ f04f: 50b8.*
+ f050: 51b8.*
+ f051: 50b8.*
+ f052: 52b8.*
+ f053: 53b8.*
+ f054: 53b8.*
+ f055: 50c0.*
+ f056: 51c0.*
+ f057: 50c0.*
+ f058: 52c0.*
+ f059: 53c0.*
+ f05a: 53c0.*
+ f05b: 50c8.*
+ f05c: 51c8.*
+ f05d: 50c8.*
+ f05e: 52c8.*
+ f05f: 53c8.*
+ f060: 53c8.*
+ f061: 50d0.*
+ f062: 51d0.*
+ f063: 50d0.*
+ f064: 52d0.*
+ f065: 53d0.*
+ f066: 53d0.*
+ f067: 50d8.*
+ f068: 51d8.*
+ f069: 50d8.*
+ f06a: 52d8.*
+ f06b: 53d8.*
+ f06c: 53d8.*
+ f06d: 50e0.*
+ f06e: 1e9c.*
+ f06f: 51e0.*
+ f070: 1e9d.*
+ f071: 50e0.*
+ f072: 1e9e.*
+ f073: 52e0.*
+ f074: 1e9f.*
+ f075: 53e0.*
+ f076: 1ea0.*
+ f077: 53e0.*
+ f078: 1ea1.*
+ f079: 50e8.*
+ f07a: 1ea2.*
+ f07b: 51e8.*
+ f07c: 1ea3.*
+ f07d: 50e8.*
+ f07e: 1ea4.*
+ f07f: 52e8.*
+ f080: 1ea5.*
+ f081: 53e8.*
+ f082: 1ea6.*
+ f083: 53e8.*
+ f084: 1ea7.*
+ f085: 50f0.*
+ f086: 1ea8.*
+ f087: 51f0.*
+ f088: 1ea9.*
+ f089: 50f0.*
+ f08a: 1eaa.*
+ f08b: 52f0.*
+ f08c: 1eab.*
+ f08d: 53f0.*
+ f08e: 1eac.*
+ f08f: 53f0.*
+ f090: 1ead.*
+ f091: 50f8.*
+ f092: 1eae.*
+ f093: 51f8.*
+ f094: 1eaf.*
+ f095: 50f8.*
+ f096: 1eb0.*
+ f097: 52f8.*
+ f098: 1eb1.*
+ f099: 53f8.*
+ f09a: 1eb2.*
+ f09b: 53f8.*
+ f09c: 1eb3.*
+ f09d: 5081.*
+ f09e: 5181.*
+ f09f: 5081.*
+ f0a0: 5281.*
+ f0a1: 5381.*
+ f0a2: 5381.*
+ f0a3: 5089.*
+ f0a4: 5189.*
+ f0a5: 5089.*
+ f0a6: 5289.*
+ f0a7: 5389.*
+ f0a8: 5389.*
+ f0a9: 5091.*
+ f0aa: 5191.*
+ f0ab: 5091.*
+ f0ac: 5291.*
+ f0ad: 5391.*
+ f0ae: 5391.*
+ f0af: 50a1.*
+ f0b0: 51a1.*
+ f0b1: 50a1.*
+ f0b2: 52a1.*
+ f0b3: 53a1.*
+ f0b4: 53a1.*
+ f0b5: 50a9.*
+ f0b6: 51a9.*
+ f0b7: 50a9.*
+ f0b8: 52a9.*
+ f0b9: 53a9.*
+ f0ba: 53a9.*
+ f0bb: 50b1.*
+ f0bc: 51b1.*
+ f0bd: 50b1.*
+ f0be: 52b1.*
+ f0bf: 53b1.*
+ f0c0: 53b1.*
+ f0c1: 50b9.*
+ f0c2: 51b9.*
+ f0c3: 50b9.*
+ f0c4: 52b9.*
+ f0c5: 53b9.*
+ f0c6: 53b9.*
+ f0c7: 50c1.*
+ f0c8: 51c1.*
+ f0c9: 50c1.*
+ f0ca: 52c1.*
+ f0cb: 53c1.*
+ f0cc: 53c1.*
+ f0cd: 50c9.*
+ f0ce: 51c9.*
+ f0cf: 50c9.*
+ f0d0: 52c9.*
+ f0d1: 53c9.*
+ f0d2: 53c9.*
+ f0d3: 50d1.*
+ f0d4: 51d1.*
+ f0d5: 50d1.*
+ f0d6: 52d1.*
+ f0d7: 53d1.*
+ f0d8: 53d1.*
+ f0d9: 50d9.*
+ f0da: 51d9.*
+ f0db: 50d9.*
+ f0dc: 52d9.*
+ f0dd: 53d9.*
+ f0de: 53d9.*
+ f0df: 50e1.*
+ f0e0: 1eb4.*
+ f0e1: 51e1.*
+ f0e2: 1eb5.*
+ f0e3: 50e1.*
+ f0e4: 1eb6.*
+ f0e5: 52e1.*
+ f0e6: 1eb7.*
+ f0e7: 53e1.*
+ f0e8: 1eb8.*
+ f0e9: 53e1.*
+ f0ea: 1eb9.*
+ f0eb: 50e9.*
+ f0ec: 1eba.*
+ f0ed: 51e9.*
+ f0ee: 1ebb.*
+ f0ef: 50e9.*
+ f0f0: 1ebc.*
+ f0f1: 52e9.*
+ f0f2: 1ebd.*
+ f0f3: 53e9.*
+ f0f4: 1ebe.*
+ f0f5: 53e9.*
+ f0f6: 1ebf.*
+ f0f7: 50f1.*
+ f0f8: 1ec0.*
+ f0f9: 51f1.*
+ f0fa: 1ec1.*
+ f0fb: 50f1.*
+ f0fc: 1ec2.*
+ f0fd: 52f1.*
+ f0fe: 1ec3.*
+ f0ff: 53f1.*
+ f100: 1ec4.*
+ f101: 53f1.*
+ f102: 1ec5.*
+ f103: 50f8.*
+ f104: 1ec6.*
+ f105: 51f8.*
+ f106: 1ec7.*
+ f107: 50f8.*
+ f108: 1ec8.*
+ f109: 52f8.*
+ f10a: 1ec9.*
+ f10b: 53f8.*
+ f10c: 1eca.*
+ f10d: 53f8.*
+ f10e: 1ecb.*
+ f10f: 5082.*
+ f110: 5182.*
+ f111: 5082.*
+ f112: 5282.*
+ f113: 5382.*
+ f114: 5382.*
+ f115: 508a.*
+ f116: 518a.*
+ f117: 508a.*
+ f118: 528a.*
+ f119: 538a.*
+ f11a: 538a.*
+ f11b: 5092.*
+ f11c: 5192.*
+ f11d: 5092.*
+ f11e: 5292.*
+ f11f: 5392.*
+ f120: 5392.*
+ f121: 50a2.*
+ f122: 51a2.*
+ f123: 50a2.*
+ f124: 52a2.*
+ f125: 53a2.*
+ f126: 53a2.*
+ f127: 50aa.*
+ f128: 51aa.*
+ f129: 50aa.*
+ f12a: 52aa.*
+ f12b: 53aa.*
+ f12c: 53aa.*
+ f12d: 50b2.*
+ f12e: 51b2.*
+ f12f: 50b2.*
+ f130: 52b2.*
+ f131: 53b2.*
+ f132: 53b2.*
+ f133: 50ba.*
+ f134: 51ba.*
+ f135: 50ba.*
+ f136: 52ba.*
+ f137: 53ba.*
+ f138: 53ba.*
+ f139: 50c2.*
+ f13a: 51c2.*
+ f13b: 50c2.*
+ f13c: 52c2.*
+ f13d: 53c2.*
+ f13e: 53c2.*
+ f13f: 50ca.*
+ f140: 51ca.*
+ f141: 50ca.*
+ f142: 52ca.*
+ f143: 53ca.*
+ f144: 53ca.*
+ f145: 50d2.*
+ f146: 51d2.*
+ f147: 50d2.*
+ f148: 52d2.*
+ f149: 53d2.*
+ f14a: 53d2.*
+ f14b: 50da.*
+ f14c: 51da.*
+ f14d: 50da.*
+ f14e: 52da.*
+ f14f: 53da.*
+ f150: 53da.*
+ f151: 50e2.*
+ f152: 1ecc.*
+ f153: 51e2.*
+ f154: 1ecd.*
+ f155: 50e2.*
+ f156: 1ece.*
+ f157: 52e2.*
+ f158: 1ecf.*
+ f159: 53e2.*
+ f15a: 1ed0.*
+ f15b: 53e2.*
+ f15c: 1ed1.*
+ f15d: 50ea.*
+ f15e: 1ed2.*
+ f15f: 51ea.*
+ f160: 1ed3.*
+ f161: 50ea.*
+ f162: 1ed4.*
+ f163: 52ea.*
+ f164: 1ed5.*
+ f165: 53ea.*
+ f166: 1ed6.*
+ f167: 53ea.*
+ f168: 1ed7.*
+ f169: 50f2.*
+ f16a: 1ed8.*
+ f16b: 51f2.*
+ f16c: 1ed9.*
+ f16d: 50f2.*
+ f16e: 1eda.*
+ f16f: 52f2.*
+ f170: 1edb.*
+ f171: 53f2.*
+ f172: 1edc.*
+ f173: 53f2.*
+ f174: 1edd.*
+ f175: 50f8.*
+ f176: 1ede.*
+ f177: 51f8.*
+ f178: 1edf.*
+ f179: 50f8.*
+ f17a: 1ee0.*
+ f17b: 52f8.*
+ f17c: 1ee1.*
+ f17d: 53f8.*
+ f17e: 1ee2.*
+ f17f: 53f8.*
+ f180: 1ee3.*
+ f181: 5083.*
+ f182: 5183.*
+ f183: 5083.*
+ f184: 5283.*
+ f185: 5383.*
+ f186: 5383.*
+ f187: 508b.*
+ f188: 518b.*
+ f189: 508b.*
+ f18a: 528b.*
+ f18b: 538b.*
+ f18c: 538b.*
+ f18d: 5093.*
+ f18e: 5193.*
+ f18f: 5093.*
+ f190: 5293.*
+ f191: 5393.*
+ f192: 5393.*
+ f193: 50a3.*
+ f194: 51a3.*
+ f195: 50a3.*
+ f196: 52a3.*
+ f197: 53a3.*
+ f198: 53a3.*
+ f199: 50ab.*
+ f19a: 51ab.*
+ f19b: 50ab.*
+ f19c: 52ab.*
+ f19d: 53ab.*
+ f19e: 53ab.*
+ f19f: 50b3.*
+ f1a0: 51b3.*
+ f1a1: 50b3.*
+ f1a2: 52b3.*
+ f1a3: 53b3.*
+ f1a4: 53b3.*
+ f1a5: 50bb.*
+ f1a6: 51bb.*
+ f1a7: 50bb.*
+ f1a8: 52bb.*
+ f1a9: 53bb.*
+ f1aa: 53bb.*
+ f1ab: 50c3.*
+ f1ac: 51c3.*
+ f1ad: 50c3.*
+ f1ae: 52c3.*
+ f1af: 53c3.*
+ f1b0: 53c3.*
+ f1b1: 50cb.*
+ f1b2: 51cb.*
+ f1b3: 50cb.*
+ f1b4: 52cb.*
+ f1b5: 53cb.*
+ f1b6: 53cb.*
+ f1b7: 50d3.*
+ f1b8: 51d3.*
+ f1b9: 50d3.*
+ f1ba: 52d3.*
+ f1bb: 53d3.*
+ f1bc: 53d3.*
+ f1bd: 50db.*
+ f1be: 51db.*
+ f1bf: 50db.*
+ f1c0: 52db.*
+ f1c1: 53db.*
+ f1c2: 53db.*
+ f1c3: 50e3.*
+ f1c4: 1ee4.*
+ f1c5: 51e3.*
+ f1c6: 1ee5.*
+ f1c7: 50e3.*
+ f1c8: 1ee6.*
+ f1c9: 52e3.*
+ f1ca: 1ee7.*
+ f1cb: 53e3.*
+ f1cc: 1ee8.*
+ f1cd: 53e3.*
+ f1ce: 1ee9.*
+ f1cf: 50eb.*
+ f1d0: 1eea.*
+ f1d1: 51eb.*
+ f1d2: 1eeb.*
+ f1d3: 50eb.*
+ f1d4: 1eec.*
+ f1d5: 52eb.*
+ f1d6: 1eed.*
+ f1d7: 53eb.*
+ f1d8: 1eee.*
+ f1d9: 53eb.*
+ f1da: 1eef.*
+ f1db: 50f3.*
+ f1dc: 1ef0.*
+ f1dd: 51f3.*
+ f1de: 1ef1.*
+ f1df: 50f3.*
+ f1e0: 1ef2.*
+ f1e1: 52f3.*
+ f1e2: 1ef3.*
+ f1e3: 53f3.*
+ f1e4: 1ef4.*
+ f1e5: 53f3.*
+ f1e6: 1ef5.*
+ f1e7: 50f8.*
+ f1e8: 1ef6.*
+ f1e9: 51f8.*
+ f1ea: 1ef7.*
+ f1eb: 50f8.*
+ f1ec: 1ef8.*
+ f1ed: 52f8.*
+ f1ee: 1ef9.*
+ f1ef: 53f8.*
+ f1f0: 1efa.*
+ f1f1: 53f8.*
+ f1f2: 1efb.*
+ f1f3: 5084.*
+ f1f4: 5184.*
+ f1f5: 5084.*
+ f1f6: 5284.*
+ f1f7: 5384.*
+ f1f8: 5384.*
+ f1f9: 508c.*
+ f1fa: 518c.*
+ f1fb: 508c.*
+ f1fc: 528c.*
+ f1fd: 538c.*
+ f1fe: 538c.*
+ f1ff: 5094.*
+ f200: 5194.*
+ f201: 5094.*
+ f202: 5294.*
+ f203: 5394.*
+ f204: 5394.*
+ f205: 50a4.*
+ f206: 51a4.*
+ f207: 50a4.*
+ f208: 52a4.*
+ f209: 53a4.*
+ f20a: 53a4.*
+ f20b: 50ac.*
+ f20c: 51ac.*
+ f20d: 50ac.*
+ f20e: 52ac.*
+ f20f: 53ac.*
+ f210: 53ac.*
+ f211: 50b4.*
+ f212: 51b4.*
+ f213: 50b4.*
+ f214: 52b4.*
+ f215: 53b4.*
+ f216: 53b4.*
+ f217: 50bc.*
+ f218: 51bc.*
+ f219: 50bc.*
+ f21a: 52bc.*
+ f21b: 53bc.*
+ f21c: 53bc.*
+ f21d: 50c4.*
+ f21e: 51c4.*
+ f21f: 50c4.*
+ f220: 52c4.*
+ f221: 53c4.*
+ f222: 53c4.*
+ f223: 50cc.*
+ f224: 51cc.*
+ f225: 50cc.*
+ f226: 52cc.*
+ f227: 53cc.*
+ f228: 53cc.*
+ f229: 50d4.*
+ f22a: 51d4.*
+ f22b: 50d4.*
+ f22c: 52d4.*
+ f22d: 53d4.*
+ f22e: 53d4.*
+ f22f: 50dc.*
+ f230: 51dc.*
+ f231: 50dc.*
+ f232: 52dc.*
+ f233: 53dc.*
+ f234: 53dc.*
+ f235: 50e4.*
+ f236: 1efc.*
+ f237: 51e4.*
+ f238: 1efd.*
+ f239: 50e4.*
+ f23a: 1efe.*
+ f23b: 52e4.*
+ f23c: 1eff.*
+ f23d: 53e4.*
+ f23e: 1f00.*
+ f23f: 53e4.*
+ f240: 1f01.*
+ f241: 50ec.*
+ f242: 1f02.*
+ f243: 51ec.*
+ f244: 1f03.*
+ f245: 50ec.*
+ f246: 1f04.*
+ f247: 52ec.*
+ f248: 1f05.*
+ f249: 53ec.*
+ f24a: 1f06.*
+ f24b: 53ec.*
+ f24c: 1f07.*
+ f24d: 50f4.*
+ f24e: 1f08.*
+ f24f: 51f4.*
+ f250: 1f09.*
+ f251: 50f4.*
+ f252: 1f0a.*
+ f253: 52f4.*
+ f254: 1f0b.*
+ f255: 53f4.*
+ f256: 1f0c.*
+ f257: 53f4.*
+ f258: 1f0d.*
+ f259: 50f8.*
+ f25a: 1f0e.*
+ f25b: 51f8.*
+ f25c: 1f0f.*
+ f25d: 50f8.*
+ f25e: 1f10.*
+ f25f: 52f8.*
+ f260: 1f11.*
+ f261: 53f8.*
+ f262: 1f12.*
+ f263: 53f8.*
+ f264: 1f13.*
+ f265: 5085.*
+ f266: 5185.*
+ f267: 5085.*
+ f268: 5285.*
+ f269: 5385.*
+ f26a: 5385.*
+ f26b: 508d.*
+ f26c: 518d.*
+ f26d: 508d.*
+ f26e: 528d.*
+ f26f: 538d.*
+ f270: 538d.*
+ f271: 5095.*
+ f272: 5195.*
+ f273: 5095.*
+ f274: 5295.*
+ f275: 5395.*
+ f276: 5395.*
+ f277: 50a5.*
+ f278: 51a5.*
+ f279: 50a5.*
+ f27a: 52a5.*
+ f27b: 53a5.*
+ f27c: 53a5.*
+ f27d: 50ad.*
+ f27e: 51ad.*
+ f27f: 50ad.*
+ f280: 52ad.*
+ f281: 53ad.*
+ f282: 53ad.*
+ f283: 50b5.*
+ f284: 51b5.*
+ f285: 50b5.*
+ f286: 52b5.*
+ f287: 53b5.*
+ f288: 53b5.*
+ f289: 50bd.*
+ f28a: 51bd.*
+ f28b: 50bd.*
+ f28c: 52bd.*
+ f28d: 53bd.*
+ f28e: 53bd.*
+ f28f: 50c5.*
+ f290: 51c5.*
+ f291: 50c5.*
+ f292: 52c5.*
+ f293: 53c5.*
+ f294: 53c5.*
+ f295: 50cd.*
+ f296: 51cd.*
+ f297: 50cd.*
+ f298: 52cd.*
+ f299: 53cd.*
+ f29a: 53cd.*
+ f29b: 50d5.*
+ f29c: 51d5.*
+ f29d: 50d5.*
+ f29e: 52d5.*
+ f29f: 53d5.*
+ f2a0: 53d5.*
+ f2a1: 50dd.*
+ f2a2: 51dd.*
+ f2a3: 50dd.*
+ f2a4: 52dd.*
+ f2a5: 53dd.*
+ f2a6: 53dd.*
+ f2a7: 50e5.*
+ f2a8: 1f14.*
+ f2a9: 51e5.*
+ f2aa: 1f15.*
+ f2ab: 50e5.*
+ f2ac: 1f16.*
+ f2ad: 52e5.*
+ f2ae: 1f17.*
+ f2af: 53e5.*
+ f2b0: 1f18.*
+ f2b1: 53e5.*
+ f2b2: 1f19.*
+ f2b3: 50ed.*
+ f2b4: 1f1a.*
+ f2b5: 51ed.*
+ f2b6: 1f1b.*
+ f2b7: 50ed.*
+ f2b8: 1f1c.*
+ f2b9: 52ed.*
+ f2ba: 1f1d.*
+ f2bb: 53ed.*
+ f2bc: 1f1e.*
+ f2bd: 53ed.*
+ f2be: 1f1f.*
+ f2bf: 50f5.*
+ f2c0: 1f20.*
+ f2c1: 51f5.*
+ f2c2: 1f21.*
+ f2c3: 50f5.*
+ f2c4: 1f22.*
+ f2c5: 52f5.*
+ f2c6: 1f23.*
+ f2c7: 53f5.*
+ f2c8: 1f24.*
+ f2c9: 53f5.*
+ f2ca: 1f25.*
+ f2cb: 50f8.*
+ f2cc: 1f26.*
+ f2cd: 51f8.*
+ f2ce: 1f27.*
+ f2cf: 50f8.*
+ f2d0: 1f28.*
+ f2d1: 52f8.*
+ f2d2: 1f29.*
+ f2d3: 53f8.*
+ f2d4: 1f2a.*
+ f2d5: 53f8.*
+ f2d6: 1f2b.*
+ f2d7: 5086.*
+ f2d8: 5186.*
+ f2d9: 5086.*
+ f2da: 5286.*
+ f2db: 5386.*
+ f2dc: 5386.*
+ f2dd: 508e.*
+ f2de: 518e.*
+ f2df: 508e.*
+ f2e0: 528e.*
+ f2e1: 538e.*
+ f2e2: 538e.*
+ f2e3: 5096.*
+ f2e4: 5196.*
+ f2e5: 5096.*
+ f2e6: 5296.*
+ f2e7: 5396.*
+ f2e8: 5396.*
+ f2e9: 50a6.*
+ f2ea: 51a6.*
+ f2eb: 50a6.*
+ f2ec: 52a6.*
+ f2ed: 53a6.*
+ f2ee: 53a6.*
+ f2ef: 50ae.*
+ f2f0: 51ae.*
+ f2f1: 50ae.*
+ f2f2: 52ae.*
+ f2f3: 53ae.*
+ f2f4: 53ae.*
+ f2f5: 50b6.*
+ f2f6: 51b6.*
+ f2f7: 50b6.*
+ f2f8: 52b6.*
+ f2f9: 53b6.*
+ f2fa: 53b6.*
+ f2fb: 50be.*
+ f2fc: 51be.*
+ f2fd: 50be.*
+ f2fe: 52be.*
+ f2ff: 53be.*
+ f300: 53be.*
+ f301: 50c6.*
+ f302: 51c6.*
+ f303: 50c6.*
+ f304: 52c6.*
+ f305: 53c6.*
+ f306: 53c6.*
+ f307: 50ce.*
+ f308: 51ce.*
+ f309: 50ce.*
+ f30a: 52ce.*
+ f30b: 53ce.*
+ f30c: 53ce.*
+ f30d: 50d6.*
+ f30e: 51d6.*
+ f30f: 50d6.*
+ f310: 52d6.*
+ f311: 53d6.*
+ f312: 53d6.*
+ f313: 50de.*
+ f314: 51de.*
+ f315: 50de.*
+ f316: 52de.*
+ f317: 53de.*
+ f318: 53de.*
+ f319: 50e6.*
+ f31a: 1f2c.*
+ f31b: 51e6.*
+ f31c: 1f2d.*
+ f31d: 50e6.*
+ f31e: 1f2e.*
+ f31f: 52e6.*
+ f320: 1f2f.*
+ f321: 53e6.*
+ f322: 1f30.*
+ f323: 53e6.*
+ f324: 1f31.*
+ f325: 50ee.*
+ f326: 1f32.*
+ f327: 51ee.*
+ f328: 1f33.*
+ f329: 50ee.*
+ f32a: 1f34.*
+ f32b: 52ee.*
+ f32c: 1f35.*
+ f32d: 53ee.*
+ f32e: 1f36.*
+ f32f: 53ee.*
+ f330: 1f37.*
+ f331: 50f6.*
+ f332: 1f38.*
+ f333: 51f6.*
+ f334: 1f39.*
+ f335: 50f6.*
+ f336: 1f3a.*
+ f337: 52f6.*
+ f338: 1f3b.*
+ f339: 53f6.*
+ f33a: 1f3c.*
+ f33b: 53f6.*
+ f33c: 1f3d.*
+ f33d: 50f8.*
+ f33e: 1f3e.*
+ f33f: 51f8.*
+ f340: 1f3f.*
+ f341: 50f8.*
+ f342: 1f40.*
+ f343: 52f8.*
+ f344: 1f41.*
+ f345: 53f8.*
+ f346: 1f42.*
+ f347: 53f8.*
+ f348: 1f43.*
+ f349: 5087.*
+ f34a: 5187.*
+ f34b: 5087.*
+ f34c: 5287.*
+ f34d: 5387.*
+ f34e: 5387.*
+ f34f: 508f.*
+ f350: 518f.*
+ f351: 508f.*
+ f352: 528f.*
+ f353: 538f.*
+ f354: 538f.*
+ f355: 5097.*
+ f356: 5197.*
+ f357: 5097.*
+ f358: 5297.*
+ f359: 5397.*
+ f35a: 5397.*
+ f35b: 50a7.*
+ f35c: 51a7.*
+ f35d: 50a7.*
+ f35e: 52a7.*
+ f35f: 53a7.*
+ f360: 53a7.*
+ f361: 50af.*
+ f362: 51af.*
+ f363: 50af.*
+ f364: 52af.*
+ f365: 53af.*
+ f366: 53af.*
+ f367: 50b7.*
+ f368: 51b7.*
+ f369: 50b7.*
+ f36a: 52b7.*
+ f36b: 53b7.*
+ f36c: 53b7.*
+ f36d: 50bf.*
+ f36e: 51bf.*
+ f36f: 50bf.*
+ f370: 52bf.*
+ f371: 53bf.*
+ f372: 53bf.*
+ f373: 50c7.*
+ f374: 51c7.*
+ f375: 50c7.*
+ f376: 52c7.*
+ f377: 53c7.*
+ f378: 53c7.*
+ f379: 50cf.*
+ f37a: 51cf.*
+ f37b: 50cf.*
+ f37c: 52cf.*
+ f37d: 53cf.*
+ f37e: 53cf.*
+ f37f: 50d7.*
+ f380: 51d7.*
+ f381: 50d7.*
+ f382: 52d7.*
+ f383: 53d7.*
+ f384: 53d7.*
+ f385: 50df.*
+ f386: 51df.*
+ f387: 50df.*
+ f388: 52df.*
+ f389: 53df.*
+ f38a: 53df.*
+ f38b: 50e7.*
+ f38c: 1f44.*
+ f38d: 51e7.*
+ f38e: 1f45.*
+ f38f: 50e7.*
+ f390: 1f46.*
+ f391: 52e7.*
+ f392: 1f47.*
+ f393: 53e7.*
+ f394: 1f48.*
+ f395: 53e7.*
+ f396: 1f49.*
+ f397: 50ef.*
+ f398: 1f4a.*
+ f399: 51ef.*
+ f39a: 1f4b.*
+ f39b: 50ef.*
+ f39c: 1f4c.*
+ f39d: 52ef.*
+ f39e: 1f4d.*
+ f39f: 53ef.*
+ f3a0: 1f4e.*
+ f3a1: 53ef.*
+ f3a2: 1f4f.*
+ f3a3: 50f7.*
+ f3a4: 1f50.*
+ f3a5: 51f7.*
+ f3a6: 1f51.*
+ f3a7: 50f7.*
+ f3a8: 1f52.*
+ f3a9: 52f7.*
+ f3aa: 1f53.*
+ f3ab: 53f7.*
+ f3ac: 1f54.*
+ f3ad: 53f7.*
+ f3ae: 1f55.*
+ f3af: 50f8.*
+ f3b0: 1f56.*
+ f3b1: 51f8.*
+ f3b2: 1f57.*
+ f3b3: 50f8.*
+ f3b4: 1f58.*
+ f3b5: 52f8.*
+ f3b6: 1f59.*
+ f3b7: 53f8.*
+ f3b8: 1f5a.*
+ f3b9: 53f8.*
+ f3ba: 1f5b.*
+ f3bb: 5a63.*
+ f3bc: 5b64.*
+ f3bd: 5a80.*
+ f3be: 5b80.*
+ f3bf: 5a88.*
+ f3c0: 5b88.*
+ f3c1: 5a90.*
+ f3c2: 5b90.*
+ f3c3: 5aa0.*
+ f3c4: 5ba0.*
+ f3c5: 5aa8.*
+ f3c6: 5ba8.*
+ f3c7: 5ab0.*
+ f3c8: 5bb0.*
+ f3c9: 5ab8.*
+ f3ca: 5bb8.*
+ f3cb: 5ac0.*
+ f3cc: 5bc0.*
+ f3cd: 5ac8.*
+ f3ce: 5bc8.*
+ f3cf: 5ad0.*
+ f3d0: 5bd0.*
+ f3d1: 5ad8.*
+ f3d2: 5bd8.*
+ f3d3: 5ae0.*
+ f3d4: 1f5c.*
+ f3d5: 5be0.*
+ f3d6: 1f5d.*
+ f3d7: 5ae8.*
+ f3d8: 1f5e.*
+ f3d9: 5be8.*
+ f3da: 1f5f.*
+ f3db: 5af0.*
+ f3dc: 1f60.*
+ f3dd: 5bf0.*
+ f3de: 1f61.*
+ f3df: 5af8.*
+ f3e0: 1f62.*
+ f3e1: 5bf8.*
+ f3e2: 1f63.*
+ f3e3: 5a81.*
+ f3e4: 5b81.*
+ f3e5: 5a89.*
+ f3e6: 5b89.*
+ f3e7: 5a91.*
+ f3e8: 5b91.*
+ f3e9: 5aa1.*
+ f3ea: 5ba1.*
+ f3eb: 5aa9.*
+ f3ec: 5ba9.*
+ f3ed: 5ab1.*
+ f3ee: 5bb1.*
+ f3ef: 5ab9.*
+ f3f0: 5bb9.*
+ f3f1: 5ac1.*
+ f3f2: 5bc1.*
+ f3f3: 5ac9.*
+ f3f4: 5bc9.*
+ f3f5: 5ad1.*
+ f3f6: 5bd1.*
+ f3f7: 5ad9.*
+ f3f8: 5bd9.*
+ f3f9: 5ae1.*
+ f3fa: 1f64.*
+ f3fb: 5be1.*
+ f3fc: 1f65.*
+ f3fd: 5ae9.*
+ f3fe: 1f66.*
+ f3ff: 5be9.*
+ f400: 1f67.*
+ f401: 5af1.*
+ f402: 1f68.*
+ f403: 5bf1.*
+ f404: 1f69.*
+ f405: 5af8.*
+ f406: 1f6a.*
+ f407: 5bf8.*
+ f408: 1f6b.*
+ f409: 5a82.*
+ f40a: 5b82.*
+ f40b: 5a8a.*
+ f40c: 5b8a.*
+ f40d: 5a92.*
+ f40e: 5b92.*
+ f40f: 5aa2.*
+ f410: 5ba2.*
+ f411: 5aaa.*
+ f412: 5baa.*
+ f413: 5ab2.*
+ f414: 5bb2.*
+ f415: 5aba.*
+ f416: 5bba.*
+ f417: 5ac2.*
+ f418: 5bc2.*
+ f419: 5aca.*
+ f41a: 5bca.*
+ f41b: 5ad2.*
+ f41c: 5bd2.*
+ f41d: 5ada.*
+ f41e: 5bda.*
+ f41f: 5ae2.*
+ f420: 1f6c.*
+ f421: 5be2.*
+ f422: 1f6d.*
+ f423: 5aea.*
+ f424: 1f6e.*
+ f425: 5bea.*
+ f426: 1f6f.*
+ f427: 5af2.*
+ f428: 1f70.*
+ f429: 5bf2.*
+ f42a: 1f71.*
+ f42b: 5af8.*
+ f42c: 1f72.*
+ f42d: 5bf8.*
+ f42e: 1f73.*
+ f42f: 5a83.*
+ f430: 5b83.*
+ f431: 5a8b.*
+ f432: 5b8b.*
+ f433: 5a93.*
+ f434: 5b93.*
+ f435: 5aa3.*
+ f436: 5ba3.*
+ f437: 5aab.*
+ f438: 5bab.*
+ f439: 5ab3.*
+ f43a: 5bb3.*
+ f43b: 5abb.*
+ f43c: 5bbb.*
+ f43d: 5ac3.*
+ f43e: 5bc3.*
+ f43f: 5acb.*
+ f440: 5bcb.*
+ f441: 5ad3.*
+ f442: 5bd3.*
+ f443: 5adb.*
+ f444: 5bdb.*
+ f445: 5ae3.*
+ f446: 1f74.*
+ f447: 5be3.*
+ f448: 1f75.*
+ f449: 5aeb.*
+ f44a: 1f76.*
+ f44b: 5beb.*
+ f44c: 1f77.*
+ f44d: 5af3.*
+ f44e: 1f78.*
+ f44f: 5bf3.*
+ f450: 1f79.*
+ f451: 5af8.*
+ f452: 1f7a.*
+ f453: 5bf8.*
+ f454: 1f7b.*
+ f455: 5a84.*
+ f456: 5b84.*
+ f457: 5a8c.*
+ f458: 5b8c.*
+ f459: 5a94.*
+ f45a: 5b94.*
+ f45b: 5aa4.*
+ f45c: 5ba4.*
+ f45d: 5aac.*
+ f45e: 5bac.*
+ f45f: 5ab4.*
+ f460: 5bb4.*
+ f461: 5abc.*
+ f462: 5bbc.*
+ f463: 5ac4.*
+ f464: 5bc4.*
+ f465: 5acc.*
+ f466: 5bcc.*
+ f467: 5ad4.*
+ f468: 5bd4.*
+ f469: 5adc.*
+ f46a: 5bdc.*
+ f46b: 5ae4.*
+ f46c: 1f7c.*
+ f46d: 5be4.*
+ f46e: 1f7d.*
+ f46f: 5aec.*
+ f470: 1f7e.*
+ f471: 5bec.*
+ f472: 1f7f.*
+ f473: 5af4.*
+ f474: 1f80.*
+ f475: 5bf4.*
+ f476: 1f81.*
+ f477: 5af8.*
+ f478: 1f82.*
+ f479: 5bf8.*
+ f47a: 1f83.*
+ f47b: 5a85.*
+ f47c: 5b85.*
+ f47d: 5a8d.*
+ f47e: 5b8d.*
+ f47f: 5a95.*
+ f480: 5b95.*
+ f481: 5aa5.*
+ f482: 5ba5.*
+ f483: 5aad.*
+ f484: 5bad.*
+ f485: 5ab5.*
+ f486: 5bb5.*
+ f487: 5abd.*
+ f488: 5bbd.*
+ f489: 5ac5.*
+ f48a: 5bc5.*
+ f48b: 5acd.*
+ f48c: 5bcd.*
+ f48d: 5ad5.*
+ f48e: 5bd5.*
+ f48f: 5add.*
+ f490: 5bdd.*
+ f491: 5ae5.*
+ f492: 1f84.*
+ f493: 5be5.*
+ f494: 1f85.*
+ f495: 5aed.*
+ f496: 1f86.*
+ f497: 5bed.*
+ f498: 1f87.*
+ f499: 5af5.*
+ f49a: 1f88.*
+ f49b: 5bf5.*
+ f49c: 1f89.*
+ f49d: 5af8.*
+ f49e: 1f8a.*
+ f49f: 5bf8.*
+ f4a0: 1f8b.*
+ f4a1: 5a86.*
+ f4a2: 5b86.*
+ f4a3: 5a8e.*
+ f4a4: 5b8e.*
+ f4a5: 5a96.*
+ f4a6: 5b96.*
+ f4a7: 5aa6.*
+ f4a8: 5ba6.*
+ f4a9: 5aae.*
+ f4aa: 5bae.*
+ f4ab: 5ab6.*
+ f4ac: 5bb6.*
+ f4ad: 5abe.*
+ f4ae: 5bbe.*
+ f4af: 5ac6.*
+ f4b0: 5bc6.*
+ f4b1: 5ace.*
+ f4b2: 5bce.*
+ f4b3: 5ad6.*
+ f4b4: 5bd6.*
+ f4b5: 5ade.*
+ f4b6: 5bde.*
+ f4b7: 5ae6.*
+ f4b8: 1f8c.*
+ f4b9: 5be6.*
+ f4ba: 1f8d.*
+ f4bb: 5aee.*
+ f4bc: 1f8e.*
+ f4bd: 5bee.*
+ f4be: 1f8f.*
+ f4bf: 5af6.*
+ f4c0: 1f90.*
+ f4c1: 5bf6.*
+ f4c2: 1f91.*
+ f4c3: 5af8.*
+ f4c4: 1f92.*
+ f4c5: 5bf8.*
+ f4c6: 1f93.*
+ f4c7: 5a87.*
+ f4c8: 5b87.*
+ f4c9: 5a8f.*
+ f4ca: 5b8f.*
+ f4cb: 5a97.*
+ f4cc: 5b97.*
+ f4cd: 5aa7.*
+ f4ce: 5ba7.*
+ f4cf: 5aaf.*
+ f4d0: 5baf.*
+ f4d1: 5ab7.*
+ f4d2: 5bb7.*
+ f4d3: 5abf.*
+ f4d4: 5bbf.*
+ f4d5: 5ac7.*
+ f4d6: 5bc7.*
+ f4d7: 5acf.*
+ f4d8: 5bcf.*
+ f4d9: 5ad7.*
+ f4da: 5bd7.*
+ f4db: 5adf.*
+ f4dc: 5bdf.*
+ f4dd: 5ae7.*
+ f4de: 1f94.*
+ f4df: 5be7.*
+ f4e0: 1f95.*
+ f4e1: 5aef.*
+ f4e2: 1f96.*
+ f4e3: 5bef.*
+ f4e4: 1f97.*
+ f4e5: 5af7.*
+ f4e6: 1f98.*
+ f4e7: 5bf7.*
+ f4e8: 1f99.*
+ f4e9: 5af8.*
+ f4ea: 1f9a.*
+ f4eb: 5bf8.*
+ f4ec: 1f9b.*
+ f4ed: 4d65.*
+ f4ee: 4d80.*
+ f4ef: 4d88.*
+ f4f0: 4d90.*
+ f4f1: 4da0.*
+ f4f2: 4da8.*
+ f4f3: 4db0.*
+ f4f4: 4db8.*
+ f4f5: 4dc0.*
+ f4f6: 4dc8.*
+ f4f7: 4dd0.*
+ f4f8: 4dd8.*
+ f4f9: 4de0.*
+ f4fa: 1f9c.*
+ f4fb: 4de8.*
+ f4fc: 1f9d.*
+ f4fd: 4df0.*
+ f4fe: 1f9e.*
+ f4ff: 4df8.*
+ f500: 1f9f.*
+ f501: 4d81.*
+ f502: 4d89.*
+ f503: 4d91.*
+ f504: 4da1.*
+ f505: 4da9.*
+ f506: 4db1.*
+ f507: 4db9.*
+ f508: 4dc1.*
+ f509: 4dc9.*
+ f50a: 4dd1.*
+ f50b: 4dd9.*
+ f50c: 4de1.*
+ f50d: 1fa0.*
+ f50e: 4de9.*
+ f50f: 1fa1.*
+ f510: 4df1.*
+ f511: 1fa2.*
+ f512: 4df8.*
+ f513: 1fa3.*
+ f514: 4d82.*
+ f515: 4d8a.*
+ f516: 4d92.*
+ f517: 4da2.*
+ f518: 4daa.*
+ f519: 4db2.*
+ f51a: 4dba.*
+ f51b: 4dc2.*
+ f51c: 4dca.*
+ f51d: 4dd2.*
+ f51e: 4dda.*
+ f51f: 4de2.*
+ f520: 1fa4.*
+ f521: 4dea.*
+ f522: 1fa5.*
+ f523: 4df2.*
+ f524: 1fa6.*
+ f525: 4df8.*
+ f526: 1fa7.*
+ f527: 4d83.*
+ f528: 4d8b.*
+ f529: 4d93.*
+ f52a: 4da3.*
+ f52b: 4dab.*
+ f52c: 4db3.*
+ f52d: 4dbb.*
+ f52e: 4dc3.*
+ f52f: 4dcb.*
+ f530: 4dd3.*
+ f531: 4ddb.*
+ f532: 4de3.*
+ f533: 1fa8.*
+ f534: 4deb.*
+ f535: 1fa9.*
+ f536: 4df3.*
+ f537: 1faa.*
+ f538: 4df8.*
+ f539: 1fab.*
+ f53a: 4d84.*
+ f53b: 4d8c.*
+ f53c: 4d94.*
+ f53d: 4da4.*
+ f53e: 4dac.*
+ f53f: 4db4.*
+ f540: 4dbc.*
+ f541: 4dc4.*
+ f542: 4dcc.*
+ f543: 4dd4.*
+ f544: 4ddc.*
+ f545: 4de4.*
+ f546: 1fac.*
+ f547: 4dec.*
+ f548: 1fad.*
+ f549: 4df4.*
+ f54a: 1fae.*
+ f54b: 4df8.*
+ f54c: 1faf.*
+ f54d: 4d85.*
+ f54e: 4d8d.*
+ f54f: 4d95.*
+ f550: 4da5.*
+ f551: 4dad.*
+ f552: 4db5.*
+ f553: 4dbd.*
+ f554: 4dc5.*
+ f555: 4dcd.*
+ f556: 4dd5.*
+ f557: 4ddd.*
+ f558: 4de5.*
+ f559: 1fb0.*
+ f55a: 4ded.*
+ f55b: 1fb1.*
+ f55c: 4df5.*
+ f55d: 1fb2.*
+ f55e: 4df8.*
+ f55f: 1fb3.*
+ f560: 4d86.*
+ f561: 4d8e.*
+ f562: 4d96.*
+ f563: 4da6.*
+ f564: 4dae.*
+ f565: 4db6.*
+ f566: 4dbe.*
+ f567: 4dc6.*
+ f568: 4dce.*
+ f569: 4dd6.*
+ f56a: 4dde.*
+ f56b: 4de6.*
+ f56c: 1fb4.*
+ f56d: 4dee.*
+ f56e: 1fb5.*
+ f56f: 4df6.*
+ f570: 1fb6.*
+ f571: 4df8.*
+ f572: 1fb7.*
+ f573: 4d87.*
+ f574: 4d8f.*
+ f575: 4d97.*
+ f576: 4da7.*
+ f577: 4daf.*
+ f578: 4db7.*
+ f579: 4dbf.*
+ f57a: 4dc7.*
+ f57b: 4dcf.*
+ f57c: 4dd7.*
+ f57d: 4ddf.*
+ f57e: 4de7.*
+ f57f: 1fb8.*
+ f580: 4def.*
+ f581: 1fb9.*
+ f582: 4df7.*
+ f583: 1fba.*
+ f584: 4df8.*
+ f585: 1fbb.*
+ f586: 5666.*
+ f587: 5767.*
+ f588: 5680.*
+ f589: 5780.*
+ f58a: 5688.*
+ f58b: 5788.*
+ f58c: 5690.*
+ f58d: 5790.*
+ f58e: 56a0.*
+ f58f: 57a0.*
+ f590: 56a8.*
+ f591: 57a8.*
+ f592: 56b0.*
+ f593: 57b0.*
+ f594: 56b8.*
+ f595: 57b8.*
+ f596: 56c0.*
+ f597: 57c0.*
+ f598: 56c8.*
+ f599: 57c8.*
+ f59a: 56d0.*
+ f59b: 57d0.*
+ f59c: 56d8.*
+ f59d: 57d8.*
+ f59e: 56e0.*
+ f59f: 1fbc.*
+ f5a0: 57e0.*
+ f5a1: 1fbd.*
+ f5a2: 56e8.*
+ f5a3: 1fbe.*
+ f5a4: 57e8.*
+ f5a5: 1fbf.*
+ f5a6: 56f0.*
+ f5a7: 1fc0.*
+ f5a8: 57f0.*
+ f5a9: 1fc1.*
+ f5aa: 56f8.*
+ f5ab: 1fc2.*
+ f5ac: 57f8.*
+ f5ad: 1fc3.*
+ f5ae: 5681.*
+ f5af: 5781.*
+ f5b0: 5689.*
+ f5b1: 5789.*
+ f5b2: 5691.*
+ f5b3: 5791.*
+ f5b4: 56a1.*
+ f5b5: 57a1.*
+ f5b6: 56a9.*
+ f5b7: 57a9.*
+ f5b8: 56b1.*
+ f5b9: 57b1.*
+ f5ba: 56b9.*
+ f5bb: 57b9.*
+ f5bc: 56c1.*
+ f5bd: 57c1.*
+ f5be: 56c9.*
+ f5bf: 57c9.*
+ f5c0: 56d1.*
+ f5c1: 57d1.*
+ f5c2: 56d9.*
+ f5c3: 57d9.*
+ f5c4: 56e1.*
+ f5c5: 1fc4.*
+ f5c6: 57e1.*
+ f5c7: 1fc5.*
+ f5c8: 56e9.*
+ f5c9: 1fc6.*
+ f5ca: 57e9.*
+ f5cb: 1fc7.*
+ f5cc: 56f1.*
+ f5cd: 1fc8.*
+ f5ce: 57f1.*
+ f5cf: 1fc9.*
+ f5d0: 56f8.*
+ f5d1: 1fca.*
+ f5d2: 57f8.*
+ f5d3: 1fcb.*
+ f5d4: 5682.*
+ f5d5: 5782.*
+ f5d6: 568a.*
+ f5d7: 578a.*
+ f5d8: 5692.*
+ f5d9: 5792.*
+ f5da: 56a2.*
+ f5db: 57a2.*
+ f5dc: 56aa.*
+ f5dd: 57aa.*
+ f5de: 56b2.*
+ f5df: 57b2.*
+ f5e0: 56ba.*
+ f5e1: 57ba.*
+ f5e2: 56c2.*
+ f5e3: 57c2.*
+ f5e4: 56ca.*
+ f5e5: 57ca.*
+ f5e6: 56d2.*
+ f5e7: 57d2.*
+ f5e8: 56da.*
+ f5e9: 57da.*
+ f5ea: 56e2.*
+ f5eb: 1fcc.*
+ f5ec: 57e2.*
+ f5ed: 1fcd.*
+ f5ee: 56ea.*
+ f5ef: 1fce.*
+ f5f0: 57ea.*
+ f5f1: 1fcf.*
+ f5f2: 56f2.*
+ f5f3: 1fd0.*
+ f5f4: 57f2.*
+ f5f5: 1fd1.*
+ f5f6: 56f8.*
+ f5f7: 1fd2.*
+ f5f8: 57f8.*
+ f5f9: 1fd3.*
+ f5fa: 5683.*
+ f5fb: 5783.*
+ f5fc: 568b.*
+ f5fd: 578b.*
+ f5fe: 5693.*
+ f5ff: 5793.*
+ f600: 56a3.*
+ f601: 57a3.*
+ f602: 56ab.*
+ f603: 57ab.*
+ f604: 56b3.*
+ f605: 57b3.*
+ f606: 56bb.*
+ f607: 57bb.*
+ f608: 56c3.*
+ f609: 57c3.*
+ f60a: 56cb.*
+ f60b: 57cb.*
+ f60c: 56d3.*
+ f60d: 57d3.*
+ f60e: 56db.*
+ f60f: 57db.*
+ f610: 56e3.*
+ f611: 1fd4.*
+ f612: 57e3.*
+ f613: 1fd5.*
+ f614: 56eb.*
+ f615: 1fd6.*
+ f616: 57eb.*
+ f617: 1fd7.*
+ f618: 56f3.*
+ f619: 1fd8.*
+ f61a: 57f3.*
+ f61b: 1fd9.*
+ f61c: 56f8.*
+ f61d: 1fda.*
+ f61e: 57f8.*
+ f61f: 1fdb.*
+ f620: 5684.*
+ f621: 5784.*
+ f622: 568c.*
+ f623: 578c.*
+ f624: 5694.*
+ f625: 5794.*
+ f626: 56a4.*
+ f627: 57a4.*
+ f628: 56ac.*
+ f629: 57ac.*
+ f62a: 56b4.*
+ f62b: 57b4.*
+ f62c: 56bc.*
+ f62d: 57bc.*
+ f62e: 56c4.*
+ f62f: 57c4.*
+ f630: 56cc.*
+ f631: 57cc.*
+ f632: 56d4.*
+ f633: 57d4.*
+ f634: 56dc.*
+ f635: 57dc.*
+ f636: 56e4.*
+ f637: 1fdc.*
+ f638: 57e4.*
+ f639: 1fdd.*
+ f63a: 56ec.*
+ f63b: 1fde.*
+ f63c: 57ec.*
+ f63d: 1fdf.*
+ f63e: 56f4.*
+ f63f: 1fe0.*
+ f640: 57f4.*
+ f641: 1fe1.*
+ f642: 56f8.*
+ f643: 1fe2.*
+ f644: 57f8.*
+ f645: 1fe3.*
+ f646: 5685.*
+ f647: 5785.*
+ f648: 568d.*
+ f649: 578d.*
+ f64a: 5695.*
+ f64b: 5795.*
+ f64c: 56a5.*
+ f64d: 57a5.*
+ f64e: 56ad.*
+ f64f: 57ad.*
+ f650: 56b5.*
+ f651: 57b5.*
+ f652: 56bd.*
+ f653: 57bd.*
+ f654: 56c5.*
+ f655: 57c5.*
+ f656: 56cd.*
+ f657: 57cd.*
+ f658: 56d5.*
+ f659: 57d5.*
+ f65a: 56dd.*
+ f65b: 57dd.*
+ f65c: 56e5.*
+ f65d: 1fe4.*
+ f65e: 57e5.*
+ f65f: 1fe5.*
+ f660: 56ed.*
+ f661: 1fe6.*
+ f662: 57ed.*
+ f663: 1fe7.*
+ f664: 56f5.*
+ f665: 1fe8.*
+ f666: 57f5.*
+ f667: 1fe9.*
+ f668: 56f8.*
+ f669: 1fea.*
+ f66a: 57f8.*
+ f66b: 1feb.*
+ f66c: 5686.*
+ f66d: 5786.*
+ f66e: 568e.*
+ f66f: 578e.*
+ f670: 5696.*
+ f671: 5796.*
+ f672: 56a6.*
+ f673: 57a6.*
+ f674: 56ae.*
+ f675: 57ae.*
+ f676: 56b6.*
+ f677: 57b6.*
+ f678: 56be.*
+ f679: 57be.*
+ f67a: 56c6.*
+ f67b: 57c6.*
+ f67c: 56ce.*
+ f67d: 57ce.*
+ f67e: 56d6.*
+ f67f: 57d6.*
+ f680: 56de.*
+ f681: 57de.*
+ f682: 56e6.*
+ f683: 1fec.*
+ f684: 57e6.*
+ f685: 1fed.*
+ f686: 56ee.*
+ f687: 1fee.*
+ f688: 57ee.*
+ f689: 1fef.*
+ f68a: 56f6.*
+ f68b: 1ff0.*
+ f68c: 57f6.*
+ f68d: 1ff1.*
+ f68e: 56f8.*
+ f68f: 1ff2.*
+ f690: 57f8.*
+ f691: 1ff3.*
+ f692: 5687.*
+ f693: 5787.*
+ f694: 568f.*
+ f695: 578f.*
+ f696: 5697.*
+ f697: 5797.*
+ f698: 56a7.*
+ f699: 57a7.*
+ f69a: 56af.*
+ f69b: 57af.*
+ f69c: 56b7.*
+ f69d: 57b7.*
+ f69e: 56bf.*
+ f69f: 57bf.*
+ f6a0: 56c7.*
+ f6a1: 57c7.*
+ f6a2: 56cf.*
+ f6a3: 57cf.*
+ f6a4: 56d7.*
+ f6a5: 57d7.*
+ f6a6: 56df.*
+ f6a7: 57df.*
+ f6a8: 56e7.*
+ f6a9: 1ff4.*
+ f6aa: 57e7.*
+ f6ab: 1ff5.*
+ f6ac: 56ef.*
+ f6ad: 1ff6.*
+ f6ae: 57ef.*
+ f6af: 1ff7.*
+ f6b0: 56f7.*
+ f6b1: 1ff8.*
+ f6b2: 57f7.*
+ f6b3: 1ff9.*
+ f6b4: 56f8.*
+ f6b5: 1ffa.*
+ f6b6: 57f8.*
+ f6b7: 1ffb.*
+ f6b8: 5868.*
+ f6b9: 5969.*
+ f6ba: 5880.*
+ f6bb: 5980.*
+ f6bc: 5888.*
+ f6bd: 5988.*
+ f6be: 5890.*
+ f6bf: 5990.*
+ f6c0: 58a0.*
+ f6c1: 59a0.*
+ f6c2: 58a8.*
+ f6c3: 59a8.*
+ f6c4: 58b0.*
+ f6c5: 59b0.*
+ f6c6: 58b8.*
+ f6c7: 59b8.*
+ f6c8: 58c0.*
+ f6c9: 59c0.*
+ f6ca: 58c8.*
+ f6cb: 59c8.*
+ f6cc: 58d0.*
+ f6cd: 59d0.*
+ f6ce: 58d8.*
+ f6cf: 59d8.*
+ f6d0: 58e0.*
+ f6d1: 1ffc.*
+ f6d2: 59e0.*
+ f6d3: 1ffd.*
+ f6d4: 58e8.*
+ f6d5: 1ffe.*
+ f6d6: 59e8.*
+ f6d7: 1fff.*
+ f6d8: 58f0.*
+ f6d9: 2000.*
+ f6da: 59f0.*
+ f6db: 2001.*
+ f6dc: 58f8.*
+ f6dd: 2002.*
+ f6de: 59f8.*
+ f6df: 2003.*
+ f6e0: 5881.*
+ f6e1: 5981.*
+ f6e2: 5889.*
+ f6e3: 5989.*
+ f6e4: 5891.*
+ f6e5: 5991.*
+ f6e6: 58a1.*
+ f6e7: 59a1.*
+ f6e8: 58a9.*
+ f6e9: 59a9.*
+ f6ea: 58b1.*
+ f6eb: 59b1.*
+ f6ec: 58b9.*
+ f6ed: 59b9.*
+ f6ee: 58c1.*
+ f6ef: 59c1.*
+ f6f0: 58c9.*
+ f6f1: 59c9.*
+ f6f2: 58d1.*
+ f6f3: 59d1.*
+ f6f4: 58d9.*
+ f6f5: 59d9.*
+ f6f6: 58e1.*
+ f6f7: 2004.*
+ f6f8: 59e1.*
+ f6f9: 2005.*
+ f6fa: 58e9.*
+ f6fb: 2006.*
+ f6fc: 59e9.*
+ f6fd: 2007.*
+ f6fe: 58f1.*
+ f6ff: 2008.*
+ f700: 59f1.*
+ f701: 2009.*
+ f702: 58f8.*
+ f703: 200a.*
+ f704: 59f8.*
+ f705: 200b.*
+ f706: 5882.*
+ f707: 5982.*
+ f708: 588a.*
+ f709: 598a.*
+ f70a: 5892.*
+ f70b: 5992.*
+ f70c: 58a2.*
+ f70d: 59a2.*
+ f70e: 58aa.*
+ f70f: 59aa.*
+ f710: 58b2.*
+ f711: 59b2.*
+ f712: 58ba.*
+ f713: 59ba.*
+ f714: 58c2.*
+ f715: 59c2.*
+ f716: 58ca.*
+ f717: 59ca.*
+ f718: 58d2.*
+ f719: 59d2.*
+ f71a: 58da.*
+ f71b: 59da.*
+ f71c: 58e2.*
+ f71d: 200c.*
+ f71e: 59e2.*
+ f71f: 200d.*
+ f720: 58ea.*
+ f721: 200e.*
+ f722: 59ea.*
+ f723: 200f.*
+ f724: 58f2.*
+ f725: 2010.*
+ f726: 59f2.*
+ f727: 2011.*
+ f728: 58f8.*
+ f729: 2012.*
+ f72a: 59f8.*
+ f72b: 2013.*
+ f72c: 5883.*
+ f72d: 5983.*
+ f72e: 588b.*
+ f72f: 598b.*
+ f730: 5893.*
+ f731: 5993.*
+ f732: 58a3.*
+ f733: 59a3.*
+ f734: 58ab.*
+ f735: 59ab.*
+ f736: 58b3.*
+ f737: 59b3.*
+ f738: 58bb.*
+ f739: 59bb.*
+ f73a: 58c3.*
+ f73b: 59c3.*
+ f73c: 58cb.*
+ f73d: 59cb.*
+ f73e: 58d3.*
+ f73f: 59d3.*
+ f740: 58db.*
+ f741: 59db.*
+ f742: 58e3.*
+ f743: 2014.*
+ f744: 59e3.*
+ f745: 2015.*
+ f746: 58eb.*
+ f747: 2016.*
+ f748: 59eb.*
+ f749: 2017.*
+ f74a: 58f3.*
+ f74b: 2018.*
+ f74c: 59f3.*
+ f74d: 2019.*
+ f74e: 58f8.*
+ f74f: 201a.*
+ f750: 59f8.*
+ f751: 201b.*
+ f752: 5884.*
+ f753: 5984.*
+ f754: 588c.*
+ f755: 598c.*
+ f756: 5894.*
+ f757: 5994.*
+ f758: 58a4.*
+ f759: 59a4.*
+ f75a: 58ac.*
+ f75b: 59ac.*
+ f75c: 58b4.*
+ f75d: 59b4.*
+ f75e: 58bc.*
+ f75f: 59bc.*
+ f760: 58c4.*
+ f761: 59c4.*
+ f762: 58cc.*
+ f763: 59cc.*
+ f764: 58d4.*
+ f765: 59d4.*
+ f766: 58dc.*
+ f767: 59dc.*
+ f768: 58e4.*
+ f769: 201c.*
+ f76a: 59e4.*
+ f76b: 201d.*
+ f76c: 58ec.*
+ f76d: 201e.*
+ f76e: 59ec.*
+ f76f: 201f.*
+ f770: 58f4.*
+ f771: 2020.*
+ f772: 59f4.*
+ f773: 2021.*
+ f774: 58f8.*
+ f775: 2022.*
+ f776: 59f8.*
+ f777: 2023.*
+ f778: 5885.*
+ f779: 5985.*
+ f77a: 588d.*
+ f77b: 598d.*
+ f77c: 5895.*
+ f77d: 5995.*
+ f77e: 58a5.*
+ f77f: 59a5.*
+ f780: 58ad.*
+ f781: 59ad.*
+ f782: 58b5.*
+ f783: 59b5.*
+ f784: 58bd.*
+ f785: 59bd.*
+ f786: 58c5.*
+ f787: 59c5.*
+ f788: 58cd.*
+ f789: 59cd.*
+ f78a: 58d5.*
+ f78b: 59d5.*
+ f78c: 58dd.*
+ f78d: 59dd.*
+ f78e: 58e5.*
+ f78f: 2024.*
+ f790: 59e5.*
+ f791: 2025.*
+ f792: 58ed.*
+ f793: 2026.*
+ f794: 59ed.*
+ f795: 2027.*
+ f796: 58f5.*
+ f797: 2028.*
+ f798: 59f5.*
+ f799: 2029.*
+ f79a: 58f8.*
+ f79b: 202a.*
+ f79c: 59f8.*
+ f79d: 202b.*
+ f79e: 5886.*
+ f79f: 5986.*
+ f7a0: 588e.*
+ f7a1: 598e.*
+ f7a2: 5896.*
+ f7a3: 5996.*
+ f7a4: 58a6.*
+ f7a5: 59a6.*
+ f7a6: 58ae.*
+ f7a7: 59ae.*
+ f7a8: 58b6.*
+ f7a9: 59b6.*
+ f7aa: 58be.*
+ f7ab: 59be.*
+ f7ac: 58c6.*
+ f7ad: 59c6.*
+ f7ae: 58ce.*
+ f7af: 59ce.*
+ f7b0: 58d6.*
+ f7b1: 59d6.*
+ f7b2: 58de.*
+ f7b3: 59de.*
+ f7b4: 58e6.*
+ f7b5: 202c.*
+ f7b6: 59e6.*
+ f7b7: 202d.*
+ f7b8: 58ee.*
+ f7b9: 202e.*
+ f7ba: 59ee.*
+ f7bb: 202f.*
+ f7bc: 58f6.*
+ f7bd: 2030.*
+ f7be: 59f6.*
+ f7bf: 2031.*
+ f7c0: 58f8.*
+ f7c1: 2032.*
+ f7c2: 59f8.*
+ f7c3: 2033.*
+ f7c4: 5887.*
+ f7c5: 5987.*
+ f7c6: 588f.*
+ f7c7: 598f.*
+ f7c8: 5897.*
+ f7c9: 5997.*
+ f7ca: 58a7.*
+ f7cb: 59a7.*
+ f7cc: 58af.*
+ f7cd: 59af.*
+ f7ce: 58b7.*
+ f7cf: 59b7.*
+ f7d0: 58bf.*
+ f7d1: 59bf.*
+ f7d2: 58c7.*
+ f7d3: 59c7.*
+ f7d4: 58cf.*
+ f7d5: 59cf.*
+ f7d6: 58d7.*
+ f7d7: 59d7.*
+ f7d8: 58df.*
+ f7d9: 59df.*
+ f7da: 58e7.*
+ f7db: 2034.*
+ f7dc: 59e7.*
+ f7dd: 2035.*
+ f7de: 58ef.*
+ f7df: 2036.*
+ f7e0: 59ef.*
+ f7e1: 2037.*
+ f7e2: 58f7.*
+ f7e3: 2038.*
+ f7e4: 59f7.*
+ f7e5: 2039.*
+ f7e6: 58f8.*
+ f7e7: 203a.*
+ f7e8: 59f8.*
+ f7e9: 203b.*
+ f7ea: 5e6a.*
+ f7eb: 5f6b.*
+ f7ec: 5e80.*
+ f7ed: 5f80.*
+ f7ee: 5e88.*
+ f7ef: 5f88.*
+ f7f0: 5e90.*
+ f7f1: 5f90.*
+ f7f2: 5ea0.*
+ f7f3: 5fa0.*
+ f7f4: 5ea8.*
+ f7f5: 5fa8.*
+ f7f6: 5eb0.*
+ f7f7: 5fb0.*
+ f7f8: 5eb8.*
+ f7f9: 5fb8.*
+ f7fa: 5ec0.*
+ f7fb: 5fc0.*
+ f7fc: 5ec8.*
+ f7fd: 5fc8.*
+ f7fe: 5ed0.*
+ f7ff: 5fd0.*
+ f800: 5ed8.*
+ f801: 5fd8.*
+ f802: 5ee0.*
+ f803: 203c.*
+ f804: 5fe0.*
+ f805: 203d.*
+ f806: 5ee8.*
+ f807: 203e.*
+ f808: 5fe8.*
+ f809: 203f.*
+ f80a: 5ef0.*
+ f80b: 2040.*
+ f80c: 5ff0.*
+ f80d: 2041.*
+ f80e: 5ef8.*
+ f80f: 2042.*
+ f810: 5ff8.*
+ f811: 2043.*
+ f812: 5e81.*
+ f813: 5f81.*
+ f814: 5e89.*
+ f815: 5f89.*
+ f816: 5e91.*
+ f817: 5f91.*
+ f818: 5ea1.*
+ f819: 5fa1.*
+ f81a: 5ea9.*
+ f81b: 5fa9.*
+ f81c: 5eb1.*
+ f81d: 5fb1.*
+ f81e: 5eb9.*
+ f81f: 5fb9.*
+ f820: 5ec1.*
+ f821: 5fc1.*
+ f822: 5ec9.*
+ f823: 5fc9.*
+ f824: 5ed1.*
+ f825: 5fd1.*
+ f826: 5ed9.*
+ f827: 5fd9.*
+ f828: 5ee1.*
+ f829: 2044.*
+ f82a: 5fe1.*
+ f82b: 2045.*
+ f82c: 5ee9.*
+ f82d: 2046.*
+ f82e: 5fe9.*
+ f82f: 2047.*
+ f830: 5ef1.*
+ f831: 2048.*
+ f832: 5ff1.*
+ f833: 2049.*
+ f834: 5ef8.*
+ f835: 204a.*
+ f836: 5ff8.*
+ f837: 204b.*
+ f838: 5e82.*
+ f839: 5f82.*
+ f83a: 5e8a.*
+ f83b: 5f8a.*
+ f83c: 5e92.*
+ f83d: 5f92.*
+ f83e: 5ea2.*
+ f83f: 5fa2.*
+ f840: 5eaa.*
+ f841: 5faa.*
+ f842: 5eb2.*
+ f843: 5fb2.*
+ f844: 5eba.*
+ f845: 5fba.*
+ f846: 5ec2.*
+ f847: 5fc2.*
+ f848: 5eca.*
+ f849: 5fca.*
+ f84a: 5ed2.*
+ f84b: 5fd2.*
+ f84c: 5eda.*
+ f84d: 5fda.*
+ f84e: 5ee2.*
+ f84f: 204c.*
+ f850: 5fe2.*
+ f851: 204d.*
+ f852: 5eea.*
+ f853: 204e.*
+ f854: 5fea.*
+ f855: 204f.*
+ f856: 5ef2.*
+ f857: 2050.*
+ f858: 5ff2.*
+ f859: 2051.*
+ f85a: 5ef8.*
+ f85b: 2052.*
+ f85c: 5ff8.*
+ f85d: 2053.*
+ f85e: 5e83.*
+ f85f: 5f83.*
+ f860: 5e8b.*
+ f861: 5f8b.*
+ f862: 5e93.*
+ f863: 5f93.*
+ f864: 5ea3.*
+ f865: 5fa3.*
+ f866: 5eab.*
+ f867: 5fab.*
+ f868: 5eb3.*
+ f869: 5fb3.*
+ f86a: 5ebb.*
+ f86b: 5fbb.*
+ f86c: 5ec3.*
+ f86d: 5fc3.*
+ f86e: 5ecb.*
+ f86f: 5fcb.*
+ f870: 5ed3.*
+ f871: 5fd3.*
+ f872: 5edb.*
+ f873: 5fdb.*
+ f874: 5ee3.*
+ f875: 2054.*
+ f876: 5fe3.*
+ f877: 2055.*
+ f878: 5eeb.*
+ f879: 2056.*
+ f87a: 5feb.*
+ f87b: 2057.*
+ f87c: 5ef3.*
+ f87d: 2058.*
+ f87e: 5ff3.*
+ f87f: 2059.*
+ f880: 5ef8.*
+ f881: 205a.*
+ f882: 5ff8.*
+ f883: 205b.*
+ f884: 5e84.*
+ f885: 5f84.*
+ f886: 5e8c.*
+ f887: 5f8c.*
+ f888: 5e94.*
+ f889: 5f94.*
+ f88a: 5ea4.*
+ f88b: 5fa4.*
+ f88c: 5eac.*
+ f88d: 5fac.*
+ f88e: 5eb4.*
+ f88f: 5fb4.*
+ f890: 5ebc.*
+ f891: 5fbc.*
+ f892: 5ec4.*
+ f893: 5fc4.*
+ f894: 5ecc.*
+ f895: 5fcc.*
+ f896: 5ed4.*
+ f897: 5fd4.*
+ f898: 5edc.*
+ f899: 5fdc.*
+ f89a: 5ee4.*
+ f89b: 205c.*
+ f89c: 5fe4.*
+ f89d: 205d.*
+ f89e: 5eec.*
+ f89f: 205e.*
+ f8a0: 5fec.*
+ f8a1: 205f.*
+ f8a2: 5ef4.*
+ f8a3: 2060.*
+ f8a4: 5ff4.*
+ f8a5: 2061.*
+ f8a6: 5ef8.*
+ f8a7: 2062.*
+ f8a8: 5ff8.*
+ f8a9: 2063.*
+ f8aa: 5e85.*
+ f8ab: 5f85.*
+ f8ac: 5e8d.*
+ f8ad: 5f8d.*
+ f8ae: 5e95.*
+ f8af: 5f95.*
+ f8b0: 5ea5.*
+ f8b1: 5fa5.*
+ f8b2: 5ead.*
+ f8b3: 5fad.*
+ f8b4: 5eb5.*
+ f8b5: 5fb5.*
+ f8b6: 5ebd.*
+ f8b7: 5fbd.*
+ f8b8: 5ec5.*
+ f8b9: 5fc5.*
+ f8ba: 5ecd.*
+ f8bb: 5fcd.*
+ f8bc: 5ed5.*
+ f8bd: 5fd5.*
+ f8be: 5edd.*
+ f8bf: 5fdd.*
+ f8c0: 5ee5.*
+ f8c1: 2064.*
+ f8c2: 5fe5.*
+ f8c3: 2065.*
+ f8c4: 5eed.*
+ f8c5: 2066.*
+ f8c6: 5fed.*
+ f8c7: 2067.*
+ f8c8: 5ef5.*
+ f8c9: 2068.*
+ f8ca: 5ff5.*
+ f8cb: 2069.*
+ f8cc: 5ef8.*
+ f8cd: 206a.*
+ f8ce: 5ff8.*
+ f8cf: 206b.*
+ f8d0: 5e86.*
+ f8d1: 5f86.*
+ f8d2: 5e8e.*
+ f8d3: 5f8e.*
+ f8d4: 5e96.*
+ f8d5: 5f96.*
+ f8d6: 5ea6.*
+ f8d7: 5fa6.*
+ f8d8: 5eae.*
+ f8d9: 5fae.*
+ f8da: 5eb6.*
+ f8db: 5fb6.*
+ f8dc: 5ebe.*
+ f8dd: 5fbe.*
+ f8de: 5ec6.*
+ f8df: 5fc6.*
+ f8e0: 5ece.*
+ f8e1: 5fce.*
+ f8e2: 5ed6.*
+ f8e3: 5fd6.*
+ f8e4: 5ede.*
+ f8e5: 5fde.*
+ f8e6: 5ee6.*
+ f8e7: 206c.*
+ f8e8: 5fe6.*
+ f8e9: 206d.*
+ f8ea: 5eee.*
+ f8eb: 206e.*
+ f8ec: 5fee.*
+ f8ed: 206f.*
+ f8ee: 5ef6.*
+ f8ef: 2070.*
+ f8f0: 5ff6.*
+ f8f1: 2071.*
+ f8f2: 5ef8.*
+ f8f3: 2072.*
+ f8f4: 5ff8.*
+ f8f5: 2073.*
+ f8f6: 5e87.*
+ f8f7: 5f87.*
+ f8f8: 5e8f.*
+ f8f9: 5f8f.*
+ f8fa: 5e97.*
+ f8fb: 5f97.*
+ f8fc: 5ea7.*
+ f8fd: 5fa7.*
+ f8fe: 5eaf.*
+ f8ff: 5faf.*
+ f900: 5eb7.*
+ f901: 5fb7.*
+ f902: 5ebf.*
+ f903: 5fbf.*
+ f904: 5ec7.*
+ f905: 5fc7.*
+ f906: 5ecf.*
+ f907: 5fcf.*
+ f908: 5ed7.*
+ f909: 5fd7.*
+ f90a: 5edf.*
+ f90b: 5fdf.*
+ f90c: 5ee7.*
+ f90d: 2074.*
+ f90e: 5fe7.*
+ f90f: 2075.*
+ f910: 5eef.*
+ f911: 2076.*
+ f912: 5fef.*
+ f913: 2077.*
+ f914: 5ef7.*
+ f915: 2078.*
+ f916: 5ff7.*
+ f917: 2079.*
+ f918: 5ef8.*
+ f919: 207a.*
+ f91a: 5ff8.*
+ f91b: 207b.*
+ f91c: 4e6c.*
+ f91d: 4f6d.*
+ f91e: 4e80.*
+ f91f: 4e88.*
+ f920: 4e90.*
+ f921: 4e98.*
+ f922: 4ea0.*
+ f923: 4ea8.*
+ f924: 4eb0.*
+ f925: 4eb8.*
+ f926: 4ec0.*
+ f927: 4ec8.*
+ f928: 4ed0.*
+ f929: 4ed8.*
+ f92a: 4ee0.*
+ f92b: 207c.*
+ f92c: 4ee8.*
+ f92d: 207d.*
+ f92e: 4ef0.*
+ f92f: 207e.*
+ f930: 4ef8.*
+ f931: 207f.*
+ f932: 4e81.*
+ f933: 4e89.*
+ f934: 4e91.*
+ f935: 4e99.*
+ f936: 4ea1.*
+ f937: 4ea9.*
+ f938: 4eb1.*
+ f939: 4eb9.*
+ f93a: 4ec1.*
+ f93b: 4ec9.*
+ f93c: 4ed1.*
+ f93d: 4ed9.*
+ f93e: 4ee1.*
+ f93f: 2080.*
+ f940: 4ee9.*
+ f941: 2081.*
+ f942: 4ef1.*
+ f943: 2082.*
+ f944: 4ef8.*
+ f945: 2083.*
+ f946: 4e82.*
+ f947: 4e8a.*
+ f948: 4e92.*
+ f949: 4e9a.*
+ f94a: 4ea2.*
+ f94b: 4eaa.*
+ f94c: 4eb2.*
+ f94d: 4eba.*
+ f94e: 4ec2.*
+ f94f: 4eca.*
+ f950: 4ed2.*
+ f951: 4eda.*
+ f952: 4ee2.*
+ f953: 2084.*
+ f954: 4eea.*
+ f955: 2085.*
+ f956: 4ef2.*
+ f957: 2086.*
+ f958: 4ef8.*
+ f959: 2087.*
+ f95a: 4e83.*
+ f95b: 4e8b.*
+ f95c: 4e93.*
+ f95d: 4e9b.*
+ f95e: 4ea3.*
+ f95f: 4eab.*
+ f960: 4eb3.*
+ f961: 4ebb.*
+ f962: 4ec3.*
+ f963: 4ecb.*
+ f964: 4ed3.*
+ f965: 4edb.*
+ f966: 4ee3.*
+ f967: 2088.*
+ f968: 4eeb.*
+ f969: 2089.*
+ f96a: 4ef3.*
+ f96b: 208a.*
+ f96c: 4ef8.*
+ f96d: 208b.*
+ f96e: 4e84.*
+ f96f: 4e8c.*
+ f970: 4e94.*
+ f971: 4e9c.*
+ f972: 4ea4.*
+ f973: 4eac.*
+ f974: 4eb4.*
+ f975: 4ebc.*
+ f976: 4ec4.*
+ f977: 4ecc.*
+ f978: 4ed4.*
+ f979: 4edc.*
+ f97a: 4ee4.*
+ f97b: 208c.*
+ f97c: 4eec.*
+ f97d: 208d.*
+ f97e: 4ef4.*
+ f97f: 208e.*
+ f980: 4ef8.*
+ f981: 208f.*
+ f982: 4e85.*
+ f983: 4e8d.*
+ f984: 4e95.*
+ f985: 4e9d.*
+ f986: 4ea5.*
+ f987: 4ead.*
+ f988: 4eb5.*
+ f989: 4ebd.*
+ f98a: 4ec5.*
+ f98b: 4ecd.*
+ f98c: 4ed5.*
+ f98d: 4edd.*
+ f98e: 4ee5.*
+ f98f: 2090.*
+ f990: 4eed.*
+ f991: 2091.*
+ f992: 4ef5.*
+ f993: 2092.*
+ f994: 4ef8.*
+ f995: 2093.*
+ f996: 4e86.*
+ f997: 4e8e.*
+ f998: 4e96.*
+ f999: 4e9e.*
+ f99a: 4ea6.*
+ f99b: 4eae.*
+ f99c: 4eb6.*
+ f99d: 4ebe.*
+ f99e: 4ec6.*
+ f99f: 4ece.*
+ f9a0: 4ed6.*
+ f9a1: 4ede.*
+ f9a2: 4ee6.*
+ f9a3: 2094.*
+ f9a4: 4eee.*
+ f9a5: 2095.*
+ f9a6: 4ef6.*
+ f9a7: 2096.*
+ f9a8: 4ef8.*
+ f9a9: 2097.*
+ f9aa: 4e87.*
+ f9ab: 4e8f.*
+ f9ac: 4e97.*
+ f9ad: 4e9f.*
+ f9ae: 4ea7.*
+ f9af: 4eaf.*
+ f9b0: 4eb7.*
+ f9b1: 4ebf.*
+ f9b2: 4ec7.*
+ f9b3: 4ecf.*
+ f9b4: 4ed7.*
+ f9b5: 4edf.*
+ f9b6: 4ee7.*
+ f9b7: 2098.*
+ f9b8: 4eef.*
+ f9b9: 2099.*
+ f9ba: 4ef7.*
+ f9bb: 209a.*
+ f9bc: 4ef8.*
+ f9bd: 209b.*
+ f9be: 4f80.*
+ f9bf: 4f88.*
+ f9c0: 4f90.*
+ f9c1: 4f98.*
+ f9c2: 4fa0.*
+ f9c3: 4fa8.*
+ f9c4: 4fb0.*
+ f9c5: 4fb8.*
+ f9c6: 4fc0.*
+ f9c7: 4fc8.*
+ f9c8: 4fd0.*
+ f9c9: 4fd8.*
+ f9ca: 4fe0.*
+ f9cb: 209c.*
+ f9cc: 4fe8.*
+ f9cd: 209d.*
+ f9ce: 4ff0.*
+ f9cf: 209e.*
+ f9d0: 4ff8.*
+ f9d1: 209f.*
+ f9d2: 4f81.*
+ f9d3: 4f89.*
+ f9d4: 4f91.*
+ f9d5: 4f99.*
+ f9d6: 4fa1.*
+ f9d7: 4fa9.*
+ f9d8: 4fb1.*
+ f9d9: 4fb9.*
+ f9da: 4fc1.*
+ f9db: 4fc9.*
+ f9dc: 4fd1.*
+ f9dd: 4fd9.*
+ f9de: 4fe1.*
+ f9df: 20a0.*
+ f9e0: 4fe9.*
+ f9e1: 20a1.*
+ f9e2: 4ff1.*
+ f9e3: 20a2.*
+ f9e4: 4ff8.*
+ f9e5: 20a3.*
+ f9e6: 4f82.*
+ f9e7: 4f8a.*
+ f9e8: 4f92.*
+ f9e9: 4f9a.*
+ f9ea: 4fa2.*
+ f9eb: 4faa.*
+ f9ec: 4fb2.*
+ f9ed: 4fba.*
+ f9ee: 4fc2.*
+ f9ef: 4fca.*
+ f9f0: 4fd2.*
+ f9f1: 4fda.*
+ f9f2: 4fe2.*
+ f9f3: 20a4.*
+ f9f4: 4fea.*
+ f9f5: 20a5.*
+ f9f6: 4ff2.*
+ f9f7: 20a6.*
+ f9f8: 4ff8.*
+ f9f9: 20a7.*
+ f9fa: 4f83.*
+ f9fb: 4f8b.*
+ f9fc: 4f93.*
+ f9fd: 4f9b.*
+ f9fe: 4fa3.*
+ f9ff: 4fab.*
+ fa00: 4fb3.*
+ fa01: 4fbb.*
+ fa02: 4fc3.*
+ fa03: 4fcb.*
+ fa04: 4fd3.*
+ fa05: 4fdb.*
+ fa06: 4fe3.*
+ fa07: 20a8.*
+ fa08: 4feb.*
+ fa09: 20a9.*
+ fa0a: 4ff3.*
+ fa0b: 20aa.*
+ fa0c: 4ff8.*
+ fa0d: 20ab.*
+ fa0e: 4f84.*
+ fa0f: 4f8c.*
+ fa10: 4f94.*
+ fa11: 4f9c.*
+ fa12: 4fa4.*
+ fa13: 4fac.*
+ fa14: 4fb4.*
+ fa15: 4fbc.*
+ fa16: 4fc4.*
+ fa17: 4fcc.*
+ fa18: 4fd4.*
+ fa19: 4fdc.*
+ fa1a: 4fe4.*
+ fa1b: 20ac.*
+ fa1c: 4fec.*
+ fa1d: 20ad.*
+ fa1e: 4ff4.*
+ fa1f: 20ae.*
+ fa20: 4ff8.*
+ fa21: 20af.*
+ fa22: 4f85.*
+ fa23: 4f8d.*
+ fa24: 4f95.*
+ fa25: 4f9d.*
+ fa26: 4fa5.*
+ fa27: 4fad.*
+ fa28: 4fb5.*
+ fa29: 4fbd.*
+ fa2a: 4fc5.*
+ fa2b: 4fcd.*
+ fa2c: 4fd5.*
+ fa2d: 4fdd.*
+ fa2e: 4fe5.*
+ fa2f: 20b0.*
+ fa30: 4fed.*
+ fa31: 20b1.*
+ fa32: 4ff5.*
+ fa33: 20b2.*
+ fa34: 4ff8.*
+ fa35: 20b3.*
+ fa36: 4f86.*
+ fa37: 4f8e.*
+ fa38: 4f96.*
+ fa39: 4f9e.*
+ fa3a: 4fa6.*
+ fa3b: 4fae.*
+ fa3c: 4fb6.*
+ fa3d: 4fbe.*
+ fa3e: 4fc6.*
+ fa3f: 4fce.*
+ fa40: 4fd6.*
+ fa41: 4fde.*
+ fa42: 4fe6.*
+ fa43: 20b4.*
+ fa44: 4fee.*
+ fa45: 20b5.*
+ fa46: 4ff6.*
+ fa47: 20b6.*
+ fa48: 4ff8.*
+ fa49: 20b7.*
+ fa4a: 4f87.*
+ fa4b: 4f8f.*
+ fa4c: 4f97.*
+ fa4d: 4f9f.*
+ fa4e: 4fa7.*
+ fa4f: 4faf.*
+ fa50: 4fb7.*
+ fa51: 4fbf.*
+ fa52: 4fc7.*
+ fa53: 4fcf.*
+ fa54: 4fd7.*
+ fa55: 4fdf.*
+ fa56: 4fe7.*
+ fa57: 20b8.*
+ fa58: 4fef.*
+ fa59: 20b9.*
+ fa5a: 4ff7.*
+ fa5b: 20ba.*
+ fa5c: 4ff8.*
+ fa5d: 20bb.*
+ fa5e: 546e.*
+ fa5f: 556f.*
+ fa60: 5c70.*
+ fa61: 5d71.*
+ fa62: 5c80.*
+ fa63: 5d80.*
+ fa64: 5c88.*
+ fa65: 5d88.*
+ fa66: 5c90.*
+ fa67: 5d90.*
+ fa68: 5ca0.*
+ fa69: 5da0.*
+ fa6a: 5ca8.*
+ fa6b: 5da8.*
+ fa6c: 5cb0.*
+ fa6d: 5db0.*
+ fa6e: 5cb8.*
+ fa6f: 5db8.*
+ fa70: 5cc0.*
+ fa71: 5dc0.*
+ fa72: 5cc8.*
+ fa73: 5dc8.*
+ fa74: 5cd0.*
+ fa75: 5dd0.*
+ fa76: 5cd8.*
+ fa77: 5dd8.*
+ fa78: 5ce0.*
+ fa79: 20bc.*
+ fa7a: 5de0.*
+ fa7b: 20bd.*
+ fa7c: 5ce8.*
+ fa7d: 20be.*
+ fa7e: 5de8.*
+ fa7f: 20bf.*
+ fa80: 5cf0.*
+ fa81: 20c0.*
+ fa82: 5df0.*
+ fa83: 20c1.*
+ fa84: 5cf8.*
+ fa85: 20c2.*
+ fa86: 5df8.*
+ fa87: 20c3.*
+ fa88: 5c81.*
+ fa89: 5d81.*
+ fa8a: 5c89.*
+ fa8b: 5d89.*
+ fa8c: 5c91.*
+ fa8d: 5d91.*
+ fa8e: 5ca1.*
+ fa8f: 5da1.*
+ fa90: 5ca9.*
+ fa91: 5da9.*
+ fa92: 5cb1.*
+ fa93: 5db1.*
+ fa94: 5cb9.*
+ fa95: 5db9.*
+ fa96: 5cc1.*
+ fa97: 5dc1.*
+ fa98: 5cc9.*
+ fa99: 5dc9.*
+ fa9a: 5cd1.*
+ fa9b: 5dd1.*
+ fa9c: 5cd9.*
+ fa9d: 5dd9.*
+ fa9e: 5ce1.*
+ fa9f: 20c4.*
+ faa0: 5de1.*
+ faa1: 20c5.*
+ faa2: 5ce9.*
+ faa3: 20c6.*
+ faa4: 5de9.*
+ faa5: 20c7.*
+ faa6: 5cf1.*
+ faa7: 20c8.*
+ faa8: 5df1.*
+ faa9: 20c9.*
+ faaa: 5cf8.*
+ faab: 20ca.*
+ faac: 5df8.*
+ faad: 20cb.*
+ faae: 5c82.*
+ faaf: 5d82.*
+ fab0: 5c8a.*
+ fab1: 5d8a.*
+ fab2: 5c92.*
+ fab3: 5d92.*
+ fab4: 5ca2.*
+ fab5: 5da2.*
+ fab6: 5caa.*
+ fab7: 5daa.*
+ fab8: 5cb2.*
+ fab9: 5db2.*
+ faba: 5cba.*
+ fabb: 5dba.*
+ fabc: 5cc2.*
+ fabd: 5dc2.*
+ fabe: 5cca.*
+ fabf: 5dca.*
+ fac0: 5cd2.*
+ fac1: 5dd2.*
+ fac2: 5cda.*
+ fac3: 5dda.*
+ fac4: 5ce2.*
+ fac5: 20cc.*
+ fac6: 5de2.*
+ fac7: 20cd.*
+ fac8: 5cea.*
+ fac9: 20ce.*
+ faca: 5dea.*
+ facb: 20cf.*
+ facc: 5cf2.*
+ facd: 20d0.*
+ face: 5df2.*
+ facf: 20d1.*
+ fad0: 5cf8.*
+ fad1: 20d2.*
+ fad2: 5df8.*
+ fad3: 20d3.*
+ fad4: 5c83.*
+ fad5: 5d83.*
+ fad6: 5c8b.*
+ fad7: 5d8b.*
+ fad8: 5c93.*
+ fad9: 5d93.*
+ fada: 5ca3.*
+ fadb: 5da3.*
+ fadc: 5cab.*
+ fadd: 5dab.*
+ fade: 5cb3.*
+ fadf: 5db3.*
+ fae0: 5cbb.*
+ fae1: 5dbb.*
+ fae2: 5cc3.*
+ fae3: 5dc3.*
+ fae4: 5ccb.*
+ fae5: 5dcb.*
+ fae6: 5cd3.*
+ fae7: 5dd3.*
+ fae8: 5cdb.*
+ fae9: 5ddb.*
+ faea: 5ce3.*
+ faeb: 20d4.*
+ faec: 5de3.*
+ faed: 20d5.*
+ faee: 5ceb.*
+ faef: 20d6.*
+ faf0: 5deb.*
+ faf1: 20d7.*
+ faf2: 5cf3.*
+ faf3: 20d8.*
+ faf4: 5df3.*
+ faf5: 20d9.*
+ faf6: 5cf8.*
+ faf7: 20da.*
+ faf8: 5df8.*
+ faf9: 20db.*
+ fafa: 5c84.*
+ fafb: 5d84.*
+ fafc: 5c8c.*
+ fafd: 5d8c.*
+ fafe: 5c94.*
+ faff: 5d94.*
+ fb00: 5ca4.*
+ fb01: 5da4.*
+ fb02: 5cac.*
+ fb03: 5dac.*
+ fb04: 5cb4.*
+ fb05: 5db4.*
+ fb06: 5cbc.*
+ fb07: 5dbc.*
+ fb08: 5cc4.*
+ fb09: 5dc4.*
+ fb0a: 5ccc.*
+ fb0b: 5dcc.*
+ fb0c: 5cd4.*
+ fb0d: 5dd4.*
+ fb0e: 5cdc.*
+ fb0f: 5ddc.*
+ fb10: 5ce4.*
+ fb11: 20dc.*
+ fb12: 5de4.*
+ fb13: 20dd.*
+ fb14: 5cec.*
+ fb15: 20de.*
+ fb16: 5dec.*
+ fb17: 20df.*
+ fb18: 5cf4.*
+ fb19: 20e0.*
+ fb1a: 5df4.*
+ fb1b: 20e1.*
+ fb1c: 5cf8.*
+ fb1d: 20e2.*
+ fb1e: 5df8.*
+ fb1f: 20e3.*
+ fb20: 5c85.*
+ fb21: 5d85.*
+ fb22: 5c8d.*
+ fb23: 5d8d.*
+ fb24: 5c95.*
+ fb25: 5d95.*
+ fb26: 5ca5.*
+ fb27: 5da5.*
+ fb28: 5cad.*
+ fb29: 5dad.*
+ fb2a: 5cb5.*
+ fb2b: 5db5.*
+ fb2c: 5cbd.*
+ fb2d: 5dbd.*
+ fb2e: 5cc5.*
+ fb2f: 5dc5.*
+ fb30: 5ccd.*
+ fb31: 5dcd.*
+ fb32: 5cd5.*
+ fb33: 5dd5.*
+ fb34: 5cdd.*
+ fb35: 5ddd.*
+ fb36: 5ce5.*
+ fb37: 20e4.*
+ fb38: 5de5.*
+ fb39: 20e5.*
+ fb3a: 5ced.*
+ fb3b: 20e6.*
+ fb3c: 5ded.*
+ fb3d: 20e7.*
+ fb3e: 5cf5.*
+ fb3f: 20e8.*
+ fb40: 5df5.*
+ fb41: 20e9.*
+ fb42: 5cf8.*
+ fb43: 20ea.*
+ fb44: 5df8.*
+ fb45: 20eb.*
+ fb46: 5c86.*
+ fb47: 5d86.*
+ fb48: 5c8e.*
+ fb49: 5d8e.*
+ fb4a: 5c96.*
+ fb4b: 5d96.*
+ fb4c: 5ca6.*
+ fb4d: 5da6.*
+ fb4e: 5cae.*
+ fb4f: 5dae.*
+ fb50: 5cb6.*
+ fb51: 5db6.*
+ fb52: 5cbe.*
+ fb53: 5dbe.*
+ fb54: 5cc6.*
+ fb55: 5dc6.*
+ fb56: 5cce.*
+ fb57: 5dce.*
+ fb58: 5cd6.*
+ fb59: 5dd6.*
+ fb5a: 5cde.*
+ fb5b: 5dde.*
+ fb5c: 5ce6.*
+ fb5d: 20ec.*
+ fb5e: 5de6.*
+ fb5f: 20ed.*
+ fb60: 5cee.*
+ fb61: 20ee.*
+ fb62: 5dee.*
+ fb63: 20ef.*
+ fb64: 5cf6.*
+ fb65: 20f0.*
+ fb66: 5df6.*
+ fb67: 20f1.*
+ fb68: 5cf8.*
+ fb69: 20f2.*
+ fb6a: 5df8.*
+ fb6b: 20f3.*
+ fb6c: 5c87.*
+ fb6d: 5d87.*
+ fb6e: 5c8f.*
+ fb6f: 5d8f.*
+ fb70: 5c97.*
+ fb71: 5d97.*
+ fb72: 5ca7.*
+ fb73: 5da7.*
+ fb74: 5caf.*
+ fb75: 5daf.*
+ fb76: 5cb7.*
+ fb77: 5db7.*
+ fb78: 5cbf.*
+ fb79: 5dbf.*
+ fb7a: 5cc7.*
+ fb7b: 5dc7.*
+ fb7c: 5ccf.*
+ fb7d: 5dcf.*
+ fb7e: 5cd7.*
+ fb7f: 5dd7.*
+ fb80: 5cdf.*
+ fb81: 5ddf.*
+ fb82: 5ce7.*
+ fb83: 20f4.*
+ fb84: 5de7.*
+ fb85: 20f5.*
+ fb86: 5cef.*
+ fb87: 20f6.*
+ fb88: 5def.*
+ fb89: 20f7.*
+ fb8a: 5cf7.*
+ fb8b: 20f8.*
+ fb8c: 5df7.*
+ fb8d: 20f9.*
+ fb8e: 5cf8.*
+ fb8f: 20fa.*
+ fb90: 5df8.*
+ fb91: 20fb.*
+ fb92: 5480.*
+ fb93: 5580.*
+ fb94: 5488.*
+ fb95: 5588.*
+ fb96: 5490.*
+ fb97: 5590.*
+ fb98: 54a0.*
+ fb99: 55a0.*
+ fb9a: 54a8.*
+ fb9b: 55a8.*
+ fb9c: 54b0.*
+ fb9d: 55b0.*
+ fb9e: 54b8.*
+ fb9f: 55b8.*
+ fba0: 54c0.*
+ fba1: 55c0.*
+ fba2: 54c8.*
+ fba3: 55c8.*
+ fba4: 54d0.*
+ fba5: 55d0.*
+ fba6: 54d8.*
+ fba7: 55d8.*
+ fba8: 54e0.*
+ fba9: 20fc.*
+ fbaa: 55e0.*
+ fbab: 20fd.*
+ fbac: 54e8.*
+ fbad: 20fe.*
+ fbae: 55e8.*
+ fbaf: 20ff.*
+ fbb0: 54f0.*
+ fbb1: 2100.*
+ fbb2: 55f0.*
+ fbb3: 2101.*
+ fbb4: 54f8.*
+ fbb5: 2102.*
+ fbb6: 55f8.*
+ fbb7: 2103.*
+ fbb8: 5481.*
+ fbb9: 5581.*
+ fbba: 5489.*
+ fbbb: 5589.*
+ fbbc: 5491.*
+ fbbd: 5591.*
+ fbbe: 54a1.*
+ fbbf: 55a1.*
+ fbc0: 54a9.*
+ fbc1: 55a9.*
+ fbc2: 54b1.*
+ fbc3: 55b1.*
+ fbc4: 54b9.*
+ fbc5: 55b9.*
+ fbc6: 54c1.*
+ fbc7: 55c1.*
+ fbc8: 54c9.*
+ fbc9: 55c9.*
+ fbca: 54d1.*
+ fbcb: 55d1.*
+ fbcc: 54d9.*
+ fbcd: 55d9.*
+ fbce: 54e1.*
+ fbcf: 2104.*
+ fbd0: 55e1.*
+ fbd1: 2105.*
+ fbd2: 54e9.*
+ fbd3: 2106.*
+ fbd4: 55e9.*
+ fbd5: 2107.*
+ fbd6: 54f1.*
+ fbd7: 2108.*
+ fbd8: 55f1.*
+ fbd9: 2109.*
+ fbda: 54f8.*
+ fbdb: 210a.*
+ fbdc: 55f8.*
+ fbdd: 210b.*
+ fbde: 5482.*
+ fbdf: 5582.*
+ fbe0: 548a.*
+ fbe1: 558a.*
+ fbe2: 5492.*
+ fbe3: 5592.*
+ fbe4: 54a2.*
+ fbe5: 55a2.*
+ fbe6: 54aa.*
+ fbe7: 55aa.*
+ fbe8: 54b2.*
+ fbe9: 55b2.*
+ fbea: 54ba.*
+ fbeb: 55ba.*
+ fbec: 54c2.*
+ fbed: 55c2.*
+ fbee: 54ca.*
+ fbef: 55ca.*
+ fbf0: 54d2.*
+ fbf1: 55d2.*
+ fbf2: 54da.*
+ fbf3: 55da.*
+ fbf4: 54e2.*
+ fbf5: 210c.*
+ fbf6: 55e2.*
+ fbf7: 210d.*
+ fbf8: 54ea.*
+ fbf9: 210e.*
+ fbfa: 55ea.*
+ fbfb: 210f.*
+ fbfc: 54f2.*
+ fbfd: 2110.*
+ fbfe: 55f2.*
+ fbff: 2111.*
+ fc00: 54f8.*
+ fc01: 2112.*
+ fc02: 55f8.*
+ fc03: 2113.*
+ fc04: 5483.*
+ fc05: 5583.*
+ fc06: 548b.*
+ fc07: 558b.*
+ fc08: 5493.*
+ fc09: 5593.*
+ fc0a: 54a3.*
+ fc0b: 55a3.*
+ fc0c: 54ab.*
+ fc0d: 55ab.*
+ fc0e: 54b3.*
+ fc0f: 55b3.*
+ fc10: 54bb.*
+ fc11: 55bb.*
+ fc12: 54c3.*
+ fc13: 55c3.*
+ fc14: 54cb.*
+ fc15: 55cb.*
+ fc16: 54d3.*
+ fc17: 55d3.*
+ fc18: 54db.*
+ fc19: 55db.*
+ fc1a: 54e3.*
+ fc1b: 2114.*
+ fc1c: 55e3.*
+ fc1d: 2115.*
+ fc1e: 54eb.*
+ fc1f: 2116.*
+ fc20: 55eb.*
+ fc21: 2117.*
+ fc22: 54f3.*
+ fc23: 2118.*
+ fc24: 55f3.*
+ fc25: 2119.*
+ fc26: 54f8.*
+ fc27: 211a.*
+ fc28: 55f8.*
+ fc29: 211b.*
+ fc2a: 5484.*
+ fc2b: 5584.*
+ fc2c: 548c.*
+ fc2d: 558c.*
+ fc2e: 5494.*
+ fc2f: 5594.*
+ fc30: 54a4.*
+ fc31: 55a4.*
+ fc32: 54ac.*
+ fc33: 55ac.*
+ fc34: 54b4.*
+ fc35: 55b4.*
+ fc36: 54bc.*
+ fc37: 55bc.*
+ fc38: 54c4.*
+ fc39: 55c4.*
+ fc3a: 54cc.*
+ fc3b: 55cc.*
+ fc3c: 54d4.*
+ fc3d: 55d4.*
+ fc3e: 54dc.*
+ fc3f: 55dc.*
+ fc40: 54e4.*
+ fc41: 211c.*
+ fc42: 55e4.*
+ fc43: 211d.*
+ fc44: 54ec.*
+ fc45: 211e.*
+ fc46: 55ec.*
+ fc47: 211f.*
+ fc48: 54f4.*
+ fc49: 2120.*
+ fc4a: 55f4.*
+ fc4b: 2121.*
+ fc4c: 54f8.*
+ fc4d: 2122.*
+ fc4e: 55f8.*
+ fc4f: 2123.*
+ fc50: 5485.*
+ fc51: 5585.*
+ fc52: 548d.*
+ fc53: 558d.*
+ fc54: 5495.*
+ fc55: 5595.*
+ fc56: 54a5.*
+ fc57: 55a5.*
+ fc58: 54ad.*
+ fc59: 55ad.*
+ fc5a: 54b5.*
+ fc5b: 55b5.*
+ fc5c: 54bd.*
+ fc5d: 55bd.*
+ fc5e: 54c5.*
+ fc5f: 55c5.*
+ fc60: 54cd.*
+ fc61: 55cd.*
+ fc62: 54d5.*
+ fc63: 55d5.*
+ fc64: 54dd.*
+ fc65: 55dd.*
+ fc66: 54e5.*
+ fc67: 2124.*
+ fc68: 55e5.*
+ fc69: 2125.*
+ fc6a: 54ed.*
+ fc6b: 2126.*
+ fc6c: 55ed.*
+ fc6d: 2127.*
+ fc6e: 54f5.*
+ fc6f: 2128.*
+ fc70: 55f5.*
+ fc71: 2129.*
+ fc72: 54f8.*
+ fc73: 212a.*
+ fc74: 55f8.*
+ fc75: 212b.*
+ fc76: 5486.*
+ fc77: 5586.*
+ fc78: 548e.*
+ fc79: 558e.*
+ fc7a: 5496.*
+ fc7b: 5596.*
+ fc7c: 54a6.*
+ fc7d: 55a6.*
+ fc7e: 54ae.*
+ fc7f: 55ae.*
+ fc80: 54b6.*
+ fc81: 55b6.*
+ fc82: 54be.*
+ fc83: 55be.*
+ fc84: 54c6.*
+ fc85: 55c6.*
+ fc86: 54ce.*
+ fc87: 55ce.*
+ fc88: 54d6.*
+ fc89: 55d6.*
+ fc8a: 54de.*
+ fc8b: 55de.*
+ fc8c: 54e6.*
+ fc8d: 212c.*
+ fc8e: 55e6.*
+ fc8f: 212d.*
+ fc90: 54ee.*
+ fc91: 212e.*
+ fc92: 55ee.*
+ fc93: 212f.*
+ fc94: 54f6.*
+ fc95: 2130.*
+ fc96: 55f6.*
+ fc97: 2131.*
+ fc98: 54f8.*
+ fc99: 2132.*
+ fc9a: 55f8.*
+ fc9b: 2133.*
+ fc9c: 5487.*
+ fc9d: 5587.*
+ fc9e: 548f.*
+ fc9f: 558f.*
+ fca0: 5497.*
+ fca1: 5597.*
+ fca2: 54a7.*
+ fca3: 55a7.*
+ fca4: 54af.*
+ fca5: 55af.*
+ fca6: 54b7.*
+ fca7: 55b7.*
+ fca8: 54bf.*
+ fca9: 55bf.*
+ fcaa: 54c7.*
+ fcab: 55c7.*
+ fcac: 54cf.*
+ fcad: 55cf.*
+ fcae: 54d7.*
+ fcaf: 55d7.*
+ fcb0: 54df.*
+ fcb1: 55df.*
+ fcb2: 54e7.*
+ fcb3: 2134.*
+ fcb4: 55e7.*
+ fcb5: 2135.*
+ fcb6: 54ef.*
+ fcb7: 2136.*
+ fcb8: 55ef.*
+ fcb9: 2137.*
+ fcba: 54f7.*
+ fcbb: 2138.*
+ fcbc: 55f7.*
+ fcbd: 2139.*
+ fcbe: 54f8.*
+ fcbf: 213a.*
+ fcc0: 55f8.*
+ fcc1: 213b.*
+ fcc2: f48e.*
+ fcc3: f58e.*
+ fcc4: f881.*
+ fcc5: 0000.*
+ fcc6: fa81.*
+ fcc7: 0001.*
+ fcc8: f495.*
+ fcc9: f495.*
+ fcca: f4e6.*
+ fccb: f5e6.*
+ fccc: f6e6.*
+ fccd: f495.*
+ fcce: f495.*
+ fccf: f7e6.*
+ fcd0: f495.*
+ fcd1: f495.*
+ fcd2: f4e7.*
+ fcd3: f5e7.*
+ fcd4: f6e7.*
+ fcd5: f495.*
+ fcd6: f495.*
+ fcd7: f7e7.*
+ fcd8: f495.*
+ fcd9: f495.*
+ fcda: f981.*
+ fcdb: 0002.*
+ fcdc: fb81.*
+ fcdd: 0003.*
+ fcde: f495.*
+ fcdf: f495.*
+ fce0: e000.*
+ fce1: 03d0.*
+ fce2: e004.*
+ fce3: 03d1.*
+ fce4: e008.*
+ fce5: 03d2.*
+ fce6: e00c.*
+ fce7: 03d3.*
+ fce8: e001.*
+ fce9: 03d4.*
+ fcea: e005.*
+ fceb: 03d5.*
+ fcec: e009.*
+ fced: 03d6.*
+ fcee: e00d.*
+ fcef: 03d7.*
+ fcf0: e002.*
+ fcf1: 03d8.*
+ fcf2: e006.*
+ fcf3: 03d9.*
+ fcf4: e00a.*
+ fcf5: 03da.*
+ fcf6: e00e.*
+ fcf7: 03db.*
+ fcf8: e003.*
+ fcf9: 03dc.*
+ fcfa: e007.*
+ fcfb: 03dd.*
+ fcfc: e00b.*
+ fcfd: 03de.*
+ fcfe: e00f.*
+ fcff: 03df.*
+ fd00: e040.*
+ fd01: 03e0.*
+ fd02: e044.*
+ fd03: 03e1.*
+ fd04: e048.*
+ fd05: 03e2.*
+ fd06: e04c.*
+ fd07: 03e3.*
+ fd08: e041.*
+ fd09: 03e4.*
+ fd0a: e045.*
+ fd0b: 03e5.*
+ fd0c: e049.*
+ fd0d: 03e6.*
+ fd0e: e04d.*
+ fd0f: 03e7.*
+ fd10: e042.*
+ fd11: 03e8.*
+ fd12: e046.*
+ fd13: 03e9.*
+ fd14: e04a.*
+ fd15: 03ea.*
+ fd16: e04e.*
+ fd17: 03eb.*
+ fd18: e043.*
+ fd19: 03ec.*
+ fd1a: e047.*
+ fd1b: 03ed.*
+ fd1c: e04b.*
+ fd1d: 03ee.*
+ fd1e: e04f.*
+ fd1f: 03ef.*
+ fd20: e080.*
+ fd21: 03f0.*
+ fd22: e084.*
+ fd23: 03f1.*
+ fd24: e088.*
+ fd25: 03f2.*
+ fd26: e08c.*
+ fd27: 03f3.*
+ fd28: e081.*
+ fd29: 03f4.*
+ fd2a: e085.*
+ fd2b: 03f5.*
+ fd2c: e089.*
+ fd2d: 03f6.*
+ fd2e: e08d.*
+ fd2f: 03f7.*
+ fd30: e082.*
+ fd31: 03f8.*
+ fd32: e086.*
+ fd33: 03f9.*
+ fd34: e08a.*
+ fd35: 03fa.*
+ fd36: e08e.*
+ fd37: 03fb.*
+ fd38: e083.*
+ fd39: 03fc.*
+ fd3a: e087.*
+ fd3b: 03fd.*
+ fd3c: e08b.*
+ fd3d: 03fe.*
+ fd3e: e08f.*
+ fd3f: 03ff.*
+ fd40: e0c0.*
+ fd41: 0400.*
+ fd42: e0c4.*
+ fd43: 0401.*
+ fd44: e0c8.*
+ fd45: 0402.*
+ fd46: e0cc.*
+ fd47: 0403.*
+ fd48: e0c1.*
+ fd49: 0404.*
+ fd4a: e0c5.*
+ fd4b: 0405.*
+ fd4c: e0c9.*
+ fd4d: 0406.*
+ fd4e: e0cd.*
+ fd4f: 0407.*
+ fd50: e0c2.*
+ fd51: 0408.*
+ fd52: e0c6.*
+ fd53: 0409.*
+ fd54: e0ca.*
+ fd55: 040a.*
+ fd56: e0ce.*
+ fd57: 040b.*
+ fd58: e0c3.*
+ fd59: 040c.*
+ fd5a: e0c7.*
+ fd5b: 040d.*
+ fd5c: e0cb.*
+ fd5d: 040e.*
+ fd5e: e0cf.*
+ fd5f: 040f.*
+ fd60: e010.*
+ fd61: 0410.*
+ fd62: e014.*
+ fd63: 0411.*
+ fd64: e018.*
+ fd65: 0412.*
+ fd66: e01c.*
+ fd67: 0413.*
+ fd68: e011.*
+ fd69: 0414.*
+ fd6a: e015.*
+ fd6b: 0415.*
+ fd6c: e019.*
+ fd6d: 0416.*
+ fd6e: e01d.*
+ fd6f: 0417.*
+ fd70: e012.*
+ fd71: 0418.*
+ fd72: e016.*
+ fd73: 0419.*
+ fd74: e01a.*
+ fd75: 041a.*
+ fd76: e01e.*
+ fd77: 041b.*
+ fd78: e013.*
+ fd79: 041c.*
+ fd7a: e017.*
+ fd7b: 041d.*
+ fd7c: e01b.*
+ fd7d: 041e.*
+ fd7e: e01f.*
+ fd7f: 041f.*
+ fd80: e050.*
+ fd81: 0420.*
+ fd82: e054.*
+ fd83: 0421.*
+ fd84: e058.*
+ fd85: 0422.*
+ fd86: e05c.*
+ fd87: 0423.*
+ fd88: e051.*
+ fd89: 0424.*
+ fd8a: e055.*
+ fd8b: 0425.*
+ fd8c: e059.*
+ fd8d: 0426.*
+ fd8e: e05d.*
+ fd8f: 0427.*
+ fd90: e052.*
+ fd91: 0428.*
+ fd92: e056.*
+ fd93: 0429.*
+ fd94: e05a.*
+ fd95: 042a.*
+ fd96: e05e.*
+ fd97: 042b.*
+ fd98: e053.*
+ fd99: 042c.*
+ fd9a: e057.*
+ fd9b: 042d.*
+ fd9c: e05b.*
+ fd9d: 042e.*
+ fd9e: e05f.*
+ fd9f: 042f.*
+ fda0: e090.*
+ fda1: 0430.*
+ fda2: e094.*
+ fda3: 0431.*
+ fda4: e098.*
+ fda5: 0432.*
+ fda6: e09c.*
+ fda7: 0433.*
+ fda8: e091.*
+ fda9: 0434.*
+ fdaa: e095.*
+ fdab: 0435.*
+ fdac: e099.*
+ fdad: 0436.*
+ fdae: e09d.*
+ fdaf: 0437.*
+ fdb0: e092.*
+ fdb1: 0438.*
+ fdb2: e096.*
+ fdb3: 0439.*
+ fdb4: e09a.*
+ fdb5: 043a.*
+ fdb6: e09e.*
+ fdb7: 043b.*
+ fdb8: e093.*
+ fdb9: 043c.*
+ fdba: e097.*
+ fdbb: 043d.*
+ fdbc: e09b.*
+ fdbd: 043e.*
+ fdbe: e09f.*
+ fdbf: 043f.*
+ fdc0: e0d0.*
+ fdc1: 0440.*
+ fdc2: e0d4.*
+ fdc3: 0441.*
+ fdc4: e0d8.*
+ fdc5: 0442.*
+ fdc6: e0dc.*
+ fdc7: 0443.*
+ fdc8: e0d1.*
+ fdc9: 0444.*
+ fdca: e0d5.*
+ fdcb: 0445.*
+ fdcc: e0d9.*
+ fdcd: 0446.*
+ fdce: e0dd.*
+ fdcf: 0447.*
+ fdd0: e0d2.*
+ fdd1: 0448.*
+ fdd2: e0d6.*
+ fdd3: 0449.*
+ fdd4: e0da.*
+ fdd5: 044a.*
+ fdd6: e0de.*
+ fdd7: 044b.*
+ fdd8: e0d3.*
+ fdd9: 044c.*
+ fdda: e0d7.*
+ fddb: 044d.*
+ fddc: e0db.*
+ fddd: 044e.*
+ fdde: e0df.*
+ fddf: 044f.*
+ fde0: e020.*
+ fde1: 0450.*
+ fde2: e024.*
+ fde3: 0451.*
+ fde4: e028.*
+ fde5: 0452.*
+ fde6: e02c.*
+ fde7: 0453.*
+ fde8: e021.*
+ fde9: 0454.*
+ fdea: e025.*
+ fdeb: 0455.*
+ fdec: e029.*
+ fded: 0456.*
+ fdee: e02d.*
+ fdef: 0457.*
+ fdf0: e022.*
+ fdf1: 0458.*
+ fdf2: e026.*
+ fdf3: 0459.*
+ fdf4: e02a.*
+ fdf5: 045a.*
+ fdf6: e02e.*
+ fdf7: 045b.*
+ fdf8: e023.*
+ fdf9: 045c.*
+ fdfa: e027.*
+ fdfb: 045d.*
+ fdfc: e02b.*
+ fdfd: 045e.*
+ fdfe: e02f.*
+ fdff: 045f.*
+ fe00: e060.*
+ fe01: 0460.*
+ fe02: e064.*
+ fe03: 0461.*
+ fe04: e068.*
+ fe05: 0462.*
+ fe06: e06c.*
+ fe07: 0463.*
+ fe08: e061.*
+ fe09: 0464.*
+ fe0a: e065.*
+ fe0b: 0465.*
+ fe0c: e069.*
+ fe0d: 0466.*
+ fe0e: e06d.*
+ fe0f: 0467.*
+ fe10: e062.*
+ fe11: 0468.*
+ fe12: e066.*
+ fe13: 0469.*
+ fe14: e06a.*
+ fe15: 046a.*
+ fe16: e06e.*
+ fe17: 046b.*
+ fe18: e063.*
+ fe19: 046c.*
+ fe1a: e067.*
+ fe1b: 046d.*
+ fe1c: e06b.*
+ fe1d: 046e.*
+ fe1e: e06f.*
+ fe1f: 046f.*
+ fe20: e0a0.*
+ fe21: 0470.*
+ fe22: e0a4.*
+ fe23: 0471.*
+ fe24: e0a8.*
+ fe25: 0472.*
+ fe26: e0ac.*
+ fe27: 0473.*
+ fe28: e0a1.*
+ fe29: 0474.*
+ fe2a: e0a5.*
+ fe2b: 0475.*
+ fe2c: e0a9.*
+ fe2d: 0476.*
+ fe2e: e0ad.*
+ fe2f: 0477.*
+ fe30: e0a2.*
+ fe31: 0478.*
+ fe32: e0a6.*
+ fe33: 0479.*
+ fe34: e0aa.*
+ fe35: 047a.*
+ fe36: e0ae.*
+ fe37: 047b.*
+ fe38: e0a3.*
+ fe39: 047c.*
+ fe3a: e0a7.*
+ fe3b: 047d.*
+ fe3c: e0ab.*
+ fe3d: 047e.*
+ fe3e: e0af.*
+ fe3f: 047f.*
+ fe40: e0e0.*
+ fe41: 0480.*
+ fe42: e0e4.*
+ fe43: 0481.*
+ fe44: e0e8.*
+ fe45: 0482.*
+ fe46: e0ec.*
+ fe47: 0483.*
+ fe48: e0e1.*
+ fe49: 0484.*
+ fe4a: e0e5.*
+ fe4b: 0485.*
+ fe4c: e0e9.*
+ fe4d: 0486.*
+ fe4e: e0ed.*
+ fe4f: 0487.*
+ fe50: e0e2.*
+ fe51: 0488.*
+ fe52: e0e6.*
+ fe53: 0489.*
+ fe54: e0ea.*
+ fe55: 048a.*
+ fe56: e0ee.*
+ fe57: 048b.*
+ fe58: e0e3.*
+ fe59: 048c.*
+ fe5a: e0e7.*
+ fe5b: 048d.*
+ fe5c: e0eb.*
+ fe5d: 048e.*
+ fe5e: e0ef.*
+ fe5f: 048f.*
+ fe60: e030.*
+ fe61: 0490.*
+ fe62: e034.*
+ fe63: 0491.*
+ fe64: e038.*
+ fe65: 0492.*
+ fe66: e03c.*
+ fe67: 0493.*
+ fe68: e031.*
+ fe69: 0494.*
+ fe6a: e035.*
+ fe6b: 0495.*
+ fe6c: e039.*
+ fe6d: 0496.*
+ fe6e: e03d.*
+ fe6f: 0497.*
+ fe70: e032.*
+ fe71: 0498.*
+ fe72: e036.*
+ fe73: 0499.*
+ fe74: e03a.*
+ fe75: 049a.*
+ fe76: e03e.*
+ fe77: 049b.*
+ fe78: e033.*
+ fe79: 049c.*
+ fe7a: e037.*
+ fe7b: 049d.*
+ fe7c: e03b.*
+ fe7d: 049e.*
+ fe7e: e03f.*
+ fe7f: 049f.*
+ fe80: e070.*
+ fe81: 04a0.*
+ fe82: e074.*
+ fe83: 04a1.*
+ fe84: e078.*
+ fe85: 04a2.*
+ fe86: e07c.*
+ fe87: 04a3.*
+ fe88: e071.*
+ fe89: 04a4.*
+ fe8a: e075.*
+ fe8b: 04a5.*
+ fe8c: e079.*
+ fe8d: 04a6.*
+ fe8e: e07d.*
+ fe8f: 04a7.*
+ fe90: e072.*
+ fe91: 04a8.*
+ fe92: e076.*
+ fe93: 04a9.*
+ fe94: e07a.*
+ fe95: 04aa.*
+ fe96: e07e.*
+ fe97: 04ab.*
+ fe98: e073.*
+ fe99: 04ac.*
+ fe9a: e077.*
+ fe9b: 04ad.*
+ fe9c: e07b.*
+ fe9d: 04ae.*
+ fe9e: e07f.*
+ fe9f: 04af.*
+ fea0: e0b0.*
+ fea1: 04b0.*
+ fea2: e0b4.*
+ fea3: 04b1.*
+ fea4: e0b8.*
+ fea5: 04b2.*
+ fea6: e0bc.*
+ fea7: 04b3.*
+ fea8: e0b1.*
+ fea9: 04b4.*
+ feaa: e0b5.*
+ feab: 04b5.*
+ feac: e0b9.*
+ fead: 04b6.*
+ feae: e0bd.*
+ feaf: 04b7.*
+ feb0: e0b2.*
+ feb1: 04b8.*
+ feb2: e0b6.*
+ feb3: 04b9.*
+ feb4: e0ba.*
+ feb5: 04ba.*
+ feb6: e0be.*
+ feb7: 04bb.*
+ feb8: e0b3.*
+ feb9: 04bc.*
+ feba: e0b7.*
+ febb: 04bd.*
+ febc: e0bb.*
+ febd: 04be.*
+ febe: e0bf.*
+ febf: 04bf.*
+ fec0: e0f0.*
+ fec1: 04c0.*
+ fec2: e0f4.*
+ fec3: 04c1.*
+ fec4: e0f8.*
+ fec5: 04c2.*
+ fec6: e0fc.*
+ fec7: 04c3.*
+ fec8: e0f1.*
+ fec9: 04c4.*
+ feca: e0f5.*
+ fecb: 04c5.*
+ fecc: e0f9.*
+ fecd: 04c6.*
+ fece: e0fd.*
+ fecf: 04c7.*
+ fed0: e0f2.*
+ fed1: 04c8.*
+ fed2: e0f6.*
+ fed3: 04c9.*
+ fed4: e0fa.*
+ fed5: 04ca.*
+ fed6: e0fe.*
+ fed7: 04cb.*
+ fed8: e0f3.*
+ fed9: 04cc.*
+ feda: e0f7.*
+ fedb: 04cd.*
+ fedc: e0fb.*
+ fedd: 04ce.*
+ fede: e0ff.*
+ fedf: 04cf.*
+ fee0: ee80.*
+ fee1: ee81.*
+ fee2: ee82.*
+ fee3: ee83.*
+ fee4: ee84.*
+ fee5: ee85.*
+ fee6: ee86.*
+ fee7: ee87.*
+ fee8: ee88.*
+ fee9: ee89.*
+ feea: ee8a.*
+ feeb: ee8b.*
+ feec: ee8c.*
+ feed: ee8d.*
+ feee: ee8e.*
+ feef: ee8f.*
+ fef0: ee90.*
+ fef1: ee91.*
+ fef2: ee92.*
+ fef3: ee93.*
+ fef4: ee94.*
+ fef5: ee95.*
+ fef6: ee96.*
+ fef7: ee97.*
+ fef8: ee98.*
+ fef9: ee99.*
+ fefa: ee9a.*
+ fefb: ee9b.*
+ fefc: ee9c.*
+ fefd: ee9d.*
+ fefe: ee9e.*
+ feff: ee9f.*
+ ff00: eea0.*
+ ff01: eea1.*
+ ff02: eea2.*
+ ff03: eea3.*
+ ff04: eea4.*
+ ff05: eea5.*
+ ff06: eea6.*
+ ff07: eea7.*
+ ff08: eea8.*
+ ff09: eea9.*
+ ff0a: eeaa.*
+ ff0b: eeab.*
+ ff0c: eeac.*
+ ff0d: eead.*
+ ff0e: eeae.*
+ ff0f: eeaf.*
+ ff10: eeb0.*
+ ff11: eeb1.*
+ ff12: eeb2.*
+ ff13: eeb3.*
+ ff14: eeb4.*
+ ff15: eeb5.*
+ ff16: eeb6.*
+ ff17: eeb7.*
+ ff18: eeb8.*
+ ff19: eeb9.*
+ ff1a: eeba.*
+ ff1b: eebb.*
+ ff1c: eebc.*
+ ff1d: eebd.*
+ ff1e: eebe.*
+ ff1f: eebf.*
+ ff20: eec0.*
+ ff21: eec1.*
+ ff22: eec2.*
+ ff23: eec3.*
+ ff24: eec4.*
+ ff25: eec5.*
+ ff26: eec6.*
+ ff27: eec7.*
+ ff28: eec8.*
+ ff29: eec9.*
+ ff2a: eeca.*
+ ff2b: eecb.*
+ ff2c: eecc.*
+ ff2d: eecd.*
+ ff2e: eece.*
+ ff2f: eecf.*
+ ff30: eed0.*
+ ff31: eed1.*
+ ff32: eed2.*
+ ff33: eed3.*
+ ff34: eed4.*
+ ff35: eed5.*
+ ff36: eed6.*
+ ff37: eed7.*
+ ff38: eed8.*
+ ff39: eed9.*
+ ff3a: eeda.*
+ ff3b: eedb.*
+ ff3c: eedc.*
+ ff3d: eedd.*
+ ff3e: eede.*
+ ff3f: eedf.*
+ ff40: eee0.*
+ ff41: eee1.*
+ ff42: eee2.*
+ ff43: eee3.*
+ ff44: eee4.*
+ ff45: eee5.*
+ ff46: eee6.*
+ ff47: eee7.*
+ ff48: eee8.*
+ ff49: eee9.*
+ ff4a: eeea.*
+ ff4b: eeeb.*
+ ff4c: eeec.*
+ ff4d: eeed.*
+ ff4e: eeee.*
+ ff4f: eeef.*
+ ff50: eef0.*
+ ff51: eef1.*
+ ff52: eef2.*
+ ff53: eef3.*
+ ff54: eef4.*
+ ff55: eef5.*
+ ff56: eef6.*
+ ff57: eef7.*
+ ff58: eef8.*
+ ff59: eef9.*
+ ff5a: eefa.*
+ ff5b: eefb.*
+ ff5c: eefc.*
+ ff5d: eefd.*
+ ff5e: eefe.*
+ ff5f: eeff.*
+ ff60: ee00.*
+ ff61: ee01.*
+ ff62: ee02.*
+ ff63: ee03.*
+ ff64: ee04.*
+ ff65: ee05.*
+ ff66: ee06.*
+ ff67: ee07.*
+ ff68: ee08.*
+ ff69: ee09.*
+ ff6a: ee0a.*
+ ff6b: ee0b.*
+ ff6c: ee0c.*
+ ff6d: ee0d.*
+ ff6e: ee0e.*
+ ff6f: ee0f.*
+ ff70: ee10.*
+ ff71: ee11.*
+ ff72: ee12.*
+ ff73: ee13.*
+ ff74: ee14.*
+ ff75: ee15.*
+ ff76: ee16.*
+ ff77: ee17.*
+ ff78: ee18.*
+ ff79: ee19.*
+ ff7a: ee1a.*
+ ff7b: ee1b.*
+ ff7c: ee1c.*
+ ff7d: ee1d.*
+ ff7e: ee1e.*
+ ff7f: ee1f.*
+ ff80: ee20.*
+ ff81: ee21.*
+ ff82: ee22.*
+ ff83: ee23.*
+ ff84: ee24.*
+ ff85: ee25.*
+ ff86: ee26.*
+ ff87: ee27.*
+ ff88: ee28.*
+ ff89: ee29.*
+ ff8a: ee2a.*
+ ff8b: ee2b.*
+ ff8c: ee2c.*
+ ff8d: ee2d.*
+ ff8e: ee2e.*
+ ff8f: ee2f.*
+ ff90: ee30.*
+ ff91: ee31.*
+ ff92: ee32.*
+ ff93: ee33.*
+ ff94: ee34.*
+ ff95: ee35.*
+ ff96: ee36.*
+ ff97: ee37.*
+ ff98: ee38.*
+ ff99: ee39.*
+ ff9a: ee3a.*
+ ff9b: ee3b.*
+ ff9c: ee3c.*
+ ff9d: ee3d.*
+ ff9e: ee3e.*
+ ff9f: ee3f.*
+ ffa0: ee40.*
+ ffa1: ee41.*
+ ffa2: ee42.*
+ ffa3: ee43.*
+ ffa4: ee44.*
+ ffa5: ee45.*
+ ffa6: ee46.*
+ ffa7: ee47.*
+ ffa8: ee48.*
+ ffa9: ee49.*
+ ffaa: ee4a.*
+ ffab: ee4b.*
+ ffac: ee4c.*
+ ffad: ee4d.*
+ ffae: ee4e.*
+ ffaf: ee4f.*
+ ffb0: ee50.*
+ ffb1: ee51.*
+ ffb2: ee52.*
+ ffb3: ee53.*
+ ffb4: ee54.*
+ ffb5: ee55.*
+ ffb6: ee56.*
+ ffb7: ee57.*
+ ffb8: ee58.*
+ ffb9: ee59.*
+ ffba: ee5a.*
+ ffbb: ee5b.*
+ ffbc: ee5c.*
+ ffbd: ee5d.*
+ ffbe: ee5e.*
+ ffbf: ee5f.*
+ ffc0: ee60.*
+ ffc1: ee61.*
+ ffc2: ee62.*
+ ffc3: ee63.*
+ ffc4: ee64.*
+ ffc5: ee65.*
+ ffc6: ee66.*
+ ffc7: ee67.*
+ ffc8: ee68.*
+ ffc9: ee69.*
+ ffca: ee6a.*
+ ffcb: ee6b.*
+ ffcc: ee6c.*
+ ffcd: ee6d.*
+ ffce: ee6e.*
+ ffcf: ee6f.*
+ ffd0: ee70.*
+ ffd1: ee71.*
+ ffd2: ee72.*
+ ffd3: ee73.*
+ ffd4: ee74.*
+ ffd5: ee75.*
+ ffd6: ee76.*
+ ffd7: ee77.*
+ ffd8: ee78.*
+ ffd9: ee79.*
+ ffda: ee7a.*
+ ffdb: ee7b.*
+ ffdc: ee7c.*
+ ffdd: ee7d.*
+ ffde: ee7e.*
+ ffdf: ee7f.*
+ ffe0: f4e4.*
+ ffe1: f6e4.*
+ ffe2: f495.*
+ ffe3: f495.*
+ ffe4: f4e5.*
+ ffe5: f6e5.*
+ ffe6: f495.*
+ ffe7: f495.*
+ ffe8: f4e1.*
+ ffe9: f6e1.*
+ ffea: f5e1.*
+ ffeb: f7c0.*
+ ffec: f7c1.*
+ ffed: f7c2.*
+ ffee: f7c3.*
+ ffef: f7c4.*
+ fff0: f7c5.*
+ fff1: f7c6.*
+ fff2: f7c7.*
+ fff3: f7c8.*
+ fff4: f7c9.*
+ fff5: f7ca.*
+ fff6: f7cb.*
+ fff7: f7cc.*
+ fff8: f7cd.*
+ fff9: f7ce.*
+ fffa: f7cf.*
+ fffb: f7d0.*
+ fffc: f7d1.*
+ fffd: f7d2.*
+ fffe: f7d3.*
+ ffff: f7d4.*
+ 10000: f7d5.*
+ 10001: f7d6.*
+ 10002: f7d7.*
+ 10003: f7d8.*
+ 10004: f7d9.*
+ 10005: f7da.*
+ 10006: f7db.*
+ 10007: f7dc.*
+ 10008: f7dd.*
+ 10009: f7de.*
+ 1000a: f7df.*
+ 1000b: f062.*
+ 1000c: 213c.*
+ 1000d: f162.*
+ 1000e: 213d.*
+ 1000f: 4472.*
+ 10010: 4573.*
+ 10011: 4480.*
+ 10012: 4580.*
+ 10013: 4488.*
+ 10014: 4588.*
+ 10015: 4490.*
+ 10016: 4590.*
+ 10017: 44a0.*
+ 10018: 45a0.*
+ 10019: 44a8.*
+ 1001a: 45a8.*
+ 1001b: 44b0.*
+ 1001c: 45b0.*
+ 1001d: 44b8.*
+ 1001e: 45b8.*
+ 1001f: 44c0.*
+ 10020: 45c0.*
+ 10021: 44c8.*
+ 10022: 45c8.*
+ 10023: 44d0.*
+ 10024: 45d0.*
+ 10025: 44d8.*
+ 10026: 45d8.*
+ 10027: 44e0.*
+ 10028: 213e.*
+ 10029: 45e0.*
+ 1002a: 213f.*
+ 1002b: 44e8.*
+ 1002c: 2140.*
+ 1002d: 45e8.*
+ 1002e: 2141.*
+ 1002f: 44f0.*
+ 10030: 2142.*
+ 10031: 45f0.*
+ 10032: 2143.*
+ 10033: 44f8.*
+ 10034: 2144.*
+ 10035: 45f8.*
+ 10036: 2145.*
+ 10037: 4481.*
+ 10038: 4581.*
+ 10039: 4489.*
+ 1003a: 4589.*
+ 1003b: 4491.*
+ 1003c: 4591.*
+ 1003d: 44a1.*
+ 1003e: 45a1.*
+ 1003f: 44a9.*
+ 10040: 45a9.*
+ 10041: 44b1.*
+ 10042: 45b1.*
+ 10043: 44b9.*
+ 10044: 45b9.*
+ 10045: 44c1.*
+ 10046: 45c1.*
+ 10047: 44c9.*
+ 10048: 45c9.*
+ 10049: 44d1.*
+ 1004a: 45d1.*
+ 1004b: 44d9.*
+ 1004c: 45d9.*
+ 1004d: 44e1.*
+ 1004e: 2146.*
+ 1004f: 45e1.*
+ 10050: 2147.*
+ 10051: 44e9.*
+ 10052: 2148.*
+ 10053: 45e9.*
+ 10054: 2149.*
+ 10055: 44f1.*
+ 10056: 214a.*
+ 10057: 45f1.*
+ 10058: 214b.*
+ 10059: 44f8.*
+ 1005a: 214c.*
+ 1005b: 45f8.*
+ 1005c: 214d.*
+ 1005d: 4482.*
+ 1005e: 4582.*
+ 1005f: 448a.*
+ 10060: 458a.*
+ 10061: 4492.*
+ 10062: 4592.*
+ 10063: 44a2.*
+ 10064: 45a2.*
+ 10065: 44aa.*
+ 10066: 45aa.*
+ 10067: 44b2.*
+ 10068: 45b2.*
+ 10069: 44ba.*
+ 1006a: 45ba.*
+ 1006b: 44c2.*
+ 1006c: 45c2.*
+ 1006d: 44ca.*
+ 1006e: 45ca.*
+ 1006f: 44d2.*
+ 10070: 45d2.*
+ 10071: 44da.*
+ 10072: 45da.*
+ 10073: 44e2.*
+ 10074: 214e.*
+ 10075: 45e2.*
+ 10076: 214f.*
+ 10077: 44ea.*
+ 10078: 2150.*
+ 10079: 45ea.*
+ 1007a: 2151.*
+ 1007b: 44f2.*
+ 1007c: 2152.*
+ 1007d: 45f2.*
+ 1007e: 2153.*
+ 1007f: 44f8.*
+ 10080: 2154.*
+ 10081: 45f8.*
+ 10082: 2155.*
+ 10083: 4483.*
+ 10084: 4583.*
+ 10085: 448b.*
+ 10086: 458b.*
+ 10087: 4493.*
+ 10088: 4593.*
+ 10089: 44a3.*
+ 1008a: 45a3.*
+ 1008b: 44ab.*
+ 1008c: 45ab.*
+ 1008d: 44b3.*
+ 1008e: 45b3.*
+ 1008f: 44bb.*
+ 10090: 45bb.*
+ 10091: 44c3.*
+ 10092: 45c3.*
+ 10093: 44cb.*
+ 10094: 45cb.*
+ 10095: 44d3.*
+ 10096: 45d3.*
+ 10097: 44db.*
+ 10098: 45db.*
+ 10099: 44e3.*
+ 1009a: 2156.*
+ 1009b: 45e3.*
+ 1009c: 2157.*
+ 1009d: 44eb.*
+ 1009e: 2158.*
+ 1009f: 45eb.*
+ 100a0: 2159.*
+ 100a1: 44f3.*
+ 100a2: 215a.*
+ 100a3: 45f3.*
+ 100a4: 215b.*
+ 100a5: 44f8.*
+ 100a6: 215c.*
+ 100a7: 45f8.*
+ 100a8: 215d.*
+ 100a9: 4484.*
+ 100aa: 4584.*
+ 100ab: 448c.*
+ 100ac: 458c.*
+ 100ad: 4494.*
+ 100ae: 4594.*
+ 100af: 44a4.*
+ 100b0: 45a4.*
+ 100b1: 44ac.*
+ 100b2: 45ac.*
+ 100b3: 44b4.*
+ 100b4: 45b4.*
+ 100b5: 44bc.*
+ 100b6: 45bc.*
+ 100b7: 44c4.*
+ 100b8: 45c4.*
+ 100b9: 44cc.*
+ 100ba: 45cc.*
+ 100bb: 44d4.*
+ 100bc: 45d4.*
+ 100bd: 44dc.*
+ 100be: 45dc.*
+ 100bf: 44e4.*
+ 100c0: 215e.*
+ 100c1: 45e4.*
+ 100c2: 215f.*
+ 100c3: 44ec.*
+ 100c4: 2160.*
+ 100c5: 45ec.*
+ 100c6: 2161.*
+ 100c7: 44f4.*
+ 100c8: 2162.*
+ 100c9: 45f4.*
+ 100ca: 2163.*
+ 100cb: 44f8.*
+ 100cc: 2164.*
+ 100cd: 45f8.*
+ 100ce: 2165.*
+ 100cf: 4485.*
+ 100d0: 4585.*
+ 100d1: 448d.*
+ 100d2: 458d.*
+ 100d3: 4495.*
+ 100d4: 4595.*
+ 100d5: 44a5.*
+ 100d6: 45a5.*
+ 100d7: 44ad.*
+ 100d8: 45ad.*
+ 100d9: 44b5.*
+ 100da: 45b5.*
+ 100db: 44bd.*
+ 100dc: 45bd.*
+ 100dd: 44c5.*
+ 100de: 45c5.*
+ 100df: 44cd.*
+ 100e0: 45cd.*
+ 100e1: 44d5.*
+ 100e2: 45d5.*
+ 100e3: 44dd.*
+ 100e4: 45dd.*
+ 100e5: 44e5.*
+ 100e6: 2166.*
+ 100e7: 45e5.*
+ 100e8: 2167.*
+ 100e9: 44ed.*
+ 100ea: 2168.*
+ 100eb: 45ed.*
+ 100ec: 2169.*
+ 100ed: 44f5.*
+ 100ee: 216a.*
+ 100ef: 45f5.*
+ 100f0: 216b.*
+ 100f1: 44f8.*
+ 100f2: 216c.*
+ 100f3: 45f8.*
+ 100f4: 216d.*
+ 100f5: 4486.*
+ 100f6: 4586.*
+ 100f7: 448e.*
+ 100f8: 458e.*
+ 100f9: 4496.*
+ 100fa: 4596.*
+ 100fb: 44a6.*
+ 100fc: 45a6.*
+ 100fd: 44ae.*
+ 100fe: 45ae.*
+ 100ff: 44b6.*
+ 10100: 45b6.*
+ 10101: 44be.*
+ 10102: 45be.*
+ 10103: 44c6.*
+ 10104: 45c6.*
+ 10105: 44ce.*
+ 10106: 45ce.*
+ 10107: 44d6.*
+ 10108: 45d6.*
+ 10109: 44de.*
+ 1010a: 45de.*
+ 1010b: 44e6.*
+ 1010c: 216e.*
+ 1010d: 45e6.*
+ 1010e: 216f.*
+ 1010f: 44ee.*
+ 10110: 2170.*
+ 10111: 45ee.*
+ 10112: 2171.*
+ 10113: 44f6.*
+ 10114: 2172.*
+ 10115: 45f6.*
+ 10116: 2173.*
+ 10117: 44f8.*
+ 10118: 2174.*
+ 10119: 45f8.*
+ 1011a: 2175.*
+ 1011b: 4487.*
+ 1011c: 4587.*
+ 1011d: 448f.*
+ 1011e: 458f.*
+ 1011f: 4497.*
+ 10120: 4597.*
+ 10121: 44a7.*
+ 10122: 45a7.*
+ 10123: 44af.*
+ 10124: 45af.*
+ 10125: 44b7.*
+ 10126: 45b7.*
+ 10127: 44bf.*
+ 10128: 45bf.*
+ 10129: 44c7.*
+ 1012a: 45c7.*
+ 1012b: 44cf.*
+ 1012c: 45cf.*
+ 1012d: 44d7.*
+ 1012e: 45d7.*
+ 1012f: 44df.*
+ 10130: 45df.*
+ 10131: 44e7.*
+ 10132: 2176.*
+ 10133: 45e7.*
+ 10134: 2177.*
+ 10135: 44ef.*
+ 10136: 2178.*
+ 10137: 45ef.*
+ 10138: 2179.*
+ 10139: 44f7.*
+ 1013a: 217a.*
+ 1013b: 45f7.*
+ 1013c: 217b.*
+ 1013d: 44f8.*
+ 1013e: 217c.*
+ 1013f: 45f8.*
+ 10140: 217d.*
+ 10141: 4874.*
+ 10142: 4975.*
+ 10143: 4880.*
+ 10144: 4980.*
+ 10145: 4888.*
+ 10146: 4988.*
+ 10147: 4890.*
+ 10148: 4990.*
+ 10149: 48a0.*
+ 1014a: 49a0.*
+ 1014b: 48a8.*
+ 1014c: 49a8.*
+ 1014d: 48b0.*
+ 1014e: 49b0.*
+ 1014f: 48b8.*
+ 10150: 49b8.*
+ 10151: 48c0.*
+ 10152: 49c0.*
+ 10153: 48c8.*
+ 10154: 49c8.*
+ 10155: 48d0.*
+ 10156: 49d0.*
+ 10157: 48d8.*
+ 10158: 49d8.*
+ 10159: 4881.*
+ 1015a: 4981.*
+ 1015b: 4889.*
+ 1015c: 4989.*
+ 1015d: 4891.*
+ 1015e: 4991.*
+ 1015f: 48a1.*
+ 10160: 49a1.*
+ 10161: 48a9.*
+ 10162: 49a9.*
+ 10163: 48b1.*
+ 10164: 49b1.*
+ 10165: 48b9.*
+ 10166: 49b9.*
+ 10167: 48c1.*
+ 10168: 49c1.*
+ 10169: 48c9.*
+ 1016a: 49c9.*
+ 1016b: 48d1.*
+ 1016c: 49d1.*
+ 1016d: 48d9.*
+ 1016e: 49d9.*
+ 1016f: 4882.*
+ 10170: 4982.*
+ 10171: 488a.*
+ 10172: 498a.*
+ 10173: 4892.*
+ 10174: 4992.*
+ 10175: 48a2.*
+ 10176: 49a2.*
+ 10177: 48aa.*
+ 10178: 49aa.*
+ 10179: 48b2.*
+ 1017a: 49b2.*
+ 1017b: 48ba.*
+ 1017c: 49ba.*
+ 1017d: 48c2.*
+ 1017e: 49c2.*
+ 1017f: 48ca.*
+ 10180: 49ca.*
+ 10181: 48d2.*
+ 10182: 49d2.*
+ 10183: 48da.*
+ 10184: 49da.*
+ 10185: 4883.*
+ 10186: 4983.*
+ 10187: 488b.*
+ 10188: 498b.*
+ 10189: 4893.*
+ 1018a: 4993.*
+ 1018b: 48a3.*
+ 1018c: 49a3.*
+ 1018d: 48ab.*
+ 1018e: 49ab.*
+ 1018f: 48b3.*
+ 10190: 49b3.*
+ 10191: 48bb.*
+ 10192: 49bb.*
+ 10193: 48c3.*
+ 10194: 49c3.*
+ 10195: 48cb.*
+ 10196: 49cb.*
+ 10197: 48d3.*
+ 10198: 49d3.*
+ 10199: 48db.*
+ 1019a: 49db.*
+ 1019b: 4884.*
+ 1019c: 4984.*
+ 1019d: 488c.*
+ 1019e: 498c.*
+ 1019f: 4894.*
+ 101a0: 4994.*
+ 101a1: 48a4.*
+ 101a2: 49a4.*
+ 101a3: 48ac.*
+ 101a4: 49ac.*
+ 101a5: 48b4.*
+ 101a6: 49b4.*
+ 101a7: 48bc.*
+ 101a8: 49bc.*
+ 101a9: 48c4.*
+ 101aa: 49c4.*
+ 101ab: 48cc.*
+ 101ac: 49cc.*
+ 101ad: 48d4.*
+ 101ae: 49d4.*
+ 101af: 48dc.*
+ 101b0: 49dc.*
+ 101b1: 4885.*
+ 101b2: 4985.*
+ 101b3: 488d.*
+ 101b4: 498d.*
+ 101b5: 4895.*
+ 101b6: 4995.*
+ 101b7: 48a5.*
+ 101b8: 49a5.*
+ 101b9: 48ad.*
+ 101ba: 49ad.*
+ 101bb: 48b5.*
+ 101bc: 49b5.*
+ 101bd: 48bd.*
+ 101be: 49bd.*
+ 101bf: 48c5.*
+ 101c0: 49c5.*
+ 101c1: 48cd.*
+ 101c2: 49cd.*
+ 101c3: 48d5.*
+ 101c4: 49d5.*
+ 101c5: 48dd.*
+ 101c6: 49dd.*
+ 101c7: 4886.*
+ 101c8: 4986.*
+ 101c9: 488e.*
+ 101ca: 498e.*
+ 101cb: 4896.*
+ 101cc: 4996.*
+ 101cd: 48a6.*
+ 101ce: 49a6.*
+ 101cf: 48ae.*
+ 101d0: 49ae.*
+ 101d1: 48b6.*
+ 101d2: 49b6.*
+ 101d3: 48be.*
+ 101d4: 49be.*
+ 101d5: 48c6.*
+ 101d6: 49c6.*
+ 101d7: 48ce.*
+ 101d8: 49ce.*
+ 101d9: 48d6.*
+ 101da: 49d6.*
+ 101db: 48de.*
+ 101dc: 49de.*
+ 101dd: 4887.*
+ 101de: 4987.*
+ 101df: 488f.*
+ 101e0: 498f.*
+ 101e1: 4897.*
+ 101e2: 4997.*
+ 101e3: 48a7.*
+ 101e4: 49a7.*
+ 101e5: 48af.*
+ 101e6: 49af.*
+ 101e7: 48b7.*
+ 101e8: 49b7.*
+ 101e9: 48bf.*
+ 101ea: 49bf.*
+ 101eb: 48c7.*
+ 101ec: 49c7.*
+ 101ed: 48cf.*
+ 101ee: 49cf.*
+ 101ef: 48d7.*
+ 101f0: 49d7.*
+ 101f1: 48df.*
+ 101f2: 49df.*
+ 101f3: 1676.*
+ 101f4: 1777.*
+ 101f5: 1680.*
+ 101f6: 1780.*
+ 101f7: 1688.*
+ 101f8: 1788.*
+ 101f9: 1690.*
+ 101fa: 1790.*
+ 101fb: 16a0.*
+ 101fc: 17a0.*
+ 101fd: 16a8.*
+ 101fe: 17a8.*
+ 101ff: 16b0.*
+ 10200: 17b0.*
+ 10201: 16b8.*
+ 10202: 17b8.*
+ 10203: 16c0.*
+ 10204: 17c0.*
+ 10205: 16c8.*
+ 10206: 17c8.*
+ 10207: 16d0.*
+ 10208: 17d0.*
+ 10209: 16d8.*
+ 1020a: 17d8.*
+ 1020b: 16e0.*
+ 1020c: 217e.*
+ 1020d: 17e0.*
+ 1020e: 217f.*
+ 1020f: 16e8.*
+ 10210: 2180.*
+ 10211: 17e8.*
+ 10212: 2181.*
+ 10213: 16f0.*
+ 10214: 2182.*
+ 10215: 17f0.*
+ 10216: 2183.*
+ 10217: 16f8.*
+ 10218: 2184.*
+ 10219: 17f8.*
+ 1021a: 2185.*
+ 1021b: 1681.*
+ 1021c: 1781.*
+ 1021d: 1689.*
+ 1021e: 1789.*
+ 1021f: 1691.*
+ 10220: 1791.*
+ 10221: 16a1.*
+ 10222: 17a1.*
+ 10223: 16a9.*
+ 10224: 17a9.*
+ 10225: 16b1.*
+ 10226: 17b1.*
+ 10227: 16b9.*
+ 10228: 17b9.*
+ 10229: 16c1.*
+ 1022a: 17c1.*
+ 1022b: 16c9.*
+ 1022c: 17c9.*
+ 1022d: 16d1.*
+ 1022e: 17d1.*
+ 1022f: 16d9.*
+ 10230: 17d9.*
+ 10231: 16e1.*
+ 10232: 2186.*
+ 10233: 17e1.*
+ 10234: 2187.*
+ 10235: 16e9.*
+ 10236: 2188.*
+ 10237: 17e9.*
+ 10238: 2189.*
+ 10239: 16f1.*
+ 1023a: 218a.*
+ 1023b: 17f1.*
+ 1023c: 218b.*
+ 1023d: 16f8.*
+ 1023e: 218c.*
+ 1023f: 17f8.*
+ 10240: 218d.*
+ 10241: 1682.*
+ 10242: 1782.*
+ 10243: 168a.*
+ 10244: 178a.*
+ 10245: 1692.*
+ 10246: 1792.*
+ 10247: 16a2.*
+ 10248: 17a2.*
+ 10249: 16aa.*
+ 1024a: 17aa.*
+ 1024b: 16b2.*
+ 1024c: 17b2.*
+ 1024d: 16ba.*
+ 1024e: 17ba.*
+ 1024f: 16c2.*
+ 10250: 17c2.*
+ 10251: 16ca.*
+ 10252: 17ca.*
+ 10253: 16d2.*
+ 10254: 17d2.*
+ 10255: 16da.*
+ 10256: 17da.*
+ 10257: 16e2.*
+ 10258: 218e.*
+ 10259: 17e2.*
+ 1025a: 218f.*
+ 1025b: 16ea.*
+ 1025c: 2190.*
+ 1025d: 17ea.*
+ 1025e: 2191.*
+ 1025f: 16f2.*
+ 10260: 2192.*
+ 10261: 17f2.*
+ 10262: 2193.*
+ 10263: 16f8.*
+ 10264: 2194.*
+ 10265: 17f8.*
+ 10266: 2195.*
+ 10267: 1683.*
+ 10268: 1783.*
+ 10269: 168b.*
+ 1026a: 178b.*
+ 1026b: 1693.*
+ 1026c: 1793.*
+ 1026d: 16a3.*
+ 1026e: 17a3.*
+ 1026f: 16ab.*
+ 10270: 17ab.*
+ 10271: 16b3.*
+ 10272: 17b3.*
+ 10273: 16bb.*
+ 10274: 17bb.*
+ 10275: 16c3.*
+ 10276: 17c3.*
+ 10277: 16cb.*
+ 10278: 17cb.*
+ 10279: 16d3.*
+ 1027a: 17d3.*
+ 1027b: 16db.*
+ 1027c: 17db.*
+ 1027d: 16e3.*
+ 1027e: 2196.*
+ 1027f: 17e3.*
+ 10280: 2197.*
+ 10281: 16eb.*
+ 10282: 2198.*
+ 10283: 17eb.*
+ 10284: 2199.*
+ 10285: 16f3.*
+ 10286: 219a.*
+ 10287: 17f3.*
+ 10288: 219b.*
+ 10289: 16f8.*
+ 1028a: 219c.*
+ 1028b: 17f8.*
+ 1028c: 219d.*
+ 1028d: 1684.*
+ 1028e: 1784.*
+ 1028f: 168c.*
+ 10290: 178c.*
+ 10291: 1694.*
+ 10292: 1794.*
+ 10293: 16a4.*
+ 10294: 17a4.*
+ 10295: 16ac.*
+ 10296: 17ac.*
+ 10297: 16b4.*
+ 10298: 17b4.*
+ 10299: 16bc.*
+ 1029a: 17bc.*
+ 1029b: 16c4.*
+ 1029c: 17c4.*
+ 1029d: 16cc.*
+ 1029e: 17cc.*
+ 1029f: 16d4.*
+ 102a0: 17d4.*
+ 102a1: 16dc.*
+ 102a2: 17dc.*
+ 102a3: 16e4.*
+ 102a4: 219e.*
+ 102a5: 17e4.*
+ 102a6: 219f.*
+ 102a7: 16ec.*
+ 102a8: 21a0.*
+ 102a9: 17ec.*
+ 102aa: 21a1.*
+ 102ab: 16f4.*
+ 102ac: 21a2.*
+ 102ad: 17f4.*
+ 102ae: 21a3.*
+ 102af: 16f8.*
+ 102b0: 21a4.*
+ 102b1: 17f8.*
+ 102b2: 21a5.*
+ 102b3: 1685.*
+ 102b4: 1785.*
+ 102b5: 168d.*
+ 102b6: 178d.*
+ 102b7: 1695.*
+ 102b8: 1795.*
+ 102b9: 16a5.*
+ 102ba: 17a5.*
+ 102bb: 16ad.*
+ 102bc: 17ad.*
+ 102bd: 16b5.*
+ 102be: 17b5.*
+ 102bf: 16bd.*
+ 102c0: 17bd.*
+ 102c1: 16c5.*
+ 102c2: 17c5.*
+ 102c3: 16cd.*
+ 102c4: 17cd.*
+ 102c5: 16d5.*
+ 102c6: 17d5.*
+ 102c7: 16dd.*
+ 102c8: 17dd.*
+ 102c9: 16e5.*
+ 102ca: 21a6.*
+ 102cb: 17e5.*
+ 102cc: 21a7.*
+ 102cd: 16ed.*
+ 102ce: 21a8.*
+ 102cf: 17ed.*
+ 102d0: 21a9.*
+ 102d1: 16f5.*
+ 102d2: 21aa.*
+ 102d3: 17f5.*
+ 102d4: 21ab.*
+ 102d5: 16f8.*
+ 102d6: 21ac.*
+ 102d7: 17f8.*
+ 102d8: 21ad.*
+ 102d9: 1686.*
+ 102da: 1786.*
+ 102db: 168e.*
+ 102dc: 178e.*
+ 102dd: 1696.*
+ 102de: 1796.*
+ 102df: 16a6.*
+ 102e0: 17a6.*
+ 102e1: 16ae.*
+ 102e2: 17ae.*
+ 102e3: 16b6.*
+ 102e4: 17b6.*
+ 102e5: 16be.*
+ 102e6: 17be.*
+ 102e7: 16c6.*
+ 102e8: 17c6.*
+ 102e9: 16ce.*
+ 102ea: 17ce.*
+ 102eb: 16d6.*
+ 102ec: 17d6.*
+ 102ed: 16de.*
+ 102ee: 17de.*
+ 102ef: 16e6.*
+ 102f0: 21ae.*
+ 102f1: 17e6.*
+ 102f2: 21af.*
+ 102f3: 16ee.*
+ 102f4: 21b0.*
+ 102f5: 17ee.*
+ 102f6: 21b1.*
+ 102f7: 16f6.*
+ 102f8: 21b2.*
+ 102f9: 17f6.*
+ 102fa: 21b3.*
+ 102fb: 16f8.*
+ 102fc: 21b4.*
+ 102fd: 17f8.*
+ 102fe: 21b5.*
+ 102ff: 1687.*
+ 10300: 1787.*
+ 10301: 168f.*
+ 10302: 178f.*
+ 10303: 1697.*
+ 10304: 1797.*
+ 10305: 16a7.*
+ 10306: 17a7.*
+ 10307: 16af.*
+ 10308: 17af.*
+ 10309: 16b7.*
+ 1030a: 17b7.*
+ 1030b: 16bf.*
+ 1030c: 17bf.*
+ 1030d: 16c7.*
+ 1030e: 17c7.*
+ 1030f: 16cf.*
+ 10310: 17cf.*
+ 10311: 16d7.*
+ 10312: 17d7.*
+ 10313: 16df.*
+ 10314: 17df.*
+ 10315: 16e7.*
+ 10316: 21b6.*
+ 10317: 17e7.*
+ 10318: 21b7.*
+ 10319: 16ef.*
+ 1031a: 21b8.*
+ 1031b: 17ef.*
+ 1031c: 21b9.*
+ 1031d: 16f7.*
+ 1031e: 21ba.*
+ 1031f: 17f7.*
+ 10320: 21bb.*
+ 10321: 16f8.*
+ 10322: 21bc.*
+ 10323: 17f8.*
+ 10324: 21bd.*
+ 10325: 1278.*
+ 10326: 1379.*
+ 10327: 1280.*
+ 10328: 1380.*
+ 10329: 1288.*
+ 1032a: 1388.*
+ 1032b: 1290.*
+ 1032c: 1390.*
+ 1032d: 12a0.*
+ 1032e: 13a0.*
+ 1032f: 12a8.*
+ 10330: 13a8.*
+ 10331: 12b0.*
+ 10332: 13b0.*
+ 10333: 12b8.*
+ 10334: 13b8.*
+ 10335: 12c0.*
+ 10336: 13c0.*
+ 10337: 12c8.*
+ 10338: 13c8.*
+ 10339: 12d0.*
+ 1033a: 13d0.*
+ 1033b: 12d8.*
+ 1033c: 13d8.*
+ 1033d: 12e0.*
+ 1033e: 21be.*
+ 1033f: 13e0.*
+ 10340: 21bf.*
+ 10341: 12e8.*
+ 10342: 21c0.*
+ 10343: 13e8.*
+ 10344: 21c1.*
+ 10345: 12f0.*
+ 10346: 21c2.*
+ 10347: 13f0.*
+ 10348: 21c3.*
+ 10349: 12f8.*
+ 1034a: 21c4.*
+ 1034b: 13f8.*
+ 1034c: 21c5.*
+ 1034d: 1281.*
+ 1034e: 1381.*
+ 1034f: 1289.*
+ 10350: 1389.*
+ 10351: 1291.*
+ 10352: 1391.*
+ 10353: 12a1.*
+ 10354: 13a1.*
+ 10355: 12a9.*
+ 10356: 13a9.*
+ 10357: 12b1.*
+ 10358: 13b1.*
+ 10359: 12b9.*
+ 1035a: 13b9.*
+ 1035b: 12c1.*
+ 1035c: 13c1.*
+ 1035d: 12c9.*
+ 1035e: 13c9.*
+ 1035f: 12d1.*
+ 10360: 13d1.*
+ 10361: 12d9.*
+ 10362: 13d9.*
+ 10363: 12e1.*
+ 10364: 21c6.*
+ 10365: 13e1.*
+ 10366: 21c7.*
+ 10367: 12e9.*
+ 10368: 21c8.*
+ 10369: 13e9.*
+ 1036a: 21c9.*
+ 1036b: 12f1.*
+ 1036c: 21ca.*
+ 1036d: 13f1.*
+ 1036e: 21cb.*
+ 1036f: 12f8.*
+ 10370: 21cc.*
+ 10371: 13f8.*
+ 10372: 21cd.*
+ 10373: 1282.*
+ 10374: 1382.*
+ 10375: 128a.*
+ 10376: 138a.*
+ 10377: 1292.*
+ 10378: 1392.*
+ 10379: 12a2.*
+ 1037a: 13a2.*
+ 1037b: 12aa.*
+ 1037c: 13aa.*
+ 1037d: 12b2.*
+ 1037e: 13b2.*
+ 1037f: 12ba.*
+ 10380: 13ba.*
+ 10381: 12c2.*
+ 10382: 13c2.*
+ 10383: 12ca.*
+ 10384: 13ca.*
+ 10385: 12d2.*
+ 10386: 13d2.*
+ 10387: 12da.*
+ 10388: 13da.*
+ 10389: 12e2.*
+ 1038a: 21ce.*
+ 1038b: 13e2.*
+ 1038c: 21cf.*
+ 1038d: 12ea.*
+ 1038e: 21d0.*
+ 1038f: 13ea.*
+ 10390: 21d1.*
+ 10391: 12f2.*
+ 10392: 21d2.*
+ 10393: 13f2.*
+ 10394: 21d3.*
+ 10395: 12f8.*
+ 10396: 21d4.*
+ 10397: 13f8.*
+ 10398: 21d5.*
+ 10399: 1283.*
+ 1039a: 1383.*
+ 1039b: 128b.*
+ 1039c: 138b.*
+ 1039d: 1293.*
+ 1039e: 1393.*
+ 1039f: 12a3.*
+ 103a0: 13a3.*
+ 103a1: 12ab.*
+ 103a2: 13ab.*
+ 103a3: 12b3.*
+ 103a4: 13b3.*
+ 103a5: 12bb.*
+ 103a6: 13bb.*
+ 103a7: 12c3.*
+ 103a8: 13c3.*
+ 103a9: 12cb.*
+ 103aa: 13cb.*
+ 103ab: 12d3.*
+ 103ac: 13d3.*
+ 103ad: 12db.*
+ 103ae: 13db.*
+ 103af: 12e3.*
+ 103b0: 21d6.*
+ 103b1: 13e3.*
+ 103b2: 21d7.*
+ 103b3: 12eb.*
+ 103b4: 21d8.*
+ 103b5: 13eb.*
+ 103b6: 21d9.*
+ 103b7: 12f3.*
+ 103b8: 21da.*
+ 103b9: 13f3.*
+ 103ba: 21db.*
+ 103bb: 12f8.*
+ 103bc: 21dc.*
+ 103bd: 13f8.*
+ 103be: 21dd.*
+ 103bf: 1284.*
+ 103c0: 1384.*
+ 103c1: 128c.*
+ 103c2: 138c.*
+ 103c3: 1294.*
+ 103c4: 1394.*
+ 103c5: 12a4.*
+ 103c6: 13a4.*
+ 103c7: 12ac.*
+ 103c8: 13ac.*
+ 103c9: 12b4.*
+ 103ca: 13b4.*
+ 103cb: 12bc.*
+ 103cc: 13bc.*
+ 103cd: 12c4.*
+ 103ce: 13c4.*
+ 103cf: 12cc.*
+ 103d0: 13cc.*
+ 103d1: 12d4.*
+ 103d2: 13d4.*
+ 103d3: 12dc.*
+ 103d4: 13dc.*
+ 103d5: 12e4.*
+ 103d6: 21de.*
+ 103d7: 13e4.*
+ 103d8: 21df.*
+ 103d9: 12ec.*
+ 103da: 21e0.*
+ 103db: 13ec.*
+ 103dc: 21e1.*
+ 103dd: 12f4.*
+ 103de: 21e2.*
+ 103df: 13f4.*
+ 103e0: 21e3.*
+ 103e1: 12f8.*
+ 103e2: 21e4.*
+ 103e3: 13f8.*
+ 103e4: 21e5.*
+ 103e5: 1285.*
+ 103e6: 1385.*
+ 103e7: 128d.*
+ 103e8: 138d.*
+ 103e9: 1295.*
+ 103ea: 1395.*
+ 103eb: 12a5.*
+ 103ec: 13a5.*
+ 103ed: 12ad.*
+ 103ee: 13ad.*
+ 103ef: 12b5.*
+ 103f0: 13b5.*
+ 103f1: 12bd.*
+ 103f2: 13bd.*
+ 103f3: 12c5.*
+ 103f4: 13c5.*
+ 103f5: 12cd.*
+ 103f6: 13cd.*
+ 103f7: 12d5.*
+ 103f8: 13d5.*
+ 103f9: 12dd.*
+ 103fa: 13dd.*
+ 103fb: 12e5.*
+ 103fc: 21e6.*
+ 103fd: 13e5.*
+ 103fe: 21e7.*
+ 103ff: 12ed.*
+ 10400: 21e8.*
+ 10401: 13ed.*
+ 10402: 21e9.*
+ 10403: 12f5.*
+ 10404: 21ea.*
+ 10405: 13f5.*
+ 10406: 21eb.*
+ 10407: 12f8.*
+ 10408: 21ec.*
+ 10409: 13f8.*
+ 1040a: 21ed.*
+ 1040b: 1286.*
+ 1040c: 1386.*
+ 1040d: 128e.*
+ 1040e: 138e.*
+ 1040f: 1296.*
+ 10410: 1396.*
+ 10411: 12a6.*
+ 10412: 13a6.*
+ 10413: 12ae.*
+ 10414: 13ae.*
+ 10415: 12b6.*
+ 10416: 13b6.*
+ 10417: 12be.*
+ 10418: 13be.*
+ 10419: 12c6.*
+ 1041a: 13c6.*
+ 1041b: 12ce.*
+ 1041c: 13ce.*
+ 1041d: 12d6.*
+ 1041e: 13d6.*
+ 1041f: 12de.*
+ 10420: 13de.*
+ 10421: 12e6.*
+ 10422: 21ee.*
+ 10423: 13e6.*
+ 10424: 21ef.*
+ 10425: 12ee.*
+ 10426: 21f0.*
+ 10427: 13ee.*
+ 10428: 21f1.*
+ 10429: 12f6.*
+ 1042a: 21f2.*
+ 1042b: 13f6.*
+ 1042c: 21f3.*
+ 1042d: 12f8.*
+ 1042e: 21f4.*
+ 1042f: 13f8.*
+ 10430: 21f5.*
+ 10431: 1287.*
+ 10432: 1387.*
+ 10433: 128f.*
+ 10434: 138f.*
+ 10435: 1297.*
+ 10436: 1397.*
+ 10437: 12a7.*
+ 10438: 13a7.*
+ 10439: 12af.*
+ 1043a: 13af.*
+ 1043b: 12b7.*
+ 1043c: 13b7.*
+ 1043d: 12bf.*
+ 1043e: 13bf.*
+ 1043f: 12c7.*
+ 10440: 13c7.*
+ 10441: 12cf.*
+ 10442: 13cf.*
+ 10443: 12d7.*
+ 10444: 13d7.*
+ 10445: 12df.*
+ 10446: 13df.*
+ 10447: 12e7.*
+ 10448: 21f6.*
+ 10449: 13e7.*
+ 1044a: 21f7.*
+ 1044b: 12ef.*
+ 1044c: 21f8.*
+ 1044d: 13ef.*
+ 1044e: 21f9.*
+ 1044f: 12f7.*
+ 10450: 21fa.*
+ 10451: 13f7.*
+ 10452: 21fb.*
+ 10453: 12f8.*
+ 10454: 21fc.*
+ 10455: 13f8.*
+ 10456: 21fd.*
+ 10457: a800.*
+ 10458: a800.*
+ 10459: a804.*
+ 1045a: a804.*
+ 1045b: a808.*
+ 1045c: a808.*
+ 1045d: a80c.*
+ 1045e: a80c.*
+ 1045f: a801.*
+ 10460: a801.*
+ 10461: a805.*
+ 10462: a805.*
+ 10463: a809.*
+ 10464: a809.*
+ 10465: a80d.*
+ 10466: a80d.*
+ 10467: a802.*
+ 10468: a802.*
+ 10469: a806.*
+ 1046a: a806.*
+ 1046b: a80a.*
+ 1046c: a80a.*
+ 1046d: a80e.*
+ 1046e: a80e.*
+ 1046f: a803.*
+ 10470: a803.*
+ 10471: a807.*
+ 10472: a807.*
+ 10473: a80b.*
+ 10474: a80b.*
+ 10475: a80f.*
+ 10476: a80f.*
+ 10477: a900.*
+ 10478: a900.*
+ 10479: a904.*
+ 1047a: a904.*
+ 1047b: a908.*
+ 1047c: a908.*
+ 1047d: a90c.*
+ 1047e: a90c.*
+ 1047f: a901.*
+ 10480: a901.*
+ 10481: a905.*
+ 10482: a905.*
+ 10483: a909.*
+ 10484: a909.*
+ 10485: a90d.*
+ 10486: a90d.*
+ 10487: a902.*
+ 10488: a902.*
+ 10489: a906.*
+ 1048a: a906.*
+ 1048b: a90a.*
+ 1048c: a90a.*
+ 1048d: a90e.*
+ 1048e: a90e.*
+ 1048f: a903.*
+ 10490: a903.*
+ 10491: a907.*
+ 10492: a907.*
+ 10493: a90b.*
+ 10494: a90b.*
+ 10495: a90f.*
+ 10496: a90f.*
+ 10497: a840.*
+ 10498: a840.*
+ 10499: a844.*
+ 1049a: a844.*
+ 1049b: a848.*
+ 1049c: a848.*
+ 1049d: a84c.*
+ 1049e: a84c.*
+ 1049f: a841.*
+ 104a0: a841.*
+ 104a1: a845.*
+ 104a2: a845.*
+ 104a3: a849.*
+ 104a4: a849.*
+ 104a5: a84d.*
+ 104a6: a84d.*
+ 104a7: a842.*
+ 104a8: a842.*
+ 104a9: a846.*
+ 104aa: a846.*
+ 104ab: a84a.*
+ 104ac: a84a.*
+ 104ad: a84e.*
+ 104ae: a84e.*
+ 104af: a843.*
+ 104b0: a843.*
+ 104b1: a847.*
+ 104b2: a847.*
+ 104b3: a84b.*
+ 104b4: a84b.*
+ 104b5: a84f.*
+ 104b6: a84f.*
+ 104b7: a940.*
+ 104b8: a940.*
+ 104b9: a944.*
+ 104ba: a944.*
+ 104bb: a948.*
+ 104bc: a948.*
+ 104bd: a94c.*
+ 104be: a94c.*
+ 104bf: a941.*
+ 104c0: a941.*
+ 104c1: a945.*
+ 104c2: a945.*
+ 104c3: a949.*
+ 104c4: a949.*
+ 104c5: a94d.*
+ 104c6: a94d.*
+ 104c7: a942.*
+ 104c8: a942.*
+ 104c9: a946.*
+ 104ca: a946.*
+ 104cb: a94a.*
+ 104cc: a94a.*
+ 104cd: a94e.*
+ 104ce: a94e.*
+ 104cf: a943.*
+ 104d0: a943.*
+ 104d1: a947.*
+ 104d2: a947.*
+ 104d3: a94b.*
+ 104d4: a94b.*
+ 104d5: a94f.*
+ 104d6: a94f.*
+ 104d7: a880.*
+ 104d8: a880.*
+ 104d9: a884.*
+ 104da: a884.*
+ 104db: a888.*
+ 104dc: a888.*
+ 104dd: a88c.*
+ 104de: a88c.*
+ 104df: a881.*
+ 104e0: a881.*
+ 104e1: a885.*
+ 104e2: a885.*
+ 104e3: a889.*
+ 104e4: a889.*
+ 104e5: a88d.*
+ 104e6: a88d.*
+ 104e7: a882.*
+ 104e8: a882.*
+ 104e9: a886.*
+ 104ea: a886.*
+ 104eb: a88a.*
+ 104ec: a88a.*
+ 104ed: a88e.*
+ 104ee: a88e.*
+ 104ef: a883.*
+ 104f0: a883.*
+ 104f1: a887.*
+ 104f2: a887.*
+ 104f3: a88b.*
+ 104f4: a88b.*
+ 104f5: a88f.*
+ 104f6: a88f.*
+ 104f7: a980.*
+ 104f8: a980.*
+ 104f9: a984.*
+ 104fa: a984.*
+ 104fb: a988.*
+ 104fc: a988.*
+ 104fd: a98c.*
+ 104fe: a98c.*
+ 104ff: a981.*
+ 10500: a981.*
+ 10501: a985.*
+ 10502: a985.*
+ 10503: a989.*
+ 10504: a989.*
+ 10505: a98d.*
+ 10506: a98d.*
+ 10507: a982.*
+ 10508: a982.*
+ 10509: a986.*
+ 1050a: a986.*
+ 1050b: a98a.*
+ 1050c: a98a.*
+ 1050d: a98e.*
+ 1050e: a98e.*
+ 1050f: a983.*
+ 10510: a983.*
+ 10511: a987.*
+ 10512: a987.*
+ 10513: a98b.*
+ 10514: a98b.*
+ 10515: a98f.*
+ 10516: a98f.*
+ 10517: a8c0.*
+ 10518: a8c0.*
+ 10519: a8c4.*
+ 1051a: a8c4.*
+ 1051b: a8c8.*
+ 1051c: a8c8.*
+ 1051d: a8cc.*
+ 1051e: a8cc.*
+ 1051f: a8c1.*
+ 10520: a8c1.*
+ 10521: a8c5.*
+ 10522: a8c5.*
+ 10523: a8c9.*
+ 10524: a8c9.*
+ 10525: a8cd.*
+ 10526: a8cd.*
+ 10527: a8c2.*
+ 10528: a8c2.*
+ 10529: a8c6.*
+ 1052a: a8c6.*
+ 1052b: a8ca.*
+ 1052c: a8ca.*
+ 1052d: a8ce.*
+ 1052e: a8ce.*
+ 1052f: a8c3.*
+ 10530: a8c3.*
+ 10531: a8c7.*
+ 10532: a8c7.*
+ 10533: a8cb.*
+ 10534: a8cb.*
+ 10535: a8cf.*
+ 10536: a8cf.*
+ 10537: a9c0.*
+ 10538: a9c0.*
+ 10539: a9c4.*
+ 1053a: a9c4.*
+ 1053b: a9c8.*
+ 1053c: a9c8.*
+ 1053d: a9cc.*
+ 1053e: a9cc.*
+ 1053f: a9c1.*
+ 10540: a9c1.*
+ 10541: a9c5.*
+ 10542: a9c5.*
+ 10543: a9c9.*
+ 10544: a9c9.*
+ 10545: a9cd.*
+ 10546: a9cd.*
+ 10547: a9c2.*
+ 10548: a9c2.*
+ 10549: a9c6.*
+ 1054a: a9c6.*
+ 1054b: a9ca.*
+ 1054c: a9ca.*
+ 1054d: a9ce.*
+ 1054e: a9ce.*
+ 1054f: a9c3.*
+ 10550: a9c3.*
+ 10551: a9c7.*
+ 10552: a9c7.*
+ 10553: a9cb.*
+ 10554: a9cb.*
+ 10555: a9cf.*
+ 10556: a9cf.*
+ 10557: a810.*
+ 10558: a810.*
+ 10559: a814.*
+ 1055a: a814.*
+ 1055b: a818.*
+ 1055c: a818.*
+ 1055d: a81c.*
+ 1055e: a81c.*
+ 1055f: a811.*
+ 10560: a811.*
+ 10561: a815.*
+ 10562: a815.*
+ 10563: a819.*
+ 10564: a819.*
+ 10565: a81d.*
+ 10566: a81d.*
+ 10567: a812.*
+ 10568: a812.*
+ 10569: a816.*
+ 1056a: a816.*
+ 1056b: a81a.*
+ 1056c: a81a.*
+ 1056d: a81e.*
+ 1056e: a81e.*
+ 1056f: a813.*
+ 10570: a813.*
+ 10571: a817.*
+ 10572: a817.*
+ 10573: a81b.*
+ 10574: a81b.*
+ 10575: a81f.*
+ 10576: a81f.*
+ 10577: a910.*
+ 10578: a910.*
+ 10579: a914.*
+ 1057a: a914.*
+ 1057b: a918.*
+ 1057c: a918.*
+ 1057d: a91c.*
+ 1057e: a91c.*
+ 1057f: a911.*
+ 10580: a911.*
+ 10581: a915.*
+ 10582: a915.*
+ 10583: a919.*
+ 10584: a919.*
+ 10585: a91d.*
+ 10586: a91d.*
+ 10587: a912.*
+ 10588: a912.*
+ 10589: a916.*
+ 1058a: a916.*
+ 1058b: a91a.*
+ 1058c: a91a.*
+ 1058d: a91e.*
+ 1058e: a91e.*
+ 1058f: a913.*
+ 10590: a913.*
+ 10591: a917.*
+ 10592: a917.*
+ 10593: a91b.*
+ 10594: a91b.*
+ 10595: a91f.*
+ 10596: a91f.*
+ 10597: a850.*
+ 10598: a850.*
+ 10599: a854.*
+ 1059a: a854.*
+ 1059b: a858.*
+ 1059c: a858.*
+ 1059d: a85c.*
+ 1059e: a85c.*
+ 1059f: a851.*
+ 105a0: a851.*
+ 105a1: a855.*
+ 105a2: a855.*
+ 105a3: a859.*
+ 105a4: a859.*
+ 105a5: a85d.*
+ 105a6: a85d.*
+ 105a7: a852.*
+ 105a8: a852.*
+ 105a9: a856.*
+ 105aa: a856.*
+ 105ab: a85a.*
+ 105ac: a85a.*
+ 105ad: a85e.*
+ 105ae: a85e.*
+ 105af: a853.*
+ 105b0: a853.*
+ 105b1: a857.*
+ 105b2: a857.*
+ 105b3: a85b.*
+ 105b4: a85b.*
+ 105b5: a85f.*
+ 105b6: a85f.*
+ 105b7: a950.*
+ 105b8: a950.*
+ 105b9: a954.*
+ 105ba: a954.*
+ 105bb: a958.*
+ 105bc: a958.*
+ 105bd: a95c.*
+ 105be: a95c.*
+ 105bf: a951.*
+ 105c0: a951.*
+ 105c1: a955.*
+ 105c2: a955.*
+ 105c3: a959.*
+ 105c4: a959.*
+ 105c5: a95d.*
+ 105c6: a95d.*
+ 105c7: a952.*
+ 105c8: a952.*
+ 105c9: a956.*
+ 105ca: a956.*
+ 105cb: a95a.*
+ 105cc: a95a.*
+ 105cd: a95e.*
+ 105ce: a95e.*
+ 105cf: a953.*
+ 105d0: a953.*
+ 105d1: a957.*
+ 105d2: a957.*
+ 105d3: a95b.*
+ 105d4: a95b.*
+ 105d5: a95f.*
+ 105d6: a95f.*
+ 105d7: a890.*
+ 105d8: a890.*
+ 105d9: a894.*
+ 105da: a894.*
+ 105db: a898.*
+ 105dc: a898.*
+ 105dd: a89c.*
+ 105de: a89c.*
+ 105df: a891.*
+ 105e0: a891.*
+ 105e1: a895.*
+ 105e2: a895.*
+ 105e3: a899.*
+ 105e4: a899.*
+ 105e5: a89d.*
+ 105e6: a89d.*
+ 105e7: a892.*
+ 105e8: a892.*
+ 105e9: a896.*
+ 105ea: a896.*
+ 105eb: a89a.*
+ 105ec: a89a.*
+ 105ed: a89e.*
+ 105ee: a89e.*
+ 105ef: a893.*
+ 105f0: a893.*
+ 105f1: a897.*
+ 105f2: a897.*
+ 105f3: a89b.*
+ 105f4: a89b.*
+ 105f5: a89f.*
+ 105f6: a89f.*
+ 105f7: a990.*
+ 105f8: a990.*
+ 105f9: a994.*
+ 105fa: a994.*
+ 105fb: a998.*
+ 105fc: a998.*
+ 105fd: a99c.*
+ 105fe: a99c.*
+ 105ff: a991.*
+ 10600: a991.*
+ 10601: a995.*
+ 10602: a995.*
+ 10603: a999.*
+ 10604: a999.*
+ 10605: a99d.*
+ 10606: a99d.*
+ 10607: a992.*
+ 10608: a992.*
+ 10609: a996.*
+ 1060a: a996.*
+ 1060b: a99a.*
+ 1060c: a99a.*
+ 1060d: a99e.*
+ 1060e: a99e.*
+ 1060f: a993.*
+ 10610: a993.*
+ 10611: a997.*
+ 10612: a997.*
+ 10613: a99b.*
+ 10614: a99b.*
+ 10615: a99f.*
+ 10616: a99f.*
+ 10617: a8d0.*
+ 10618: a8d0.*
+ 10619: a8d4.*
+ 1061a: a8d4.*
+ 1061b: a8d8.*
+ 1061c: a8d8.*
+ 1061d: a8dc.*
+ 1061e: a8dc.*
+ 1061f: a8d1.*
+ 10620: a8d1.*
+ 10621: a8d5.*
+ 10622: a8d5.*
+ 10623: a8d9.*
+ 10624: a8d9.*
+ 10625: a8dd.*
+ 10626: a8dd.*
+ 10627: a8d2.*
+ 10628: a8d2.*
+ 10629: a8d6.*
+ 1062a: a8d6.*
+ 1062b: a8da.*
+ 1062c: a8da.*
+ 1062d: a8de.*
+ 1062e: a8de.*
+ 1062f: a8d3.*
+ 10630: a8d3.*
+ 10631: a8d7.*
+ 10632: a8d7.*
+ 10633: a8db.*
+ 10634: a8db.*
+ 10635: a8df.*
+ 10636: a8df.*
+ 10637: a9d0.*
+ 10638: a9d0.*
+ 10639: a9d4.*
+ 1063a: a9d4.*
+ 1063b: a9d8.*
+ 1063c: a9d8.*
+ 1063d: a9dc.*
+ 1063e: a9dc.*
+ 1063f: a9d1.*
+ 10640: a9d1.*
+ 10641: a9d5.*
+ 10642: a9d5.*
+ 10643: a9d9.*
+ 10644: a9d9.*
+ 10645: a9dd.*
+ 10646: a9dd.*
+ 10647: a9d2.*
+ 10648: a9d2.*
+ 10649: a9d6.*
+ 1064a: a9d6.*
+ 1064b: a9da.*
+ 1064c: a9da.*
+ 1064d: a9de.*
+ 1064e: a9de.*
+ 1064f: a9d3.*
+ 10650: a9d3.*
+ 10651: a9d7.*
+ 10652: a9d7.*
+ 10653: a9db.*
+ 10654: a9db.*
+ 10655: a9df.*
+ 10656: a9df.*
+ 10657: a820.*
+ 10658: a820.*
+ 10659: a824.*
+ 1065a: a824.*
+ 1065b: a828.*
+ 1065c: a828.*
+ 1065d: a82c.*
+ 1065e: a82c.*
+ 1065f: a821.*
+ 10660: a821.*
+ 10661: a825.*
+ 10662: a825.*
+ 10663: a829.*
+ 10664: a829.*
+ 10665: a82d.*
+ 10666: a82d.*
+ 10667: a822.*
+ 10668: a822.*
+ 10669: a826.*
+ 1066a: a826.*
+ 1066b: a82a.*
+ 1066c: a82a.*
+ 1066d: a82e.*
+ 1066e: a82e.*
+ 1066f: a823.*
+ 10670: a823.*
+ 10671: a827.*
+ 10672: a827.*
+ 10673: a82b.*
+ 10674: a82b.*
+ 10675: a82f.*
+ 10676: a82f.*
+ 10677: a920.*
+ 10678: a920.*
+ 10679: a924.*
+ 1067a: a924.*
+ 1067b: a928.*
+ 1067c: a928.*
+ 1067d: a92c.*
+ 1067e: a92c.*
+ 1067f: a921.*
+ 10680: a921.*
+ 10681: a925.*
+ 10682: a925.*
+ 10683: a929.*
+ 10684: a929.*
+ 10685: a92d.*
+ 10686: a92d.*
+ 10687: a922.*
+ 10688: a922.*
+ 10689: a926.*
+ 1068a: a926.*
+ 1068b: a92a.*
+ 1068c: a92a.*
+ 1068d: a92e.*
+ 1068e: a92e.*
+ 1068f: a923.*
+ 10690: a923.*
+ 10691: a927.*
+ 10692: a927.*
+ 10693: a92b.*
+ 10694: a92b.*
+ 10695: a92f.*
+ 10696: a92f.*
+ 10697: a860.*
+ 10698: a860.*
+ 10699: a864.*
+ 1069a: a864.*
+ 1069b: a868.*
+ 1069c: a868.*
+ 1069d: a86c.*
+ 1069e: a86c.*
+ 1069f: a861.*
+ 106a0: a861.*
+ 106a1: a865.*
+ 106a2: a865.*
+ 106a3: a869.*
+ 106a4: a869.*
+ 106a5: a86d.*
+ 106a6: a86d.*
+ 106a7: a862.*
+ 106a8: a862.*
+ 106a9: a866.*
+ 106aa: a866.*
+ 106ab: a86a.*
+ 106ac: a86a.*
+ 106ad: a86e.*
+ 106ae: a86e.*
+ 106af: a863.*
+ 106b0: a863.*
+ 106b1: a867.*
+ 106b2: a867.*
+ 106b3: a86b.*
+ 106b4: a86b.*
+ 106b5: a86f.*
+ 106b6: a86f.*
+ 106b7: a960.*
+ 106b8: a960.*
+ 106b9: a964.*
+ 106ba: a964.*
+ 106bb: a968.*
+ 106bc: a968.*
+ 106bd: a96c.*
+ 106be: a96c.*
+ 106bf: a961.*
+ 106c0: a961.*
+ 106c1: a965.*
+ 106c2: a965.*
+ 106c3: a969.*
+ 106c4: a969.*
+ 106c5: a96d.*
+ 106c6: a96d.*
+ 106c7: a962.*
+ 106c8: a962.*
+ 106c9: a966.*
+ 106ca: a966.*
+ 106cb: a96a.*
+ 106cc: a96a.*
+ 106cd: a96e.*
+ 106ce: a96e.*
+ 106cf: a963.*
+ 106d0: a963.*
+ 106d1: a967.*
+ 106d2: a967.*
+ 106d3: a96b.*
+ 106d4: a96b.*
+ 106d5: a96f.*
+ 106d6: a96f.*
+ 106d7: a8a0.*
+ 106d8: a8a0.*
+ 106d9: a8a4.*
+ 106da: a8a4.*
+ 106db: a8a8.*
+ 106dc: a8a8.*
+ 106dd: a8ac.*
+ 106de: a8ac.*
+ 106df: a8a1.*
+ 106e0: a8a1.*
+ 106e1: a8a5.*
+ 106e2: a8a5.*
+ 106e3: a8a9.*
+ 106e4: a8a9.*
+ 106e5: a8ad.*
+ 106e6: a8ad.*
+ 106e7: a8a2.*
+ 106e8: a8a2.*
+ 106e9: a8a6.*
+ 106ea: a8a6.*
+ 106eb: a8aa.*
+ 106ec: a8aa.*
+ 106ed: a8ae.*
+ 106ee: a8ae.*
+ 106ef: a8a3.*
+ 106f0: a8a3.*
+ 106f1: a8a7.*
+ 106f2: a8a7.*
+ 106f3: a8ab.*
+ 106f4: a8ab.*
+ 106f5: a8af.*
+ 106f6: a8af.*
+ 106f7: a9a0.*
+ 106f8: a9a0.*
+ 106f9: a9a4.*
+ 106fa: a9a4.*
+ 106fb: a9a8.*
+ 106fc: a9a8.*
+ 106fd: a9ac.*
+ 106fe: a9ac.*
+ 106ff: a9a1.*
+ 10700: a9a1.*
+ 10701: a9a5.*
+ 10702: a9a5.*
+ 10703: a9a9.*
+ 10704: a9a9.*
+ 10705: a9ad.*
+ 10706: a9ad.*
+ 10707: a9a2.*
+ 10708: a9a2.*
+ 10709: a9a6.*
+ 1070a: a9a6.*
+ 1070b: a9aa.*
+ 1070c: a9aa.*
+ 1070d: a9ae.*
+ 1070e: a9ae.*
+ 1070f: a9a3.*
+ 10710: a9a3.*
+ 10711: a9a7.*
+ 10712: a9a7.*
+ 10713: a9ab.*
+ 10714: a9ab.*
+ 10715: a9af.*
+ 10716: a9af.*
+ 10717: a8e0.*
+ 10718: a8e0.*
+ 10719: a8e4.*
+ 1071a: a8e4.*
+ 1071b: a8e8.*
+ 1071c: a8e8.*
+ 1071d: a8ec.*
+ 1071e: a8ec.*
+ 1071f: a8e1.*
+ 10720: a8e1.*
+ 10721: a8e5.*
+ 10722: a8e5.*
+ 10723: a8e9.*
+ 10724: a8e9.*
+ 10725: a8ed.*
+ 10726: a8ed.*
+ 10727: a8e2.*
+ 10728: a8e2.*
+ 10729: a8e6.*
+ 1072a: a8e6.*
+ 1072b: a8ea.*
+ 1072c: a8ea.*
+ 1072d: a8ee.*
+ 1072e: a8ee.*
+ 1072f: a8e3.*
+ 10730: a8e3.*
+ 10731: a8e7.*
+ 10732: a8e7.*
+ 10733: a8eb.*
+ 10734: a8eb.*
+ 10735: a8ef.*
+ 10736: a8ef.*
+ 10737: a9e0.*
+ 10738: a9e0.*
+ 10739: a9e4.*
+ 1073a: a9e4.*
+ 1073b: a9e8.*
+ 1073c: a9e8.*
+ 1073d: a9ec.*
+ 1073e: a9ec.*
+ 1073f: a9e1.*
+ 10740: a9e1.*
+ 10741: a9e5.*
+ 10742: a9e5.*
+ 10743: a9e9.*
+ 10744: a9e9.*
+ 10745: a9ed.*
+ 10746: a9ed.*
+ 10747: a9e2.*
+ 10748: a9e2.*
+ 10749: a9e6.*
+ 1074a: a9e6.*
+ 1074b: a9ea.*
+ 1074c: a9ea.*
+ 1074d: a9ee.*
+ 1074e: a9ee.*
+ 1074f: a9e3.*
+ 10750: a9e3.*
+ 10751: a9e7.*
+ 10752: a9e7.*
+ 10753: a9eb.*
+ 10754: a9eb.*
+ 10755: a9ef.*
+ 10756: a9ef.*
+ 10757: a830.*
+ 10758: a830.*
+ 10759: a834.*
+ 1075a: a834.*
+ 1075b: a838.*
+ 1075c: a838.*
+ 1075d: a83c.*
+ 1075e: a83c.*
+ 1075f: a831.*
+ 10760: a831.*
+ 10761: a835.*
+ 10762: a835.*
+ 10763: a839.*
+ 10764: a839.*
+ 10765: a83d.*
+ 10766: a83d.*
+ 10767: a832.*
+ 10768: a832.*
+ 10769: a836.*
+ 1076a: a836.*
+ 1076b: a83a.*
+ 1076c: a83a.*
+ 1076d: a83e.*
+ 1076e: a83e.*
+ 1076f: a833.*
+ 10770: a833.*
+ 10771: a837.*
+ 10772: a837.*
+ 10773: a83b.*
+ 10774: a83b.*
+ 10775: a83f.*
+ 10776: a83f.*
+ 10777: a930.*
+ 10778: a930.*
+ 10779: a934.*
+ 1077a: a934.*
+ 1077b: a938.*
+ 1077c: a938.*
+ 1077d: a93c.*
+ 1077e: a93c.*
+ 1077f: a931.*
+ 10780: a931.*
+ 10781: a935.*
+ 10782: a935.*
+ 10783: a939.*
+ 10784: a939.*
+ 10785: a93d.*
+ 10786: a93d.*
+ 10787: a932.*
+ 10788: a932.*
+ 10789: a936.*
+ 1078a: a936.*
+ 1078b: a93a.*
+ 1078c: a93a.*
+ 1078d: a93e.*
+ 1078e: a93e.*
+ 1078f: a933.*
+ 10790: a933.*
+ 10791: a937.*
+ 10792: a937.*
+ 10793: a93b.*
+ 10794: a93b.*
+ 10795: a93f.*
+ 10796: a93f.*
+ 10797: a870.*
+ 10798: a870.*
+ 10799: a874.*
+ 1079a: a874.*
+ 1079b: a878.*
+ 1079c: a878.*
+ 1079d: a87c.*
+ 1079e: a87c.*
+ 1079f: a871.*
+ 107a0: a871.*
+ 107a1: a875.*
+ 107a2: a875.*
+ 107a3: a879.*
+ 107a4: a879.*
+ 107a5: a87d.*
+ 107a6: a87d.*
+ 107a7: a872.*
+ 107a8: a872.*
+ 107a9: a876.*
+ 107aa: a876.*
+ 107ab: a87a.*
+ 107ac: a87a.*
+ 107ad: a87e.*
+ 107ae: a87e.*
+ 107af: a873.*
+ 107b0: a873.*
+ 107b1: a877.*
+ 107b2: a877.*
+ 107b3: a87b.*
+ 107b4: a87b.*
+ 107b5: a87f.*
+ 107b6: a87f.*
+ 107b7: a970.*
+ 107b8: a970.*
+ 107b9: a974.*
+ 107ba: a974.*
+ 107bb: a978.*
+ 107bc: a978.*
+ 107bd: a97c.*
+ 107be: a97c.*
+ 107bf: a971.*
+ 107c0: a971.*
+ 107c1: a975.*
+ 107c2: a975.*
+ 107c3: a979.*
+ 107c4: a979.*
+ 107c5: a97d.*
+ 107c6: a97d.*
+ 107c7: a972.*
+ 107c8: a972.*
+ 107c9: a976.*
+ 107ca: a976.*
+ 107cb: a97a.*
+ 107cc: a97a.*
+ 107cd: a97e.*
+ 107ce: a97e.*
+ 107cf: a973.*
+ 107d0: a973.*
+ 107d1: a977.*
+ 107d2: a977.*
+ 107d3: a97b.*
+ 107d4: a97b.*
+ 107d5: a97f.*
+ 107d6: a97f.*
+ 107d7: a8b0.*
+ 107d8: a8b0.*
+ 107d9: a8b4.*
+ 107da: a8b4.*
+ 107db: a8b8.*
+ 107dc: a8b8.*
+ 107dd: a8bc.*
+ 107de: a8bc.*
+ 107df: a8b1.*
+ 107e0: a8b1.*
+ 107e1: a8b5.*
+ 107e2: a8b5.*
+ 107e3: a8b9.*
+ 107e4: a8b9.*
+ 107e5: a8bd.*
+ 107e6: a8bd.*
+ 107e7: a8b2.*
+ 107e8: a8b2.*
+ 107e9: a8b6.*
+ 107ea: a8b6.*
+ 107eb: a8ba.*
+ 107ec: a8ba.*
+ 107ed: a8be.*
+ 107ee: a8be.*
+ 107ef: a8b3.*
+ 107f0: a8b3.*
+ 107f1: a8b7.*
+ 107f2: a8b7.*
+ 107f3: a8bb.*
+ 107f4: a8bb.*
+ 107f5: a8bf.*
+ 107f6: a8bf.*
+ 107f7: a9b0.*
+ 107f8: a9b0.*
+ 107f9: a9b4.*
+ 107fa: a9b4.*
+ 107fb: a9b8.*
+ 107fc: a9b8.*
+ 107fd: a9bc.*
+ 107fe: a9bc.*
+ 107ff: a9b1.*
+ 10800: a9b1.*
+ 10801: a9b5.*
+ 10802: a9b5.*
+ 10803: a9b9.*
+ 10804: a9b9.*
+ 10805: a9bd.*
+ 10806: a9bd.*
+ 10807: a9b2.*
+ 10808: a9b2.*
+ 10809: a9b6.*
+ 1080a: a9b6.*
+ 1080b: a9ba.*
+ 1080c: a9ba.*
+ 1080d: a9be.*
+ 1080e: a9be.*
+ 1080f: a9b3.*
+ 10810: a9b3.*
+ 10811: a9b7.*
+ 10812: a9b7.*
+ 10813: a9bb.*
+ 10814: a9bb.*
+ 10815: a9bf.*
+ 10816: a9bf.*
+ 10817: a8f0.*
+ 10818: a8f0.*
+ 10819: a8f4.*
+ 1081a: a8f4.*
+ 1081b: a8f8.*
+ 1081c: a8f8.*
+ 1081d: a8fc.*
+ 1081e: a8fc.*
+ 1081f: a8f1.*
+ 10820: a8f1.*
+ 10821: a8f5.*
+ 10822: a8f5.*
+ 10823: a8f9.*
+ 10824: a8f9.*
+ 10825: a8fd.*
+ 10826: a8fd.*
+ 10827: a8f2.*
+ 10828: a8f2.*
+ 10829: a8f6.*
+ 1082a: a8f6.*
+ 1082b: a8fa.*
+ 1082c: a8fa.*
+ 1082d: a8fe.*
+ 1082e: a8fe.*
+ 1082f: a8f3.*
+ 10830: a8f3.*
+ 10831: a8f7.*
+ 10832: a8f7.*
+ 10833: a8fb.*
+ 10834: a8fb.*
+ 10835: a8ff.*
+ 10836: a8ff.*
+ 10837: a9f0.*
+ 10838: a9f0.*
+ 10839: a9f4.*
+ 1083a: a9f4.*
+ 1083b: a9f8.*
+ 1083c: a9f8.*
+ 1083d: a9fc.*
+ 1083e: a9fc.*
+ 1083f: a9f1.*
+ 10840: a9f1.*
+ 10841: a9f5.*
+ 10842: a9f5.*
+ 10843: a9f9.*
+ 10844: a9f9.*
+ 10845: a9fd.*
+ 10846: a9fd.*
+ 10847: a9f2.*
+ 10848: a9f2.*
+ 10849: a9f6.*
+ 1084a: a9f6.*
+ 1084b: a9fa.*
+ 1084c: a9fa.*
+ 1084d: a9fe.*
+ 1084e: a9fe.*
+ 1084f: a9f3.*
+ 10850: a9f3.*
+ 10851: a9f7.*
+ 10852: a9f7.*
+ 10853: a9fb.*
+ 10854: a9fb.*
+ 10855: a9ff.*
+ 10856: a9ff.*
+ 10857: aa00.*
+ 10858: aa00.*
+ 10859: aa04.*
+ 1085a: aa04.*
+ 1085b: aa08.*
+ 1085c: aa08.*
+ 1085d: aa0c.*
+ 1085e: aa0c.*
+ 1085f: aa01.*
+ 10860: aa01.*
+ 10861: aa05.*
+ 10862: aa05.*
+ 10863: aa09.*
+ 10864: aa09.*
+ 10865: aa0d.*
+ 10866: aa0d.*
+ 10867: aa02.*
+ 10868: aa02.*
+ 10869: aa06.*
+ 1086a: aa06.*
+ 1086b: aa0a.*
+ 1086c: aa0a.*
+ 1086d: aa0e.*
+ 1086e: aa0e.*
+ 1086f: aa03.*
+ 10870: aa03.*
+ 10871: aa07.*
+ 10872: aa07.*
+ 10873: aa0b.*
+ 10874: aa0b.*
+ 10875: aa0f.*
+ 10876: aa0f.*
+ 10877: ab00.*
+ 10878: ab00.*
+ 10879: ab04.*
+ 1087a: ab04.*
+ 1087b: ab08.*
+ 1087c: ab08.*
+ 1087d: ab0c.*
+ 1087e: ab0c.*
+ 1087f: ab01.*
+ 10880: ab01.*
+ 10881: ab05.*
+ 10882: ab05.*
+ 10883: ab09.*
+ 10884: ab09.*
+ 10885: ab0d.*
+ 10886: ab0d.*
+ 10887: ab02.*
+ 10888: ab02.*
+ 10889: ab06.*
+ 1088a: ab06.*
+ 1088b: ab0a.*
+ 1088c: ab0a.*
+ 1088d: ab0e.*
+ 1088e: ab0e.*
+ 1088f: ab03.*
+ 10890: ab03.*
+ 10891: ab07.*
+ 10892: ab07.*
+ 10893: ab0b.*
+ 10894: ab0b.*
+ 10895: ab0f.*
+ 10896: ab0f.*
+ 10897: aa40.*
+ 10898: aa40.*
+ 10899: aa44.*
+ 1089a: aa44.*
+ 1089b: aa48.*
+ 1089c: aa48.*
+ 1089d: aa4c.*
+ 1089e: aa4c.*
+ 1089f: aa41.*
+ 108a0: aa41.*
+ 108a1: aa45.*
+ 108a2: aa45.*
+ 108a3: aa49.*
+ 108a4: aa49.*
+ 108a5: aa4d.*
+ 108a6: aa4d.*
+ 108a7: aa42.*
+ 108a8: aa42.*
+ 108a9: aa46.*
+ 108aa: aa46.*
+ 108ab: aa4a.*
+ 108ac: aa4a.*
+ 108ad: aa4e.*
+ 108ae: aa4e.*
+ 108af: aa43.*
+ 108b0: aa43.*
+ 108b1: aa47.*
+ 108b2: aa47.*
+ 108b3: aa4b.*
+ 108b4: aa4b.*
+ 108b5: aa4f.*
+ 108b6: aa4f.*
+ 108b7: ab40.*
+ 108b8: ab40.*
+ 108b9: ab44.*
+ 108ba: ab44.*
+ 108bb: ab48.*
+ 108bc: ab48.*
+ 108bd: ab4c.*
+ 108be: ab4c.*
+ 108bf: ab41.*
+ 108c0: ab41.*
+ 108c1: ab45.*
+ 108c2: ab45.*
+ 108c3: ab49.*
+ 108c4: ab49.*
+ 108c5: ab4d.*
+ 108c6: ab4d.*
+ 108c7: ab42.*
+ 108c8: ab42.*
+ 108c9: ab46.*
+ 108ca: ab46.*
+ 108cb: ab4a.*
+ 108cc: ab4a.*
+ 108cd: ab4e.*
+ 108ce: ab4e.*
+ 108cf: ab43.*
+ 108d0: ab43.*
+ 108d1: ab47.*
+ 108d2: ab47.*
+ 108d3: ab4b.*
+ 108d4: ab4b.*
+ 108d5: ab4f.*
+ 108d6: ab4f.*
+ 108d7: aa80.*
+ 108d8: aa80.*
+ 108d9: aa84.*
+ 108da: aa84.*
+ 108db: aa88.*
+ 108dc: aa88.*
+ 108dd: aa8c.*
+ 108de: aa8c.*
+ 108df: aa81.*
+ 108e0: aa81.*
+ 108e1: aa85.*
+ 108e2: aa85.*
+ 108e3: aa89.*
+ 108e4: aa89.*
+ 108e5: aa8d.*
+ 108e6: aa8d.*
+ 108e7: aa82.*
+ 108e8: aa82.*
+ 108e9: aa86.*
+ 108ea: aa86.*
+ 108eb: aa8a.*
+ 108ec: aa8a.*
+ 108ed: aa8e.*
+ 108ee: aa8e.*
+ 108ef: aa83.*
+ 108f0: aa83.*
+ 108f1: aa87.*
+ 108f2: aa87.*
+ 108f3: aa8b.*
+ 108f4: aa8b.*
+ 108f5: aa8f.*
+ 108f6: aa8f.*
+ 108f7: ab80.*
+ 108f8: ab80.*
+ 108f9: ab84.*
+ 108fa: ab84.*
+ 108fb: ab88.*
+ 108fc: ab88.*
+ 108fd: ab8c.*
+ 108fe: ab8c.*
+ 108ff: ab81.*
+ 10900: ab81.*
+ 10901: ab85.*
+ 10902: ab85.*
+ 10903: ab89.*
+ 10904: ab89.*
+ 10905: ab8d.*
+ 10906: ab8d.*
+ 10907: ab82.*
+ 10908: ab82.*
+ 10909: ab86.*
+ 1090a: ab86.*
+ 1090b: ab8a.*
+ 1090c: ab8a.*
+ 1090d: ab8e.*
+ 1090e: ab8e.*
+ 1090f: ab83.*
+ 10910: ab83.*
+ 10911: ab87.*
+ 10912: ab87.*
+ 10913: ab8b.*
+ 10914: ab8b.*
+ 10915: ab8f.*
+ 10916: ab8f.*
+ 10917: aac0.*
+ 10918: aac0.*
+ 10919: aac4.*
+ 1091a: aac4.*
+ 1091b: aac8.*
+ 1091c: aac8.*
+ 1091d: aacc.*
+ 1091e: aacc.*
+ 1091f: aac1.*
+ 10920: aac1.*
+ 10921: aac5.*
+ 10922: aac5.*
+ 10923: aac9.*
+ 10924: aac9.*
+ 10925: aacd.*
+ 10926: aacd.*
+ 10927: aac2.*
+ 10928: aac2.*
+ 10929: aac6.*
+ 1092a: aac6.*
+ 1092b: aaca.*
+ 1092c: aaca.*
+ 1092d: aace.*
+ 1092e: aace.*
+ 1092f: aac3.*
+ 10930: aac3.*
+ 10931: aac7.*
+ 10932: aac7.*
+ 10933: aacb.*
+ 10934: aacb.*
+ 10935: aacf.*
+ 10936: aacf.*
+ 10937: abc0.*
+ 10938: abc0.*
+ 10939: abc4.*
+ 1093a: abc4.*
+ 1093b: abc8.*
+ 1093c: abc8.*
+ 1093d: abcc.*
+ 1093e: abcc.*
+ 1093f: abc1.*
+ 10940: abc1.*
+ 10941: abc5.*
+ 10942: abc5.*
+ 10943: abc9.*
+ 10944: abc9.*
+ 10945: abcd.*
+ 10946: abcd.*
+ 10947: abc2.*
+ 10948: abc2.*
+ 10949: abc6.*
+ 1094a: abc6.*
+ 1094b: abca.*
+ 1094c: abca.*
+ 1094d: abce.*
+ 1094e: abce.*
+ 1094f: abc3.*
+ 10950: abc3.*
+ 10951: abc7.*
+ 10952: abc7.*
+ 10953: abcb.*
+ 10954: abcb.*
+ 10955: abcf.*
+ 10956: abcf.*
+ 10957: aa10.*
+ 10958: aa10.*
+ 10959: aa14.*
+ 1095a: aa14.*
+ 1095b: aa18.*
+ 1095c: aa18.*
+ 1095d: aa1c.*
+ 1095e: aa1c.*
+ 1095f: aa11.*
+ 10960: aa11.*
+ 10961: aa15.*
+ 10962: aa15.*
+ 10963: aa19.*
+ 10964: aa19.*
+ 10965: aa1d.*
+ 10966: aa1d.*
+ 10967: aa12.*
+ 10968: aa12.*
+ 10969: aa16.*
+ 1096a: aa16.*
+ 1096b: aa1a.*
+ 1096c: aa1a.*
+ 1096d: aa1e.*
+ 1096e: aa1e.*
+ 1096f: aa13.*
+ 10970: aa13.*
+ 10971: aa17.*
+ 10972: aa17.*
+ 10973: aa1b.*
+ 10974: aa1b.*
+ 10975: aa1f.*
+ 10976: aa1f.*
+ 10977: ab10.*
+ 10978: ab10.*
+ 10979: ab14.*
+ 1097a: ab14.*
+ 1097b: ab18.*
+ 1097c: ab18.*
+ 1097d: ab1c.*
+ 1097e: ab1c.*
+ 1097f: ab11.*
+ 10980: ab11.*
+ 10981: ab15.*
+ 10982: ab15.*
+ 10983: ab19.*
+ 10984: ab19.*
+ 10985: ab1d.*
+ 10986: ab1d.*
+ 10987: ab12.*
+ 10988: ab12.*
+ 10989: ab16.*
+ 1098a: ab16.*
+ 1098b: ab1a.*
+ 1098c: ab1a.*
+ 1098d: ab1e.*
+ 1098e: ab1e.*
+ 1098f: ab13.*
+ 10990: ab13.*
+ 10991: ab17.*
+ 10992: ab17.*
+ 10993: ab1b.*
+ 10994: ab1b.*
+ 10995: ab1f.*
+ 10996: ab1f.*
+ 10997: aa50.*
+ 10998: aa50.*
+ 10999: aa54.*
+ 1099a: aa54.*
+ 1099b: aa58.*
+ 1099c: aa58.*
+ 1099d: aa5c.*
+ 1099e: aa5c.*
+ 1099f: aa51.*
+ 109a0: aa51.*
+ 109a1: aa55.*
+ 109a2: aa55.*
+ 109a3: aa59.*
+ 109a4: aa59.*
+ 109a5: aa5d.*
+ 109a6: aa5d.*
+ 109a7: aa52.*
+ 109a8: aa52.*
+ 109a9: aa56.*
+ 109aa: aa56.*
+ 109ab: aa5a.*
+ 109ac: aa5a.*
+ 109ad: aa5e.*
+ 109ae: aa5e.*
+ 109af: aa53.*
+ 109b0: aa53.*
+ 109b1: aa57.*
+ 109b2: aa57.*
+ 109b3: aa5b.*
+ 109b4: aa5b.*
+ 109b5: aa5f.*
+ 109b6: aa5f.*
+ 109b7: ab50.*
+ 109b8: ab50.*
+ 109b9: ab54.*
+ 109ba: ab54.*
+ 109bb: ab58.*
+ 109bc: ab58.*
+ 109bd: ab5c.*
+ 109be: ab5c.*
+ 109bf: ab51.*
+ 109c0: ab51.*
+ 109c1: ab55.*
+ 109c2: ab55.*
+ 109c3: ab59.*
+ 109c4: ab59.*
+ 109c5: ab5d.*
+ 109c6: ab5d.*
+ 109c7: ab52.*
+ 109c8: ab52.*
+ 109c9: ab56.*
+ 109ca: ab56.*
+ 109cb: ab5a.*
+ 109cc: ab5a.*
+ 109cd: ab5e.*
+ 109ce: ab5e.*
+ 109cf: ab53.*
+ 109d0: ab53.*
+ 109d1: ab57.*
+ 109d2: ab57.*
+ 109d3: ab5b.*
+ 109d4: ab5b.*
+ 109d5: ab5f.*
+ 109d6: ab5f.*
+ 109d7: aa90.*
+ 109d8: aa90.*
+ 109d9: aa94.*
+ 109da: aa94.*
+ 109db: aa98.*
+ 109dc: aa98.*
+ 109dd: aa9c.*
+ 109de: aa9c.*
+ 109df: aa91.*
+ 109e0: aa91.*
+ 109e1: aa95.*
+ 109e2: aa95.*
+ 109e3: aa99.*
+ 109e4: aa99.*
+ 109e5: aa9d.*
+ 109e6: aa9d.*
+ 109e7: aa92.*
+ 109e8: aa92.*
+ 109e9: aa96.*
+ 109ea: aa96.*
+ 109eb: aa9a.*
+ 109ec: aa9a.*
+ 109ed: aa9e.*
+ 109ee: aa9e.*
+ 109ef: aa93.*
+ 109f0: aa93.*
+ 109f1: aa97.*
+ 109f2: aa97.*
+ 109f3: aa9b.*
+ 109f4: aa9b.*
+ 109f5: aa9f.*
+ 109f6: aa9f.*
+ 109f7: ab90.*
+ 109f8: ab90.*
+ 109f9: ab94.*
+ 109fa: ab94.*
+ 109fb: ab98.*
+ 109fc: ab98.*
+ 109fd: ab9c.*
+ 109fe: ab9c.*
+ 109ff: ab91.*
+ 10a00: ab91.*
+ 10a01: ab95.*
+ 10a02: ab95.*
+ 10a03: ab99.*
+ 10a04: ab99.*
+ 10a05: ab9d.*
+ 10a06: ab9d.*
+ 10a07: ab92.*
+ 10a08: ab92.*
+ 10a09: ab96.*
+ 10a0a: ab96.*
+ 10a0b: ab9a.*
+ 10a0c: ab9a.*
+ 10a0d: ab9e.*
+ 10a0e: ab9e.*
+ 10a0f: ab93.*
+ 10a10: ab93.*
+ 10a11: ab97.*
+ 10a12: ab97.*
+ 10a13: ab9b.*
+ 10a14: ab9b.*
+ 10a15: ab9f.*
+ 10a16: ab9f.*
+ 10a17: aad0.*
+ 10a18: aad0.*
+ 10a19: aad4.*
+ 10a1a: aad4.*
+ 10a1b: aad8.*
+ 10a1c: aad8.*
+ 10a1d: aadc.*
+ 10a1e: aadc.*
+ 10a1f: aad1.*
+ 10a20: aad1.*
+ 10a21: aad5.*
+ 10a22: aad5.*
+ 10a23: aad9.*
+ 10a24: aad9.*
+ 10a25: aadd.*
+ 10a26: aadd.*
+ 10a27: aad2.*
+ 10a28: aad2.*
+ 10a29: aad6.*
+ 10a2a: aad6.*
+ 10a2b: aada.*
+ 10a2c: aada.*
+ 10a2d: aade.*
+ 10a2e: aade.*
+ 10a2f: aad3.*
+ 10a30: aad3.*
+ 10a31: aad7.*
+ 10a32: aad7.*
+ 10a33: aadb.*
+ 10a34: aadb.*
+ 10a35: aadf.*
+ 10a36: aadf.*
+ 10a37: abd0.*
+ 10a38: abd0.*
+ 10a39: abd4.*
+ 10a3a: abd4.*
+ 10a3b: abd8.*
+ 10a3c: abd8.*
+ 10a3d: abdc.*
+ 10a3e: abdc.*
+ 10a3f: abd1.*
+ 10a40: abd1.*
+ 10a41: abd5.*
+ 10a42: abd5.*
+ 10a43: abd9.*
+ 10a44: abd9.*
+ 10a45: abdd.*
+ 10a46: abdd.*
+ 10a47: abd2.*
+ 10a48: abd2.*
+ 10a49: abd6.*
+ 10a4a: abd6.*
+ 10a4b: abda.*
+ 10a4c: abda.*
+ 10a4d: abde.*
+ 10a4e: abde.*
+ 10a4f: abd3.*
+ 10a50: abd3.*
+ 10a51: abd7.*
+ 10a52: abd7.*
+ 10a53: abdb.*
+ 10a54: abdb.*
+ 10a55: abdf.*
+ 10a56: abdf.*
+ 10a57: aa20.*
+ 10a58: aa20.*
+ 10a59: aa24.*
+ 10a5a: aa24.*
+ 10a5b: aa28.*
+ 10a5c: aa28.*
+ 10a5d: aa2c.*
+ 10a5e: aa2c.*
+ 10a5f: aa21.*
+ 10a60: aa21.*
+ 10a61: aa25.*
+ 10a62: aa25.*
+ 10a63: aa29.*
+ 10a64: aa29.*
+ 10a65: aa2d.*
+ 10a66: aa2d.*
+ 10a67: aa22.*
+ 10a68: aa22.*
+ 10a69: aa26.*
+ 10a6a: aa26.*
+ 10a6b: aa2a.*
+ 10a6c: aa2a.*
+ 10a6d: aa2e.*
+ 10a6e: aa2e.*
+ 10a6f: aa23.*
+ 10a70: aa23.*
+ 10a71: aa27.*
+ 10a72: aa27.*
+ 10a73: aa2b.*
+ 10a74: aa2b.*
+ 10a75: aa2f.*
+ 10a76: aa2f.*
+ 10a77: ab20.*
+ 10a78: ab20.*
+ 10a79: ab24.*
+ 10a7a: ab24.*
+ 10a7b: ab28.*
+ 10a7c: ab28.*
+ 10a7d: ab2c.*
+ 10a7e: ab2c.*
+ 10a7f: ab21.*
+ 10a80: ab21.*
+ 10a81: ab25.*
+ 10a82: ab25.*
+ 10a83: ab29.*
+ 10a84: ab29.*
+ 10a85: ab2d.*
+ 10a86: ab2d.*
+ 10a87: ab22.*
+ 10a88: ab22.*
+ 10a89: ab26.*
+ 10a8a: ab26.*
+ 10a8b: ab2a.*
+ 10a8c: ab2a.*
+ 10a8d: ab2e.*
+ 10a8e: ab2e.*
+ 10a8f: ab23.*
+ 10a90: ab23.*
+ 10a91: ab27.*
+ 10a92: ab27.*
+ 10a93: ab2b.*
+ 10a94: ab2b.*
+ 10a95: ab2f.*
+ 10a96: ab2f.*
+ 10a97: aa60.*
+ 10a98: aa60.*
+ 10a99: aa64.*
+ 10a9a: aa64.*
+ 10a9b: aa68.*
+ 10a9c: aa68.*
+ 10a9d: aa6c.*
+ 10a9e: aa6c.*
+ 10a9f: aa61.*
+ 10aa0: aa61.*
+ 10aa1: aa65.*
+ 10aa2: aa65.*
+ 10aa3: aa69.*
+ 10aa4: aa69.*
+ 10aa5: aa6d.*
+ 10aa6: aa6d.*
+ 10aa7: aa62.*
+ 10aa8: aa62.*
+ 10aa9: aa66.*
+ 10aaa: aa66.*
+ 10aab: aa6a.*
+ 10aac: aa6a.*
+ 10aad: aa6e.*
+ 10aae: aa6e.*
+ 10aaf: aa63.*
+ 10ab0: aa63.*
+ 10ab1: aa67.*
+ 10ab2: aa67.*
+ 10ab3: aa6b.*
+ 10ab4: aa6b.*
+ 10ab5: aa6f.*
+ 10ab6: aa6f.*
+ 10ab7: ab60.*
+ 10ab8: ab60.*
+ 10ab9: ab64.*
+ 10aba: ab64.*
+ 10abb: ab68.*
+ 10abc: ab68.*
+ 10abd: ab6c.*
+ 10abe: ab6c.*
+ 10abf: ab61.*
+ 10ac0: ab61.*
+ 10ac1: ab65.*
+ 10ac2: ab65.*
+ 10ac3: ab69.*
+ 10ac4: ab69.*
+ 10ac5: ab6d.*
+ 10ac6: ab6d.*
+ 10ac7: ab62.*
+ 10ac8: ab62.*
+ 10ac9: ab66.*
+ 10aca: ab66.*
+ 10acb: ab6a.*
+ 10acc: ab6a.*
+ 10acd: ab6e.*
+ 10ace: ab6e.*
+ 10acf: ab63.*
+ 10ad0: ab63.*
+ 10ad1: ab67.*
+ 10ad2: ab67.*
+ 10ad3: ab6b.*
+ 10ad4: ab6b.*
+ 10ad5: ab6f.*
+ 10ad6: ab6f.*
+ 10ad7: aaa0.*
+ 10ad8: aaa0.*
+ 10ad9: aaa4.*
+ 10ada: aaa4.*
+ 10adb: aaa8.*
+ 10adc: aaa8.*
+ 10add: aaac.*
+ 10ade: aaac.*
+ 10adf: aaa1.*
+ 10ae0: aaa1.*
+ 10ae1: aaa5.*
+ 10ae2: aaa5.*
+ 10ae3: aaa9.*
+ 10ae4: aaa9.*
+ 10ae5: aaad.*
+ 10ae6: aaad.*
+ 10ae7: aaa2.*
+ 10ae8: aaa2.*
+ 10ae9: aaa6.*
+ 10aea: aaa6.*
+ 10aeb: aaaa.*
+ 10aec: aaaa.*
+ 10aed: aaae.*
+ 10aee: aaae.*
+ 10aef: aaa3.*
+ 10af0: aaa3.*
+ 10af1: aaa7.*
+ 10af2: aaa7.*
+ 10af3: aaab.*
+ 10af4: aaab.*
+ 10af5: aaaf.*
+ 10af6: aaaf.*
+ 10af7: aba0.*
+ 10af8: aba0.*
+ 10af9: aba4.*
+ 10afa: aba4.*
+ 10afb: aba8.*
+ 10afc: aba8.*
+ 10afd: abac.*
+ 10afe: abac.*
+ 10aff: aba1.*
+ 10b00: aba1.*
+ 10b01: aba5.*
+ 10b02: aba5.*
+ 10b03: aba9.*
+ 10b04: aba9.*
+ 10b05: abad.*
+ 10b06: abad.*
+ 10b07: aba2.*
+ 10b08: aba2.*
+ 10b09: aba6.*
+ 10b0a: aba6.*
+ 10b0b: abaa.*
+ 10b0c: abaa.*
+ 10b0d: abae.*
+ 10b0e: abae.*
+ 10b0f: aba3.*
+ 10b10: aba3.*
+ 10b11: aba7.*
+ 10b12: aba7.*
+ 10b13: abab.*
+ 10b14: abab.*
+ 10b15: abaf.*
+ 10b16: abaf.*
+ 10b17: aae0.*
+ 10b18: aae0.*
+ 10b19: aae4.*
+ 10b1a: aae4.*
+ 10b1b: aae8.*
+ 10b1c: aae8.*
+ 10b1d: aaec.*
+ 10b1e: aaec.*
+ 10b1f: aae1.*
+ 10b20: aae1.*
+ 10b21: aae5.*
+ 10b22: aae5.*
+ 10b23: aae9.*
+ 10b24: aae9.*
+ 10b25: aaed.*
+ 10b26: aaed.*
+ 10b27: aae2.*
+ 10b28: aae2.*
+ 10b29: aae6.*
+ 10b2a: aae6.*
+ 10b2b: aaea.*
+ 10b2c: aaea.*
+ 10b2d: aaee.*
+ 10b2e: aaee.*
+ 10b2f: aae3.*
+ 10b30: aae3.*
+ 10b31: aae7.*
+ 10b32: aae7.*
+ 10b33: aaeb.*
+ 10b34: aaeb.*
+ 10b35: aaef.*
+ 10b36: aaef.*
+ 10b37: abe0.*
+ 10b38: abe0.*
+ 10b39: abe4.*
+ 10b3a: abe4.*
+ 10b3b: abe8.*
+ 10b3c: abe8.*
+ 10b3d: abec.*
+ 10b3e: abec.*
+ 10b3f: abe1.*
+ 10b40: abe1.*
+ 10b41: abe5.*
+ 10b42: abe5.*
+ 10b43: abe9.*
+ 10b44: abe9.*
+ 10b45: abed.*
+ 10b46: abed.*
+ 10b47: abe2.*
+ 10b48: abe2.*
+ 10b49: abe6.*
+ 10b4a: abe6.*
+ 10b4b: abea.*
+ 10b4c: abea.*
+ 10b4d: abee.*
+ 10b4e: abee.*
+ 10b4f: abe3.*
+ 10b50: abe3.*
+ 10b51: abe7.*
+ 10b52: abe7.*
+ 10b53: abeb.*
+ 10b54: abeb.*
+ 10b55: abef.*
+ 10b56: abef.*
+ 10b57: aa30.*
+ 10b58: aa30.*
+ 10b59: aa34.*
+ 10b5a: aa34.*
+ 10b5b: aa38.*
+ 10b5c: aa38.*
+ 10b5d: aa3c.*
+ 10b5e: aa3c.*
+ 10b5f: aa31.*
+ 10b60: aa31.*
+ 10b61: aa35.*
+ 10b62: aa35.*
+ 10b63: aa39.*
+ 10b64: aa39.*
+ 10b65: aa3d.*
+ 10b66: aa3d.*
+ 10b67: aa32.*
+ 10b68: aa32.*
+ 10b69: aa36.*
+ 10b6a: aa36.*
+ 10b6b: aa3a.*
+ 10b6c: aa3a.*
+ 10b6d: aa3e.*
+ 10b6e: aa3e.*
+ 10b6f: aa33.*
+ 10b70: aa33.*
+ 10b71: aa37.*
+ 10b72: aa37.*
+ 10b73: aa3b.*
+ 10b74: aa3b.*
+ 10b75: aa3f.*
+ 10b76: aa3f.*
+ 10b77: ab30.*
+ 10b78: ab30.*
+ 10b79: ab34.*
+ 10b7a: ab34.*
+ 10b7b: ab38.*
+ 10b7c: ab38.*
+ 10b7d: ab3c.*
+ 10b7e: ab3c.*
+ 10b7f: ab31.*
+ 10b80: ab31.*
+ 10b81: ab35.*
+ 10b82: ab35.*
+ 10b83: ab39.*
+ 10b84: ab39.*
+ 10b85: ab3d.*
+ 10b86: ab3d.*
+ 10b87: ab32.*
+ 10b88: ab32.*
+ 10b89: ab36.*
+ 10b8a: ab36.*
+ 10b8b: ab3a.*
+ 10b8c: ab3a.*
+ 10b8d: ab3e.*
+ 10b8e: ab3e.*
+ 10b8f: ab33.*
+ 10b90: ab33.*
+ 10b91: ab37.*
+ 10b92: ab37.*
+ 10b93: ab3b.*
+ 10b94: ab3b.*
+ 10b95: ab3f.*
+ 10b96: ab3f.*
+ 10b97: aa70.*
+ 10b98: aa70.*
+ 10b99: aa74.*
+ 10b9a: aa74.*
+ 10b9b: aa78.*
+ 10b9c: aa78.*
+ 10b9d: aa7c.*
+ 10b9e: aa7c.*
+ 10b9f: aa71.*
+ 10ba0: aa71.*
+ 10ba1: aa75.*
+ 10ba2: aa75.*
+ 10ba3: aa79.*
+ 10ba4: aa79.*
+ 10ba5: aa7d.*
+ 10ba6: aa7d.*
+ 10ba7: aa72.*
+ 10ba8: aa72.*
+ 10ba9: aa76.*
+ 10baa: aa76.*
+ 10bab: aa7a.*
+ 10bac: aa7a.*
+ 10bad: aa7e.*
+ 10bae: aa7e.*
+ 10baf: aa73.*
+ 10bb0: aa73.*
+ 10bb1: aa77.*
+ 10bb2: aa77.*
+ 10bb3: aa7b.*
+ 10bb4: aa7b.*
+ 10bb5: aa7f.*
+ 10bb6: aa7f.*
+ 10bb7: ab70.*
+ 10bb8: ab70.*
+ 10bb9: ab74.*
+ 10bba: ab74.*
+ 10bbb: ab78.*
+ 10bbc: ab78.*
+ 10bbd: ab7c.*
+ 10bbe: ab7c.*
+ 10bbf: ab71.*
+ 10bc0: ab71.*
+ 10bc1: ab75.*
+ 10bc2: ab75.*
+ 10bc3: ab79.*
+ 10bc4: ab79.*
+ 10bc5: ab7d.*
+ 10bc6: ab7d.*
+ 10bc7: ab72.*
+ 10bc8: ab72.*
+ 10bc9: ab76.*
+ 10bca: ab76.*
+ 10bcb: ab7a.*
+ 10bcc: ab7a.*
+ 10bcd: ab7e.*
+ 10bce: ab7e.*
+ 10bcf: ab73.*
+ 10bd0: ab73.*
+ 10bd1: ab77.*
+ 10bd2: ab77.*
+ 10bd3: ab7b.*
+ 10bd4: ab7b.*
+ 10bd5: ab7f.*
+ 10bd6: ab7f.*
+ 10bd7: aab0.*
+ 10bd8: aab0.*
+ 10bd9: aab4.*
+ 10bda: aab4.*
+ 10bdb: aab8.*
+ 10bdc: aab8.*
+ 10bdd: aabc.*
+ 10bde: aabc.*
+ 10bdf: aab1.*
+ 10be0: aab1.*
+ 10be1: aab5.*
+ 10be2: aab5.*
+ 10be3: aab9.*
+ 10be4: aab9.*
+ 10be5: aabd.*
+ 10be6: aabd.*
+ 10be7: aab2.*
+ 10be8: aab2.*
+ 10be9: aab6.*
+ 10bea: aab6.*
+ 10beb: aaba.*
+ 10bec: aaba.*
+ 10bed: aabe.*
+ 10bee: aabe.*
+ 10bef: aab3.*
+ 10bf0: aab3.*
+ 10bf1: aab7.*
+ 10bf2: aab7.*
+ 10bf3: aabb.*
+ 10bf4: aabb.*
+ 10bf5: aabf.*
+ 10bf6: aabf.*
+ 10bf7: abb0.*
+ 10bf8: abb0.*
+ 10bf9: abb4.*
+ 10bfa: abb4.*
+ 10bfb: abb8.*
+ 10bfc: abb8.*
+ 10bfd: abbc.*
+ 10bfe: abbc.*
+ 10bff: abb1.*
+ 10c00: abb1.*
+ 10c01: abb5.*
+ 10c02: abb5.*
+ 10c03: abb9.*
+ 10c04: abb9.*
+ 10c05: abbd.*
+ 10c06: abbd.*
+ 10c07: abb2.*
+ 10c08: abb2.*
+ 10c09: abb6.*
+ 10c0a: abb6.*
+ 10c0b: abba.*
+ 10c0c: abba.*
+ 10c0d: abbe.*
+ 10c0e: abbe.*
+ 10c0f: abb3.*
+ 10c10: abb3.*
+ 10c11: abb7.*
+ 10c12: abb7.*
+ 10c13: abbb.*
+ 10c14: abbb.*
+ 10c15: abbf.*
+ 10c16: abbf.*
+ 10c17: aaf0.*
+ 10c18: aaf0.*
+ 10c19: aaf4.*
+ 10c1a: aaf4.*
+ 10c1b: aaf8.*
+ 10c1c: aaf8.*
+ 10c1d: aafc.*
+ 10c1e: aafc.*
+ 10c1f: aaf1.*
+ 10c20: aaf1.*
+ 10c21: aaf5.*
+ 10c22: aaf5.*
+ 10c23: aaf9.*
+ 10c24: aaf9.*
+ 10c25: aafd.*
+ 10c26: aafd.*
+ 10c27: aaf2.*
+ 10c28: aaf2.*
+ 10c29: aaf6.*
+ 10c2a: aaf6.*
+ 10c2b: aafa.*
+ 10c2c: aafa.*
+ 10c2d: aafe.*
+ 10c2e: aafe.*
+ 10c2f: aaf3.*
+ 10c30: aaf3.*
+ 10c31: aaf7.*
+ 10c32: aaf7.*
+ 10c33: aafb.*
+ 10c34: aafb.*
+ 10c35: aaff.*
+ 10c36: aaff.*
+ 10c37: abf0.*
+ 10c38: abf0.*
+ 10c39: abf4.*
+ 10c3a: abf4.*
+ 10c3b: abf8.*
+ 10c3c: abf8.*
+ 10c3d: abfc.*
+ 10c3e: abfc.*
+ 10c3f: abf1.*
+ 10c40: abf1.*
+ 10c41: abf5.*
+ 10c42: abf5.*
+ 10c43: abf9.*
+ 10c44: abf9.*
+ 10c45: abfd.*
+ 10c46: abfd.*
+ 10c47: abf2.*
+ 10c48: abf2.*
+ 10c49: abf6.*
+ 10c4a: abf6.*
+ 10c4b: abfa.*
+ 10c4c: abfa.*
+ 10c4d: abfe.*
+ 10c4e: abfe.*
+ 10c4f: abf3.*
+ 10c50: abf3.*
+ 10c51: abf7.*
+ 10c52: abf7.*
+ 10c53: abfb.*
+ 10c54: abfb.*
+ 10c55: abff.*
+ 10c56: abff.*
+ 10c57: ac00.*
+ 10c58: ac00.*
+ 10c59: ac04.*
+ 10c5a: ac04.*
+ 10c5b: ac08.*
+ 10c5c: ac08.*
+ 10c5d: ac0c.*
+ 10c5e: ac0c.*
+ 10c5f: ac01.*
+ 10c60: ac01.*
+ 10c61: ac05.*
+ 10c62: ac05.*
+ 10c63: ac09.*
+ 10c64: ac09.*
+ 10c65: ac0d.*
+ 10c66: ac0d.*
+ 10c67: ac02.*
+ 10c68: ac02.*
+ 10c69: ac06.*
+ 10c6a: ac06.*
+ 10c6b: ac0a.*
+ 10c6c: ac0a.*
+ 10c6d: ac0e.*
+ 10c6e: ac0e.*
+ 10c6f: ac03.*
+ 10c70: ac03.*
+ 10c71: ac07.*
+ 10c72: ac07.*
+ 10c73: ac0b.*
+ 10c74: ac0b.*
+ 10c75: ac0f.*
+ 10c76: ac0f.*
+ 10c77: ad00.*
+ 10c78: ad00.*
+ 10c79: ad04.*
+ 10c7a: ad04.*
+ 10c7b: ad08.*
+ 10c7c: ad08.*
+ 10c7d: ad0c.*
+ 10c7e: ad0c.*
+ 10c7f: ad01.*
+ 10c80: ad01.*
+ 10c81: ad05.*
+ 10c82: ad05.*
+ 10c83: ad09.*
+ 10c84: ad09.*
+ 10c85: ad0d.*
+ 10c86: ad0d.*
+ 10c87: ad02.*
+ 10c88: ad02.*
+ 10c89: ad06.*
+ 10c8a: ad06.*
+ 10c8b: ad0a.*
+ 10c8c: ad0a.*
+ 10c8d: ad0e.*
+ 10c8e: ad0e.*
+ 10c8f: ad03.*
+ 10c90: ad03.*
+ 10c91: ad07.*
+ 10c92: ad07.*
+ 10c93: ad0b.*
+ 10c94: ad0b.*
+ 10c95: ad0f.*
+ 10c96: ad0f.*
+ 10c97: ac40.*
+ 10c98: ac40.*
+ 10c99: ac44.*
+ 10c9a: ac44.*
+ 10c9b: ac48.*
+ 10c9c: ac48.*
+ 10c9d: ac4c.*
+ 10c9e: ac4c.*
+ 10c9f: ac41.*
+ 10ca0: ac41.*
+ 10ca1: ac45.*
+ 10ca2: ac45.*
+ 10ca3: ac49.*
+ 10ca4: ac49.*
+ 10ca5: ac4d.*
+ 10ca6: ac4d.*
+ 10ca7: ac42.*
+ 10ca8: ac42.*
+ 10ca9: ac46.*
+ 10caa: ac46.*
+ 10cab: ac4a.*
+ 10cac: ac4a.*
+ 10cad: ac4e.*
+ 10cae: ac4e.*
+ 10caf: ac43.*
+ 10cb0: ac43.*
+ 10cb1: ac47.*
+ 10cb2: ac47.*
+ 10cb3: ac4b.*
+ 10cb4: ac4b.*
+ 10cb5: ac4f.*
+ 10cb6: ac4f.*
+ 10cb7: ad40.*
+ 10cb8: ad40.*
+ 10cb9: ad44.*
+ 10cba: ad44.*
+ 10cbb: ad48.*
+ 10cbc: ad48.*
+ 10cbd: ad4c.*
+ 10cbe: ad4c.*
+ 10cbf: ad41.*
+ 10cc0: ad41.*
+ 10cc1: ad45.*
+ 10cc2: ad45.*
+ 10cc3: ad49.*
+ 10cc4: ad49.*
+ 10cc5: ad4d.*
+ 10cc6: ad4d.*
+ 10cc7: ad42.*
+ 10cc8: ad42.*
+ 10cc9: ad46.*
+ 10cca: ad46.*
+ 10ccb: ad4a.*
+ 10ccc: ad4a.*
+ 10ccd: ad4e.*
+ 10cce: ad4e.*
+ 10ccf: ad43.*
+ 10cd0: ad43.*
+ 10cd1: ad47.*
+ 10cd2: ad47.*
+ 10cd3: ad4b.*
+ 10cd4: ad4b.*
+ 10cd5: ad4f.*
+ 10cd6: ad4f.*
+ 10cd7: ac80.*
+ 10cd8: ac80.*
+ 10cd9: ac84.*
+ 10cda: ac84.*
+ 10cdb: ac88.*
+ 10cdc: ac88.*
+ 10cdd: ac8c.*
+ 10cde: ac8c.*
+ 10cdf: ac81.*
+ 10ce0: ac81.*
+ 10ce1: ac85.*
+ 10ce2: ac85.*
+ 10ce3: ac89.*
+ 10ce4: ac89.*
+ 10ce5: ac8d.*
+ 10ce6: ac8d.*
+ 10ce7: ac82.*
+ 10ce8: ac82.*
+ 10ce9: ac86.*
+ 10cea: ac86.*
+ 10ceb: ac8a.*
+ 10cec: ac8a.*
+ 10ced: ac8e.*
+ 10cee: ac8e.*
+ 10cef: ac83.*
+ 10cf0: ac83.*
+ 10cf1: ac87.*
+ 10cf2: ac87.*
+ 10cf3: ac8b.*
+ 10cf4: ac8b.*
+ 10cf5: ac8f.*
+ 10cf6: ac8f.*
+ 10cf7: ad80.*
+ 10cf8: ad80.*
+ 10cf9: ad84.*
+ 10cfa: ad84.*
+ 10cfb: ad88.*
+ 10cfc: ad88.*
+ 10cfd: ad8c.*
+ 10cfe: ad8c.*
+ 10cff: ad81.*
+ 10d00: ad81.*
+ 10d01: ad85.*
+ 10d02: ad85.*
+ 10d03: ad89.*
+ 10d04: ad89.*
+ 10d05: ad8d.*
+ 10d06: ad8d.*
+ 10d07: ad82.*
+ 10d08: ad82.*
+ 10d09: ad86.*
+ 10d0a: ad86.*
+ 10d0b: ad8a.*
+ 10d0c: ad8a.*
+ 10d0d: ad8e.*
+ 10d0e: ad8e.*
+ 10d0f: ad83.*
+ 10d10: ad83.*
+ 10d11: ad87.*
+ 10d12: ad87.*
+ 10d13: ad8b.*
+ 10d14: ad8b.*
+ 10d15: ad8f.*
+ 10d16: ad8f.*
+ 10d17: acc0.*
+ 10d18: acc0.*
+ 10d19: acc4.*
+ 10d1a: acc4.*
+ 10d1b: acc8.*
+ 10d1c: acc8.*
+ 10d1d: accc.*
+ 10d1e: accc.*
+ 10d1f: acc1.*
+ 10d20: acc1.*
+ 10d21: acc5.*
+ 10d22: acc5.*
+ 10d23: acc9.*
+ 10d24: acc9.*
+ 10d25: accd.*
+ 10d26: accd.*
+ 10d27: acc2.*
+ 10d28: acc2.*
+ 10d29: acc6.*
+ 10d2a: acc6.*
+ 10d2b: acca.*
+ 10d2c: acca.*
+ 10d2d: acce.*
+ 10d2e: acce.*
+ 10d2f: acc3.*
+ 10d30: acc3.*
+ 10d31: acc7.*
+ 10d32: acc7.*
+ 10d33: accb.*
+ 10d34: accb.*
+ 10d35: accf.*
+ 10d36: accf.*
+ 10d37: adc0.*
+ 10d38: adc0.*
+ 10d39: adc4.*
+ 10d3a: adc4.*
+ 10d3b: adc8.*
+ 10d3c: adc8.*
+ 10d3d: adcc.*
+ 10d3e: adcc.*
+ 10d3f: adc1.*
+ 10d40: adc1.*
+ 10d41: adc5.*
+ 10d42: adc5.*
+ 10d43: adc9.*
+ 10d44: adc9.*
+ 10d45: adcd.*
+ 10d46: adcd.*
+ 10d47: adc2.*
+ 10d48: adc2.*
+ 10d49: adc6.*
+ 10d4a: adc6.*
+ 10d4b: adca.*
+ 10d4c: adca.*
+ 10d4d: adce.*
+ 10d4e: adce.*
+ 10d4f: adc3.*
+ 10d50: adc3.*
+ 10d51: adc7.*
+ 10d52: adc7.*
+ 10d53: adcb.*
+ 10d54: adcb.*
+ 10d55: adcf.*
+ 10d56: adcf.*
+ 10d57: ac10.*
+ 10d58: ac10.*
+ 10d59: ac14.*
+ 10d5a: ac14.*
+ 10d5b: ac18.*
+ 10d5c: ac18.*
+ 10d5d: ac1c.*
+ 10d5e: ac1c.*
+ 10d5f: ac11.*
+ 10d60: ac11.*
+ 10d61: ac15.*
+ 10d62: ac15.*
+ 10d63: ac19.*
+ 10d64: ac19.*
+ 10d65: ac1d.*
+ 10d66: ac1d.*
+ 10d67: ac12.*
+ 10d68: ac12.*
+ 10d69: ac16.*
+ 10d6a: ac16.*
+ 10d6b: ac1a.*
+ 10d6c: ac1a.*
+ 10d6d: ac1e.*
+ 10d6e: ac1e.*
+ 10d6f: ac13.*
+ 10d70: ac13.*
+ 10d71: ac17.*
+ 10d72: ac17.*
+ 10d73: ac1b.*
+ 10d74: ac1b.*
+ 10d75: ac1f.*
+ 10d76: ac1f.*
+ 10d77: ad10.*
+ 10d78: ad10.*
+ 10d79: ad14.*
+ 10d7a: ad14.*
+ 10d7b: ad18.*
+ 10d7c: ad18.*
+ 10d7d: ad1c.*
+ 10d7e: ad1c.*
+ 10d7f: ad11.*
+ 10d80: ad11.*
+ 10d81: ad15.*
+ 10d82: ad15.*
+ 10d83: ad19.*
+ 10d84: ad19.*
+ 10d85: ad1d.*
+ 10d86: ad1d.*
+ 10d87: ad12.*
+ 10d88: ad12.*
+ 10d89: ad16.*
+ 10d8a: ad16.*
+ 10d8b: ad1a.*
+ 10d8c: ad1a.*
+ 10d8d: ad1e.*
+ 10d8e: ad1e.*
+ 10d8f: ad13.*
+ 10d90: ad13.*
+ 10d91: ad17.*
+ 10d92: ad17.*
+ 10d93: ad1b.*
+ 10d94: ad1b.*
+ 10d95: ad1f.*
+ 10d96: ad1f.*
+ 10d97: ac50.*
+ 10d98: ac50.*
+ 10d99: ac54.*
+ 10d9a: ac54.*
+ 10d9b: ac58.*
+ 10d9c: ac58.*
+ 10d9d: ac5c.*
+ 10d9e: ac5c.*
+ 10d9f: ac51.*
+ 10da0: ac51.*
+ 10da1: ac55.*
+ 10da2: ac55.*
+ 10da3: ac59.*
+ 10da4: ac59.*
+ 10da5: ac5d.*
+ 10da6: ac5d.*
+ 10da7: ac52.*
+ 10da8: ac52.*
+ 10da9: ac56.*
+ 10daa: ac56.*
+ 10dab: ac5a.*
+ 10dac: ac5a.*
+ 10dad: ac5e.*
+ 10dae: ac5e.*
+ 10daf: ac53.*
+ 10db0: ac53.*
+ 10db1: ac57.*
+ 10db2: ac57.*
+ 10db3: ac5b.*
+ 10db4: ac5b.*
+ 10db5: ac5f.*
+ 10db6: ac5f.*
+ 10db7: ad50.*
+ 10db8: ad50.*
+ 10db9: ad54.*
+ 10dba: ad54.*
+ 10dbb: ad58.*
+ 10dbc: ad58.*
+ 10dbd: ad5c.*
+ 10dbe: ad5c.*
+ 10dbf: ad51.*
+ 10dc0: ad51.*
+ 10dc1: ad55.*
+ 10dc2: ad55.*
+ 10dc3: ad59.*
+ 10dc4: ad59.*
+ 10dc5: ad5d.*
+ 10dc6: ad5d.*
+ 10dc7: ad52.*
+ 10dc8: ad52.*
+ 10dc9: ad56.*
+ 10dca: ad56.*
+ 10dcb: ad5a.*
+ 10dcc: ad5a.*
+ 10dcd: ad5e.*
+ 10dce: ad5e.*
+ 10dcf: ad53.*
+ 10dd0: ad53.*
+ 10dd1: ad57.*
+ 10dd2: ad57.*
+ 10dd3: ad5b.*
+ 10dd4: ad5b.*
+ 10dd5: ad5f.*
+ 10dd6: ad5f.*
+ 10dd7: ac90.*
+ 10dd8: ac90.*
+ 10dd9: ac94.*
+ 10dda: ac94.*
+ 10ddb: ac98.*
+ 10ddc: ac98.*
+ 10ddd: ac9c.*
+ 10dde: ac9c.*
+ 10ddf: ac91.*
+ 10de0: ac91.*
+ 10de1: ac95.*
+ 10de2: ac95.*
+ 10de3: ac99.*
+ 10de4: ac99.*
+ 10de5: ac9d.*
+ 10de6: ac9d.*
+ 10de7: ac92.*
+ 10de8: ac92.*
+ 10de9: ac96.*
+ 10dea: ac96.*
+ 10deb: ac9a.*
+ 10dec: ac9a.*
+ 10ded: ac9e.*
+ 10dee: ac9e.*
+ 10def: ac93.*
+ 10df0: ac93.*
+ 10df1: ac97.*
+ 10df2: ac97.*
+ 10df3: ac9b.*
+ 10df4: ac9b.*
+ 10df5: ac9f.*
+ 10df6: ac9f.*
+ 10df7: ad90.*
+ 10df8: ad90.*
+ 10df9: ad94.*
+ 10dfa: ad94.*
+ 10dfb: ad98.*
+ 10dfc: ad98.*
+ 10dfd: ad9c.*
+ 10dfe: ad9c.*
+ 10dff: ad91.*
+ 10e00: ad91.*
+ 10e01: ad95.*
+ 10e02: ad95.*
+ 10e03: ad99.*
+ 10e04: ad99.*
+ 10e05: ad9d.*
+ 10e06: ad9d.*
+ 10e07: ad92.*
+ 10e08: ad92.*
+ 10e09: ad96.*
+ 10e0a: ad96.*
+ 10e0b: ad9a.*
+ 10e0c: ad9a.*
+ 10e0d: ad9e.*
+ 10e0e: ad9e.*
+ 10e0f: ad93.*
+ 10e10: ad93.*
+ 10e11: ad97.*
+ 10e12: ad97.*
+ 10e13: ad9b.*
+ 10e14: ad9b.*
+ 10e15: ad9f.*
+ 10e16: ad9f.*
+ 10e17: acd0.*
+ 10e18: acd0.*
+ 10e19: acd4.*
+ 10e1a: acd4.*
+ 10e1b: acd8.*
+ 10e1c: acd8.*
+ 10e1d: acdc.*
+ 10e1e: acdc.*
+ 10e1f: acd1.*
+ 10e20: acd1.*
+ 10e21: acd5.*
+ 10e22: acd5.*
+ 10e23: acd9.*
+ 10e24: acd9.*
+ 10e25: acdd.*
+ 10e26: acdd.*
+ 10e27: acd2.*
+ 10e28: acd2.*
+ 10e29: acd6.*
+ 10e2a: acd6.*
+ 10e2b: acda.*
+ 10e2c: acda.*
+ 10e2d: acde.*
+ 10e2e: acde.*
+ 10e2f: acd3.*
+ 10e30: acd3.*
+ 10e31: acd7.*
+ 10e32: acd7.*
+ 10e33: acdb.*
+ 10e34: acdb.*
+ 10e35: acdf.*
+ 10e36: acdf.*
+ 10e37: add0.*
+ 10e38: add0.*
+ 10e39: add4.*
+ 10e3a: add4.*
+ 10e3b: add8.*
+ 10e3c: add8.*
+ 10e3d: addc.*
+ 10e3e: addc.*
+ 10e3f: add1.*
+ 10e40: add1.*
+ 10e41: add5.*
+ 10e42: add5.*
+ 10e43: add9.*
+ 10e44: add9.*
+ 10e45: addd.*
+ 10e46: addd.*
+ 10e47: add2.*
+ 10e48: add2.*
+ 10e49: add6.*
+ 10e4a: add6.*
+ 10e4b: adda.*
+ 10e4c: adda.*
+ 10e4d: adde.*
+ 10e4e: adde.*
+ 10e4f: add3.*
+ 10e50: add3.*
+ 10e51: add7.*
+ 10e52: add7.*
+ 10e53: addb.*
+ 10e54: addb.*
+ 10e55: addf.*
+ 10e56: addf.*
+ 10e57: ac20.*
+ 10e58: ac20.*
+ 10e59: ac24.*
+ 10e5a: ac24.*
+ 10e5b: ac28.*
+ 10e5c: ac28.*
+ 10e5d: ac2c.*
+ 10e5e: ac2c.*
+ 10e5f: ac21.*
+ 10e60: ac21.*
+ 10e61: ac25.*
+ 10e62: ac25.*
+ 10e63: ac29.*
+ 10e64: ac29.*
+ 10e65: ac2d.*
+ 10e66: ac2d.*
+ 10e67: ac22.*
+ 10e68: ac22.*
+ 10e69: ac26.*
+ 10e6a: ac26.*
+ 10e6b: ac2a.*
+ 10e6c: ac2a.*
+ 10e6d: ac2e.*
+ 10e6e: ac2e.*
+ 10e6f: ac23.*
+ 10e70: ac23.*
+ 10e71: ac27.*
+ 10e72: ac27.*
+ 10e73: ac2b.*
+ 10e74: ac2b.*
+ 10e75: ac2f.*
+ 10e76: ac2f.*
+ 10e77: ad20.*
+ 10e78: ad20.*
+ 10e79: ad24.*
+ 10e7a: ad24.*
+ 10e7b: ad28.*
+ 10e7c: ad28.*
+ 10e7d: ad2c.*
+ 10e7e: ad2c.*
+ 10e7f: ad21.*
+ 10e80: ad21.*
+ 10e81: ad25.*
+ 10e82: ad25.*
+ 10e83: ad29.*
+ 10e84: ad29.*
+ 10e85: ad2d.*
+ 10e86: ad2d.*
+ 10e87: ad22.*
+ 10e88: ad22.*
+ 10e89: ad26.*
+ 10e8a: ad26.*
+ 10e8b: ad2a.*
+ 10e8c: ad2a.*
+ 10e8d: ad2e.*
+ 10e8e: ad2e.*
+ 10e8f: ad23.*
+ 10e90: ad23.*
+ 10e91: ad27.*
+ 10e92: ad27.*
+ 10e93: ad2b.*
+ 10e94: ad2b.*
+ 10e95: ad2f.*
+ 10e96: ad2f.*
+ 10e97: ac60.*
+ 10e98: ac60.*
+ 10e99: ac64.*
+ 10e9a: ac64.*
+ 10e9b: ac68.*
+ 10e9c: ac68.*
+ 10e9d: ac6c.*
+ 10e9e: ac6c.*
+ 10e9f: ac61.*
+ 10ea0: ac61.*
+ 10ea1: ac65.*
+ 10ea2: ac65.*
+ 10ea3: ac69.*
+ 10ea4: ac69.*
+ 10ea5: ac6d.*
+ 10ea6: ac6d.*
+ 10ea7: ac62.*
+ 10ea8: ac62.*
+ 10ea9: ac66.*
+ 10eaa: ac66.*
+ 10eab: ac6a.*
+ 10eac: ac6a.*
+ 10ead: ac6e.*
+ 10eae: ac6e.*
+ 10eaf: ac63.*
+ 10eb0: ac63.*
+ 10eb1: ac67.*
+ 10eb2: ac67.*
+ 10eb3: ac6b.*
+ 10eb4: ac6b.*
+ 10eb5: ac6f.*
+ 10eb6: ac6f.*
+ 10eb7: ad60.*
+ 10eb8: ad60.*
+ 10eb9: ad64.*
+ 10eba: ad64.*
+ 10ebb: ad68.*
+ 10ebc: ad68.*
+ 10ebd: ad6c.*
+ 10ebe: ad6c.*
+ 10ebf: ad61.*
+ 10ec0: ad61.*
+ 10ec1: ad65.*
+ 10ec2: ad65.*
+ 10ec3: ad69.*
+ 10ec4: ad69.*
+ 10ec5: ad6d.*
+ 10ec6: ad6d.*
+ 10ec7: ad62.*
+ 10ec8: ad62.*
+ 10ec9: ad66.*
+ 10eca: ad66.*
+ 10ecb: ad6a.*
+ 10ecc: ad6a.*
+ 10ecd: ad6e.*
+ 10ece: ad6e.*
+ 10ecf: ad63.*
+ 10ed0: ad63.*
+ 10ed1: ad67.*
+ 10ed2: ad67.*
+ 10ed3: ad6b.*
+ 10ed4: ad6b.*
+ 10ed5: ad6f.*
+ 10ed6: ad6f.*
+ 10ed7: aca0.*
+ 10ed8: aca0.*
+ 10ed9: aca4.*
+ 10eda: aca4.*
+ 10edb: aca8.*
+ 10edc: aca8.*
+ 10edd: acac.*
+ 10ede: acac.*
+ 10edf: aca1.*
+ 10ee0: aca1.*
+ 10ee1: aca5.*
+ 10ee2: aca5.*
+ 10ee3: aca9.*
+ 10ee4: aca9.*
+ 10ee5: acad.*
+ 10ee6: acad.*
+ 10ee7: aca2.*
+ 10ee8: aca2.*
+ 10ee9: aca6.*
+ 10eea: aca6.*
+ 10eeb: acaa.*
+ 10eec: acaa.*
+ 10eed: acae.*
+ 10eee: acae.*
+ 10eef: aca3.*
+ 10ef0: aca3.*
+ 10ef1: aca7.*
+ 10ef2: aca7.*
+ 10ef3: acab.*
+ 10ef4: acab.*
+ 10ef5: acaf.*
+ 10ef6: acaf.*
+ 10ef7: ada0.*
+ 10ef8: ada0.*
+ 10ef9: ada4.*
+ 10efa: ada4.*
+ 10efb: ada8.*
+ 10efc: ada8.*
+ 10efd: adac.*
+ 10efe: adac.*
+ 10eff: ada1.*
+ 10f00: ada1.*
+ 10f01: ada5.*
+ 10f02: ada5.*
+ 10f03: ada9.*
+ 10f04: ada9.*
+ 10f05: adad.*
+ 10f06: adad.*
+ 10f07: ada2.*
+ 10f08: ada2.*
+ 10f09: ada6.*
+ 10f0a: ada6.*
+ 10f0b: adaa.*
+ 10f0c: adaa.*
+ 10f0d: adae.*
+ 10f0e: adae.*
+ 10f0f: ada3.*
+ 10f10: ada3.*
+ 10f11: ada7.*
+ 10f12: ada7.*
+ 10f13: adab.*
+ 10f14: adab.*
+ 10f15: adaf.*
+ 10f16: adaf.*
+ 10f17: ace0.*
+ 10f18: ace0.*
+ 10f19: ace4.*
+ 10f1a: ace4.*
+ 10f1b: ace8.*
+ 10f1c: ace8.*
+ 10f1d: acec.*
+ 10f1e: acec.*
+ 10f1f: ace1.*
+ 10f20: ace1.*
+ 10f21: ace5.*
+ 10f22: ace5.*
+ 10f23: ace9.*
+ 10f24: ace9.*
+ 10f25: aced.*
+ 10f26: aced.*
+ 10f27: ace2.*
+ 10f28: ace2.*
+ 10f29: ace6.*
+ 10f2a: ace6.*
+ 10f2b: acea.*
+ 10f2c: acea.*
+ 10f2d: acee.*
+ 10f2e: acee.*
+ 10f2f: ace3.*
+ 10f30: ace3.*
+ 10f31: ace7.*
+ 10f32: ace7.*
+ 10f33: aceb.*
+ 10f34: aceb.*
+ 10f35: acef.*
+ 10f36: acef.*
+ 10f37: ade0.*
+ 10f38: ade0.*
+ 10f39: ade4.*
+ 10f3a: ade4.*
+ 10f3b: ade8.*
+ 10f3c: ade8.*
+ 10f3d: adec.*
+ 10f3e: adec.*
+ 10f3f: ade1.*
+ 10f40: ade1.*
+ 10f41: ade5.*
+ 10f42: ade5.*
+ 10f43: ade9.*
+ 10f44: ade9.*
+ 10f45: aded.*
+ 10f46: aded.*
+ 10f47: ade2.*
+ 10f48: ade2.*
+ 10f49: ade6.*
+ 10f4a: ade6.*
+ 10f4b: adea.*
+ 10f4c: adea.*
+ 10f4d: adee.*
+ 10f4e: adee.*
+ 10f4f: ade3.*
+ 10f50: ade3.*
+ 10f51: ade7.*
+ 10f52: ade7.*
+ 10f53: adeb.*
+ 10f54: adeb.*
+ 10f55: adef.*
+ 10f56: adef.*
+ 10f57: ac30.*
+ 10f58: ac30.*
+ 10f59: ac34.*
+ 10f5a: ac34.*
+ 10f5b: ac38.*
+ 10f5c: ac38.*
+ 10f5d: ac3c.*
+ 10f5e: ac3c.*
+ 10f5f: ac31.*
+ 10f60: ac31.*
+ 10f61: ac35.*
+ 10f62: ac35.*
+ 10f63: ac39.*
+ 10f64: ac39.*
+ 10f65: ac3d.*
+ 10f66: ac3d.*
+ 10f67: ac32.*
+ 10f68: ac32.*
+ 10f69: ac36.*
+ 10f6a: ac36.*
+ 10f6b: ac3a.*
+ 10f6c: ac3a.*
+ 10f6d: ac3e.*
+ 10f6e: ac3e.*
+ 10f6f: ac33.*
+ 10f70: ac33.*
+ 10f71: ac37.*
+ 10f72: ac37.*
+ 10f73: ac3b.*
+ 10f74: ac3b.*
+ 10f75: ac3f.*
+ 10f76: ac3f.*
+ 10f77: ad30.*
+ 10f78: ad30.*
+ 10f79: ad34.*
+ 10f7a: ad34.*
+ 10f7b: ad38.*
+ 10f7c: ad38.*
+ 10f7d: ad3c.*
+ 10f7e: ad3c.*
+ 10f7f: ad31.*
+ 10f80: ad31.*
+ 10f81: ad35.*
+ 10f82: ad35.*
+ 10f83: ad39.*
+ 10f84: ad39.*
+ 10f85: ad3d.*
+ 10f86: ad3d.*
+ 10f87: ad32.*
+ 10f88: ad32.*
+ 10f89: ad36.*
+ 10f8a: ad36.*
+ 10f8b: ad3a.*
+ 10f8c: ad3a.*
+ 10f8d: ad3e.*
+ 10f8e: ad3e.*
+ 10f8f: ad33.*
+ 10f90: ad33.*
+ 10f91: ad37.*
+ 10f92: ad37.*
+ 10f93: ad3b.*
+ 10f94: ad3b.*
+ 10f95: ad3f.*
+ 10f96: ad3f.*
+ 10f97: ac70.*
+ 10f98: ac70.*
+ 10f99: ac74.*
+ 10f9a: ac74.*
+ 10f9b: ac78.*
+ 10f9c: ac78.*
+ 10f9d: ac7c.*
+ 10f9e: ac7c.*
+ 10f9f: ac71.*
+ 10fa0: ac71.*
+ 10fa1: ac75.*
+ 10fa2: ac75.*
+ 10fa3: ac79.*
+ 10fa4: ac79.*
+ 10fa5: ac7d.*
+ 10fa6: ac7d.*
+ 10fa7: ac72.*
+ 10fa8: ac72.*
+ 10fa9: ac76.*
+ 10faa: ac76.*
+ 10fab: ac7a.*
+ 10fac: ac7a.*
+ 10fad: ac7e.*
+ 10fae: ac7e.*
+ 10faf: ac73.*
+ 10fb0: ac73.*
+ 10fb1: ac77.*
+ 10fb2: ac77.*
+ 10fb3: ac7b.*
+ 10fb4: ac7b.*
+ 10fb5: ac7f.*
+ 10fb6: ac7f.*
+ 10fb7: ad70.*
+ 10fb8: ad70.*
+ 10fb9: ad74.*
+ 10fba: ad74.*
+ 10fbb: ad78.*
+ 10fbc: ad78.*
+ 10fbd: ad7c.*
+ 10fbe: ad7c.*
+ 10fbf: ad71.*
+ 10fc0: ad71.*
+ 10fc1: ad75.*
+ 10fc2: ad75.*
+ 10fc3: ad79.*
+ 10fc4: ad79.*
+ 10fc5: ad7d.*
+ 10fc6: ad7d.*
+ 10fc7: ad72.*
+ 10fc8: ad72.*
+ 10fc9: ad76.*
+ 10fca: ad76.*
+ 10fcb: ad7a.*
+ 10fcc: ad7a.*
+ 10fcd: ad7e.*
+ 10fce: ad7e.*
+ 10fcf: ad73.*
+ 10fd0: ad73.*
+ 10fd1: ad77.*
+ 10fd2: ad77.*
+ 10fd3: ad7b.*
+ 10fd4: ad7b.*
+ 10fd5: ad7f.*
+ 10fd6: ad7f.*
+ 10fd7: acb0.*
+ 10fd8: acb0.*
+ 10fd9: acb4.*
+ 10fda: acb4.*
+ 10fdb: acb8.*
+ 10fdc: acb8.*
+ 10fdd: acbc.*
+ 10fde: acbc.*
+ 10fdf: acb1.*
+ 10fe0: acb1.*
+ 10fe1: acb5.*
+ 10fe2: acb5.*
+ 10fe3: acb9.*
+ 10fe4: acb9.*
+ 10fe5: acbd.*
+ 10fe6: acbd.*
+ 10fe7: acb2.*
+ 10fe8: acb2.*
+ 10fe9: acb6.*
+ 10fea: acb6.*
+ 10feb: acba.*
+ 10fec: acba.*
+ 10fed: acbe.*
+ 10fee: acbe.*
+ 10fef: acb3.*
+ 10ff0: acb3.*
+ 10ff1: acb7.*
+ 10ff2: acb7.*
+ 10ff3: acbb.*
+ 10ff4: acbb.*
+ 10ff5: acbf.*
+ 10ff6: acbf.*
+ 10ff7: adb0.*
+ 10ff8: adb0.*
+ 10ff9: adb4.*
+ 10ffa: adb4.*
+ 10ffb: adb8.*
+ 10ffc: adb8.*
+ 10ffd: adbc.*
+ 10ffe: adbc.*
+ 10fff: adb1.*
+ 11000: adb1.*
+ 11001: adb5.*
+ 11002: adb5.*
+ 11003: adb9.*
+ 11004: adb9.*
+ 11005: adbd.*
+ 11006: adbd.*
+ 11007: adb2.*
+ 11008: adb2.*
+ 11009: adb6.*
+ 1100a: adb6.*
+ 1100b: adba.*
+ 1100c: adba.*
+ 1100d: adbe.*
+ 1100e: adbe.*
+ 1100f: adb3.*
+ 11010: adb3.*
+ 11011: adb7.*
+ 11012: adb7.*
+ 11013: adbb.*
+ 11014: adbb.*
+ 11015: adbf.*
+ 11016: adbf.*
+ 11017: acf0.*
+ 11018: acf0.*
+ 11019: acf4.*
+ 1101a: acf4.*
+ 1101b: acf8.*
+ 1101c: acf8.*
+ 1101d: acfc.*
+ 1101e: acfc.*
+ 1101f: acf1.*
+ 11020: acf1.*
+ 11021: acf5.*
+ 11022: acf5.*
+ 11023: acf9.*
+ 11024: acf9.*
+ 11025: acfd.*
+ 11026: acfd.*
+ 11027: acf2.*
+ 11028: acf2.*
+ 11029: acf6.*
+ 1102a: acf6.*
+ 1102b: acfa.*
+ 1102c: acfa.*
+ 1102d: acfe.*
+ 1102e: acfe.*
+ 1102f: acf3.*
+ 11030: acf3.*
+ 11031: acf7.*
+ 11032: acf7.*
+ 11033: acfb.*
+ 11034: acfb.*
+ 11035: acff.*
+ 11036: acff.*
+ 11037: adf0.*
+ 11038: adf0.*
+ 11039: adf4.*
+ 1103a: adf4.*
+ 1103b: adf8.*
+ 1103c: adf8.*
+ 1103d: adfc.*
+ 1103e: adfc.*
+ 1103f: adf1.*
+ 11040: adf1.*
+ 11041: adf5.*
+ 11042: adf5.*
+ 11043: adf9.*
+ 11044: adf9.*
+ 11045: adfd.*
+ 11046: adfd.*
+ 11047: adf2.*
+ 11048: adf2.*
+ 11049: adf6.*
+ 1104a: adf6.*
+ 1104b: adfa.*
+ 1104c: adfa.*
+ 1104d: adfe.*
+ 1104e: adfe.*
+ 1104f: adf3.*
+ 11050: adf3.*
+ 11051: adf7.*
+ 11052: adf7.*
+ 11053: adfb.*
+ 11054: adfb.*
+ 11055: adff.*
+ 11056: adff.*
+ 11057: ae00.*
+ 11058: ae00.*
+ 11059: ae04.*
+ 1105a: ae04.*
+ 1105b: ae08.*
+ 1105c: ae08.*
+ 1105d: ae0c.*
+ 1105e: ae0c.*
+ 1105f: ae01.*
+ 11060: ae01.*
+ 11061: ae05.*
+ 11062: ae05.*
+ 11063: ae09.*
+ 11064: ae09.*
+ 11065: ae0d.*
+ 11066: ae0d.*
+ 11067: ae02.*
+ 11068: ae02.*
+ 11069: ae06.*
+ 1106a: ae06.*
+ 1106b: ae0a.*
+ 1106c: ae0a.*
+ 1106d: ae0e.*
+ 1106e: ae0e.*
+ 1106f: ae03.*
+ 11070: ae03.*
+ 11071: ae07.*
+ 11072: ae07.*
+ 11073: ae0b.*
+ 11074: ae0b.*
+ 11075: ae0f.*
+ 11076: ae0f.*
+ 11077: af00.*
+ 11078: af00.*
+ 11079: af04.*
+ 1107a: af04.*
+ 1107b: af08.*
+ 1107c: af08.*
+ 1107d: af0c.*
+ 1107e: af0c.*
+ 1107f: af01.*
+ 11080: af01.*
+ 11081: af05.*
+ 11082: af05.*
+ 11083: af09.*
+ 11084: af09.*
+ 11085: af0d.*
+ 11086: af0d.*
+ 11087: af02.*
+ 11088: af02.*
+ 11089: af06.*
+ 1108a: af06.*
+ 1108b: af0a.*
+ 1108c: af0a.*
+ 1108d: af0e.*
+ 1108e: af0e.*
+ 1108f: af03.*
+ 11090: af03.*
+ 11091: af07.*
+ 11092: af07.*
+ 11093: af0b.*
+ 11094: af0b.*
+ 11095: af0f.*
+ 11096: af0f.*
+ 11097: ae40.*
+ 11098: ae40.*
+ 11099: ae44.*
+ 1109a: ae44.*
+ 1109b: ae48.*
+ 1109c: ae48.*
+ 1109d: ae4c.*
+ 1109e: ae4c.*
+ 1109f: ae41.*
+ 110a0: ae41.*
+ 110a1: ae45.*
+ 110a2: ae45.*
+ 110a3: ae49.*
+ 110a4: ae49.*
+ 110a5: ae4d.*
+ 110a6: ae4d.*
+ 110a7: ae42.*
+ 110a8: ae42.*
+ 110a9: ae46.*
+ 110aa: ae46.*
+ 110ab: ae4a.*
+ 110ac: ae4a.*
+ 110ad: ae4e.*
+ 110ae: ae4e.*
+ 110af: ae43.*
+ 110b0: ae43.*
+ 110b1: ae47.*
+ 110b2: ae47.*
+ 110b3: ae4b.*
+ 110b4: ae4b.*
+ 110b5: ae4f.*
+ 110b6: ae4f.*
+ 110b7: af40.*
+ 110b8: af40.*
+ 110b9: af44.*
+ 110ba: af44.*
+ 110bb: af48.*
+ 110bc: af48.*
+ 110bd: af4c.*
+ 110be: af4c.*
+ 110bf: af41.*
+ 110c0: af41.*
+ 110c1: af45.*
+ 110c2: af45.*
+ 110c3: af49.*
+ 110c4: af49.*
+ 110c5: af4d.*
+ 110c6: af4d.*
+ 110c7: af42.*
+ 110c8: af42.*
+ 110c9: af46.*
+ 110ca: af46.*
+ 110cb: af4a.*
+ 110cc: af4a.*
+ 110cd: af4e.*
+ 110ce: af4e.*
+ 110cf: af43.*
+ 110d0: af43.*
+ 110d1: af47.*
+ 110d2: af47.*
+ 110d3: af4b.*
+ 110d4: af4b.*
+ 110d5: af4f.*
+ 110d6: af4f.*
+ 110d7: ae80.*
+ 110d8: ae80.*
+ 110d9: ae84.*
+ 110da: ae84.*
+ 110db: ae88.*
+ 110dc: ae88.*
+ 110dd: ae8c.*
+ 110de: ae8c.*
+ 110df: ae81.*
+ 110e0: ae81.*
+ 110e1: ae85.*
+ 110e2: ae85.*
+ 110e3: ae89.*
+ 110e4: ae89.*
+ 110e5: ae8d.*
+ 110e6: ae8d.*
+ 110e7: ae82.*
+ 110e8: ae82.*
+ 110e9: ae86.*
+ 110ea: ae86.*
+ 110eb: ae8a.*
+ 110ec: ae8a.*
+ 110ed: ae8e.*
+ 110ee: ae8e.*
+ 110ef: ae83.*
+ 110f0: ae83.*
+ 110f1: ae87.*
+ 110f2: ae87.*
+ 110f3: ae8b.*
+ 110f4: ae8b.*
+ 110f5: ae8f.*
+ 110f6: ae8f.*
+ 110f7: af80.*
+ 110f8: af80.*
+ 110f9: af84.*
+ 110fa: af84.*
+ 110fb: af88.*
+ 110fc: af88.*
+ 110fd: af8c.*
+ 110fe: af8c.*
+ 110ff: af81.*
+ 11100: af81.*
+ 11101: af85.*
+ 11102: af85.*
+ 11103: af89.*
+ 11104: af89.*
+ 11105: af8d.*
+ 11106: af8d.*
+ 11107: af82.*
+ 11108: af82.*
+ 11109: af86.*
+ 1110a: af86.*
+ 1110b: af8a.*
+ 1110c: af8a.*
+ 1110d: af8e.*
+ 1110e: af8e.*
+ 1110f: af83.*
+ 11110: af83.*
+ 11111: af87.*
+ 11112: af87.*
+ 11113: af8b.*
+ 11114: af8b.*
+ 11115: af8f.*
+ 11116: af8f.*
+ 11117: aec0.*
+ 11118: aec0.*
+ 11119: aec4.*
+ 1111a: aec4.*
+ 1111b: aec8.*
+ 1111c: aec8.*
+ 1111d: aecc.*
+ 1111e: aecc.*
+ 1111f: aec1.*
+ 11120: aec1.*
+ 11121: aec5.*
+ 11122: aec5.*
+ 11123: aec9.*
+ 11124: aec9.*
+ 11125: aecd.*
+ 11126: aecd.*
+ 11127: aec2.*
+ 11128: aec2.*
+ 11129: aec6.*
+ 1112a: aec6.*
+ 1112b: aeca.*
+ 1112c: aeca.*
+ 1112d: aece.*
+ 1112e: aece.*
+ 1112f: aec3.*
+ 11130: aec3.*
+ 11131: aec7.*
+ 11132: aec7.*
+ 11133: aecb.*
+ 11134: aecb.*
+ 11135: aecf.*
+ 11136: aecf.*
+ 11137: afc0.*
+ 11138: afc0.*
+ 11139: afc4.*
+ 1113a: afc4.*
+ 1113b: afc8.*
+ 1113c: afc8.*
+ 1113d: afcc.*
+ 1113e: afcc.*
+ 1113f: afc1.*
+ 11140: afc1.*
+ 11141: afc5.*
+ 11142: afc5.*
+ 11143: afc9.*
+ 11144: afc9.*
+ 11145: afcd.*
+ 11146: afcd.*
+ 11147: afc2.*
+ 11148: afc2.*
+ 11149: afc6.*
+ 1114a: afc6.*
+ 1114b: afca.*
+ 1114c: afca.*
+ 1114d: afce.*
+ 1114e: afce.*
+ 1114f: afc3.*
+ 11150: afc3.*
+ 11151: afc7.*
+ 11152: afc7.*
+ 11153: afcb.*
+ 11154: afcb.*
+ 11155: afcf.*
+ 11156: afcf.*
+ 11157: ae10.*
+ 11158: ae10.*
+ 11159: ae14.*
+ 1115a: ae14.*
+ 1115b: ae18.*
+ 1115c: ae18.*
+ 1115d: ae1c.*
+ 1115e: ae1c.*
+ 1115f: ae11.*
+ 11160: ae11.*
+ 11161: ae15.*
+ 11162: ae15.*
+ 11163: ae19.*
+ 11164: ae19.*
+ 11165: ae1d.*
+ 11166: ae1d.*
+ 11167: ae12.*
+ 11168: ae12.*
+ 11169: ae16.*
+ 1116a: ae16.*
+ 1116b: ae1a.*
+ 1116c: ae1a.*
+ 1116d: ae1e.*
+ 1116e: ae1e.*
+ 1116f: ae13.*
+ 11170: ae13.*
+ 11171: ae17.*
+ 11172: ae17.*
+ 11173: ae1b.*
+ 11174: ae1b.*
+ 11175: ae1f.*
+ 11176: ae1f.*
+ 11177: af10.*
+ 11178: af10.*
+ 11179: af14.*
+ 1117a: af14.*
+ 1117b: af18.*
+ 1117c: af18.*
+ 1117d: af1c.*
+ 1117e: af1c.*
+ 1117f: af11.*
+ 11180: af11.*
+ 11181: af15.*
+ 11182: af15.*
+ 11183: af19.*
+ 11184: af19.*
+ 11185: af1d.*
+ 11186: af1d.*
+ 11187: af12.*
+ 11188: af12.*
+ 11189: af16.*
+ 1118a: af16.*
+ 1118b: af1a.*
+ 1118c: af1a.*
+ 1118d: af1e.*
+ 1118e: af1e.*
+ 1118f: af13.*
+ 11190: af13.*
+ 11191: af17.*
+ 11192: af17.*
+ 11193: af1b.*
+ 11194: af1b.*
+ 11195: af1f.*
+ 11196: af1f.*
+ 11197: ae50.*
+ 11198: ae50.*
+ 11199: ae54.*
+ 1119a: ae54.*
+ 1119b: ae58.*
+ 1119c: ae58.*
+ 1119d: ae5c.*
+ 1119e: ae5c.*
+ 1119f: ae51.*
+ 111a0: ae51.*
+ 111a1: ae55.*
+ 111a2: ae55.*
+ 111a3: ae59.*
+ 111a4: ae59.*
+ 111a5: ae5d.*
+ 111a6: ae5d.*
+ 111a7: ae52.*
+ 111a8: ae52.*
+ 111a9: ae56.*
+ 111aa: ae56.*
+ 111ab: ae5a.*
+ 111ac: ae5a.*
+ 111ad: ae5e.*
+ 111ae: ae5e.*
+ 111af: ae53.*
+ 111b0: ae53.*
+ 111b1: ae57.*
+ 111b2: ae57.*
+ 111b3: ae5b.*
+ 111b4: ae5b.*
+ 111b5: ae5f.*
+ 111b6: ae5f.*
+ 111b7: af50.*
+ 111b8: af50.*
+ 111b9: af54.*
+ 111ba: af54.*
+ 111bb: af58.*
+ 111bc: af58.*
+ 111bd: af5c.*
+ 111be: af5c.*
+ 111bf: af51.*
+ 111c0: af51.*
+ 111c1: af55.*
+ 111c2: af55.*
+ 111c3: af59.*
+ 111c4: af59.*
+ 111c5: af5d.*
+ 111c6: af5d.*
+ 111c7: af52.*
+ 111c8: af52.*
+ 111c9: af56.*
+ 111ca: af56.*
+ 111cb: af5a.*
+ 111cc: af5a.*
+ 111cd: af5e.*
+ 111ce: af5e.*
+ 111cf: af53.*
+ 111d0: af53.*
+ 111d1: af57.*
+ 111d2: af57.*
+ 111d3: af5b.*
+ 111d4: af5b.*
+ 111d5: af5f.*
+ 111d6: af5f.*
+ 111d7: ae90.*
+ 111d8: ae90.*
+ 111d9: ae94.*
+ 111da: ae94.*
+ 111db: ae98.*
+ 111dc: ae98.*
+ 111dd: ae9c.*
+ 111de: ae9c.*
+ 111df: ae91.*
+ 111e0: ae91.*
+ 111e1: ae95.*
+ 111e2: ae95.*
+ 111e3: ae99.*
+ 111e4: ae99.*
+ 111e5: ae9d.*
+ 111e6: ae9d.*
+ 111e7: ae92.*
+ 111e8: ae92.*
+ 111e9: ae96.*
+ 111ea: ae96.*
+ 111eb: ae9a.*
+ 111ec: ae9a.*
+ 111ed: ae9e.*
+ 111ee: ae9e.*
+ 111ef: ae93.*
+ 111f0: ae93.*
+ 111f1: ae97.*
+ 111f2: ae97.*
+ 111f3: ae9b.*
+ 111f4: ae9b.*
+ 111f5: ae9f.*
+ 111f6: ae9f.*
+ 111f7: af90.*
+ 111f8: af90.*
+ 111f9: af94.*
+ 111fa: af94.*
+ 111fb: af98.*
+ 111fc: af98.*
+ 111fd: af9c.*
+ 111fe: af9c.*
+ 111ff: af91.*
+ 11200: af91.*
+ 11201: af95.*
+ 11202: af95.*
+ 11203: af99.*
+ 11204: af99.*
+ 11205: af9d.*
+ 11206: af9d.*
+ 11207: af92.*
+ 11208: af92.*
+ 11209: af96.*
+ 1120a: af96.*
+ 1120b: af9a.*
+ 1120c: af9a.*
+ 1120d: af9e.*
+ 1120e: af9e.*
+ 1120f: af93.*
+ 11210: af93.*
+ 11211: af97.*
+ 11212: af97.*
+ 11213: af9b.*
+ 11214: af9b.*
+ 11215: af9f.*
+ 11216: af9f.*
+ 11217: aed0.*
+ 11218: aed0.*
+ 11219: aed4.*
+ 1121a: aed4.*
+ 1121b: aed8.*
+ 1121c: aed8.*
+ 1121d: aedc.*
+ 1121e: aedc.*
+ 1121f: aed1.*
+ 11220: aed1.*
+ 11221: aed5.*
+ 11222: aed5.*
+ 11223: aed9.*
+ 11224: aed9.*
+ 11225: aedd.*
+ 11226: aedd.*
+ 11227: aed2.*
+ 11228: aed2.*
+ 11229: aed6.*
+ 1122a: aed6.*
+ 1122b: aeda.*
+ 1122c: aeda.*
+ 1122d: aede.*
+ 1122e: aede.*
+ 1122f: aed3.*
+ 11230: aed3.*
+ 11231: aed7.*
+ 11232: aed7.*
+ 11233: aedb.*
+ 11234: aedb.*
+ 11235: aedf.*
+ 11236: aedf.*
+ 11237: afd0.*
+ 11238: afd0.*
+ 11239: afd4.*
+ 1123a: afd4.*
+ 1123b: afd8.*
+ 1123c: afd8.*
+ 1123d: afdc.*
+ 1123e: afdc.*
+ 1123f: afd1.*
+ 11240: afd1.*
+ 11241: afd5.*
+ 11242: afd5.*
+ 11243: afd9.*
+ 11244: afd9.*
+ 11245: afdd.*
+ 11246: afdd.*
+ 11247: afd2.*
+ 11248: afd2.*
+ 11249: afd6.*
+ 1124a: afd6.*
+ 1124b: afda.*
+ 1124c: afda.*
+ 1124d: afde.*
+ 1124e: afde.*
+ 1124f: afd3.*
+ 11250: afd3.*
+ 11251: afd7.*
+ 11252: afd7.*
+ 11253: afdb.*
+ 11254: afdb.*
+ 11255: afdf.*
+ 11256: afdf.*
+ 11257: ae20.*
+ 11258: ae20.*
+ 11259: ae24.*
+ 1125a: ae24.*
+ 1125b: ae28.*
+ 1125c: ae28.*
+ 1125d: ae2c.*
+ 1125e: ae2c.*
+ 1125f: ae21.*
+ 11260: ae21.*
+ 11261: ae25.*
+ 11262: ae25.*
+ 11263: ae29.*
+ 11264: ae29.*
+ 11265: ae2d.*
+ 11266: ae2d.*
+ 11267: ae22.*
+ 11268: ae22.*
+ 11269: ae26.*
+ 1126a: ae26.*
+ 1126b: ae2a.*
+ 1126c: ae2a.*
+ 1126d: ae2e.*
+ 1126e: ae2e.*
+ 1126f: ae23.*
+ 11270: ae23.*
+ 11271: ae27.*
+ 11272: ae27.*
+ 11273: ae2b.*
+ 11274: ae2b.*
+ 11275: ae2f.*
+ 11276: ae2f.*
+ 11277: af20.*
+ 11278: af20.*
+ 11279: af24.*
+ 1127a: af24.*
+ 1127b: af28.*
+ 1127c: af28.*
+ 1127d: af2c.*
+ 1127e: af2c.*
+ 1127f: af21.*
+ 11280: af21.*
+ 11281: af25.*
+ 11282: af25.*
+ 11283: af29.*
+ 11284: af29.*
+ 11285: af2d.*
+ 11286: af2d.*
+ 11287: af22.*
+ 11288: af22.*
+ 11289: af26.*
+ 1128a: af26.*
+ 1128b: af2a.*
+ 1128c: af2a.*
+ 1128d: af2e.*
+ 1128e: af2e.*
+ 1128f: af23.*
+ 11290: af23.*
+ 11291: af27.*
+ 11292: af27.*
+ 11293: af2b.*
+ 11294: af2b.*
+ 11295: af2f.*
+ 11296: af2f.*
+ 11297: ae60.*
+ 11298: ae60.*
+ 11299: ae64.*
+ 1129a: ae64.*
+ 1129b: ae68.*
+ 1129c: ae68.*
+ 1129d: ae6c.*
+ 1129e: ae6c.*
+ 1129f: ae61.*
+ 112a0: ae61.*
+ 112a1: ae65.*
+ 112a2: ae65.*
+ 112a3: ae69.*
+ 112a4: ae69.*
+ 112a5: ae6d.*
+ 112a6: ae6d.*
+ 112a7: ae62.*
+ 112a8: ae62.*
+ 112a9: ae66.*
+ 112aa: ae66.*
+ 112ab: ae6a.*
+ 112ac: ae6a.*
+ 112ad: ae6e.*
+ 112ae: ae6e.*
+ 112af: ae63.*
+ 112b0: ae63.*
+ 112b1: ae67.*
+ 112b2: ae67.*
+ 112b3: ae6b.*
+ 112b4: ae6b.*
+ 112b5: ae6f.*
+ 112b6: ae6f.*
+ 112b7: af60.*
+ 112b8: af60.*
+ 112b9: af64.*
+ 112ba: af64.*
+ 112bb: af68.*
+ 112bc: af68.*
+ 112bd: af6c.*
+ 112be: af6c.*
+ 112bf: af61.*
+ 112c0: af61.*
+ 112c1: af65.*
+ 112c2: af65.*
+ 112c3: af69.*
+ 112c4: af69.*
+ 112c5: af6d.*
+ 112c6: af6d.*
+ 112c7: af62.*
+ 112c8: af62.*
+ 112c9: af66.*
+ 112ca: af66.*
+ 112cb: af6a.*
+ 112cc: af6a.*
+ 112cd: af6e.*
+ 112ce: af6e.*
+ 112cf: af63.*
+ 112d0: af63.*
+ 112d1: af67.*
+ 112d2: af67.*
+ 112d3: af6b.*
+ 112d4: af6b.*
+ 112d5: af6f.*
+ 112d6: af6f.*
+ 112d7: aea0.*
+ 112d8: aea0.*
+ 112d9: aea4.*
+ 112da: aea4.*
+ 112db: aea8.*
+ 112dc: aea8.*
+ 112dd: aeac.*
+ 112de: aeac.*
+ 112df: aea1.*
+ 112e0: aea1.*
+ 112e1: aea5.*
+ 112e2: aea5.*
+ 112e3: aea9.*
+ 112e4: aea9.*
+ 112e5: aead.*
+ 112e6: aead.*
+ 112e7: aea2.*
+ 112e8: aea2.*
+ 112e9: aea6.*
+ 112ea: aea6.*
+ 112eb: aeaa.*
+ 112ec: aeaa.*
+ 112ed: aeae.*
+ 112ee: aeae.*
+ 112ef: aea3.*
+ 112f0: aea3.*
+ 112f1: aea7.*
+ 112f2: aea7.*
+ 112f3: aeab.*
+ 112f4: aeab.*
+ 112f5: aeaf.*
+ 112f6: aeaf.*
+ 112f7: afa0.*
+ 112f8: afa0.*
+ 112f9: afa4.*
+ 112fa: afa4.*
+ 112fb: afa8.*
+ 112fc: afa8.*
+ 112fd: afac.*
+ 112fe: afac.*
+ 112ff: afa1.*
+ 11300: afa1.*
+ 11301: afa5.*
+ 11302: afa5.*
+ 11303: afa9.*
+ 11304: afa9.*
+ 11305: afad.*
+ 11306: afad.*
+ 11307: afa2.*
+ 11308: afa2.*
+ 11309: afa6.*
+ 1130a: afa6.*
+ 1130b: afaa.*
+ 1130c: afaa.*
+ 1130d: afae.*
+ 1130e: afae.*
+ 1130f: afa3.*
+ 11310: afa3.*
+ 11311: afa7.*
+ 11312: afa7.*
+ 11313: afab.*
+ 11314: afab.*
+ 11315: afaf.*
+ 11316: afaf.*
+ 11317: aee0.*
+ 11318: aee0.*
+ 11319: aee4.*
+ 1131a: aee4.*
+ 1131b: aee8.*
+ 1131c: aee8.*
+ 1131d: aeec.*
+ 1131e: aeec.*
+ 1131f: aee1.*
+ 11320: aee1.*
+ 11321: aee5.*
+ 11322: aee5.*
+ 11323: aee9.*
+ 11324: aee9.*
+ 11325: aeed.*
+ 11326: aeed.*
+ 11327: aee2.*
+ 11328: aee2.*
+ 11329: aee6.*
+ 1132a: aee6.*
+ 1132b: aeea.*
+ 1132c: aeea.*
+ 1132d: aeee.*
+ 1132e: aeee.*
+ 1132f: aee3.*
+ 11330: aee3.*
+ 11331: aee7.*
+ 11332: aee7.*
+ 11333: aeeb.*
+ 11334: aeeb.*
+ 11335: aeef.*
+ 11336: aeef.*
+ 11337: afe0.*
+ 11338: afe0.*
+ 11339: afe4.*
+ 1133a: afe4.*
+ 1133b: afe8.*
+ 1133c: afe8.*
+ 1133d: afec.*
+ 1133e: afec.*
+ 1133f: afe1.*
+ 11340: afe1.*
+ 11341: afe5.*
+ 11342: afe5.*
+ 11343: afe9.*
+ 11344: afe9.*
+ 11345: afed.*
+ 11346: afed.*
+ 11347: afe2.*
+ 11348: afe2.*
+ 11349: afe6.*
+ 1134a: afe6.*
+ 1134b: afea.*
+ 1134c: afea.*
+ 1134d: afee.*
+ 1134e: afee.*
+ 1134f: afe3.*
+ 11350: afe3.*
+ 11351: afe7.*
+ 11352: afe7.*
+ 11353: afeb.*
+ 11354: afeb.*
+ 11355: afef.*
+ 11356: afef.*
+ 11357: ae30.*
+ 11358: ae30.*
+ 11359: ae34.*
+ 1135a: ae34.*
+ 1135b: ae38.*
+ 1135c: ae38.*
+ 1135d: ae3c.*
+ 1135e: ae3c.*
+ 1135f: ae31.*
+ 11360: ae31.*
+ 11361: ae35.*
+ 11362: ae35.*
+ 11363: ae39.*
+ 11364: ae39.*
+ 11365: ae3d.*
+ 11366: ae3d.*
+ 11367: ae32.*
+ 11368: ae32.*
+ 11369: ae36.*
+ 1136a: ae36.*
+ 1136b: ae3a.*
+ 1136c: ae3a.*
+ 1136d: ae3e.*
+ 1136e: ae3e.*
+ 1136f: ae33.*
+ 11370: ae33.*
+ 11371: ae37.*
+ 11372: ae37.*
+ 11373: ae3b.*
+ 11374: ae3b.*
+ 11375: ae3f.*
+ 11376: ae3f.*
+ 11377: af30.*
+ 11378: af30.*
+ 11379: af34.*
+ 1137a: af34.*
+ 1137b: af38.*
+ 1137c: af38.*
+ 1137d: af3c.*
+ 1137e: af3c.*
+ 1137f: af31.*
+ 11380: af31.*
+ 11381: af35.*
+ 11382: af35.*
+ 11383: af39.*
+ 11384: af39.*
+ 11385: af3d.*
+ 11386: af3d.*
+ 11387: af32.*
+ 11388: af32.*
+ 11389: af36.*
+ 1138a: af36.*
+ 1138b: af3a.*
+ 1138c: af3a.*
+ 1138d: af3e.*
+ 1138e: af3e.*
+ 1138f: af33.*
+ 11390: af33.*
+ 11391: af37.*
+ 11392: af37.*
+ 11393: af3b.*
+ 11394: af3b.*
+ 11395: af3f.*
+ 11396: af3f.*
+ 11397: ae70.*
+ 11398: ae70.*
+ 11399: ae74.*
+ 1139a: ae74.*
+ 1139b: ae78.*
+ 1139c: ae78.*
+ 1139d: ae7c.*
+ 1139e: ae7c.*
+ 1139f: ae71.*
+ 113a0: ae71.*
+ 113a1: ae75.*
+ 113a2: ae75.*
+ 113a3: ae79.*
+ 113a4: ae79.*
+ 113a5: ae7d.*
+ 113a6: ae7d.*
+ 113a7: ae72.*
+ 113a8: ae72.*
+ 113a9: ae76.*
+ 113aa: ae76.*
+ 113ab: ae7a.*
+ 113ac: ae7a.*
+ 113ad: ae7e.*
+ 113ae: ae7e.*
+ 113af: ae73.*
+ 113b0: ae73.*
+ 113b1: ae77.*
+ 113b2: ae77.*
+ 113b3: ae7b.*
+ 113b4: ae7b.*
+ 113b5: ae7f.*
+ 113b6: ae7f.*
+ 113b7: af70.*
+ 113b8: af70.*
+ 113b9: af74.*
+ 113ba: af74.*
+ 113bb: af78.*
+ 113bc: af78.*
+ 113bd: af7c.*
+ 113be: af7c.*
+ 113bf: af71.*
+ 113c0: af71.*
+ 113c1: af75.*
+ 113c2: af75.*
+ 113c3: af79.*
+ 113c4: af79.*
+ 113c5: af7d.*
+ 113c6: af7d.*
+ 113c7: af72.*
+ 113c8: af72.*
+ 113c9: af76.*
+ 113ca: af76.*
+ 113cb: af7a.*
+ 113cc: af7a.*
+ 113cd: af7e.*
+ 113ce: af7e.*
+ 113cf: af73.*
+ 113d0: af73.*
+ 113d1: af77.*
+ 113d2: af77.*
+ 113d3: af7b.*
+ 113d4: af7b.*
+ 113d5: af7f.*
+ 113d6: af7f.*
+ 113d7: aeb0.*
+ 113d8: aeb0.*
+ 113d9: aeb4.*
+ 113da: aeb4.*
+ 113db: aeb8.*
+ 113dc: aeb8.*
+ 113dd: aebc.*
+ 113de: aebc.*
+ 113df: aeb1.*
+ 113e0: aeb1.*
+ 113e1: aeb5.*
+ 113e2: aeb5.*
+ 113e3: aeb9.*
+ 113e4: aeb9.*
+ 113e5: aebd.*
+ 113e6: aebd.*
+ 113e7: aeb2.*
+ 113e8: aeb2.*
+ 113e9: aeb6.*
+ 113ea: aeb6.*
+ 113eb: aeba.*
+ 113ec: aeba.*
+ 113ed: aebe.*
+ 113ee: aebe.*
+ 113ef: aeb3.*
+ 113f0: aeb3.*
+ 113f1: aeb7.*
+ 113f2: aeb7.*
+ 113f3: aebb.*
+ 113f4: aebb.*
+ 113f5: aebf.*
+ 113f6: aebf.*
+ 113f7: afb0.*
+ 113f8: afb0.*
+ 113f9: afb4.*
+ 113fa: afb4.*
+ 113fb: afb8.*
+ 113fc: afb8.*
+ 113fd: afbc.*
+ 113fe: afbc.*
+ 113ff: afb1.*
+ 11400: afb1.*
+ 11401: afb5.*
+ 11402: afb5.*
+ 11403: afb9.*
+ 11404: afb9.*
+ 11405: afbd.*
+ 11406: afbd.*
+ 11407: afb2.*
+ 11408: afb2.*
+ 11409: afb6.*
+ 1140a: afb6.*
+ 1140b: afba.*
+ 1140c: afba.*
+ 1140d: afbe.*
+ 1140e: afbe.*
+ 1140f: afb3.*
+ 11410: afb3.*
+ 11411: afb7.*
+ 11412: afb7.*
+ 11413: afbb.*
+ 11414: afbb.*
+ 11415: afbf.*
+ 11416: afbf.*
+ 11417: aef0.*
+ 11418: aef0.*
+ 11419: aef4.*
+ 1141a: aef4.*
+ 1141b: aef8.*
+ 1141c: aef8.*
+ 1141d: aefc.*
+ 1141e: aefc.*
+ 1141f: aef1.*
+ 11420: aef1.*
+ 11421: aef5.*
+ 11422: aef5.*
+ 11423: aef9.*
+ 11424: aef9.*
+ 11425: aefd.*
+ 11426: aefd.*
+ 11427: aef2.*
+ 11428: aef2.*
+ 11429: aef6.*
+ 1142a: aef6.*
+ 1142b: aefa.*
+ 1142c: aefa.*
+ 1142d: aefe.*
+ 1142e: aefe.*
+ 1142f: aef3.*
+ 11430: aef3.*
+ 11431: aef7.*
+ 11432: aef7.*
+ 11433: aefb.*
+ 11434: aefb.*
+ 11435: aeff.*
+ 11436: aeff.*
+ 11437: aff0.*
+ 11438: aff0.*
+ 11439: aff4.*
+ 1143a: aff4.*
+ 1143b: aff8.*
+ 1143c: aff8.*
+ 1143d: affc.*
+ 1143e: affc.*
+ 1143f: aff1.*
+ 11440: aff1.*
+ 11441: aff5.*
+ 11442: aff5.*
+ 11443: aff9.*
+ 11444: aff9.*
+ 11445: affd.*
+ 11446: affd.*
+ 11447: aff2.*
+ 11448: aff2.*
+ 11449: aff6.*
+ 1144a: aff6.*
+ 1144b: affa.*
+ 1144c: affa.*
+ 1144d: affe.*
+ 1144e: affe.*
+ 1144f: aff3.*
+ 11450: aff3.*
+ 11451: aff7.*
+ 11452: aff7.*
+ 11453: affb.*
+ 11454: affb.*
+ 11455: afff.*
+ 11456: afff.*
+ 11457: f4a0.*
+ 11458: f4a1.*
+ 11459: f4a2.*
+ 1145a: f4a3.*
+ 1145b: f4a4.*
+ 1145c: f4a5.*
+ 1145d: f4a6.*
+ 1145e: f4a7.*
+ 1145f: 327a.*
+ 11460: ed10.*
+ 11461: ed11.*
+ 11462: ed12.*
+ 11463: ed13.*
+ 11464: ed14.*
+ 11465: ed15.*
+ 11466: ed16.*
+ 11467: ed17.*
+ 11468: ed18.*
+ 11469: ed19.*
+ 1146a: ed1a.*
+ 1146b: ed1b.*
+ 1146c: ed1c.*
+ 1146d: ed1d.*
+ 1146e: ed1e.*
+ 1146f: ed1f.*
+ 11470: ed00.*
+ 11471: ed01.*
+ 11472: ed02.*
+ 11473: ed03.*
+ 11474: ed04.*
+ 11475: ed05.*
+ 11476: ed06.*
+ 11477: ed07.*
+ 11478: ed08.*
+ 11479: ed09.*
+ 1147a: ed0a.*
+ 1147b: ed0b.*
+ 1147c: ed0c.*
+ 1147d: ed0d.*
+ 1147e: ed0e.*
+ 1147f: ed0f.*
+ 11480: f482.*
+ 11481: f582.*
+ 11482: f482.*
+ 11483: f682.*
+ 11484: f782.*
+ 11485: f782.*
+ 11486: 3280.*
+ 11487: 3288.*
+ 11488: 3290.*
+ 11489: 32a0.*
+ 1148a: 32a8.*
+ 1148b: 32b0.*
+ 1148c: 32b8.*
+ 1148d: 32c0.*
+ 1148e: 32c8.*
+ 1148f: 32d0.*
+ 11490: 32d8.*
+ 11491: 32e0.*
+ 11492: 21fe.*
+ 11493: 32e8.*
+ 11494: 21ff.*
+ 11495: 32f0.*
+ 11496: 2200.*
+ 11497: 32f8.*
+ 11498: 2201.*
+ 11499: 3281.*
+ 1149a: 3289.*
+ 1149b: 3291.*
+ 1149c: 32a1.*
+ 1149d: 32a9.*
+ 1149e: 32b1.*
+ 1149f: 32b9.*
+ 114a0: 32c1.*
+ 114a1: 32c9.*
+ 114a2: 32d1.*
+ 114a3: 32d9.*
+ 114a4: 32e1.*
+ 114a5: 2202.*
+ 114a6: 32e9.*
+ 114a7: 2203.*
+ 114a8: 32f1.*
+ 114a9: 2204.*
+ 114aa: 32f8.*
+ 114ab: 2205.*
+ 114ac: 3282.*
+ 114ad: 328a.*
+ 114ae: 3292.*
+ 114af: 32a2.*
+ 114b0: 32aa.*
+ 114b1: 32b2.*
+ 114b2: 32ba.*
+ 114b3: 32c2.*
+ 114b4: 32ca.*
+ 114b5: 32d2.*
+ 114b6: 32da.*
+ 114b7: 32e2.*
+ 114b8: 2206.*
+ 114b9: 32ea.*
+ 114ba: 2207.*
+ 114bb: 32f2.*
+ 114bc: 2208.*
+ 114bd: 32f8.*
+ 114be: 2209.*
+ 114bf: 3283.*
+ 114c0: 328b.*
+ 114c1: 3293.*
+ 114c2: 32a3.*
+ 114c3: 32ab.*
+ 114c4: 32b3.*
+ 114c5: 32bb.*
+ 114c6: 32c3.*
+ 114c7: 32cb.*
+ 114c8: 32d3.*
+ 114c9: 32db.*
+ 114ca: 32e3.*
+ 114cb: 220a.*
+ 114cc: 32eb.*
+ 114cd: 220b.*
+ 114ce: 32f3.*
+ 114cf: 220c.*
+ 114d0: 32f8.*
+ 114d1: 220d.*
+ 114d2: 3284.*
+ 114d3: 328c.*
+ 114d4: 3294.*
+ 114d5: 32a4.*
+ 114d6: 32ac.*
+ 114d7: 32b4.*
+ 114d8: 32bc.*
+ 114d9: 32c4.*
+ 114da: 32cc.*
+ 114db: 32d4.*
+ 114dc: 32dc.*
+ 114dd: 32e4.*
+ 114de: 220e.*
+ 114df: 32ec.*
+ 114e0: 220f.*
+ 114e1: 32f4.*
+ 114e2: 2210.*
+ 114e3: 32f8.*
+ 114e4: 2211.*
+ 114e5: 3285.*
+ 114e6: 328d.*
+ 114e7: 3295.*
+ 114e8: 32a5.*
+ 114e9: 32ad.*
+ 114ea: 32b5.*
+ 114eb: 32bd.*
+ 114ec: 32c5.*
+ 114ed: 32cd.*
+ 114ee: 32d5.*
+ 114ef: 32dd.*
+ 114f0: 32e5.*
+ 114f1: 2212.*
+ 114f2: 32ed.*
+ 114f3: 2213.*
+ 114f4: 32f5.*
+ 114f5: 2214.*
+ 114f6: 32f8.*
+ 114f7: 2215.*
+ 114f8: 3286.*
+ 114f9: 328e.*
+ 114fa: 3296.*
+ 114fb: 32a6.*
+ 114fc: 32ae.*
+ 114fd: 32b6.*
+ 114fe: 32be.*
+ 114ff: 32c6.*
+ 11500: 32ce.*
+ 11501: 32d6.*
+ 11502: 32de.*
+ 11503: 32e6.*
+ 11504: 2216.*
+ 11505: 32ee.*
+ 11506: 2217.*
+ 11507: 32f6.*
+ 11508: 2218.*
+ 11509: 32f8.*
+ 1150a: 2219.*
+ 1150b: 3287.*
+ 1150c: 328f.*
+ 1150d: 3297.*
+ 1150e: 32a7.*
+ 1150f: 32af.*
+ 11510: 32b7.*
+ 11511: 32bf.*
+ 11512: 32c7.*
+ 11513: 32cf.*
+ 11514: 32d7.*
+ 11515: 32df.*
+ 11516: 32e7.*
+ 11517: 221a.*
+ 11518: 32ef.*
+ 11519: 221b.*
+ 1151a: 32f7.*
+ 1151b: 221c.*
+ 1151c: 32f8.*
+ 1151d: 221d.*
+ 1151e: 467b.*
+ 1151f: 4680.*
+ 11520: 4688.*
+ 11521: 4690.*
+ 11522: 46a0.*
+ 11523: 46a8.*
+ 11524: 46b0.*
+ 11525: 46b8.*
+ 11526: 46c0.*
+ 11527: 46c8.*
+ 11528: 46d0.*
+ 11529: 46d8.*
+ 1152a: 46e0.*
+ 1152b: 221e.*
+ 1152c: 46e8.*
+ 1152d: 221f.*
+ 1152e: 46f0.*
+ 1152f: 2220.*
+ 11530: 46f8.*
+ 11531: 2221.*
+ 11532: 4681.*
+ 11533: 4689.*
+ 11534: 4691.*
+ 11535: 46a1.*
+ 11536: 46a9.*
+ 11537: 46b1.*
+ 11538: 46b9.*
+ 11539: 46c1.*
+ 1153a: 46c9.*
+ 1153b: 46d1.*
+ 1153c: 46d9.*
+ 1153d: 46e1.*
+ 1153e: 2222.*
+ 1153f: 46e9.*
+ 11540: 2223.*
+ 11541: 46f1.*
+ 11542: 2224.*
+ 11543: 46f8.*
+ 11544: 2225.*
+ 11545: 4682.*
+ 11546: 468a.*
+ 11547: 4692.*
+ 11548: 46a2.*
+ 11549: 46aa.*
+ 1154a: 46b2.*
+ 1154b: 46ba.*
+ 1154c: 46c2.*
+ 1154d: 46ca.*
+ 1154e: 46d2.*
+ 1154f: 46da.*
+ 11550: 46e2.*
+ 11551: 2226.*
+ 11552: 46ea.*
+ 11553: 2227.*
+ 11554: 46f2.*
+ 11555: 2228.*
+ 11556: 46f8.*
+ 11557: 2229.*
+ 11558: 4683.*
+ 11559: 468b.*
+ 1155a: 4693.*
+ 1155b: 46a3.*
+ 1155c: 46ab.*
+ 1155d: 46b3.*
+ 1155e: 46bb.*
+ 1155f: 46c3.*
+ 11560: 46cb.*
+ 11561: 46d3.*
+ 11562: 46db.*
+ 11563: 46e3.*
+ 11564: 222a.*
+ 11565: 46eb.*
+ 11566: 222b.*
+ 11567: 46f3.*
+ 11568: 222c.*
+ 11569: 46f8.*
+ 1156a: 222d.*
+ 1156b: 4684.*
+ 1156c: 468c.*
+ 1156d: 4694.*
+ 1156e: 46a4.*
+ 1156f: 46ac.*
+ 11570: 46b4.*
+ 11571: 46bc.*
+ 11572: 46c4.*
+ 11573: 46cc.*
+ 11574: 46d4.*
+ 11575: 46dc.*
+ 11576: 46e4.*
+ 11577: 222e.*
+ 11578: 46ec.*
+ 11579: 222f.*
+ 1157a: 46f4.*
+ 1157b: 2230.*
+ 1157c: 46f8.*
+ 1157d: 2231.*
+ 1157e: 4685.*
+ 1157f: 468d.*
+ 11580: 4695.*
+ 11581: 46a5.*
+ 11582: 46ad.*
+ 11583: 46b5.*
+ 11584: 46bd.*
+ 11585: 46c5.*
+ 11586: 46cd.*
+ 11587: 46d5.*
+ 11588: 46dd.*
+ 11589: 46e5.*
+ 1158a: 2232.*
+ 1158b: 46ed.*
+ 1158c: 2233.*
+ 1158d: 46f5.*
+ 1158e: 2234.*
+ 1158f: 46f8.*
+ 11590: 2235.*
+ 11591: 4686.*
+ 11592: 468e.*
+ 11593: 4696.*
+ 11594: 46a6.*
+ 11595: 46ae.*
+ 11596: 46b6.*
+ 11597: 46be.*
+ 11598: 46c6.*
+ 11599: 46ce.*
+ 1159a: 46d6.*
+ 1159b: 46de.*
+ 1159c: 46e6.*
+ 1159d: 2236.*
+ 1159e: 46ee.*
+ 1159f: 2237.*
+ 115a0: 46f6.*
+ 115a1: 2238.*
+ 115a2: 46f8.*
+ 115a3: 2239.*
+ 115a4: 4687.*
+ 115a5: 468f.*
+ 115a6: 4697.*
+ 115a7: 46a7.*
+ 115a8: 46af.*
+ 115a9: 46b7.*
+ 115aa: 46bf.*
+ 115ab: 46c7.*
+ 115ac: 46cf.*
+ 115ad: 46d7.*
+ 115ae: 46df.*
+ 115af: 46e7.*
+ 115b0: 223a.*
+ 115b1: 46ef.*
+ 115b2: 223b.*
+ 115b3: 46f7.*
+ 115b4: 223c.*
+ 115b5: 46f8.*
+ 115b6: 223d.*
+ 115b7: ea00.*
+ 115b8: ea01.*
+ 115b9: ea02.*
+ 115ba: ea03.*
+ 115bb: ea04.*
+ 115bc: ea05.*
+ 115bd: ea06.*
+ 115be: ea07.*
+ 115bf: ea08.*
+ 115c0: ea09.*
+ 115c1: ea0a.*
+ 115c2: ea0b.*
+ 115c3: ea0c.*
+ 115c4: ea0d.*
+ 115c5: ea0e.*
+ 115c6: ea0f.*
+ 115c7: ea10.*
+ 115c8: ea11.*
+ 115c9: ea12.*
+ 115ca: ea13.*
+ 115cb: ea14.*
+ 115cc: ea15.*
+ 115cd: ea16.*
+ 115ce: ea17.*
+ 115cf: ea18.*
+ 115d0: ea19.*
+ 115d1: ea1a.*
+ 115d2: ea1b.*
+ 115d3: ea1c.*
+ 115d4: ea1d.*
+ 115d5: ea1e.*
+ 115d6: ea1f.*
+ 115d7: ea20.*
+ 115d8: ea21.*
+ 115d9: ea22.*
+ 115da: ea23.*
+ 115db: ea24.*
+ 115dc: ea25.*
+ 115dd: ea26.*
+ 115de: ea27.*
+ 115df: ea28.*
+ 115e0: ea29.*
+ 115e1: ea2a.*
+ 115e2: ea2b.*
+ 115e3: ea2c.*
+ 115e4: ea2d.*
+ 115e5: ea2e.*
+ 115e6: ea2f.*
+ 115e7: ea30.*
+ 115e8: ea31.*
+ 115e9: ea32.*
+ 115ea: ea33.*
+ 115eb: ea34.*
+ 115ec: ea35.*
+ 115ed: ea36.*
+ 115ee: ea37.*
+ 115ef: ea38.*
+ 115f0: ea39.*
+ 115f1: ea3a.*
+ 115f2: ea3b.*
+ 115f3: ea3c.*
+ 115f4: ea3d.*
+ 115f5: ea3e.*
+ 115f6: ea3f.*
+ 115f7: ea40.*
+ 115f8: ea41.*
+ 115f9: ea42.*
+ 115fa: ea43.*
+ 115fb: ea44.*
+ 115fc: ea45.*
+ 115fd: ea46.*
+ 115fe: ea47.*
+ 115ff: ea48.*
+ 11600: ea49.*
+ 11601: ea4a.*
+ 11602: ea4b.*
+ 11603: ea4c.*
+ 11604: ea4d.*
+ 11605: ea4e.*
+ 11606: ea4f.*
+ 11607: ea50.*
+ 11608: ea51.*
+ 11609: ea52.*
+ 1160a: ea53.*
+ 1160b: ea54.*
+ 1160c: ea55.*
+ 1160d: ea56.*
+ 1160e: ea57.*
+ 1160f: ea58.*
+ 11610: ea59.*
+ 11611: ea5a.*
+ 11612: ea5b.*
+ 11613: ea5c.*
+ 11614: ea5d.*
+ 11615: ea5e.*
+ 11616: ea5f.*
+ 11617: ea60.*
+ 11618: ea61.*
+ 11619: ea62.*
+ 1161a: ea63.*
+ 1161b: ea64.*
+ 1161c: ea65.*
+ 1161d: ea66.*
+ 1161e: ea67.*
+ 1161f: ea68.*
+ 11620: ea69.*
+ 11621: ea6a.*
+ 11622: ea6b.*
+ 11623: ea6c.*
+ 11624: ea6d.*
+ 11625: ea6e.*
+ 11626: ea6f.*
+ 11627: ea70.*
+ 11628: ea71.*
+ 11629: ea72.*
+ 1162a: ea73.*
+ 1162b: ea74.*
+ 1162c: ea75.*
+ 1162d: ea76.*
+ 1162e: ea77.*
+ 1162f: ea78.*
+ 11630: ea79.*
+ 11631: ea7a.*
+ 11632: ea7b.*
+ 11633: ea7c.*
+ 11634: ea7d.*
+ 11635: ea7e.*
+ 11636: ea7f.*
+ 11637: ea80.*
+ 11638: ea81.*
+ 11639: ea82.*
+ 1163a: ea83.*
+ 1163b: ea84.*
+ 1163c: ea85.*
+ 1163d: ea86.*
+ 1163e: ea87.*
+ 1163f: ea88.*
+ 11640: ea89.*
+ 11641: ea8a.*
+ 11642: ea8b.*
+ 11643: ea8c.*
+ 11644: ea8d.*
+ 11645: ea8e.*
+ 11646: ea8f.*
+ 11647: ea90.*
+ 11648: ea91.*
+ 11649: ea92.*
+ 1164a: ea93.*
+ 1164b: ea94.*
+ 1164c: ea95.*
+ 1164d: ea96.*
+ 1164e: ea97.*
+ 1164f: ea98.*
+ 11650: ea99.*
+ 11651: ea9a.*
+ 11652: ea9b.*
+ 11653: ea9c.*
+ 11654: ea9d.*
+ 11655: ea9e.*
+ 11656: ea9f.*
+ 11657: eaa0.*
+ 11658: eaa1.*
+ 11659: eaa2.*
+ 1165a: eaa3.*
+ 1165b: eaa4.*
+ 1165c: eaa5.*
+ 1165d: eaa6.*
+ 1165e: eaa7.*
+ 1165f: eaa8.*
+ 11660: eaa9.*
+ 11661: eaaa.*
+ 11662: eaab.*
+ 11663: eaac.*
+ 11664: eaad.*
+ 11665: eaae.*
+ 11666: eaaf.*
+ 11667: eab0.*
+ 11668: eab1.*
+ 11669: eab2.*
+ 1166a: eab3.*
+ 1166b: eab4.*
+ 1166c: eab5.*
+ 1166d: eab6.*
+ 1166e: eab7.*
+ 1166f: eab8.*
+ 11670: eab9.*
+ 11671: eaba.*
+ 11672: eabb.*
+ 11673: eabc.*
+ 11674: eabd.*
+ 11675: eabe.*
+ 11676: eabf.*
+ 11677: eac0.*
+ 11678: eac1.*
+ 11679: eac2.*
+ 1167a: eac3.*
+ 1167b: eac4.*
+ 1167c: eac5.*
+ 1167d: eac6.*
+ 1167e: eac7.*
+ 1167f: eac8.*
+ 11680: eac9.*
+ 11681: eaca.*
+ 11682: eacb.*
+ 11683: eacc.*
+ 11684: eacd.*
+ 11685: eace.*
+ 11686: eacf.*
+ 11687: ead0.*
+ 11688: ead1.*
+ 11689: ead2.*
+ 1168a: ead3.*
+ 1168b: ead4.*
+ 1168c: ead5.*
+ 1168d: ead6.*
+ 1168e: ead7.*
+ 1168f: ead8.*
+ 11690: ead9.*
+ 11691: eada.*
+ 11692: eadb.*
+ 11693: eadc.*
+ 11694: eadd.*
+ 11695: eade.*
+ 11696: eadf.*
+ 11697: eae0.*
+ 11698: eae1.*
+ 11699: eae2.*
+ 1169a: eae3.*
+ 1169b: eae4.*
+ 1169c: eae5.*
+ 1169d: eae6.*
+ 1169e: eae7.*
+ 1169f: eae8.*
+ 116a0: eae9.*
+ 116a1: eaea.*
+ 116a2: eaeb.*
+ 116a3: eaec.*
+ 116a4: eaed.*
+ 116a5: eaee.*
+ 116a6: eaef.*
+ 116a7: eaf0.*
+ 116a8: eaf1.*
+ 116a9: eaf2.*
+ 116aa: eaf3.*
+ 116ab: eaf4.*
+ 116ac: eaf5.*
+ 116ad: eaf6.*
+ 116ae: eaf7.*
+ 116af: eaf8.*
+ 116b0: eaf9.*
+ 116b1: eafa.*
+ 116b2: eafb.*
+ 116b3: eafc.*
+ 116b4: eafd.*
+ 116b5: eafe.*
+ 116b6: eaff.*
+ 116b7: eb00.*
+ 116b8: eb01.*
+ 116b9: eb02.*
+ 116ba: eb03.*
+ 116bb: eb04.*
+ 116bc: eb05.*
+ 116bd: eb06.*
+ 116be: eb07.*
+ 116bf: eb08.*
+ 116c0: eb09.*
+ 116c1: eb0a.*
+ 116c2: eb0b.*
+ 116c3: eb0c.*
+ 116c4: eb0d.*
+ 116c5: eb0e.*
+ 116c6: eb0f.*
+ 116c7: eb10.*
+ 116c8: eb11.*
+ 116c9: eb12.*
+ 116ca: eb13.*
+ 116cb: eb14.*
+ 116cc: eb15.*
+ 116cd: eb16.*
+ 116ce: eb17.*
+ 116cf: eb18.*
+ 116d0: eb19.*
+ 116d1: eb1a.*
+ 116d2: eb1b.*
+ 116d3: eb1c.*
+ 116d4: eb1d.*
+ 116d5: eb1e.*
+ 116d6: eb1f.*
+ 116d7: eb20.*
+ 116d8: eb21.*
+ 116d9: eb22.*
+ 116da: eb23.*
+ 116db: eb24.*
+ 116dc: eb25.*
+ 116dd: eb26.*
+ 116de: eb27.*
+ 116df: eb28.*
+ 116e0: eb29.*
+ 116e1: eb2a.*
+ 116e2: eb2b.*
+ 116e3: eb2c.*
+ 116e4: eb2d.*
+ 116e5: eb2e.*
+ 116e6: eb2f.*
+ 116e7: eb30.*
+ 116e8: eb31.*
+ 116e9: eb32.*
+ 116ea: eb33.*
+ 116eb: eb34.*
+ 116ec: eb35.*
+ 116ed: eb36.*
+ 116ee: eb37.*
+ 116ef: eb38.*
+ 116f0: eb39.*
+ 116f1: eb3a.*
+ 116f2: eb3b.*
+ 116f3: eb3c.*
+ 116f4: eb3d.*
+ 116f5: eb3e.*
+ 116f6: eb3f.*
+ 116f7: eb40.*
+ 116f8: eb41.*
+ 116f9: eb42.*
+ 116fa: eb43.*
+ 116fb: eb44.*
+ 116fc: eb45.*
+ 116fd: eb46.*
+ 116fe: eb47.*
+ 116ff: eb48.*
+ 11700: eb49.*
+ 11701: eb4a.*
+ 11702: eb4b.*
+ 11703: eb4c.*
+ 11704: eb4d.*
+ 11705: eb4e.*
+ 11706: eb4f.*
+ 11707: eb50.*
+ 11708: eb51.*
+ 11709: eb52.*
+ 1170a: eb53.*
+ 1170b: eb54.*
+ 1170c: eb55.*
+ 1170d: eb56.*
+ 1170e: eb57.*
+ 1170f: eb58.*
+ 11710: eb59.*
+ 11711: eb5a.*
+ 11712: eb5b.*
+ 11713: eb5c.*
+ 11714: eb5d.*
+ 11715: eb5e.*
+ 11716: eb5f.*
+ 11717: eb60.*
+ 11718: eb61.*
+ 11719: eb62.*
+ 1171a: eb63.*
+ 1171b: eb64.*
+ 1171c: eb65.*
+ 1171d: eb66.*
+ 1171e: eb67.*
+ 1171f: eb68.*
+ 11720: eb69.*
+ 11721: eb6a.*
+ 11722: eb6b.*
+ 11723: eb6c.*
+ 11724: eb6d.*
+ 11725: eb6e.*
+ 11726: eb6f.*
+ 11727: eb70.*
+ 11728: eb71.*
+ 11729: eb72.*
+ 1172a: eb73.*
+ 1172b: eb74.*
+ 1172c: eb75.*
+ 1172d: eb76.*
+ 1172e: eb77.*
+ 1172f: eb78.*
+ 11730: eb79.*
+ 11731: eb7a.*
+ 11732: eb7b.*
+ 11733: eb7c.*
+ 11734: eb7d.*
+ 11735: eb7e.*
+ 11736: eb7f.*
+ 11737: eb80.*
+ 11738: eb81.*
+ 11739: eb82.*
+ 1173a: eb83.*
+ 1173b: eb84.*
+ 1173c: eb85.*
+ 1173d: eb86.*
+ 1173e: eb87.*
+ 1173f: eb88.*
+ 11740: eb89.*
+ 11741: eb8a.*
+ 11742: eb8b.*
+ 11743: eb8c.*
+ 11744: eb8d.*
+ 11745: eb8e.*
+ 11746: eb8f.*
+ 11747: eb90.*
+ 11748: eb91.*
+ 11749: eb92.*
+ 1174a: eb93.*
+ 1174b: eb94.*
+ 1174c: eb95.*
+ 1174d: eb96.*
+ 1174e: eb97.*
+ 1174f: eb98.*
+ 11750: eb99.*
+ 11751: eb9a.*
+ 11752: eb9b.*
+ 11753: eb9c.*
+ 11754: eb9d.*
+ 11755: eb9e.*
+ 11756: eb9f.*
+ 11757: eba0.*
+ 11758: eba1.*
+ 11759: eba2.*
+ 1175a: eba3.*
+ 1175b: eba4.*
+ 1175c: eba5.*
+ 1175d: eba6.*
+ 1175e: eba7.*
+ 1175f: eba8.*
+ 11760: eba9.*
+ 11761: ebaa.*
+ 11762: ebab.*
+ 11763: ebac.*
+ 11764: ebad.*
+ 11765: ebae.*
+ 11766: ebaf.*
+ 11767: ebb0.*
+ 11768: ebb1.*
+ 11769: ebb2.*
+ 1176a: ebb3.*
+ 1176b: ebb4.*
+ 1176c: ebb5.*
+ 1176d: ebb6.*
+ 1176e: ebb7.*
+ 1176f: ebb8.*
+ 11770: ebb9.*
+ 11771: ebba.*
+ 11772: ebbb.*
+ 11773: ebbc.*
+ 11774: ebbd.*
+ 11775: ebbe.*
+ 11776: ebbf.*
+ 11777: ebc0.*
+ 11778: ebc1.*
+ 11779: ebc2.*
+ 1177a: ebc3.*
+ 1177b: ebc4.*
+ 1177c: ebc5.*
+ 1177d: ebc6.*
+ 1177e: ebc7.*
+ 1177f: ebc8.*
+ 11780: ebc9.*
+ 11781: ebca.*
+ 11782: ebcb.*
+ 11783: ebcc.*
+ 11784: ebcd.*
+ 11785: ebce.*
+ 11786: ebcf.*
+ 11787: ebd0.*
+ 11788: ebd1.*
+ 11789: ebd2.*
+ 1178a: ebd3.*
+ 1178b: ebd4.*
+ 1178c: ebd5.*
+ 1178d: ebd6.*
+ 1178e: ebd7.*
+ 1178f: ebd8.*
+ 11790: ebd9.*
+ 11791: ebda.*
+ 11792: ebdb.*
+ 11793: ebdc.*
+ 11794: ebdd.*
+ 11795: ebde.*
+ 11796: ebdf.*
+ 11797: ebe0.*
+ 11798: ebe1.*
+ 11799: ebe2.*
+ 1179a: ebe3.*
+ 1179b: ebe4.*
+ 1179c: ebe5.*
+ 1179d: ebe6.*
+ 1179e: ebe7.*
+ 1179f: ebe8.*
+ 117a0: ebe9.*
+ 117a1: ebea.*
+ 117a2: ebeb.*
+ 117a3: ebec.*
+ 117a4: ebed.*
+ 117a5: ebee.*
+ 117a6: ebef.*
+ 117a7: ebf0.*
+ 117a8: ebf1.*
+ 117a9: ebf2.*
+ 117aa: ebf3.*
+ 117ab: ebf4.*
+ 117ac: ebf5.*
+ 117ad: ebf6.*
+ 117ae: ebf7.*
+ 117af: ebf8.*
+ 117b0: ebf9.*
+ 117b1: ebfa.*
+ 117b2: ebfb.*
+ 117b3: ebfc.*
+ 117b4: ebfd.*
+ 117b5: ebfe.*
+ 117b6: ebff.*
+ 117b7: e800.*
+ 117b8: e900.*
+ 117b9: e801.*
+ 117ba: e901.*
+ 117bb: e802.*
+ 117bc: e902.*
+ 117bd: e803.*
+ 117be: e903.*
+ 117bf: e804.*
+ 117c0: e904.*
+ 117c1: e805.*
+ 117c2: e905.*
+ 117c3: e806.*
+ 117c4: e906.*
+ 117c5: e807.*
+ 117c6: e907.*
+ 117c7: e808.*
+ 117c8: e908.*
+ 117c9: e809.*
+ 117ca: e909.*
+ 117cb: e80a.*
+ 117cc: e90a.*
+ 117cd: e80b.*
+ 117ce: e90b.*
+ 117cf: e80c.*
+ 117d0: e90c.*
+ 117d1: e80d.*
+ 117d2: e90d.*
+ 117d3: e80e.*
+ 117d4: e90e.*
+ 117d5: e80f.*
+ 117d6: e90f.*
+ 117d7: e810.*
+ 117d8: e910.*
+ 117d9: e811.*
+ 117da: e911.*
+ 117db: e812.*
+ 117dc: e912.*
+ 117dd: e813.*
+ 117de: e913.*
+ 117df: e814.*
+ 117e0: e914.*
+ 117e1: e815.*
+ 117e2: e915.*
+ 117e3: e816.*
+ 117e4: e916.*
+ 117e5: e817.*
+ 117e6: e917.*
+ 117e7: e818.*
+ 117e8: e918.*
+ 117e9: e819.*
+ 117ea: e919.*
+ 117eb: e81a.*
+ 117ec: e91a.*
+ 117ed: e81b.*
+ 117ee: e91b.*
+ 117ef: e81c.*
+ 117f0: e91c.*
+ 117f1: e81d.*
+ 117f2: e91d.*
+ 117f3: e81e.*
+ 117f4: e91e.*
+ 117f5: e81f.*
+ 117f6: e91f.*
+ 117f7: e820.*
+ 117f8: e920.*
+ 117f9: e821.*
+ 117fa: e921.*
+ 117fb: e822.*
+ 117fc: e922.*
+ 117fd: e823.*
+ 117fe: e923.*
+ 117ff: e824.*
+ 11800: e924.*
+ 11801: e825.*
+ 11802: e925.*
+ 11803: e826.*
+ 11804: e926.*
+ 11805: e827.*
+ 11806: e927.*
+ 11807: e828.*
+ 11808: e928.*
+ 11809: e829.*
+ 1180a: e929.*
+ 1180b: e82a.*
+ 1180c: e92a.*
+ 1180d: e82b.*
+ 1180e: e92b.*
+ 1180f: e82c.*
+ 11810: e92c.*
+ 11811: e82d.*
+ 11812: e92d.*
+ 11813: e82e.*
+ 11814: e92e.*
+ 11815: e82f.*
+ 11816: e92f.*
+ 11817: e830.*
+ 11818: e930.*
+ 11819: e831.*
+ 1181a: e931.*
+ 1181b: e832.*
+ 1181c: e932.*
+ 1181d: e833.*
+ 1181e: e933.*
+ 1181f: e834.*
+ 11820: e934.*
+ 11821: e835.*
+ 11822: e935.*
+ 11823: e836.*
+ 11824: e936.*
+ 11825: e837.*
+ 11826: e937.*
+ 11827: e838.*
+ 11828: e938.*
+ 11829: e839.*
+ 1182a: e939.*
+ 1182b: e83a.*
+ 1182c: e93a.*
+ 1182d: e83b.*
+ 1182e: e93b.*
+ 1182f: e83c.*
+ 11830: e93c.*
+ 11831: e83d.*
+ 11832: e93d.*
+ 11833: e83e.*
+ 11834: e93e.*
+ 11835: e83f.*
+ 11836: e93f.*
+ 11837: e840.*
+ 11838: e940.*
+ 11839: e841.*
+ 1183a: e941.*
+ 1183b: e842.*
+ 1183c: e942.*
+ 1183d: e843.*
+ 1183e: e943.*
+ 1183f: e844.*
+ 11840: e944.*
+ 11841: e845.*
+ 11842: e945.*
+ 11843: e846.*
+ 11844: e946.*
+ 11845: e847.*
+ 11846: e947.*
+ 11847: e848.*
+ 11848: e948.*
+ 11849: e849.*
+ 1184a: e949.*
+ 1184b: e84a.*
+ 1184c: e94a.*
+ 1184d: e84b.*
+ 1184e: e94b.*
+ 1184f: e84c.*
+ 11850: e94c.*
+ 11851: e84d.*
+ 11852: e94d.*
+ 11853: e84e.*
+ 11854: e94e.*
+ 11855: e84f.*
+ 11856: e94f.*
+ 11857: e850.*
+ 11858: e950.*
+ 11859: e851.*
+ 1185a: e951.*
+ 1185b: e852.*
+ 1185c: e952.*
+ 1185d: e853.*
+ 1185e: e953.*
+ 1185f: e854.*
+ 11860: e954.*
+ 11861: e855.*
+ 11862: e955.*
+ 11863: e856.*
+ 11864: e956.*
+ 11865: e857.*
+ 11866: e957.*
+ 11867: e858.*
+ 11868: e958.*
+ 11869: e859.*
+ 1186a: e959.*
+ 1186b: e85a.*
+ 1186c: e95a.*
+ 1186d: e85b.*
+ 1186e: e95b.*
+ 1186f: e85c.*
+ 11870: e95c.*
+ 11871: e85d.*
+ 11872: e95d.*
+ 11873: e85e.*
+ 11874: e95e.*
+ 11875: e85f.*
+ 11876: e95f.*
+ 11877: e860.*
+ 11878: e960.*
+ 11879: e861.*
+ 1187a: e961.*
+ 1187b: e862.*
+ 1187c: e962.*
+ 1187d: e863.*
+ 1187e: e963.*
+ 1187f: e864.*
+ 11880: e964.*
+ 11881: e865.*
+ 11882: e965.*
+ 11883: e866.*
+ 11884: e966.*
+ 11885: e867.*
+ 11886: e967.*
+ 11887: e868.*
+ 11888: e968.*
+ 11889: e869.*
+ 1188a: e969.*
+ 1188b: e86a.*
+ 1188c: e96a.*
+ 1188d: e86b.*
+ 1188e: e96b.*
+ 1188f: e86c.*
+ 11890: e96c.*
+ 11891: e86d.*
+ 11892: e96d.*
+ 11893: e86e.*
+ 11894: e96e.*
+ 11895: e86f.*
+ 11896: e96f.*
+ 11897: e870.*
+ 11898: e970.*
+ 11899: e871.*
+ 1189a: e971.*
+ 1189b: e872.*
+ 1189c: e972.*
+ 1189d: e873.*
+ 1189e: e973.*
+ 1189f: e874.*
+ 118a0: e974.*
+ 118a1: e875.*
+ 118a2: e975.*
+ 118a3: e876.*
+ 118a4: e976.*
+ 118a5: e877.*
+ 118a6: e977.*
+ 118a7: e878.*
+ 118a8: e978.*
+ 118a9: e879.*
+ 118aa: e979.*
+ 118ab: e87a.*
+ 118ac: e97a.*
+ 118ad: e87b.*
+ 118ae: e97b.*
+ 118af: e87c.*
+ 118b0: e97c.*
+ 118b1: e87d.*
+ 118b2: e97d.*
+ 118b3: e87e.*
+ 118b4: e97e.*
+ 118b5: e87f.*
+ 118b6: e97f.*
+ 118b7: e880.*
+ 118b8: e980.*
+ 118b9: e881.*
+ 118ba: e981.*
+ 118bb: e882.*
+ 118bc: e982.*
+ 118bd: e883.*
+ 118be: e983.*
+ 118bf: e884.*
+ 118c0: e984.*
+ 118c1: e885.*
+ 118c2: e985.*
+ 118c3: e886.*
+ 118c4: e986.*
+ 118c5: e887.*
+ 118c6: e987.*
+ 118c7: e888.*
+ 118c8: e988.*
+ 118c9: e889.*
+ 118ca: e989.*
+ 118cb: e88a.*
+ 118cc: e98a.*
+ 118cd: e88b.*
+ 118ce: e98b.*
+ 118cf: e88c.*
+ 118d0: e98c.*
+ 118d1: e88d.*
+ 118d2: e98d.*
+ 118d3: e88e.*
+ 118d4: e98e.*
+ 118d5: e88f.*
+ 118d6: e98f.*
+ 118d7: e890.*
+ 118d8: e990.*
+ 118d9: e891.*
+ 118da: e991.*
+ 118db: e892.*
+ 118dc: e992.*
+ 118dd: e893.*
+ 118de: e993.*
+ 118df: e894.*
+ 118e0: e994.*
+ 118e1: e895.*
+ 118e2: e995.*
+ 118e3: e896.*
+ 118e4: e996.*
+ 118e5: e897.*
+ 118e6: e997.*
+ 118e7: e898.*
+ 118e8: e998.*
+ 118e9: e899.*
+ 118ea: e999.*
+ 118eb: e89a.*
+ 118ec: e99a.*
+ 118ed: e89b.*
+ 118ee: e99b.*
+ 118ef: e89c.*
+ 118f0: e99c.*
+ 118f1: e89d.*
+ 118f2: e99d.*
+ 118f3: e89e.*
+ 118f4: e99e.*
+ 118f5: e89f.*
+ 118f6: e99f.*
+ 118f7: e8a0.*
+ 118f8: e9a0.*
+ 118f9: e8a1.*
+ 118fa: e9a1.*
+ 118fb: e8a2.*
+ 118fc: e9a2.*
+ 118fd: e8a3.*
+ 118fe: e9a3.*
+ 118ff: e8a4.*
+ 11900: e9a4.*
+ 11901: e8a5.*
+ 11902: e9a5.*
+ 11903: e8a6.*
+ 11904: e9a6.*
+ 11905: e8a7.*
+ 11906: e9a7.*
+ 11907: e8a8.*
+ 11908: e9a8.*
+ 11909: e8a9.*
+ 1190a: e9a9.*
+ 1190b: e8aa.*
+ 1190c: e9aa.*
+ 1190d: e8ab.*
+ 1190e: e9ab.*
+ 1190f: e8ac.*
+ 11910: e9ac.*
+ 11911: e8ad.*
+ 11912: e9ad.*
+ 11913: e8ae.*
+ 11914: e9ae.*
+ 11915: e8af.*
+ 11916: e9af.*
+ 11917: e8b0.*
+ 11918: e9b0.*
+ 11919: e8b1.*
+ 1191a: e9b1.*
+ 1191b: e8b2.*
+ 1191c: e9b2.*
+ 1191d: e8b3.*
+ 1191e: e9b3.*
+ 1191f: e8b4.*
+ 11920: e9b4.*
+ 11921: e8b5.*
+ 11922: e9b5.*
+ 11923: e8b6.*
+ 11924: e9b6.*
+ 11925: e8b7.*
+ 11926: e9b7.*
+ 11927: e8b8.*
+ 11928: e9b8.*
+ 11929: e8b9.*
+ 1192a: e9b9.*
+ 1192b: e8ba.*
+ 1192c: e9ba.*
+ 1192d: e8bb.*
+ 1192e: e9bb.*
+ 1192f: e8bc.*
+ 11930: e9bc.*
+ 11931: e8bd.*
+ 11932: e9bd.*
+ 11933: e8be.*
+ 11934: e9be.*
+ 11935: e8bf.*
+ 11936: e9bf.*
+ 11937: e8c0.*
+ 11938: e9c0.*
+ 11939: e8c1.*
+ 1193a: e9c1.*
+ 1193b: e8c2.*
+ 1193c: e9c2.*
+ 1193d: e8c3.*
+ 1193e: e9c3.*
+ 1193f: e8c4.*
+ 11940: e9c4.*
+ 11941: e8c5.*
+ 11942: e9c5.*
+ 11943: e8c6.*
+ 11944: e9c6.*
+ 11945: e8c7.*
+ 11946: e9c7.*
+ 11947: e8c8.*
+ 11948: e9c8.*
+ 11949: e8c9.*
+ 1194a: e9c9.*
+ 1194b: e8ca.*
+ 1194c: e9ca.*
+ 1194d: e8cb.*
+ 1194e: e9cb.*
+ 1194f: e8cc.*
+ 11950: e9cc.*
+ 11951: e8cd.*
+ 11952: e9cd.*
+ 11953: e8ce.*
+ 11954: e9ce.*
+ 11955: e8cf.*
+ 11956: e9cf.*
+ 11957: e8d0.*
+ 11958: e9d0.*
+ 11959: e8d1.*
+ 1195a: e9d1.*
+ 1195b: e8d2.*
+ 1195c: e9d2.*
+ 1195d: e8d3.*
+ 1195e: e9d3.*
+ 1195f: e8d4.*
+ 11960: e9d4.*
+ 11961: e8d5.*
+ 11962: e9d5.*
+ 11963: e8d6.*
+ 11964: e9d6.*
+ 11965: e8d7.*
+ 11966: e9d7.*
+ 11967: e8d8.*
+ 11968: e9d8.*
+ 11969: e8d9.*
+ 1196a: e9d9.*
+ 1196b: e8da.*
+ 1196c: e9da.*
+ 1196d: e8db.*
+ 1196e: e9db.*
+ 1196f: e8dc.*
+ 11970: e9dc.*
+ 11971: e8dd.*
+ 11972: e9dd.*
+ 11973: e8de.*
+ 11974: e9de.*
+ 11975: e8df.*
+ 11976: e9df.*
+ 11977: e8e0.*
+ 11978: e9e0.*
+ 11979: e8e1.*
+ 1197a: e9e1.*
+ 1197b: e8e2.*
+ 1197c: e9e2.*
+ 1197d: e8e3.*
+ 1197e: e9e3.*
+ 1197f: e8e4.*
+ 11980: e9e4.*
+ 11981: e8e5.*
+ 11982: e9e5.*
+ 11983: e8e6.*
+ 11984: e9e6.*
+ 11985: e8e7.*
+ 11986: e9e7.*
+ 11987: e8e8.*
+ 11988: e9e8.*
+ 11989: e8e9.*
+ 1198a: e9e9.*
+ 1198b: e8ea.*
+ 1198c: e9ea.*
+ 1198d: e8eb.*
+ 1198e: e9eb.*
+ 1198f: e8ec.*
+ 11990: e9ec.*
+ 11991: e8ed.*
+ 11992: e9ed.*
+ 11993: e8ee.*
+ 11994: e9ee.*
+ 11995: e8ef.*
+ 11996: e9ef.*
+ 11997: e8f0.*
+ 11998: e9f0.*
+ 11999: e8f1.*
+ 1199a: e9f1.*
+ 1199b: e8f2.*
+ 1199c: e9f2.*
+ 1199d: e8f3.*
+ 1199e: e9f3.*
+ 1199f: e8f4.*
+ 119a0: e9f4.*
+ 119a1: e8f5.*
+ 119a2: e9f5.*
+ 119a3: e8f6.*
+ 119a4: e9f6.*
+ 119a5: e8f7.*
+ 119a6: e9f7.*
+ 119a7: e8f8.*
+ 119a8: e9f8.*
+ 119a9: e8f9.*
+ 119aa: e9f9.*
+ 119ab: e8fa.*
+ 119ac: e9fa.*
+ 119ad: e8fb.*
+ 119ae: e9fb.*
+ 119af: e8fc.*
+ 119b0: e9fc.*
+ 119b1: e8fd.*
+ 119b2: e9fd.*
+ 119b3: e8fe.*
+ 119b4: e9fe.*
+ 119b5: e8ff.*
+ 119b6: e9ff.*
+ 119b7: f020.*
+ 119b8: 223e.*
+ 119b9: f120.*
+ 119ba: 223f.*
+ 119bb: f020.*
+ 119bc: 2240.*
+ 119bd: f120.*
+ 119be: 2241.*
+ 119bf: f021.*
+ 119c0: 2242.*
+ 119c1: f121.*
+ 119c2: 2243.*
+ 119c3: f022.*
+ 119c4: 2244.*
+ 119c5: f122.*
+ 119c6: 2245.*
+ 119c7: f023.*
+ 119c8: 2246.*
+ 119c9: f123.*
+ 119ca: 2247.*
+ 119cb: f024.*
+ 119cc: 2248.*
+ 119cd: f124.*
+ 119ce: 2249.*
+ 119cf: f025.*
+ 119d0: 224a.*
+ 119d1: f125.*
+ 119d2: 224b.*
+ 119d3: f026.*
+ 119d4: 224c.*
+ 119d5: f126.*
+ 119d6: 224d.*
+ 119d7: f027.*
+ 119d8: 224e.*
+ 119d9: f127.*
+ 119da: 224f.*
+ 119db: f028.*
+ 119dc: 2250.*
+ 119dd: f128.*
+ 119de: 2251.*
+ 119df: f029.*
+ 119e0: 2252.*
+ 119e1: f129.*
+ 119e2: 2253.*
+ 119e3: f02a.*
+ 119e4: 2254.*
+ 119e5: f12a.*
+ 119e6: 2255.*
+ 119e7: f02b.*
+ 119e8: 2256.*
+ 119e9: f12b.*
+ 119ea: 2257.*
+ 119eb: f02c.*
+ 119ec: 2258.*
+ 119ed: f12c.*
+ 119ee: 2259.*
+ 119ef: f02d.*
+ 119f0: 225a.*
+ 119f1: f12d.*
+ 119f2: 225b.*
+ 119f3: f02e.*
+ 119f4: 225c.*
+ 119f5: f12e.*
+ 119f6: 225d.*
+ 119f7: f02f.*
+ 119f8: 225e.*
+ 119f9: f12f.*
+ 119fa: 225f.*
+ 119fb: f440.*
+ 119fc: f540.*
+ 119fd: f450.*
+ 119fe: f550.*
+ 119ff: f450.*
+ 11a00: f451.*
+ 11a01: f551.*
+ 11a02: f451.*
+ 11a03: f452.*
+ 11a04: f552.*
+ 11a05: f452.*
+ 11a06: f453.*
+ 11a07: f553.*
+ 11a08: f453.*
+ 11a09: f454.*
+ 11a0a: f554.*
+ 11a0b: f454.*
+ 11a0c: f455.*
+ 11a0d: f555.*
+ 11a0e: f455.*
+ 11a0f: f456.*
+ 11a10: f556.*
+ 11a11: f456.*
+ 11a12: f457.*
+ 11a13: f557.*
+ 11a14: f457.*
+ 11a15: f458.*
+ 11a16: f558.*
+ 11a17: f458.*
+ 11a18: f459.*
+ 11a19: f559.*
+ 11a1a: f459.*
+ 11a1b: f45a.*
+ 11a1c: f55a.*
+ 11a1d: f45a.*
+ 11a1e: f45b.*
+ 11a1f: f55b.*
+ 11a20: f45b.*
+ 11a21: f45c.*
+ 11a22: f55c.*
+ 11a23: f45c.*
+ 11a24: f45d.*
+ 11a25: f55d.*
+ 11a26: f45d.*
+ 11a27: f45e.*
+ 11a28: f55e.*
+ 11a29: f45e.*
+ 11a2a: f45f.*
+ 11a2b: f55f.*
+ 11a2c: f45f.*
+ 11a2d: f440.*
+ 11a2e: f540.*
+ 11a2f: f440.*
+ 11a30: f441.*
+ 11a31: f541.*
+ 11a32: f441.*
+ 11a33: f442.*
+ 11a34: f542.*
+ 11a35: f442.*
+ 11a36: f443.*
+ 11a37: f543.*
+ 11a38: f443.*
+ 11a39: f444.*
+ 11a3a: f544.*
+ 11a3b: f444.*
+ 11a3c: f445.*
+ 11a3d: f545.*
+ 11a3e: f445.*
+ 11a3f: f446.*
+ 11a40: f546.*
+ 11a41: f446.*
+ 11a42: f447.*
+ 11a43: f547.*
+ 11a44: f447.*
+ 11a45: f448.*
+ 11a46: f548.*
+ 11a47: f448.*
+ 11a48: f449.*
+ 11a49: f549.*
+ 11a4a: f449.*
+ 11a4b: f44a.*
+ 11a4c: f54a.*
+ 11a4d: f44a.*
+ 11a4e: f44b.*
+ 11a4f: f54b.*
+ 11a50: f44b.*
+ 11a51: f44c.*
+ 11a52: f54c.*
+ 11a53: f44c.*
+ 11a54: f44d.*
+ 11a55: f54d.*
+ 11a56: f44d.*
+ 11a57: f44e.*
+ 11a58: f54e.*
+ 11a59: f44e.*
+ 11a5a: f44f.*
+ 11a5b: f54f.*
+ 11a5c: f44f.*
+ 11a5d: f640.*
+ 11a5e: f740.*
+ 11a5f: f650.*
+ 11a60: f750.*
+ 11a61: f750.*
+ 11a62: f651.*
+ 11a63: f751.*
+ 11a64: f751.*
+ 11a65: f652.*
+ 11a66: f752.*
+ 11a67: f752.*
+ 11a68: f653.*
+ 11a69: f753.*
+ 11a6a: f753.*
+ 11a6b: f654.*
+ 11a6c: f754.*
+ 11a6d: f754.*
+ 11a6e: f655.*
+ 11a6f: f755.*
+ 11a70: f755.*
+ 11a71: f656.*
+ 11a72: f756.*
+ 11a73: f756.*
+ 11a74: f657.*
+ 11a75: f757.*
+ 11a76: f757.*
+ 11a77: f658.*
+ 11a78: f758.*
+ 11a79: f758.*
+ 11a7a: f659.*
+ 11a7b: f759.*
+ 11a7c: f759.*
+ 11a7d: f65a.*
+ 11a7e: f75a.*
+ 11a7f: f75a.*
+ 11a80: f65b.*
+ 11a81: f75b.*
+ 11a82: f75b.*
+ 11a83: f65c.*
+ 11a84: f75c.*
+ 11a85: f75c.*
+ 11a86: f65d.*
+ 11a87: f75d.*
+ 11a88: f75d.*
+ 11a89: f65e.*
+ 11a8a: f75e.*
+ 11a8b: f75e.*
+ 11a8c: f65f.*
+ 11a8d: f75f.*
+ 11a8e: f75f.*
+ 11a8f: f640.*
+ 11a90: f740.*
+ 11a91: f740.*
+ 11a92: f641.*
+ 11a93: f741.*
+ 11a94: f741.*
+ 11a95: f642.*
+ 11a96: f742.*
+ 11a97: f742.*
+ 11a98: f643.*
+ 11a99: f743.*
+ 11a9a: f743.*
+ 11a9b: f644.*
+ 11a9c: f744.*
+ 11a9d: f744.*
+ 11a9e: f645.*
+ 11a9f: f745.*
+ 11aa0: f745.*
+ 11aa1: f646.*
+ 11aa2: f746.*
+ 11aa3: f746.*
+ 11aa4: f647.*
+ 11aa5: f747.*
+ 11aa6: f747.*
+ 11aa7: f648.*
+ 11aa8: f748.*
+ 11aa9: f748.*
+ 11aaa: f649.*
+ 11aab: f749.*
+ 11aac: f749.*
+ 11aad: f64a.*
+ 11aae: f74a.*
+ 11aaf: f74a.*
+ 11ab0: f64b.*
+ 11ab1: f74b.*
+ 11ab2: f74b.*
+ 11ab3: f64c.*
+ 11ab4: f74c.*
+ 11ab5: f74c.*
+ 11ab6: f64d.*
+ 11ab7: f74d.*
+ 11ab8: f74d.*
+ 11ab9: f64e.*
+ 11aba: f74e.*
+ 11abb: f74e.*
+ 11abc: f64f.*
+ 11abd: f74f.*
+ 11abe: f74f.*
+ 11abf: 107c.*
+ 11ac0: 117d.*
+ 11ac1: 107e.*
+ 11ac2: 117f.*
+ 11ac3: 6f00.*
+ 11ac4: 0c50.*
+ 11ac5: 6f01.*
+ 11ac6: 0d50.*
+ 11ac7: 6f02.*
+ 11ac8: 0c51.*
+ 11ac9: 6f03.*
+ 11aca: 0d51.*
+ 11acb: 6f04.*
+ 11acc: 0c52.*
+ 11acd: 6f05.*
+ 11ace: 0d52.*
+ 11acf: 6f06.*
+ 11ad0: 0c53.*
+ 11ad1: 6f07.*
+ 11ad2: 0d53.*
+ 11ad3: 6f08.*
+ 11ad4: 0c54.*
+ 11ad5: 6f09.*
+ 11ad6: 0d54.*
+ 11ad7: 6f0a.*
+ 11ad8: 0c55.*
+ 11ad9: 6f0b.*
+ 11ada: 0d55.*
+ 11adb: 6f0c.*
+ 11adc: 0c56.*
+ 11add: 6f0d.*
+ 11ade: 0d56.*
+ 11adf: 6f0e.*
+ 11ae0: 0c57.*
+ 11ae1: 6f0f.*
+ 11ae2: 0d57.*
+ 11ae3: 6f10.*
+ 11ae4: 0c58.*
+ 11ae5: 6f11.*
+ 11ae6: 0d58.*
+ 11ae7: 6f12.*
+ 11ae8: 0c59.*
+ 11ae9: 6f13.*
+ 11aea: 0d59.*
+ 11aeb: 6f14.*
+ 11aec: 0c5a.*
+ 11aed: 6f15.*
+ 11aee: 0d5a.*
+ 11aef: 6f16.*
+ 11af0: 0c5b.*
+ 11af1: 6f17.*
+ 11af2: 0d5b.*
+ 11af3: 6f18.*
+ 11af4: 0c5c.*
+ 11af5: 6f19.*
+ 11af6: 0d5c.*
+ 11af7: 6f1a.*
+ 11af8: 0c5d.*
+ 11af9: 6f1b.*
+ 11afa: 0d5d.*
+ 11afb: 6f1c.*
+ 11afc: 0c5e.*
+ 11afd: 6f1d.*
+ 11afe: 0d5e.*
+ 11aff: 6f1e.*
+ 11b00: 0c5f.*
+ 11b01: 6f1f.*
+ 11b02: 0d5f.*
+ 11b03: 1020.*
+ 11b04: 1121.*
+ 11b05: 6f22.*
+ 11b06: 0c41.*
+ 11b07: 6f23.*
+ 11b08: 0d41.*
+ 11b09: 6f24.*
+ 11b0a: 0c42.*
+ 11b0b: 6f25.*
+ 11b0c: 0d42.*
+ 11b0d: 6f26.*
+ 11b0e: 0c43.*
+ 11b0f: 6f27.*
+ 11b10: 0d43.*
+ 11b11: 6f28.*
+ 11b12: 0c44.*
+ 11b13: 6f29.*
+ 11b14: 0d44.*
+ 11b15: 6f2a.*
+ 11b16: 0c45.*
+ 11b17: 6f2b.*
+ 11b18: 0d45.*
+ 11b19: 6f2c.*
+ 11b1a: 0c46.*
+ 11b1b: 6f2d.*
+ 11b1c: 0d46.*
+ 11b1d: 6f2e.*
+ 11b1e: 0c47.*
+ 11b1f: 6f2f.*
+ 11b20: 0d47.*
+ 11b21: 6f30.*
+ 11b22: 0c48.*
+ 11b23: 6f31.*
+ 11b24: 0d48.*
+ 11b25: 6f32.*
+ 11b26: 0c49.*
+ 11b27: 6f33.*
+ 11b28: 0d49.*
+ 11b29: 6f34.*
+ 11b2a: 0c4a.*
+ 11b2b: 6f35.*
+ 11b2c: 0d4a.*
+ 11b2d: 6f36.*
+ 11b2e: 0c4b.*
+ 11b2f: 6f37.*
+ 11b30: 0d4b.*
+ 11b31: 6f38.*
+ 11b32: 0c4c.*
+ 11b33: 6f39.*
+ 11b34: 0d4c.*
+ 11b35: 6f3a.*
+ 11b36: 0c4d.*
+ 11b37: 6f3b.*
+ 11b38: 0d4d.*
+ 11b39: 6f3c.*
+ 11b3a: 0c4e.*
+ 11b3b: 6f3d.*
+ 11b3c: 0d4e.*
+ 11b3d: 6f3e.*
+ 11b3e: 0c4f.*
+ 11b3f: 6f3f.*
+ 11b40: 0d4f.*
+ 11b41: 1080.*
+ 11b42: 1180.*
+ 11b43: 6f80.*
+ 11b44: 0c50.*
+ 11b45: 6f80.*
+ 11b46: 0d50.*
+ 11b47: 6f80.*
+ 11b48: 0c51.*
+ 11b49: 6f80.*
+ 11b4a: 0d51.*
+ 11b4b: 6f80.*
+ 11b4c: 0c52.*
+ 11b4d: 6f80.*
+ 11b4e: 0d52.*
+ 11b4f: 6f80.*
+ 11b50: 0c53.*
+ 11b51: 6f80.*
+ 11b52: 0d53.*
+ 11b53: 6f80.*
+ 11b54: 0c54.*
+ 11b55: 6f80.*
+ 11b56: 0d54.*
+ 11b57: 6f80.*
+ 11b58: 0c55.*
+ 11b59: 6f80.*
+ 11b5a: 0d55.*
+ 11b5b: 6f80.*
+ 11b5c: 0c56.*
+ 11b5d: 6f80.*
+ 11b5e: 0d56.*
+ 11b5f: 6f80.*
+ 11b60: 0c57.*
+ 11b61: 6f80.*
+ 11b62: 0d57.*
+ 11b63: 6f80.*
+ 11b64: 0c58.*
+ 11b65: 6f80.*
+ 11b66: 0d58.*
+ 11b67: 6f80.*
+ 11b68: 0c59.*
+ 11b69: 6f80.*
+ 11b6a: 0d59.*
+ 11b6b: 6f80.*
+ 11b6c: 0c5a.*
+ 11b6d: 6f80.*
+ 11b6e: 0d5a.*
+ 11b6f: 6f80.*
+ 11b70: 0c5b.*
+ 11b71: 6f80.*
+ 11b72: 0d5b.*
+ 11b73: 6f80.*
+ 11b74: 0c5c.*
+ 11b75: 6f80.*
+ 11b76: 0d5c.*
+ 11b77: 6f80.*
+ 11b78: 0c5d.*
+ 11b79: 6f80.*
+ 11b7a: 0d5d.*
+ 11b7b: 6f80.*
+ 11b7c: 0c5e.*
+ 11b7d: 6f80.*
+ 11b7e: 0d5e.*
+ 11b7f: 6f80.*
+ 11b80: 0c5f.*
+ 11b81: 6f80.*
+ 11b82: 0d5f.*
+ 11b83: 1080.*
+ 11b84: 1180.*
+ 11b85: 6f80.*
+ 11b86: 0c41.*
+ 11b87: 6f80.*
+ 11b88: 0d41.*
+ 11b89: 6f80.*
+ 11b8a: 0c42.*
+ 11b8b: 6f80.*
+ 11b8c: 0d42.*
+ 11b8d: 6f80.*
+ 11b8e: 0c43.*
+ 11b8f: 6f80.*
+ 11b90: 0d43.*
+ 11b91: 6f80.*
+ 11b92: 0c44.*
+ 11b93: 6f80.*
+ 11b94: 0d44.*
+ 11b95: 6f80.*
+ 11b96: 0c45.*
+ 11b97: 6f80.*
+ 11b98: 0d45.*
+ 11b99: 6f80.*
+ 11b9a: 0c46.*
+ 11b9b: 6f80.*
+ 11b9c: 0d46.*
+ 11b9d: 6f80.*
+ 11b9e: 0c47.*
+ 11b9f: 6f80.*
+ 11ba0: 0d47.*
+ 11ba1: 6f80.*
+ 11ba2: 0c48.*
+ 11ba3: 6f80.*
+ 11ba4: 0d48.*
+ 11ba5: 6f80.*
+ 11ba6: 0c49.*
+ 11ba7: 6f80.*
+ 11ba8: 0d49.*
+ 11ba9: 6f80.*
+ 11baa: 0c4a.*
+ 11bab: 6f80.*
+ 11bac: 0d4a.*
+ 11bad: 6f80.*
+ 11bae: 0c4b.*
+ 11baf: 6f80.*
+ 11bb0: 0d4b.*
+ 11bb1: 6f80.*
+ 11bb2: 0c4c.*
+ 11bb3: 6f80.*
+ 11bb4: 0d4c.*
+ 11bb5: 6f80.*
+ 11bb6: 0c4d.*
+ 11bb7: 6f80.*
+ 11bb8: 0d4d.*
+ 11bb9: 6f80.*
+ 11bba: 0c4e.*
+ 11bbb: 6f80.*
+ 11bbc: 0d4e.*
+ 11bbd: 6f80.*
+ 11bbe: 0c4f.*
+ 11bbf: 6f80.*
+ 11bc0: 0d4f.*
+ 11bc1: 1088.*
+ 11bc2: 1188.*
+ 11bc3: 6f88.*
+ 11bc4: 0c50.*
+ 11bc5: 6f88.*
+ 11bc6: 0d50.*
+ 11bc7: 6f88.*
+ 11bc8: 0c51.*
+ 11bc9: 6f88.*
+ 11bca: 0d51.*
+ 11bcb: 6f88.*
+ 11bcc: 0c52.*
+ 11bcd: 6f88.*
+ 11bce: 0d52.*
+ 11bcf: 6f88.*
+ 11bd0: 0c53.*
+ 11bd1: 6f88.*
+ 11bd2: 0d53.*
+ 11bd3: 6f88.*
+ 11bd4: 0c54.*
+ 11bd5: 6f88.*
+ 11bd6: 0d54.*
+ 11bd7: 6f88.*
+ 11bd8: 0c55.*
+ 11bd9: 6f88.*
+ 11bda: 0d55.*
+ 11bdb: 6f88.*
+ 11bdc: 0c56.*
+ 11bdd: 6f88.*
+ 11bde: 0d56.*
+ 11bdf: 6f88.*
+ 11be0: 0c57.*
+ 11be1: 6f88.*
+ 11be2: 0d57.*
+ 11be3: 6f88.*
+ 11be4: 0c58.*
+ 11be5: 6f88.*
+ 11be6: 0d58.*
+ 11be7: 6f88.*
+ 11be8: 0c59.*
+ 11be9: 6f88.*
+ 11bea: 0d59.*
+ 11beb: 6f88.*
+ 11bec: 0c5a.*
+ 11bed: 6f88.*
+ 11bee: 0d5a.*
+ 11bef: 6f88.*
+ 11bf0: 0c5b.*
+ 11bf1: 6f88.*
+ 11bf2: 0d5b.*
+ 11bf3: 6f88.*
+ 11bf4: 0c5c.*
+ 11bf5: 6f88.*
+ 11bf6: 0d5c.*
+ 11bf7: 6f88.*
+ 11bf8: 0c5d.*
+ 11bf9: 6f88.*
+ 11bfa: 0d5d.*
+ 11bfb: 6f88.*
+ 11bfc: 0c5e.*
+ 11bfd: 6f88.*
+ 11bfe: 0d5e.*
+ 11bff: 6f88.*
+ 11c00: 0c5f.*
+ 11c01: 6f88.*
+ 11c02: 0d5f.*
+ 11c03: 1088.*
+ 11c04: 1188.*
+ 11c05: 6f88.*
+ 11c06: 0c41.*
+ 11c07: 6f88.*
+ 11c08: 0d41.*
+ 11c09: 6f88.*
+ 11c0a: 0c42.*
+ 11c0b: 6f88.*
+ 11c0c: 0d42.*
+ 11c0d: 6f88.*
+ 11c0e: 0c43.*
+ 11c0f: 6f88.*
+ 11c10: 0d43.*
+ 11c11: 6f88.*
+ 11c12: 0c44.*
+ 11c13: 6f88.*
+ 11c14: 0d44.*
+ 11c15: 6f88.*
+ 11c16: 0c45.*
+ 11c17: 6f88.*
+ 11c18: 0d45.*
+ 11c19: 6f88.*
+ 11c1a: 0c46.*
+ 11c1b: 6f88.*
+ 11c1c: 0d46.*
+ 11c1d: 6f88.*
+ 11c1e: 0c47.*
+ 11c1f: 6f88.*
+ 11c20: 0d47.*
+ 11c21: 6f88.*
+ 11c22: 0c48.*
+ 11c23: 6f88.*
+ 11c24: 0d48.*
+ 11c25: 6f88.*
+ 11c26: 0c49.*
+ 11c27: 6f88.*
+ 11c28: 0d49.*
+ 11c29: 6f88.*
+ 11c2a: 0c4a.*
+ 11c2b: 6f88.*
+ 11c2c: 0d4a.*
+ 11c2d: 6f88.*
+ 11c2e: 0c4b.*
+ 11c2f: 6f88.*
+ 11c30: 0d4b.*
+ 11c31: 6f88.*
+ 11c32: 0c4c.*
+ 11c33: 6f88.*
+ 11c34: 0d4c.*
+ 11c35: 6f88.*
+ 11c36: 0c4d.*
+ 11c37: 6f88.*
+ 11c38: 0d4d.*
+ 11c39: 6f88.*
+ 11c3a: 0c4e.*
+ 11c3b: 6f88.*
+ 11c3c: 0d4e.*
+ 11c3d: 6f88.*
+ 11c3e: 0c4f.*
+ 11c3f: 6f88.*
+ 11c40: 0d4f.*
+ 11c41: 1090.*
+ 11c42: 1190.*
+ 11c43: 6f90.*
+ 11c44: 0c50.*
+ 11c45: 6f90.*
+ 11c46: 0d50.*
+ 11c47: 6f90.*
+ 11c48: 0c51.*
+ 11c49: 6f90.*
+ 11c4a: 0d51.*
+ 11c4b: 6f90.*
+ 11c4c: 0c52.*
+ 11c4d: 6f90.*
+ 11c4e: 0d52.*
+ 11c4f: 6f90.*
+ 11c50: 0c53.*
+ 11c51: 6f90.*
+ 11c52: 0d53.*
+ 11c53: 6f90.*
+ 11c54: 0c54.*
+ 11c55: 6f90.*
+ 11c56: 0d54.*
+ 11c57: 6f90.*
+ 11c58: 0c55.*
+ 11c59: 6f90.*
+ 11c5a: 0d55.*
+ 11c5b: 6f90.*
+ 11c5c: 0c56.*
+ 11c5d: 6f90.*
+ 11c5e: 0d56.*
+ 11c5f: 6f90.*
+ 11c60: 0c57.*
+ 11c61: 6f90.*
+ 11c62: 0d57.*
+ 11c63: 6f90.*
+ 11c64: 0c58.*
+ 11c65: 6f90.*
+ 11c66: 0d58.*
+ 11c67: 6f90.*
+ 11c68: 0c59.*
+ 11c69: 6f90.*
+ 11c6a: 0d59.*
+ 11c6b: 6f90.*
+ 11c6c: 0c5a.*
+ 11c6d: 6f90.*
+ 11c6e: 0d5a.*
+ 11c6f: 6f90.*
+ 11c70: 0c5b.*
+ 11c71: 6f90.*
+ 11c72: 0d5b.*
+ 11c73: 6f90.*
+ 11c74: 0c5c.*
+ 11c75: 6f90.*
+ 11c76: 0d5c.*
+ 11c77: 6f90.*
+ 11c78: 0c5d.*
+ 11c79: 6f90.*
+ 11c7a: 0d5d.*
+ 11c7b: 6f90.*
+ 11c7c: 0c5e.*
+ 11c7d: 6f90.*
+ 11c7e: 0d5e.*
+ 11c7f: 6f90.*
+ 11c80: 0c5f.*
+ 11c81: 6f90.*
+ 11c82: 0d5f.*
+ 11c83: 1090.*
+ 11c84: 1190.*
+ 11c85: 6f90.*
+ 11c86: 0c41.*
+ 11c87: 6f90.*
+ 11c88: 0d41.*
+ 11c89: 6f90.*
+ 11c8a: 0c42.*
+ 11c8b: 6f90.*
+ 11c8c: 0d42.*
+ 11c8d: 6f90.*
+ 11c8e: 0c43.*
+ 11c8f: 6f90.*
+ 11c90: 0d43.*
+ 11c91: 6f90.*
+ 11c92: 0c44.*
+ 11c93: 6f90.*
+ 11c94: 0d44.*
+ 11c95: 6f90.*
+ 11c96: 0c45.*
+ 11c97: 6f90.*
+ 11c98: 0d45.*
+ 11c99: 6f90.*
+ 11c9a: 0c46.*
+ 11c9b: 6f90.*
+ 11c9c: 0d46.*
+ 11c9d: 6f90.*
+ 11c9e: 0c47.*
+ 11c9f: 6f90.*
+ 11ca0: 0d47.*
+ 11ca1: 6f90.*
+ 11ca2: 0c48.*
+ 11ca3: 6f90.*
+ 11ca4: 0d48.*
+ 11ca5: 6f90.*
+ 11ca6: 0c49.*
+ 11ca7: 6f90.*
+ 11ca8: 0d49.*
+ 11ca9: 6f90.*
+ 11caa: 0c4a.*
+ 11cab: 6f90.*
+ 11cac: 0d4a.*
+ 11cad: 6f90.*
+ 11cae: 0c4b.*
+ 11caf: 6f90.*
+ 11cb0: 0d4b.*
+ 11cb1: 6f90.*
+ 11cb2: 0c4c.*
+ 11cb3: 6f90.*
+ 11cb4: 0d4c.*
+ 11cb5: 6f90.*
+ 11cb6: 0c4d.*
+ 11cb7: 6f90.*
+ 11cb8: 0d4d.*
+ 11cb9: 6f90.*
+ 11cba: 0c4e.*
+ 11cbb: 6f90.*
+ 11cbc: 0d4e.*
+ 11cbd: 6f90.*
+ 11cbe: 0c4f.*
+ 11cbf: 6f90.*
+ 11cc0: 0d4f.*
+ 11cc1: 10a0.*
+ 11cc2: 11a0.*
+ 11cc3: 6fa0.*
+ 11cc4: 0c50.*
+ 11cc5: 6fa0.*
+ 11cc6: 0d50.*
+ 11cc7: 6fa0.*
+ 11cc8: 0c51.*
+ 11cc9: 6fa0.*
+ 11cca: 0d51.*
+ 11ccb: 6fa0.*
+ 11ccc: 0c52.*
+ 11ccd: 6fa0.*
+ 11cce: 0d52.*
+ 11ccf: 6fa0.*
+ 11cd0: 0c53.*
+ 11cd1: 6fa0.*
+ 11cd2: 0d53.*
+ 11cd3: 6fa0.*
+ 11cd4: 0c54.*
+ 11cd5: 6fa0.*
+ 11cd6: 0d54.*
+ 11cd7: 6fa0.*
+ 11cd8: 0c55.*
+ 11cd9: 6fa0.*
+ 11cda: 0d55.*
+ 11cdb: 6fa0.*
+ 11cdc: 0c56.*
+ 11cdd: 6fa0.*
+ 11cde: 0d56.*
+ 11cdf: 6fa0.*
+ 11ce0: 0c57.*
+ 11ce1: 6fa0.*
+ 11ce2: 0d57.*
+ 11ce3: 6fa0.*
+ 11ce4: 0c58.*
+ 11ce5: 6fa0.*
+ 11ce6: 0d58.*
+ 11ce7: 6fa0.*
+ 11ce8: 0c59.*
+ 11ce9: 6fa0.*
+ 11cea: 0d59.*
+ 11ceb: 6fa0.*
+ 11cec: 0c5a.*
+ 11ced: 6fa0.*
+ 11cee: 0d5a.*
+ 11cef: 6fa0.*
+ 11cf0: 0c5b.*
+ 11cf1: 6fa0.*
+ 11cf2: 0d5b.*
+ 11cf3: 6fa0.*
+ 11cf4: 0c5c.*
+ 11cf5: 6fa0.*
+ 11cf6: 0d5c.*
+ 11cf7: 6fa0.*
+ 11cf8: 0c5d.*
+ 11cf9: 6fa0.*
+ 11cfa: 0d5d.*
+ 11cfb: 6fa0.*
+ 11cfc: 0c5e.*
+ 11cfd: 6fa0.*
+ 11cfe: 0d5e.*
+ 11cff: 6fa0.*
+ 11d00: 0c5f.*
+ 11d01: 6fa0.*
+ 11d02: 0d5f.*
+ 11d03: 10a0.*
+ 11d04: 11a0.*
+ 11d05: 6fa0.*
+ 11d06: 0c41.*
+ 11d07: 6fa0.*
+ 11d08: 0d41.*
+ 11d09: 6fa0.*
+ 11d0a: 0c42.*
+ 11d0b: 6fa0.*
+ 11d0c: 0d42.*
+ 11d0d: 6fa0.*
+ 11d0e: 0c43.*
+ 11d0f: 6fa0.*
+ 11d10: 0d43.*
+ 11d11: 6fa0.*
+ 11d12: 0c44.*
+ 11d13: 6fa0.*
+ 11d14: 0d44.*
+ 11d15: 6fa0.*
+ 11d16: 0c45.*
+ 11d17: 6fa0.*
+ 11d18: 0d45.*
+ 11d19: 6fa0.*
+ 11d1a: 0c46.*
+ 11d1b: 6fa0.*
+ 11d1c: 0d46.*
+ 11d1d: 6fa0.*
+ 11d1e: 0c47.*
+ 11d1f: 6fa0.*
+ 11d20: 0d47.*
+ 11d21: 6fa0.*
+ 11d22: 0c48.*
+ 11d23: 6fa0.*
+ 11d24: 0d48.*
+ 11d25: 6fa0.*
+ 11d26: 0c49.*
+ 11d27: 6fa0.*
+ 11d28: 0d49.*
+ 11d29: 6fa0.*
+ 11d2a: 0c4a.*
+ 11d2b: 6fa0.*
+ 11d2c: 0d4a.*
+ 11d2d: 6fa0.*
+ 11d2e: 0c4b.*
+ 11d2f: 6fa0.*
+ 11d30: 0d4b.*
+ 11d31: 6fa0.*
+ 11d32: 0c4c.*
+ 11d33: 6fa0.*
+ 11d34: 0d4c.*
+ 11d35: 6fa0.*
+ 11d36: 0c4d.*
+ 11d37: 6fa0.*
+ 11d38: 0d4d.*
+ 11d39: 6fa0.*
+ 11d3a: 0c4e.*
+ 11d3b: 6fa0.*
+ 11d3c: 0d4e.*
+ 11d3d: 6fa0.*
+ 11d3e: 0c4f.*
+ 11d3f: 6fa0.*
+ 11d40: 0d4f.*
+ 11d41: 10a8.*
+ 11d42: 11a8.*
+ 11d43: 6fa8.*
+ 11d44: 0c50.*
+ 11d45: 6fa8.*
+ 11d46: 0d50.*
+ 11d47: 6fa8.*
+ 11d48: 0c51.*
+ 11d49: 6fa8.*
+ 11d4a: 0d51.*
+ 11d4b: 6fa8.*
+ 11d4c: 0c52.*
+ 11d4d: 6fa8.*
+ 11d4e: 0d52.*
+ 11d4f: 6fa8.*
+ 11d50: 0c53.*
+ 11d51: 6fa8.*
+ 11d52: 0d53.*
+ 11d53: 6fa8.*
+ 11d54: 0c54.*
+ 11d55: 6fa8.*
+ 11d56: 0d54.*
+ 11d57: 6fa8.*
+ 11d58: 0c55.*
+ 11d59: 6fa8.*
+ 11d5a: 0d55.*
+ 11d5b: 6fa8.*
+ 11d5c: 0c56.*
+ 11d5d: 6fa8.*
+ 11d5e: 0d56.*
+ 11d5f: 6fa8.*
+ 11d60: 0c57.*
+ 11d61: 6fa8.*
+ 11d62: 0d57.*
+ 11d63: 6fa8.*
+ 11d64: 0c58.*
+ 11d65: 6fa8.*
+ 11d66: 0d58.*
+ 11d67: 6fa8.*
+ 11d68: 0c59.*
+ 11d69: 6fa8.*
+ 11d6a: 0d59.*
+ 11d6b: 6fa8.*
+ 11d6c: 0c5a.*
+ 11d6d: 6fa8.*
+ 11d6e: 0d5a.*
+ 11d6f: 6fa8.*
+ 11d70: 0c5b.*
+ 11d71: 6fa8.*
+ 11d72: 0d5b.*
+ 11d73: 6fa8.*
+ 11d74: 0c5c.*
+ 11d75: 6fa8.*
+ 11d76: 0d5c.*
+ 11d77: 6fa8.*
+ 11d78: 0c5d.*
+ 11d79: 6fa8.*
+ 11d7a: 0d5d.*
+ 11d7b: 6fa8.*
+ 11d7c: 0c5e.*
+ 11d7d: 6fa8.*
+ 11d7e: 0d5e.*
+ 11d7f: 6fa8.*
+ 11d80: 0c5f.*
+ 11d81: 6fa8.*
+ 11d82: 0d5f.*
+ 11d83: 10a8.*
+ 11d84: 11a8.*
+ 11d85: 6fa8.*
+ 11d86: 0c41.*
+ 11d87: 6fa8.*
+ 11d88: 0d41.*
+ 11d89: 6fa8.*
+ 11d8a: 0c42.*
+ 11d8b: 6fa8.*
+ 11d8c: 0d42.*
+ 11d8d: 6fa8.*
+ 11d8e: 0c43.*
+ 11d8f: 6fa8.*
+ 11d90: 0d43.*
+ 11d91: 6fa8.*
+ 11d92: 0c44.*
+ 11d93: 6fa8.*
+ 11d94: 0d44.*
+ 11d95: 6fa8.*
+ 11d96: 0c45.*
+ 11d97: 6fa8.*
+ 11d98: 0d45.*
+ 11d99: 6fa8.*
+ 11d9a: 0c46.*
+ 11d9b: 6fa8.*
+ 11d9c: 0d46.*
+ 11d9d: 6fa8.*
+ 11d9e: 0c47.*
+ 11d9f: 6fa8.*
+ 11da0: 0d47.*
+ 11da1: 6fa8.*
+ 11da2: 0c48.*
+ 11da3: 6fa8.*
+ 11da4: 0d48.*
+ 11da5: 6fa8.*
+ 11da6: 0c49.*
+ 11da7: 6fa8.*
+ 11da8: 0d49.*
+ 11da9: 6fa8.*
+ 11daa: 0c4a.*
+ 11dab: 6fa8.*
+ 11dac: 0d4a.*
+ 11dad: 6fa8.*
+ 11dae: 0c4b.*
+ 11daf: 6fa8.*
+ 11db0: 0d4b.*
+ 11db1: 6fa8.*
+ 11db2: 0c4c.*
+ 11db3: 6fa8.*
+ 11db4: 0d4c.*
+ 11db5: 6fa8.*
+ 11db6: 0c4d.*
+ 11db7: 6fa8.*
+ 11db8: 0d4d.*
+ 11db9: 6fa8.*
+ 11dba: 0c4e.*
+ 11dbb: 6fa8.*
+ 11dbc: 0d4e.*
+ 11dbd: 6fa8.*
+ 11dbe: 0c4f.*
+ 11dbf: 6fa8.*
+ 11dc0: 0d4f.*
+ 11dc1: 10b0.*
+ 11dc2: 11b0.*
+ 11dc3: 6fb0.*
+ 11dc4: 0c50.*
+ 11dc5: 6fb0.*
+ 11dc6: 0d50.*
+ 11dc7: 6fb0.*
+ 11dc8: 0c51.*
+ 11dc9: 6fb0.*
+ 11dca: 0d51.*
+ 11dcb: 6fb0.*
+ 11dcc: 0c52.*
+ 11dcd: 6fb0.*
+ 11dce: 0d52.*
+ 11dcf: 6fb0.*
+ 11dd0: 0c53.*
+ 11dd1: 6fb0.*
+ 11dd2: 0d53.*
+ 11dd3: 6fb0.*
+ 11dd4: 0c54.*
+ 11dd5: 6fb0.*
+ 11dd6: 0d54.*
+ 11dd7: 6fb0.*
+ 11dd8: 0c55.*
+ 11dd9: 6fb0.*
+ 11dda: 0d55.*
+ 11ddb: 6fb0.*
+ 11ddc: 0c56.*
+ 11ddd: 6fb0.*
+ 11dde: 0d56.*
+ 11ddf: 6fb0.*
+ 11de0: 0c57.*
+ 11de1: 6fb0.*
+ 11de2: 0d57.*
+ 11de3: 6fb0.*
+ 11de4: 0c58.*
+ 11de5: 6fb0.*
+ 11de6: 0d58.*
+ 11de7: 6fb0.*
+ 11de8: 0c59.*
+ 11de9: 6fb0.*
+ 11dea: 0d59.*
+ 11deb: 6fb0.*
+ 11dec: 0c5a.*
+ 11ded: 6fb0.*
+ 11dee: 0d5a.*
+ 11def: 6fb0.*
+ 11df0: 0c5b.*
+ 11df1: 6fb0.*
+ 11df2: 0d5b.*
+ 11df3: 6fb0.*
+ 11df4: 0c5c.*
+ 11df5: 6fb0.*
+ 11df6: 0d5c.*
+ 11df7: 6fb0.*
+ 11df8: 0c5d.*
+ 11df9: 6fb0.*
+ 11dfa: 0d5d.*
+ 11dfb: 6fb0.*
+ 11dfc: 0c5e.*
+ 11dfd: 6fb0.*
+ 11dfe: 0d5e.*
+ 11dff: 6fb0.*
+ 11e00: 0c5f.*
+ 11e01: 6fb0.*
+ 11e02: 0d5f.*
+ 11e03: 10b0.*
+ 11e04: 11b0.*
+ 11e05: 6fb0.*
+ 11e06: 0c41.*
+ 11e07: 6fb0.*
+ 11e08: 0d41.*
+ 11e09: 6fb0.*
+ 11e0a: 0c42.*
+ 11e0b: 6fb0.*
+ 11e0c: 0d42.*
+ 11e0d: 6fb0.*
+ 11e0e: 0c43.*
+ 11e0f: 6fb0.*
+ 11e10: 0d43.*
+ 11e11: 6fb0.*
+ 11e12: 0c44.*
+ 11e13: 6fb0.*
+ 11e14: 0d44.*
+ 11e15: 6fb0.*
+ 11e16: 0c45.*
+ 11e17: 6fb0.*
+ 11e18: 0d45.*
+ 11e19: 6fb0.*
+ 11e1a: 0c46.*
+ 11e1b: 6fb0.*
+ 11e1c: 0d46.*
+ 11e1d: 6fb0.*
+ 11e1e: 0c47.*
+ 11e1f: 6fb0.*
+ 11e20: 0d47.*
+ 11e21: 6fb0.*
+ 11e22: 0c48.*
+ 11e23: 6fb0.*
+ 11e24: 0d48.*
+ 11e25: 6fb0.*
+ 11e26: 0c49.*
+ 11e27: 6fb0.*
+ 11e28: 0d49.*
+ 11e29: 6fb0.*
+ 11e2a: 0c4a.*
+ 11e2b: 6fb0.*
+ 11e2c: 0d4a.*
+ 11e2d: 6fb0.*
+ 11e2e: 0c4b.*
+ 11e2f: 6fb0.*
+ 11e30: 0d4b.*
+ 11e31: 6fb0.*
+ 11e32: 0c4c.*
+ 11e33: 6fb0.*
+ 11e34: 0d4c.*
+ 11e35: 6fb0.*
+ 11e36: 0c4d.*
+ 11e37: 6fb0.*
+ 11e38: 0d4d.*
+ 11e39: 6fb0.*
+ 11e3a: 0c4e.*
+ 11e3b: 6fb0.*
+ 11e3c: 0d4e.*
+ 11e3d: 6fb0.*
+ 11e3e: 0c4f.*
+ 11e3f: 6fb0.*
+ 11e40: 0d4f.*
+ 11e41: 10b8.*
+ 11e42: 11b8.*
+ 11e43: 6fb8.*
+ 11e44: 0c50.*
+ 11e45: 6fb8.*
+ 11e46: 0d50.*
+ 11e47: 6fb8.*
+ 11e48: 0c51.*
+ 11e49: 6fb8.*
+ 11e4a: 0d51.*
+ 11e4b: 6fb8.*
+ 11e4c: 0c52.*
+ 11e4d: 6fb8.*
+ 11e4e: 0d52.*
+ 11e4f: 6fb8.*
+ 11e50: 0c53.*
+ 11e51: 6fb8.*
+ 11e52: 0d53.*
+ 11e53: 6fb8.*
+ 11e54: 0c54.*
+ 11e55: 6fb8.*
+ 11e56: 0d54.*
+ 11e57: 6fb8.*
+ 11e58: 0c55.*
+ 11e59: 6fb8.*
+ 11e5a: 0d55.*
+ 11e5b: 6fb8.*
+ 11e5c: 0c56.*
+ 11e5d: 6fb8.*
+ 11e5e: 0d56.*
+ 11e5f: 6fb8.*
+ 11e60: 0c57.*
+ 11e61: 6fb8.*
+ 11e62: 0d57.*
+ 11e63: 6fb8.*
+ 11e64: 0c58.*
+ 11e65: 6fb8.*
+ 11e66: 0d58.*
+ 11e67: 6fb8.*
+ 11e68: 0c59.*
+ 11e69: 6fb8.*
+ 11e6a: 0d59.*
+ 11e6b: 6fb8.*
+ 11e6c: 0c5a.*
+ 11e6d: 6fb8.*
+ 11e6e: 0d5a.*
+ 11e6f: 6fb8.*
+ 11e70: 0c5b.*
+ 11e71: 6fb8.*
+ 11e72: 0d5b.*
+ 11e73: 6fb8.*
+ 11e74: 0c5c.*
+ 11e75: 6fb8.*
+ 11e76: 0d5c.*
+ 11e77: 6fb8.*
+ 11e78: 0c5d.*
+ 11e79: 6fb8.*
+ 11e7a: 0d5d.*
+ 11e7b: 6fb8.*
+ 11e7c: 0c5e.*
+ 11e7d: 6fb8.*
+ 11e7e: 0d5e.*
+ 11e7f: 6fb8.*
+ 11e80: 0c5f.*
+ 11e81: 6fb8.*
+ 11e82: 0d5f.*
+ 11e83: 10b8.*
+ 11e84: 11b8.*
+ 11e85: 6fb8.*
+ 11e86: 0c41.*
+ 11e87: 6fb8.*
+ 11e88: 0d41.*
+ 11e89: 6fb8.*
+ 11e8a: 0c42.*
+ 11e8b: 6fb8.*
+ 11e8c: 0d42.*
+ 11e8d: 6fb8.*
+ 11e8e: 0c43.*
+ 11e8f: 6fb8.*
+ 11e90: 0d43.*
+ 11e91: 6fb8.*
+ 11e92: 0c44.*
+ 11e93: 6fb8.*
+ 11e94: 0d44.*
+ 11e95: 6fb8.*
+ 11e96: 0c45.*
+ 11e97: 6fb8.*
+ 11e98: 0d45.*
+ 11e99: 6fb8.*
+ 11e9a: 0c46.*
+ 11e9b: 6fb8.*
+ 11e9c: 0d46.*
+ 11e9d: 6fb8.*
+ 11e9e: 0c47.*
+ 11e9f: 6fb8.*
+ 11ea0: 0d47.*
+ 11ea1: 6fb8.*
+ 11ea2: 0c48.*
+ 11ea3: 6fb8.*
+ 11ea4: 0d48.*
+ 11ea5: 6fb8.*
+ 11ea6: 0c49.*
+ 11ea7: 6fb8.*
+ 11ea8: 0d49.*
+ 11ea9: 6fb8.*
+ 11eaa: 0c4a.*
+ 11eab: 6fb8.*
+ 11eac: 0d4a.*
+ 11ead: 6fb8.*
+ 11eae: 0c4b.*
+ 11eaf: 6fb8.*
+ 11eb0: 0d4b.*
+ 11eb1: 6fb8.*
+ 11eb2: 0c4c.*
+ 11eb3: 6fb8.*
+ 11eb4: 0d4c.*
+ 11eb5: 6fb8.*
+ 11eb6: 0c4d.*
+ 11eb7: 6fb8.*
+ 11eb8: 0d4d.*
+ 11eb9: 6fb8.*
+ 11eba: 0c4e.*
+ 11ebb: 6fb8.*
+ 11ebc: 0d4e.*
+ 11ebd: 6fb8.*
+ 11ebe: 0c4f.*
+ 11ebf: 6fb8.*
+ 11ec0: 0d4f.*
+ 11ec1: 10c0.*
+ 11ec2: 11c0.*
+ 11ec3: 6fc0.*
+ 11ec4: 0c50.*
+ 11ec5: 6fc0.*
+ 11ec6: 0d50.*
+ 11ec7: 6fc0.*
+ 11ec8: 0c51.*
+ 11ec9: 6fc0.*
+ 11eca: 0d51.*
+ 11ecb: 6fc0.*
+ 11ecc: 0c52.*
+ 11ecd: 6fc0.*
+ 11ece: 0d52.*
+ 11ecf: 6fc0.*
+ 11ed0: 0c53.*
+ 11ed1: 6fc0.*
+ 11ed2: 0d53.*
+ 11ed3: 6fc0.*
+ 11ed4: 0c54.*
+ 11ed5: 6fc0.*
+ 11ed6: 0d54.*
+ 11ed7: 6fc0.*
+ 11ed8: 0c55.*
+ 11ed9: 6fc0.*
+ 11eda: 0d55.*
+ 11edb: 6fc0.*
+ 11edc: 0c56.*
+ 11edd: 6fc0.*
+ 11ede: 0d56.*
+ 11edf: 6fc0.*
+ 11ee0: 0c57.*
+ 11ee1: 6fc0.*
+ 11ee2: 0d57.*
+ 11ee3: 6fc0.*
+ 11ee4: 0c58.*
+ 11ee5: 6fc0.*
+ 11ee6: 0d58.*
+ 11ee7: 6fc0.*
+ 11ee8: 0c59.*
+ 11ee9: 6fc0.*
+ 11eea: 0d59.*
+ 11eeb: 6fc0.*
+ 11eec: 0c5a.*
+ 11eed: 6fc0.*
+ 11eee: 0d5a.*
+ 11eef: 6fc0.*
+ 11ef0: 0c5b.*
+ 11ef1: 6fc0.*
+ 11ef2: 0d5b.*
+ 11ef3: 6fc0.*
+ 11ef4: 0c5c.*
+ 11ef5: 6fc0.*
+ 11ef6: 0d5c.*
+ 11ef7: 6fc0.*
+ 11ef8: 0c5d.*
+ 11ef9: 6fc0.*
+ 11efa: 0d5d.*
+ 11efb: 6fc0.*
+ 11efc: 0c5e.*
+ 11efd: 6fc0.*
+ 11efe: 0d5e.*
+ 11eff: 6fc0.*
+ 11f00: 0c5f.*
+ 11f01: 6fc0.*
+ 11f02: 0d5f.*
+ 11f03: 10c0.*
+ 11f04: 11c0.*
+ 11f05: 6fc0.*
+ 11f06: 0c41.*
+ 11f07: 6fc0.*
+ 11f08: 0d41.*
+ 11f09: 6fc0.*
+ 11f0a: 0c42.*
+ 11f0b: 6fc0.*
+ 11f0c: 0d42.*
+ 11f0d: 6fc0.*
+ 11f0e: 0c43.*
+ 11f0f: 6fc0.*
+ 11f10: 0d43.*
+ 11f11: 6fc0.*
+ 11f12: 0c44.*
+ 11f13: 6fc0.*
+ 11f14: 0d44.*
+ 11f15: 6fc0.*
+ 11f16: 0c45.*
+ 11f17: 6fc0.*
+ 11f18: 0d45.*
+ 11f19: 6fc0.*
+ 11f1a: 0c46.*
+ 11f1b: 6fc0.*
+ 11f1c: 0d46.*
+ 11f1d: 6fc0.*
+ 11f1e: 0c47.*
+ 11f1f: 6fc0.*
+ 11f20: 0d47.*
+ 11f21: 6fc0.*
+ 11f22: 0c48.*
+ 11f23: 6fc0.*
+ 11f24: 0d48.*
+ 11f25: 6fc0.*
+ 11f26: 0c49.*
+ 11f27: 6fc0.*
+ 11f28: 0d49.*
+ 11f29: 6fc0.*
+ 11f2a: 0c4a.*
+ 11f2b: 6fc0.*
+ 11f2c: 0d4a.*
+ 11f2d: 6fc0.*
+ 11f2e: 0c4b.*
+ 11f2f: 6fc0.*
+ 11f30: 0d4b.*
+ 11f31: 6fc0.*
+ 11f32: 0c4c.*
+ 11f33: 6fc0.*
+ 11f34: 0d4c.*
+ 11f35: 6fc0.*
+ 11f36: 0c4d.*
+ 11f37: 6fc0.*
+ 11f38: 0d4d.*
+ 11f39: 6fc0.*
+ 11f3a: 0c4e.*
+ 11f3b: 6fc0.*
+ 11f3c: 0d4e.*
+ 11f3d: 6fc0.*
+ 11f3e: 0c4f.*
+ 11f3f: 6fc0.*
+ 11f40: 0d4f.*
+ 11f41: 10c8.*
+ 11f42: 11c8.*
+ 11f43: 6fc8.*
+ 11f44: 0c50.*
+ 11f45: 6fc8.*
+ 11f46: 0d50.*
+ 11f47: 6fc8.*
+ 11f48: 0c51.*
+ 11f49: 6fc8.*
+ 11f4a: 0d51.*
+ 11f4b: 6fc8.*
+ 11f4c: 0c52.*
+ 11f4d: 6fc8.*
+ 11f4e: 0d52.*
+ 11f4f: 6fc8.*
+ 11f50: 0c53.*
+ 11f51: 6fc8.*
+ 11f52: 0d53.*
+ 11f53: 6fc8.*
+ 11f54: 0c54.*
+ 11f55: 6fc8.*
+ 11f56: 0d54.*
+ 11f57: 6fc8.*
+ 11f58: 0c55.*
+ 11f59: 6fc8.*
+ 11f5a: 0d55.*
+ 11f5b: 6fc8.*
+ 11f5c: 0c56.*
+ 11f5d: 6fc8.*
+ 11f5e: 0d56.*
+ 11f5f: 6fc8.*
+ 11f60: 0c57.*
+ 11f61: 6fc8.*
+ 11f62: 0d57.*
+ 11f63: 6fc8.*
+ 11f64: 0c58.*
+ 11f65: 6fc8.*
+ 11f66: 0d58.*
+ 11f67: 6fc8.*
+ 11f68: 0c59.*
+ 11f69: 6fc8.*
+ 11f6a: 0d59.*
+ 11f6b: 6fc8.*
+ 11f6c: 0c5a.*
+ 11f6d: 6fc8.*
+ 11f6e: 0d5a.*
+ 11f6f: 6fc8.*
+ 11f70: 0c5b.*
+ 11f71: 6fc8.*
+ 11f72: 0d5b.*
+ 11f73: 6fc8.*
+ 11f74: 0c5c.*
+ 11f75: 6fc8.*
+ 11f76: 0d5c.*
+ 11f77: 6fc8.*
+ 11f78: 0c5d.*
+ 11f79: 6fc8.*
+ 11f7a: 0d5d.*
+ 11f7b: 6fc8.*
+ 11f7c: 0c5e.*
+ 11f7d: 6fc8.*
+ 11f7e: 0d5e.*
+ 11f7f: 6fc8.*
+ 11f80: 0c5f.*
+ 11f81: 6fc8.*
+ 11f82: 0d5f.*
+ 11f83: 10c8.*
+ 11f84: 11c8.*
+ 11f85: 6fc8.*
+ 11f86: 0c41.*
+ 11f87: 6fc8.*
+ 11f88: 0d41.*
+ 11f89: 6fc8.*
+ 11f8a: 0c42.*
+ 11f8b: 6fc8.*
+ 11f8c: 0d42.*
+ 11f8d: 6fc8.*
+ 11f8e: 0c43.*
+ 11f8f: 6fc8.*
+ 11f90: 0d43.*
+ 11f91: 6fc8.*
+ 11f92: 0c44.*
+ 11f93: 6fc8.*
+ 11f94: 0d44.*
+ 11f95: 6fc8.*
+ 11f96: 0c45.*
+ 11f97: 6fc8.*
+ 11f98: 0d45.*
+ 11f99: 6fc8.*
+ 11f9a: 0c46.*
+ 11f9b: 6fc8.*
+ 11f9c: 0d46.*
+ 11f9d: 6fc8.*
+ 11f9e: 0c47.*
+ 11f9f: 6fc8.*
+ 11fa0: 0d47.*
+ 11fa1: 6fc8.*
+ 11fa2: 0c48.*
+ 11fa3: 6fc8.*
+ 11fa4: 0d48.*
+ 11fa5: 6fc8.*
+ 11fa6: 0c49.*
+ 11fa7: 6fc8.*
+ 11fa8: 0d49.*
+ 11fa9: 6fc8.*
+ 11faa: 0c4a.*
+ 11fab: 6fc8.*
+ 11fac: 0d4a.*
+ 11fad: 6fc8.*
+ 11fae: 0c4b.*
+ 11faf: 6fc8.*
+ 11fb0: 0d4b.*
+ 11fb1: 6fc8.*
+ 11fb2: 0c4c.*
+ 11fb3: 6fc8.*
+ 11fb4: 0d4c.*
+ 11fb5: 6fc8.*
+ 11fb6: 0c4d.*
+ 11fb7: 6fc8.*
+ 11fb8: 0d4d.*
+ 11fb9: 6fc8.*
+ 11fba: 0c4e.*
+ 11fbb: 6fc8.*
+ 11fbc: 0d4e.*
+ 11fbd: 6fc8.*
+ 11fbe: 0c4f.*
+ 11fbf: 6fc8.*
+ 11fc0: 0d4f.*
+ 11fc1: 10d0.*
+ 11fc2: 11d0.*
+ 11fc3: 6fd0.*
+ 11fc4: 0c50.*
+ 11fc5: 6fd0.*
+ 11fc6: 0d50.*
+ 11fc7: 6fd0.*
+ 11fc8: 0c51.*
+ 11fc9: 6fd0.*
+ 11fca: 0d51.*
+ 11fcb: 6fd0.*
+ 11fcc: 0c52.*
+ 11fcd: 6fd0.*
+ 11fce: 0d52.*
+ 11fcf: 6fd0.*
+ 11fd0: 0c53.*
+ 11fd1: 6fd0.*
+ 11fd2: 0d53.*
+ 11fd3: 6fd0.*
+ 11fd4: 0c54.*
+ 11fd5: 6fd0.*
+ 11fd6: 0d54.*
+ 11fd7: 6fd0.*
+ 11fd8: 0c55.*
+ 11fd9: 6fd0.*
+ 11fda: 0d55.*
+ 11fdb: 6fd0.*
+ 11fdc: 0c56.*
+ 11fdd: 6fd0.*
+ 11fde: 0d56.*
+ 11fdf: 6fd0.*
+ 11fe0: 0c57.*
+ 11fe1: 6fd0.*
+ 11fe2: 0d57.*
+ 11fe3: 6fd0.*
+ 11fe4: 0c58.*
+ 11fe5: 6fd0.*
+ 11fe6: 0d58.*
+ 11fe7: 6fd0.*
+ 11fe8: 0c59.*
+ 11fe9: 6fd0.*
+ 11fea: 0d59.*
+ 11feb: 6fd0.*
+ 11fec: 0c5a.*
+ 11fed: 6fd0.*
+ 11fee: 0d5a.*
+ 11fef: 6fd0.*
+ 11ff0: 0c5b.*
+ 11ff1: 6fd0.*
+ 11ff2: 0d5b.*
+ 11ff3: 6fd0.*
+ 11ff4: 0c5c.*
+ 11ff5: 6fd0.*
+ 11ff6: 0d5c.*
+ 11ff7: 6fd0.*
+ 11ff8: 0c5d.*
+ 11ff9: 6fd0.*
+ 11ffa: 0d5d.*
+ 11ffb: 6fd0.*
+ 11ffc: 0c5e.*
+ 11ffd: 6fd0.*
+ 11ffe: 0d5e.*
+ 11fff: 6fd0.*
+ 12000: 0c5f.*
+ 12001: 6fd0.*
+ 12002: 0d5f.*
+ 12003: 10d0.*
+ 12004: 11d0.*
+ 12005: 6fd0.*
+ 12006: 0c41.*
+ 12007: 6fd0.*
+ 12008: 0d41.*
+ 12009: 6fd0.*
+ 1200a: 0c42.*
+ 1200b: 6fd0.*
+ 1200c: 0d42.*
+ 1200d: 6fd0.*
+ 1200e: 0c43.*
+ 1200f: 6fd0.*
+ 12010: 0d43.*
+ 12011: 6fd0.*
+ 12012: 0c44.*
+ 12013: 6fd0.*
+ 12014: 0d44.*
+ 12015: 6fd0.*
+ 12016: 0c45.*
+ 12017: 6fd0.*
+ 12018: 0d45.*
+ 12019: 6fd0.*
+ 1201a: 0c46.*
+ 1201b: 6fd0.*
+ 1201c: 0d46.*
+ 1201d: 6fd0.*
+ 1201e: 0c47.*
+ 1201f: 6fd0.*
+ 12020: 0d47.*
+ 12021: 6fd0.*
+ 12022: 0c48.*
+ 12023: 6fd0.*
+ 12024: 0d48.*
+ 12025: 6fd0.*
+ 12026: 0c49.*
+ 12027: 6fd0.*
+ 12028: 0d49.*
+ 12029: 6fd0.*
+ 1202a: 0c4a.*
+ 1202b: 6fd0.*
+ 1202c: 0d4a.*
+ 1202d: 6fd0.*
+ 1202e: 0c4b.*
+ 1202f: 6fd0.*
+ 12030: 0d4b.*
+ 12031: 6fd0.*
+ 12032: 0c4c.*
+ 12033: 6fd0.*
+ 12034: 0d4c.*
+ 12035: 6fd0.*
+ 12036: 0c4d.*
+ 12037: 6fd0.*
+ 12038: 0d4d.*
+ 12039: 6fd0.*
+ 1203a: 0c4e.*
+ 1203b: 6fd0.*
+ 1203c: 0d4e.*
+ 1203d: 6fd0.*
+ 1203e: 0c4f.*
+ 1203f: 6fd0.*
+ 12040: 0d4f.*
+ 12041: 10d8.*
+ 12042: 11d8.*
+ 12043: 6fd8.*
+ 12044: 0c50.*
+ 12045: 6fd8.*
+ 12046: 0d50.*
+ 12047: 6fd8.*
+ 12048: 0c51.*
+ 12049: 6fd8.*
+ 1204a: 0d51.*
+ 1204b: 6fd8.*
+ 1204c: 0c52.*
+ 1204d: 6fd8.*
+ 1204e: 0d52.*
+ 1204f: 6fd8.*
+ 12050: 0c53.*
+ 12051: 6fd8.*
+ 12052: 0d53.*
+ 12053: 6fd8.*
+ 12054: 0c54.*
+ 12055: 6fd8.*
+ 12056: 0d54.*
+ 12057: 6fd8.*
+ 12058: 0c55.*
+ 12059: 6fd8.*
+ 1205a: 0d55.*
+ 1205b: 6fd8.*
+ 1205c: 0c56.*
+ 1205d: 6fd8.*
+ 1205e: 0d56.*
+ 1205f: 6fd8.*
+ 12060: 0c57.*
+ 12061: 6fd8.*
+ 12062: 0d57.*
+ 12063: 6fd8.*
+ 12064: 0c58.*
+ 12065: 6fd8.*
+ 12066: 0d58.*
+ 12067: 6fd8.*
+ 12068: 0c59.*
+ 12069: 6fd8.*
+ 1206a: 0d59.*
+ 1206b: 6fd8.*
+ 1206c: 0c5a.*
+ 1206d: 6fd8.*
+ 1206e: 0d5a.*
+ 1206f: 6fd8.*
+ 12070: 0c5b.*
+ 12071: 6fd8.*
+ 12072: 0d5b.*
+ 12073: 6fd8.*
+ 12074: 0c5c.*
+ 12075: 6fd8.*
+ 12076: 0d5c.*
+ 12077: 6fd8.*
+ 12078: 0c5d.*
+ 12079: 6fd8.*
+ 1207a: 0d5d.*
+ 1207b: 6fd8.*
+ 1207c: 0c5e.*
+ 1207d: 6fd8.*
+ 1207e: 0d5e.*
+ 1207f: 6fd8.*
+ 12080: 0c5f.*
+ 12081: 6fd8.*
+ 12082: 0d5f.*
+ 12083: 10d8.*
+ 12084: 11d8.*
+ 12085: 6fd8.*
+ 12086: 0c41.*
+ 12087: 6fd8.*
+ 12088: 0d41.*
+ 12089: 6fd8.*
+ 1208a: 0c42.*
+ 1208b: 6fd8.*
+ 1208c: 0d42.*
+ 1208d: 6fd8.*
+ 1208e: 0c43.*
+ 1208f: 6fd8.*
+ 12090: 0d43.*
+ 12091: 6fd8.*
+ 12092: 0c44.*
+ 12093: 6fd8.*
+ 12094: 0d44.*
+ 12095: 6fd8.*
+ 12096: 0c45.*
+ 12097: 6fd8.*
+ 12098: 0d45.*
+ 12099: 6fd8.*
+ 1209a: 0c46.*
+ 1209b: 6fd8.*
+ 1209c: 0d46.*
+ 1209d: 6fd8.*
+ 1209e: 0c47.*
+ 1209f: 6fd8.*
+ 120a0: 0d47.*
+ 120a1: 6fd8.*
+ 120a2: 0c48.*
+ 120a3: 6fd8.*
+ 120a4: 0d48.*
+ 120a5: 6fd8.*
+ 120a6: 0c49.*
+ 120a7: 6fd8.*
+ 120a8: 0d49.*
+ 120a9: 6fd8.*
+ 120aa: 0c4a.*
+ 120ab: 6fd8.*
+ 120ac: 0d4a.*
+ 120ad: 6fd8.*
+ 120ae: 0c4b.*
+ 120af: 6fd8.*
+ 120b0: 0d4b.*
+ 120b1: 6fd8.*
+ 120b2: 0c4c.*
+ 120b3: 6fd8.*
+ 120b4: 0d4c.*
+ 120b5: 6fd8.*
+ 120b6: 0c4d.*
+ 120b7: 6fd8.*
+ 120b8: 0d4d.*
+ 120b9: 6fd8.*
+ 120ba: 0c4e.*
+ 120bb: 6fd8.*
+ 120bc: 0d4e.*
+ 120bd: 6fd8.*
+ 120be: 0c4f.*
+ 120bf: 6fd8.*
+ 120c0: 0d4f.*
+ 120c1: 10e0.*
+ 120c2: 2260.*
+ 120c3: 11e0.*
+ 120c4: 2261.*
+ 120c5: 6fe0.*
+ 120c6: 2262.*
+ 120c7: 0c50.*
+ 120c8: 6fe0.*
+ 120c9: 2263.*
+ 120ca: 0d50.*
+ 120cb: 6fe0.*
+ 120cc: 2264.*
+ 120cd: 0c51.*
+ 120ce: 6fe0.*
+ 120cf: 2265.*
+ 120d0: 0d51.*
+ 120d1: 6fe0.*
+ 120d2: 2266.*
+ 120d3: 0c52.*
+ 120d4: 6fe0.*
+ 120d5: 2267.*
+ 120d6: 0d52.*
+ 120d7: 6fe0.*
+ 120d8: 2268.*
+ 120d9: 0c53.*
+ 120da: 6fe0.*
+ 120db: 2269.*
+ 120dc: 0d53.*
+ 120dd: 6fe0.*
+ 120de: 226a.*
+ 120df: 0c54.*
+ 120e0: 6fe0.*
+ 120e1: 226b.*
+ 120e2: 0d54.*
+ 120e3: 6fe0.*
+ 120e4: 226c.*
+ 120e5: 0c55.*
+ 120e6: 6fe0.*
+ 120e7: 226d.*
+ 120e8: 0d55.*
+ 120e9: 6fe0.*
+ 120ea: 226e.*
+ 120eb: 0c56.*
+ 120ec: 6fe0.*
+ 120ed: 226f.*
+ 120ee: 0d56.*
+ 120ef: 6fe0.*
+ 120f0: 2270.*
+ 120f1: 0c57.*
+ 120f2: 6fe0.*
+ 120f3: 2271.*
+ 120f4: 0d57.*
+ 120f5: 6fe0.*
+ 120f6: 2272.*
+ 120f7: 0c58.*
+ 120f8: 6fe0.*
+ 120f9: 2273.*
+ 120fa: 0d58.*
+ 120fb: 6fe0.*
+ 120fc: 2274.*
+ 120fd: 0c59.*
+ 120fe: 6fe0.*
+ 120ff: 2275.*
+ 12100: 0d59.*
+ 12101: 6fe0.*
+ 12102: 2276.*
+ 12103: 0c5a.*
+ 12104: 6fe0.*
+ 12105: 2277.*
+ 12106: 0d5a.*
+ 12107: 6fe0.*
+ 12108: 2278.*
+ 12109: 0c5b.*
+ 1210a: 6fe0.*
+ 1210b: 2279.*
+ 1210c: 0d5b.*
+ 1210d: 6fe0.*
+ 1210e: 227a.*
+ 1210f: 0c5c.*
+ 12110: 6fe0.*
+ 12111: 227b.*
+ 12112: 0d5c.*
+ 12113: 6fe0.*
+ 12114: 227c.*
+ 12115: 0c5d.*
+ 12116: 6fe0.*
+ 12117: 227d.*
+ 12118: 0d5d.*
+ 12119: 6fe0.*
+ 1211a: 227e.*
+ 1211b: 0c5e.*
+ 1211c: 6fe0.*
+ 1211d: 227f.*
+ 1211e: 0d5e.*
+ 1211f: 6fe0.*
+ 12120: 2280.*
+ 12121: 0c5f.*
+ 12122: 6fe0.*
+ 12123: 2281.*
+ 12124: 0d5f.*
+ 12125: 10e0.*
+ 12126: 2282.*
+ 12127: 11e0.*
+ 12128: 2283.*
+ 12129: 6fe0.*
+ 1212a: 2284.*
+ 1212b: 0c41.*
+ 1212c: 6fe0.*
+ 1212d: 2285.*
+ 1212e: 0d41.*
+ 1212f: 6fe0.*
+ 12130: 2286.*
+ 12131: 0c42.*
+ 12132: 6fe0.*
+ 12133: 2287.*
+ 12134: 0d42.*
+ 12135: 6fe0.*
+ 12136: 2288.*
+ 12137: 0c43.*
+ 12138: 6fe0.*
+ 12139: 2289.*
+ 1213a: 0d43.*
+ 1213b: 6fe0.*
+ 1213c: 228a.*
+ 1213d: 0c44.*
+ 1213e: 6fe0.*
+ 1213f: 228b.*
+ 12140: 0d44.*
+ 12141: 6fe0.*
+ 12142: 228c.*
+ 12143: 0c45.*
+ 12144: 6fe0.*
+ 12145: 228d.*
+ 12146: 0d45.*
+ 12147: 6fe0.*
+ 12148: 228e.*
+ 12149: 0c46.*
+ 1214a: 6fe0.*
+ 1214b: 228f.*
+ 1214c: 0d46.*
+ 1214d: 6fe0.*
+ 1214e: 2290.*
+ 1214f: 0c47.*
+ 12150: 6fe0.*
+ 12151: 2291.*
+ 12152: 0d47.*
+ 12153: 6fe0.*
+ 12154: 2292.*
+ 12155: 0c48.*
+ 12156: 6fe0.*
+ 12157: 2293.*
+ 12158: 0d48.*
+ 12159: 6fe0.*
+ 1215a: 2294.*
+ 1215b: 0c49.*
+ 1215c: 6fe0.*
+ 1215d: 2295.*
+ 1215e: 0d49.*
+ 1215f: 6fe0.*
+ 12160: 2296.*
+ 12161: 0c4a.*
+ 12162: 6fe0.*
+ 12163: 2297.*
+ 12164: 0d4a.*
+ 12165: 6fe0.*
+ 12166: 2298.*
+ 12167: 0c4b.*
+ 12168: 6fe0.*
+ 12169: 2299.*
+ 1216a: 0d4b.*
+ 1216b: 6fe0.*
+ 1216c: 229a.*
+ 1216d: 0c4c.*
+ 1216e: 6fe0.*
+ 1216f: 229b.*
+ 12170: 0d4c.*
+ 12171: 6fe0.*
+ 12172: 229c.*
+ 12173: 0c4d.*
+ 12174: 6fe0.*
+ 12175: 229d.*
+ 12176: 0d4d.*
+ 12177: 6fe0.*
+ 12178: 229e.*
+ 12179: 0c4e.*
+ 1217a: 6fe0.*
+ 1217b: 229f.*
+ 1217c: 0d4e.*
+ 1217d: 6fe0.*
+ 1217e: 22a0.*
+ 1217f: 0c4f.*
+ 12180: 6fe0.*
+ 12181: 22a1.*
+ 12182: 0d4f.*
+ 12183: 10e8.*
+ 12184: 22a2.*
+ 12185: 11e8.*
+ 12186: 22a3.*
+ 12187: 6fe8.*
+ 12188: 22a4.*
+ 12189: 0c50.*
+ 1218a: 6fe8.*
+ 1218b: 22a5.*
+ 1218c: 0d50.*
+ 1218d: 6fe8.*
+ 1218e: 22a6.*
+ 1218f: 0c51.*
+ 12190: 6fe8.*
+ 12191: 22a7.*
+ 12192: 0d51.*
+ 12193: 6fe8.*
+ 12194: 22a8.*
+ 12195: 0c52.*
+ 12196: 6fe8.*
+ 12197: 22a9.*
+ 12198: 0d52.*
+ 12199: 6fe8.*
+ 1219a: 22aa.*
+ 1219b: 0c53.*
+ 1219c: 6fe8.*
+ 1219d: 22ab.*
+ 1219e: 0d53.*
+ 1219f: 6fe8.*
+ 121a0: 22ac.*
+ 121a1: 0c54.*
+ 121a2: 6fe8.*
+ 121a3: 22ad.*
+ 121a4: 0d54.*
+ 121a5: 6fe8.*
+ 121a6: 22ae.*
+ 121a7: 0c55.*
+ 121a8: 6fe8.*
+ 121a9: 22af.*
+ 121aa: 0d55.*
+ 121ab: 6fe8.*
+ 121ac: 22b0.*
+ 121ad: 0c56.*
+ 121ae: 6fe8.*
+ 121af: 22b1.*
+ 121b0: 0d56.*
+ 121b1: 6fe8.*
+ 121b2: 22b2.*
+ 121b3: 0c57.*
+ 121b4: 6fe8.*
+ 121b5: 22b3.*
+ 121b6: 0d57.*
+ 121b7: 6fe8.*
+ 121b8: 22b4.*
+ 121b9: 0c58.*
+ 121ba: 6fe8.*
+ 121bb: 22b5.*
+ 121bc: 0d58.*
+ 121bd: 6fe8.*
+ 121be: 22b6.*
+ 121bf: 0c59.*
+ 121c0: 6fe8.*
+ 121c1: 22b7.*
+ 121c2: 0d59.*
+ 121c3: 6fe8.*
+ 121c4: 22b8.*
+ 121c5: 0c5a.*
+ 121c6: 6fe8.*
+ 121c7: 22b9.*
+ 121c8: 0d5a.*
+ 121c9: 6fe8.*
+ 121ca: 22ba.*
+ 121cb: 0c5b.*
+ 121cc: 6fe8.*
+ 121cd: 22bb.*
+ 121ce: 0d5b.*
+ 121cf: 6fe8.*
+ 121d0: 22bc.*
+ 121d1: 0c5c.*
+ 121d2: 6fe8.*
+ 121d3: 22bd.*
+ 121d4: 0d5c.*
+ 121d5: 6fe8.*
+ 121d6: 22be.*
+ 121d7: 0c5d.*
+ 121d8: 6fe8.*
+ 121d9: 22bf.*
+ 121da: 0d5d.*
+ 121db: 6fe8.*
+ 121dc: 22c0.*
+ 121dd: 0c5e.*
+ 121de: 6fe8.*
+ 121df: 22c1.*
+ 121e0: 0d5e.*
+ 121e1: 6fe8.*
+ 121e2: 22c2.*
+ 121e3: 0c5f.*
+ 121e4: 6fe8.*
+ 121e5: 22c3.*
+ 121e6: 0d5f.*
+ 121e7: 10e8.*
+ 121e8: 22c4.*
+ 121e9: 11e8.*
+ 121ea: 22c5.*
+ 121eb: 6fe8.*
+ 121ec: 22c6.*
+ 121ed: 0c41.*
+ 121ee: 6fe8.*
+ 121ef: 22c7.*
+ 121f0: 0d41.*
+ 121f1: 6fe8.*
+ 121f2: 22c8.*
+ 121f3: 0c42.*
+ 121f4: 6fe8.*
+ 121f5: 22c9.*
+ 121f6: 0d42.*
+ 121f7: 6fe8.*
+ 121f8: 22ca.*
+ 121f9: 0c43.*
+ 121fa: 6fe8.*
+ 121fb: 22cb.*
+ 121fc: 0d43.*
+ 121fd: 6fe8.*
+ 121fe: 22cc.*
+ 121ff: 0c44.*
+ 12200: 6fe8.*
+ 12201: 22cd.*
+ 12202: 0d44.*
+ 12203: 6fe8.*
+ 12204: 22ce.*
+ 12205: 0c45.*
+ 12206: 6fe8.*
+ 12207: 22cf.*
+ 12208: 0d45.*
+ 12209: 6fe8.*
+ 1220a: 22d0.*
+ 1220b: 0c46.*
+ 1220c: 6fe8.*
+ 1220d: 22d1.*
+ 1220e: 0d46.*
+ 1220f: 6fe8.*
+ 12210: 22d2.*
+ 12211: 0c47.*
+ 12212: 6fe8.*
+ 12213: 22d3.*
+ 12214: 0d47.*
+ 12215: 6fe8.*
+ 12216: 22d4.*
+ 12217: 0c48.*
+ 12218: 6fe8.*
+ 12219: 22d5.*
+ 1221a: 0d48.*
+ 1221b: 6fe8.*
+ 1221c: 22d6.*
+ 1221d: 0c49.*
+ 1221e: 6fe8.*
+ 1221f: 22d7.*
+ 12220: 0d49.*
+ 12221: 6fe8.*
+ 12222: 22d8.*
+ 12223: 0c4a.*
+ 12224: 6fe8.*
+ 12225: 22d9.*
+ 12226: 0d4a.*
+ 12227: 6fe8.*
+ 12228: 22da.*
+ 12229: 0c4b.*
+ 1222a: 6fe8.*
+ 1222b: 22db.*
+ 1222c: 0d4b.*
+ 1222d: 6fe8.*
+ 1222e: 22dc.*
+ 1222f: 0c4c.*
+ 12230: 6fe8.*
+ 12231: 22dd.*
+ 12232: 0d4c.*
+ 12233: 6fe8.*
+ 12234: 22de.*
+ 12235: 0c4d.*
+ 12236: 6fe8.*
+ 12237: 22df.*
+ 12238: 0d4d.*
+ 12239: 6fe8.*
+ 1223a: 22e0.*
+ 1223b: 0c4e.*
+ 1223c: 6fe8.*
+ 1223d: 22e1.*
+ 1223e: 0d4e.*
+ 1223f: 6fe8.*
+ 12240: 22e2.*
+ 12241: 0c4f.*
+ 12242: 6fe8.*
+ 12243: 22e3.*
+ 12244: 0d4f.*
+ 12245: 10f0.*
+ 12246: 22e4.*
+ 12247: 11f0.*
+ 12248: 22e5.*
+ 12249: 6ff0.*
+ 1224a: 22e6.*
+ 1224b: 0c50.*
+ 1224c: 6ff0.*
+ 1224d: 22e7.*
+ 1224e: 0d50.*
+ 1224f: 6ff0.*
+ 12250: 22e8.*
+ 12251: 0c51.*
+ 12252: 6ff0.*
+ 12253: 22e9.*
+ 12254: 0d51.*
+ 12255: 6ff0.*
+ 12256: 22ea.*
+ 12257: 0c52.*
+ 12258: 6ff0.*
+ 12259: 22eb.*
+ 1225a: 0d52.*
+ 1225b: 6ff0.*
+ 1225c: 22ec.*
+ 1225d: 0c53.*
+ 1225e: 6ff0.*
+ 1225f: 22ed.*
+ 12260: 0d53.*
+ 12261: 6ff0.*
+ 12262: 22ee.*
+ 12263: 0c54.*
+ 12264: 6ff0.*
+ 12265: 22ef.*
+ 12266: 0d54.*
+ 12267: 6ff0.*
+ 12268: 22f0.*
+ 12269: 0c55.*
+ 1226a: 6ff0.*
+ 1226b: 22f1.*
+ 1226c: 0d55.*
+ 1226d: 6ff0.*
+ 1226e: 22f2.*
+ 1226f: 0c56.*
+ 12270: 6ff0.*
+ 12271: 22f3.*
+ 12272: 0d56.*
+ 12273: 6ff0.*
+ 12274: 22f4.*
+ 12275: 0c57.*
+ 12276: 6ff0.*
+ 12277: 22f5.*
+ 12278: 0d57.*
+ 12279: 6ff0.*
+ 1227a: 22f6.*
+ 1227b: 0c58.*
+ 1227c: 6ff0.*
+ 1227d: 22f7.*
+ 1227e: 0d58.*
+ 1227f: 6ff0.*
+ 12280: 22f8.*
+ 12281: 0c59.*
+ 12282: 6ff0.*
+ 12283: 22f9.*
+ 12284: 0d59.*
+ 12285: 6ff0.*
+ 12286: 22fa.*
+ 12287: 0c5a.*
+ 12288: 6ff0.*
+ 12289: 22fb.*
+ 1228a: 0d5a.*
+ 1228b: 6ff0.*
+ 1228c: 22fc.*
+ 1228d: 0c5b.*
+ 1228e: 6ff0.*
+ 1228f: 22fd.*
+ 12290: 0d5b.*
+ 12291: 6ff0.*
+ 12292: 22fe.*
+ 12293: 0c5c.*
+ 12294: 6ff0.*
+ 12295: 22ff.*
+ 12296: 0d5c.*
+ 12297: 6ff0.*
+ 12298: 2300.*
+ 12299: 0c5d.*
+ 1229a: 6ff0.*
+ 1229b: 2301.*
+ 1229c: 0d5d.*
+ 1229d: 6ff0.*
+ 1229e: 2302.*
+ 1229f: 0c5e.*
+ 122a0: 6ff0.*
+ 122a1: 2303.*
+ 122a2: 0d5e.*
+ 122a3: 6ff0.*
+ 122a4: 2304.*
+ 122a5: 0c5f.*
+ 122a6: 6ff0.*
+ 122a7: 2305.*
+ 122a8: 0d5f.*
+ 122a9: 10f0.*
+ 122aa: 2306.*
+ 122ab: 11f0.*
+ 122ac: 2307.*
+ 122ad: 6ff0.*
+ 122ae: 2308.*
+ 122af: 0c41.*
+ 122b0: 6ff0.*
+ 122b1: 2309.*
+ 122b2: 0d41.*
+ 122b3: 6ff0.*
+ 122b4: 230a.*
+ 122b5: 0c42.*
+ 122b6: 6ff0.*
+ 122b7: 230b.*
+ 122b8: 0d42.*
+ 122b9: 6ff0.*
+ 122ba: 230c.*
+ 122bb: 0c43.*
+ 122bc: 6ff0.*
+ 122bd: 230d.*
+ 122be: 0d43.*
+ 122bf: 6ff0.*
+ 122c0: 230e.*
+ 122c1: 0c44.*
+ 122c2: 6ff0.*
+ 122c3: 230f.*
+ 122c4: 0d44.*
+ 122c5: 6ff0.*
+ 122c6: 2310.*
+ 122c7: 0c45.*
+ 122c8: 6ff0.*
+ 122c9: 2311.*
+ 122ca: 0d45.*
+ 122cb: 6ff0.*
+ 122cc: 2312.*
+ 122cd: 0c46.*
+ 122ce: 6ff0.*
+ 122cf: 2313.*
+ 122d0: 0d46.*
+ 122d1: 6ff0.*
+ 122d2: 2314.*
+ 122d3: 0c47.*
+ 122d4: 6ff0.*
+ 122d5: 2315.*
+ 122d6: 0d47.*
+ 122d7: 6ff0.*
+ 122d8: 2316.*
+ 122d9: 0c48.*
+ 122da: 6ff0.*
+ 122db: 2317.*
+ 122dc: 0d48.*
+ 122dd: 6ff0.*
+ 122de: 2318.*
+ 122df: 0c49.*
+ 122e0: 6ff0.*
+ 122e1: 2319.*
+ 122e2: 0d49.*
+ 122e3: 6ff0.*
+ 122e4: 231a.*
+ 122e5: 0c4a.*
+ 122e6: 6ff0.*
+ 122e7: 231b.*
+ 122e8: 0d4a.*
+ 122e9: 6ff0.*
+ 122ea: 231c.*
+ 122eb: 0c4b.*
+ 122ec: 6ff0.*
+ 122ed: 231d.*
+ 122ee: 0d4b.*
+ 122ef: 6ff0.*
+ 122f0: 231e.*
+ 122f1: 0c4c.*
+ 122f2: 6ff0.*
+ 122f3: 231f.*
+ 122f4: 0d4c.*
+ 122f5: 6ff0.*
+ 122f6: 2320.*
+ 122f7: 0c4d.*
+ 122f8: 6ff0.*
+ 122f9: 2321.*
+ 122fa: 0d4d.*
+ 122fb: 6ff0.*
+ 122fc: 2322.*
+ 122fd: 0c4e.*
+ 122fe: 6ff0.*
+ 122ff: 2323.*
+ 12300: 0d4e.*
+ 12301: 6ff0.*
+ 12302: 2324.*
+ 12303: 0c4f.*
+ 12304: 6ff0.*
+ 12305: 2325.*
+ 12306: 0d4f.*
+ 12307: 10f8.*
+ 12308: 2326.*
+ 12309: 11f8.*
+ 1230a: 2327.*
+ 1230b: 6ff8.*
+ 1230c: 2328.*
+ 1230d: 0c50.*
+ 1230e: 6ff8.*
+ 1230f: 2329.*
+ 12310: 0d50.*
+ 12311: 6ff8.*
+ 12312: 232a.*
+ 12313: 0c51.*
+ 12314: 6ff8.*
+ 12315: 232b.*
+ 12316: 0d51.*
+ 12317: 6ff8.*
+ 12318: 232c.*
+ 12319: 0c52.*
+ 1231a: 6ff8.*
+ 1231b: 232d.*
+ 1231c: 0d52.*
+ 1231d: 6ff8.*
+ 1231e: 232e.*
+ 1231f: 0c53.*
+ 12320: 6ff8.*
+ 12321: 232f.*
+ 12322: 0d53.*
+ 12323: 6ff8.*
+ 12324: 2330.*
+ 12325: 0c54.*
+ 12326: 6ff8.*
+ 12327: 2331.*
+ 12328: 0d54.*
+ 12329: 6ff8.*
+ 1232a: 2332.*
+ 1232b: 0c55.*
+ 1232c: 6ff8.*
+ 1232d: 2333.*
+ 1232e: 0d55.*
+ 1232f: 6ff8.*
+ 12330: 2334.*
+ 12331: 0c56.*
+ 12332: 6ff8.*
+ 12333: 2335.*
+ 12334: 0d56.*
+ 12335: 6ff8.*
+ 12336: 2336.*
+ 12337: 0c57.*
+ 12338: 6ff8.*
+ 12339: 2337.*
+ 1233a: 0d57.*
+ 1233b: 6ff8.*
+ 1233c: 2338.*
+ 1233d: 0c58.*
+ 1233e: 6ff8.*
+ 1233f: 2339.*
+ 12340: 0d58.*
+ 12341: 6ff8.*
+ 12342: 233a.*
+ 12343: 0c59.*
+ 12344: 6ff8.*
+ 12345: 233b.*
+ 12346: 0d59.*
+ 12347: 6ff8.*
+ 12348: 233c.*
+ 12349: 0c5a.*
+ 1234a: 6ff8.*
+ 1234b: 233d.*
+ 1234c: 0d5a.*
+ 1234d: 6ff8.*
+ 1234e: 233e.*
+ 1234f: 0c5b.*
+ 12350: 6ff8.*
+ 12351: 233f.*
+ 12352: 0d5b.*
+ 12353: 6ff8.*
+ 12354: 2340.*
+ 12355: 0c5c.*
+ 12356: 6ff8.*
+ 12357: 2341.*
+ 12358: 0d5c.*
+ 12359: 6ff8.*
+ 1235a: 2342.*
+ 1235b: 0c5d.*
+ 1235c: 6ff8.*
+ 1235d: 2343.*
+ 1235e: 0d5d.*
+ 1235f: 6ff8.*
+ 12360: 2344.*
+ 12361: 0c5e.*
+ 12362: 6ff8.*
+ 12363: 2345.*
+ 12364: 0d5e.*
+ 12365: 6ff8.*
+ 12366: 2346.*
+ 12367: 0c5f.*
+ 12368: 6ff8.*
+ 12369: 2347.*
+ 1236a: 0d5f.*
+ 1236b: 10f8.*
+ 1236c: 2348.*
+ 1236d: 11f8.*
+ 1236e: 2349.*
+ 1236f: 6ff8.*
+ 12370: 234a.*
+ 12371: 0c41.*
+ 12372: 6ff8.*
+ 12373: 234b.*
+ 12374: 0d41.*
+ 12375: 6ff8.*
+ 12376: 234c.*
+ 12377: 0c42.*
+ 12378: 6ff8.*
+ 12379: 234d.*
+ 1237a: 0d42.*
+ 1237b: 6ff8.*
+ 1237c: 234e.*
+ 1237d: 0c43.*
+ 1237e: 6ff8.*
+ 1237f: 234f.*
+ 12380: 0d43.*
+ 12381: 6ff8.*
+ 12382: 2350.*
+ 12383: 0c44.*
+ 12384: 6ff8.*
+ 12385: 2351.*
+ 12386: 0d44.*
+ 12387: 6ff8.*
+ 12388: 2352.*
+ 12389: 0c45.*
+ 1238a: 6ff8.*
+ 1238b: 2353.*
+ 1238c: 0d45.*
+ 1238d: 6ff8.*
+ 1238e: 2354.*
+ 1238f: 0c46.*
+ 12390: 6ff8.*
+ 12391: 2355.*
+ 12392: 0d46.*
+ 12393: 6ff8.*
+ 12394: 2356.*
+ 12395: 0c47.*
+ 12396: 6ff8.*
+ 12397: 2357.*
+ 12398: 0d47.*
+ 12399: 6ff8.*
+ 1239a: 2358.*
+ 1239b: 0c48.*
+ 1239c: 6ff8.*
+ 1239d: 2359.*
+ 1239e: 0d48.*
+ 1239f: 6ff8.*
+ 123a0: 235a.*
+ 123a1: 0c49.*
+ 123a2: 6ff8.*
+ 123a3: 235b.*
+ 123a4: 0d49.*
+ 123a5: 6ff8.*
+ 123a6: 235c.*
+ 123a7: 0c4a.*
+ 123a8: 6ff8.*
+ 123a9: 235d.*
+ 123aa: 0d4a.*
+ 123ab: 6ff8.*
+ 123ac: 235e.*
+ 123ad: 0c4b.*
+ 123ae: 6ff8.*
+ 123af: 235f.*
+ 123b0: 0d4b.*
+ 123b1: 6ff8.*
+ 123b2: 2360.*
+ 123b3: 0c4c.*
+ 123b4: 6ff8.*
+ 123b5: 2361.*
+ 123b6: 0d4c.*
+ 123b7: 6ff8.*
+ 123b8: 2362.*
+ 123b9: 0c4d.*
+ 123ba: 6ff8.*
+ 123bb: 2363.*
+ 123bc: 0d4d.*
+ 123bd: 6ff8.*
+ 123be: 2364.*
+ 123bf: 0c4e.*
+ 123c0: 6ff8.*
+ 123c1: 2365.*
+ 123c2: 0d4e.*
+ 123c3: 6ff8.*
+ 123c4: 2366.*
+ 123c5: 0c4f.*
+ 123c6: 6ff8.*
+ 123c7: 2367.*
+ 123c8: 0d4f.*
+ 123c9: 1081.*
+ 123ca: 1181.*
+ 123cb: 6f81.*
+ 123cc: 0c50.*
+ 123cd: 6f81.*
+ 123ce: 0d50.*
+ 123cf: 6f81.*
+ 123d0: 0c51.*
+ 123d1: 6f81.*
+ 123d2: 0d51.*
+ 123d3: 6f81.*
+ 123d4: 0c52.*
+ 123d5: 6f81.*
+ 123d6: 0d52.*
+ 123d7: 6f81.*
+ 123d8: 0c53.*
+ 123d9: 6f81.*
+ 123da: 0d53.*
+ 123db: 6f81.*
+ 123dc: 0c54.*
+ 123dd: 6f81.*
+ 123de: 0d54.*
+ 123df: 6f81.*
+ 123e0: 0c55.*
+ 123e1: 6f81.*
+ 123e2: 0d55.*
+ 123e3: 6f81.*
+ 123e4: 0c56.*
+ 123e5: 6f81.*
+ 123e6: 0d56.*
+ 123e7: 6f81.*
+ 123e8: 0c57.*
+ 123e9: 6f81.*
+ 123ea: 0d57.*
+ 123eb: 6f81.*
+ 123ec: 0c58.*
+ 123ed: 6f81.*
+ 123ee: 0d58.*
+ 123ef: 6f81.*
+ 123f0: 0c59.*
+ 123f1: 6f81.*
+ 123f2: 0d59.*
+ 123f3: 6f81.*
+ 123f4: 0c5a.*
+ 123f5: 6f81.*
+ 123f6: 0d5a.*
+ 123f7: 6f81.*
+ 123f8: 0c5b.*
+ 123f9: 6f81.*
+ 123fa: 0d5b.*
+ 123fb: 6f81.*
+ 123fc: 0c5c.*
+ 123fd: 6f81.*
+ 123fe: 0d5c.*
+ 123ff: 6f81.*
+ 12400: 0c5d.*
+ 12401: 6f81.*
+ 12402: 0d5d.*
+ 12403: 6f81.*
+ 12404: 0c5e.*
+ 12405: 6f81.*
+ 12406: 0d5e.*
+ 12407: 6f81.*
+ 12408: 0c5f.*
+ 12409: 6f81.*
+ 1240a: 0d5f.*
+ 1240b: 1081.*
+ 1240c: 1181.*
+ 1240d: 6f81.*
+ 1240e: 0c41.*
+ 1240f: 6f81.*
+ 12410: 0d41.*
+ 12411: 6f81.*
+ 12412: 0c42.*
+ 12413: 6f81.*
+ 12414: 0d42.*
+ 12415: 6f81.*
+ 12416: 0c43.*
+ 12417: 6f81.*
+ 12418: 0d43.*
+ 12419: 6f81.*
+ 1241a: 0c44.*
+ 1241b: 6f81.*
+ 1241c: 0d44.*
+ 1241d: 6f81.*
+ 1241e: 0c45.*
+ 1241f: 6f81.*
+ 12420: 0d45.*
+ 12421: 6f81.*
+ 12422: 0c46.*
+ 12423: 6f81.*
+ 12424: 0d46.*
+ 12425: 6f81.*
+ 12426: 0c47.*
+ 12427: 6f81.*
+ 12428: 0d47.*
+ 12429: 6f81.*
+ 1242a: 0c48.*
+ 1242b: 6f81.*
+ 1242c: 0d48.*
+ 1242d: 6f81.*
+ 1242e: 0c49.*
+ 1242f: 6f81.*
+ 12430: 0d49.*
+ 12431: 6f81.*
+ 12432: 0c4a.*
+ 12433: 6f81.*
+ 12434: 0d4a.*
+ 12435: 6f81.*
+ 12436: 0c4b.*
+ 12437: 6f81.*
+ 12438: 0d4b.*
+ 12439: 6f81.*
+ 1243a: 0c4c.*
+ 1243b: 6f81.*
+ 1243c: 0d4c.*
+ 1243d: 6f81.*
+ 1243e: 0c4d.*
+ 1243f: 6f81.*
+ 12440: 0d4d.*
+ 12441: 6f81.*
+ 12442: 0c4e.*
+ 12443: 6f81.*
+ 12444: 0d4e.*
+ 12445: 6f81.*
+ 12446: 0c4f.*
+ 12447: 6f81.*
+ 12448: 0d4f.*
+ 12449: 1089.*
+ 1244a: 1189.*
+ 1244b: 6f89.*
+ 1244c: 0c50.*
+ 1244d: 6f89.*
+ 1244e: 0d50.*
+ 1244f: 6f89.*
+ 12450: 0c51.*
+ 12451: 6f89.*
+ 12452: 0d51.*
+ 12453: 6f89.*
+ 12454: 0c52.*
+ 12455: 6f89.*
+ 12456: 0d52.*
+ 12457: 6f89.*
+ 12458: 0c53.*
+ 12459: 6f89.*
+ 1245a: 0d53.*
+ 1245b: 6f89.*
+ 1245c: 0c54.*
+ 1245d: 6f89.*
+ 1245e: 0d54.*
+ 1245f: 6f89.*
+ 12460: 0c55.*
+ 12461: 6f89.*
+ 12462: 0d55.*
+ 12463: 6f89.*
+ 12464: 0c56.*
+ 12465: 6f89.*
+ 12466: 0d56.*
+ 12467: 6f89.*
+ 12468: 0c57.*
+ 12469: 6f89.*
+ 1246a: 0d57.*
+ 1246b: 6f89.*
+ 1246c: 0c58.*
+ 1246d: 6f89.*
+ 1246e: 0d58.*
+ 1246f: 6f89.*
+ 12470: 0c59.*
+ 12471: 6f89.*
+ 12472: 0d59.*
+ 12473: 6f89.*
+ 12474: 0c5a.*
+ 12475: 6f89.*
+ 12476: 0d5a.*
+ 12477: 6f89.*
+ 12478: 0c5b.*
+ 12479: 6f89.*
+ 1247a: 0d5b.*
+ 1247b: 6f89.*
+ 1247c: 0c5c.*
+ 1247d: 6f89.*
+ 1247e: 0d5c.*
+ 1247f: 6f89.*
+ 12480: 0c5d.*
+ 12481: 6f89.*
+ 12482: 0d5d.*
+ 12483: 6f89.*
+ 12484: 0c5e.*
+ 12485: 6f89.*
+ 12486: 0d5e.*
+ 12487: 6f89.*
+ 12488: 0c5f.*
+ 12489: 6f89.*
+ 1248a: 0d5f.*
+ 1248b: 1089.*
+ 1248c: 1189.*
+ 1248d: 6f89.*
+ 1248e: 0c41.*
+ 1248f: 6f89.*
+ 12490: 0d41.*
+ 12491: 6f89.*
+ 12492: 0c42.*
+ 12493: 6f89.*
+ 12494: 0d42.*
+ 12495: 6f89.*
+ 12496: 0c43.*
+ 12497: 6f89.*
+ 12498: 0d43.*
+ 12499: 6f89.*
+ 1249a: 0c44.*
+ 1249b: 6f89.*
+ 1249c: 0d44.*
+ 1249d: 6f89.*
+ 1249e: 0c45.*
+ 1249f: 6f89.*
+ 124a0: 0d45.*
+ 124a1: 6f89.*
+ 124a2: 0c46.*
+ 124a3: 6f89.*
+ 124a4: 0d46.*
+ 124a5: 6f89.*
+ 124a6: 0c47.*
+ 124a7: 6f89.*
+ 124a8: 0d47.*
+ 124a9: 6f89.*
+ 124aa: 0c48.*
+ 124ab: 6f89.*
+ 124ac: 0d48.*
+ 124ad: 6f89.*
+ 124ae: 0c49.*
+ 124af: 6f89.*
+ 124b0: 0d49.*
+ 124b1: 6f89.*
+ 124b2: 0c4a.*
+ 124b3: 6f89.*
+ 124b4: 0d4a.*
+ 124b5: 6f89.*
+ 124b6: 0c4b.*
+ 124b7: 6f89.*
+ 124b8: 0d4b.*
+ 124b9: 6f89.*
+ 124ba: 0c4c.*
+ 124bb: 6f89.*
+ 124bc: 0d4c.*
+ 124bd: 6f89.*
+ 124be: 0c4d.*
+ 124bf: 6f89.*
+ 124c0: 0d4d.*
+ 124c1: 6f89.*
+ 124c2: 0c4e.*
+ 124c3: 6f89.*
+ 124c4: 0d4e.*
+ 124c5: 6f89.*
+ 124c6: 0c4f.*
+ 124c7: 6f89.*
+ 124c8: 0d4f.*
+ 124c9: 1091.*
+ 124ca: 1191.*
+ 124cb: 6f91.*
+ 124cc: 0c50.*
+ 124cd: 6f91.*
+ 124ce: 0d50.*
+ 124cf: 6f91.*
+ 124d0: 0c51.*
+ 124d1: 6f91.*
+ 124d2: 0d51.*
+ 124d3: 6f91.*
+ 124d4: 0c52.*
+ 124d5: 6f91.*
+ 124d6: 0d52.*
+ 124d7: 6f91.*
+ 124d8: 0c53.*
+ 124d9: 6f91.*
+ 124da: 0d53.*
+ 124db: 6f91.*
+ 124dc: 0c54.*
+ 124dd: 6f91.*
+ 124de: 0d54.*
+ 124df: 6f91.*
+ 124e0: 0c55.*
+ 124e1: 6f91.*
+ 124e2: 0d55.*
+ 124e3: 6f91.*
+ 124e4: 0c56.*
+ 124e5: 6f91.*
+ 124e6: 0d56.*
+ 124e7: 6f91.*
+ 124e8: 0c57.*
+ 124e9: 6f91.*
+ 124ea: 0d57.*
+ 124eb: 6f91.*
+ 124ec: 0c58.*
+ 124ed: 6f91.*
+ 124ee: 0d58.*
+ 124ef: 6f91.*
+ 124f0: 0c59.*
+ 124f1: 6f91.*
+ 124f2: 0d59.*
+ 124f3: 6f91.*
+ 124f4: 0c5a.*
+ 124f5: 6f91.*
+ 124f6: 0d5a.*
+ 124f7: 6f91.*
+ 124f8: 0c5b.*
+ 124f9: 6f91.*
+ 124fa: 0d5b.*
+ 124fb: 6f91.*
+ 124fc: 0c5c.*
+ 124fd: 6f91.*
+ 124fe: 0d5c.*
+ 124ff: 6f91.*
+ 12500: 0c5d.*
+ 12501: 6f91.*
+ 12502: 0d5d.*
+ 12503: 6f91.*
+ 12504: 0c5e.*
+ 12505: 6f91.*
+ 12506: 0d5e.*
+ 12507: 6f91.*
+ 12508: 0c5f.*
+ 12509: 6f91.*
+ 1250a: 0d5f.*
+ 1250b: 1091.*
+ 1250c: 1191.*
+ 1250d: 6f91.*
+ 1250e: 0c41.*
+ 1250f: 6f91.*
+ 12510: 0d41.*
+ 12511: 6f91.*
+ 12512: 0c42.*
+ 12513: 6f91.*
+ 12514: 0d42.*
+ 12515: 6f91.*
+ 12516: 0c43.*
+ 12517: 6f91.*
+ 12518: 0d43.*
+ 12519: 6f91.*
+ 1251a: 0c44.*
+ 1251b: 6f91.*
+ 1251c: 0d44.*
+ 1251d: 6f91.*
+ 1251e: 0c45.*
+ 1251f: 6f91.*
+ 12520: 0d45.*
+ 12521: 6f91.*
+ 12522: 0c46.*
+ 12523: 6f91.*
+ 12524: 0d46.*
+ 12525: 6f91.*
+ 12526: 0c47.*
+ 12527: 6f91.*
+ 12528: 0d47.*
+ 12529: 6f91.*
+ 1252a: 0c48.*
+ 1252b: 6f91.*
+ 1252c: 0d48.*
+ 1252d: 6f91.*
+ 1252e: 0c49.*
+ 1252f: 6f91.*
+ 12530: 0d49.*
+ 12531: 6f91.*
+ 12532: 0c4a.*
+ 12533: 6f91.*
+ 12534: 0d4a.*
+ 12535: 6f91.*
+ 12536: 0c4b.*
+ 12537: 6f91.*
+ 12538: 0d4b.*
+ 12539: 6f91.*
+ 1253a: 0c4c.*
+ 1253b: 6f91.*
+ 1253c: 0d4c.*
+ 1253d: 6f91.*
+ 1253e: 0c4d.*
+ 1253f: 6f91.*
+ 12540: 0d4d.*
+ 12541: 6f91.*
+ 12542: 0c4e.*
+ 12543: 6f91.*
+ 12544: 0d4e.*
+ 12545: 6f91.*
+ 12546: 0c4f.*
+ 12547: 6f91.*
+ 12548: 0d4f.*
+ 12549: 10a1.*
+ 1254a: 11a1.*
+ 1254b: 6fa1.*
+ 1254c: 0c50.*
+ 1254d: 6fa1.*
+ 1254e: 0d50.*
+ 1254f: 6fa1.*
+ 12550: 0c51.*
+ 12551: 6fa1.*
+ 12552: 0d51.*
+ 12553: 6fa1.*
+ 12554: 0c52.*
+ 12555: 6fa1.*
+ 12556: 0d52.*
+ 12557: 6fa1.*
+ 12558: 0c53.*
+ 12559: 6fa1.*
+ 1255a: 0d53.*
+ 1255b: 6fa1.*
+ 1255c: 0c54.*
+ 1255d: 6fa1.*
+ 1255e: 0d54.*
+ 1255f: 6fa1.*
+ 12560: 0c55.*
+ 12561: 6fa1.*
+ 12562: 0d55.*
+ 12563: 6fa1.*
+ 12564: 0c56.*
+ 12565: 6fa1.*
+ 12566: 0d56.*
+ 12567: 6fa1.*
+ 12568: 0c57.*
+ 12569: 6fa1.*
+ 1256a: 0d57.*
+ 1256b: 6fa1.*
+ 1256c: 0c58.*
+ 1256d: 6fa1.*
+ 1256e: 0d58.*
+ 1256f: 6fa1.*
+ 12570: 0c59.*
+ 12571: 6fa1.*
+ 12572: 0d59.*
+ 12573: 6fa1.*
+ 12574: 0c5a.*
+ 12575: 6fa1.*
+ 12576: 0d5a.*
+ 12577: 6fa1.*
+ 12578: 0c5b.*
+ 12579: 6fa1.*
+ 1257a: 0d5b.*
+ 1257b: 6fa1.*
+ 1257c: 0c5c.*
+ 1257d: 6fa1.*
+ 1257e: 0d5c.*
+ 1257f: 6fa1.*
+ 12580: 0c5d.*
+ 12581: 6fa1.*
+ 12582: 0d5d.*
+ 12583: 6fa1.*
+ 12584: 0c5e.*
+ 12585: 6fa1.*
+ 12586: 0d5e.*
+ 12587: 6fa1.*
+ 12588: 0c5f.*
+ 12589: 6fa1.*
+ 1258a: 0d5f.*
+ 1258b: 10a1.*
+ 1258c: 11a1.*
+ 1258d: 6fa1.*
+ 1258e: 0c41.*
+ 1258f: 6fa1.*
+ 12590: 0d41.*
+ 12591: 6fa1.*
+ 12592: 0c42.*
+ 12593: 6fa1.*
+ 12594: 0d42.*
+ 12595: 6fa1.*
+ 12596: 0c43.*
+ 12597: 6fa1.*
+ 12598: 0d43.*
+ 12599: 6fa1.*
+ 1259a: 0c44.*
+ 1259b: 6fa1.*
+ 1259c: 0d44.*
+ 1259d: 6fa1.*
+ 1259e: 0c45.*
+ 1259f: 6fa1.*
+ 125a0: 0d45.*
+ 125a1: 6fa1.*
+ 125a2: 0c46.*
+ 125a3: 6fa1.*
+ 125a4: 0d46.*
+ 125a5: 6fa1.*
+ 125a6: 0c47.*
+ 125a7: 6fa1.*
+ 125a8: 0d47.*
+ 125a9: 6fa1.*
+ 125aa: 0c48.*
+ 125ab: 6fa1.*
+ 125ac: 0d48.*
+ 125ad: 6fa1.*
+ 125ae: 0c49.*
+ 125af: 6fa1.*
+ 125b0: 0d49.*
+ 125b1: 6fa1.*
+ 125b2: 0c4a.*
+ 125b3: 6fa1.*
+ 125b4: 0d4a.*
+ 125b5: 6fa1.*
+ 125b6: 0c4b.*
+ 125b7: 6fa1.*
+ 125b8: 0d4b.*
+ 125b9: 6fa1.*
+ 125ba: 0c4c.*
+ 125bb: 6fa1.*
+ 125bc: 0d4c.*
+ 125bd: 6fa1.*
+ 125be: 0c4d.*
+ 125bf: 6fa1.*
+ 125c0: 0d4d.*
+ 125c1: 6fa1.*
+ 125c2: 0c4e.*
+ 125c3: 6fa1.*
+ 125c4: 0d4e.*
+ 125c5: 6fa1.*
+ 125c6: 0c4f.*
+ 125c7: 6fa1.*
+ 125c8: 0d4f.*
+ 125c9: 10a9.*
+ 125ca: 11a9.*
+ 125cb: 6fa9.*
+ 125cc: 0c50.*
+ 125cd: 6fa9.*
+ 125ce: 0d50.*
+ 125cf: 6fa9.*
+ 125d0: 0c51.*
+ 125d1: 6fa9.*
+ 125d2: 0d51.*
+ 125d3: 6fa9.*
+ 125d4: 0c52.*
+ 125d5: 6fa9.*
+ 125d6: 0d52.*
+ 125d7: 6fa9.*
+ 125d8: 0c53.*
+ 125d9: 6fa9.*
+ 125da: 0d53.*
+ 125db: 6fa9.*
+ 125dc: 0c54.*
+ 125dd: 6fa9.*
+ 125de: 0d54.*
+ 125df: 6fa9.*
+ 125e0: 0c55.*
+ 125e1: 6fa9.*
+ 125e2: 0d55.*
+ 125e3: 6fa9.*
+ 125e4: 0c56.*
+ 125e5: 6fa9.*
+ 125e6: 0d56.*
+ 125e7: 6fa9.*
+ 125e8: 0c57.*
+ 125e9: 6fa9.*
+ 125ea: 0d57.*
+ 125eb: 6fa9.*
+ 125ec: 0c58.*
+ 125ed: 6fa9.*
+ 125ee: 0d58.*
+ 125ef: 6fa9.*
+ 125f0: 0c59.*
+ 125f1: 6fa9.*
+ 125f2: 0d59.*
+ 125f3: 6fa9.*
+ 125f4: 0c5a.*
+ 125f5: 6fa9.*
+ 125f6: 0d5a.*
+ 125f7: 6fa9.*
+ 125f8: 0c5b.*
+ 125f9: 6fa9.*
+ 125fa: 0d5b.*
+ 125fb: 6fa9.*
+ 125fc: 0c5c.*
+ 125fd: 6fa9.*
+ 125fe: 0d5c.*
+ 125ff: 6fa9.*
+ 12600: 0c5d.*
+ 12601: 6fa9.*
+ 12602: 0d5d.*
+ 12603: 6fa9.*
+ 12604: 0c5e.*
+ 12605: 6fa9.*
+ 12606: 0d5e.*
+ 12607: 6fa9.*
+ 12608: 0c5f.*
+ 12609: 6fa9.*
+ 1260a: 0d5f.*
+ 1260b: 10a9.*
+ 1260c: 11a9.*
+ 1260d: 6fa9.*
+ 1260e: 0c41.*
+ 1260f: 6fa9.*
+ 12610: 0d41.*
+ 12611: 6fa9.*
+ 12612: 0c42.*
+ 12613: 6fa9.*
+ 12614: 0d42.*
+ 12615: 6fa9.*
+ 12616: 0c43.*
+ 12617: 6fa9.*
+ 12618: 0d43.*
+ 12619: 6fa9.*
+ 1261a: 0c44.*
+ 1261b: 6fa9.*
+ 1261c: 0d44.*
+ 1261d: 6fa9.*
+ 1261e: 0c45.*
+ 1261f: 6fa9.*
+ 12620: 0d45.*
+ 12621: 6fa9.*
+ 12622: 0c46.*
+ 12623: 6fa9.*
+ 12624: 0d46.*
+ 12625: 6fa9.*
+ 12626: 0c47.*
+ 12627: 6fa9.*
+ 12628: 0d47.*
+ 12629: 6fa9.*
+ 1262a: 0c48.*
+ 1262b: 6fa9.*
+ 1262c: 0d48.*
+ 1262d: 6fa9.*
+ 1262e: 0c49.*
+ 1262f: 6fa9.*
+ 12630: 0d49.*
+ 12631: 6fa9.*
+ 12632: 0c4a.*
+ 12633: 6fa9.*
+ 12634: 0d4a.*
+ 12635: 6fa9.*
+ 12636: 0c4b.*
+ 12637: 6fa9.*
+ 12638: 0d4b.*
+ 12639: 6fa9.*
+ 1263a: 0c4c.*
+ 1263b: 6fa9.*
+ 1263c: 0d4c.*
+ 1263d: 6fa9.*
+ 1263e: 0c4d.*
+ 1263f: 6fa9.*
+ 12640: 0d4d.*
+ 12641: 6fa9.*
+ 12642: 0c4e.*
+ 12643: 6fa9.*
+ 12644: 0d4e.*
+ 12645: 6fa9.*
+ 12646: 0c4f.*
+ 12647: 6fa9.*
+ 12648: 0d4f.*
+ 12649: 10b1.*
+ 1264a: 11b1.*
+ 1264b: 6fb1.*
+ 1264c: 0c50.*
+ 1264d: 6fb1.*
+ 1264e: 0d50.*
+ 1264f: 6fb1.*
+ 12650: 0c51.*
+ 12651: 6fb1.*
+ 12652: 0d51.*
+ 12653: 6fb1.*
+ 12654: 0c52.*
+ 12655: 6fb1.*
+ 12656: 0d52.*
+ 12657: 6fb1.*
+ 12658: 0c53.*
+ 12659: 6fb1.*
+ 1265a: 0d53.*
+ 1265b: 6fb1.*
+ 1265c: 0c54.*
+ 1265d: 6fb1.*
+ 1265e: 0d54.*
+ 1265f: 6fb1.*
+ 12660: 0c55.*
+ 12661: 6fb1.*
+ 12662: 0d55.*
+ 12663: 6fb1.*
+ 12664: 0c56.*
+ 12665: 6fb1.*
+ 12666: 0d56.*
+ 12667: 6fb1.*
+ 12668: 0c57.*
+ 12669: 6fb1.*
+ 1266a: 0d57.*
+ 1266b: 6fb1.*
+ 1266c: 0c58.*
+ 1266d: 6fb1.*
+ 1266e: 0d58.*
+ 1266f: 6fb1.*
+ 12670: 0c59.*
+ 12671: 6fb1.*
+ 12672: 0d59.*
+ 12673: 6fb1.*
+ 12674: 0c5a.*
+ 12675: 6fb1.*
+ 12676: 0d5a.*
+ 12677: 6fb1.*
+ 12678: 0c5b.*
+ 12679: 6fb1.*
+ 1267a: 0d5b.*
+ 1267b: 6fb1.*
+ 1267c: 0c5c.*
+ 1267d: 6fb1.*
+ 1267e: 0d5c.*
+ 1267f: 6fb1.*
+ 12680: 0c5d.*
+ 12681: 6fb1.*
+ 12682: 0d5d.*
+ 12683: 6fb1.*
+ 12684: 0c5e.*
+ 12685: 6fb1.*
+ 12686: 0d5e.*
+ 12687: 6fb1.*
+ 12688: 0c5f.*
+ 12689: 6fb1.*
+ 1268a: 0d5f.*
+ 1268b: 10b1.*
+ 1268c: 11b1.*
+ 1268d: 6fb1.*
+ 1268e: 0c41.*
+ 1268f: 6fb1.*
+ 12690: 0d41.*
+ 12691: 6fb1.*
+ 12692: 0c42.*
+ 12693: 6fb1.*
+ 12694: 0d42.*
+ 12695: 6fb1.*
+ 12696: 0c43.*
+ 12697: 6fb1.*
+ 12698: 0d43.*
+ 12699: 6fb1.*
+ 1269a: 0c44.*
+ 1269b: 6fb1.*
+ 1269c: 0d44.*
+ 1269d: 6fb1.*
+ 1269e: 0c45.*
+ 1269f: 6fb1.*
+ 126a0: 0d45.*
+ 126a1: 6fb1.*
+ 126a2: 0c46.*
+ 126a3: 6fb1.*
+ 126a4: 0d46.*
+ 126a5: 6fb1.*
+ 126a6: 0c47.*
+ 126a7: 6fb1.*
+ 126a8: 0d47.*
+ 126a9: 6fb1.*
+ 126aa: 0c48.*
+ 126ab: 6fb1.*
+ 126ac: 0d48.*
+ 126ad: 6fb1.*
+ 126ae: 0c49.*
+ 126af: 6fb1.*
+ 126b0: 0d49.*
+ 126b1: 6fb1.*
+ 126b2: 0c4a.*
+ 126b3: 6fb1.*
+ 126b4: 0d4a.*
+ 126b5: 6fb1.*
+ 126b6: 0c4b.*
+ 126b7: 6fb1.*
+ 126b8: 0d4b.*
+ 126b9: 6fb1.*
+ 126ba: 0c4c.*
+ 126bb: 6fb1.*
+ 126bc: 0d4c.*
+ 126bd: 6fb1.*
+ 126be: 0c4d.*
+ 126bf: 6fb1.*
+ 126c0: 0d4d.*
+ 126c1: 6fb1.*
+ 126c2: 0c4e.*
+ 126c3: 6fb1.*
+ 126c4: 0d4e.*
+ 126c5: 6fb1.*
+ 126c6: 0c4f.*
+ 126c7: 6fb1.*
+ 126c8: 0d4f.*
+ 126c9: 10b9.*
+ 126ca: 11b9.*
+ 126cb: 6fb9.*
+ 126cc: 0c50.*
+ 126cd: 6fb9.*
+ 126ce: 0d50.*
+ 126cf: 6fb9.*
+ 126d0: 0c51.*
+ 126d1: 6fb9.*
+ 126d2: 0d51.*
+ 126d3: 6fb9.*
+ 126d4: 0c52.*
+ 126d5: 6fb9.*
+ 126d6: 0d52.*
+ 126d7: 6fb9.*
+ 126d8: 0c53.*
+ 126d9: 6fb9.*
+ 126da: 0d53.*
+ 126db: 6fb9.*
+ 126dc: 0c54.*
+ 126dd: 6fb9.*
+ 126de: 0d54.*
+ 126df: 6fb9.*
+ 126e0: 0c55.*
+ 126e1: 6fb9.*
+ 126e2: 0d55.*
+ 126e3: 6fb9.*
+ 126e4: 0c56.*
+ 126e5: 6fb9.*
+ 126e6: 0d56.*
+ 126e7: 6fb9.*
+ 126e8: 0c57.*
+ 126e9: 6fb9.*
+ 126ea: 0d57.*
+ 126eb: 6fb9.*
+ 126ec: 0c58.*
+ 126ed: 6fb9.*
+ 126ee: 0d58.*
+ 126ef: 6fb9.*
+ 126f0: 0c59.*
+ 126f1: 6fb9.*
+ 126f2: 0d59.*
+ 126f3: 6fb9.*
+ 126f4: 0c5a.*
+ 126f5: 6fb9.*
+ 126f6: 0d5a.*
+ 126f7: 6fb9.*
+ 126f8: 0c5b.*
+ 126f9: 6fb9.*
+ 126fa: 0d5b.*
+ 126fb: 6fb9.*
+ 126fc: 0c5c.*
+ 126fd: 6fb9.*
+ 126fe: 0d5c.*
+ 126ff: 6fb9.*
+ 12700: 0c5d.*
+ 12701: 6fb9.*
+ 12702: 0d5d.*
+ 12703: 6fb9.*
+ 12704: 0c5e.*
+ 12705: 6fb9.*
+ 12706: 0d5e.*
+ 12707: 6fb9.*
+ 12708: 0c5f.*
+ 12709: 6fb9.*
+ 1270a: 0d5f.*
+ 1270b: 10b9.*
+ 1270c: 11b9.*
+ 1270d: 6fb9.*
+ 1270e: 0c41.*
+ 1270f: 6fb9.*
+ 12710: 0d41.*
+ 12711: 6fb9.*
+ 12712: 0c42.*
+ 12713: 6fb9.*
+ 12714: 0d42.*
+ 12715: 6fb9.*
+ 12716: 0c43.*
+ 12717: 6fb9.*
+ 12718: 0d43.*
+ 12719: 6fb9.*
+ 1271a: 0c44.*
+ 1271b: 6fb9.*
+ 1271c: 0d44.*
+ 1271d: 6fb9.*
+ 1271e: 0c45.*
+ 1271f: 6fb9.*
+ 12720: 0d45.*
+ 12721: 6fb9.*
+ 12722: 0c46.*
+ 12723: 6fb9.*
+ 12724: 0d46.*
+ 12725: 6fb9.*
+ 12726: 0c47.*
+ 12727: 6fb9.*
+ 12728: 0d47.*
+ 12729: 6fb9.*
+ 1272a: 0c48.*
+ 1272b: 6fb9.*
+ 1272c: 0d48.*
+ 1272d: 6fb9.*
+ 1272e: 0c49.*
+ 1272f: 6fb9.*
+ 12730: 0d49.*
+ 12731: 6fb9.*
+ 12732: 0c4a.*
+ 12733: 6fb9.*
+ 12734: 0d4a.*
+ 12735: 6fb9.*
+ 12736: 0c4b.*
+ 12737: 6fb9.*
+ 12738: 0d4b.*
+ 12739: 6fb9.*
+ 1273a: 0c4c.*
+ 1273b: 6fb9.*
+ 1273c: 0d4c.*
+ 1273d: 6fb9.*
+ 1273e: 0c4d.*
+ 1273f: 6fb9.*
+ 12740: 0d4d.*
+ 12741: 6fb9.*
+ 12742: 0c4e.*
+ 12743: 6fb9.*
+ 12744: 0d4e.*
+ 12745: 6fb9.*
+ 12746: 0c4f.*
+ 12747: 6fb9.*
+ 12748: 0d4f.*
+ 12749: 10c1.*
+ 1274a: 11c1.*
+ 1274b: 6fc1.*
+ 1274c: 0c50.*
+ 1274d: 6fc1.*
+ 1274e: 0d50.*
+ 1274f: 6fc1.*
+ 12750: 0c51.*
+ 12751: 6fc1.*
+ 12752: 0d51.*
+ 12753: 6fc1.*
+ 12754: 0c52.*
+ 12755: 6fc1.*
+ 12756: 0d52.*
+ 12757: 6fc1.*
+ 12758: 0c53.*
+ 12759: 6fc1.*
+ 1275a: 0d53.*
+ 1275b: 6fc1.*
+ 1275c: 0c54.*
+ 1275d: 6fc1.*
+ 1275e: 0d54.*
+ 1275f: 6fc1.*
+ 12760: 0c55.*
+ 12761: 6fc1.*
+ 12762: 0d55.*
+ 12763: 6fc1.*
+ 12764: 0c56.*
+ 12765: 6fc1.*
+ 12766: 0d56.*
+ 12767: 6fc1.*
+ 12768: 0c57.*
+ 12769: 6fc1.*
+ 1276a: 0d57.*
+ 1276b: 6fc1.*
+ 1276c: 0c58.*
+ 1276d: 6fc1.*
+ 1276e: 0d58.*
+ 1276f: 6fc1.*
+ 12770: 0c59.*
+ 12771: 6fc1.*
+ 12772: 0d59.*
+ 12773: 6fc1.*
+ 12774: 0c5a.*
+ 12775: 6fc1.*
+ 12776: 0d5a.*
+ 12777: 6fc1.*
+ 12778: 0c5b.*
+ 12779: 6fc1.*
+ 1277a: 0d5b.*
+ 1277b: 6fc1.*
+ 1277c: 0c5c.*
+ 1277d: 6fc1.*
+ 1277e: 0d5c.*
+ 1277f: 6fc1.*
+ 12780: 0c5d.*
+ 12781: 6fc1.*
+ 12782: 0d5d.*
+ 12783: 6fc1.*
+ 12784: 0c5e.*
+ 12785: 6fc1.*
+ 12786: 0d5e.*
+ 12787: 6fc1.*
+ 12788: 0c5f.*
+ 12789: 6fc1.*
+ 1278a: 0d5f.*
+ 1278b: 10c1.*
+ 1278c: 11c1.*
+ 1278d: 6fc1.*
+ 1278e: 0c41.*
+ 1278f: 6fc1.*
+ 12790: 0d41.*
+ 12791: 6fc1.*
+ 12792: 0c42.*
+ 12793: 6fc1.*
+ 12794: 0d42.*
+ 12795: 6fc1.*
+ 12796: 0c43.*
+ 12797: 6fc1.*
+ 12798: 0d43.*
+ 12799: 6fc1.*
+ 1279a: 0c44.*
+ 1279b: 6fc1.*
+ 1279c: 0d44.*
+ 1279d: 6fc1.*
+ 1279e: 0c45.*
+ 1279f: 6fc1.*
+ 127a0: 0d45.*
+ 127a1: 6fc1.*
+ 127a2: 0c46.*
+ 127a3: 6fc1.*
+ 127a4: 0d46.*
+ 127a5: 6fc1.*
+ 127a6: 0c47.*
+ 127a7: 6fc1.*
+ 127a8: 0d47.*
+ 127a9: 6fc1.*
+ 127aa: 0c48.*
+ 127ab: 6fc1.*
+ 127ac: 0d48.*
+ 127ad: 6fc1.*
+ 127ae: 0c49.*
+ 127af: 6fc1.*
+ 127b0: 0d49.*
+ 127b1: 6fc1.*
+ 127b2: 0c4a.*
+ 127b3: 6fc1.*
+ 127b4: 0d4a.*
+ 127b5: 6fc1.*
+ 127b6: 0c4b.*
+ 127b7: 6fc1.*
+ 127b8: 0d4b.*
+ 127b9: 6fc1.*
+ 127ba: 0c4c.*
+ 127bb: 6fc1.*
+ 127bc: 0d4c.*
+ 127bd: 6fc1.*
+ 127be: 0c4d.*
+ 127bf: 6fc1.*
+ 127c0: 0d4d.*
+ 127c1: 6fc1.*
+ 127c2: 0c4e.*
+ 127c3: 6fc1.*
+ 127c4: 0d4e.*
+ 127c5: 6fc1.*
+ 127c6: 0c4f.*
+ 127c7: 6fc1.*
+ 127c8: 0d4f.*
+ 127c9: 10c9.*
+ 127ca: 11c9.*
+ 127cb: 6fc9.*
+ 127cc: 0c50.*
+ 127cd: 6fc9.*
+ 127ce: 0d50.*
+ 127cf: 6fc9.*
+ 127d0: 0c51.*
+ 127d1: 6fc9.*
+ 127d2: 0d51.*
+ 127d3: 6fc9.*
+ 127d4: 0c52.*
+ 127d5: 6fc9.*
+ 127d6: 0d52.*
+ 127d7: 6fc9.*
+ 127d8: 0c53.*
+ 127d9: 6fc9.*
+ 127da: 0d53.*
+ 127db: 6fc9.*
+ 127dc: 0c54.*
+ 127dd: 6fc9.*
+ 127de: 0d54.*
+ 127df: 6fc9.*
+ 127e0: 0c55.*
+ 127e1: 6fc9.*
+ 127e2: 0d55.*
+ 127e3: 6fc9.*
+ 127e4: 0c56.*
+ 127e5: 6fc9.*
+ 127e6: 0d56.*
+ 127e7: 6fc9.*
+ 127e8: 0c57.*
+ 127e9: 6fc9.*
+ 127ea: 0d57.*
+ 127eb: 6fc9.*
+ 127ec: 0c58.*
+ 127ed: 6fc9.*
+ 127ee: 0d58.*
+ 127ef: 6fc9.*
+ 127f0: 0c59.*
+ 127f1: 6fc9.*
+ 127f2: 0d59.*
+ 127f3: 6fc9.*
+ 127f4: 0c5a.*
+ 127f5: 6fc9.*
+ 127f6: 0d5a.*
+ 127f7: 6fc9.*
+ 127f8: 0c5b.*
+ 127f9: 6fc9.*
+ 127fa: 0d5b.*
+ 127fb: 6fc9.*
+ 127fc: 0c5c.*
+ 127fd: 6fc9.*
+ 127fe: 0d5c.*
+ 127ff: 6fc9.*
+ 12800: 0c5d.*
+ 12801: 6fc9.*
+ 12802: 0d5d.*
+ 12803: 6fc9.*
+ 12804: 0c5e.*
+ 12805: 6fc9.*
+ 12806: 0d5e.*
+ 12807: 6fc9.*
+ 12808: 0c5f.*
+ 12809: 6fc9.*
+ 1280a: 0d5f.*
+ 1280b: 10c9.*
+ 1280c: 11c9.*
+ 1280d: 6fc9.*
+ 1280e: 0c41.*
+ 1280f: 6fc9.*
+ 12810: 0d41.*
+ 12811: 6fc9.*
+ 12812: 0c42.*
+ 12813: 6fc9.*
+ 12814: 0d42.*
+ 12815: 6fc9.*
+ 12816: 0c43.*
+ 12817: 6fc9.*
+ 12818: 0d43.*
+ 12819: 6fc9.*
+ 1281a: 0c44.*
+ 1281b: 6fc9.*
+ 1281c: 0d44.*
+ 1281d: 6fc9.*
+ 1281e: 0c45.*
+ 1281f: 6fc9.*
+ 12820: 0d45.*
+ 12821: 6fc9.*
+ 12822: 0c46.*
+ 12823: 6fc9.*
+ 12824: 0d46.*
+ 12825: 6fc9.*
+ 12826: 0c47.*
+ 12827: 6fc9.*
+ 12828: 0d47.*
+ 12829: 6fc9.*
+ 1282a: 0c48.*
+ 1282b: 6fc9.*
+ 1282c: 0d48.*
+ 1282d: 6fc9.*
+ 1282e: 0c49.*
+ 1282f: 6fc9.*
+ 12830: 0d49.*
+ 12831: 6fc9.*
+ 12832: 0c4a.*
+ 12833: 6fc9.*
+ 12834: 0d4a.*
+ 12835: 6fc9.*
+ 12836: 0c4b.*
+ 12837: 6fc9.*
+ 12838: 0d4b.*
+ 12839: 6fc9.*
+ 1283a: 0c4c.*
+ 1283b: 6fc9.*
+ 1283c: 0d4c.*
+ 1283d: 6fc9.*
+ 1283e: 0c4d.*
+ 1283f: 6fc9.*
+ 12840: 0d4d.*
+ 12841: 6fc9.*
+ 12842: 0c4e.*
+ 12843: 6fc9.*
+ 12844: 0d4e.*
+ 12845: 6fc9.*
+ 12846: 0c4f.*
+ 12847: 6fc9.*
+ 12848: 0d4f.*
+ 12849: 10d1.*
+ 1284a: 11d1.*
+ 1284b: 6fd1.*
+ 1284c: 0c50.*
+ 1284d: 6fd1.*
+ 1284e: 0d50.*
+ 1284f: 6fd1.*
+ 12850: 0c51.*
+ 12851: 6fd1.*
+ 12852: 0d51.*
+ 12853: 6fd1.*
+ 12854: 0c52.*
+ 12855: 6fd1.*
+ 12856: 0d52.*
+ 12857: 6fd1.*
+ 12858: 0c53.*
+ 12859: 6fd1.*
+ 1285a: 0d53.*
+ 1285b: 6fd1.*
+ 1285c: 0c54.*
+ 1285d: 6fd1.*
+ 1285e: 0d54.*
+ 1285f: 6fd1.*
+ 12860: 0c55.*
+ 12861: 6fd1.*
+ 12862: 0d55.*
+ 12863: 6fd1.*
+ 12864: 0c56.*
+ 12865: 6fd1.*
+ 12866: 0d56.*
+ 12867: 6fd1.*
+ 12868: 0c57.*
+ 12869: 6fd1.*
+ 1286a: 0d57.*
+ 1286b: 6fd1.*
+ 1286c: 0c58.*
+ 1286d: 6fd1.*
+ 1286e: 0d58.*
+ 1286f: 6fd1.*
+ 12870: 0c59.*
+ 12871: 6fd1.*
+ 12872: 0d59.*
+ 12873: 6fd1.*
+ 12874: 0c5a.*
+ 12875: 6fd1.*
+ 12876: 0d5a.*
+ 12877: 6fd1.*
+ 12878: 0c5b.*
+ 12879: 6fd1.*
+ 1287a: 0d5b.*
+ 1287b: 6fd1.*
+ 1287c: 0c5c.*
+ 1287d: 6fd1.*
+ 1287e: 0d5c.*
+ 1287f: 6fd1.*
+ 12880: 0c5d.*
+ 12881: 6fd1.*
+ 12882: 0d5d.*
+ 12883: 6fd1.*
+ 12884: 0c5e.*
+ 12885: 6fd1.*
+ 12886: 0d5e.*
+ 12887: 6fd1.*
+ 12888: 0c5f.*
+ 12889: 6fd1.*
+ 1288a: 0d5f.*
+ 1288b: 10d1.*
+ 1288c: 11d1.*
+ 1288d: 6fd1.*
+ 1288e: 0c41.*
+ 1288f: 6fd1.*
+ 12890: 0d41.*
+ 12891: 6fd1.*
+ 12892: 0c42.*
+ 12893: 6fd1.*
+ 12894: 0d42.*
+ 12895: 6fd1.*
+ 12896: 0c43.*
+ 12897: 6fd1.*
+ 12898: 0d43.*
+ 12899: 6fd1.*
+ 1289a: 0c44.*
+ 1289b: 6fd1.*
+ 1289c: 0d44.*
+ 1289d: 6fd1.*
+ 1289e: 0c45.*
+ 1289f: 6fd1.*
+ 128a0: 0d45.*
+ 128a1: 6fd1.*
+ 128a2: 0c46.*
+ 128a3: 6fd1.*
+ 128a4: 0d46.*
+ 128a5: 6fd1.*
+ 128a6: 0c47.*
+ 128a7: 6fd1.*
+ 128a8: 0d47.*
+ 128a9: 6fd1.*
+ 128aa: 0c48.*
+ 128ab: 6fd1.*
+ 128ac: 0d48.*
+ 128ad: 6fd1.*
+ 128ae: 0c49.*
+ 128af: 6fd1.*
+ 128b0: 0d49.*
+ 128b1: 6fd1.*
+ 128b2: 0c4a.*
+ 128b3: 6fd1.*
+ 128b4: 0d4a.*
+ 128b5: 6fd1.*
+ 128b6: 0c4b.*
+ 128b7: 6fd1.*
+ 128b8: 0d4b.*
+ 128b9: 6fd1.*
+ 128ba: 0c4c.*
+ 128bb: 6fd1.*
+ 128bc: 0d4c.*
+ 128bd: 6fd1.*
+ 128be: 0c4d.*
+ 128bf: 6fd1.*
+ 128c0: 0d4d.*
+ 128c1: 6fd1.*
+ 128c2: 0c4e.*
+ 128c3: 6fd1.*
+ 128c4: 0d4e.*
+ 128c5: 6fd1.*
+ 128c6: 0c4f.*
+ 128c7: 6fd1.*
+ 128c8: 0d4f.*
+ 128c9: 10d9.*
+ 128ca: 11d9.*
+ 128cb: 6fd9.*
+ 128cc: 0c50.*
+ 128cd: 6fd9.*
+ 128ce: 0d50.*
+ 128cf: 6fd9.*
+ 128d0: 0c51.*
+ 128d1: 6fd9.*
+ 128d2: 0d51.*
+ 128d3: 6fd9.*
+ 128d4: 0c52.*
+ 128d5: 6fd9.*
+ 128d6: 0d52.*
+ 128d7: 6fd9.*
+ 128d8: 0c53.*
+ 128d9: 6fd9.*
+ 128da: 0d53.*
+ 128db: 6fd9.*
+ 128dc: 0c54.*
+ 128dd: 6fd9.*
+ 128de: 0d54.*
+ 128df: 6fd9.*
+ 128e0: 0c55.*
+ 128e1: 6fd9.*
+ 128e2: 0d55.*
+ 128e3: 6fd9.*
+ 128e4: 0c56.*
+ 128e5: 6fd9.*
+ 128e6: 0d56.*
+ 128e7: 6fd9.*
+ 128e8: 0c57.*
+ 128e9: 6fd9.*
+ 128ea: 0d57.*
+ 128eb: 6fd9.*
+ 128ec: 0c58.*
+ 128ed: 6fd9.*
+ 128ee: 0d58.*
+ 128ef: 6fd9.*
+ 128f0: 0c59.*
+ 128f1: 6fd9.*
+ 128f2: 0d59.*
+ 128f3: 6fd9.*
+ 128f4: 0c5a.*
+ 128f5: 6fd9.*
+ 128f6: 0d5a.*
+ 128f7: 6fd9.*
+ 128f8: 0c5b.*
+ 128f9: 6fd9.*
+ 128fa: 0d5b.*
+ 128fb: 6fd9.*
+ 128fc: 0c5c.*
+ 128fd: 6fd9.*
+ 128fe: 0d5c.*
+ 128ff: 6fd9.*
+ 12900: 0c5d.*
+ 12901: 6fd9.*
+ 12902: 0d5d.*
+ 12903: 6fd9.*
+ 12904: 0c5e.*
+ 12905: 6fd9.*
+ 12906: 0d5e.*
+ 12907: 6fd9.*
+ 12908: 0c5f.*
+ 12909: 6fd9.*
+ 1290a: 0d5f.*
+ 1290b: 10d9.*
+ 1290c: 11d9.*
+ 1290d: 6fd9.*
+ 1290e: 0c41.*
+ 1290f: 6fd9.*
+ 12910: 0d41.*
+ 12911: 6fd9.*
+ 12912: 0c42.*
+ 12913: 6fd9.*
+ 12914: 0d42.*
+ 12915: 6fd9.*
+ 12916: 0c43.*
+ 12917: 6fd9.*
+ 12918: 0d43.*
+ 12919: 6fd9.*
+ 1291a: 0c44.*
+ 1291b: 6fd9.*
+ 1291c: 0d44.*
+ 1291d: 6fd9.*
+ 1291e: 0c45.*
+ 1291f: 6fd9.*
+ 12920: 0d45.*
+ 12921: 6fd9.*
+ 12922: 0c46.*
+ 12923: 6fd9.*
+ 12924: 0d46.*
+ 12925: 6fd9.*
+ 12926: 0c47.*
+ 12927: 6fd9.*
+ 12928: 0d47.*
+ 12929: 6fd9.*
+ 1292a: 0c48.*
+ 1292b: 6fd9.*
+ 1292c: 0d48.*
+ 1292d: 6fd9.*
+ 1292e: 0c49.*
+ 1292f: 6fd9.*
+ 12930: 0d49.*
+ 12931: 6fd9.*
+ 12932: 0c4a.*
+ 12933: 6fd9.*
+ 12934: 0d4a.*
+ 12935: 6fd9.*
+ 12936: 0c4b.*
+ 12937: 6fd9.*
+ 12938: 0d4b.*
+ 12939: 6fd9.*
+ 1293a: 0c4c.*
+ 1293b: 6fd9.*
+ 1293c: 0d4c.*
+ 1293d: 6fd9.*
+ 1293e: 0c4d.*
+ 1293f: 6fd9.*
+ 12940: 0d4d.*
+ 12941: 6fd9.*
+ 12942: 0c4e.*
+ 12943: 6fd9.*
+ 12944: 0d4e.*
+ 12945: 6fd9.*
+ 12946: 0c4f.*
+ 12947: 6fd9.*
+ 12948: 0d4f.*
+ 12949: 10e1.*
+ 1294a: 2368.*
+ 1294b: 11e1.*
+ 1294c: 2369.*
+ 1294d: 6fe1.*
+ 1294e: 236a.*
+ 1294f: 0c50.*
+ 12950: 6fe1.*
+ 12951: 236b.*
+ 12952: 0d50.*
+ 12953: 6fe1.*
+ 12954: 236c.*
+ 12955: 0c51.*
+ 12956: 6fe1.*
+ 12957: 236d.*
+ 12958: 0d51.*
+ 12959: 6fe1.*
+ 1295a: 236e.*
+ 1295b: 0c52.*
+ 1295c: 6fe1.*
+ 1295d: 236f.*
+ 1295e: 0d52.*
+ 1295f: 6fe1.*
+ 12960: 2370.*
+ 12961: 0c53.*
+ 12962: 6fe1.*
+ 12963: 2371.*
+ 12964: 0d53.*
+ 12965: 6fe1.*
+ 12966: 2372.*
+ 12967: 0c54.*
+ 12968: 6fe1.*
+ 12969: 2373.*
+ 1296a: 0d54.*
+ 1296b: 6fe1.*
+ 1296c: 2374.*
+ 1296d: 0c55.*
+ 1296e: 6fe1.*
+ 1296f: 2375.*
+ 12970: 0d55.*
+ 12971: 6fe1.*
+ 12972: 2376.*
+ 12973: 0c56.*
+ 12974: 6fe1.*
+ 12975: 2377.*
+ 12976: 0d56.*
+ 12977: 6fe1.*
+ 12978: 2378.*
+ 12979: 0c57.*
+ 1297a: 6fe1.*
+ 1297b: 2379.*
+ 1297c: 0d57.*
+ 1297d: 6fe1.*
+ 1297e: 237a.*
+ 1297f: 0c58.*
+ 12980: 6fe1.*
+ 12981: 237b.*
+ 12982: 0d58.*
+ 12983: 6fe1.*
+ 12984: 237c.*
+ 12985: 0c59.*
+ 12986: 6fe1.*
+ 12987: 237d.*
+ 12988: 0d59.*
+ 12989: 6fe1.*
+ 1298a: 237e.*
+ 1298b: 0c5a.*
+ 1298c: 6fe1.*
+ 1298d: 237f.*
+ 1298e: 0d5a.*
+ 1298f: 6fe1.*
+ 12990: 2380.*
+ 12991: 0c5b.*
+ 12992: 6fe1.*
+ 12993: 2381.*
+ 12994: 0d5b.*
+ 12995: 6fe1.*
+ 12996: 2382.*
+ 12997: 0c5c.*
+ 12998: 6fe1.*
+ 12999: 2383.*
+ 1299a: 0d5c.*
+ 1299b: 6fe1.*
+ 1299c: 2384.*
+ 1299d: 0c5d.*
+ 1299e: 6fe1.*
+ 1299f: 2385.*
+ 129a0: 0d5d.*
+ 129a1: 6fe1.*
+ 129a2: 2386.*
+ 129a3: 0c5e.*
+ 129a4: 6fe1.*
+ 129a5: 2387.*
+ 129a6: 0d5e.*
+ 129a7: 6fe1.*
+ 129a8: 2388.*
+ 129a9: 0c5f.*
+ 129aa: 6fe1.*
+ 129ab: 2389.*
+ 129ac: 0d5f.*
+ 129ad: 10e1.*
+ 129ae: 238a.*
+ 129af: 11e1.*
+ 129b0: 238b.*
+ 129b1: 6fe1.*
+ 129b2: 238c.*
+ 129b3: 0c41.*
+ 129b4: 6fe1.*
+ 129b5: 238d.*
+ 129b6: 0d41.*
+ 129b7: 6fe1.*
+ 129b8: 238e.*
+ 129b9: 0c42.*
+ 129ba: 6fe1.*
+ 129bb: 238f.*
+ 129bc: 0d42.*
+ 129bd: 6fe1.*
+ 129be: 2390.*
+ 129bf: 0c43.*
+ 129c0: 6fe1.*
+ 129c1: 2391.*
+ 129c2: 0d43.*
+ 129c3: 6fe1.*
+ 129c4: 2392.*
+ 129c5: 0c44.*
+ 129c6: 6fe1.*
+ 129c7: 2393.*
+ 129c8: 0d44.*
+ 129c9: 6fe1.*
+ 129ca: 2394.*
+ 129cb: 0c45.*
+ 129cc: 6fe1.*
+ 129cd: 2395.*
+ 129ce: 0d45.*
+ 129cf: 6fe1.*
+ 129d0: 2396.*
+ 129d1: 0c46.*
+ 129d2: 6fe1.*
+ 129d3: 2397.*
+ 129d4: 0d46.*
+ 129d5: 6fe1.*
+ 129d6: 2398.*
+ 129d7: 0c47.*
+ 129d8: 6fe1.*
+ 129d9: 2399.*
+ 129da: 0d47.*
+ 129db: 6fe1.*
+ 129dc: 239a.*
+ 129dd: 0c48.*
+ 129de: 6fe1.*
+ 129df: 239b.*
+ 129e0: 0d48.*
+ 129e1: 6fe1.*
+ 129e2: 239c.*
+ 129e3: 0c49.*
+ 129e4: 6fe1.*
+ 129e5: 239d.*
+ 129e6: 0d49.*
+ 129e7: 6fe1.*
+ 129e8: 239e.*
+ 129e9: 0c4a.*
+ 129ea: 6fe1.*
+ 129eb: 239f.*
+ 129ec: 0d4a.*
+ 129ed: 6fe1.*
+ 129ee: 23a0.*
+ 129ef: 0c4b.*
+ 129f0: 6fe1.*
+ 129f1: 23a1.*
+ 129f2: 0d4b.*
+ 129f3: 6fe1.*
+ 129f4: 23a2.*
+ 129f5: 0c4c.*
+ 129f6: 6fe1.*
+ 129f7: 23a3.*
+ 129f8: 0d4c.*
+ 129f9: 6fe1.*
+ 129fa: 23a4.*
+ 129fb: 0c4d.*
+ 129fc: 6fe1.*
+ 129fd: 23a5.*
+ 129fe: 0d4d.*
+ 129ff: 6fe1.*
+ 12a00: 23a6.*
+ 12a01: 0c4e.*
+ 12a02: 6fe1.*
+ 12a03: 23a7.*
+ 12a04: 0d4e.*
+ 12a05: 6fe1.*
+ 12a06: 23a8.*
+ 12a07: 0c4f.*
+ 12a08: 6fe1.*
+ 12a09: 23a9.*
+ 12a0a: 0d4f.*
+ 12a0b: 10e9.*
+ 12a0c: 23aa.*
+ 12a0d: 11e9.*
+ 12a0e: 23ab.*
+ 12a0f: 6fe9.*
+ 12a10: 23ac.*
+ 12a11: 0c50.*
+ 12a12: 6fe9.*
+ 12a13: 23ad.*
+ 12a14: 0d50.*
+ 12a15: 6fe9.*
+ 12a16: 23ae.*
+ 12a17: 0c51.*
+ 12a18: 6fe9.*
+ 12a19: 23af.*
+ 12a1a: 0d51.*
+ 12a1b: 6fe9.*
+ 12a1c: 23b0.*
+ 12a1d: 0c52.*
+ 12a1e: 6fe9.*
+ 12a1f: 23b1.*
+ 12a20: 0d52.*
+ 12a21: 6fe9.*
+ 12a22: 23b2.*
+ 12a23: 0c53.*
+ 12a24: 6fe9.*
+ 12a25: 23b3.*
+ 12a26: 0d53.*
+ 12a27: 6fe9.*
+ 12a28: 23b4.*
+ 12a29: 0c54.*
+ 12a2a: 6fe9.*
+ 12a2b: 23b5.*
+ 12a2c: 0d54.*
+ 12a2d: 6fe9.*
+ 12a2e: 23b6.*
+ 12a2f: 0c55.*
+ 12a30: 6fe9.*
+ 12a31: 23b7.*
+ 12a32: 0d55.*
+ 12a33: 6fe9.*
+ 12a34: 23b8.*
+ 12a35: 0c56.*
+ 12a36: 6fe9.*
+ 12a37: 23b9.*
+ 12a38: 0d56.*
+ 12a39: 6fe9.*
+ 12a3a: 23ba.*
+ 12a3b: 0c57.*
+ 12a3c: 6fe9.*
+ 12a3d: 23bb.*
+ 12a3e: 0d57.*
+ 12a3f: 6fe9.*
+ 12a40: 23bc.*
+ 12a41: 0c58.*
+ 12a42: 6fe9.*
+ 12a43: 23bd.*
+ 12a44: 0d58.*
+ 12a45: 6fe9.*
+ 12a46: 23be.*
+ 12a47: 0c59.*
+ 12a48: 6fe9.*
+ 12a49: 23bf.*
+ 12a4a: 0d59.*
+ 12a4b: 6fe9.*
+ 12a4c: 23c0.*
+ 12a4d: 0c5a.*
+ 12a4e: 6fe9.*
+ 12a4f: 23c1.*
+ 12a50: 0d5a.*
+ 12a51: 6fe9.*
+ 12a52: 23c2.*
+ 12a53: 0c5b.*
+ 12a54: 6fe9.*
+ 12a55: 23c3.*
+ 12a56: 0d5b.*
+ 12a57: 6fe9.*
+ 12a58: 23c4.*
+ 12a59: 0c5c.*
+ 12a5a: 6fe9.*
+ 12a5b: 23c5.*
+ 12a5c: 0d5c.*
+ 12a5d: 6fe9.*
+ 12a5e: 23c6.*
+ 12a5f: 0c5d.*
+ 12a60: 6fe9.*
+ 12a61: 23c7.*
+ 12a62: 0d5d.*
+ 12a63: 6fe9.*
+ 12a64: 23c8.*
+ 12a65: 0c5e.*
+ 12a66: 6fe9.*
+ 12a67: 23c9.*
+ 12a68: 0d5e.*
+ 12a69: 6fe9.*
+ 12a6a: 23ca.*
+ 12a6b: 0c5f.*
+ 12a6c: 6fe9.*
+ 12a6d: 23cb.*
+ 12a6e: 0d5f.*
+ 12a6f: 10e9.*
+ 12a70: 23cc.*
+ 12a71: 11e9.*
+ 12a72: 23cd.*
+ 12a73: 6fe9.*
+ 12a74: 23ce.*
+ 12a75: 0c41.*
+ 12a76: 6fe9.*
+ 12a77: 23cf.*
+ 12a78: 0d41.*
+ 12a79: 6fe9.*
+ 12a7a: 23d0.*
+ 12a7b: 0c42.*
+ 12a7c: 6fe9.*
+ 12a7d: 23d1.*
+ 12a7e: 0d42.*
+ 12a7f: 6fe9.*
+ 12a80: 23d2.*
+ 12a81: 0c43.*
+ 12a82: 6fe9.*
+ 12a83: 23d3.*
+ 12a84: 0d43.*
+ 12a85: 6fe9.*
+ 12a86: 23d4.*
+ 12a87: 0c44.*
+ 12a88: 6fe9.*
+ 12a89: 23d5.*
+ 12a8a: 0d44.*
+ 12a8b: 6fe9.*
+ 12a8c: 23d6.*
+ 12a8d: 0c45.*
+ 12a8e: 6fe9.*
+ 12a8f: 23d7.*
+ 12a90: 0d45.*
+ 12a91: 6fe9.*
+ 12a92: 23d8.*
+ 12a93: 0c46.*
+ 12a94: 6fe9.*
+ 12a95: 23d9.*
+ 12a96: 0d46.*
+ 12a97: 6fe9.*
+ 12a98: 23da.*
+ 12a99: 0c47.*
+ 12a9a: 6fe9.*
+ 12a9b: 23db.*
+ 12a9c: 0d47.*
+ 12a9d: 6fe9.*
+ 12a9e: 23dc.*
+ 12a9f: 0c48.*
+ 12aa0: 6fe9.*
+ 12aa1: 23dd.*
+ 12aa2: 0d48.*
+ 12aa3: 6fe9.*
+ 12aa4: 23de.*
+ 12aa5: 0c49.*
+ 12aa6: 6fe9.*
+ 12aa7: 23df.*
+ 12aa8: 0d49.*
+ 12aa9: 6fe9.*
+ 12aaa: 23e0.*
+ 12aab: 0c4a.*
+ 12aac: 6fe9.*
+ 12aad: 23e1.*
+ 12aae: 0d4a.*
+ 12aaf: 6fe9.*
+ 12ab0: 23e2.*
+ 12ab1: 0c4b.*
+ 12ab2: 6fe9.*
+ 12ab3: 23e3.*
+ 12ab4: 0d4b.*
+ 12ab5: 6fe9.*
+ 12ab6: 23e4.*
+ 12ab7: 0c4c.*
+ 12ab8: 6fe9.*
+ 12ab9: 23e5.*
+ 12aba: 0d4c.*
+ 12abb: 6fe9.*
+ 12abc: 23e6.*
+ 12abd: 0c4d.*
+ 12abe: 6fe9.*
+ 12abf: 23e7.*
+ 12ac0: 0d4d.*
+ 12ac1: 6fe9.*
+ 12ac2: 23e8.*
+ 12ac3: 0c4e.*
+ 12ac4: 6fe9.*
+ 12ac5: 23e9.*
+ 12ac6: 0d4e.*
+ 12ac7: 6fe9.*
+ 12ac8: 23ea.*
+ 12ac9: 0c4f.*
+ 12aca: 6fe9.*
+ 12acb: 23eb.*
+ 12acc: 0d4f.*
+ 12acd: 10f1.*
+ 12ace: 23ec.*
+ 12acf: 11f1.*
+ 12ad0: 23ed.*
+ 12ad1: 6ff1.*
+ 12ad2: 23ee.*
+ 12ad3: 0c50.*
+ 12ad4: 6ff1.*
+ 12ad5: 23ef.*
+ 12ad6: 0d50.*
+ 12ad7: 6ff1.*
+ 12ad8: 23f0.*
+ 12ad9: 0c51.*
+ 12ada: 6ff1.*
+ 12adb: 23f1.*
+ 12adc: 0d51.*
+ 12add: 6ff1.*
+ 12ade: 23f2.*
+ 12adf: 0c52.*
+ 12ae0: 6ff1.*
+ 12ae1: 23f3.*
+ 12ae2: 0d52.*
+ 12ae3: 6ff1.*
+ 12ae4: 23f4.*
+ 12ae5: 0c53.*
+ 12ae6: 6ff1.*
+ 12ae7: 23f5.*
+ 12ae8: 0d53.*
+ 12ae9: 6ff1.*
+ 12aea: 23f6.*
+ 12aeb: 0c54.*
+ 12aec: 6ff1.*
+ 12aed: 23f7.*
+ 12aee: 0d54.*
+ 12aef: 6ff1.*
+ 12af0: 23f8.*
+ 12af1: 0c55.*
+ 12af2: 6ff1.*
+ 12af3: 23f9.*
+ 12af4: 0d55.*
+ 12af5: 6ff1.*
+ 12af6: 23fa.*
+ 12af7: 0c56.*
+ 12af8: 6ff1.*
+ 12af9: 23fb.*
+ 12afa: 0d56.*
+ 12afb: 6ff1.*
+ 12afc: 23fc.*
+ 12afd: 0c57.*
+ 12afe: 6ff1.*
+ 12aff: 23fd.*
+ 12b00: 0d57.*
+ 12b01: 6ff1.*
+ 12b02: 23fe.*
+ 12b03: 0c58.*
+ 12b04: 6ff1.*
+ 12b05: 23ff.*
+ 12b06: 0d58.*
+ 12b07: 6ff1.*
+ 12b08: 2400.*
+ 12b09: 0c59.*
+ 12b0a: 6ff1.*
+ 12b0b: 2401.*
+ 12b0c: 0d59.*
+ 12b0d: 6ff1.*
+ 12b0e: 2402.*
+ 12b0f: 0c5a.*
+ 12b10: 6ff1.*
+ 12b11: 2403.*
+ 12b12: 0d5a.*
+ 12b13: 6ff1.*
+ 12b14: 2404.*
+ 12b15: 0c5b.*
+ 12b16: 6ff1.*
+ 12b17: 2405.*
+ 12b18: 0d5b.*
+ 12b19: 6ff1.*
+ 12b1a: 2406.*
+ 12b1b: 0c5c.*
+ 12b1c: 6ff1.*
+ 12b1d: 2407.*
+ 12b1e: 0d5c.*
+ 12b1f: 6ff1.*
+ 12b20: 2408.*
+ 12b21: 0c5d.*
+ 12b22: 6ff1.*
+ 12b23: 2409.*
+ 12b24: 0d5d.*
+ 12b25: 6ff1.*
+ 12b26: 240a.*
+ 12b27: 0c5e.*
+ 12b28: 6ff1.*
+ 12b29: 240b.*
+ 12b2a: 0d5e.*
+ 12b2b: 6ff1.*
+ 12b2c: 240c.*
+ 12b2d: 0c5f.*
+ 12b2e: 6ff1.*
+ 12b2f: 240d.*
+ 12b30: 0d5f.*
+ 12b31: 10f1.*
+ 12b32: 240e.*
+ 12b33: 11f1.*
+ 12b34: 240f.*
+ 12b35: 6ff1.*
+ 12b36: 2410.*
+ 12b37: 0c41.*
+ 12b38: 6ff1.*
+ 12b39: 2411.*
+ 12b3a: 0d41.*
+ 12b3b: 6ff1.*
+ 12b3c: 2412.*
+ 12b3d: 0c42.*
+ 12b3e: 6ff1.*
+ 12b3f: 2413.*
+ 12b40: 0d42.*
+ 12b41: 6ff1.*
+ 12b42: 2414.*
+ 12b43: 0c43.*
+ 12b44: 6ff1.*
+ 12b45: 2415.*
+ 12b46: 0d43.*
+ 12b47: 6ff1.*
+ 12b48: 2416.*
+ 12b49: 0c44.*
+ 12b4a: 6ff1.*
+ 12b4b: 2417.*
+ 12b4c: 0d44.*
+ 12b4d: 6ff1.*
+ 12b4e: 2418.*
+ 12b4f: 0c45.*
+ 12b50: 6ff1.*
+ 12b51: 2419.*
+ 12b52: 0d45.*
+ 12b53: 6ff1.*
+ 12b54: 241a.*
+ 12b55: 0c46.*
+ 12b56: 6ff1.*
+ 12b57: 241b.*
+ 12b58: 0d46.*
+ 12b59: 6ff1.*
+ 12b5a: 241c.*
+ 12b5b: 0c47.*
+ 12b5c: 6ff1.*
+ 12b5d: 241d.*
+ 12b5e: 0d47.*
+ 12b5f: 6ff1.*
+ 12b60: 241e.*
+ 12b61: 0c48.*
+ 12b62: 6ff1.*
+ 12b63: 241f.*
+ 12b64: 0d48.*
+ 12b65: 6ff1.*
+ 12b66: 2420.*
+ 12b67: 0c49.*
+ 12b68: 6ff1.*
+ 12b69: 2421.*
+ 12b6a: 0d49.*
+ 12b6b: 6ff1.*
+ 12b6c: 2422.*
+ 12b6d: 0c4a.*
+ 12b6e: 6ff1.*
+ 12b6f: 2423.*
+ 12b70: 0d4a.*
+ 12b71: 6ff1.*
+ 12b72: 2424.*
+ 12b73: 0c4b.*
+ 12b74: 6ff1.*
+ 12b75: 2425.*
+ 12b76: 0d4b.*
+ 12b77: 6ff1.*
+ 12b78: 2426.*
+ 12b79: 0c4c.*
+ 12b7a: 6ff1.*
+ 12b7b: 2427.*
+ 12b7c: 0d4c.*
+ 12b7d: 6ff1.*
+ 12b7e: 2428.*
+ 12b7f: 0c4d.*
+ 12b80: 6ff1.*
+ 12b81: 2429.*
+ 12b82: 0d4d.*
+ 12b83: 6ff1.*
+ 12b84: 242a.*
+ 12b85: 0c4e.*
+ 12b86: 6ff1.*
+ 12b87: 242b.*
+ 12b88: 0d4e.*
+ 12b89: 6ff1.*
+ 12b8a: 242c.*
+ 12b8b: 0c4f.*
+ 12b8c: 6ff1.*
+ 12b8d: 242d.*
+ 12b8e: 0d4f.*
+ 12b8f: 10f8.*
+ 12b90: 242e.*
+ 12b91: 11f8.*
+ 12b92: 242f.*
+ 12b93: 6ff8.*
+ 12b94: 2430.*
+ 12b95: 0c50.*
+ 12b96: 6ff8.*
+ 12b97: 2431.*
+ 12b98: 0d50.*
+ 12b99: 6ff8.*
+ 12b9a: 2432.*
+ 12b9b: 0c51.*
+ 12b9c: 6ff8.*
+ 12b9d: 2433.*
+ 12b9e: 0d51.*
+ 12b9f: 6ff8.*
+ 12ba0: 2434.*
+ 12ba1: 0c52.*
+ 12ba2: 6ff8.*
+ 12ba3: 2435.*
+ 12ba4: 0d52.*
+ 12ba5: 6ff8.*
+ 12ba6: 2436.*
+ 12ba7: 0c53.*
+ 12ba8: 6ff8.*
+ 12ba9: 2437.*
+ 12baa: 0d53.*
+ 12bab: 6ff8.*
+ 12bac: 2438.*
+ 12bad: 0c54.*
+ 12bae: 6ff8.*
+ 12baf: 2439.*
+ 12bb0: 0d54.*
+ 12bb1: 6ff8.*
+ 12bb2: 243a.*
+ 12bb3: 0c55.*
+ 12bb4: 6ff8.*
+ 12bb5: 243b.*
+ 12bb6: 0d55.*
+ 12bb7: 6ff8.*
+ 12bb8: 243c.*
+ 12bb9: 0c56.*
+ 12bba: 6ff8.*
+ 12bbb: 243d.*
+ 12bbc: 0d56.*
+ 12bbd: 6ff8.*
+ 12bbe: 243e.*
+ 12bbf: 0c57.*
+ 12bc0: 6ff8.*
+ 12bc1: 243f.*
+ 12bc2: 0d57.*
+ 12bc3: 6ff8.*
+ 12bc4: 2440.*
+ 12bc5: 0c58.*
+ 12bc6: 6ff8.*
+ 12bc7: 2441.*
+ 12bc8: 0d58.*
+ 12bc9: 6ff8.*
+ 12bca: 2442.*
+ 12bcb: 0c59.*
+ 12bcc: 6ff8.*
+ 12bcd: 2443.*
+ 12bce: 0d59.*
+ 12bcf: 6ff8.*
+ 12bd0: 2444.*
+ 12bd1: 0c5a.*
+ 12bd2: 6ff8.*
+ 12bd3: 2445.*
+ 12bd4: 0d5a.*
+ 12bd5: 6ff8.*
+ 12bd6: 2446.*
+ 12bd7: 0c5b.*
+ 12bd8: 6ff8.*
+ 12bd9: 2447.*
+ 12bda: 0d5b.*
+ 12bdb: 6ff8.*
+ 12bdc: 2448.*
+ 12bdd: 0c5c.*
+ 12bde: 6ff8.*
+ 12bdf: 2449.*
+ 12be0: 0d5c.*
+ 12be1: 6ff8.*
+ 12be2: 244a.*
+ 12be3: 0c5d.*
+ 12be4: 6ff8.*
+ 12be5: 244b.*
+ 12be6: 0d5d.*
+ 12be7: 6ff8.*
+ 12be8: 244c.*
+ 12be9: 0c5e.*
+ 12bea: 6ff8.*
+ 12beb: 244d.*
+ 12bec: 0d5e.*
+ 12bed: 6ff8.*
+ 12bee: 244e.*
+ 12bef: 0c5f.*
+ 12bf0: 6ff8.*
+ 12bf1: 244f.*
+ 12bf2: 0d5f.*
+ 12bf3: 10f8.*
+ 12bf4: 2450.*
+ 12bf5: 11f8.*
+ 12bf6: 2451.*
+ 12bf7: 6ff8.*
+ 12bf8: 2452.*
+ 12bf9: 0c41.*
+ 12bfa: 6ff8.*
+ 12bfb: 2453.*
+ 12bfc: 0d41.*
+ 12bfd: 6ff8.*
+ 12bfe: 2454.*
+ 12bff: 0c42.*
+ 12c00: 6ff8.*
+ 12c01: 2455.*
+ 12c02: 0d42.*
+ 12c03: 6ff8.*
+ 12c04: 2456.*
+ 12c05: 0c43.*
+ 12c06: 6ff8.*
+ 12c07: 2457.*
+ 12c08: 0d43.*
+ 12c09: 6ff8.*
+ 12c0a: 2458.*
+ 12c0b: 0c44.*
+ 12c0c: 6ff8.*
+ 12c0d: 2459.*
+ 12c0e: 0d44.*
+ 12c0f: 6ff8.*
+ 12c10: 245a.*
+ 12c11: 0c45.*
+ 12c12: 6ff8.*
+ 12c13: 245b.*
+ 12c14: 0d45.*
+ 12c15: 6ff8.*
+ 12c16: 245c.*
+ 12c17: 0c46.*
+ 12c18: 6ff8.*
+ 12c19: 245d.*
+ 12c1a: 0d46.*
+ 12c1b: 6ff8.*
+ 12c1c: 245e.*
+ 12c1d: 0c47.*
+ 12c1e: 6ff8.*
+ 12c1f: 245f.*
+ 12c20: 0d47.*
+ 12c21: 6ff8.*
+ 12c22: 2460.*
+ 12c23: 0c48.*
+ 12c24: 6ff8.*
+ 12c25: 2461.*
+ 12c26: 0d48.*
+ 12c27: 6ff8.*
+ 12c28: 2462.*
+ 12c29: 0c49.*
+ 12c2a: 6ff8.*
+ 12c2b: 2463.*
+ 12c2c: 0d49.*
+ 12c2d: 6ff8.*
+ 12c2e: 2464.*
+ 12c2f: 0c4a.*
+ 12c30: 6ff8.*
+ 12c31: 2465.*
+ 12c32: 0d4a.*
+ 12c33: 6ff8.*
+ 12c34: 2466.*
+ 12c35: 0c4b.*
+ 12c36: 6ff8.*
+ 12c37: 2467.*
+ 12c38: 0d4b.*
+ 12c39: 6ff8.*
+ 12c3a: 2468.*
+ 12c3b: 0c4c.*
+ 12c3c: 6ff8.*
+ 12c3d: 2469.*
+ 12c3e: 0d4c.*
+ 12c3f: 6ff8.*
+ 12c40: 246a.*
+ 12c41: 0c4d.*
+ 12c42: 6ff8.*
+ 12c43: 246b.*
+ 12c44: 0d4d.*
+ 12c45: 6ff8.*
+ 12c46: 246c.*
+ 12c47: 0c4e.*
+ 12c48: 6ff8.*
+ 12c49: 246d.*
+ 12c4a: 0d4e.*
+ 12c4b: 6ff8.*
+ 12c4c: 246e.*
+ 12c4d: 0c4f.*
+ 12c4e: 6ff8.*
+ 12c4f: 246f.*
+ 12c50: 0d4f.*
+ 12c51: 1082.*
+ 12c52: 1182.*
+ 12c53: 6f82.*
+ 12c54: 0c50.*
+ 12c55: 6f82.*
+ 12c56: 0d50.*
+ 12c57: 6f82.*
+ 12c58: 0c51.*
+ 12c59: 6f82.*
+ 12c5a: 0d51.*
+ 12c5b: 6f82.*
+ 12c5c: 0c52.*
+ 12c5d: 6f82.*
+ 12c5e: 0d52.*
+ 12c5f: 6f82.*
+ 12c60: 0c53.*
+ 12c61: 6f82.*
+ 12c62: 0d53.*
+ 12c63: 6f82.*
+ 12c64: 0c54.*
+ 12c65: 6f82.*
+ 12c66: 0d54.*
+ 12c67: 6f82.*
+ 12c68: 0c55.*
+ 12c69: 6f82.*
+ 12c6a: 0d55.*
+ 12c6b: 6f82.*
+ 12c6c: 0c56.*
+ 12c6d: 6f82.*
+ 12c6e: 0d56.*
+ 12c6f: 6f82.*
+ 12c70: 0c57.*
+ 12c71: 6f82.*
+ 12c72: 0d57.*
+ 12c73: 6f82.*
+ 12c74: 0c58.*
+ 12c75: 6f82.*
+ 12c76: 0d58.*
+ 12c77: 6f82.*
+ 12c78: 0c59.*
+ 12c79: 6f82.*
+ 12c7a: 0d59.*
+ 12c7b: 6f82.*
+ 12c7c: 0c5a.*
+ 12c7d: 6f82.*
+ 12c7e: 0d5a.*
+ 12c7f: 6f82.*
+ 12c80: 0c5b.*
+ 12c81: 6f82.*
+ 12c82: 0d5b.*
+ 12c83: 6f82.*
+ 12c84: 0c5c.*
+ 12c85: 6f82.*
+ 12c86: 0d5c.*
+ 12c87: 6f82.*
+ 12c88: 0c5d.*
+ 12c89: 6f82.*
+ 12c8a: 0d5d.*
+ 12c8b: 6f82.*
+ 12c8c: 0c5e.*
+ 12c8d: 6f82.*
+ 12c8e: 0d5e.*
+ 12c8f: 6f82.*
+ 12c90: 0c5f.*
+ 12c91: 6f82.*
+ 12c92: 0d5f.*
+ 12c93: 1082.*
+ 12c94: 1182.*
+ 12c95: 9401.*
+ 12c96: 9501.*
+ 12c97: 9402.*
+ 12c98: 9502.*
+ 12c99: 9403.*
+ 12c9a: 9503.*
+ 12c9b: 9404.*
+ 12c9c: 9504.*
+ 12c9d: 9405.*
+ 12c9e: 9505.*
+ 12c9f: 9406.*
+ 12ca0: 9506.*
+ 12ca1: 9407.*
+ 12ca2: 9507.*
+ 12ca3: 9408.*
+ 12ca4: 9508.*
+ 12ca5: 9409.*
+ 12ca6: 9509.*
+ 12ca7: 940a.*
+ 12ca8: 950a.*
+ 12ca9: 940b.*
+ 12caa: 950b.*
+ 12cab: 940c.*
+ 12cac: 950c.*
+ 12cad: 940d.*
+ 12cae: 950d.*
+ 12caf: 940e.*
+ 12cb0: 950e.*
+ 12cb1: 940f.*
+ 12cb2: 950f.*
+ 12cb3: 108a.*
+ 12cb4: 118a.*
+ 12cb5: 6f8a.*
+ 12cb6: 0c50.*
+ 12cb7: 6f8a.*
+ 12cb8: 0d50.*
+ 12cb9: 6f8a.*
+ 12cba: 0c51.*
+ 12cbb: 6f8a.*
+ 12cbc: 0d51.*
+ 12cbd: 6f8a.*
+ 12cbe: 0c52.*
+ 12cbf: 6f8a.*
+ 12cc0: 0d52.*
+ 12cc1: 6f8a.*
+ 12cc2: 0c53.*
+ 12cc3: 6f8a.*
+ 12cc4: 0d53.*
+ 12cc5: 6f8a.*
+ 12cc6: 0c54.*
+ 12cc7: 6f8a.*
+ 12cc8: 0d54.*
+ 12cc9: 6f8a.*
+ 12cca: 0c55.*
+ 12ccb: 6f8a.*
+ 12ccc: 0d55.*
+ 12ccd: 6f8a.*
+ 12cce: 0c56.*
+ 12ccf: 6f8a.*
+ 12cd0: 0d56.*
+ 12cd1: 6f8a.*
+ 12cd2: 0c57.*
+ 12cd3: 6f8a.*
+ 12cd4: 0d57.*
+ 12cd5: 6f8a.*
+ 12cd6: 0c58.*
+ 12cd7: 6f8a.*
+ 12cd8: 0d58.*
+ 12cd9: 6f8a.*
+ 12cda: 0c59.*
+ 12cdb: 6f8a.*
+ 12cdc: 0d59.*
+ 12cdd: 6f8a.*
+ 12cde: 0c5a.*
+ 12cdf: 6f8a.*
+ 12ce0: 0d5a.*
+ 12ce1: 6f8a.*
+ 12ce2: 0c5b.*
+ 12ce3: 6f8a.*
+ 12ce4: 0d5b.*
+ 12ce5: 6f8a.*
+ 12ce6: 0c5c.*
+ 12ce7: 6f8a.*
+ 12ce8: 0d5c.*
+ 12ce9: 6f8a.*
+ 12cea: 0c5d.*
+ 12ceb: 6f8a.*
+ 12cec: 0d5d.*
+ 12ced: 6f8a.*
+ 12cee: 0c5e.*
+ 12cef: 6f8a.*
+ 12cf0: 0d5e.*
+ 12cf1: 6f8a.*
+ 12cf2: 0c5f.*
+ 12cf3: 6f8a.*
+ 12cf4: 0d5f.*
+ 12cf5: 108a.*
+ 12cf6: 118a.*
+ 12cf7: 9441.*
+ 12cf8: 9541.*
+ 12cf9: 9442.*
+ 12cfa: 9542.*
+ 12cfb: 9443.*
+ 12cfc: 9543.*
+ 12cfd: 9444.*
+ 12cfe: 9544.*
+ 12cff: 9445.*
+ 12d00: 9545.*
+ 12d01: 9446.*
+ 12d02: 9546.*
+ 12d03: 9447.*
+ 12d04: 9547.*
+ 12d05: 9448.*
+ 12d06: 9548.*
+ 12d07: 9449.*
+ 12d08: 9549.*
+ 12d09: 944a.*
+ 12d0a: 954a.*
+ 12d0b: 944b.*
+ 12d0c: 954b.*
+ 12d0d: 944c.*
+ 12d0e: 954c.*
+ 12d0f: 944d.*
+ 12d10: 954d.*
+ 12d11: 944e.*
+ 12d12: 954e.*
+ 12d13: 944f.*
+ 12d14: 954f.*
+ 12d15: 1092.*
+ 12d16: 1192.*
+ 12d17: 6f92.*
+ 12d18: 0c50.*
+ 12d19: 6f92.*
+ 12d1a: 0d50.*
+ 12d1b: 6f92.*
+ 12d1c: 0c51.*
+ 12d1d: 6f92.*
+ 12d1e: 0d51.*
+ 12d1f: 6f92.*
+ 12d20: 0c52.*
+ 12d21: 6f92.*
+ 12d22: 0d52.*
+ 12d23: 6f92.*
+ 12d24: 0c53.*
+ 12d25: 6f92.*
+ 12d26: 0d53.*
+ 12d27: 6f92.*
+ 12d28: 0c54.*
+ 12d29: 6f92.*
+ 12d2a: 0d54.*
+ 12d2b: 6f92.*
+ 12d2c: 0c55.*
+ 12d2d: 6f92.*
+ 12d2e: 0d55.*
+ 12d2f: 6f92.*
+ 12d30: 0c56.*
+ 12d31: 6f92.*
+ 12d32: 0d56.*
+ 12d33: 6f92.*
+ 12d34: 0c57.*
+ 12d35: 6f92.*
+ 12d36: 0d57.*
+ 12d37: 6f92.*
+ 12d38: 0c58.*
+ 12d39: 6f92.*
+ 12d3a: 0d58.*
+ 12d3b: 6f92.*
+ 12d3c: 0c59.*
+ 12d3d: 6f92.*
+ 12d3e: 0d59.*
+ 12d3f: 6f92.*
+ 12d40: 0c5a.*
+ 12d41: 6f92.*
+ 12d42: 0d5a.*
+ 12d43: 6f92.*
+ 12d44: 0c5b.*
+ 12d45: 6f92.*
+ 12d46: 0d5b.*
+ 12d47: 6f92.*
+ 12d48: 0c5c.*
+ 12d49: 6f92.*
+ 12d4a: 0d5c.*
+ 12d4b: 6f92.*
+ 12d4c: 0c5d.*
+ 12d4d: 6f92.*
+ 12d4e: 0d5d.*
+ 12d4f: 6f92.*
+ 12d50: 0c5e.*
+ 12d51: 6f92.*
+ 12d52: 0d5e.*
+ 12d53: 6f92.*
+ 12d54: 0c5f.*
+ 12d55: 6f92.*
+ 12d56: 0d5f.*
+ 12d57: 1092.*
+ 12d58: 1192.*
+ 12d59: 9481.*
+ 12d5a: 9581.*
+ 12d5b: 9482.*
+ 12d5c: 9582.*
+ 12d5d: 9483.*
+ 12d5e: 9583.*
+ 12d5f: 9484.*
+ 12d60: 9584.*
+ 12d61: 9485.*
+ 12d62: 9585.*
+ 12d63: 9486.*
+ 12d64: 9586.*
+ 12d65: 9487.*
+ 12d66: 9587.*
+ 12d67: 9488.*
+ 12d68: 9588.*
+ 12d69: 9489.*
+ 12d6a: 9589.*
+ 12d6b: 948a.*
+ 12d6c: 958a.*
+ 12d6d: 948b.*
+ 12d6e: 958b.*
+ 12d6f: 948c.*
+ 12d70: 958c.*
+ 12d71: 948d.*
+ 12d72: 958d.*
+ 12d73: 948e.*
+ 12d74: 958e.*
+ 12d75: 948f.*
+ 12d76: 958f.*
+ 12d77: 10a2.*
+ 12d78: 11a2.*
+ 12d79: 6fa2.*
+ 12d7a: 0c50.*
+ 12d7b: 6fa2.*
+ 12d7c: 0d50.*
+ 12d7d: 6fa2.*
+ 12d7e: 0c51.*
+ 12d7f: 6fa2.*
+ 12d80: 0d51.*
+ 12d81: 6fa2.*
+ 12d82: 0c52.*
+ 12d83: 6fa2.*
+ 12d84: 0d52.*
+ 12d85: 6fa2.*
+ 12d86: 0c53.*
+ 12d87: 6fa2.*
+ 12d88: 0d53.*
+ 12d89: 6fa2.*
+ 12d8a: 0c54.*
+ 12d8b: 6fa2.*
+ 12d8c: 0d54.*
+ 12d8d: 6fa2.*
+ 12d8e: 0c55.*
+ 12d8f: 6fa2.*
+ 12d90: 0d55.*
+ 12d91: 6fa2.*
+ 12d92: 0c56.*
+ 12d93: 6fa2.*
+ 12d94: 0d56.*
+ 12d95: 6fa2.*
+ 12d96: 0c57.*
+ 12d97: 6fa2.*
+ 12d98: 0d57.*
+ 12d99: 6fa2.*
+ 12d9a: 0c58.*
+ 12d9b: 6fa2.*
+ 12d9c: 0d58.*
+ 12d9d: 6fa2.*
+ 12d9e: 0c59.*
+ 12d9f: 6fa2.*
+ 12da0: 0d59.*
+ 12da1: 6fa2.*
+ 12da2: 0c5a.*
+ 12da3: 6fa2.*
+ 12da4: 0d5a.*
+ 12da5: 6fa2.*
+ 12da6: 0c5b.*
+ 12da7: 6fa2.*
+ 12da8: 0d5b.*
+ 12da9: 6fa2.*
+ 12daa: 0c5c.*
+ 12dab: 6fa2.*
+ 12dac: 0d5c.*
+ 12dad: 6fa2.*
+ 12dae: 0c5d.*
+ 12daf: 6fa2.*
+ 12db0: 0d5d.*
+ 12db1: 6fa2.*
+ 12db2: 0c5e.*
+ 12db3: 6fa2.*
+ 12db4: 0d5e.*
+ 12db5: 6fa2.*
+ 12db6: 0c5f.*
+ 12db7: 6fa2.*
+ 12db8: 0d5f.*
+ 12db9: 10a2.*
+ 12dba: 11a2.*
+ 12dbb: 6fa2.*
+ 12dbc: 0c41.*
+ 12dbd: 6fa2.*
+ 12dbe: 0d41.*
+ 12dbf: 6fa2.*
+ 12dc0: 0c42.*
+ 12dc1: 6fa2.*
+ 12dc2: 0d42.*
+ 12dc3: 6fa2.*
+ 12dc4: 0c43.*
+ 12dc5: 6fa2.*
+ 12dc6: 0d43.*
+ 12dc7: 6fa2.*
+ 12dc8: 0c44.*
+ 12dc9: 6fa2.*
+ 12dca: 0d44.*
+ 12dcb: 6fa2.*
+ 12dcc: 0c45.*
+ 12dcd: 6fa2.*
+ 12dce: 0d45.*
+ 12dcf: 6fa2.*
+ 12dd0: 0c46.*
+ 12dd1: 6fa2.*
+ 12dd2: 0d46.*
+ 12dd3: 6fa2.*
+ 12dd4: 0c47.*
+ 12dd5: 6fa2.*
+ 12dd6: 0d47.*
+ 12dd7: 6fa2.*
+ 12dd8: 0c48.*
+ 12dd9: 6fa2.*
+ 12dda: 0d48.*
+ 12ddb: 6fa2.*
+ 12ddc: 0c49.*
+ 12ddd: 6fa2.*
+ 12dde: 0d49.*
+ 12ddf: 6fa2.*
+ 12de0: 0c4a.*
+ 12de1: 6fa2.*
+ 12de2: 0d4a.*
+ 12de3: 6fa2.*
+ 12de4: 0c4b.*
+ 12de5: 6fa2.*
+ 12de6: 0d4b.*
+ 12de7: 6fa2.*
+ 12de8: 0c4c.*
+ 12de9: 6fa2.*
+ 12dea: 0d4c.*
+ 12deb: 6fa2.*
+ 12dec: 0c4d.*
+ 12ded: 6fa2.*
+ 12dee: 0d4d.*
+ 12def: 6fa2.*
+ 12df0: 0c4e.*
+ 12df1: 6fa2.*
+ 12df2: 0d4e.*
+ 12df3: 6fa2.*
+ 12df4: 0c4f.*
+ 12df5: 6fa2.*
+ 12df6: 0d4f.*
+ 12df7: 10aa.*
+ 12df8: 11aa.*
+ 12df9: 6faa.*
+ 12dfa: 0c50.*
+ 12dfb: 6faa.*
+ 12dfc: 0d50.*
+ 12dfd: 6faa.*
+ 12dfe: 0c51.*
+ 12dff: 6faa.*
+ 12e00: 0d51.*
+ 12e01: 6faa.*
+ 12e02: 0c52.*
+ 12e03: 6faa.*
+ 12e04: 0d52.*
+ 12e05: 6faa.*
+ 12e06: 0c53.*
+ 12e07: 6faa.*
+ 12e08: 0d53.*
+ 12e09: 6faa.*
+ 12e0a: 0c54.*
+ 12e0b: 6faa.*
+ 12e0c: 0d54.*
+ 12e0d: 6faa.*
+ 12e0e: 0c55.*
+ 12e0f: 6faa.*
+ 12e10: 0d55.*
+ 12e11: 6faa.*
+ 12e12: 0c56.*
+ 12e13: 6faa.*
+ 12e14: 0d56.*
+ 12e15: 6faa.*
+ 12e16: 0c57.*
+ 12e17: 6faa.*
+ 12e18: 0d57.*
+ 12e19: 6faa.*
+ 12e1a: 0c58.*
+ 12e1b: 6faa.*
+ 12e1c: 0d58.*
+ 12e1d: 6faa.*
+ 12e1e: 0c59.*
+ 12e1f: 6faa.*
+ 12e20: 0d59.*
+ 12e21: 6faa.*
+ 12e22: 0c5a.*
+ 12e23: 6faa.*
+ 12e24: 0d5a.*
+ 12e25: 6faa.*
+ 12e26: 0c5b.*
+ 12e27: 6faa.*
+ 12e28: 0d5b.*
+ 12e29: 6faa.*
+ 12e2a: 0c5c.*
+ 12e2b: 6faa.*
+ 12e2c: 0d5c.*
+ 12e2d: 6faa.*
+ 12e2e: 0c5d.*
+ 12e2f: 6faa.*
+ 12e30: 0d5d.*
+ 12e31: 6faa.*
+ 12e32: 0c5e.*
+ 12e33: 6faa.*
+ 12e34: 0d5e.*
+ 12e35: 6faa.*
+ 12e36: 0c5f.*
+ 12e37: 6faa.*
+ 12e38: 0d5f.*
+ 12e39: 10aa.*
+ 12e3a: 11aa.*
+ 12e3b: 6faa.*
+ 12e3c: 0c41.*
+ 12e3d: 6faa.*
+ 12e3e: 0d41.*
+ 12e3f: 6faa.*
+ 12e40: 0c42.*
+ 12e41: 6faa.*
+ 12e42: 0d42.*
+ 12e43: 6faa.*
+ 12e44: 0c43.*
+ 12e45: 6faa.*
+ 12e46: 0d43.*
+ 12e47: 6faa.*
+ 12e48: 0c44.*
+ 12e49: 6faa.*
+ 12e4a: 0d44.*
+ 12e4b: 6faa.*
+ 12e4c: 0c45.*
+ 12e4d: 6faa.*
+ 12e4e: 0d45.*
+ 12e4f: 6faa.*
+ 12e50: 0c46.*
+ 12e51: 6faa.*
+ 12e52: 0d46.*
+ 12e53: 6faa.*
+ 12e54: 0c47.*
+ 12e55: 6faa.*
+ 12e56: 0d47.*
+ 12e57: 6faa.*
+ 12e58: 0c48.*
+ 12e59: 6faa.*
+ 12e5a: 0d48.*
+ 12e5b: 6faa.*
+ 12e5c: 0c49.*
+ 12e5d: 6faa.*
+ 12e5e: 0d49.*
+ 12e5f: 6faa.*
+ 12e60: 0c4a.*
+ 12e61: 6faa.*
+ 12e62: 0d4a.*
+ 12e63: 6faa.*
+ 12e64: 0c4b.*
+ 12e65: 6faa.*
+ 12e66: 0d4b.*
+ 12e67: 6faa.*
+ 12e68: 0c4c.*
+ 12e69: 6faa.*
+ 12e6a: 0d4c.*
+ 12e6b: 6faa.*
+ 12e6c: 0c4d.*
+ 12e6d: 6faa.*
+ 12e6e: 0d4d.*
+ 12e6f: 6faa.*
+ 12e70: 0c4e.*
+ 12e71: 6faa.*
+ 12e72: 0d4e.*
+ 12e73: 6faa.*
+ 12e74: 0c4f.*
+ 12e75: 6faa.*
+ 12e76: 0d4f.*
+ 12e77: 10b2.*
+ 12e78: 11b2.*
+ 12e79: 6fb2.*
+ 12e7a: 0c50.*
+ 12e7b: 6fb2.*
+ 12e7c: 0d50.*
+ 12e7d: 6fb2.*
+ 12e7e: 0c51.*
+ 12e7f: 6fb2.*
+ 12e80: 0d51.*
+ 12e81: 6fb2.*
+ 12e82: 0c52.*
+ 12e83: 6fb2.*
+ 12e84: 0d52.*
+ 12e85: 6fb2.*
+ 12e86: 0c53.*
+ 12e87: 6fb2.*
+ 12e88: 0d53.*
+ 12e89: 6fb2.*
+ 12e8a: 0c54.*
+ 12e8b: 6fb2.*
+ 12e8c: 0d54.*
+ 12e8d: 6fb2.*
+ 12e8e: 0c55.*
+ 12e8f: 6fb2.*
+ 12e90: 0d55.*
+ 12e91: 6fb2.*
+ 12e92: 0c56.*
+ 12e93: 6fb2.*
+ 12e94: 0d56.*
+ 12e95: 6fb2.*
+ 12e96: 0c57.*
+ 12e97: 6fb2.*
+ 12e98: 0d57.*
+ 12e99: 6fb2.*
+ 12e9a: 0c58.*
+ 12e9b: 6fb2.*
+ 12e9c: 0d58.*
+ 12e9d: 6fb2.*
+ 12e9e: 0c59.*
+ 12e9f: 6fb2.*
+ 12ea0: 0d59.*
+ 12ea1: 6fb2.*
+ 12ea2: 0c5a.*
+ 12ea3: 6fb2.*
+ 12ea4: 0d5a.*
+ 12ea5: 6fb2.*
+ 12ea6: 0c5b.*
+ 12ea7: 6fb2.*
+ 12ea8: 0d5b.*
+ 12ea9: 6fb2.*
+ 12eaa: 0c5c.*
+ 12eab: 6fb2.*
+ 12eac: 0d5c.*
+ 12ead: 6fb2.*
+ 12eae: 0c5d.*
+ 12eaf: 6fb2.*
+ 12eb0: 0d5d.*
+ 12eb1: 6fb2.*
+ 12eb2: 0c5e.*
+ 12eb3: 6fb2.*
+ 12eb4: 0d5e.*
+ 12eb5: 6fb2.*
+ 12eb6: 0c5f.*
+ 12eb7: 6fb2.*
+ 12eb8: 0d5f.*
+ 12eb9: 10b2.*
+ 12eba: 11b2.*
+ 12ebb: 6fb2.*
+ 12ebc: 0c41.*
+ 12ebd: 6fb2.*
+ 12ebe: 0d41.*
+ 12ebf: 6fb2.*
+ 12ec0: 0c42.*
+ 12ec1: 6fb2.*
+ 12ec2: 0d42.*
+ 12ec3: 6fb2.*
+ 12ec4: 0c43.*
+ 12ec5: 6fb2.*
+ 12ec6: 0d43.*
+ 12ec7: 6fb2.*
+ 12ec8: 0c44.*
+ 12ec9: 6fb2.*
+ 12eca: 0d44.*
+ 12ecb: 6fb2.*
+ 12ecc: 0c45.*
+ 12ecd: 6fb2.*
+ 12ece: 0d45.*
+ 12ecf: 6fb2.*
+ 12ed0: 0c46.*
+ 12ed1: 6fb2.*
+ 12ed2: 0d46.*
+ 12ed3: 6fb2.*
+ 12ed4: 0c47.*
+ 12ed5: 6fb2.*
+ 12ed6: 0d47.*
+ 12ed7: 6fb2.*
+ 12ed8: 0c48.*
+ 12ed9: 6fb2.*
+ 12eda: 0d48.*
+ 12edb: 6fb2.*
+ 12edc: 0c49.*
+ 12edd: 6fb2.*
+ 12ede: 0d49.*
+ 12edf: 6fb2.*
+ 12ee0: 0c4a.*
+ 12ee1: 6fb2.*
+ 12ee2: 0d4a.*
+ 12ee3: 6fb2.*
+ 12ee4: 0c4b.*
+ 12ee5: 6fb2.*
+ 12ee6: 0d4b.*
+ 12ee7: 6fb2.*
+ 12ee8: 0c4c.*
+ 12ee9: 6fb2.*
+ 12eea: 0d4c.*
+ 12eeb: 6fb2.*
+ 12eec: 0c4d.*
+ 12eed: 6fb2.*
+ 12eee: 0d4d.*
+ 12eef: 6fb2.*
+ 12ef0: 0c4e.*
+ 12ef1: 6fb2.*
+ 12ef2: 0d4e.*
+ 12ef3: 6fb2.*
+ 12ef4: 0c4f.*
+ 12ef5: 6fb2.*
+ 12ef6: 0d4f.*
+ 12ef7: 10ba.*
+ 12ef8: 11ba.*
+ 12ef9: 6fba.*
+ 12efa: 0c50.*
+ 12efb: 6fba.*
+ 12efc: 0d50.*
+ 12efd: 6fba.*
+ 12efe: 0c51.*
+ 12eff: 6fba.*
+ 12f00: 0d51.*
+ 12f01: 6fba.*
+ 12f02: 0c52.*
+ 12f03: 6fba.*
+ 12f04: 0d52.*
+ 12f05: 6fba.*
+ 12f06: 0c53.*
+ 12f07: 6fba.*
+ 12f08: 0d53.*
+ 12f09: 6fba.*
+ 12f0a: 0c54.*
+ 12f0b: 6fba.*
+ 12f0c: 0d54.*
+ 12f0d: 6fba.*
+ 12f0e: 0c55.*
+ 12f0f: 6fba.*
+ 12f10: 0d55.*
+ 12f11: 6fba.*
+ 12f12: 0c56.*
+ 12f13: 6fba.*
+ 12f14: 0d56.*
+ 12f15: 6fba.*
+ 12f16: 0c57.*
+ 12f17: 6fba.*
+ 12f18: 0d57.*
+ 12f19: 6fba.*
+ 12f1a: 0c58.*
+ 12f1b: 6fba.*
+ 12f1c: 0d58.*
+ 12f1d: 6fba.*
+ 12f1e: 0c59.*
+ 12f1f: 6fba.*
+ 12f20: 0d59.*
+ 12f21: 6fba.*
+ 12f22: 0c5a.*
+ 12f23: 6fba.*
+ 12f24: 0d5a.*
+ 12f25: 6fba.*
+ 12f26: 0c5b.*
+ 12f27: 6fba.*
+ 12f28: 0d5b.*
+ 12f29: 6fba.*
+ 12f2a: 0c5c.*
+ 12f2b: 6fba.*
+ 12f2c: 0d5c.*
+ 12f2d: 6fba.*
+ 12f2e: 0c5d.*
+ 12f2f: 6fba.*
+ 12f30: 0d5d.*
+ 12f31: 6fba.*
+ 12f32: 0c5e.*
+ 12f33: 6fba.*
+ 12f34: 0d5e.*
+ 12f35: 6fba.*
+ 12f36: 0c5f.*
+ 12f37: 6fba.*
+ 12f38: 0d5f.*
+ 12f39: 10ba.*
+ 12f3a: 11ba.*
+ 12f3b: 6fba.*
+ 12f3c: 0c41.*
+ 12f3d: 6fba.*
+ 12f3e: 0d41.*
+ 12f3f: 6fba.*
+ 12f40: 0c42.*
+ 12f41: 6fba.*
+ 12f42: 0d42.*
+ 12f43: 6fba.*
+ 12f44: 0c43.*
+ 12f45: 6fba.*
+ 12f46: 0d43.*
+ 12f47: 6fba.*
+ 12f48: 0c44.*
+ 12f49: 6fba.*
+ 12f4a: 0d44.*
+ 12f4b: 6fba.*
+ 12f4c: 0c45.*
+ 12f4d: 6fba.*
+ 12f4e: 0d45.*
+ 12f4f: 6fba.*
+ 12f50: 0c46.*
+ 12f51: 6fba.*
+ 12f52: 0d46.*
+ 12f53: 6fba.*
+ 12f54: 0c47.*
+ 12f55: 6fba.*
+ 12f56: 0d47.*
+ 12f57: 6fba.*
+ 12f58: 0c48.*
+ 12f59: 6fba.*
+ 12f5a: 0d48.*
+ 12f5b: 6fba.*
+ 12f5c: 0c49.*
+ 12f5d: 6fba.*
+ 12f5e: 0d49.*
+ 12f5f: 6fba.*
+ 12f60: 0c4a.*
+ 12f61: 6fba.*
+ 12f62: 0d4a.*
+ 12f63: 6fba.*
+ 12f64: 0c4b.*
+ 12f65: 6fba.*
+ 12f66: 0d4b.*
+ 12f67: 6fba.*
+ 12f68: 0c4c.*
+ 12f69: 6fba.*
+ 12f6a: 0d4c.*
+ 12f6b: 6fba.*
+ 12f6c: 0c4d.*
+ 12f6d: 6fba.*
+ 12f6e: 0d4d.*
+ 12f6f: 6fba.*
+ 12f70: 0c4e.*
+ 12f71: 6fba.*
+ 12f72: 0d4e.*
+ 12f73: 6fba.*
+ 12f74: 0c4f.*
+ 12f75: 6fba.*
+ 12f76: 0d4f.*
+ 12f77: 10c2.*
+ 12f78: 11c2.*
+ 12f79: 6fc2.*
+ 12f7a: 0c50.*
+ 12f7b: 6fc2.*
+ 12f7c: 0d50.*
+ 12f7d: 6fc2.*
+ 12f7e: 0c51.*
+ 12f7f: 6fc2.*
+ 12f80: 0d51.*
+ 12f81: 6fc2.*
+ 12f82: 0c52.*
+ 12f83: 6fc2.*
+ 12f84: 0d52.*
+ 12f85: 6fc2.*
+ 12f86: 0c53.*
+ 12f87: 6fc2.*
+ 12f88: 0d53.*
+ 12f89: 6fc2.*
+ 12f8a: 0c54.*
+ 12f8b: 6fc2.*
+ 12f8c: 0d54.*
+ 12f8d: 6fc2.*
+ 12f8e: 0c55.*
+ 12f8f: 6fc2.*
+ 12f90: 0d55.*
+ 12f91: 6fc2.*
+ 12f92: 0c56.*
+ 12f93: 6fc2.*
+ 12f94: 0d56.*
+ 12f95: 6fc2.*
+ 12f96: 0c57.*
+ 12f97: 6fc2.*
+ 12f98: 0d57.*
+ 12f99: 6fc2.*
+ 12f9a: 0c58.*
+ 12f9b: 6fc2.*
+ 12f9c: 0d58.*
+ 12f9d: 6fc2.*
+ 12f9e: 0c59.*
+ 12f9f: 6fc2.*
+ 12fa0: 0d59.*
+ 12fa1: 6fc2.*
+ 12fa2: 0c5a.*
+ 12fa3: 6fc2.*
+ 12fa4: 0d5a.*
+ 12fa5: 6fc2.*
+ 12fa6: 0c5b.*
+ 12fa7: 6fc2.*
+ 12fa8: 0d5b.*
+ 12fa9: 6fc2.*
+ 12faa: 0c5c.*
+ 12fab: 6fc2.*
+ 12fac: 0d5c.*
+ 12fad: 6fc2.*
+ 12fae: 0c5d.*
+ 12faf: 6fc2.*
+ 12fb0: 0d5d.*
+ 12fb1: 6fc2.*
+ 12fb2: 0c5e.*
+ 12fb3: 6fc2.*
+ 12fb4: 0d5e.*
+ 12fb5: 6fc2.*
+ 12fb6: 0c5f.*
+ 12fb7: 6fc2.*
+ 12fb8: 0d5f.*
+ 12fb9: 10c2.*
+ 12fba: 11c2.*
+ 12fbb: 6fc2.*
+ 12fbc: 0c41.*
+ 12fbd: 6fc2.*
+ 12fbe: 0d41.*
+ 12fbf: 6fc2.*
+ 12fc0: 0c42.*
+ 12fc1: 6fc2.*
+ 12fc2: 0d42.*
+ 12fc3: 6fc2.*
+ 12fc4: 0c43.*
+ 12fc5: 6fc2.*
+ 12fc6: 0d43.*
+ 12fc7: 6fc2.*
+ 12fc8: 0c44.*
+ 12fc9: 6fc2.*
+ 12fca: 0d44.*
+ 12fcb: 6fc2.*
+ 12fcc: 0c45.*
+ 12fcd: 6fc2.*
+ 12fce: 0d45.*
+ 12fcf: 6fc2.*
+ 12fd0: 0c46.*
+ 12fd1: 6fc2.*
+ 12fd2: 0d46.*
+ 12fd3: 6fc2.*
+ 12fd4: 0c47.*
+ 12fd5: 6fc2.*
+ 12fd6: 0d47.*
+ 12fd7: 6fc2.*
+ 12fd8: 0c48.*
+ 12fd9: 6fc2.*
+ 12fda: 0d48.*
+ 12fdb: 6fc2.*
+ 12fdc: 0c49.*
+ 12fdd: 6fc2.*
+ 12fde: 0d49.*
+ 12fdf: 6fc2.*
+ 12fe0: 0c4a.*
+ 12fe1: 6fc2.*
+ 12fe2: 0d4a.*
+ 12fe3: 6fc2.*
+ 12fe4: 0c4b.*
+ 12fe5: 6fc2.*
+ 12fe6: 0d4b.*
+ 12fe7: 6fc2.*
+ 12fe8: 0c4c.*
+ 12fe9: 6fc2.*
+ 12fea: 0d4c.*
+ 12feb: 6fc2.*
+ 12fec: 0c4d.*
+ 12fed: 6fc2.*
+ 12fee: 0d4d.*
+ 12fef: 6fc2.*
+ 12ff0: 0c4e.*
+ 12ff1: 6fc2.*
+ 12ff2: 0d4e.*
+ 12ff3: 6fc2.*
+ 12ff4: 0c4f.*
+ 12ff5: 6fc2.*
+ 12ff6: 0d4f.*
+ 12ff7: 10ca.*
+ 12ff8: 11ca.*
+ 12ff9: 6fca.*
+ 12ffa: 0c50.*
+ 12ffb: 6fca.*
+ 12ffc: 0d50.*
+ 12ffd: 6fca.*
+ 12ffe: 0c51.*
+ 12fff: 6fca.*
+ 13000: 0d51.*
+ 13001: 6fca.*
+ 13002: 0c52.*
+ 13003: 6fca.*
+ 13004: 0d52.*
+ 13005: 6fca.*
+ 13006: 0c53.*
+ 13007: 6fca.*
+ 13008: 0d53.*
+ 13009: 6fca.*
+ 1300a: 0c54.*
+ 1300b: 6fca.*
+ 1300c: 0d54.*
+ 1300d: 6fca.*
+ 1300e: 0c55.*
+ 1300f: 6fca.*
+ 13010: 0d55.*
+ 13011: 6fca.*
+ 13012: 0c56.*
+ 13013: 6fca.*
+ 13014: 0d56.*
+ 13015: 6fca.*
+ 13016: 0c57.*
+ 13017: 6fca.*
+ 13018: 0d57.*
+ 13019: 6fca.*
+ 1301a: 0c58.*
+ 1301b: 6fca.*
+ 1301c: 0d58.*
+ 1301d: 6fca.*
+ 1301e: 0c59.*
+ 1301f: 6fca.*
+ 13020: 0d59.*
+ 13021: 6fca.*
+ 13022: 0c5a.*
+ 13023: 6fca.*
+ 13024: 0d5a.*
+ 13025: 6fca.*
+ 13026: 0c5b.*
+ 13027: 6fca.*
+ 13028: 0d5b.*
+ 13029: 6fca.*
+ 1302a: 0c5c.*
+ 1302b: 6fca.*
+ 1302c: 0d5c.*
+ 1302d: 6fca.*
+ 1302e: 0c5d.*
+ 1302f: 6fca.*
+ 13030: 0d5d.*
+ 13031: 6fca.*
+ 13032: 0c5e.*
+ 13033: 6fca.*
+ 13034: 0d5e.*
+ 13035: 6fca.*
+ 13036: 0c5f.*
+ 13037: 6fca.*
+ 13038: 0d5f.*
+ 13039: 10ca.*
+ 1303a: 11ca.*
+ 1303b: 6fca.*
+ 1303c: 0c41.*
+ 1303d: 6fca.*
+ 1303e: 0d41.*
+ 1303f: 6fca.*
+ 13040: 0c42.*
+ 13041: 6fca.*
+ 13042: 0d42.*
+ 13043: 6fca.*
+ 13044: 0c43.*
+ 13045: 6fca.*
+ 13046: 0d43.*
+ 13047: 6fca.*
+ 13048: 0c44.*
+ 13049: 6fca.*
+ 1304a: 0d44.*
+ 1304b: 6fca.*
+ 1304c: 0c45.*
+ 1304d: 6fca.*
+ 1304e: 0d45.*
+ 1304f: 6fca.*
+ 13050: 0c46.*
+ 13051: 6fca.*
+ 13052: 0d46.*
+ 13053: 6fca.*
+ 13054: 0c47.*
+ 13055: 6fca.*
+ 13056: 0d47.*
+ 13057: 6fca.*
+ 13058: 0c48.*
+ 13059: 6fca.*
+ 1305a: 0d48.*
+ 1305b: 6fca.*
+ 1305c: 0c49.*
+ 1305d: 6fca.*
+ 1305e: 0d49.*
+ 1305f: 6fca.*
+ 13060: 0c4a.*
+ 13061: 6fca.*
+ 13062: 0d4a.*
+ 13063: 6fca.*
+ 13064: 0c4b.*
+ 13065: 6fca.*
+ 13066: 0d4b.*
+ 13067: 6fca.*
+ 13068: 0c4c.*
+ 13069: 6fca.*
+ 1306a: 0d4c.*
+ 1306b: 6fca.*
+ 1306c: 0c4d.*
+ 1306d: 6fca.*
+ 1306e: 0d4d.*
+ 1306f: 6fca.*
+ 13070: 0c4e.*
+ 13071: 6fca.*
+ 13072: 0d4e.*
+ 13073: 6fca.*
+ 13074: 0c4f.*
+ 13075: 6fca.*
+ 13076: 0d4f.*
+ 13077: 10d2.*
+ 13078: 11d2.*
+ 13079: 6fd2.*
+ 1307a: 0c50.*
+ 1307b: 6fd2.*
+ 1307c: 0d50.*
+ 1307d: 6fd2.*
+ 1307e: 0c51.*
+ 1307f: 6fd2.*
+ 13080: 0d51.*
+ 13081: 6fd2.*
+ 13082: 0c52.*
+ 13083: 6fd2.*
+ 13084: 0d52.*
+ 13085: 6fd2.*
+ 13086: 0c53.*
+ 13087: 6fd2.*
+ 13088: 0d53.*
+ 13089: 6fd2.*
+ 1308a: 0c54.*
+ 1308b: 6fd2.*
+ 1308c: 0d54.*
+ 1308d: 6fd2.*
+ 1308e: 0c55.*
+ 1308f: 6fd2.*
+ 13090: 0d55.*
+ 13091: 6fd2.*
+ 13092: 0c56.*
+ 13093: 6fd2.*
+ 13094: 0d56.*
+ 13095: 6fd2.*
+ 13096: 0c57.*
+ 13097: 6fd2.*
+ 13098: 0d57.*
+ 13099: 6fd2.*
+ 1309a: 0c58.*
+ 1309b: 6fd2.*
+ 1309c: 0d58.*
+ 1309d: 6fd2.*
+ 1309e: 0c59.*
+ 1309f: 6fd2.*
+ 130a0: 0d59.*
+ 130a1: 6fd2.*
+ 130a2: 0c5a.*
+ 130a3: 6fd2.*
+ 130a4: 0d5a.*
+ 130a5: 6fd2.*
+ 130a6: 0c5b.*
+ 130a7: 6fd2.*
+ 130a8: 0d5b.*
+ 130a9: 6fd2.*
+ 130aa: 0c5c.*
+ 130ab: 6fd2.*
+ 130ac: 0d5c.*
+ 130ad: 6fd2.*
+ 130ae: 0c5d.*
+ 130af: 6fd2.*
+ 130b0: 0d5d.*
+ 130b1: 6fd2.*
+ 130b2: 0c5e.*
+ 130b3: 6fd2.*
+ 130b4: 0d5e.*
+ 130b5: 6fd2.*
+ 130b6: 0c5f.*
+ 130b7: 6fd2.*
+ 130b8: 0d5f.*
+ 130b9: 10d2.*
+ 130ba: 11d2.*
+ 130bb: 6fd2.*
+ 130bc: 0c41.*
+ 130bd: 6fd2.*
+ 130be: 0d41.*
+ 130bf: 6fd2.*
+ 130c0: 0c42.*
+ 130c1: 6fd2.*
+ 130c2: 0d42.*
+ 130c3: 6fd2.*
+ 130c4: 0c43.*
+ 130c5: 6fd2.*
+ 130c6: 0d43.*
+ 130c7: 6fd2.*
+ 130c8: 0c44.*
+ 130c9: 6fd2.*
+ 130ca: 0d44.*
+ 130cb: 6fd2.*
+ 130cc: 0c45.*
+ 130cd: 6fd2.*
+ 130ce: 0d45.*
+ 130cf: 6fd2.*
+ 130d0: 0c46.*
+ 130d1: 6fd2.*
+ 130d2: 0d46.*
+ 130d3: 6fd2.*
+ 130d4: 0c47.*
+ 130d5: 6fd2.*
+ 130d6: 0d47.*
+ 130d7: 6fd2.*
+ 130d8: 0c48.*
+ 130d9: 6fd2.*
+ 130da: 0d48.*
+ 130db: 6fd2.*
+ 130dc: 0c49.*
+ 130dd: 6fd2.*
+ 130de: 0d49.*
+ 130df: 6fd2.*
+ 130e0: 0c4a.*
+ 130e1: 6fd2.*
+ 130e2: 0d4a.*
+ 130e3: 6fd2.*
+ 130e4: 0c4b.*
+ 130e5: 6fd2.*
+ 130e6: 0d4b.*
+ 130e7: 6fd2.*
+ 130e8: 0c4c.*
+ 130e9: 6fd2.*
+ 130ea: 0d4c.*
+ 130eb: 6fd2.*
+ 130ec: 0c4d.*
+ 130ed: 6fd2.*
+ 130ee: 0d4d.*
+ 130ef: 6fd2.*
+ 130f0: 0c4e.*
+ 130f1: 6fd2.*
+ 130f2: 0d4e.*
+ 130f3: 6fd2.*
+ 130f4: 0c4f.*
+ 130f5: 6fd2.*
+ 130f6: 0d4f.*
+ 130f7: 10da.*
+ 130f8: 11da.*
+ 130f9: 6fda.*
+ 130fa: 0c50.*
+ 130fb: 6fda.*
+ 130fc: 0d50.*
+ 130fd: 6fda.*
+ 130fe: 0c51.*
+ 130ff: 6fda.*
+ 13100: 0d51.*
+ 13101: 6fda.*
+ 13102: 0c52.*
+ 13103: 6fda.*
+ 13104: 0d52.*
+ 13105: 6fda.*
+ 13106: 0c53.*
+ 13107: 6fda.*
+ 13108: 0d53.*
+ 13109: 6fda.*
+ 1310a: 0c54.*
+ 1310b: 6fda.*
+ 1310c: 0d54.*
+ 1310d: 6fda.*
+ 1310e: 0c55.*
+ 1310f: 6fda.*
+ 13110: 0d55.*
+ 13111: 6fda.*
+ 13112: 0c56.*
+ 13113: 6fda.*
+ 13114: 0d56.*
+ 13115: 6fda.*
+ 13116: 0c57.*
+ 13117: 6fda.*
+ 13118: 0d57.*
+ 13119: 6fda.*
+ 1311a: 0c58.*
+ 1311b: 6fda.*
+ 1311c: 0d58.*
+ 1311d: 6fda.*
+ 1311e: 0c59.*
+ 1311f: 6fda.*
+ 13120: 0d59.*
+ 13121: 6fda.*
+ 13122: 0c5a.*
+ 13123: 6fda.*
+ 13124: 0d5a.*
+ 13125: 6fda.*
+ 13126: 0c5b.*
+ 13127: 6fda.*
+ 13128: 0d5b.*
+ 13129: 6fda.*
+ 1312a: 0c5c.*
+ 1312b: 6fda.*
+ 1312c: 0d5c.*
+ 1312d: 6fda.*
+ 1312e: 0c5d.*
+ 1312f: 6fda.*
+ 13130: 0d5d.*
+ 13131: 6fda.*
+ 13132: 0c5e.*
+ 13133: 6fda.*
+ 13134: 0d5e.*
+ 13135: 6fda.*
+ 13136: 0c5f.*
+ 13137: 6fda.*
+ 13138: 0d5f.*
+ 13139: 10da.*
+ 1313a: 11da.*
+ 1313b: 94c1.*
+ 1313c: 95c1.*
+ 1313d: 94c2.*
+ 1313e: 95c2.*
+ 1313f: 94c3.*
+ 13140: 95c3.*
+ 13141: 94c4.*
+ 13142: 95c4.*
+ 13143: 94c5.*
+ 13144: 95c5.*
+ 13145: 94c6.*
+ 13146: 95c6.*
+ 13147: 94c7.*
+ 13148: 95c7.*
+ 13149: 94c8.*
+ 1314a: 95c8.*
+ 1314b: 94c9.*
+ 1314c: 95c9.*
+ 1314d: 94ca.*
+ 1314e: 95ca.*
+ 1314f: 94cb.*
+ 13150: 95cb.*
+ 13151: 94cc.*
+ 13152: 95cc.*
+ 13153: 94cd.*
+ 13154: 95cd.*
+ 13155: 94ce.*
+ 13156: 95ce.*
+ 13157: 94cf.*
+ 13158: 95cf.*
+ 13159: 10e2.*
+ 1315a: 2470.*
+ 1315b: 11e2.*
+ 1315c: 2471.*
+ 1315d: 6fe2.*
+ 1315e: 2472.*
+ 1315f: 0c50.*
+ 13160: 6fe2.*
+ 13161: 2473.*
+ 13162: 0d50.*
+ 13163: 6fe2.*
+ 13164: 2474.*
+ 13165: 0c51.*
+ 13166: 6fe2.*
+ 13167: 2475.*
+ 13168: 0d51.*
+ 13169: 6fe2.*
+ 1316a: 2476.*
+ 1316b: 0c52.*
+ 1316c: 6fe2.*
+ 1316d: 2477.*
+ 1316e: 0d52.*
+ 1316f: 6fe2.*
+ 13170: 2478.*
+ 13171: 0c53.*
+ 13172: 6fe2.*
+ 13173: 2479.*
+ 13174: 0d53.*
+ 13175: 6fe2.*
+ 13176: 247a.*
+ 13177: 0c54.*
+ 13178: 6fe2.*
+ 13179: 247b.*
+ 1317a: 0d54.*
+ 1317b: 6fe2.*
+ 1317c: 247c.*
+ 1317d: 0c55.*
+ 1317e: 6fe2.*
+ 1317f: 247d.*
+ 13180: 0d55.*
+ 13181: 6fe2.*
+ 13182: 247e.*
+ 13183: 0c56.*
+ 13184: 6fe2.*
+ 13185: 247f.*
+ 13186: 0d56.*
+ 13187: 6fe2.*
+ 13188: 2480.*
+ 13189: 0c57.*
+ 1318a: 6fe2.*
+ 1318b: 2481.*
+ 1318c: 0d57.*
+ 1318d: 6fe2.*
+ 1318e: 2482.*
+ 1318f: 0c58.*
+ 13190: 6fe2.*
+ 13191: 2483.*
+ 13192: 0d58.*
+ 13193: 6fe2.*
+ 13194: 2484.*
+ 13195: 0c59.*
+ 13196: 6fe2.*
+ 13197: 2485.*
+ 13198: 0d59.*
+ 13199: 6fe2.*
+ 1319a: 2486.*
+ 1319b: 0c5a.*
+ 1319c: 6fe2.*
+ 1319d: 2487.*
+ 1319e: 0d5a.*
+ 1319f: 6fe2.*
+ 131a0: 2488.*
+ 131a1: 0c5b.*
+ 131a2: 6fe2.*
+ 131a3: 2489.*
+ 131a4: 0d5b.*
+ 131a5: 6fe2.*
+ 131a6: 248a.*
+ 131a7: 0c5c.*
+ 131a8: 6fe2.*
+ 131a9: 248b.*
+ 131aa: 0d5c.*
+ 131ab: 6fe2.*
+ 131ac: 248c.*
+ 131ad: 0c5d.*
+ 131ae: 6fe2.*
+ 131af: 248d.*
+ 131b0: 0d5d.*
+ 131b1: 6fe2.*
+ 131b2: 248e.*
+ 131b3: 0c5e.*
+ 131b4: 6fe2.*
+ 131b5: 248f.*
+ 131b6: 0d5e.*
+ 131b7: 6fe2.*
+ 131b8: 2490.*
+ 131b9: 0c5f.*
+ 131ba: 6fe2.*
+ 131bb: 2491.*
+ 131bc: 0d5f.*
+ 131bd: 10e2.*
+ 131be: 2492.*
+ 131bf: 11e2.*
+ 131c0: 2493.*
+ 131c1: 6fe2.*
+ 131c2: 2494.*
+ 131c3: 0c41.*
+ 131c4: 6fe2.*
+ 131c5: 2495.*
+ 131c6: 0d41.*
+ 131c7: 6fe2.*
+ 131c8: 2496.*
+ 131c9: 0c42.*
+ 131ca: 6fe2.*
+ 131cb: 2497.*
+ 131cc: 0d42.*
+ 131cd: 6fe2.*
+ 131ce: 2498.*
+ 131cf: 0c43.*
+ 131d0: 6fe2.*
+ 131d1: 2499.*
+ 131d2: 0d43.*
+ 131d3: 6fe2.*
+ 131d4: 249a.*
+ 131d5: 0c44.*
+ 131d6: 6fe2.*
+ 131d7: 249b.*
+ 131d8: 0d44.*
+ 131d9: 6fe2.*
+ 131da: 249c.*
+ 131db: 0c45.*
+ 131dc: 6fe2.*
+ 131dd: 249d.*
+ 131de: 0d45.*
+ 131df: 6fe2.*
+ 131e0: 249e.*
+ 131e1: 0c46.*
+ 131e2: 6fe2.*
+ 131e3: 249f.*
+ 131e4: 0d46.*
+ 131e5: 6fe2.*
+ 131e6: 24a0.*
+ 131e7: 0c47.*
+ 131e8: 6fe2.*
+ 131e9: 24a1.*
+ 131ea: 0d47.*
+ 131eb: 6fe2.*
+ 131ec: 24a2.*
+ 131ed: 0c48.*
+ 131ee: 6fe2.*
+ 131ef: 24a3.*
+ 131f0: 0d48.*
+ 131f1: 6fe2.*
+ 131f2: 24a4.*
+ 131f3: 0c49.*
+ 131f4: 6fe2.*
+ 131f5: 24a5.*
+ 131f6: 0d49.*
+ 131f7: 6fe2.*
+ 131f8: 24a6.*
+ 131f9: 0c4a.*
+ 131fa: 6fe2.*
+ 131fb: 24a7.*
+ 131fc: 0d4a.*
+ 131fd: 6fe2.*
+ 131fe: 24a8.*
+ 131ff: 0c4b.*
+ 13200: 6fe2.*
+ 13201: 24a9.*
+ 13202: 0d4b.*
+ 13203: 6fe2.*
+ 13204: 24aa.*
+ 13205: 0c4c.*
+ 13206: 6fe2.*
+ 13207: 24ab.*
+ 13208: 0d4c.*
+ 13209: 6fe2.*
+ 1320a: 24ac.*
+ 1320b: 0c4d.*
+ 1320c: 6fe2.*
+ 1320d: 24ad.*
+ 1320e: 0d4d.*
+ 1320f: 6fe2.*
+ 13210: 24ae.*
+ 13211: 0c4e.*
+ 13212: 6fe2.*
+ 13213: 24af.*
+ 13214: 0d4e.*
+ 13215: 6fe2.*
+ 13216: 24b0.*
+ 13217: 0c4f.*
+ 13218: 6fe2.*
+ 13219: 24b1.*
+ 1321a: 0d4f.*
+ 1321b: 10ea.*
+ 1321c: 24b2.*
+ 1321d: 11ea.*
+ 1321e: 24b3.*
+ 1321f: 6fea.*
+ 13220: 24b4.*
+ 13221: 0c50.*
+ 13222: 6fea.*
+ 13223: 24b5.*
+ 13224: 0d50.*
+ 13225: 6fea.*
+ 13226: 24b6.*
+ 13227: 0c51.*
+ 13228: 6fea.*
+ 13229: 24b7.*
+ 1322a: 0d51.*
+ 1322b: 6fea.*
+ 1322c: 24b8.*
+ 1322d: 0c52.*
+ 1322e: 6fea.*
+ 1322f: 24b9.*
+ 13230: 0d52.*
+ 13231: 6fea.*
+ 13232: 24ba.*
+ 13233: 0c53.*
+ 13234: 6fea.*
+ 13235: 24bb.*
+ 13236: 0d53.*
+ 13237: 6fea.*
+ 13238: 24bc.*
+ 13239: 0c54.*
+ 1323a: 6fea.*
+ 1323b: 24bd.*
+ 1323c: 0d54.*
+ 1323d: 6fea.*
+ 1323e: 24be.*
+ 1323f: 0c55.*
+ 13240: 6fea.*
+ 13241: 24bf.*
+ 13242: 0d55.*
+ 13243: 6fea.*
+ 13244: 24c0.*
+ 13245: 0c56.*
+ 13246: 6fea.*
+ 13247: 24c1.*
+ 13248: 0d56.*
+ 13249: 6fea.*
+ 1324a: 24c2.*
+ 1324b: 0c57.*
+ 1324c: 6fea.*
+ 1324d: 24c3.*
+ 1324e: 0d57.*
+ 1324f: 6fea.*
+ 13250: 24c4.*
+ 13251: 0c58.*
+ 13252: 6fea.*
+ 13253: 24c5.*
+ 13254: 0d58.*
+ 13255: 6fea.*
+ 13256: 24c6.*
+ 13257: 0c59.*
+ 13258: 6fea.*
+ 13259: 24c7.*
+ 1325a: 0d59.*
+ 1325b: 6fea.*
+ 1325c: 24c8.*
+ 1325d: 0c5a.*
+ 1325e: 6fea.*
+ 1325f: 24c9.*
+ 13260: 0d5a.*
+ 13261: 6fea.*
+ 13262: 24ca.*
+ 13263: 0c5b.*
+ 13264: 6fea.*
+ 13265: 24cb.*
+ 13266: 0d5b.*
+ 13267: 6fea.*
+ 13268: 24cc.*
+ 13269: 0c5c.*
+ 1326a: 6fea.*
+ 1326b: 24cd.*
+ 1326c: 0d5c.*
+ 1326d: 6fea.*
+ 1326e: 24ce.*
+ 1326f: 0c5d.*
+ 13270: 6fea.*
+ 13271: 24cf.*
+ 13272: 0d5d.*
+ 13273: 6fea.*
+ 13274: 24d0.*
+ 13275: 0c5e.*
+ 13276: 6fea.*
+ 13277: 24d1.*
+ 13278: 0d5e.*
+ 13279: 6fea.*
+ 1327a: 24d2.*
+ 1327b: 0c5f.*
+ 1327c: 6fea.*
+ 1327d: 24d3.*
+ 1327e: 0d5f.*
+ 1327f: 10ea.*
+ 13280: 24d4.*
+ 13281: 11ea.*
+ 13282: 24d5.*
+ 13283: 6fea.*
+ 13284: 24d6.*
+ 13285: 0c41.*
+ 13286: 6fea.*
+ 13287: 24d7.*
+ 13288: 0d41.*
+ 13289: 6fea.*
+ 1328a: 24d8.*
+ 1328b: 0c42.*
+ 1328c: 6fea.*
+ 1328d: 24d9.*
+ 1328e: 0d42.*
+ 1328f: 6fea.*
+ 13290: 24da.*
+ 13291: 0c43.*
+ 13292: 6fea.*
+ 13293: 24db.*
+ 13294: 0d43.*
+ 13295: 6fea.*
+ 13296: 24dc.*
+ 13297: 0c44.*
+ 13298: 6fea.*
+ 13299: 24dd.*
+ 1329a: 0d44.*
+ 1329b: 6fea.*
+ 1329c: 24de.*
+ 1329d: 0c45.*
+ 1329e: 6fea.*
+ 1329f: 24df.*
+ 132a0: 0d45.*
+ 132a1: 6fea.*
+ 132a2: 24e0.*
+ 132a3: 0c46.*
+ 132a4: 6fea.*
+ 132a5: 24e1.*
+ 132a6: 0d46.*
+ 132a7: 6fea.*
+ 132a8: 24e2.*
+ 132a9: 0c47.*
+ 132aa: 6fea.*
+ 132ab: 24e3.*
+ 132ac: 0d47.*
+ 132ad: 6fea.*
+ 132ae: 24e4.*
+ 132af: 0c48.*
+ 132b0: 6fea.*
+ 132b1: 24e5.*
+ 132b2: 0d48.*
+ 132b3: 6fea.*
+ 132b4: 24e6.*
+ 132b5: 0c49.*
+ 132b6: 6fea.*
+ 132b7: 24e7.*
+ 132b8: 0d49.*
+ 132b9: 6fea.*
+ 132ba: 24e8.*
+ 132bb: 0c4a.*
+ 132bc: 6fea.*
+ 132bd: 24e9.*
+ 132be: 0d4a.*
+ 132bf: 6fea.*
+ 132c0: 24ea.*
+ 132c1: 0c4b.*
+ 132c2: 6fea.*
+ 132c3: 24eb.*
+ 132c4: 0d4b.*
+ 132c5: 6fea.*
+ 132c6: 24ec.*
+ 132c7: 0c4c.*
+ 132c8: 6fea.*
+ 132c9: 24ed.*
+ 132ca: 0d4c.*
+ 132cb: 6fea.*
+ 132cc: 24ee.*
+ 132cd: 0c4d.*
+ 132ce: 6fea.*
+ 132cf: 24ef.*
+ 132d0: 0d4d.*
+ 132d1: 6fea.*
+ 132d2: 24f0.*
+ 132d3: 0c4e.*
+ 132d4: 6fea.*
+ 132d5: 24f1.*
+ 132d6: 0d4e.*
+ 132d7: 6fea.*
+ 132d8: 24f2.*
+ 132d9: 0c4f.*
+ 132da: 6fea.*
+ 132db: 24f3.*
+ 132dc: 0d4f.*
+ 132dd: 10f2.*
+ 132de: 24f4.*
+ 132df: 11f2.*
+ 132e0: 24f5.*
+ 132e1: 6ff2.*
+ 132e2: 24f6.*
+ 132e3: 0c50.*
+ 132e4: 6ff2.*
+ 132e5: 24f7.*
+ 132e6: 0d50.*
+ 132e7: 6ff2.*
+ 132e8: 24f8.*
+ 132e9: 0c51.*
+ 132ea: 6ff2.*
+ 132eb: 24f9.*
+ 132ec: 0d51.*
+ 132ed: 6ff2.*
+ 132ee: 24fa.*
+ 132ef: 0c52.*
+ 132f0: 6ff2.*
+ 132f1: 24fb.*
+ 132f2: 0d52.*
+ 132f3: 6ff2.*
+ 132f4: 24fc.*
+ 132f5: 0c53.*
+ 132f6: 6ff2.*
+ 132f7: 24fd.*
+ 132f8: 0d53.*
+ 132f9: 6ff2.*
+ 132fa: 24fe.*
+ 132fb: 0c54.*
+ 132fc: 6ff2.*
+ 132fd: 24ff.*
+ 132fe: 0d54.*
+ 132ff: 6ff2.*
+ 13300: 2500.*
+ 13301: 0c55.*
+ 13302: 6ff2.*
+ 13303: 2501.*
+ 13304: 0d55.*
+ 13305: 6ff2.*
+ 13306: 2502.*
+ 13307: 0c56.*
+ 13308: 6ff2.*
+ 13309: 2503.*
+ 1330a: 0d56.*
+ 1330b: 6ff2.*
+ 1330c: 2504.*
+ 1330d: 0c57.*
+ 1330e: 6ff2.*
+ 1330f: 2505.*
+ 13310: 0d57.*
+ 13311: 6ff2.*
+ 13312: 2506.*
+ 13313: 0c58.*
+ 13314: 6ff2.*
+ 13315: 2507.*
+ 13316: 0d58.*
+ 13317: 6ff2.*
+ 13318: 2508.*
+ 13319: 0c59.*
+ 1331a: 6ff2.*
+ 1331b: 2509.*
+ 1331c: 0d59.*
+ 1331d: 6ff2.*
+ 1331e: 250a.*
+ 1331f: 0c5a.*
+ 13320: 6ff2.*
+ 13321: 250b.*
+ 13322: 0d5a.*
+ 13323: 6ff2.*
+ 13324: 250c.*
+ 13325: 0c5b.*
+ 13326: 6ff2.*
+ 13327: 250d.*
+ 13328: 0d5b.*
+ 13329: 6ff2.*
+ 1332a: 250e.*
+ 1332b: 0c5c.*
+ 1332c: 6ff2.*
+ 1332d: 250f.*
+ 1332e: 0d5c.*
+ 1332f: 6ff2.*
+ 13330: 2510.*
+ 13331: 0c5d.*
+ 13332: 6ff2.*
+ 13333: 2511.*
+ 13334: 0d5d.*
+ 13335: 6ff2.*
+ 13336: 2512.*
+ 13337: 0c5e.*
+ 13338: 6ff2.*
+ 13339: 2513.*
+ 1333a: 0d5e.*
+ 1333b: 6ff2.*
+ 1333c: 2514.*
+ 1333d: 0c5f.*
+ 1333e: 6ff2.*
+ 1333f: 2515.*
+ 13340: 0d5f.*
+ 13341: 10f2.*
+ 13342: 2516.*
+ 13343: 11f2.*
+ 13344: 2517.*
+ 13345: 6ff2.*
+ 13346: 2518.*
+ 13347: 0c41.*
+ 13348: 6ff2.*
+ 13349: 2519.*
+ 1334a: 0d41.*
+ 1334b: 6ff2.*
+ 1334c: 251a.*
+ 1334d: 0c42.*
+ 1334e: 6ff2.*
+ 1334f: 251b.*
+ 13350: 0d42.*
+ 13351: 6ff2.*
+ 13352: 251c.*
+ 13353: 0c43.*
+ 13354: 6ff2.*
+ 13355: 251d.*
+ 13356: 0d43.*
+ 13357: 6ff2.*
+ 13358: 251e.*
+ 13359: 0c44.*
+ 1335a: 6ff2.*
+ 1335b: 251f.*
+ 1335c: 0d44.*
+ 1335d: 6ff2.*
+ 1335e: 2520.*
+ 1335f: 0c45.*
+ 13360: 6ff2.*
+ 13361: 2521.*
+ 13362: 0d45.*
+ 13363: 6ff2.*
+ 13364: 2522.*
+ 13365: 0c46.*
+ 13366: 6ff2.*
+ 13367: 2523.*
+ 13368: 0d46.*
+ 13369: 6ff2.*
+ 1336a: 2524.*
+ 1336b: 0c47.*
+ 1336c: 6ff2.*
+ 1336d: 2525.*
+ 1336e: 0d47.*
+ 1336f: 6ff2.*
+ 13370: 2526.*
+ 13371: 0c48.*
+ 13372: 6ff2.*
+ 13373: 2527.*
+ 13374: 0d48.*
+ 13375: 6ff2.*
+ 13376: 2528.*
+ 13377: 0c49.*
+ 13378: 6ff2.*
+ 13379: 2529.*
+ 1337a: 0d49.*
+ 1337b: 6ff2.*
+ 1337c: 252a.*
+ 1337d: 0c4a.*
+ 1337e: 6ff2.*
+ 1337f: 252b.*
+ 13380: 0d4a.*
+ 13381: 6ff2.*
+ 13382: 252c.*
+ 13383: 0c4b.*
+ 13384: 6ff2.*
+ 13385: 252d.*
+ 13386: 0d4b.*
+ 13387: 6ff2.*
+ 13388: 252e.*
+ 13389: 0c4c.*
+ 1338a: 6ff2.*
+ 1338b: 252f.*
+ 1338c: 0d4c.*
+ 1338d: 6ff2.*
+ 1338e: 2530.*
+ 1338f: 0c4d.*
+ 13390: 6ff2.*
+ 13391: 2531.*
+ 13392: 0d4d.*
+ 13393: 6ff2.*
+ 13394: 2532.*
+ 13395: 0c4e.*
+ 13396: 6ff2.*
+ 13397: 2533.*
+ 13398: 0d4e.*
+ 13399: 6ff2.*
+ 1339a: 2534.*
+ 1339b: 0c4f.*
+ 1339c: 6ff2.*
+ 1339d: 2535.*
+ 1339e: 0d4f.*
+ 1339f: 10f8.*
+ 133a0: 2536.*
+ 133a1: 11f8.*
+ 133a2: 2537.*
+ 133a3: 6ff8.*
+ 133a4: 2538.*
+ 133a5: 0c50.*
+ 133a6: 6ff8.*
+ 133a7: 2539.*
+ 133a8: 0d50.*
+ 133a9: 6ff8.*
+ 133aa: 253a.*
+ 133ab: 0c51.*
+ 133ac: 6ff8.*
+ 133ad: 253b.*
+ 133ae: 0d51.*
+ 133af: 6ff8.*
+ 133b0: 253c.*
+ 133b1: 0c52.*
+ 133b2: 6ff8.*
+ 133b3: 253d.*
+ 133b4: 0d52.*
+ 133b5: 6ff8.*
+ 133b6: 253e.*
+ 133b7: 0c53.*
+ 133b8: 6ff8.*
+ 133b9: 253f.*
+ 133ba: 0d53.*
+ 133bb: 6ff8.*
+ 133bc: 2540.*
+ 133bd: 0c54.*
+ 133be: 6ff8.*
+ 133bf: 2541.*
+ 133c0: 0d54.*
+ 133c1: 6ff8.*
+ 133c2: 2542.*
+ 133c3: 0c55.*
+ 133c4: 6ff8.*
+ 133c5: 2543.*
+ 133c6: 0d55.*
+ 133c7: 6ff8.*
+ 133c8: 2544.*
+ 133c9: 0c56.*
+ 133ca: 6ff8.*
+ 133cb: 2545.*
+ 133cc: 0d56.*
+ 133cd: 6ff8.*
+ 133ce: 2546.*
+ 133cf: 0c57.*
+ 133d0: 6ff8.*
+ 133d1: 2547.*
+ 133d2: 0d57.*
+ 133d3: 6ff8.*
+ 133d4: 2548.*
+ 133d5: 0c58.*
+ 133d6: 6ff8.*
+ 133d7: 2549.*
+ 133d8: 0d58.*
+ 133d9: 6ff8.*
+ 133da: 254a.*
+ 133db: 0c59.*
+ 133dc: 6ff8.*
+ 133dd: 254b.*
+ 133de: 0d59.*
+ 133df: 6ff8.*
+ 133e0: 254c.*
+ 133e1: 0c5a.*
+ 133e2: 6ff8.*
+ 133e3: 254d.*
+ 133e4: 0d5a.*
+ 133e5: 6ff8.*
+ 133e6: 254e.*
+ 133e7: 0c5b.*
+ 133e8: 6ff8.*
+ 133e9: 254f.*
+ 133ea: 0d5b.*
+ 133eb: 6ff8.*
+ 133ec: 2550.*
+ 133ed: 0c5c.*
+ 133ee: 6ff8.*
+ 133ef: 2551.*
+ 133f0: 0d5c.*
+ 133f1: 6ff8.*
+ 133f2: 2552.*
+ 133f3: 0c5d.*
+ 133f4: 6ff8.*
+ 133f5: 2553.*
+ 133f6: 0d5d.*
+ 133f7: 6ff8.*
+ 133f8: 2554.*
+ 133f9: 0c5e.*
+ 133fa: 6ff8.*
+ 133fb: 2555.*
+ 133fc: 0d5e.*
+ 133fd: 6ff8.*
+ 133fe: 2556.*
+ 133ff: 0c5f.*
+ 13400: 6ff8.*
+ 13401: 2557.*
+ 13402: 0d5f.*
+ 13403: 10f8.*
+ 13404: 2558.*
+ 13405: 11f8.*
+ 13406: 2559.*
+ 13407: 6ff8.*
+ 13408: 255a.*
+ 13409: 0c41.*
+ 1340a: 6ff8.*
+ 1340b: 255b.*
+ 1340c: 0d41.*
+ 1340d: 6ff8.*
+ 1340e: 255c.*
+ 1340f: 0c42.*
+ 13410: 6ff8.*
+ 13411: 255d.*
+ 13412: 0d42.*
+ 13413: 6ff8.*
+ 13414: 255e.*
+ 13415: 0c43.*
+ 13416: 6ff8.*
+ 13417: 255f.*
+ 13418: 0d43.*
+ 13419: 6ff8.*
+ 1341a: 2560.*
+ 1341b: 0c44.*
+ 1341c: 6ff8.*
+ 1341d: 2561.*
+ 1341e: 0d44.*
+ 1341f: 6ff8.*
+ 13420: 2562.*
+ 13421: 0c45.*
+ 13422: 6ff8.*
+ 13423: 2563.*
+ 13424: 0d45.*
+ 13425: 6ff8.*
+ 13426: 2564.*
+ 13427: 0c46.*
+ 13428: 6ff8.*
+ 13429: 2565.*
+ 1342a: 0d46.*
+ 1342b: 6ff8.*
+ 1342c: 2566.*
+ 1342d: 0c47.*
+ 1342e: 6ff8.*
+ 1342f: 2567.*
+ 13430: 0d47.*
+ 13431: 6ff8.*
+ 13432: 2568.*
+ 13433: 0c48.*
+ 13434: 6ff8.*
+ 13435: 2569.*
+ 13436: 0d48.*
+ 13437: 6ff8.*
+ 13438: 256a.*
+ 13439: 0c49.*
+ 1343a: 6ff8.*
+ 1343b: 256b.*
+ 1343c: 0d49.*
+ 1343d: 6ff8.*
+ 1343e: 256c.*
+ 1343f: 0c4a.*
+ 13440: 6ff8.*
+ 13441: 256d.*
+ 13442: 0d4a.*
+ 13443: 6ff8.*
+ 13444: 256e.*
+ 13445: 0c4b.*
+ 13446: 6ff8.*
+ 13447: 256f.*
+ 13448: 0d4b.*
+ 13449: 6ff8.*
+ 1344a: 2570.*
+ 1344b: 0c4c.*
+ 1344c: 6ff8.*
+ 1344d: 2571.*
+ 1344e: 0d4c.*
+ 1344f: 6ff8.*
+ 13450: 2572.*
+ 13451: 0c4d.*
+ 13452: 6ff8.*
+ 13453: 2573.*
+ 13454: 0d4d.*
+ 13455: 6ff8.*
+ 13456: 2574.*
+ 13457: 0c4e.*
+ 13458: 6ff8.*
+ 13459: 2575.*
+ 1345a: 0d4e.*
+ 1345b: 6ff8.*
+ 1345c: 2576.*
+ 1345d: 0c4f.*
+ 1345e: 6ff8.*
+ 1345f: 2577.*
+ 13460: 0d4f.*
+ 13461: 1083.*
+ 13462: 1183.*
+ 13463: 6f83.*
+ 13464: 0c50.*
+ 13465: 6f83.*
+ 13466: 0d50.*
+ 13467: 6f83.*
+ 13468: 0c51.*
+ 13469: 6f83.*
+ 1346a: 0d51.*
+ 1346b: 6f83.*
+ 1346c: 0c52.*
+ 1346d: 6f83.*
+ 1346e: 0d52.*
+ 1346f: 6f83.*
+ 13470: 0c53.*
+ 13471: 6f83.*
+ 13472: 0d53.*
+ 13473: 6f83.*
+ 13474: 0c54.*
+ 13475: 6f83.*
+ 13476: 0d54.*
+ 13477: 6f83.*
+ 13478: 0c55.*
+ 13479: 6f83.*
+ 1347a: 0d55.*
+ 1347b: 6f83.*
+ 1347c: 0c56.*
+ 1347d: 6f83.*
+ 1347e: 0d56.*
+ 1347f: 6f83.*
+ 13480: 0c57.*
+ 13481: 6f83.*
+ 13482: 0d57.*
+ 13483: 6f83.*
+ 13484: 0c58.*
+ 13485: 6f83.*
+ 13486: 0d58.*
+ 13487: 6f83.*
+ 13488: 0c59.*
+ 13489: 6f83.*
+ 1348a: 0d59.*
+ 1348b: 6f83.*
+ 1348c: 0c5a.*
+ 1348d: 6f83.*
+ 1348e: 0d5a.*
+ 1348f: 6f83.*
+ 13490: 0c5b.*
+ 13491: 6f83.*
+ 13492: 0d5b.*
+ 13493: 6f83.*
+ 13494: 0c5c.*
+ 13495: 6f83.*
+ 13496: 0d5c.*
+ 13497: 6f83.*
+ 13498: 0c5d.*
+ 13499: 6f83.*
+ 1349a: 0d5d.*
+ 1349b: 6f83.*
+ 1349c: 0c5e.*
+ 1349d: 6f83.*
+ 1349e: 0d5e.*
+ 1349f: 6f83.*
+ 134a0: 0c5f.*
+ 134a1: 6f83.*
+ 134a2: 0d5f.*
+ 134a3: 1083.*
+ 134a4: 1183.*
+ 134a5: 9411.*
+ 134a6: 9511.*
+ 134a7: 9412.*
+ 134a8: 9512.*
+ 134a9: 9413.*
+ 134aa: 9513.*
+ 134ab: 9414.*
+ 134ac: 9514.*
+ 134ad: 9415.*
+ 134ae: 9515.*
+ 134af: 9416.*
+ 134b0: 9516.*
+ 134b1: 9417.*
+ 134b2: 9517.*
+ 134b3: 9418.*
+ 134b4: 9518.*
+ 134b5: 9419.*
+ 134b6: 9519.*
+ 134b7: 941a.*
+ 134b8: 951a.*
+ 134b9: 941b.*
+ 134ba: 951b.*
+ 134bb: 941c.*
+ 134bc: 951c.*
+ 134bd: 941d.*
+ 134be: 951d.*
+ 134bf: 941e.*
+ 134c0: 951e.*
+ 134c1: 941f.*
+ 134c2: 951f.*
+ 134c3: 108b.*
+ 134c4: 118b.*
+ 134c5: 6f8b.*
+ 134c6: 0c50.*
+ 134c7: 6f8b.*
+ 134c8: 0d50.*
+ 134c9: 6f8b.*
+ 134ca: 0c51.*
+ 134cb: 6f8b.*
+ 134cc: 0d51.*
+ 134cd: 6f8b.*
+ 134ce: 0c52.*
+ 134cf: 6f8b.*
+ 134d0: 0d52.*
+ 134d1: 6f8b.*
+ 134d2: 0c53.*
+ 134d3: 6f8b.*
+ 134d4: 0d53.*
+ 134d5: 6f8b.*
+ 134d6: 0c54.*
+ 134d7: 6f8b.*
+ 134d8: 0d54.*
+ 134d9: 6f8b.*
+ 134da: 0c55.*
+ 134db: 6f8b.*
+ 134dc: 0d55.*
+ 134dd: 6f8b.*
+ 134de: 0c56.*
+ 134df: 6f8b.*
+ 134e0: 0d56.*
+ 134e1: 6f8b.*
+ 134e2: 0c57.*
+ 134e3: 6f8b.*
+ 134e4: 0d57.*
+ 134e5: 6f8b.*
+ 134e6: 0c58.*
+ 134e7: 6f8b.*
+ 134e8: 0d58.*
+ 134e9: 6f8b.*
+ 134ea: 0c59.*
+ 134eb: 6f8b.*
+ 134ec: 0d59.*
+ 134ed: 6f8b.*
+ 134ee: 0c5a.*
+ 134ef: 6f8b.*
+ 134f0: 0d5a.*
+ 134f1: 6f8b.*
+ 134f2: 0c5b.*
+ 134f3: 6f8b.*
+ 134f4: 0d5b.*
+ 134f5: 6f8b.*
+ 134f6: 0c5c.*
+ 134f7: 6f8b.*
+ 134f8: 0d5c.*
+ 134f9: 6f8b.*
+ 134fa: 0c5d.*
+ 134fb: 6f8b.*
+ 134fc: 0d5d.*
+ 134fd: 6f8b.*
+ 134fe: 0c5e.*
+ 134ff: 6f8b.*
+ 13500: 0d5e.*
+ 13501: 6f8b.*
+ 13502: 0c5f.*
+ 13503: 6f8b.*
+ 13504: 0d5f.*
+ 13505: 108b.*
+ 13506: 118b.*
+ 13507: 9451.*
+ 13508: 9551.*
+ 13509: 9452.*
+ 1350a: 9552.*
+ 1350b: 9453.*
+ 1350c: 9553.*
+ 1350d: 9454.*
+ 1350e: 9554.*
+ 1350f: 9455.*
+ 13510: 9555.*
+ 13511: 9456.*
+ 13512: 9556.*
+ 13513: 9457.*
+ 13514: 9557.*
+ 13515: 9458.*
+ 13516: 9558.*
+ 13517: 9459.*
+ 13518: 9559.*
+ 13519: 945a.*
+ 1351a: 955a.*
+ 1351b: 945b.*
+ 1351c: 955b.*
+ 1351d: 945c.*
+ 1351e: 955c.*
+ 1351f: 945d.*
+ 13520: 955d.*
+ 13521: 945e.*
+ 13522: 955e.*
+ 13523: 945f.*
+ 13524: 955f.*
+ 13525: 1093.*
+ 13526: 1193.*
+ 13527: 6f93.*
+ 13528: 0c50.*
+ 13529: 6f93.*
+ 1352a: 0d50.*
+ 1352b: 6f93.*
+ 1352c: 0c51.*
+ 1352d: 6f93.*
+ 1352e: 0d51.*
+ 1352f: 6f93.*
+ 13530: 0c52.*
+ 13531: 6f93.*
+ 13532: 0d52.*
+ 13533: 6f93.*
+ 13534: 0c53.*
+ 13535: 6f93.*
+ 13536: 0d53.*
+ 13537: 6f93.*
+ 13538: 0c54.*
+ 13539: 6f93.*
+ 1353a: 0d54.*
+ 1353b: 6f93.*
+ 1353c: 0c55.*
+ 1353d: 6f93.*
+ 1353e: 0d55.*
+ 1353f: 6f93.*
+ 13540: 0c56.*
+ 13541: 6f93.*
+ 13542: 0d56.*
+ 13543: 6f93.*
+ 13544: 0c57.*
+ 13545: 6f93.*
+ 13546: 0d57.*
+ 13547: 6f93.*
+ 13548: 0c58.*
+ 13549: 6f93.*
+ 1354a: 0d58.*
+ 1354b: 6f93.*
+ 1354c: 0c59.*
+ 1354d: 6f93.*
+ 1354e: 0d59.*
+ 1354f: 6f93.*
+ 13550: 0c5a.*
+ 13551: 6f93.*
+ 13552: 0d5a.*
+ 13553: 6f93.*
+ 13554: 0c5b.*
+ 13555: 6f93.*
+ 13556: 0d5b.*
+ 13557: 6f93.*
+ 13558: 0c5c.*
+ 13559: 6f93.*
+ 1355a: 0d5c.*
+ 1355b: 6f93.*
+ 1355c: 0c5d.*
+ 1355d: 6f93.*
+ 1355e: 0d5d.*
+ 1355f: 6f93.*
+ 13560: 0c5e.*
+ 13561: 6f93.*
+ 13562: 0d5e.*
+ 13563: 6f93.*
+ 13564: 0c5f.*
+ 13565: 6f93.*
+ 13566: 0d5f.*
+ 13567: 1093.*
+ 13568: 1193.*
+ 13569: 9491.*
+ 1356a: 9591.*
+ 1356b: 9492.*
+ 1356c: 9592.*
+ 1356d: 9493.*
+ 1356e: 9593.*
+ 1356f: 9494.*
+ 13570: 9594.*
+ 13571: 9495.*
+ 13572: 9595.*
+ 13573: 9496.*
+ 13574: 9596.*
+ 13575: 9497.*
+ 13576: 9597.*
+ 13577: 9498.*
+ 13578: 9598.*
+ 13579: 9499.*
+ 1357a: 9599.*
+ 1357b: 949a.*
+ 1357c: 959a.*
+ 1357d: 949b.*
+ 1357e: 959b.*
+ 1357f: 949c.*
+ 13580: 959c.*
+ 13581: 949d.*
+ 13582: 959d.*
+ 13583: 949e.*
+ 13584: 959e.*
+ 13585: 949f.*
+ 13586: 959f.*
+ 13587: 10a3.*
+ 13588: 11a3.*
+ 13589: 6fa3.*
+ 1358a: 0c50.*
+ 1358b: 6fa3.*
+ 1358c: 0d50.*
+ 1358d: 6fa3.*
+ 1358e: 0c51.*
+ 1358f: 6fa3.*
+ 13590: 0d51.*
+ 13591: 6fa3.*
+ 13592: 0c52.*
+ 13593: 6fa3.*
+ 13594: 0d52.*
+ 13595: 6fa3.*
+ 13596: 0c53.*
+ 13597: 6fa3.*
+ 13598: 0d53.*
+ 13599: 6fa3.*
+ 1359a: 0c54.*
+ 1359b: 6fa3.*
+ 1359c: 0d54.*
+ 1359d: 6fa3.*
+ 1359e: 0c55.*
+ 1359f: 6fa3.*
+ 135a0: 0d55.*
+ 135a1: 6fa3.*
+ 135a2: 0c56.*
+ 135a3: 6fa3.*
+ 135a4: 0d56.*
+ 135a5: 6fa3.*
+ 135a6: 0c57.*
+ 135a7: 6fa3.*
+ 135a8: 0d57.*
+ 135a9: 6fa3.*
+ 135aa: 0c58.*
+ 135ab: 6fa3.*
+ 135ac: 0d58.*
+ 135ad: 6fa3.*
+ 135ae: 0c59.*
+ 135af: 6fa3.*
+ 135b0: 0d59.*
+ 135b1: 6fa3.*
+ 135b2: 0c5a.*
+ 135b3: 6fa3.*
+ 135b4: 0d5a.*
+ 135b5: 6fa3.*
+ 135b6: 0c5b.*
+ 135b7: 6fa3.*
+ 135b8: 0d5b.*
+ 135b9: 6fa3.*
+ 135ba: 0c5c.*
+ 135bb: 6fa3.*
+ 135bc: 0d5c.*
+ 135bd: 6fa3.*
+ 135be: 0c5d.*
+ 135bf: 6fa3.*
+ 135c0: 0d5d.*
+ 135c1: 6fa3.*
+ 135c2: 0c5e.*
+ 135c3: 6fa3.*
+ 135c4: 0d5e.*
+ 135c5: 6fa3.*
+ 135c6: 0c5f.*
+ 135c7: 6fa3.*
+ 135c8: 0d5f.*
+ 135c9: 10a3.*
+ 135ca: 11a3.*
+ 135cb: 6fa3.*
+ 135cc: 0c41.*
+ 135cd: 6fa3.*
+ 135ce: 0d41.*
+ 135cf: 6fa3.*
+ 135d0: 0c42.*
+ 135d1: 6fa3.*
+ 135d2: 0d42.*
+ 135d3: 6fa3.*
+ 135d4: 0c43.*
+ 135d5: 6fa3.*
+ 135d6: 0d43.*
+ 135d7: 6fa3.*
+ 135d8: 0c44.*
+ 135d9: 6fa3.*
+ 135da: 0d44.*
+ 135db: 6fa3.*
+ 135dc: 0c45.*
+ 135dd: 6fa3.*
+ 135de: 0d45.*
+ 135df: 6fa3.*
+ 135e0: 0c46.*
+ 135e1: 6fa3.*
+ 135e2: 0d46.*
+ 135e3: 6fa3.*
+ 135e4: 0c47.*
+ 135e5: 6fa3.*
+ 135e6: 0d47.*
+ 135e7: 6fa3.*
+ 135e8: 0c48.*
+ 135e9: 6fa3.*
+ 135ea: 0d48.*
+ 135eb: 6fa3.*
+ 135ec: 0c49.*
+ 135ed: 6fa3.*
+ 135ee: 0d49.*
+ 135ef: 6fa3.*
+ 135f0: 0c4a.*
+ 135f1: 6fa3.*
+ 135f2: 0d4a.*
+ 135f3: 6fa3.*
+ 135f4: 0c4b.*
+ 135f5: 6fa3.*
+ 135f6: 0d4b.*
+ 135f7: 6fa3.*
+ 135f8: 0c4c.*
+ 135f9: 6fa3.*
+ 135fa: 0d4c.*
+ 135fb: 6fa3.*
+ 135fc: 0c4d.*
+ 135fd: 6fa3.*
+ 135fe: 0d4d.*
+ 135ff: 6fa3.*
+ 13600: 0c4e.*
+ 13601: 6fa3.*
+ 13602: 0d4e.*
+ 13603: 6fa3.*
+ 13604: 0c4f.*
+ 13605: 6fa3.*
+ 13606: 0d4f.*
+ 13607: 10ab.*
+ 13608: 11ab.*
+ 13609: 6fab.*
+ 1360a: 0c50.*
+ 1360b: 6fab.*
+ 1360c: 0d50.*
+ 1360d: 6fab.*
+ 1360e: 0c51.*
+ 1360f: 6fab.*
+ 13610: 0d51.*
+ 13611: 6fab.*
+ 13612: 0c52.*
+ 13613: 6fab.*
+ 13614: 0d52.*
+ 13615: 6fab.*
+ 13616: 0c53.*
+ 13617: 6fab.*
+ 13618: 0d53.*
+ 13619: 6fab.*
+ 1361a: 0c54.*
+ 1361b: 6fab.*
+ 1361c: 0d54.*
+ 1361d: 6fab.*
+ 1361e: 0c55.*
+ 1361f: 6fab.*
+ 13620: 0d55.*
+ 13621: 6fab.*
+ 13622: 0c56.*
+ 13623: 6fab.*
+ 13624: 0d56.*
+ 13625: 6fab.*
+ 13626: 0c57.*
+ 13627: 6fab.*
+ 13628: 0d57.*
+ 13629: 6fab.*
+ 1362a: 0c58.*
+ 1362b: 6fab.*
+ 1362c: 0d58.*
+ 1362d: 6fab.*
+ 1362e: 0c59.*
+ 1362f: 6fab.*
+ 13630: 0d59.*
+ 13631: 6fab.*
+ 13632: 0c5a.*
+ 13633: 6fab.*
+ 13634: 0d5a.*
+ 13635: 6fab.*
+ 13636: 0c5b.*
+ 13637: 6fab.*
+ 13638: 0d5b.*
+ 13639: 6fab.*
+ 1363a: 0c5c.*
+ 1363b: 6fab.*
+ 1363c: 0d5c.*
+ 1363d: 6fab.*
+ 1363e: 0c5d.*
+ 1363f: 6fab.*
+ 13640: 0d5d.*
+ 13641: 6fab.*
+ 13642: 0c5e.*
+ 13643: 6fab.*
+ 13644: 0d5e.*
+ 13645: 6fab.*
+ 13646: 0c5f.*
+ 13647: 6fab.*
+ 13648: 0d5f.*
+ 13649: 10ab.*
+ 1364a: 11ab.*
+ 1364b: 6fab.*
+ 1364c: 0c41.*
+ 1364d: 6fab.*
+ 1364e: 0d41.*
+ 1364f: 6fab.*
+ 13650: 0c42.*
+ 13651: 6fab.*
+ 13652: 0d42.*
+ 13653: 6fab.*
+ 13654: 0c43.*
+ 13655: 6fab.*
+ 13656: 0d43.*
+ 13657: 6fab.*
+ 13658: 0c44.*
+ 13659: 6fab.*
+ 1365a: 0d44.*
+ 1365b: 6fab.*
+ 1365c: 0c45.*
+ 1365d: 6fab.*
+ 1365e: 0d45.*
+ 1365f: 6fab.*
+ 13660: 0c46.*
+ 13661: 6fab.*
+ 13662: 0d46.*
+ 13663: 6fab.*
+ 13664: 0c47.*
+ 13665: 6fab.*
+ 13666: 0d47.*
+ 13667: 6fab.*
+ 13668: 0c48.*
+ 13669: 6fab.*
+ 1366a: 0d48.*
+ 1366b: 6fab.*
+ 1366c: 0c49.*
+ 1366d: 6fab.*
+ 1366e: 0d49.*
+ 1366f: 6fab.*
+ 13670: 0c4a.*
+ 13671: 6fab.*
+ 13672: 0d4a.*
+ 13673: 6fab.*
+ 13674: 0c4b.*
+ 13675: 6fab.*
+ 13676: 0d4b.*
+ 13677: 6fab.*
+ 13678: 0c4c.*
+ 13679: 6fab.*
+ 1367a: 0d4c.*
+ 1367b: 6fab.*
+ 1367c: 0c4d.*
+ 1367d: 6fab.*
+ 1367e: 0d4d.*
+ 1367f: 6fab.*
+ 13680: 0c4e.*
+ 13681: 6fab.*
+ 13682: 0d4e.*
+ 13683: 6fab.*
+ 13684: 0c4f.*
+ 13685: 6fab.*
+ 13686: 0d4f.*
+ 13687: 10b3.*
+ 13688: 11b3.*
+ 13689: 6fb3.*
+ 1368a: 0c50.*
+ 1368b: 6fb3.*
+ 1368c: 0d50.*
+ 1368d: 6fb3.*
+ 1368e: 0c51.*
+ 1368f: 6fb3.*
+ 13690: 0d51.*
+ 13691: 6fb3.*
+ 13692: 0c52.*
+ 13693: 6fb3.*
+ 13694: 0d52.*
+ 13695: 6fb3.*
+ 13696: 0c53.*
+ 13697: 6fb3.*
+ 13698: 0d53.*
+ 13699: 6fb3.*
+ 1369a: 0c54.*
+ 1369b: 6fb3.*
+ 1369c: 0d54.*
+ 1369d: 6fb3.*
+ 1369e: 0c55.*
+ 1369f: 6fb3.*
+ 136a0: 0d55.*
+ 136a1: 6fb3.*
+ 136a2: 0c56.*
+ 136a3: 6fb3.*
+ 136a4: 0d56.*
+ 136a5: 6fb3.*
+ 136a6: 0c57.*
+ 136a7: 6fb3.*
+ 136a8: 0d57.*
+ 136a9: 6fb3.*
+ 136aa: 0c58.*
+ 136ab: 6fb3.*
+ 136ac: 0d58.*
+ 136ad: 6fb3.*
+ 136ae: 0c59.*
+ 136af: 6fb3.*
+ 136b0: 0d59.*
+ 136b1: 6fb3.*
+ 136b2: 0c5a.*
+ 136b3: 6fb3.*
+ 136b4: 0d5a.*
+ 136b5: 6fb3.*
+ 136b6: 0c5b.*
+ 136b7: 6fb3.*
+ 136b8: 0d5b.*
+ 136b9: 6fb3.*
+ 136ba: 0c5c.*
+ 136bb: 6fb3.*
+ 136bc: 0d5c.*
+ 136bd: 6fb3.*
+ 136be: 0c5d.*
+ 136bf: 6fb3.*
+ 136c0: 0d5d.*
+ 136c1: 6fb3.*
+ 136c2: 0c5e.*
+ 136c3: 6fb3.*
+ 136c4: 0d5e.*
+ 136c5: 6fb3.*
+ 136c6: 0c5f.*
+ 136c7: 6fb3.*
+ 136c8: 0d5f.*
+ 136c9: 10b3.*
+ 136ca: 11b3.*
+ 136cb: 6fb3.*
+ 136cc: 0c41.*
+ 136cd: 6fb3.*
+ 136ce: 0d41.*
+ 136cf: 6fb3.*
+ 136d0: 0c42.*
+ 136d1: 6fb3.*
+ 136d2: 0d42.*
+ 136d3: 6fb3.*
+ 136d4: 0c43.*
+ 136d5: 6fb3.*
+ 136d6: 0d43.*
+ 136d7: 6fb3.*
+ 136d8: 0c44.*
+ 136d9: 6fb3.*
+ 136da: 0d44.*
+ 136db: 6fb3.*
+ 136dc: 0c45.*
+ 136dd: 6fb3.*
+ 136de: 0d45.*
+ 136df: 6fb3.*
+ 136e0: 0c46.*
+ 136e1: 6fb3.*
+ 136e2: 0d46.*
+ 136e3: 6fb3.*
+ 136e4: 0c47.*
+ 136e5: 6fb3.*
+ 136e6: 0d47.*
+ 136e7: 6fb3.*
+ 136e8: 0c48.*
+ 136e9: 6fb3.*
+ 136ea: 0d48.*
+ 136eb: 6fb3.*
+ 136ec: 0c49.*
+ 136ed: 6fb3.*
+ 136ee: 0d49.*
+ 136ef: 6fb3.*
+ 136f0: 0c4a.*
+ 136f1: 6fb3.*
+ 136f2: 0d4a.*
+ 136f3: 6fb3.*
+ 136f4: 0c4b.*
+ 136f5: 6fb3.*
+ 136f6: 0d4b.*
+ 136f7: 6fb3.*
+ 136f8: 0c4c.*
+ 136f9: 6fb3.*
+ 136fa: 0d4c.*
+ 136fb: 6fb3.*
+ 136fc: 0c4d.*
+ 136fd: 6fb3.*
+ 136fe: 0d4d.*
+ 136ff: 6fb3.*
+ 13700: 0c4e.*
+ 13701: 6fb3.*
+ 13702: 0d4e.*
+ 13703: 6fb3.*
+ 13704: 0c4f.*
+ 13705: 6fb3.*
+ 13706: 0d4f.*
+ 13707: 10bb.*
+ 13708: 11bb.*
+ 13709: 6fbb.*
+ 1370a: 0c50.*
+ 1370b: 6fbb.*
+ 1370c: 0d50.*
+ 1370d: 6fbb.*
+ 1370e: 0c51.*
+ 1370f: 6fbb.*
+ 13710: 0d51.*
+ 13711: 6fbb.*
+ 13712: 0c52.*
+ 13713: 6fbb.*
+ 13714: 0d52.*
+ 13715: 6fbb.*
+ 13716: 0c53.*
+ 13717: 6fbb.*
+ 13718: 0d53.*
+ 13719: 6fbb.*
+ 1371a: 0c54.*
+ 1371b: 6fbb.*
+ 1371c: 0d54.*
+ 1371d: 6fbb.*
+ 1371e: 0c55.*
+ 1371f: 6fbb.*
+ 13720: 0d55.*
+ 13721: 6fbb.*
+ 13722: 0c56.*
+ 13723: 6fbb.*
+ 13724: 0d56.*
+ 13725: 6fbb.*
+ 13726: 0c57.*
+ 13727: 6fbb.*
+ 13728: 0d57.*
+ 13729: 6fbb.*
+ 1372a: 0c58.*
+ 1372b: 6fbb.*
+ 1372c: 0d58.*
+ 1372d: 6fbb.*
+ 1372e: 0c59.*
+ 1372f: 6fbb.*
+ 13730: 0d59.*
+ 13731: 6fbb.*
+ 13732: 0c5a.*
+ 13733: 6fbb.*
+ 13734: 0d5a.*
+ 13735: 6fbb.*
+ 13736: 0c5b.*
+ 13737: 6fbb.*
+ 13738: 0d5b.*
+ 13739: 6fbb.*
+ 1373a: 0c5c.*
+ 1373b: 6fbb.*
+ 1373c: 0d5c.*
+ 1373d: 6fbb.*
+ 1373e: 0c5d.*
+ 1373f: 6fbb.*
+ 13740: 0d5d.*
+ 13741: 6fbb.*
+ 13742: 0c5e.*
+ 13743: 6fbb.*
+ 13744: 0d5e.*
+ 13745: 6fbb.*
+ 13746: 0c5f.*
+ 13747: 6fbb.*
+ 13748: 0d5f.*
+ 13749: 10bb.*
+ 1374a: 11bb.*
+ 1374b: 6fbb.*
+ 1374c: 0c41.*
+ 1374d: 6fbb.*
+ 1374e: 0d41.*
+ 1374f: 6fbb.*
+ 13750: 0c42.*
+ 13751: 6fbb.*
+ 13752: 0d42.*
+ 13753: 6fbb.*
+ 13754: 0c43.*
+ 13755: 6fbb.*
+ 13756: 0d43.*
+ 13757: 6fbb.*
+ 13758: 0c44.*
+ 13759: 6fbb.*
+ 1375a: 0d44.*
+ 1375b: 6fbb.*
+ 1375c: 0c45.*
+ 1375d: 6fbb.*
+ 1375e: 0d45.*
+ 1375f: 6fbb.*
+ 13760: 0c46.*
+ 13761: 6fbb.*
+ 13762: 0d46.*
+ 13763: 6fbb.*
+ 13764: 0c47.*
+ 13765: 6fbb.*
+ 13766: 0d47.*
+ 13767: 6fbb.*
+ 13768: 0c48.*
+ 13769: 6fbb.*
+ 1376a: 0d48.*
+ 1376b: 6fbb.*
+ 1376c: 0c49.*
+ 1376d: 6fbb.*
+ 1376e: 0d49.*
+ 1376f: 6fbb.*
+ 13770: 0c4a.*
+ 13771: 6fbb.*
+ 13772: 0d4a.*
+ 13773: 6fbb.*
+ 13774: 0c4b.*
+ 13775: 6fbb.*
+ 13776: 0d4b.*
+ 13777: 6fbb.*
+ 13778: 0c4c.*
+ 13779: 6fbb.*
+ 1377a: 0d4c.*
+ 1377b: 6fbb.*
+ 1377c: 0c4d.*
+ 1377d: 6fbb.*
+ 1377e: 0d4d.*
+ 1377f: 6fbb.*
+ 13780: 0c4e.*
+ 13781: 6fbb.*
+ 13782: 0d4e.*
+ 13783: 6fbb.*
+ 13784: 0c4f.*
+ 13785: 6fbb.*
+ 13786: 0d4f.*
+ 13787: 10c3.*
+ 13788: 11c3.*
+ 13789: 6fc3.*
+ 1378a: 0c50.*
+ 1378b: 6fc3.*
+ 1378c: 0d50.*
+ 1378d: 6fc3.*
+ 1378e: 0c51.*
+ 1378f: 6fc3.*
+ 13790: 0d51.*
+ 13791: 6fc3.*
+ 13792: 0c52.*
+ 13793: 6fc3.*
+ 13794: 0d52.*
+ 13795: 6fc3.*
+ 13796: 0c53.*
+ 13797: 6fc3.*
+ 13798: 0d53.*
+ 13799: 6fc3.*
+ 1379a: 0c54.*
+ 1379b: 6fc3.*
+ 1379c: 0d54.*
+ 1379d: 6fc3.*
+ 1379e: 0c55.*
+ 1379f: 6fc3.*
+ 137a0: 0d55.*
+ 137a1: 6fc3.*
+ 137a2: 0c56.*
+ 137a3: 6fc3.*
+ 137a4: 0d56.*
+ 137a5: 6fc3.*
+ 137a6: 0c57.*
+ 137a7: 6fc3.*
+ 137a8: 0d57.*
+ 137a9: 6fc3.*
+ 137aa: 0c58.*
+ 137ab: 6fc3.*
+ 137ac: 0d58.*
+ 137ad: 6fc3.*
+ 137ae: 0c59.*
+ 137af: 6fc3.*
+ 137b0: 0d59.*
+ 137b1: 6fc3.*
+ 137b2: 0c5a.*
+ 137b3: 6fc3.*
+ 137b4: 0d5a.*
+ 137b5: 6fc3.*
+ 137b6: 0c5b.*
+ 137b7: 6fc3.*
+ 137b8: 0d5b.*
+ 137b9: 6fc3.*
+ 137ba: 0c5c.*
+ 137bb: 6fc3.*
+ 137bc: 0d5c.*
+ 137bd: 6fc3.*
+ 137be: 0c5d.*
+ 137bf: 6fc3.*
+ 137c0: 0d5d.*
+ 137c1: 6fc3.*
+ 137c2: 0c5e.*
+ 137c3: 6fc3.*
+ 137c4: 0d5e.*
+ 137c5: 6fc3.*
+ 137c6: 0c5f.*
+ 137c7: 6fc3.*
+ 137c8: 0d5f.*
+ 137c9: 10c3.*
+ 137ca: 11c3.*
+ 137cb: 6fc3.*
+ 137cc: 0c41.*
+ 137cd: 6fc3.*
+ 137ce: 0d41.*
+ 137cf: 6fc3.*
+ 137d0: 0c42.*
+ 137d1: 6fc3.*
+ 137d2: 0d42.*
+ 137d3: 6fc3.*
+ 137d4: 0c43.*
+ 137d5: 6fc3.*
+ 137d6: 0d43.*
+ 137d7: 6fc3.*
+ 137d8: 0c44.*
+ 137d9: 6fc3.*
+ 137da: 0d44.*
+ 137db: 6fc3.*
+ 137dc: 0c45.*
+ 137dd: 6fc3.*
+ 137de: 0d45.*
+ 137df: 6fc3.*
+ 137e0: 0c46.*
+ 137e1: 6fc3.*
+ 137e2: 0d46.*
+ 137e3: 6fc3.*
+ 137e4: 0c47.*
+ 137e5: 6fc3.*
+ 137e6: 0d47.*
+ 137e7: 6fc3.*
+ 137e8: 0c48.*
+ 137e9: 6fc3.*
+ 137ea: 0d48.*
+ 137eb: 6fc3.*
+ 137ec: 0c49.*
+ 137ed: 6fc3.*
+ 137ee: 0d49.*
+ 137ef: 6fc3.*
+ 137f0: 0c4a.*
+ 137f1: 6fc3.*
+ 137f2: 0d4a.*
+ 137f3: 6fc3.*
+ 137f4: 0c4b.*
+ 137f5: 6fc3.*
+ 137f6: 0d4b.*
+ 137f7: 6fc3.*
+ 137f8: 0c4c.*
+ 137f9: 6fc3.*
+ 137fa: 0d4c.*
+ 137fb: 6fc3.*
+ 137fc: 0c4d.*
+ 137fd: 6fc3.*
+ 137fe: 0d4d.*
+ 137ff: 6fc3.*
+ 13800: 0c4e.*
+ 13801: 6fc3.*
+ 13802: 0d4e.*
+ 13803: 6fc3.*
+ 13804: 0c4f.*
+ 13805: 6fc3.*
+ 13806: 0d4f.*
+ 13807: 10cb.*
+ 13808: 11cb.*
+ 13809: 6fcb.*
+ 1380a: 0c50.*
+ 1380b: 6fcb.*
+ 1380c: 0d50.*
+ 1380d: 6fcb.*
+ 1380e: 0c51.*
+ 1380f: 6fcb.*
+ 13810: 0d51.*
+ 13811: 6fcb.*
+ 13812: 0c52.*
+ 13813: 6fcb.*
+ 13814: 0d52.*
+ 13815: 6fcb.*
+ 13816: 0c53.*
+ 13817: 6fcb.*
+ 13818: 0d53.*
+ 13819: 6fcb.*
+ 1381a: 0c54.*
+ 1381b: 6fcb.*
+ 1381c: 0d54.*
+ 1381d: 6fcb.*
+ 1381e: 0c55.*
+ 1381f: 6fcb.*
+ 13820: 0d55.*
+ 13821: 6fcb.*
+ 13822: 0c56.*
+ 13823: 6fcb.*
+ 13824: 0d56.*
+ 13825: 6fcb.*
+ 13826: 0c57.*
+ 13827: 6fcb.*
+ 13828: 0d57.*
+ 13829: 6fcb.*
+ 1382a: 0c58.*
+ 1382b: 6fcb.*
+ 1382c: 0d58.*
+ 1382d: 6fcb.*
+ 1382e: 0c59.*
+ 1382f: 6fcb.*
+ 13830: 0d59.*
+ 13831: 6fcb.*
+ 13832: 0c5a.*
+ 13833: 6fcb.*
+ 13834: 0d5a.*
+ 13835: 6fcb.*
+ 13836: 0c5b.*
+ 13837: 6fcb.*
+ 13838: 0d5b.*
+ 13839: 6fcb.*
+ 1383a: 0c5c.*
+ 1383b: 6fcb.*
+ 1383c: 0d5c.*
+ 1383d: 6fcb.*
+ 1383e: 0c5d.*
+ 1383f: 6fcb.*
+ 13840: 0d5d.*
+ 13841: 6fcb.*
+ 13842: 0c5e.*
+ 13843: 6fcb.*
+ 13844: 0d5e.*
+ 13845: 6fcb.*
+ 13846: 0c5f.*
+ 13847: 6fcb.*
+ 13848: 0d5f.*
+ 13849: 10cb.*
+ 1384a: 11cb.*
+ 1384b: 6fcb.*
+ 1384c: 0c41.*
+ 1384d: 6fcb.*
+ 1384e: 0d41.*
+ 1384f: 6fcb.*
+ 13850: 0c42.*
+ 13851: 6fcb.*
+ 13852: 0d42.*
+ 13853: 6fcb.*
+ 13854: 0c43.*
+ 13855: 6fcb.*
+ 13856: 0d43.*
+ 13857: 6fcb.*
+ 13858: 0c44.*
+ 13859: 6fcb.*
+ 1385a: 0d44.*
+ 1385b: 6fcb.*
+ 1385c: 0c45.*
+ 1385d: 6fcb.*
+ 1385e: 0d45.*
+ 1385f: 6fcb.*
+ 13860: 0c46.*
+ 13861: 6fcb.*
+ 13862: 0d46.*
+ 13863: 6fcb.*
+ 13864: 0c47.*
+ 13865: 6fcb.*
+ 13866: 0d47.*
+ 13867: 6fcb.*
+ 13868: 0c48.*
+ 13869: 6fcb.*
+ 1386a: 0d48.*
+ 1386b: 6fcb.*
+ 1386c: 0c49.*
+ 1386d: 6fcb.*
+ 1386e: 0d49.*
+ 1386f: 6fcb.*
+ 13870: 0c4a.*
+ 13871: 6fcb.*
+ 13872: 0d4a.*
+ 13873: 6fcb.*
+ 13874: 0c4b.*
+ 13875: 6fcb.*
+ 13876: 0d4b.*
+ 13877: 6fcb.*
+ 13878: 0c4c.*
+ 13879: 6fcb.*
+ 1387a: 0d4c.*
+ 1387b: 6fcb.*
+ 1387c: 0c4d.*
+ 1387d: 6fcb.*
+ 1387e: 0d4d.*
+ 1387f: 6fcb.*
+ 13880: 0c4e.*
+ 13881: 6fcb.*
+ 13882: 0d4e.*
+ 13883: 6fcb.*
+ 13884: 0c4f.*
+ 13885: 6fcb.*
+ 13886: 0d4f.*
+ 13887: 10d3.*
+ 13888: 11d3.*
+ 13889: 6fd3.*
+ 1388a: 0c50.*
+ 1388b: 6fd3.*
+ 1388c: 0d50.*
+ 1388d: 6fd3.*
+ 1388e: 0c51.*
+ 1388f: 6fd3.*
+ 13890: 0d51.*
+ 13891: 6fd3.*
+ 13892: 0c52.*
+ 13893: 6fd3.*
+ 13894: 0d52.*
+ 13895: 6fd3.*
+ 13896: 0c53.*
+ 13897: 6fd3.*
+ 13898: 0d53.*
+ 13899: 6fd3.*
+ 1389a: 0c54.*
+ 1389b: 6fd3.*
+ 1389c: 0d54.*
+ 1389d: 6fd3.*
+ 1389e: 0c55.*
+ 1389f: 6fd3.*
+ 138a0: 0d55.*
+ 138a1: 6fd3.*
+ 138a2: 0c56.*
+ 138a3: 6fd3.*
+ 138a4: 0d56.*
+ 138a5: 6fd3.*
+ 138a6: 0c57.*
+ 138a7: 6fd3.*
+ 138a8: 0d57.*
+ 138a9: 6fd3.*
+ 138aa: 0c58.*
+ 138ab: 6fd3.*
+ 138ac: 0d58.*
+ 138ad: 6fd3.*
+ 138ae: 0c59.*
+ 138af: 6fd3.*
+ 138b0: 0d59.*
+ 138b1: 6fd3.*
+ 138b2: 0c5a.*
+ 138b3: 6fd3.*
+ 138b4: 0d5a.*
+ 138b5: 6fd3.*
+ 138b6: 0c5b.*
+ 138b7: 6fd3.*
+ 138b8: 0d5b.*
+ 138b9: 6fd3.*
+ 138ba: 0c5c.*
+ 138bb: 6fd3.*
+ 138bc: 0d5c.*
+ 138bd: 6fd3.*
+ 138be: 0c5d.*
+ 138bf: 6fd3.*
+ 138c0: 0d5d.*
+ 138c1: 6fd3.*
+ 138c2: 0c5e.*
+ 138c3: 6fd3.*
+ 138c4: 0d5e.*
+ 138c5: 6fd3.*
+ 138c6: 0c5f.*
+ 138c7: 6fd3.*
+ 138c8: 0d5f.*
+ 138c9: 10d3.*
+ 138ca: 11d3.*
+ 138cb: 6fd3.*
+ 138cc: 0c41.*
+ 138cd: 6fd3.*
+ 138ce: 0d41.*
+ 138cf: 6fd3.*
+ 138d0: 0c42.*
+ 138d1: 6fd3.*
+ 138d2: 0d42.*
+ 138d3: 6fd3.*
+ 138d4: 0c43.*
+ 138d5: 6fd3.*
+ 138d6: 0d43.*
+ 138d7: 6fd3.*
+ 138d8: 0c44.*
+ 138d9: 6fd3.*
+ 138da: 0d44.*
+ 138db: 6fd3.*
+ 138dc: 0c45.*
+ 138dd: 6fd3.*
+ 138de: 0d45.*
+ 138df: 6fd3.*
+ 138e0: 0c46.*
+ 138e1: 6fd3.*
+ 138e2: 0d46.*
+ 138e3: 6fd3.*
+ 138e4: 0c47.*
+ 138e5: 6fd3.*
+ 138e6: 0d47.*
+ 138e7: 6fd3.*
+ 138e8: 0c48.*
+ 138e9: 6fd3.*
+ 138ea: 0d48.*
+ 138eb: 6fd3.*
+ 138ec: 0c49.*
+ 138ed: 6fd3.*
+ 138ee: 0d49.*
+ 138ef: 6fd3.*
+ 138f0: 0c4a.*
+ 138f1: 6fd3.*
+ 138f2: 0d4a.*
+ 138f3: 6fd3.*
+ 138f4: 0c4b.*
+ 138f5: 6fd3.*
+ 138f6: 0d4b.*
+ 138f7: 6fd3.*
+ 138f8: 0c4c.*
+ 138f9: 6fd3.*
+ 138fa: 0d4c.*
+ 138fb: 6fd3.*
+ 138fc: 0c4d.*
+ 138fd: 6fd3.*
+ 138fe: 0d4d.*
+ 138ff: 6fd3.*
+ 13900: 0c4e.*
+ 13901: 6fd3.*
+ 13902: 0d4e.*
+ 13903: 6fd3.*
+ 13904: 0c4f.*
+ 13905: 6fd3.*
+ 13906: 0d4f.*
+ 13907: 10db.*
+ 13908: 11db.*
+ 13909: 6fdb.*
+ 1390a: 0c50.*
+ 1390b: 6fdb.*
+ 1390c: 0d50.*
+ 1390d: 6fdb.*
+ 1390e: 0c51.*
+ 1390f: 6fdb.*
+ 13910: 0d51.*
+ 13911: 6fdb.*
+ 13912: 0c52.*
+ 13913: 6fdb.*
+ 13914: 0d52.*
+ 13915: 6fdb.*
+ 13916: 0c53.*
+ 13917: 6fdb.*
+ 13918: 0d53.*
+ 13919: 6fdb.*
+ 1391a: 0c54.*
+ 1391b: 6fdb.*
+ 1391c: 0d54.*
+ 1391d: 6fdb.*
+ 1391e: 0c55.*
+ 1391f: 6fdb.*
+ 13920: 0d55.*
+ 13921: 6fdb.*
+ 13922: 0c56.*
+ 13923: 6fdb.*
+ 13924: 0d56.*
+ 13925: 6fdb.*
+ 13926: 0c57.*
+ 13927: 6fdb.*
+ 13928: 0d57.*
+ 13929: 6fdb.*
+ 1392a: 0c58.*
+ 1392b: 6fdb.*
+ 1392c: 0d58.*
+ 1392d: 6fdb.*
+ 1392e: 0c59.*
+ 1392f: 6fdb.*
+ 13930: 0d59.*
+ 13931: 6fdb.*
+ 13932: 0c5a.*
+ 13933: 6fdb.*
+ 13934: 0d5a.*
+ 13935: 6fdb.*
+ 13936: 0c5b.*
+ 13937: 6fdb.*
+ 13938: 0d5b.*
+ 13939: 6fdb.*
+ 1393a: 0c5c.*
+ 1393b: 6fdb.*
+ 1393c: 0d5c.*
+ 1393d: 6fdb.*
+ 1393e: 0c5d.*
+ 1393f: 6fdb.*
+ 13940: 0d5d.*
+ 13941: 6fdb.*
+ 13942: 0c5e.*
+ 13943: 6fdb.*
+ 13944: 0d5e.*
+ 13945: 6fdb.*
+ 13946: 0c5f.*
+ 13947: 6fdb.*
+ 13948: 0d5f.*
+ 13949: 10db.*
+ 1394a: 11db.*
+ 1394b: 94d1.*
+ 1394c: 95d1.*
+ 1394d: 94d2.*
+ 1394e: 95d2.*
+ 1394f: 94d3.*
+ 13950: 95d3.*
+ 13951: 94d4.*
+ 13952: 95d4.*
+ 13953: 94d5.*
+ 13954: 95d5.*
+ 13955: 94d6.*
+ 13956: 95d6.*
+ 13957: 94d7.*
+ 13958: 95d7.*
+ 13959: 94d8.*
+ 1395a: 95d8.*
+ 1395b: 94d9.*
+ 1395c: 95d9.*
+ 1395d: 94da.*
+ 1395e: 95da.*
+ 1395f: 94db.*
+ 13960: 95db.*
+ 13961: 94dc.*
+ 13962: 95dc.*
+ 13963: 94dd.*
+ 13964: 95dd.*
+ 13965: 94de.*
+ 13966: 95de.*
+ 13967: 94df.*
+ 13968: 95df.*
+ 13969: 10e3.*
+ 1396a: 2578.*
+ 1396b: 11e3.*
+ 1396c: 2579.*
+ 1396d: 6fe3.*
+ 1396e: 257a.*
+ 1396f: 0c50.*
+ 13970: 6fe3.*
+ 13971: 257b.*
+ 13972: 0d50.*
+ 13973: 6fe3.*
+ 13974: 257c.*
+ 13975: 0c51.*
+ 13976: 6fe3.*
+ 13977: 257d.*
+ 13978: 0d51.*
+ 13979: 6fe3.*
+ 1397a: 257e.*
+ 1397b: 0c52.*
+ 1397c: 6fe3.*
+ 1397d: 257f.*
+ 1397e: 0d52.*
+ 1397f: 6fe3.*
+ 13980: 2580.*
+ 13981: 0c53.*
+ 13982: 6fe3.*
+ 13983: 2581.*
+ 13984: 0d53.*
+ 13985: 6fe3.*
+ 13986: 2582.*
+ 13987: 0c54.*
+ 13988: 6fe3.*
+ 13989: 2583.*
+ 1398a: 0d54.*
+ 1398b: 6fe3.*
+ 1398c: 2584.*
+ 1398d: 0c55.*
+ 1398e: 6fe3.*
+ 1398f: 2585.*
+ 13990: 0d55.*
+ 13991: 6fe3.*
+ 13992: 2586.*
+ 13993: 0c56.*
+ 13994: 6fe3.*
+ 13995: 2587.*
+ 13996: 0d56.*
+ 13997: 6fe3.*
+ 13998: 2588.*
+ 13999: 0c57.*
+ 1399a: 6fe3.*
+ 1399b: 2589.*
+ 1399c: 0d57.*
+ 1399d: 6fe3.*
+ 1399e: 258a.*
+ 1399f: 0c58.*
+ 139a0: 6fe3.*
+ 139a1: 258b.*
+ 139a2: 0d58.*
+ 139a3: 6fe3.*
+ 139a4: 258c.*
+ 139a5: 0c59.*
+ 139a6: 6fe3.*
+ 139a7: 258d.*
+ 139a8: 0d59.*
+ 139a9: 6fe3.*
+ 139aa: 258e.*
+ 139ab: 0c5a.*
+ 139ac: 6fe3.*
+ 139ad: 258f.*
+ 139ae: 0d5a.*
+ 139af: 6fe3.*
+ 139b0: 2590.*
+ 139b1: 0c5b.*
+ 139b2: 6fe3.*
+ 139b3: 2591.*
+ 139b4: 0d5b.*
+ 139b5: 6fe3.*
+ 139b6: 2592.*
+ 139b7: 0c5c.*
+ 139b8: 6fe3.*
+ 139b9: 2593.*
+ 139ba: 0d5c.*
+ 139bb: 6fe3.*
+ 139bc: 2594.*
+ 139bd: 0c5d.*
+ 139be: 6fe3.*
+ 139bf: 2595.*
+ 139c0: 0d5d.*
+ 139c1: 6fe3.*
+ 139c2: 2596.*
+ 139c3: 0c5e.*
+ 139c4: 6fe3.*
+ 139c5: 2597.*
+ 139c6: 0d5e.*
+ 139c7: 6fe3.*
+ 139c8: 2598.*
+ 139c9: 0c5f.*
+ 139ca: 6fe3.*
+ 139cb: 2599.*
+ 139cc: 0d5f.*
+ 139cd: 10e3.*
+ 139ce: 259a.*
+ 139cf: 11e3.*
+ 139d0: 259b.*
+ 139d1: 6fe3.*
+ 139d2: 259c.*
+ 139d3: 0c41.*
+ 139d4: 6fe3.*
+ 139d5: 259d.*
+ 139d6: 0d41.*
+ 139d7: 6fe3.*
+ 139d8: 259e.*
+ 139d9: 0c42.*
+ 139da: 6fe3.*
+ 139db: 259f.*
+ 139dc: 0d42.*
+ 139dd: 6fe3.*
+ 139de: 25a0.*
+ 139df: 0c43.*
+ 139e0: 6fe3.*
+ 139e1: 25a1.*
+ 139e2: 0d43.*
+ 139e3: 6fe3.*
+ 139e4: 25a2.*
+ 139e5: 0c44.*
+ 139e6: 6fe3.*
+ 139e7: 25a3.*
+ 139e8: 0d44.*
+ 139e9: 6fe3.*
+ 139ea: 25a4.*
+ 139eb: 0c45.*
+ 139ec: 6fe3.*
+ 139ed: 25a5.*
+ 139ee: 0d45.*
+ 139ef: 6fe3.*
+ 139f0: 25a6.*
+ 139f1: 0c46.*
+ 139f2: 6fe3.*
+ 139f3: 25a7.*
+ 139f4: 0d46.*
+ 139f5: 6fe3.*
+ 139f6: 25a8.*
+ 139f7: 0c47.*
+ 139f8: 6fe3.*
+ 139f9: 25a9.*
+ 139fa: 0d47.*
+ 139fb: 6fe3.*
+ 139fc: 25aa.*
+ 139fd: 0c48.*
+ 139fe: 6fe3.*
+ 139ff: 25ab.*
+ 13a00: 0d48.*
+ 13a01: 6fe3.*
+ 13a02: 25ac.*
+ 13a03: 0c49.*
+ 13a04: 6fe3.*
+ 13a05: 25ad.*
+ 13a06: 0d49.*
+ 13a07: 6fe3.*
+ 13a08: 25ae.*
+ 13a09: 0c4a.*
+ 13a0a: 6fe3.*
+ 13a0b: 25af.*
+ 13a0c: 0d4a.*
+ 13a0d: 6fe3.*
+ 13a0e: 25b0.*
+ 13a0f: 0c4b.*
+ 13a10: 6fe3.*
+ 13a11: 25b1.*
+ 13a12: 0d4b.*
+ 13a13: 6fe3.*
+ 13a14: 25b2.*
+ 13a15: 0c4c.*
+ 13a16: 6fe3.*
+ 13a17: 25b3.*
+ 13a18: 0d4c.*
+ 13a19: 6fe3.*
+ 13a1a: 25b4.*
+ 13a1b: 0c4d.*
+ 13a1c: 6fe3.*
+ 13a1d: 25b5.*
+ 13a1e: 0d4d.*
+ 13a1f: 6fe3.*
+ 13a20: 25b6.*
+ 13a21: 0c4e.*
+ 13a22: 6fe3.*
+ 13a23: 25b7.*
+ 13a24: 0d4e.*
+ 13a25: 6fe3.*
+ 13a26: 25b8.*
+ 13a27: 0c4f.*
+ 13a28: 6fe3.*
+ 13a29: 25b9.*
+ 13a2a: 0d4f.*
+ 13a2b: 10eb.*
+ 13a2c: 25ba.*
+ 13a2d: 11eb.*
+ 13a2e: 25bb.*
+ 13a2f: 6feb.*
+ 13a30: 25bc.*
+ 13a31: 0c50.*
+ 13a32: 6feb.*
+ 13a33: 25bd.*
+ 13a34: 0d50.*
+ 13a35: 6feb.*
+ 13a36: 25be.*
+ 13a37: 0c51.*
+ 13a38: 6feb.*
+ 13a39: 25bf.*
+ 13a3a: 0d51.*
+ 13a3b: 6feb.*
+ 13a3c: 25c0.*
+ 13a3d: 0c52.*
+ 13a3e: 6feb.*
+ 13a3f: 25c1.*
+ 13a40: 0d52.*
+ 13a41: 6feb.*
+ 13a42: 25c2.*
+ 13a43: 0c53.*
+ 13a44: 6feb.*
+ 13a45: 25c3.*
+ 13a46: 0d53.*
+ 13a47: 6feb.*
+ 13a48: 25c4.*
+ 13a49: 0c54.*
+ 13a4a: 6feb.*
+ 13a4b: 25c5.*
+ 13a4c: 0d54.*
+ 13a4d: 6feb.*
+ 13a4e: 25c6.*
+ 13a4f: 0c55.*
+ 13a50: 6feb.*
+ 13a51: 25c7.*
+ 13a52: 0d55.*
+ 13a53: 6feb.*
+ 13a54: 25c8.*
+ 13a55: 0c56.*
+ 13a56: 6feb.*
+ 13a57: 25c9.*
+ 13a58: 0d56.*
+ 13a59: 6feb.*
+ 13a5a: 25ca.*
+ 13a5b: 0c57.*
+ 13a5c: 6feb.*
+ 13a5d: 25cb.*
+ 13a5e: 0d57.*
+ 13a5f: 6feb.*
+ 13a60: 25cc.*
+ 13a61: 0c58.*
+ 13a62: 6feb.*
+ 13a63: 25cd.*
+ 13a64: 0d58.*
+ 13a65: 6feb.*
+ 13a66: 25ce.*
+ 13a67: 0c59.*
+ 13a68: 6feb.*
+ 13a69: 25cf.*
+ 13a6a: 0d59.*
+ 13a6b: 6feb.*
+ 13a6c: 25d0.*
+ 13a6d: 0c5a.*
+ 13a6e: 6feb.*
+ 13a6f: 25d1.*
+ 13a70: 0d5a.*
+ 13a71: 6feb.*
+ 13a72: 25d2.*
+ 13a73: 0c5b.*
+ 13a74: 6feb.*
+ 13a75: 25d3.*
+ 13a76: 0d5b.*
+ 13a77: 6feb.*
+ 13a78: 25d4.*
+ 13a79: 0c5c.*
+ 13a7a: 6feb.*
+ 13a7b: 25d5.*
+ 13a7c: 0d5c.*
+ 13a7d: 6feb.*
+ 13a7e: 25d6.*
+ 13a7f: 0c5d.*
+ 13a80: 6feb.*
+ 13a81: 25d7.*
+ 13a82: 0d5d.*
+ 13a83: 6feb.*
+ 13a84: 25d8.*
+ 13a85: 0c5e.*
+ 13a86: 6feb.*
+ 13a87: 25d9.*
+ 13a88: 0d5e.*
+ 13a89: 6feb.*
+ 13a8a: 25da.*
+ 13a8b: 0c5f.*
+ 13a8c: 6feb.*
+ 13a8d: 25db.*
+ 13a8e: 0d5f.*
+ 13a8f: 10eb.*
+ 13a90: 25dc.*
+ 13a91: 11eb.*
+ 13a92: 25dd.*
+ 13a93: 6feb.*
+ 13a94: 25de.*
+ 13a95: 0c41.*
+ 13a96: 6feb.*
+ 13a97: 25df.*
+ 13a98: 0d41.*
+ 13a99: 6feb.*
+ 13a9a: 25e0.*
+ 13a9b: 0c42.*
+ 13a9c: 6feb.*
+ 13a9d: 25e1.*
+ 13a9e: 0d42.*
+ 13a9f: 6feb.*
+ 13aa0: 25e2.*
+ 13aa1: 0c43.*
+ 13aa2: 6feb.*
+ 13aa3: 25e3.*
+ 13aa4: 0d43.*
+ 13aa5: 6feb.*
+ 13aa6: 25e4.*
+ 13aa7: 0c44.*
+ 13aa8: 6feb.*
+ 13aa9: 25e5.*
+ 13aaa: 0d44.*
+ 13aab: 6feb.*
+ 13aac: 25e6.*
+ 13aad: 0c45.*
+ 13aae: 6feb.*
+ 13aaf: 25e7.*
+ 13ab0: 0d45.*
+ 13ab1: 6feb.*
+ 13ab2: 25e8.*
+ 13ab3: 0c46.*
+ 13ab4: 6feb.*
+ 13ab5: 25e9.*
+ 13ab6: 0d46.*
+ 13ab7: 6feb.*
+ 13ab8: 25ea.*
+ 13ab9: 0c47.*
+ 13aba: 6feb.*
+ 13abb: 25eb.*
+ 13abc: 0d47.*
+ 13abd: 6feb.*
+ 13abe: 25ec.*
+ 13abf: 0c48.*
+ 13ac0: 6feb.*
+ 13ac1: 25ed.*
+ 13ac2: 0d48.*
+ 13ac3: 6feb.*
+ 13ac4: 25ee.*
+ 13ac5: 0c49.*
+ 13ac6: 6feb.*
+ 13ac7: 25ef.*
+ 13ac8: 0d49.*
+ 13ac9: 6feb.*
+ 13aca: 25f0.*
+ 13acb: 0c4a.*
+ 13acc: 6feb.*
+ 13acd: 25f1.*
+ 13ace: 0d4a.*
+ 13acf: 6feb.*
+ 13ad0: 25f2.*
+ 13ad1: 0c4b.*
+ 13ad2: 6feb.*
+ 13ad3: 25f3.*
+ 13ad4: 0d4b.*
+ 13ad5: 6feb.*
+ 13ad6: 25f4.*
+ 13ad7: 0c4c.*
+ 13ad8: 6feb.*
+ 13ad9: 25f5.*
+ 13ada: 0d4c.*
+ 13adb: 6feb.*
+ 13adc: 25f6.*
+ 13add: 0c4d.*
+ 13ade: 6feb.*
+ 13adf: 25f7.*
+ 13ae0: 0d4d.*
+ 13ae1: 6feb.*
+ 13ae2: 25f8.*
+ 13ae3: 0c4e.*
+ 13ae4: 6feb.*
+ 13ae5: 25f9.*
+ 13ae6: 0d4e.*
+ 13ae7: 6feb.*
+ 13ae8: 25fa.*
+ 13ae9: 0c4f.*
+ 13aea: 6feb.*
+ 13aeb: 25fb.*
+ 13aec: 0d4f.*
+ 13aed: 10f3.*
+ 13aee: 25fc.*
+ 13aef: 11f3.*
+ 13af0: 25fd.*
+ 13af1: 6ff3.*
+ 13af2: 25fe.*
+ 13af3: 0c50.*
+ 13af4: 6ff3.*
+ 13af5: 25ff.*
+ 13af6: 0d50.*
+ 13af7: 6ff3.*
+ 13af8: 2600.*
+ 13af9: 0c51.*
+ 13afa: 6ff3.*
+ 13afb: 2601.*
+ 13afc: 0d51.*
+ 13afd: 6ff3.*
+ 13afe: 2602.*
+ 13aff: 0c52.*
+ 13b00: 6ff3.*
+ 13b01: 2603.*
+ 13b02: 0d52.*
+ 13b03: 6ff3.*
+ 13b04: 2604.*
+ 13b05: 0c53.*
+ 13b06: 6ff3.*
+ 13b07: 2605.*
+ 13b08: 0d53.*
+ 13b09: 6ff3.*
+ 13b0a: 2606.*
+ 13b0b: 0c54.*
+ 13b0c: 6ff3.*
+ 13b0d: 2607.*
+ 13b0e: 0d54.*
+ 13b0f: 6ff3.*
+ 13b10: 2608.*
+ 13b11: 0c55.*
+ 13b12: 6ff3.*
+ 13b13: 2609.*
+ 13b14: 0d55.*
+ 13b15: 6ff3.*
+ 13b16: 260a.*
+ 13b17: 0c56.*
+ 13b18: 6ff3.*
+ 13b19: 260b.*
+ 13b1a: 0d56.*
+ 13b1b: 6ff3.*
+ 13b1c: 260c.*
+ 13b1d: 0c57.*
+ 13b1e: 6ff3.*
+ 13b1f: 260d.*
+ 13b20: 0d57.*
+ 13b21: 6ff3.*
+ 13b22: 260e.*
+ 13b23: 0c58.*
+ 13b24: 6ff3.*
+ 13b25: 260f.*
+ 13b26: 0d58.*
+ 13b27: 6ff3.*
+ 13b28: 2610.*
+ 13b29: 0c59.*
+ 13b2a: 6ff3.*
+ 13b2b: 2611.*
+ 13b2c: 0d59.*
+ 13b2d: 6ff3.*
+ 13b2e: 2612.*
+ 13b2f: 0c5a.*
+ 13b30: 6ff3.*
+ 13b31: 2613.*
+ 13b32: 0d5a.*
+ 13b33: 6ff3.*
+ 13b34: 2614.*
+ 13b35: 0c5b.*
+ 13b36: 6ff3.*
+ 13b37: 2615.*
+ 13b38: 0d5b.*
+ 13b39: 6ff3.*
+ 13b3a: 2616.*
+ 13b3b: 0c5c.*
+ 13b3c: 6ff3.*
+ 13b3d: 2617.*
+ 13b3e: 0d5c.*
+ 13b3f: 6ff3.*
+ 13b40: 2618.*
+ 13b41: 0c5d.*
+ 13b42: 6ff3.*
+ 13b43: 2619.*
+ 13b44: 0d5d.*
+ 13b45: 6ff3.*
+ 13b46: 261a.*
+ 13b47: 0c5e.*
+ 13b48: 6ff3.*
+ 13b49: 261b.*
+ 13b4a: 0d5e.*
+ 13b4b: 6ff3.*
+ 13b4c: 261c.*
+ 13b4d: 0c5f.*
+ 13b4e: 6ff3.*
+ 13b4f: 261d.*
+ 13b50: 0d5f.*
+ 13b51: 10f3.*
+ 13b52: 261e.*
+ 13b53: 11f3.*
+ 13b54: 261f.*
+ 13b55: 6ff3.*
+ 13b56: 2620.*
+ 13b57: 0c41.*
+ 13b58: 6ff3.*
+ 13b59: 2621.*
+ 13b5a: 0d41.*
+ 13b5b: 6ff3.*
+ 13b5c: 2622.*
+ 13b5d: 0c42.*
+ 13b5e: 6ff3.*
+ 13b5f: 2623.*
+ 13b60: 0d42.*
+ 13b61: 6ff3.*
+ 13b62: 2624.*
+ 13b63: 0c43.*
+ 13b64: 6ff3.*
+ 13b65: 2625.*
+ 13b66: 0d43.*
+ 13b67: 6ff3.*
+ 13b68: 2626.*
+ 13b69: 0c44.*
+ 13b6a: 6ff3.*
+ 13b6b: 2627.*
+ 13b6c: 0d44.*
+ 13b6d: 6ff3.*
+ 13b6e: 2628.*
+ 13b6f: 0c45.*
+ 13b70: 6ff3.*
+ 13b71: 2629.*
+ 13b72: 0d45.*
+ 13b73: 6ff3.*
+ 13b74: 262a.*
+ 13b75: 0c46.*
+ 13b76: 6ff3.*
+ 13b77: 262b.*
+ 13b78: 0d46.*
+ 13b79: 6ff3.*
+ 13b7a: 262c.*
+ 13b7b: 0c47.*
+ 13b7c: 6ff3.*
+ 13b7d: 262d.*
+ 13b7e: 0d47.*
+ 13b7f: 6ff3.*
+ 13b80: 262e.*
+ 13b81: 0c48.*
+ 13b82: 6ff3.*
+ 13b83: 262f.*
+ 13b84: 0d48.*
+ 13b85: 6ff3.*
+ 13b86: 2630.*
+ 13b87: 0c49.*
+ 13b88: 6ff3.*
+ 13b89: 2631.*
+ 13b8a: 0d49.*
+ 13b8b: 6ff3.*
+ 13b8c: 2632.*
+ 13b8d: 0c4a.*
+ 13b8e: 6ff3.*
+ 13b8f: 2633.*
+ 13b90: 0d4a.*
+ 13b91: 6ff3.*
+ 13b92: 2634.*
+ 13b93: 0c4b.*
+ 13b94: 6ff3.*
+ 13b95: 2635.*
+ 13b96: 0d4b.*
+ 13b97: 6ff3.*
+ 13b98: 2636.*
+ 13b99: 0c4c.*
+ 13b9a: 6ff3.*
+ 13b9b: 2637.*
+ 13b9c: 0d4c.*
+ 13b9d: 6ff3.*
+ 13b9e: 2638.*
+ 13b9f: 0c4d.*
+ 13ba0: 6ff3.*
+ 13ba1: 2639.*
+ 13ba2: 0d4d.*
+ 13ba3: 6ff3.*
+ 13ba4: 263a.*
+ 13ba5: 0c4e.*
+ 13ba6: 6ff3.*
+ 13ba7: 263b.*
+ 13ba8: 0d4e.*
+ 13ba9: 6ff3.*
+ 13baa: 263c.*
+ 13bab: 0c4f.*
+ 13bac: 6ff3.*
+ 13bad: 263d.*
+ 13bae: 0d4f.*
+ 13baf: 10f8.*
+ 13bb0: 263e.*
+ 13bb1: 11f8.*
+ 13bb2: 263f.*
+ 13bb3: 6ff8.*
+ 13bb4: 2640.*
+ 13bb5: 0c50.*
+ 13bb6: 6ff8.*
+ 13bb7: 2641.*
+ 13bb8: 0d50.*
+ 13bb9: 6ff8.*
+ 13bba: 2642.*
+ 13bbb: 0c51.*
+ 13bbc: 6ff8.*
+ 13bbd: 2643.*
+ 13bbe: 0d51.*
+ 13bbf: 6ff8.*
+ 13bc0: 2644.*
+ 13bc1: 0c52.*
+ 13bc2: 6ff8.*
+ 13bc3: 2645.*
+ 13bc4: 0d52.*
+ 13bc5: 6ff8.*
+ 13bc6: 2646.*
+ 13bc7: 0c53.*
+ 13bc8: 6ff8.*
+ 13bc9: 2647.*
+ 13bca: 0d53.*
+ 13bcb: 6ff8.*
+ 13bcc: 2648.*
+ 13bcd: 0c54.*
+ 13bce: 6ff8.*
+ 13bcf: 2649.*
+ 13bd0: 0d54.*
+ 13bd1: 6ff8.*
+ 13bd2: 264a.*
+ 13bd3: 0c55.*
+ 13bd4: 6ff8.*
+ 13bd5: 264b.*
+ 13bd6: 0d55.*
+ 13bd7: 6ff8.*
+ 13bd8: 264c.*
+ 13bd9: 0c56.*
+ 13bda: 6ff8.*
+ 13bdb: 264d.*
+ 13bdc: 0d56.*
+ 13bdd: 6ff8.*
+ 13bde: 264e.*
+ 13bdf: 0c57.*
+ 13be0: 6ff8.*
+ 13be1: 264f.*
+ 13be2: 0d57.*
+ 13be3: 6ff8.*
+ 13be4: 2650.*
+ 13be5: 0c58.*
+ 13be6: 6ff8.*
+ 13be7: 2651.*
+ 13be8: 0d58.*
+ 13be9: 6ff8.*
+ 13bea: 2652.*
+ 13beb: 0c59.*
+ 13bec: 6ff8.*
+ 13bed: 2653.*
+ 13bee: 0d59.*
+ 13bef: 6ff8.*
+ 13bf0: 2654.*
+ 13bf1: 0c5a.*
+ 13bf2: 6ff8.*
+ 13bf3: 2655.*
+ 13bf4: 0d5a.*
+ 13bf5: 6ff8.*
+ 13bf6: 2656.*
+ 13bf7: 0c5b.*
+ 13bf8: 6ff8.*
+ 13bf9: 2657.*
+ 13bfa: 0d5b.*
+ 13bfb: 6ff8.*
+ 13bfc: 2658.*
+ 13bfd: 0c5c.*
+ 13bfe: 6ff8.*
+ 13bff: 2659.*
+ 13c00: 0d5c.*
+ 13c01: 6ff8.*
+ 13c02: 265a.*
+ 13c03: 0c5d.*
+ 13c04: 6ff8.*
+ 13c05: 265b.*
+ 13c06: 0d5d.*
+ 13c07: 6ff8.*
+ 13c08: 265c.*
+ 13c09: 0c5e.*
+ 13c0a: 6ff8.*
+ 13c0b: 265d.*
+ 13c0c: 0d5e.*
+ 13c0d: 6ff8.*
+ 13c0e: 265e.*
+ 13c0f: 0c5f.*
+ 13c10: 6ff8.*
+ 13c11: 265f.*
+ 13c12: 0d5f.*
+ 13c13: 10f8.*
+ 13c14: 2660.*
+ 13c15: 11f8.*
+ 13c16: 2661.*
+ 13c17: 6ff8.*
+ 13c18: 2662.*
+ 13c19: 0c41.*
+ 13c1a: 6ff8.*
+ 13c1b: 2663.*
+ 13c1c: 0d41.*
+ 13c1d: 6ff8.*
+ 13c1e: 2664.*
+ 13c1f: 0c42.*
+ 13c20: 6ff8.*
+ 13c21: 2665.*
+ 13c22: 0d42.*
+ 13c23: 6ff8.*
+ 13c24: 2666.*
+ 13c25: 0c43.*
+ 13c26: 6ff8.*
+ 13c27: 2667.*
+ 13c28: 0d43.*
+ 13c29: 6ff8.*
+ 13c2a: 2668.*
+ 13c2b: 0c44.*
+ 13c2c: 6ff8.*
+ 13c2d: 2669.*
+ 13c2e: 0d44.*
+ 13c2f: 6ff8.*
+ 13c30: 266a.*
+ 13c31: 0c45.*
+ 13c32: 6ff8.*
+ 13c33: 266b.*
+ 13c34: 0d45.*
+ 13c35: 6ff8.*
+ 13c36: 266c.*
+ 13c37: 0c46.*
+ 13c38: 6ff8.*
+ 13c39: 266d.*
+ 13c3a: 0d46.*
+ 13c3b: 6ff8.*
+ 13c3c: 266e.*
+ 13c3d: 0c47.*
+ 13c3e: 6ff8.*
+ 13c3f: 266f.*
+ 13c40: 0d47.*
+ 13c41: 6ff8.*
+ 13c42: 2670.*
+ 13c43: 0c48.*
+ 13c44: 6ff8.*
+ 13c45: 2671.*
+ 13c46: 0d48.*
+ 13c47: 6ff8.*
+ 13c48: 2672.*
+ 13c49: 0c49.*
+ 13c4a: 6ff8.*
+ 13c4b: 2673.*
+ 13c4c: 0d49.*
+ 13c4d: 6ff8.*
+ 13c4e: 2674.*
+ 13c4f: 0c4a.*
+ 13c50: 6ff8.*
+ 13c51: 2675.*
+ 13c52: 0d4a.*
+ 13c53: 6ff8.*
+ 13c54: 2676.*
+ 13c55: 0c4b.*
+ 13c56: 6ff8.*
+ 13c57: 2677.*
+ 13c58: 0d4b.*
+ 13c59: 6ff8.*
+ 13c5a: 2678.*
+ 13c5b: 0c4c.*
+ 13c5c: 6ff8.*
+ 13c5d: 2679.*
+ 13c5e: 0d4c.*
+ 13c5f: 6ff8.*
+ 13c60: 267a.*
+ 13c61: 0c4d.*
+ 13c62: 6ff8.*
+ 13c63: 267b.*
+ 13c64: 0d4d.*
+ 13c65: 6ff8.*
+ 13c66: 267c.*
+ 13c67: 0c4e.*
+ 13c68: 6ff8.*
+ 13c69: 267d.*
+ 13c6a: 0d4e.*
+ 13c6b: 6ff8.*
+ 13c6c: 267e.*
+ 13c6d: 0c4f.*
+ 13c6e: 6ff8.*
+ 13c6f: 267f.*
+ 13c70: 0d4f.*
+ 13c71: 1084.*
+ 13c72: 1184.*
+ 13c73: 6f84.*
+ 13c74: 0c50.*
+ 13c75: 6f84.*
+ 13c76: 0d50.*
+ 13c77: 6f84.*
+ 13c78: 0c51.*
+ 13c79: 6f84.*
+ 13c7a: 0d51.*
+ 13c7b: 6f84.*
+ 13c7c: 0c52.*
+ 13c7d: 6f84.*
+ 13c7e: 0d52.*
+ 13c7f: 6f84.*
+ 13c80: 0c53.*
+ 13c81: 6f84.*
+ 13c82: 0d53.*
+ 13c83: 6f84.*
+ 13c84: 0c54.*
+ 13c85: 6f84.*
+ 13c86: 0d54.*
+ 13c87: 6f84.*
+ 13c88: 0c55.*
+ 13c89: 6f84.*
+ 13c8a: 0d55.*
+ 13c8b: 6f84.*
+ 13c8c: 0c56.*
+ 13c8d: 6f84.*
+ 13c8e: 0d56.*
+ 13c8f: 6f84.*
+ 13c90: 0c57.*
+ 13c91: 6f84.*
+ 13c92: 0d57.*
+ 13c93: 6f84.*
+ 13c94: 0c58.*
+ 13c95: 6f84.*
+ 13c96: 0d58.*
+ 13c97: 6f84.*
+ 13c98: 0c59.*
+ 13c99: 6f84.*
+ 13c9a: 0d59.*
+ 13c9b: 6f84.*
+ 13c9c: 0c5a.*
+ 13c9d: 6f84.*
+ 13c9e: 0d5a.*
+ 13c9f: 6f84.*
+ 13ca0: 0c5b.*
+ 13ca1: 6f84.*
+ 13ca2: 0d5b.*
+ 13ca3: 6f84.*
+ 13ca4: 0c5c.*
+ 13ca5: 6f84.*
+ 13ca6: 0d5c.*
+ 13ca7: 6f84.*
+ 13ca8: 0c5d.*
+ 13ca9: 6f84.*
+ 13caa: 0d5d.*
+ 13cab: 6f84.*
+ 13cac: 0c5e.*
+ 13cad: 6f84.*
+ 13cae: 0d5e.*
+ 13caf: 6f84.*
+ 13cb0: 0c5f.*
+ 13cb1: 6f84.*
+ 13cb2: 0d5f.*
+ 13cb3: 1084.*
+ 13cb4: 1184.*
+ 13cb5: 9421.*
+ 13cb6: 9521.*
+ 13cb7: 9422.*
+ 13cb8: 9522.*
+ 13cb9: 9423.*
+ 13cba: 9523.*
+ 13cbb: 9424.*
+ 13cbc: 9524.*
+ 13cbd: 9425.*
+ 13cbe: 9525.*
+ 13cbf: 9426.*
+ 13cc0: 9526.*
+ 13cc1: 9427.*
+ 13cc2: 9527.*
+ 13cc3: 9428.*
+ 13cc4: 9528.*
+ 13cc5: 9429.*
+ 13cc6: 9529.*
+ 13cc7: 942a.*
+ 13cc8: 952a.*
+ 13cc9: 942b.*
+ 13cca: 952b.*
+ 13ccb: 942c.*
+ 13ccc: 952c.*
+ 13ccd: 942d.*
+ 13cce: 952d.*
+ 13ccf: 942e.*
+ 13cd0: 952e.*
+ 13cd1: 942f.*
+ 13cd2: 952f.*
+ 13cd3: 108c.*
+ 13cd4: 118c.*
+ 13cd5: 6f8c.*
+ 13cd6: 0c50.*
+ 13cd7: 6f8c.*
+ 13cd8: 0d50.*
+ 13cd9: 6f8c.*
+ 13cda: 0c51.*
+ 13cdb: 6f8c.*
+ 13cdc: 0d51.*
+ 13cdd: 6f8c.*
+ 13cde: 0c52.*
+ 13cdf: 6f8c.*
+ 13ce0: 0d52.*
+ 13ce1: 6f8c.*
+ 13ce2: 0c53.*
+ 13ce3: 6f8c.*
+ 13ce4: 0d53.*
+ 13ce5: 6f8c.*
+ 13ce6: 0c54.*
+ 13ce7: 6f8c.*
+ 13ce8: 0d54.*
+ 13ce9: 6f8c.*
+ 13cea: 0c55.*
+ 13ceb: 6f8c.*
+ 13cec: 0d55.*
+ 13ced: 6f8c.*
+ 13cee: 0c56.*
+ 13cef: 6f8c.*
+ 13cf0: 0d56.*
+ 13cf1: 6f8c.*
+ 13cf2: 0c57.*
+ 13cf3: 6f8c.*
+ 13cf4: 0d57.*
+ 13cf5: 6f8c.*
+ 13cf6: 0c58.*
+ 13cf7: 6f8c.*
+ 13cf8: 0d58.*
+ 13cf9: 6f8c.*
+ 13cfa: 0c59.*
+ 13cfb: 6f8c.*
+ 13cfc: 0d59.*
+ 13cfd: 6f8c.*
+ 13cfe: 0c5a.*
+ 13cff: 6f8c.*
+ 13d00: 0d5a.*
+ 13d01: 6f8c.*
+ 13d02: 0c5b.*
+ 13d03: 6f8c.*
+ 13d04: 0d5b.*
+ 13d05: 6f8c.*
+ 13d06: 0c5c.*
+ 13d07: 6f8c.*
+ 13d08: 0d5c.*
+ 13d09: 6f8c.*
+ 13d0a: 0c5d.*
+ 13d0b: 6f8c.*
+ 13d0c: 0d5d.*
+ 13d0d: 6f8c.*
+ 13d0e: 0c5e.*
+ 13d0f: 6f8c.*
+ 13d10: 0d5e.*
+ 13d11: 6f8c.*
+ 13d12: 0c5f.*
+ 13d13: 6f8c.*
+ 13d14: 0d5f.*
+ 13d15: 108c.*
+ 13d16: 118c.*
+ 13d17: 9461.*
+ 13d18: 9561.*
+ 13d19: 9462.*
+ 13d1a: 9562.*
+ 13d1b: 9463.*
+ 13d1c: 9563.*
+ 13d1d: 9464.*
+ 13d1e: 9564.*
+ 13d1f: 9465.*
+ 13d20: 9565.*
+ 13d21: 9466.*
+ 13d22: 9566.*
+ 13d23: 9467.*
+ 13d24: 9567.*
+ 13d25: 9468.*
+ 13d26: 9568.*
+ 13d27: 9469.*
+ 13d28: 9569.*
+ 13d29: 946a.*
+ 13d2a: 956a.*
+ 13d2b: 946b.*
+ 13d2c: 956b.*
+ 13d2d: 946c.*
+ 13d2e: 956c.*
+ 13d2f: 946d.*
+ 13d30: 956d.*
+ 13d31: 946e.*
+ 13d32: 956e.*
+ 13d33: 946f.*
+ 13d34: 956f.*
+ 13d35: 1094.*
+ 13d36: 1194.*
+ 13d37: 6f94.*
+ 13d38: 0c50.*
+ 13d39: 6f94.*
+ 13d3a: 0d50.*
+ 13d3b: 6f94.*
+ 13d3c: 0c51.*
+ 13d3d: 6f94.*
+ 13d3e: 0d51.*
+ 13d3f: 6f94.*
+ 13d40: 0c52.*
+ 13d41: 6f94.*
+ 13d42: 0d52.*
+ 13d43: 6f94.*
+ 13d44: 0c53.*
+ 13d45: 6f94.*
+ 13d46: 0d53.*
+ 13d47: 6f94.*
+ 13d48: 0c54.*
+ 13d49: 6f94.*
+ 13d4a: 0d54.*
+ 13d4b: 6f94.*
+ 13d4c: 0c55.*
+ 13d4d: 6f94.*
+ 13d4e: 0d55.*
+ 13d4f: 6f94.*
+ 13d50: 0c56.*
+ 13d51: 6f94.*
+ 13d52: 0d56.*
+ 13d53: 6f94.*
+ 13d54: 0c57.*
+ 13d55: 6f94.*
+ 13d56: 0d57.*
+ 13d57: 6f94.*
+ 13d58: 0c58.*
+ 13d59: 6f94.*
+ 13d5a: 0d58.*
+ 13d5b: 6f94.*
+ 13d5c: 0c59.*
+ 13d5d: 6f94.*
+ 13d5e: 0d59.*
+ 13d5f: 6f94.*
+ 13d60: 0c5a.*
+ 13d61: 6f94.*
+ 13d62: 0d5a.*
+ 13d63: 6f94.*
+ 13d64: 0c5b.*
+ 13d65: 6f94.*
+ 13d66: 0d5b.*
+ 13d67: 6f94.*
+ 13d68: 0c5c.*
+ 13d69: 6f94.*
+ 13d6a: 0d5c.*
+ 13d6b: 6f94.*
+ 13d6c: 0c5d.*
+ 13d6d: 6f94.*
+ 13d6e: 0d5d.*
+ 13d6f: 6f94.*
+ 13d70: 0c5e.*
+ 13d71: 6f94.*
+ 13d72: 0d5e.*
+ 13d73: 6f94.*
+ 13d74: 0c5f.*
+ 13d75: 6f94.*
+ 13d76: 0d5f.*
+ 13d77: 1094.*
+ 13d78: 1194.*
+ 13d79: 94a1.*
+ 13d7a: 95a1.*
+ 13d7b: 94a2.*
+ 13d7c: 95a2.*
+ 13d7d: 94a3.*
+ 13d7e: 95a3.*
+ 13d7f: 94a4.*
+ 13d80: 95a4.*
+ 13d81: 94a5.*
+ 13d82: 95a5.*
+ 13d83: 94a6.*
+ 13d84: 95a6.*
+ 13d85: 94a7.*
+ 13d86: 95a7.*
+ 13d87: 94a8.*
+ 13d88: 95a8.*
+ 13d89: 94a9.*
+ 13d8a: 95a9.*
+ 13d8b: 94aa.*
+ 13d8c: 95aa.*
+ 13d8d: 94ab.*
+ 13d8e: 95ab.*
+ 13d8f: 94ac.*
+ 13d90: 95ac.*
+ 13d91: 94ad.*
+ 13d92: 95ad.*
+ 13d93: 94ae.*
+ 13d94: 95ae.*
+ 13d95: 94af.*
+ 13d96: 95af.*
+ 13d97: 10a4.*
+ 13d98: 11a4.*
+ 13d99: 6fa4.*
+ 13d9a: 0c50.*
+ 13d9b: 6fa4.*
+ 13d9c: 0d50.*
+ 13d9d: 6fa4.*
+ 13d9e: 0c51.*
+ 13d9f: 6fa4.*
+ 13da0: 0d51.*
+ 13da1: 6fa4.*
+ 13da2: 0c52.*
+ 13da3: 6fa4.*
+ 13da4: 0d52.*
+ 13da5: 6fa4.*
+ 13da6: 0c53.*
+ 13da7: 6fa4.*
+ 13da8: 0d53.*
+ 13da9: 6fa4.*
+ 13daa: 0c54.*
+ 13dab: 6fa4.*
+ 13dac: 0d54.*
+ 13dad: 6fa4.*
+ 13dae: 0c55.*
+ 13daf: 6fa4.*
+ 13db0: 0d55.*
+ 13db1: 6fa4.*
+ 13db2: 0c56.*
+ 13db3: 6fa4.*
+ 13db4: 0d56.*
+ 13db5: 6fa4.*
+ 13db6: 0c57.*
+ 13db7: 6fa4.*
+ 13db8: 0d57.*
+ 13db9: 6fa4.*
+ 13dba: 0c58.*
+ 13dbb: 6fa4.*
+ 13dbc: 0d58.*
+ 13dbd: 6fa4.*
+ 13dbe: 0c59.*
+ 13dbf: 6fa4.*
+ 13dc0: 0d59.*
+ 13dc1: 6fa4.*
+ 13dc2: 0c5a.*
+ 13dc3: 6fa4.*
+ 13dc4: 0d5a.*
+ 13dc5: 6fa4.*
+ 13dc6: 0c5b.*
+ 13dc7: 6fa4.*
+ 13dc8: 0d5b.*
+ 13dc9: 6fa4.*
+ 13dca: 0c5c.*
+ 13dcb: 6fa4.*
+ 13dcc: 0d5c.*
+ 13dcd: 6fa4.*
+ 13dce: 0c5d.*
+ 13dcf: 6fa4.*
+ 13dd0: 0d5d.*
+ 13dd1: 6fa4.*
+ 13dd2: 0c5e.*
+ 13dd3: 6fa4.*
+ 13dd4: 0d5e.*
+ 13dd5: 6fa4.*
+ 13dd6: 0c5f.*
+ 13dd7: 6fa4.*
+ 13dd8: 0d5f.*
+ 13dd9: 10a4.*
+ 13dda: 11a4.*
+ 13ddb: 6fa4.*
+ 13ddc: 0c41.*
+ 13ddd: 6fa4.*
+ 13dde: 0d41.*
+ 13ddf: 6fa4.*
+ 13de0: 0c42.*
+ 13de1: 6fa4.*
+ 13de2: 0d42.*
+ 13de3: 6fa4.*
+ 13de4: 0c43.*
+ 13de5: 6fa4.*
+ 13de6: 0d43.*
+ 13de7: 6fa4.*
+ 13de8: 0c44.*
+ 13de9: 6fa4.*
+ 13dea: 0d44.*
+ 13deb: 6fa4.*
+ 13dec: 0c45.*
+ 13ded: 6fa4.*
+ 13dee: 0d45.*
+ 13def: 6fa4.*
+ 13df0: 0c46.*
+ 13df1: 6fa4.*
+ 13df2: 0d46.*
+ 13df3: 6fa4.*
+ 13df4: 0c47.*
+ 13df5: 6fa4.*
+ 13df6: 0d47.*
+ 13df7: 6fa4.*
+ 13df8: 0c48.*
+ 13df9: 6fa4.*
+ 13dfa: 0d48.*
+ 13dfb: 6fa4.*
+ 13dfc: 0c49.*
+ 13dfd: 6fa4.*
+ 13dfe: 0d49.*
+ 13dff: 6fa4.*
+ 13e00: 0c4a.*
+ 13e01: 6fa4.*
+ 13e02: 0d4a.*
+ 13e03: 6fa4.*
+ 13e04: 0c4b.*
+ 13e05: 6fa4.*
+ 13e06: 0d4b.*
+ 13e07: 6fa4.*
+ 13e08: 0c4c.*
+ 13e09: 6fa4.*
+ 13e0a: 0d4c.*
+ 13e0b: 6fa4.*
+ 13e0c: 0c4d.*
+ 13e0d: 6fa4.*
+ 13e0e: 0d4d.*
+ 13e0f: 6fa4.*
+ 13e10: 0c4e.*
+ 13e11: 6fa4.*
+ 13e12: 0d4e.*
+ 13e13: 6fa4.*
+ 13e14: 0c4f.*
+ 13e15: 6fa4.*
+ 13e16: 0d4f.*
+ 13e17: 10ac.*
+ 13e18: 11ac.*
+ 13e19: 6fac.*
+ 13e1a: 0c50.*
+ 13e1b: 6fac.*
+ 13e1c: 0d50.*
+ 13e1d: 6fac.*
+ 13e1e: 0c51.*
+ 13e1f: 6fac.*
+ 13e20: 0d51.*
+ 13e21: 6fac.*
+ 13e22: 0c52.*
+ 13e23: 6fac.*
+ 13e24: 0d52.*
+ 13e25: 6fac.*
+ 13e26: 0c53.*
+ 13e27: 6fac.*
+ 13e28: 0d53.*
+ 13e29: 6fac.*
+ 13e2a: 0c54.*
+ 13e2b: 6fac.*
+ 13e2c: 0d54.*
+ 13e2d: 6fac.*
+ 13e2e: 0c55.*
+ 13e2f: 6fac.*
+ 13e30: 0d55.*
+ 13e31: 6fac.*
+ 13e32: 0c56.*
+ 13e33: 6fac.*
+ 13e34: 0d56.*
+ 13e35: 6fac.*
+ 13e36: 0c57.*
+ 13e37: 6fac.*
+ 13e38: 0d57.*
+ 13e39: 6fac.*
+ 13e3a: 0c58.*
+ 13e3b: 6fac.*
+ 13e3c: 0d58.*
+ 13e3d: 6fac.*
+ 13e3e: 0c59.*
+ 13e3f: 6fac.*
+ 13e40: 0d59.*
+ 13e41: 6fac.*
+ 13e42: 0c5a.*
+ 13e43: 6fac.*
+ 13e44: 0d5a.*
+ 13e45: 6fac.*
+ 13e46: 0c5b.*
+ 13e47: 6fac.*
+ 13e48: 0d5b.*
+ 13e49: 6fac.*
+ 13e4a: 0c5c.*
+ 13e4b: 6fac.*
+ 13e4c: 0d5c.*
+ 13e4d: 6fac.*
+ 13e4e: 0c5d.*
+ 13e4f: 6fac.*
+ 13e50: 0d5d.*
+ 13e51: 6fac.*
+ 13e52: 0c5e.*
+ 13e53: 6fac.*
+ 13e54: 0d5e.*
+ 13e55: 6fac.*
+ 13e56: 0c5f.*
+ 13e57: 6fac.*
+ 13e58: 0d5f.*
+ 13e59: 10ac.*
+ 13e5a: 11ac.*
+ 13e5b: 6fac.*
+ 13e5c: 0c41.*
+ 13e5d: 6fac.*
+ 13e5e: 0d41.*
+ 13e5f: 6fac.*
+ 13e60: 0c42.*
+ 13e61: 6fac.*
+ 13e62: 0d42.*
+ 13e63: 6fac.*
+ 13e64: 0c43.*
+ 13e65: 6fac.*
+ 13e66: 0d43.*
+ 13e67: 6fac.*
+ 13e68: 0c44.*
+ 13e69: 6fac.*
+ 13e6a: 0d44.*
+ 13e6b: 6fac.*
+ 13e6c: 0c45.*
+ 13e6d: 6fac.*
+ 13e6e: 0d45.*
+ 13e6f: 6fac.*
+ 13e70: 0c46.*
+ 13e71: 6fac.*
+ 13e72: 0d46.*
+ 13e73: 6fac.*
+ 13e74: 0c47.*
+ 13e75: 6fac.*
+ 13e76: 0d47.*
+ 13e77: 6fac.*
+ 13e78: 0c48.*
+ 13e79: 6fac.*
+ 13e7a: 0d48.*
+ 13e7b: 6fac.*
+ 13e7c: 0c49.*
+ 13e7d: 6fac.*
+ 13e7e: 0d49.*
+ 13e7f: 6fac.*
+ 13e80: 0c4a.*
+ 13e81: 6fac.*
+ 13e82: 0d4a.*
+ 13e83: 6fac.*
+ 13e84: 0c4b.*
+ 13e85: 6fac.*
+ 13e86: 0d4b.*
+ 13e87: 6fac.*
+ 13e88: 0c4c.*
+ 13e89: 6fac.*
+ 13e8a: 0d4c.*
+ 13e8b: 6fac.*
+ 13e8c: 0c4d.*
+ 13e8d: 6fac.*
+ 13e8e: 0d4d.*
+ 13e8f: 6fac.*
+ 13e90: 0c4e.*
+ 13e91: 6fac.*
+ 13e92: 0d4e.*
+ 13e93: 6fac.*
+ 13e94: 0c4f.*
+ 13e95: 6fac.*
+ 13e96: 0d4f.*
+ 13e97: 10b4.*
+ 13e98: 11b4.*
+ 13e99: 6fb4.*
+ 13e9a: 0c50.*
+ 13e9b: 6fb4.*
+ 13e9c: 0d50.*
+ 13e9d: 6fb4.*
+ 13e9e: 0c51.*
+ 13e9f: 6fb4.*
+ 13ea0: 0d51.*
+ 13ea1: 6fb4.*
+ 13ea2: 0c52.*
+ 13ea3: 6fb4.*
+ 13ea4: 0d52.*
+ 13ea5: 6fb4.*
+ 13ea6: 0c53.*
+ 13ea7: 6fb4.*
+ 13ea8: 0d53.*
+ 13ea9: 6fb4.*
+ 13eaa: 0c54.*
+ 13eab: 6fb4.*
+ 13eac: 0d54.*
+ 13ead: 6fb4.*
+ 13eae: 0c55.*
+ 13eaf: 6fb4.*
+ 13eb0: 0d55.*
+ 13eb1: 6fb4.*
+ 13eb2: 0c56.*
+ 13eb3: 6fb4.*
+ 13eb4: 0d56.*
+ 13eb5: 6fb4.*
+ 13eb6: 0c57.*
+ 13eb7: 6fb4.*
+ 13eb8: 0d57.*
+ 13eb9: 6fb4.*
+ 13eba: 0c58.*
+ 13ebb: 6fb4.*
+ 13ebc: 0d58.*
+ 13ebd: 6fb4.*
+ 13ebe: 0c59.*
+ 13ebf: 6fb4.*
+ 13ec0: 0d59.*
+ 13ec1: 6fb4.*
+ 13ec2: 0c5a.*
+ 13ec3: 6fb4.*
+ 13ec4: 0d5a.*
+ 13ec5: 6fb4.*
+ 13ec6: 0c5b.*
+ 13ec7: 6fb4.*
+ 13ec8: 0d5b.*
+ 13ec9: 6fb4.*
+ 13eca: 0c5c.*
+ 13ecb: 6fb4.*
+ 13ecc: 0d5c.*
+ 13ecd: 6fb4.*
+ 13ece: 0c5d.*
+ 13ecf: 6fb4.*
+ 13ed0: 0d5d.*
+ 13ed1: 6fb4.*
+ 13ed2: 0c5e.*
+ 13ed3: 6fb4.*
+ 13ed4: 0d5e.*
+ 13ed5: 6fb4.*
+ 13ed6: 0c5f.*
+ 13ed7: 6fb4.*
+ 13ed8: 0d5f.*
+ 13ed9: 10b4.*
+ 13eda: 11b4.*
+ 13edb: 6fb4.*
+ 13edc: 0c41.*
+ 13edd: 6fb4.*
+ 13ede: 0d41.*
+ 13edf: 6fb4.*
+ 13ee0: 0c42.*
+ 13ee1: 6fb4.*
+ 13ee2: 0d42.*
+ 13ee3: 6fb4.*
+ 13ee4: 0c43.*
+ 13ee5: 6fb4.*
+ 13ee6: 0d43.*
+ 13ee7: 6fb4.*
+ 13ee8: 0c44.*
+ 13ee9: 6fb4.*
+ 13eea: 0d44.*
+ 13eeb: 6fb4.*
+ 13eec: 0c45.*
+ 13eed: 6fb4.*
+ 13eee: 0d45.*
+ 13eef: 6fb4.*
+ 13ef0: 0c46.*
+ 13ef1: 6fb4.*
+ 13ef2: 0d46.*
+ 13ef3: 6fb4.*
+ 13ef4: 0c47.*
+ 13ef5: 6fb4.*
+ 13ef6: 0d47.*
+ 13ef7: 6fb4.*
+ 13ef8: 0c48.*
+ 13ef9: 6fb4.*
+ 13efa: 0d48.*
+ 13efb: 6fb4.*
+ 13efc: 0c49.*
+ 13efd: 6fb4.*
+ 13efe: 0d49.*
+ 13eff: 6fb4.*
+ 13f00: 0c4a.*
+ 13f01: 6fb4.*
+ 13f02: 0d4a.*
+ 13f03: 6fb4.*
+ 13f04: 0c4b.*
+ 13f05: 6fb4.*
+ 13f06: 0d4b.*
+ 13f07: 6fb4.*
+ 13f08: 0c4c.*
+ 13f09: 6fb4.*
+ 13f0a: 0d4c.*
+ 13f0b: 6fb4.*
+ 13f0c: 0c4d.*
+ 13f0d: 6fb4.*
+ 13f0e: 0d4d.*
+ 13f0f: 6fb4.*
+ 13f10: 0c4e.*
+ 13f11: 6fb4.*
+ 13f12: 0d4e.*
+ 13f13: 6fb4.*
+ 13f14: 0c4f.*
+ 13f15: 6fb4.*
+ 13f16: 0d4f.*
+ 13f17: 10bc.*
+ 13f18: 11bc.*
+ 13f19: 6fbc.*
+ 13f1a: 0c50.*
+ 13f1b: 6fbc.*
+ 13f1c: 0d50.*
+ 13f1d: 6fbc.*
+ 13f1e: 0c51.*
+ 13f1f: 6fbc.*
+ 13f20: 0d51.*
+ 13f21: 6fbc.*
+ 13f22: 0c52.*
+ 13f23: 6fbc.*
+ 13f24: 0d52.*
+ 13f25: 6fbc.*
+ 13f26: 0c53.*
+ 13f27: 6fbc.*
+ 13f28: 0d53.*
+ 13f29: 6fbc.*
+ 13f2a: 0c54.*
+ 13f2b: 6fbc.*
+ 13f2c: 0d54.*
+ 13f2d: 6fbc.*
+ 13f2e: 0c55.*
+ 13f2f: 6fbc.*
+ 13f30: 0d55.*
+ 13f31: 6fbc.*
+ 13f32: 0c56.*
+ 13f33: 6fbc.*
+ 13f34: 0d56.*
+ 13f35: 6fbc.*
+ 13f36: 0c57.*
+ 13f37: 6fbc.*
+ 13f38: 0d57.*
+ 13f39: 6fbc.*
+ 13f3a: 0c58.*
+ 13f3b: 6fbc.*
+ 13f3c: 0d58.*
+ 13f3d: 6fbc.*
+ 13f3e: 0c59.*
+ 13f3f: 6fbc.*
+ 13f40: 0d59.*
+ 13f41: 6fbc.*
+ 13f42: 0c5a.*
+ 13f43: 6fbc.*
+ 13f44: 0d5a.*
+ 13f45: 6fbc.*
+ 13f46: 0c5b.*
+ 13f47: 6fbc.*
+ 13f48: 0d5b.*
+ 13f49: 6fbc.*
+ 13f4a: 0c5c.*
+ 13f4b: 6fbc.*
+ 13f4c: 0d5c.*
+ 13f4d: 6fbc.*
+ 13f4e: 0c5d.*
+ 13f4f: 6fbc.*
+ 13f50: 0d5d.*
+ 13f51: 6fbc.*
+ 13f52: 0c5e.*
+ 13f53: 6fbc.*
+ 13f54: 0d5e.*
+ 13f55: 6fbc.*
+ 13f56: 0c5f.*
+ 13f57: 6fbc.*
+ 13f58: 0d5f.*
+ 13f59: 10bc.*
+ 13f5a: 11bc.*
+ 13f5b: 6fbc.*
+ 13f5c: 0c41.*
+ 13f5d: 6fbc.*
+ 13f5e: 0d41.*
+ 13f5f: 6fbc.*
+ 13f60: 0c42.*
+ 13f61: 6fbc.*
+ 13f62: 0d42.*
+ 13f63: 6fbc.*
+ 13f64: 0c43.*
+ 13f65: 6fbc.*
+ 13f66: 0d43.*
+ 13f67: 6fbc.*
+ 13f68: 0c44.*
+ 13f69: 6fbc.*
+ 13f6a: 0d44.*
+ 13f6b: 6fbc.*
+ 13f6c: 0c45.*
+ 13f6d: 6fbc.*
+ 13f6e: 0d45.*
+ 13f6f: 6fbc.*
+ 13f70: 0c46.*
+ 13f71: 6fbc.*
+ 13f72: 0d46.*
+ 13f73: 6fbc.*
+ 13f74: 0c47.*
+ 13f75: 6fbc.*
+ 13f76: 0d47.*
+ 13f77: 6fbc.*
+ 13f78: 0c48.*
+ 13f79: 6fbc.*
+ 13f7a: 0d48.*
+ 13f7b: 6fbc.*
+ 13f7c: 0c49.*
+ 13f7d: 6fbc.*
+ 13f7e: 0d49.*
+ 13f7f: 6fbc.*
+ 13f80: 0c4a.*
+ 13f81: 6fbc.*
+ 13f82: 0d4a.*
+ 13f83: 6fbc.*
+ 13f84: 0c4b.*
+ 13f85: 6fbc.*
+ 13f86: 0d4b.*
+ 13f87: 6fbc.*
+ 13f88: 0c4c.*
+ 13f89: 6fbc.*
+ 13f8a: 0d4c.*
+ 13f8b: 6fbc.*
+ 13f8c: 0c4d.*
+ 13f8d: 6fbc.*
+ 13f8e: 0d4d.*
+ 13f8f: 6fbc.*
+ 13f90: 0c4e.*
+ 13f91: 6fbc.*
+ 13f92: 0d4e.*
+ 13f93: 6fbc.*
+ 13f94: 0c4f.*
+ 13f95: 6fbc.*
+ 13f96: 0d4f.*
+ 13f97: 10c4.*
+ 13f98: 11c4.*
+ 13f99: 6fc4.*
+ 13f9a: 0c50.*
+ 13f9b: 6fc4.*
+ 13f9c: 0d50.*
+ 13f9d: 6fc4.*
+ 13f9e: 0c51.*
+ 13f9f: 6fc4.*
+ 13fa0: 0d51.*
+ 13fa1: 6fc4.*
+ 13fa2: 0c52.*
+ 13fa3: 6fc4.*
+ 13fa4: 0d52.*
+ 13fa5: 6fc4.*
+ 13fa6: 0c53.*
+ 13fa7: 6fc4.*
+ 13fa8: 0d53.*
+ 13fa9: 6fc4.*
+ 13faa: 0c54.*
+ 13fab: 6fc4.*
+ 13fac: 0d54.*
+ 13fad: 6fc4.*
+ 13fae: 0c55.*
+ 13faf: 6fc4.*
+ 13fb0: 0d55.*
+ 13fb1: 6fc4.*
+ 13fb2: 0c56.*
+ 13fb3: 6fc4.*
+ 13fb4: 0d56.*
+ 13fb5: 6fc4.*
+ 13fb6: 0c57.*
+ 13fb7: 6fc4.*
+ 13fb8: 0d57.*
+ 13fb9: 6fc4.*
+ 13fba: 0c58.*
+ 13fbb: 6fc4.*
+ 13fbc: 0d58.*
+ 13fbd: 6fc4.*
+ 13fbe: 0c59.*
+ 13fbf: 6fc4.*
+ 13fc0: 0d59.*
+ 13fc1: 6fc4.*
+ 13fc2: 0c5a.*
+ 13fc3: 6fc4.*
+ 13fc4: 0d5a.*
+ 13fc5: 6fc4.*
+ 13fc6: 0c5b.*
+ 13fc7: 6fc4.*
+ 13fc8: 0d5b.*
+ 13fc9: 6fc4.*
+ 13fca: 0c5c.*
+ 13fcb: 6fc4.*
+ 13fcc: 0d5c.*
+ 13fcd: 6fc4.*
+ 13fce: 0c5d.*
+ 13fcf: 6fc4.*
+ 13fd0: 0d5d.*
+ 13fd1: 6fc4.*
+ 13fd2: 0c5e.*
+ 13fd3: 6fc4.*
+ 13fd4: 0d5e.*
+ 13fd5: 6fc4.*
+ 13fd6: 0c5f.*
+ 13fd7: 6fc4.*
+ 13fd8: 0d5f.*
+ 13fd9: 10c4.*
+ 13fda: 11c4.*
+ 13fdb: 6fc4.*
+ 13fdc: 0c41.*
+ 13fdd: 6fc4.*
+ 13fde: 0d41.*
+ 13fdf: 6fc4.*
+ 13fe0: 0c42.*
+ 13fe1: 6fc4.*
+ 13fe2: 0d42.*
+ 13fe3: 6fc4.*
+ 13fe4: 0c43.*
+ 13fe5: 6fc4.*
+ 13fe6: 0d43.*
+ 13fe7: 6fc4.*
+ 13fe8: 0c44.*
+ 13fe9: 6fc4.*
+ 13fea: 0d44.*
+ 13feb: 6fc4.*
+ 13fec: 0c45.*
+ 13fed: 6fc4.*
+ 13fee: 0d45.*
+ 13fef: 6fc4.*
+ 13ff0: 0c46.*
+ 13ff1: 6fc4.*
+ 13ff2: 0d46.*
+ 13ff3: 6fc4.*
+ 13ff4: 0c47.*
+ 13ff5: 6fc4.*
+ 13ff6: 0d47.*
+ 13ff7: 6fc4.*
+ 13ff8: 0c48.*
+ 13ff9: 6fc4.*
+ 13ffa: 0d48.*
+ 13ffb: 6fc4.*
+ 13ffc: 0c49.*
+ 13ffd: 6fc4.*
+ 13ffe: 0d49.*
+ 13fff: 6fc4.*
+ 14000: 0c4a.*
+ 14001: 6fc4.*
+ 14002: 0d4a.*
+ 14003: 6fc4.*
+ 14004: 0c4b.*
+ 14005: 6fc4.*
+ 14006: 0d4b.*
+ 14007: 6fc4.*
+ 14008: 0c4c.*
+ 14009: 6fc4.*
+ 1400a: 0d4c.*
+ 1400b: 6fc4.*
+ 1400c: 0c4d.*
+ 1400d: 6fc4.*
+ 1400e: 0d4d.*
+ 1400f: 6fc4.*
+ 14010: 0c4e.*
+ 14011: 6fc4.*
+ 14012: 0d4e.*
+ 14013: 6fc4.*
+ 14014: 0c4f.*
+ 14015: 6fc4.*
+ 14016: 0d4f.*
+ 14017: 10cc.*
+ 14018: 11cc.*
+ 14019: 6fcc.*
+ 1401a: 0c50.*
+ 1401b: 6fcc.*
+ 1401c: 0d50.*
+ 1401d: 6fcc.*
+ 1401e: 0c51.*
+ 1401f: 6fcc.*
+ 14020: 0d51.*
+ 14021: 6fcc.*
+ 14022: 0c52.*
+ 14023: 6fcc.*
+ 14024: 0d52.*
+ 14025: 6fcc.*
+ 14026: 0c53.*
+ 14027: 6fcc.*
+ 14028: 0d53.*
+ 14029: 6fcc.*
+ 1402a: 0c54.*
+ 1402b: 6fcc.*
+ 1402c: 0d54.*
+ 1402d: 6fcc.*
+ 1402e: 0c55.*
+ 1402f: 6fcc.*
+ 14030: 0d55.*
+ 14031: 6fcc.*
+ 14032: 0c56.*
+ 14033: 6fcc.*
+ 14034: 0d56.*
+ 14035: 6fcc.*
+ 14036: 0c57.*
+ 14037: 6fcc.*
+ 14038: 0d57.*
+ 14039: 6fcc.*
+ 1403a: 0c58.*
+ 1403b: 6fcc.*
+ 1403c: 0d58.*
+ 1403d: 6fcc.*
+ 1403e: 0c59.*
+ 1403f: 6fcc.*
+ 14040: 0d59.*
+ 14041: 6fcc.*
+ 14042: 0c5a.*
+ 14043: 6fcc.*
+ 14044: 0d5a.*
+ 14045: 6fcc.*
+ 14046: 0c5b.*
+ 14047: 6fcc.*
+ 14048: 0d5b.*
+ 14049: 6fcc.*
+ 1404a: 0c5c.*
+ 1404b: 6fcc.*
+ 1404c: 0d5c.*
+ 1404d: 6fcc.*
+ 1404e: 0c5d.*
+ 1404f: 6fcc.*
+ 14050: 0d5d.*
+ 14051: 6fcc.*
+ 14052: 0c5e.*
+ 14053: 6fcc.*
+ 14054: 0d5e.*
+ 14055: 6fcc.*
+ 14056: 0c5f.*
+ 14057: 6fcc.*
+ 14058: 0d5f.*
+ 14059: 10cc.*
+ 1405a: 11cc.*
+ 1405b: 6fcc.*
+ 1405c: 0c41.*
+ 1405d: 6fcc.*
+ 1405e: 0d41.*
+ 1405f: 6fcc.*
+ 14060: 0c42.*
+ 14061: 6fcc.*
+ 14062: 0d42.*
+ 14063: 6fcc.*
+ 14064: 0c43.*
+ 14065: 6fcc.*
+ 14066: 0d43.*
+ 14067: 6fcc.*
+ 14068: 0c44.*
+ 14069: 6fcc.*
+ 1406a: 0d44.*
+ 1406b: 6fcc.*
+ 1406c: 0c45.*
+ 1406d: 6fcc.*
+ 1406e: 0d45.*
+ 1406f: 6fcc.*
+ 14070: 0c46.*
+ 14071: 6fcc.*
+ 14072: 0d46.*
+ 14073: 6fcc.*
+ 14074: 0c47.*
+ 14075: 6fcc.*
+ 14076: 0d47.*
+ 14077: 6fcc.*
+ 14078: 0c48.*
+ 14079: 6fcc.*
+ 1407a: 0d48.*
+ 1407b: 6fcc.*
+ 1407c: 0c49.*
+ 1407d: 6fcc.*
+ 1407e: 0d49.*
+ 1407f: 6fcc.*
+ 14080: 0c4a.*
+ 14081: 6fcc.*
+ 14082: 0d4a.*
+ 14083: 6fcc.*
+ 14084: 0c4b.*
+ 14085: 6fcc.*
+ 14086: 0d4b.*
+ 14087: 6fcc.*
+ 14088: 0c4c.*
+ 14089: 6fcc.*
+ 1408a: 0d4c.*
+ 1408b: 6fcc.*
+ 1408c: 0c4d.*
+ 1408d: 6fcc.*
+ 1408e: 0d4d.*
+ 1408f: 6fcc.*
+ 14090: 0c4e.*
+ 14091: 6fcc.*
+ 14092: 0d4e.*
+ 14093: 6fcc.*
+ 14094: 0c4f.*
+ 14095: 6fcc.*
+ 14096: 0d4f.*
+ 14097: 10d4.*
+ 14098: 11d4.*
+ 14099: 6fd4.*
+ 1409a: 0c50.*
+ 1409b: 6fd4.*
+ 1409c: 0d50.*
+ 1409d: 6fd4.*
+ 1409e: 0c51.*
+ 1409f: 6fd4.*
+ 140a0: 0d51.*
+ 140a1: 6fd4.*
+ 140a2: 0c52.*
+ 140a3: 6fd4.*
+ 140a4: 0d52.*
+ 140a5: 6fd4.*
+ 140a6: 0c53.*
+ 140a7: 6fd4.*
+ 140a8: 0d53.*
+ 140a9: 6fd4.*
+ 140aa: 0c54.*
+ 140ab: 6fd4.*
+ 140ac: 0d54.*
+ 140ad: 6fd4.*
+ 140ae: 0c55.*
+ 140af: 6fd4.*
+ 140b0: 0d55.*
+ 140b1: 6fd4.*
+ 140b2: 0c56.*
+ 140b3: 6fd4.*
+ 140b4: 0d56.*
+ 140b5: 6fd4.*
+ 140b6: 0c57.*
+ 140b7: 6fd4.*
+ 140b8: 0d57.*
+ 140b9: 6fd4.*
+ 140ba: 0c58.*
+ 140bb: 6fd4.*
+ 140bc: 0d58.*
+ 140bd: 6fd4.*
+ 140be: 0c59.*
+ 140bf: 6fd4.*
+ 140c0: 0d59.*
+ 140c1: 6fd4.*
+ 140c2: 0c5a.*
+ 140c3: 6fd4.*
+ 140c4: 0d5a.*
+ 140c5: 6fd4.*
+ 140c6: 0c5b.*
+ 140c7: 6fd4.*
+ 140c8: 0d5b.*
+ 140c9: 6fd4.*
+ 140ca: 0c5c.*
+ 140cb: 6fd4.*
+ 140cc: 0d5c.*
+ 140cd: 6fd4.*
+ 140ce: 0c5d.*
+ 140cf: 6fd4.*
+ 140d0: 0d5d.*
+ 140d1: 6fd4.*
+ 140d2: 0c5e.*
+ 140d3: 6fd4.*
+ 140d4: 0d5e.*
+ 140d5: 6fd4.*
+ 140d6: 0c5f.*
+ 140d7: 6fd4.*
+ 140d8: 0d5f.*
+ 140d9: 10d4.*
+ 140da: 11d4.*
+ 140db: 6fd4.*
+ 140dc: 0c41.*
+ 140dd: 6fd4.*
+ 140de: 0d41.*
+ 140df: 6fd4.*
+ 140e0: 0c42.*
+ 140e1: 6fd4.*
+ 140e2: 0d42.*
+ 140e3: 6fd4.*
+ 140e4: 0c43.*
+ 140e5: 6fd4.*
+ 140e6: 0d43.*
+ 140e7: 6fd4.*
+ 140e8: 0c44.*
+ 140e9: 6fd4.*
+ 140ea: 0d44.*
+ 140eb: 6fd4.*
+ 140ec: 0c45.*
+ 140ed: 6fd4.*
+ 140ee: 0d45.*
+ 140ef: 6fd4.*
+ 140f0: 0c46.*
+ 140f1: 6fd4.*
+ 140f2: 0d46.*
+ 140f3: 6fd4.*
+ 140f4: 0c47.*
+ 140f5: 6fd4.*
+ 140f6: 0d47.*
+ 140f7: 6fd4.*
+ 140f8: 0c48.*
+ 140f9: 6fd4.*
+ 140fa: 0d48.*
+ 140fb: 6fd4.*
+ 140fc: 0c49.*
+ 140fd: 6fd4.*
+ 140fe: 0d49.*
+ 140ff: 6fd4.*
+ 14100: 0c4a.*
+ 14101: 6fd4.*
+ 14102: 0d4a.*
+ 14103: 6fd4.*
+ 14104: 0c4b.*
+ 14105: 6fd4.*
+ 14106: 0d4b.*
+ 14107: 6fd4.*
+ 14108: 0c4c.*
+ 14109: 6fd4.*
+ 1410a: 0d4c.*
+ 1410b: 6fd4.*
+ 1410c: 0c4d.*
+ 1410d: 6fd4.*
+ 1410e: 0d4d.*
+ 1410f: 6fd4.*
+ 14110: 0c4e.*
+ 14111: 6fd4.*
+ 14112: 0d4e.*
+ 14113: 6fd4.*
+ 14114: 0c4f.*
+ 14115: 6fd4.*
+ 14116: 0d4f.*
+ 14117: 10dc.*
+ 14118: 11dc.*
+ 14119: 6fdc.*
+ 1411a: 0c50.*
+ 1411b: 6fdc.*
+ 1411c: 0d50.*
+ 1411d: 6fdc.*
+ 1411e: 0c51.*
+ 1411f: 6fdc.*
+ 14120: 0d51.*
+ 14121: 6fdc.*
+ 14122: 0c52.*
+ 14123: 6fdc.*
+ 14124: 0d52.*
+ 14125: 6fdc.*
+ 14126: 0c53.*
+ 14127: 6fdc.*
+ 14128: 0d53.*
+ 14129: 6fdc.*
+ 1412a: 0c54.*
+ 1412b: 6fdc.*
+ 1412c: 0d54.*
+ 1412d: 6fdc.*
+ 1412e: 0c55.*
+ 1412f: 6fdc.*
+ 14130: 0d55.*
+ 14131: 6fdc.*
+ 14132: 0c56.*
+ 14133: 6fdc.*
+ 14134: 0d56.*
+ 14135: 6fdc.*
+ 14136: 0c57.*
+ 14137: 6fdc.*
+ 14138: 0d57.*
+ 14139: 6fdc.*
+ 1413a: 0c58.*
+ 1413b: 6fdc.*
+ 1413c: 0d58.*
+ 1413d: 6fdc.*
+ 1413e: 0c59.*
+ 1413f: 6fdc.*
+ 14140: 0d59.*
+ 14141: 6fdc.*
+ 14142: 0c5a.*
+ 14143: 6fdc.*
+ 14144: 0d5a.*
+ 14145: 6fdc.*
+ 14146: 0c5b.*
+ 14147: 6fdc.*
+ 14148: 0d5b.*
+ 14149: 6fdc.*
+ 1414a: 0c5c.*
+ 1414b: 6fdc.*
+ 1414c: 0d5c.*
+ 1414d: 6fdc.*
+ 1414e: 0c5d.*
+ 1414f: 6fdc.*
+ 14150: 0d5d.*
+ 14151: 6fdc.*
+ 14152: 0c5e.*
+ 14153: 6fdc.*
+ 14154: 0d5e.*
+ 14155: 6fdc.*
+ 14156: 0c5f.*
+ 14157: 6fdc.*
+ 14158: 0d5f.*
+ 14159: 10dc.*
+ 1415a: 11dc.*
+ 1415b: 94e1.*
+ 1415c: 95e1.*
+ 1415d: 94e2.*
+ 1415e: 95e2.*
+ 1415f: 94e3.*
+ 14160: 95e3.*
+ 14161: 94e4.*
+ 14162: 95e4.*
+ 14163: 94e5.*
+ 14164: 95e5.*
+ 14165: 94e6.*
+ 14166: 95e6.*
+ 14167: 94e7.*
+ 14168: 95e7.*
+ 14169: 94e8.*
+ 1416a: 95e8.*
+ 1416b: 94e9.*
+ 1416c: 95e9.*
+ 1416d: 94ea.*
+ 1416e: 95ea.*
+ 1416f: 94eb.*
+ 14170: 95eb.*
+ 14171: 94ec.*
+ 14172: 95ec.*
+ 14173: 94ed.*
+ 14174: 95ed.*
+ 14175: 94ee.*
+ 14176: 95ee.*
+ 14177: 94ef.*
+ 14178: 95ef.*
+ 14179: 10e4.*
+ 1417a: 2680.*
+ 1417b: 11e4.*
+ 1417c: 2681.*
+ 1417d: 6fe4.*
+ 1417e: 2682.*
+ 1417f: 0c50.*
+ 14180: 6fe4.*
+ 14181: 2683.*
+ 14182: 0d50.*
+ 14183: 6fe4.*
+ 14184: 2684.*
+ 14185: 0c51.*
+ 14186: 6fe4.*
+ 14187: 2685.*
+ 14188: 0d51.*
+ 14189: 6fe4.*
+ 1418a: 2686.*
+ 1418b: 0c52.*
+ 1418c: 6fe4.*
+ 1418d: 2687.*
+ 1418e: 0d52.*
+ 1418f: 6fe4.*
+ 14190: 2688.*
+ 14191: 0c53.*
+ 14192: 6fe4.*
+ 14193: 2689.*
+ 14194: 0d53.*
+ 14195: 6fe4.*
+ 14196: 268a.*
+ 14197: 0c54.*
+ 14198: 6fe4.*
+ 14199: 268b.*
+ 1419a: 0d54.*
+ 1419b: 6fe4.*
+ 1419c: 268c.*
+ 1419d: 0c55.*
+ 1419e: 6fe4.*
+ 1419f: 268d.*
+ 141a0: 0d55.*
+ 141a1: 6fe4.*
+ 141a2: 268e.*
+ 141a3: 0c56.*
+ 141a4: 6fe4.*
+ 141a5: 268f.*
+ 141a6: 0d56.*
+ 141a7: 6fe4.*
+ 141a8: 2690.*
+ 141a9: 0c57.*
+ 141aa: 6fe4.*
+ 141ab: 2691.*
+ 141ac: 0d57.*
+ 141ad: 6fe4.*
+ 141ae: 2692.*
+ 141af: 0c58.*
+ 141b0: 6fe4.*
+ 141b1: 2693.*
+ 141b2: 0d58.*
+ 141b3: 6fe4.*
+ 141b4: 2694.*
+ 141b5: 0c59.*
+ 141b6: 6fe4.*
+ 141b7: 2695.*
+ 141b8: 0d59.*
+ 141b9: 6fe4.*
+ 141ba: 2696.*
+ 141bb: 0c5a.*
+ 141bc: 6fe4.*
+ 141bd: 2697.*
+ 141be: 0d5a.*
+ 141bf: 6fe4.*
+ 141c0: 2698.*
+ 141c1: 0c5b.*
+ 141c2: 6fe4.*
+ 141c3: 2699.*
+ 141c4: 0d5b.*
+ 141c5: 6fe4.*
+ 141c6: 269a.*
+ 141c7: 0c5c.*
+ 141c8: 6fe4.*
+ 141c9: 269b.*
+ 141ca: 0d5c.*
+ 141cb: 6fe4.*
+ 141cc: 269c.*
+ 141cd: 0c5d.*
+ 141ce: 6fe4.*
+ 141cf: 269d.*
+ 141d0: 0d5d.*
+ 141d1: 6fe4.*
+ 141d2: 269e.*
+ 141d3: 0c5e.*
+ 141d4: 6fe4.*
+ 141d5: 269f.*
+ 141d6: 0d5e.*
+ 141d7: 6fe4.*
+ 141d8: 26a0.*
+ 141d9: 0c5f.*
+ 141da: 6fe4.*
+ 141db: 26a1.*
+ 141dc: 0d5f.*
+ 141dd: 10e4.*
+ 141de: 26a2.*
+ 141df: 11e4.*
+ 141e0: 26a3.*
+ 141e1: 6fe4.*
+ 141e2: 26a4.*
+ 141e3: 0c41.*
+ 141e4: 6fe4.*
+ 141e5: 26a5.*
+ 141e6: 0d41.*
+ 141e7: 6fe4.*
+ 141e8: 26a6.*
+ 141e9: 0c42.*
+ 141ea: 6fe4.*
+ 141eb: 26a7.*
+ 141ec: 0d42.*
+ 141ed: 6fe4.*
+ 141ee: 26a8.*
+ 141ef: 0c43.*
+ 141f0: 6fe4.*
+ 141f1: 26a9.*
+ 141f2: 0d43.*
+ 141f3: 6fe4.*
+ 141f4: 26aa.*
+ 141f5: 0c44.*
+ 141f6: 6fe4.*
+ 141f7: 26ab.*
+ 141f8: 0d44.*
+ 141f9: 6fe4.*
+ 141fa: 26ac.*
+ 141fb: 0c45.*
+ 141fc: 6fe4.*
+ 141fd: 26ad.*
+ 141fe: 0d45.*
+ 141ff: 6fe4.*
+ 14200: 26ae.*
+ 14201: 0c46.*
+ 14202: 6fe4.*
+ 14203: 26af.*
+ 14204: 0d46.*
+ 14205: 6fe4.*
+ 14206: 26b0.*
+ 14207: 0c47.*
+ 14208: 6fe4.*
+ 14209: 26b1.*
+ 1420a: 0d47.*
+ 1420b: 6fe4.*
+ 1420c: 26b2.*
+ 1420d: 0c48.*
+ 1420e: 6fe4.*
+ 1420f: 26b3.*
+ 14210: 0d48.*
+ 14211: 6fe4.*
+ 14212: 26b4.*
+ 14213: 0c49.*
+ 14214: 6fe4.*
+ 14215: 26b5.*
+ 14216: 0d49.*
+ 14217: 6fe4.*
+ 14218: 26b6.*
+ 14219: 0c4a.*
+ 1421a: 6fe4.*
+ 1421b: 26b7.*
+ 1421c: 0d4a.*
+ 1421d: 6fe4.*
+ 1421e: 26b8.*
+ 1421f: 0c4b.*
+ 14220: 6fe4.*
+ 14221: 26b9.*
+ 14222: 0d4b.*
+ 14223: 6fe4.*
+ 14224: 26ba.*
+ 14225: 0c4c.*
+ 14226: 6fe4.*
+ 14227: 26bb.*
+ 14228: 0d4c.*
+ 14229: 6fe4.*
+ 1422a: 26bc.*
+ 1422b: 0c4d.*
+ 1422c: 6fe4.*
+ 1422d: 26bd.*
+ 1422e: 0d4d.*
+ 1422f: 6fe4.*
+ 14230: 26be.*
+ 14231: 0c4e.*
+ 14232: 6fe4.*
+ 14233: 26bf.*
+ 14234: 0d4e.*
+ 14235: 6fe4.*
+ 14236: 26c0.*
+ 14237: 0c4f.*
+ 14238: 6fe4.*
+ 14239: 26c1.*
+ 1423a: 0d4f.*
+ 1423b: 10ec.*
+ 1423c: 26c2.*
+ 1423d: 11ec.*
+ 1423e: 26c3.*
+ 1423f: 6fec.*
+ 14240: 26c4.*
+ 14241: 0c50.*
+ 14242: 6fec.*
+ 14243: 26c5.*
+ 14244: 0d50.*
+ 14245: 6fec.*
+ 14246: 26c6.*
+ 14247: 0c51.*
+ 14248: 6fec.*
+ 14249: 26c7.*
+ 1424a: 0d51.*
+ 1424b: 6fec.*
+ 1424c: 26c8.*
+ 1424d: 0c52.*
+ 1424e: 6fec.*
+ 1424f: 26c9.*
+ 14250: 0d52.*
+ 14251: 6fec.*
+ 14252: 26ca.*
+ 14253: 0c53.*
+ 14254: 6fec.*
+ 14255: 26cb.*
+ 14256: 0d53.*
+ 14257: 6fec.*
+ 14258: 26cc.*
+ 14259: 0c54.*
+ 1425a: 6fec.*
+ 1425b: 26cd.*
+ 1425c: 0d54.*
+ 1425d: 6fec.*
+ 1425e: 26ce.*
+ 1425f: 0c55.*
+ 14260: 6fec.*
+ 14261: 26cf.*
+ 14262: 0d55.*
+ 14263: 6fec.*
+ 14264: 26d0.*
+ 14265: 0c56.*
+ 14266: 6fec.*
+ 14267: 26d1.*
+ 14268: 0d56.*
+ 14269: 6fec.*
+ 1426a: 26d2.*
+ 1426b: 0c57.*
+ 1426c: 6fec.*
+ 1426d: 26d3.*
+ 1426e: 0d57.*
+ 1426f: 6fec.*
+ 14270: 26d4.*
+ 14271: 0c58.*
+ 14272: 6fec.*
+ 14273: 26d5.*
+ 14274: 0d58.*
+ 14275: 6fec.*
+ 14276: 26d6.*
+ 14277: 0c59.*
+ 14278: 6fec.*
+ 14279: 26d7.*
+ 1427a: 0d59.*
+ 1427b: 6fec.*
+ 1427c: 26d8.*
+ 1427d: 0c5a.*
+ 1427e: 6fec.*
+ 1427f: 26d9.*
+ 14280: 0d5a.*
+ 14281: 6fec.*
+ 14282: 26da.*
+ 14283: 0c5b.*
+ 14284: 6fec.*
+ 14285: 26db.*
+ 14286: 0d5b.*
+ 14287: 6fec.*
+ 14288: 26dc.*
+ 14289: 0c5c.*
+ 1428a: 6fec.*
+ 1428b: 26dd.*
+ 1428c: 0d5c.*
+ 1428d: 6fec.*
+ 1428e: 26de.*
+ 1428f: 0c5d.*
+ 14290: 6fec.*
+ 14291: 26df.*
+ 14292: 0d5d.*
+ 14293: 6fec.*
+ 14294: 26e0.*
+ 14295: 0c5e.*
+ 14296: 6fec.*
+ 14297: 26e1.*
+ 14298: 0d5e.*
+ 14299: 6fec.*
+ 1429a: 26e2.*
+ 1429b: 0c5f.*
+ 1429c: 6fec.*
+ 1429d: 26e3.*
+ 1429e: 0d5f.*
+ 1429f: 10ec.*
+ 142a0: 26e4.*
+ 142a1: 11ec.*
+ 142a2: 26e5.*
+ 142a3: 6fec.*
+ 142a4: 26e6.*
+ 142a5: 0c41.*
+ 142a6: 6fec.*
+ 142a7: 26e7.*
+ 142a8: 0d41.*
+ 142a9: 6fec.*
+ 142aa: 26e8.*
+ 142ab: 0c42.*
+ 142ac: 6fec.*
+ 142ad: 26e9.*
+ 142ae: 0d42.*
+ 142af: 6fec.*
+ 142b0: 26ea.*
+ 142b1: 0c43.*
+ 142b2: 6fec.*
+ 142b3: 26eb.*
+ 142b4: 0d43.*
+ 142b5: 6fec.*
+ 142b6: 26ec.*
+ 142b7: 0c44.*
+ 142b8: 6fec.*
+ 142b9: 26ed.*
+ 142ba: 0d44.*
+ 142bb: 6fec.*
+ 142bc: 26ee.*
+ 142bd: 0c45.*
+ 142be: 6fec.*
+ 142bf: 26ef.*
+ 142c0: 0d45.*
+ 142c1: 6fec.*
+ 142c2: 26f0.*
+ 142c3: 0c46.*
+ 142c4: 6fec.*
+ 142c5: 26f1.*
+ 142c6: 0d46.*
+ 142c7: 6fec.*
+ 142c8: 26f2.*
+ 142c9: 0c47.*
+ 142ca: 6fec.*
+ 142cb: 26f3.*
+ 142cc: 0d47.*
+ 142cd: 6fec.*
+ 142ce: 26f4.*
+ 142cf: 0c48.*
+ 142d0: 6fec.*
+ 142d1: 26f5.*
+ 142d2: 0d48.*
+ 142d3: 6fec.*
+ 142d4: 26f6.*
+ 142d5: 0c49.*
+ 142d6: 6fec.*
+ 142d7: 26f7.*
+ 142d8: 0d49.*
+ 142d9: 6fec.*
+ 142da: 26f8.*
+ 142db: 0c4a.*
+ 142dc: 6fec.*
+ 142dd: 26f9.*
+ 142de: 0d4a.*
+ 142df: 6fec.*
+ 142e0: 26fa.*
+ 142e1: 0c4b.*
+ 142e2: 6fec.*
+ 142e3: 26fb.*
+ 142e4: 0d4b.*
+ 142e5: 6fec.*
+ 142e6: 26fc.*
+ 142e7: 0c4c.*
+ 142e8: 6fec.*
+ 142e9: 26fd.*
+ 142ea: 0d4c.*
+ 142eb: 6fec.*
+ 142ec: 26fe.*
+ 142ed: 0c4d.*
+ 142ee: 6fec.*
+ 142ef: 26ff.*
+ 142f0: 0d4d.*
+ 142f1: 6fec.*
+ 142f2: 2700.*
+ 142f3: 0c4e.*
+ 142f4: 6fec.*
+ 142f5: 2701.*
+ 142f6: 0d4e.*
+ 142f7: 6fec.*
+ 142f8: 2702.*
+ 142f9: 0c4f.*
+ 142fa: 6fec.*
+ 142fb: 2703.*
+ 142fc: 0d4f.*
+ 142fd: 10f4.*
+ 142fe: 2704.*
+ 142ff: 11f4.*
+ 14300: 2705.*
+ 14301: 6ff4.*
+ 14302: 2706.*
+ 14303: 0c50.*
+ 14304: 6ff4.*
+ 14305: 2707.*
+ 14306: 0d50.*
+ 14307: 6ff4.*
+ 14308: 2708.*
+ 14309: 0c51.*
+ 1430a: 6ff4.*
+ 1430b: 2709.*
+ 1430c: 0d51.*
+ 1430d: 6ff4.*
+ 1430e: 270a.*
+ 1430f: 0c52.*
+ 14310: 6ff4.*
+ 14311: 270b.*
+ 14312: 0d52.*
+ 14313: 6ff4.*
+ 14314: 270c.*
+ 14315: 0c53.*
+ 14316: 6ff4.*
+ 14317: 270d.*
+ 14318: 0d53.*
+ 14319: 6ff4.*
+ 1431a: 270e.*
+ 1431b: 0c54.*
+ 1431c: 6ff4.*
+ 1431d: 270f.*
+ 1431e: 0d54.*
+ 1431f: 6ff4.*
+ 14320: 2710.*
+ 14321: 0c55.*
+ 14322: 6ff4.*
+ 14323: 2711.*
+ 14324: 0d55.*
+ 14325: 6ff4.*
+ 14326: 2712.*
+ 14327: 0c56.*
+ 14328: 6ff4.*
+ 14329: 2713.*
+ 1432a: 0d56.*
+ 1432b: 6ff4.*
+ 1432c: 2714.*
+ 1432d: 0c57.*
+ 1432e: 6ff4.*
+ 1432f: 2715.*
+ 14330: 0d57.*
+ 14331: 6ff4.*
+ 14332: 2716.*
+ 14333: 0c58.*
+ 14334: 6ff4.*
+ 14335: 2717.*
+ 14336: 0d58.*
+ 14337: 6ff4.*
+ 14338: 2718.*
+ 14339: 0c59.*
+ 1433a: 6ff4.*
+ 1433b: 2719.*
+ 1433c: 0d59.*
+ 1433d: 6ff4.*
+ 1433e: 271a.*
+ 1433f: 0c5a.*
+ 14340: 6ff4.*
+ 14341: 271b.*
+ 14342: 0d5a.*
+ 14343: 6ff4.*
+ 14344: 271c.*
+ 14345: 0c5b.*
+ 14346: 6ff4.*
+ 14347: 271d.*
+ 14348: 0d5b.*
+ 14349: 6ff4.*
+ 1434a: 271e.*
+ 1434b: 0c5c.*
+ 1434c: 6ff4.*
+ 1434d: 271f.*
+ 1434e: 0d5c.*
+ 1434f: 6ff4.*
+ 14350: 2720.*
+ 14351: 0c5d.*
+ 14352: 6ff4.*
+ 14353: 2721.*
+ 14354: 0d5d.*
+ 14355: 6ff4.*
+ 14356: 2722.*
+ 14357: 0c5e.*
+ 14358: 6ff4.*
+ 14359: 2723.*
+ 1435a: 0d5e.*
+ 1435b: 6ff4.*
+ 1435c: 2724.*
+ 1435d: 0c5f.*
+ 1435e: 6ff4.*
+ 1435f: 2725.*
+ 14360: 0d5f.*
+ 14361: 10f4.*
+ 14362: 2726.*
+ 14363: 11f4.*
+ 14364: 2727.*
+ 14365: 6ff4.*
+ 14366: 2728.*
+ 14367: 0c41.*
+ 14368: 6ff4.*
+ 14369: 2729.*
+ 1436a: 0d41.*
+ 1436b: 6ff4.*
+ 1436c: 272a.*
+ 1436d: 0c42.*
+ 1436e: 6ff4.*
+ 1436f: 272b.*
+ 14370: 0d42.*
+ 14371: 6ff4.*
+ 14372: 272c.*
+ 14373: 0c43.*
+ 14374: 6ff4.*
+ 14375: 272d.*
+ 14376: 0d43.*
+ 14377: 6ff4.*
+ 14378: 272e.*
+ 14379: 0c44.*
+ 1437a: 6ff4.*
+ 1437b: 272f.*
+ 1437c: 0d44.*
+ 1437d: 6ff4.*
+ 1437e: 2730.*
+ 1437f: 0c45.*
+ 14380: 6ff4.*
+ 14381: 2731.*
+ 14382: 0d45.*
+ 14383: 6ff4.*
+ 14384: 2732.*
+ 14385: 0c46.*
+ 14386: 6ff4.*
+ 14387: 2733.*
+ 14388: 0d46.*
+ 14389: 6ff4.*
+ 1438a: 2734.*
+ 1438b: 0c47.*
+ 1438c: 6ff4.*
+ 1438d: 2735.*
+ 1438e: 0d47.*
+ 1438f: 6ff4.*
+ 14390: 2736.*
+ 14391: 0c48.*
+ 14392: 6ff4.*
+ 14393: 2737.*
+ 14394: 0d48.*
+ 14395: 6ff4.*
+ 14396: 2738.*
+ 14397: 0c49.*
+ 14398: 6ff4.*
+ 14399: 2739.*
+ 1439a: 0d49.*
+ 1439b: 6ff4.*
+ 1439c: 273a.*
+ 1439d: 0c4a.*
+ 1439e: 6ff4.*
+ 1439f: 273b.*
+ 143a0: 0d4a.*
+ 143a1: 6ff4.*
+ 143a2: 273c.*
+ 143a3: 0c4b.*
+ 143a4: 6ff4.*
+ 143a5: 273d.*
+ 143a6: 0d4b.*
+ 143a7: 6ff4.*
+ 143a8: 273e.*
+ 143a9: 0c4c.*
+ 143aa: 6ff4.*
+ 143ab: 273f.*
+ 143ac: 0d4c.*
+ 143ad: 6ff4.*
+ 143ae: 2740.*
+ 143af: 0c4d.*
+ 143b0: 6ff4.*
+ 143b1: 2741.*
+ 143b2: 0d4d.*
+ 143b3: 6ff4.*
+ 143b4: 2742.*
+ 143b5: 0c4e.*
+ 143b6: 6ff4.*
+ 143b7: 2743.*
+ 143b8: 0d4e.*
+ 143b9: 6ff4.*
+ 143ba: 2744.*
+ 143bb: 0c4f.*
+ 143bc: 6ff4.*
+ 143bd: 2745.*
+ 143be: 0d4f.*
+ 143bf: 10f8.*
+ 143c0: 2746.*
+ 143c1: 11f8.*
+ 143c2: 2747.*
+ 143c3: 6ff8.*
+ 143c4: 2748.*
+ 143c5: 0c50.*
+ 143c6: 6ff8.*
+ 143c7: 2749.*
+ 143c8: 0d50.*
+ 143c9: 6ff8.*
+ 143ca: 274a.*
+ 143cb: 0c51.*
+ 143cc: 6ff8.*
+ 143cd: 274b.*
+ 143ce: 0d51.*
+ 143cf: 6ff8.*
+ 143d0: 274c.*
+ 143d1: 0c52.*
+ 143d2: 6ff8.*
+ 143d3: 274d.*
+ 143d4: 0d52.*
+ 143d5: 6ff8.*
+ 143d6: 274e.*
+ 143d7: 0c53.*
+ 143d8: 6ff8.*
+ 143d9: 274f.*
+ 143da: 0d53.*
+ 143db: 6ff8.*
+ 143dc: 2750.*
+ 143dd: 0c54.*
+ 143de: 6ff8.*
+ 143df: 2751.*
+ 143e0: 0d54.*
+ 143e1: 6ff8.*
+ 143e2: 2752.*
+ 143e3: 0c55.*
+ 143e4: 6ff8.*
+ 143e5: 2753.*
+ 143e6: 0d55.*
+ 143e7: 6ff8.*
+ 143e8: 2754.*
+ 143e9: 0c56.*
+ 143ea: 6ff8.*
+ 143eb: 2755.*
+ 143ec: 0d56.*
+ 143ed: 6ff8.*
+ 143ee: 2756.*
+ 143ef: 0c57.*
+ 143f0: 6ff8.*
+ 143f1: 2757.*
+ 143f2: 0d57.*
+ 143f3: 6ff8.*
+ 143f4: 2758.*
+ 143f5: 0c58.*
+ 143f6: 6ff8.*
+ 143f7: 2759.*
+ 143f8: 0d58.*
+ 143f9: 6ff8.*
+ 143fa: 275a.*
+ 143fb: 0c59.*
+ 143fc: 6ff8.*
+ 143fd: 275b.*
+ 143fe: 0d59.*
+ 143ff: 6ff8.*
+ 14400: 275c.*
+ 14401: 0c5a.*
+ 14402: 6ff8.*
+ 14403: 275d.*
+ 14404: 0d5a.*
+ 14405: 6ff8.*
+ 14406: 275e.*
+ 14407: 0c5b.*
+ 14408: 6ff8.*
+ 14409: 275f.*
+ 1440a: 0d5b.*
+ 1440b: 6ff8.*
+ 1440c: 2760.*
+ 1440d: 0c5c.*
+ 1440e: 6ff8.*
+ 1440f: 2761.*
+ 14410: 0d5c.*
+ 14411: 6ff8.*
+ 14412: 2762.*
+ 14413: 0c5d.*
+ 14414: 6ff8.*
+ 14415: 2763.*
+ 14416: 0d5d.*
+ 14417: 6ff8.*
+ 14418: 2764.*
+ 14419: 0c5e.*
+ 1441a: 6ff8.*
+ 1441b: 2765.*
+ 1441c: 0d5e.*
+ 1441d: 6ff8.*
+ 1441e: 2766.*
+ 1441f: 0c5f.*
+ 14420: 6ff8.*
+ 14421: 2767.*
+ 14422: 0d5f.*
+ 14423: 10f8.*
+ 14424: 2768.*
+ 14425: 11f8.*
+ 14426: 2769.*
+ 14427: 6ff8.*
+ 14428: 276a.*
+ 14429: 0c41.*
+ 1442a: 6ff8.*
+ 1442b: 276b.*
+ 1442c: 0d41.*
+ 1442d: 6ff8.*
+ 1442e: 276c.*
+ 1442f: 0c42.*
+ 14430: 6ff8.*
+ 14431: 276d.*
+ 14432: 0d42.*
+ 14433: 6ff8.*
+ 14434: 276e.*
+ 14435: 0c43.*
+ 14436: 6ff8.*
+ 14437: 276f.*
+ 14438: 0d43.*
+ 14439: 6ff8.*
+ 1443a: 2770.*
+ 1443b: 0c44.*
+ 1443c: 6ff8.*
+ 1443d: 2771.*
+ 1443e: 0d44.*
+ 1443f: 6ff8.*
+ 14440: 2772.*
+ 14441: 0c45.*
+ 14442: 6ff8.*
+ 14443: 2773.*
+ 14444: 0d45.*
+ 14445: 6ff8.*
+ 14446: 2774.*
+ 14447: 0c46.*
+ 14448: 6ff8.*
+ 14449: 2775.*
+ 1444a: 0d46.*
+ 1444b: 6ff8.*
+ 1444c: 2776.*
+ 1444d: 0c47.*
+ 1444e: 6ff8.*
+ 1444f: 2777.*
+ 14450: 0d47.*
+ 14451: 6ff8.*
+ 14452: 2778.*
+ 14453: 0c48.*
+ 14454: 6ff8.*
+ 14455: 2779.*
+ 14456: 0d48.*
+ 14457: 6ff8.*
+ 14458: 277a.*
+ 14459: 0c49.*
+ 1445a: 6ff8.*
+ 1445b: 277b.*
+ 1445c: 0d49.*
+ 1445d: 6ff8.*
+ 1445e: 277c.*
+ 1445f: 0c4a.*
+ 14460: 6ff8.*
+ 14461: 277d.*
+ 14462: 0d4a.*
+ 14463: 6ff8.*
+ 14464: 277e.*
+ 14465: 0c4b.*
+ 14466: 6ff8.*
+ 14467: 277f.*
+ 14468: 0d4b.*
+ 14469: 6ff8.*
+ 1446a: 2780.*
+ 1446b: 0c4c.*
+ 1446c: 6ff8.*
+ 1446d: 2781.*
+ 1446e: 0d4c.*
+ 1446f: 6ff8.*
+ 14470: 2782.*
+ 14471: 0c4d.*
+ 14472: 6ff8.*
+ 14473: 2783.*
+ 14474: 0d4d.*
+ 14475: 6ff8.*
+ 14476: 2784.*
+ 14477: 0c4e.*
+ 14478: 6ff8.*
+ 14479: 2785.*
+ 1447a: 0d4e.*
+ 1447b: 6ff8.*
+ 1447c: 2786.*
+ 1447d: 0c4f.*
+ 1447e: 6ff8.*
+ 1447f: 2787.*
+ 14480: 0d4f.*
+ 14481: 1085.*
+ 14482: 1185.*
+ 14483: 6f85.*
+ 14484: 0c50.*
+ 14485: 6f85.*
+ 14486: 0d50.*
+ 14487: 6f85.*
+ 14488: 0c51.*
+ 14489: 6f85.*
+ 1448a: 0d51.*
+ 1448b: 6f85.*
+ 1448c: 0c52.*
+ 1448d: 6f85.*
+ 1448e: 0d52.*
+ 1448f: 6f85.*
+ 14490: 0c53.*
+ 14491: 6f85.*
+ 14492: 0d53.*
+ 14493: 6f85.*
+ 14494: 0c54.*
+ 14495: 6f85.*
+ 14496: 0d54.*
+ 14497: 6f85.*
+ 14498: 0c55.*
+ 14499: 6f85.*
+ 1449a: 0d55.*
+ 1449b: 6f85.*
+ 1449c: 0c56.*
+ 1449d: 6f85.*
+ 1449e: 0d56.*
+ 1449f: 6f85.*
+ 144a0: 0c57.*
+ 144a1: 6f85.*
+ 144a2: 0d57.*
+ 144a3: 6f85.*
+ 144a4: 0c58.*
+ 144a5: 6f85.*
+ 144a6: 0d58.*
+ 144a7: 6f85.*
+ 144a8: 0c59.*
+ 144a9: 6f85.*
+ 144aa: 0d59.*
+ 144ab: 6f85.*
+ 144ac: 0c5a.*
+ 144ad: 6f85.*
+ 144ae: 0d5a.*
+ 144af: 6f85.*
+ 144b0: 0c5b.*
+ 144b1: 6f85.*
+ 144b2: 0d5b.*
+ 144b3: 6f85.*
+ 144b4: 0c5c.*
+ 144b5: 6f85.*
+ 144b6: 0d5c.*
+ 144b7: 6f85.*
+ 144b8: 0c5d.*
+ 144b9: 6f85.*
+ 144ba: 0d5d.*
+ 144bb: 6f85.*
+ 144bc: 0c5e.*
+ 144bd: 6f85.*
+ 144be: 0d5e.*
+ 144bf: 6f85.*
+ 144c0: 0c5f.*
+ 144c1: 6f85.*
+ 144c2: 0d5f.*
+ 144c3: 1085.*
+ 144c4: 1185.*
+ 144c5: 9431.*
+ 144c6: 9531.*
+ 144c7: 9432.*
+ 144c8: 9532.*
+ 144c9: 9433.*
+ 144ca: 9533.*
+ 144cb: 9434.*
+ 144cc: 9534.*
+ 144cd: 9435.*
+ 144ce: 9535.*
+ 144cf: 9436.*
+ 144d0: 9536.*
+ 144d1: 9437.*
+ 144d2: 9537.*
+ 144d3: 9438.*
+ 144d4: 9538.*
+ 144d5: 9439.*
+ 144d6: 9539.*
+ 144d7: 943a.*
+ 144d8: 953a.*
+ 144d9: 943b.*
+ 144da: 953b.*
+ 144db: 943c.*
+ 144dc: 953c.*
+ 144dd: 943d.*
+ 144de: 953d.*
+ 144df: 943e.*
+ 144e0: 953e.*
+ 144e1: 943f.*
+ 144e2: 953f.*
+ 144e3: 108d.*
+ 144e4: 118d.*
+ 144e5: 6f8d.*
+ 144e6: 0c50.*
+ 144e7: 6f8d.*
+ 144e8: 0d50.*
+ 144e9: 6f8d.*
+ 144ea: 0c51.*
+ 144eb: 6f8d.*
+ 144ec: 0d51.*
+ 144ed: 6f8d.*
+ 144ee: 0c52.*
+ 144ef: 6f8d.*
+ 144f0: 0d52.*
+ 144f1: 6f8d.*
+ 144f2: 0c53.*
+ 144f3: 6f8d.*
+ 144f4: 0d53.*
+ 144f5: 6f8d.*
+ 144f6: 0c54.*
+ 144f7: 6f8d.*
+ 144f8: 0d54.*
+ 144f9: 6f8d.*
+ 144fa: 0c55.*
+ 144fb: 6f8d.*
+ 144fc: 0d55.*
+ 144fd: 6f8d.*
+ 144fe: 0c56.*
+ 144ff: 6f8d.*
+ 14500: 0d56.*
+ 14501: 6f8d.*
+ 14502: 0c57.*
+ 14503: 6f8d.*
+ 14504: 0d57.*
+ 14505: 6f8d.*
+ 14506: 0c58.*
+ 14507: 6f8d.*
+ 14508: 0d58.*
+ 14509: 6f8d.*
+ 1450a: 0c59.*
+ 1450b: 6f8d.*
+ 1450c: 0d59.*
+ 1450d: 6f8d.*
+ 1450e: 0c5a.*
+ 1450f: 6f8d.*
+ 14510: 0d5a.*
+ 14511: 6f8d.*
+ 14512: 0c5b.*
+ 14513: 6f8d.*
+ 14514: 0d5b.*
+ 14515: 6f8d.*
+ 14516: 0c5c.*
+ 14517: 6f8d.*
+ 14518: 0d5c.*
+ 14519: 6f8d.*
+ 1451a: 0c5d.*
+ 1451b: 6f8d.*
+ 1451c: 0d5d.*
+ 1451d: 6f8d.*
+ 1451e: 0c5e.*
+ 1451f: 6f8d.*
+ 14520: 0d5e.*
+ 14521: 6f8d.*
+ 14522: 0c5f.*
+ 14523: 6f8d.*
+ 14524: 0d5f.*
+ 14525: 108d.*
+ 14526: 118d.*
+ 14527: 9471.*
+ 14528: 9571.*
+ 14529: 9472.*
+ 1452a: 9572.*
+ 1452b: 9473.*
+ 1452c: 9573.*
+ 1452d: 9474.*
+ 1452e: 9574.*
+ 1452f: 9475.*
+ 14530: 9575.*
+ 14531: 9476.*
+ 14532: 9576.*
+ 14533: 9477.*
+ 14534: 9577.*
+ 14535: 9478.*
+ 14536: 9578.*
+ 14537: 9479.*
+ 14538: 9579.*
+ 14539: 947a.*
+ 1453a: 957a.*
+ 1453b: 947b.*
+ 1453c: 957b.*
+ 1453d: 947c.*
+ 1453e: 957c.*
+ 1453f: 947d.*
+ 14540: 957d.*
+ 14541: 947e.*
+ 14542: 957e.*
+ 14543: 947f.*
+ 14544: 957f.*
+ 14545: 1095.*
+ 14546: 1195.*
+ 14547: 6f95.*
+ 14548: 0c50.*
+ 14549: 6f95.*
+ 1454a: 0d50.*
+ 1454b: 6f95.*
+ 1454c: 0c51.*
+ 1454d: 6f95.*
+ 1454e: 0d51.*
+ 1454f: 6f95.*
+ 14550: 0c52.*
+ 14551: 6f95.*
+ 14552: 0d52.*
+ 14553: 6f95.*
+ 14554: 0c53.*
+ 14555: 6f95.*
+ 14556: 0d53.*
+ 14557: 6f95.*
+ 14558: 0c54.*
+ 14559: 6f95.*
+ 1455a: 0d54.*
+ 1455b: 6f95.*
+ 1455c: 0c55.*
+ 1455d: 6f95.*
+ 1455e: 0d55.*
+ 1455f: 6f95.*
+ 14560: 0c56.*
+ 14561: 6f95.*
+ 14562: 0d56.*
+ 14563: 6f95.*
+ 14564: 0c57.*
+ 14565: 6f95.*
+ 14566: 0d57.*
+ 14567: 6f95.*
+ 14568: 0c58.*
+ 14569: 6f95.*
+ 1456a: 0d58.*
+ 1456b: 6f95.*
+ 1456c: 0c59.*
+ 1456d: 6f95.*
+ 1456e: 0d59.*
+ 1456f: 6f95.*
+ 14570: 0c5a.*
+ 14571: 6f95.*
+ 14572: 0d5a.*
+ 14573: 6f95.*
+ 14574: 0c5b.*
+ 14575: 6f95.*
+ 14576: 0d5b.*
+ 14577: 6f95.*
+ 14578: 0c5c.*
+ 14579: 6f95.*
+ 1457a: 0d5c.*
+ 1457b: 6f95.*
+ 1457c: 0c5d.*
+ 1457d: 6f95.*
+ 1457e: 0d5d.*
+ 1457f: 6f95.*
+ 14580: 0c5e.*
+ 14581: 6f95.*
+ 14582: 0d5e.*
+ 14583: 6f95.*
+ 14584: 0c5f.*
+ 14585: 6f95.*
+ 14586: 0d5f.*
+ 14587: 1095.*
+ 14588: 1195.*
+ 14589: 94b1.*
+ 1458a: 95b1.*
+ 1458b: 94b2.*
+ 1458c: 95b2.*
+ 1458d: 94b3.*
+ 1458e: 95b3.*
+ 1458f: 94b4.*
+ 14590: 95b4.*
+ 14591: 94b5.*
+ 14592: 95b5.*
+ 14593: 94b6.*
+ 14594: 95b6.*
+ 14595: 94b7.*
+ 14596: 95b7.*
+ 14597: 94b8.*
+ 14598: 95b8.*
+ 14599: 94b9.*
+ 1459a: 95b9.*
+ 1459b: 94ba.*
+ 1459c: 95ba.*
+ 1459d: 94bb.*
+ 1459e: 95bb.*
+ 1459f: 94bc.*
+ 145a0: 95bc.*
+ 145a1: 94bd.*
+ 145a2: 95bd.*
+ 145a3: 94be.*
+ 145a4: 95be.*
+ 145a5: 94bf.*
+ 145a6: 95bf.*
+ 145a7: 10a5.*
+ 145a8: 11a5.*
+ 145a9: 6fa5.*
+ 145aa: 0c50.*
+ 145ab: 6fa5.*
+ 145ac: 0d50.*
+ 145ad: 6fa5.*
+ 145ae: 0c51.*
+ 145af: 6fa5.*
+ 145b0: 0d51.*
+ 145b1: 6fa5.*
+ 145b2: 0c52.*
+ 145b3: 6fa5.*
+ 145b4: 0d52.*
+ 145b5: 6fa5.*
+ 145b6: 0c53.*
+ 145b7: 6fa5.*
+ 145b8: 0d53.*
+ 145b9: 6fa5.*
+ 145ba: 0c54.*
+ 145bb: 6fa5.*
+ 145bc: 0d54.*
+ 145bd: 6fa5.*
+ 145be: 0c55.*
+ 145bf: 6fa5.*
+ 145c0: 0d55.*
+ 145c1: 6fa5.*
+ 145c2: 0c56.*
+ 145c3: 6fa5.*
+ 145c4: 0d56.*
+ 145c5: 6fa5.*
+ 145c6: 0c57.*
+ 145c7: 6fa5.*
+ 145c8: 0d57.*
+ 145c9: 6fa5.*
+ 145ca: 0c58.*
+ 145cb: 6fa5.*
+ 145cc: 0d58.*
+ 145cd: 6fa5.*
+ 145ce: 0c59.*
+ 145cf: 6fa5.*
+ 145d0: 0d59.*
+ 145d1: 6fa5.*
+ 145d2: 0c5a.*
+ 145d3: 6fa5.*
+ 145d4: 0d5a.*
+ 145d5: 6fa5.*
+ 145d6: 0c5b.*
+ 145d7: 6fa5.*
+ 145d8: 0d5b.*
+ 145d9: 6fa5.*
+ 145da: 0c5c.*
+ 145db: 6fa5.*
+ 145dc: 0d5c.*
+ 145dd: 6fa5.*
+ 145de: 0c5d.*
+ 145df: 6fa5.*
+ 145e0: 0d5d.*
+ 145e1: 6fa5.*
+ 145e2: 0c5e.*
+ 145e3: 6fa5.*
+ 145e4: 0d5e.*
+ 145e5: 6fa5.*
+ 145e6: 0c5f.*
+ 145e7: 6fa5.*
+ 145e8: 0d5f.*
+ 145e9: 10a5.*
+ 145ea: 11a5.*
+ 145eb: 6fa5.*
+ 145ec: 0c41.*
+ 145ed: 6fa5.*
+ 145ee: 0d41.*
+ 145ef: 6fa5.*
+ 145f0: 0c42.*
+ 145f1: 6fa5.*
+ 145f2: 0d42.*
+ 145f3: 6fa5.*
+ 145f4: 0c43.*
+ 145f5: 6fa5.*
+ 145f6: 0d43.*
+ 145f7: 6fa5.*
+ 145f8: 0c44.*
+ 145f9: 6fa5.*
+ 145fa: 0d44.*
+ 145fb: 6fa5.*
+ 145fc: 0c45.*
+ 145fd: 6fa5.*
+ 145fe: 0d45.*
+ 145ff: 6fa5.*
+ 14600: 0c46.*
+ 14601: 6fa5.*
+ 14602: 0d46.*
+ 14603: 6fa5.*
+ 14604: 0c47.*
+ 14605: 6fa5.*
+ 14606: 0d47.*
+ 14607: 6fa5.*
+ 14608: 0c48.*
+ 14609: 6fa5.*
+ 1460a: 0d48.*
+ 1460b: 6fa5.*
+ 1460c: 0c49.*
+ 1460d: 6fa5.*
+ 1460e: 0d49.*
+ 1460f: 6fa5.*
+ 14610: 0c4a.*
+ 14611: 6fa5.*
+ 14612: 0d4a.*
+ 14613: 6fa5.*
+ 14614: 0c4b.*
+ 14615: 6fa5.*
+ 14616: 0d4b.*
+ 14617: 6fa5.*
+ 14618: 0c4c.*
+ 14619: 6fa5.*
+ 1461a: 0d4c.*
+ 1461b: 6fa5.*
+ 1461c: 0c4d.*
+ 1461d: 6fa5.*
+ 1461e: 0d4d.*
+ 1461f: 6fa5.*
+ 14620: 0c4e.*
+ 14621: 6fa5.*
+ 14622: 0d4e.*
+ 14623: 6fa5.*
+ 14624: 0c4f.*
+ 14625: 6fa5.*
+ 14626: 0d4f.*
+ 14627: 10ad.*
+ 14628: 11ad.*
+ 14629: 6fad.*
+ 1462a: 0c50.*
+ 1462b: 6fad.*
+ 1462c: 0d50.*
+ 1462d: 6fad.*
+ 1462e: 0c51.*
+ 1462f: 6fad.*
+ 14630: 0d51.*
+ 14631: 6fad.*
+ 14632: 0c52.*
+ 14633: 6fad.*
+ 14634: 0d52.*
+ 14635: 6fad.*
+ 14636: 0c53.*
+ 14637: 6fad.*
+ 14638: 0d53.*
+ 14639: 6fad.*
+ 1463a: 0c54.*
+ 1463b: 6fad.*
+ 1463c: 0d54.*
+ 1463d: 6fad.*
+ 1463e: 0c55.*
+ 1463f: 6fad.*
+ 14640: 0d55.*
+ 14641: 6fad.*
+ 14642: 0c56.*
+ 14643: 6fad.*
+ 14644: 0d56.*
+ 14645: 6fad.*
+ 14646: 0c57.*
+ 14647: 6fad.*
+ 14648: 0d57.*
+ 14649: 6fad.*
+ 1464a: 0c58.*
+ 1464b: 6fad.*
+ 1464c: 0d58.*
+ 1464d: 6fad.*
+ 1464e: 0c59.*
+ 1464f: 6fad.*
+ 14650: 0d59.*
+ 14651: 6fad.*
+ 14652: 0c5a.*
+ 14653: 6fad.*
+ 14654: 0d5a.*
+ 14655: 6fad.*
+ 14656: 0c5b.*
+ 14657: 6fad.*
+ 14658: 0d5b.*
+ 14659: 6fad.*
+ 1465a: 0c5c.*
+ 1465b: 6fad.*
+ 1465c: 0d5c.*
+ 1465d: 6fad.*
+ 1465e: 0c5d.*
+ 1465f: 6fad.*
+ 14660: 0d5d.*
+ 14661: 6fad.*
+ 14662: 0c5e.*
+ 14663: 6fad.*
+ 14664: 0d5e.*
+ 14665: 6fad.*
+ 14666: 0c5f.*
+ 14667: 6fad.*
+ 14668: 0d5f.*
+ 14669: 10ad.*
+ 1466a: 11ad.*
+ 1466b: 6fad.*
+ 1466c: 0c41.*
+ 1466d: 6fad.*
+ 1466e: 0d41.*
+ 1466f: 6fad.*
+ 14670: 0c42.*
+ 14671: 6fad.*
+ 14672: 0d42.*
+ 14673: 6fad.*
+ 14674: 0c43.*
+ 14675: 6fad.*
+ 14676: 0d43.*
+ 14677: 6fad.*
+ 14678: 0c44.*
+ 14679: 6fad.*
+ 1467a: 0d44.*
+ 1467b: 6fad.*
+ 1467c: 0c45.*
+ 1467d: 6fad.*
+ 1467e: 0d45.*
+ 1467f: 6fad.*
+ 14680: 0c46.*
+ 14681: 6fad.*
+ 14682: 0d46.*
+ 14683: 6fad.*
+ 14684: 0c47.*
+ 14685: 6fad.*
+ 14686: 0d47.*
+ 14687: 6fad.*
+ 14688: 0c48.*
+ 14689: 6fad.*
+ 1468a: 0d48.*
+ 1468b: 6fad.*
+ 1468c: 0c49.*
+ 1468d: 6fad.*
+ 1468e: 0d49.*
+ 1468f: 6fad.*
+ 14690: 0c4a.*
+ 14691: 6fad.*
+ 14692: 0d4a.*
+ 14693: 6fad.*
+ 14694: 0c4b.*
+ 14695: 6fad.*
+ 14696: 0d4b.*
+ 14697: 6fad.*
+ 14698: 0c4c.*
+ 14699: 6fad.*
+ 1469a: 0d4c.*
+ 1469b: 6fad.*
+ 1469c: 0c4d.*
+ 1469d: 6fad.*
+ 1469e: 0d4d.*
+ 1469f: 6fad.*
+ 146a0: 0c4e.*
+ 146a1: 6fad.*
+ 146a2: 0d4e.*
+ 146a3: 6fad.*
+ 146a4: 0c4f.*
+ 146a5: 6fad.*
+ 146a6: 0d4f.*
+ 146a7: 10b5.*
+ 146a8: 11b5.*
+ 146a9: 6fb5.*
+ 146aa: 0c50.*
+ 146ab: 6fb5.*
+ 146ac: 0d50.*
+ 146ad: 6fb5.*
+ 146ae: 0c51.*
+ 146af: 6fb5.*
+ 146b0: 0d51.*
+ 146b1: 6fb5.*
+ 146b2: 0c52.*
+ 146b3: 6fb5.*
+ 146b4: 0d52.*
+ 146b5: 6fb5.*
+ 146b6: 0c53.*
+ 146b7: 6fb5.*
+ 146b8: 0d53.*
+ 146b9: 6fb5.*
+ 146ba: 0c54.*
+ 146bb: 6fb5.*
+ 146bc: 0d54.*
+ 146bd: 6fb5.*
+ 146be: 0c55.*
+ 146bf: 6fb5.*
+ 146c0: 0d55.*
+ 146c1: 6fb5.*
+ 146c2: 0c56.*
+ 146c3: 6fb5.*
+ 146c4: 0d56.*
+ 146c5: 6fb5.*
+ 146c6: 0c57.*
+ 146c7: 6fb5.*
+ 146c8: 0d57.*
+ 146c9: 6fb5.*
+ 146ca: 0c58.*
+ 146cb: 6fb5.*
+ 146cc: 0d58.*
+ 146cd: 6fb5.*
+ 146ce: 0c59.*
+ 146cf: 6fb5.*
+ 146d0: 0d59.*
+ 146d1: 6fb5.*
+ 146d2: 0c5a.*
+ 146d3: 6fb5.*
+ 146d4: 0d5a.*
+ 146d5: 6fb5.*
+ 146d6: 0c5b.*
+ 146d7: 6fb5.*
+ 146d8: 0d5b.*
+ 146d9: 6fb5.*
+ 146da: 0c5c.*
+ 146db: 6fb5.*
+ 146dc: 0d5c.*
+ 146dd: 6fb5.*
+ 146de: 0c5d.*
+ 146df: 6fb5.*
+ 146e0: 0d5d.*
+ 146e1: 6fb5.*
+ 146e2: 0c5e.*
+ 146e3: 6fb5.*
+ 146e4: 0d5e.*
+ 146e5: 6fb5.*
+ 146e6: 0c5f.*
+ 146e7: 6fb5.*
+ 146e8: 0d5f.*
+ 146e9: 10b5.*
+ 146ea: 11b5.*
+ 146eb: 6fb5.*
+ 146ec: 0c41.*
+ 146ed: 6fb5.*
+ 146ee: 0d41.*
+ 146ef: 6fb5.*
+ 146f0: 0c42.*
+ 146f1: 6fb5.*
+ 146f2: 0d42.*
+ 146f3: 6fb5.*
+ 146f4: 0c43.*
+ 146f5: 6fb5.*
+ 146f6: 0d43.*
+ 146f7: 6fb5.*
+ 146f8: 0c44.*
+ 146f9: 6fb5.*
+ 146fa: 0d44.*
+ 146fb: 6fb5.*
+ 146fc: 0c45.*
+ 146fd: 6fb5.*
+ 146fe: 0d45.*
+ 146ff: 6fb5.*
+ 14700: 0c46.*
+ 14701: 6fb5.*
+ 14702: 0d46.*
+ 14703: 6fb5.*
+ 14704: 0c47.*
+ 14705: 6fb5.*
+ 14706: 0d47.*
+ 14707: 6fb5.*
+ 14708: 0c48.*
+ 14709: 6fb5.*
+ 1470a: 0d48.*
+ 1470b: 6fb5.*
+ 1470c: 0c49.*
+ 1470d: 6fb5.*
+ 1470e: 0d49.*
+ 1470f: 6fb5.*
+ 14710: 0c4a.*
+ 14711: 6fb5.*
+ 14712: 0d4a.*
+ 14713: 6fb5.*
+ 14714: 0c4b.*
+ 14715: 6fb5.*
+ 14716: 0d4b.*
+ 14717: 6fb5.*
+ 14718: 0c4c.*
+ 14719: 6fb5.*
+ 1471a: 0d4c.*
+ 1471b: 6fb5.*
+ 1471c: 0c4d.*
+ 1471d: 6fb5.*
+ 1471e: 0d4d.*
+ 1471f: 6fb5.*
+ 14720: 0c4e.*
+ 14721: 6fb5.*
+ 14722: 0d4e.*
+ 14723: 6fb5.*
+ 14724: 0c4f.*
+ 14725: 6fb5.*
+ 14726: 0d4f.*
+ 14727: 10bd.*
+ 14728: 11bd.*
+ 14729: 6fbd.*
+ 1472a: 0c50.*
+ 1472b: 6fbd.*
+ 1472c: 0d50.*
+ 1472d: 6fbd.*
+ 1472e: 0c51.*
+ 1472f: 6fbd.*
+ 14730: 0d51.*
+ 14731: 6fbd.*
+ 14732: 0c52.*
+ 14733: 6fbd.*
+ 14734: 0d52.*
+ 14735: 6fbd.*
+ 14736: 0c53.*
+ 14737: 6fbd.*
+ 14738: 0d53.*
+ 14739: 6fbd.*
+ 1473a: 0c54.*
+ 1473b: 6fbd.*
+ 1473c: 0d54.*
+ 1473d: 6fbd.*
+ 1473e: 0c55.*
+ 1473f: 6fbd.*
+ 14740: 0d55.*
+ 14741: 6fbd.*
+ 14742: 0c56.*
+ 14743: 6fbd.*
+ 14744: 0d56.*
+ 14745: 6fbd.*
+ 14746: 0c57.*
+ 14747: 6fbd.*
+ 14748: 0d57.*
+ 14749: 6fbd.*
+ 1474a: 0c58.*
+ 1474b: 6fbd.*
+ 1474c: 0d58.*
+ 1474d: 6fbd.*
+ 1474e: 0c59.*
+ 1474f: 6fbd.*
+ 14750: 0d59.*
+ 14751: 6fbd.*
+ 14752: 0c5a.*
+ 14753: 6fbd.*
+ 14754: 0d5a.*
+ 14755: 6fbd.*
+ 14756: 0c5b.*
+ 14757: 6fbd.*
+ 14758: 0d5b.*
+ 14759: 6fbd.*
+ 1475a: 0c5c.*
+ 1475b: 6fbd.*
+ 1475c: 0d5c.*
+ 1475d: 6fbd.*
+ 1475e: 0c5d.*
+ 1475f: 6fbd.*
+ 14760: 0d5d.*
+ 14761: 6fbd.*
+ 14762: 0c5e.*
+ 14763: 6fbd.*
+ 14764: 0d5e.*
+ 14765: 6fbd.*
+ 14766: 0c5f.*
+ 14767: 6fbd.*
+ 14768: 0d5f.*
+ 14769: 10bd.*
+ 1476a: 11bd.*
+ 1476b: 6fbd.*
+ 1476c: 0c41.*
+ 1476d: 6fbd.*
+ 1476e: 0d41.*
+ 1476f: 6fbd.*
+ 14770: 0c42.*
+ 14771: 6fbd.*
+ 14772: 0d42.*
+ 14773: 6fbd.*
+ 14774: 0c43.*
+ 14775: 6fbd.*
+ 14776: 0d43.*
+ 14777: 6fbd.*
+ 14778: 0c44.*
+ 14779: 6fbd.*
+ 1477a: 0d44.*
+ 1477b: 6fbd.*
+ 1477c: 0c45.*
+ 1477d: 6fbd.*
+ 1477e: 0d45.*
+ 1477f: 6fbd.*
+ 14780: 0c46.*
+ 14781: 6fbd.*
+ 14782: 0d46.*
+ 14783: 6fbd.*
+ 14784: 0c47.*
+ 14785: 6fbd.*
+ 14786: 0d47.*
+ 14787: 6fbd.*
+ 14788: 0c48.*
+ 14789: 6fbd.*
+ 1478a: 0d48.*
+ 1478b: 6fbd.*
+ 1478c: 0c49.*
+ 1478d: 6fbd.*
+ 1478e: 0d49.*
+ 1478f: 6fbd.*
+ 14790: 0c4a.*
+ 14791: 6fbd.*
+ 14792: 0d4a.*
+ 14793: 6fbd.*
+ 14794: 0c4b.*
+ 14795: 6fbd.*
+ 14796: 0d4b.*
+ 14797: 6fbd.*
+ 14798: 0c4c.*
+ 14799: 6fbd.*
+ 1479a: 0d4c.*
+ 1479b: 6fbd.*
+ 1479c: 0c4d.*
+ 1479d: 6fbd.*
+ 1479e: 0d4d.*
+ 1479f: 6fbd.*
+ 147a0: 0c4e.*
+ 147a1: 6fbd.*
+ 147a2: 0d4e.*
+ 147a3: 6fbd.*
+ 147a4: 0c4f.*
+ 147a5: 6fbd.*
+ 147a6: 0d4f.*
+ 147a7: 10c5.*
+ 147a8: 11c5.*
+ 147a9: 6fc5.*
+ 147aa: 0c50.*
+ 147ab: 6fc5.*
+ 147ac: 0d50.*
+ 147ad: 6fc5.*
+ 147ae: 0c51.*
+ 147af: 6fc5.*
+ 147b0: 0d51.*
+ 147b1: 6fc5.*
+ 147b2: 0c52.*
+ 147b3: 6fc5.*
+ 147b4: 0d52.*
+ 147b5: 6fc5.*
+ 147b6: 0c53.*
+ 147b7: 6fc5.*
+ 147b8: 0d53.*
+ 147b9: 6fc5.*
+ 147ba: 0c54.*
+ 147bb: 6fc5.*
+ 147bc: 0d54.*
+ 147bd: 6fc5.*
+ 147be: 0c55.*
+ 147bf: 6fc5.*
+ 147c0: 0d55.*
+ 147c1: 6fc5.*
+ 147c2: 0c56.*
+ 147c3: 6fc5.*
+ 147c4: 0d56.*
+ 147c5: 6fc5.*
+ 147c6: 0c57.*
+ 147c7: 6fc5.*
+ 147c8: 0d57.*
+ 147c9: 6fc5.*
+ 147ca: 0c58.*
+ 147cb: 6fc5.*
+ 147cc: 0d58.*
+ 147cd: 6fc5.*
+ 147ce: 0c59.*
+ 147cf: 6fc5.*
+ 147d0: 0d59.*
+ 147d1: 6fc5.*
+ 147d2: 0c5a.*
+ 147d3: 6fc5.*
+ 147d4: 0d5a.*
+ 147d5: 6fc5.*
+ 147d6: 0c5b.*
+ 147d7: 6fc5.*
+ 147d8: 0d5b.*
+ 147d9: 6fc5.*
+ 147da: 0c5c.*
+ 147db: 6fc5.*
+ 147dc: 0d5c.*
+ 147dd: 6fc5.*
+ 147de: 0c5d.*
+ 147df: 6fc5.*
+ 147e0: 0d5d.*
+ 147e1: 6fc5.*
+ 147e2: 0c5e.*
+ 147e3: 6fc5.*
+ 147e4: 0d5e.*
+ 147e5: 6fc5.*
+ 147e6: 0c5f.*
+ 147e7: 6fc5.*
+ 147e8: 0d5f.*
+ 147e9: 10c5.*
+ 147ea: 11c5.*
+ 147eb: 6fc5.*
+ 147ec: 0c41.*
+ 147ed: 6fc5.*
+ 147ee: 0d41.*
+ 147ef: 6fc5.*
+ 147f0: 0c42.*
+ 147f1: 6fc5.*
+ 147f2: 0d42.*
+ 147f3: 6fc5.*
+ 147f4: 0c43.*
+ 147f5: 6fc5.*
+ 147f6: 0d43.*
+ 147f7: 6fc5.*
+ 147f8: 0c44.*
+ 147f9: 6fc5.*
+ 147fa: 0d44.*
+ 147fb: 6fc5.*
+ 147fc: 0c45.*
+ 147fd: 6fc5.*
+ 147fe: 0d45.*
+ 147ff: 6fc5.*
+ 14800: 0c46.*
+ 14801: 6fc5.*
+ 14802: 0d46.*
+ 14803: 6fc5.*
+ 14804: 0c47.*
+ 14805: 6fc5.*
+ 14806: 0d47.*
+ 14807: 6fc5.*
+ 14808: 0c48.*
+ 14809: 6fc5.*
+ 1480a: 0d48.*
+ 1480b: 6fc5.*
+ 1480c: 0c49.*
+ 1480d: 6fc5.*
+ 1480e: 0d49.*
+ 1480f: 6fc5.*
+ 14810: 0c4a.*
+ 14811: 6fc5.*
+ 14812: 0d4a.*
+ 14813: 6fc5.*
+ 14814: 0c4b.*
+ 14815: 6fc5.*
+ 14816: 0d4b.*
+ 14817: 6fc5.*
+ 14818: 0c4c.*
+ 14819: 6fc5.*
+ 1481a: 0d4c.*
+ 1481b: 6fc5.*
+ 1481c: 0c4d.*
+ 1481d: 6fc5.*
+ 1481e: 0d4d.*
+ 1481f: 6fc5.*
+ 14820: 0c4e.*
+ 14821: 6fc5.*
+ 14822: 0d4e.*
+ 14823: 6fc5.*
+ 14824: 0c4f.*
+ 14825: 6fc5.*
+ 14826: 0d4f.*
+ 14827: 10cd.*
+ 14828: 11cd.*
+ 14829: 6fcd.*
+ 1482a: 0c50.*
+ 1482b: 6fcd.*
+ 1482c: 0d50.*
+ 1482d: 6fcd.*
+ 1482e: 0c51.*
+ 1482f: 6fcd.*
+ 14830: 0d51.*
+ 14831: 6fcd.*
+ 14832: 0c52.*
+ 14833: 6fcd.*
+ 14834: 0d52.*
+ 14835: 6fcd.*
+ 14836: 0c53.*
+ 14837: 6fcd.*
+ 14838: 0d53.*
+ 14839: 6fcd.*
+ 1483a: 0c54.*
+ 1483b: 6fcd.*
+ 1483c: 0d54.*
+ 1483d: 6fcd.*
+ 1483e: 0c55.*
+ 1483f: 6fcd.*
+ 14840: 0d55.*
+ 14841: 6fcd.*
+ 14842: 0c56.*
+ 14843: 6fcd.*
+ 14844: 0d56.*
+ 14845: 6fcd.*
+ 14846: 0c57.*
+ 14847: 6fcd.*
+ 14848: 0d57.*
+ 14849: 6fcd.*
+ 1484a: 0c58.*
+ 1484b: 6fcd.*
+ 1484c: 0d58.*
+ 1484d: 6fcd.*
+ 1484e: 0c59.*
+ 1484f: 6fcd.*
+ 14850: 0d59.*
+ 14851: 6fcd.*
+ 14852: 0c5a.*
+ 14853: 6fcd.*
+ 14854: 0d5a.*
+ 14855: 6fcd.*
+ 14856: 0c5b.*
+ 14857: 6fcd.*
+ 14858: 0d5b.*
+ 14859: 6fcd.*
+ 1485a: 0c5c.*
+ 1485b: 6fcd.*
+ 1485c: 0d5c.*
+ 1485d: 6fcd.*
+ 1485e: 0c5d.*
+ 1485f: 6fcd.*
+ 14860: 0d5d.*
+ 14861: 6fcd.*
+ 14862: 0c5e.*
+ 14863: 6fcd.*
+ 14864: 0d5e.*
+ 14865: 6fcd.*
+ 14866: 0c5f.*
+ 14867: 6fcd.*
+ 14868: 0d5f.*
+ 14869: 10cd.*
+ 1486a: 11cd.*
+ 1486b: 6fcd.*
+ 1486c: 0c41.*
+ 1486d: 6fcd.*
+ 1486e: 0d41.*
+ 1486f: 6fcd.*
+ 14870: 0c42.*
+ 14871: 6fcd.*
+ 14872: 0d42.*
+ 14873: 6fcd.*
+ 14874: 0c43.*
+ 14875: 6fcd.*
+ 14876: 0d43.*
+ 14877: 6fcd.*
+ 14878: 0c44.*
+ 14879: 6fcd.*
+ 1487a: 0d44.*
+ 1487b: 6fcd.*
+ 1487c: 0c45.*
+ 1487d: 6fcd.*
+ 1487e: 0d45.*
+ 1487f: 6fcd.*
+ 14880: 0c46.*
+ 14881: 6fcd.*
+ 14882: 0d46.*
+ 14883: 6fcd.*
+ 14884: 0c47.*
+ 14885: 6fcd.*
+ 14886: 0d47.*
+ 14887: 6fcd.*
+ 14888: 0c48.*
+ 14889: 6fcd.*
+ 1488a: 0d48.*
+ 1488b: 6fcd.*
+ 1488c: 0c49.*
+ 1488d: 6fcd.*
+ 1488e: 0d49.*
+ 1488f: 6fcd.*
+ 14890: 0c4a.*
+ 14891: 6fcd.*
+ 14892: 0d4a.*
+ 14893: 6fcd.*
+ 14894: 0c4b.*
+ 14895: 6fcd.*
+ 14896: 0d4b.*
+ 14897: 6fcd.*
+ 14898: 0c4c.*
+ 14899: 6fcd.*
+ 1489a: 0d4c.*
+ 1489b: 6fcd.*
+ 1489c: 0c4d.*
+ 1489d: 6fcd.*
+ 1489e: 0d4d.*
+ 1489f: 6fcd.*
+ 148a0: 0c4e.*
+ 148a1: 6fcd.*
+ 148a2: 0d4e.*
+ 148a3: 6fcd.*
+ 148a4: 0c4f.*
+ 148a5: 6fcd.*
+ 148a6: 0d4f.*
+ 148a7: 10d5.*
+ 148a8: 11d5.*
+ 148a9: 6fd5.*
+ 148aa: 0c50.*
+ 148ab: 6fd5.*
+ 148ac: 0d50.*
+ 148ad: 6fd5.*
+ 148ae: 0c51.*
+ 148af: 6fd5.*
+ 148b0: 0d51.*
+ 148b1: 6fd5.*
+ 148b2: 0c52.*
+ 148b3: 6fd5.*
+ 148b4: 0d52.*
+ 148b5: 6fd5.*
+ 148b6: 0c53.*
+ 148b7: 6fd5.*
+ 148b8: 0d53.*
+ 148b9: 6fd5.*
+ 148ba: 0c54.*
+ 148bb: 6fd5.*
+ 148bc: 0d54.*
+ 148bd: 6fd5.*
+ 148be: 0c55.*
+ 148bf: 6fd5.*
+ 148c0: 0d55.*
+ 148c1: 6fd5.*
+ 148c2: 0c56.*
+ 148c3: 6fd5.*
+ 148c4: 0d56.*
+ 148c5: 6fd5.*
+ 148c6: 0c57.*
+ 148c7: 6fd5.*
+ 148c8: 0d57.*
+ 148c9: 6fd5.*
+ 148ca: 0c58.*
+ 148cb: 6fd5.*
+ 148cc: 0d58.*
+ 148cd: 6fd5.*
+ 148ce: 0c59.*
+ 148cf: 6fd5.*
+ 148d0: 0d59.*
+ 148d1: 6fd5.*
+ 148d2: 0c5a.*
+ 148d3: 6fd5.*
+ 148d4: 0d5a.*
+ 148d5: 6fd5.*
+ 148d6: 0c5b.*
+ 148d7: 6fd5.*
+ 148d8: 0d5b.*
+ 148d9: 6fd5.*
+ 148da: 0c5c.*
+ 148db: 6fd5.*
+ 148dc: 0d5c.*
+ 148dd: 6fd5.*
+ 148de: 0c5d.*
+ 148df: 6fd5.*
+ 148e0: 0d5d.*
+ 148e1: 6fd5.*
+ 148e2: 0c5e.*
+ 148e3: 6fd5.*
+ 148e4: 0d5e.*
+ 148e5: 6fd5.*
+ 148e6: 0c5f.*
+ 148e7: 6fd5.*
+ 148e8: 0d5f.*
+ 148e9: 10d5.*
+ 148ea: 11d5.*
+ 148eb: 6fd5.*
+ 148ec: 0c41.*
+ 148ed: 6fd5.*
+ 148ee: 0d41.*
+ 148ef: 6fd5.*
+ 148f0: 0c42.*
+ 148f1: 6fd5.*
+ 148f2: 0d42.*
+ 148f3: 6fd5.*
+ 148f4: 0c43.*
+ 148f5: 6fd5.*
+ 148f6: 0d43.*
+ 148f7: 6fd5.*
+ 148f8: 0c44.*
+ 148f9: 6fd5.*
+ 148fa: 0d44.*
+ 148fb: 6fd5.*
+ 148fc: 0c45.*
+ 148fd: 6fd5.*
+ 148fe: 0d45.*
+ 148ff: 6fd5.*
+ 14900: 0c46.*
+ 14901: 6fd5.*
+ 14902: 0d46.*
+ 14903: 6fd5.*
+ 14904: 0c47.*
+ 14905: 6fd5.*
+ 14906: 0d47.*
+ 14907: 6fd5.*
+ 14908: 0c48.*
+ 14909: 6fd5.*
+ 1490a: 0d48.*
+ 1490b: 6fd5.*
+ 1490c: 0c49.*
+ 1490d: 6fd5.*
+ 1490e: 0d49.*
+ 1490f: 6fd5.*
+ 14910: 0c4a.*
+ 14911: 6fd5.*
+ 14912: 0d4a.*
+ 14913: 6fd5.*
+ 14914: 0c4b.*
+ 14915: 6fd5.*
+ 14916: 0d4b.*
+ 14917: 6fd5.*
+ 14918: 0c4c.*
+ 14919: 6fd5.*
+ 1491a: 0d4c.*
+ 1491b: 6fd5.*
+ 1491c: 0c4d.*
+ 1491d: 6fd5.*
+ 1491e: 0d4d.*
+ 1491f: 6fd5.*
+ 14920: 0c4e.*
+ 14921: 6fd5.*
+ 14922: 0d4e.*
+ 14923: 6fd5.*
+ 14924: 0c4f.*
+ 14925: 6fd5.*
+ 14926: 0d4f.*
+ 14927: 10dd.*
+ 14928: 11dd.*
+ 14929: 6fdd.*
+ 1492a: 0c50.*
+ 1492b: 6fdd.*
+ 1492c: 0d50.*
+ 1492d: 6fdd.*
+ 1492e: 0c51.*
+ 1492f: 6fdd.*
+ 14930: 0d51.*
+ 14931: 6fdd.*
+ 14932: 0c52.*
+ 14933: 6fdd.*
+ 14934: 0d52.*
+ 14935: 6fdd.*
+ 14936: 0c53.*
+ 14937: 6fdd.*
+ 14938: 0d53.*
+ 14939: 6fdd.*
+ 1493a: 0c54.*
+ 1493b: 6fdd.*
+ 1493c: 0d54.*
+ 1493d: 6fdd.*
+ 1493e: 0c55.*
+ 1493f: 6fdd.*
+ 14940: 0d55.*
+ 14941: 6fdd.*
+ 14942: 0c56.*
+ 14943: 6fdd.*
+ 14944: 0d56.*
+ 14945: 6fdd.*
+ 14946: 0c57.*
+ 14947: 6fdd.*
+ 14948: 0d57.*
+ 14949: 6fdd.*
+ 1494a: 0c58.*
+ 1494b: 6fdd.*
+ 1494c: 0d58.*
+ 1494d: 6fdd.*
+ 1494e: 0c59.*
+ 1494f: 6fdd.*
+ 14950: 0d59.*
+ 14951: 6fdd.*
+ 14952: 0c5a.*
+ 14953: 6fdd.*
+ 14954: 0d5a.*
+ 14955: 6fdd.*
+ 14956: 0c5b.*
+ 14957: 6fdd.*
+ 14958: 0d5b.*
+ 14959: 6fdd.*
+ 1495a: 0c5c.*
+ 1495b: 6fdd.*
+ 1495c: 0d5c.*
+ 1495d: 6fdd.*
+ 1495e: 0c5d.*
+ 1495f: 6fdd.*
+ 14960: 0d5d.*
+ 14961: 6fdd.*
+ 14962: 0c5e.*
+ 14963: 6fdd.*
+ 14964: 0d5e.*
+ 14965: 6fdd.*
+ 14966: 0c5f.*
+ 14967: 6fdd.*
+ 14968: 0d5f.*
+ 14969: 10dd.*
+ 1496a: 11dd.*
+ 1496b: 94f1.*
+ 1496c: 95f1.*
+ 1496d: 94f2.*
+ 1496e: 95f2.*
+ 1496f: 94f3.*
+ 14970: 95f3.*
+ 14971: 94f4.*
+ 14972: 95f4.*
+ 14973: 94f5.*
+ 14974: 95f5.*
+ 14975: 94f6.*
+ 14976: 95f6.*
+ 14977: 94f7.*
+ 14978: 95f7.*
+ 14979: 94f8.*
+ 1497a: 95f8.*
+ 1497b: 94f9.*
+ 1497c: 95f9.*
+ 1497d: 94fa.*
+ 1497e: 95fa.*
+ 1497f: 94fb.*
+ 14980: 95fb.*
+ 14981: 94fc.*
+ 14982: 95fc.*
+ 14983: 94fd.*
+ 14984: 95fd.*
+ 14985: 94fe.*
+ 14986: 95fe.*
+ 14987: 94ff.*
+ 14988: 95ff.*
+ 14989: 10e5.*
+ 1498a: 2788.*
+ 1498b: 11e5.*
+ 1498c: 2789.*
+ 1498d: 6fe5.*
+ 1498e: 278a.*
+ 1498f: 0c50.*
+ 14990: 6fe5.*
+ 14991: 278b.*
+ 14992: 0d50.*
+ 14993: 6fe5.*
+ 14994: 278c.*
+ 14995: 0c51.*
+ 14996: 6fe5.*
+ 14997: 278d.*
+ 14998: 0d51.*
+ 14999: 6fe5.*
+ 1499a: 278e.*
+ 1499b: 0c52.*
+ 1499c: 6fe5.*
+ 1499d: 278f.*
+ 1499e: 0d52.*
+ 1499f: 6fe5.*
+ 149a0: 2790.*
+ 149a1: 0c53.*
+ 149a2: 6fe5.*
+ 149a3: 2791.*
+ 149a4: 0d53.*
+ 149a5: 6fe5.*
+ 149a6: 2792.*
+ 149a7: 0c54.*
+ 149a8: 6fe5.*
+ 149a9: 2793.*
+ 149aa: 0d54.*
+ 149ab: 6fe5.*
+ 149ac: 2794.*
+ 149ad: 0c55.*
+ 149ae: 6fe5.*
+ 149af: 2795.*
+ 149b0: 0d55.*
+ 149b1: 6fe5.*
+ 149b2: 2796.*
+ 149b3: 0c56.*
+ 149b4: 6fe5.*
+ 149b5: 2797.*
+ 149b6: 0d56.*
+ 149b7: 6fe5.*
+ 149b8: 2798.*
+ 149b9: 0c57.*
+ 149ba: 6fe5.*
+ 149bb: 2799.*
+ 149bc: 0d57.*
+ 149bd: 6fe5.*
+ 149be: 279a.*
+ 149bf: 0c58.*
+ 149c0: 6fe5.*
+ 149c1: 279b.*
+ 149c2: 0d58.*
+ 149c3: 6fe5.*
+ 149c4: 279c.*
+ 149c5: 0c59.*
+ 149c6: 6fe5.*
+ 149c7: 279d.*
+ 149c8: 0d59.*
+ 149c9: 6fe5.*
+ 149ca: 279e.*
+ 149cb: 0c5a.*
+ 149cc: 6fe5.*
+ 149cd: 279f.*
+ 149ce: 0d5a.*
+ 149cf: 6fe5.*
+ 149d0: 27a0.*
+ 149d1: 0c5b.*
+ 149d2: 6fe5.*
+ 149d3: 27a1.*
+ 149d4: 0d5b.*
+ 149d5: 6fe5.*
+ 149d6: 27a2.*
+ 149d7: 0c5c.*
+ 149d8: 6fe5.*
+ 149d9: 27a3.*
+ 149da: 0d5c.*
+ 149db: 6fe5.*
+ 149dc: 27a4.*
+ 149dd: 0c5d.*
+ 149de: 6fe5.*
+ 149df: 27a5.*
+ 149e0: 0d5d.*
+ 149e1: 6fe5.*
+ 149e2: 27a6.*
+ 149e3: 0c5e.*
+ 149e4: 6fe5.*
+ 149e5: 27a7.*
+ 149e6: 0d5e.*
+ 149e7: 6fe5.*
+ 149e8: 27a8.*
+ 149e9: 0c5f.*
+ 149ea: 6fe5.*
+ 149eb: 27a9.*
+ 149ec: 0d5f.*
+ 149ed: 10e5.*
+ 149ee: 27aa.*
+ 149ef: 11e5.*
+ 149f0: 27ab.*
+ 149f1: 6fe5.*
+ 149f2: 27ac.*
+ 149f3: 0c41.*
+ 149f4: 6fe5.*
+ 149f5: 27ad.*
+ 149f6: 0d41.*
+ 149f7: 6fe5.*
+ 149f8: 27ae.*
+ 149f9: 0c42.*
+ 149fa: 6fe5.*
+ 149fb: 27af.*
+ 149fc: 0d42.*
+ 149fd: 6fe5.*
+ 149fe: 27b0.*
+ 149ff: 0c43.*
+ 14a00: 6fe5.*
+ 14a01: 27b1.*
+ 14a02: 0d43.*
+ 14a03: 6fe5.*
+ 14a04: 27b2.*
+ 14a05: 0c44.*
+ 14a06: 6fe5.*
+ 14a07: 27b3.*
+ 14a08: 0d44.*
+ 14a09: 6fe5.*
+ 14a0a: 27b4.*
+ 14a0b: 0c45.*
+ 14a0c: 6fe5.*
+ 14a0d: 27b5.*
+ 14a0e: 0d45.*
+ 14a0f: 6fe5.*
+ 14a10: 27b6.*
+ 14a11: 0c46.*
+ 14a12: 6fe5.*
+ 14a13: 27b7.*
+ 14a14: 0d46.*
+ 14a15: 6fe5.*
+ 14a16: 27b8.*
+ 14a17: 0c47.*
+ 14a18: 6fe5.*
+ 14a19: 27b9.*
+ 14a1a: 0d47.*
+ 14a1b: 6fe5.*
+ 14a1c: 27ba.*
+ 14a1d: 0c48.*
+ 14a1e: 6fe5.*
+ 14a1f: 27bb.*
+ 14a20: 0d48.*
+ 14a21: 6fe5.*
+ 14a22: 27bc.*
+ 14a23: 0c49.*
+ 14a24: 6fe5.*
+ 14a25: 27bd.*
+ 14a26: 0d49.*
+ 14a27: 6fe5.*
+ 14a28: 27be.*
+ 14a29: 0c4a.*
+ 14a2a: 6fe5.*
+ 14a2b: 27bf.*
+ 14a2c: 0d4a.*
+ 14a2d: 6fe5.*
+ 14a2e: 27c0.*
+ 14a2f: 0c4b.*
+ 14a30: 6fe5.*
+ 14a31: 27c1.*
+ 14a32: 0d4b.*
+ 14a33: 6fe5.*
+ 14a34: 27c2.*
+ 14a35: 0c4c.*
+ 14a36: 6fe5.*
+ 14a37: 27c3.*
+ 14a38: 0d4c.*
+ 14a39: 6fe5.*
+ 14a3a: 27c4.*
+ 14a3b: 0c4d.*
+ 14a3c: 6fe5.*
+ 14a3d: 27c5.*
+ 14a3e: 0d4d.*
+ 14a3f: 6fe5.*
+ 14a40: 27c6.*
+ 14a41: 0c4e.*
+ 14a42: 6fe5.*
+ 14a43: 27c7.*
+ 14a44: 0d4e.*
+ 14a45: 6fe5.*
+ 14a46: 27c8.*
+ 14a47: 0c4f.*
+ 14a48: 6fe5.*
+ 14a49: 27c9.*
+ 14a4a: 0d4f.*
+ 14a4b: 10ed.*
+ 14a4c: 27ca.*
+ 14a4d: 11ed.*
+ 14a4e: 27cb.*
+ 14a4f: 6fed.*
+ 14a50: 27cc.*
+ 14a51: 0c50.*
+ 14a52: 6fed.*
+ 14a53: 27cd.*
+ 14a54: 0d50.*
+ 14a55: 6fed.*
+ 14a56: 27ce.*
+ 14a57: 0c51.*
+ 14a58: 6fed.*
+ 14a59: 27cf.*
+ 14a5a: 0d51.*
+ 14a5b: 6fed.*
+ 14a5c: 27d0.*
+ 14a5d: 0c52.*
+ 14a5e: 6fed.*
+ 14a5f: 27d1.*
+ 14a60: 0d52.*
+ 14a61: 6fed.*
+ 14a62: 27d2.*
+ 14a63: 0c53.*
+ 14a64: 6fed.*
+ 14a65: 27d3.*
+ 14a66: 0d53.*
+ 14a67: 6fed.*
+ 14a68: 27d4.*
+ 14a69: 0c54.*
+ 14a6a: 6fed.*
+ 14a6b: 27d5.*
+ 14a6c: 0d54.*
+ 14a6d: 6fed.*
+ 14a6e: 27d6.*
+ 14a6f: 0c55.*
+ 14a70: 6fed.*
+ 14a71: 27d7.*
+ 14a72: 0d55.*
+ 14a73: 6fed.*
+ 14a74: 27d8.*
+ 14a75: 0c56.*
+ 14a76: 6fed.*
+ 14a77: 27d9.*
+ 14a78: 0d56.*
+ 14a79: 6fed.*
+ 14a7a: 27da.*
+ 14a7b: 0c57.*
+ 14a7c: 6fed.*
+ 14a7d: 27db.*
+ 14a7e: 0d57.*
+ 14a7f: 6fed.*
+ 14a80: 27dc.*
+ 14a81: 0c58.*
+ 14a82: 6fed.*
+ 14a83: 27dd.*
+ 14a84: 0d58.*
+ 14a85: 6fed.*
+ 14a86: 27de.*
+ 14a87: 0c59.*
+ 14a88: 6fed.*
+ 14a89: 27df.*
+ 14a8a: 0d59.*
+ 14a8b: 6fed.*
+ 14a8c: 27e0.*
+ 14a8d: 0c5a.*
+ 14a8e: 6fed.*
+ 14a8f: 27e1.*
+ 14a90: 0d5a.*
+ 14a91: 6fed.*
+ 14a92: 27e2.*
+ 14a93: 0c5b.*
+ 14a94: 6fed.*
+ 14a95: 27e3.*
+ 14a96: 0d5b.*
+ 14a97: 6fed.*
+ 14a98: 27e4.*
+ 14a99: 0c5c.*
+ 14a9a: 6fed.*
+ 14a9b: 27e5.*
+ 14a9c: 0d5c.*
+ 14a9d: 6fed.*
+ 14a9e: 27e6.*
+ 14a9f: 0c5d.*
+ 14aa0: 6fed.*
+ 14aa1: 27e7.*
+ 14aa2: 0d5d.*
+ 14aa3: 6fed.*
+ 14aa4: 27e8.*
+ 14aa5: 0c5e.*
+ 14aa6: 6fed.*
+ 14aa7: 27e9.*
+ 14aa8: 0d5e.*
+ 14aa9: 6fed.*
+ 14aaa: 27ea.*
+ 14aab: 0c5f.*
+ 14aac: 6fed.*
+ 14aad: 27eb.*
+ 14aae: 0d5f.*
+ 14aaf: 10ed.*
+ 14ab0: 27ec.*
+ 14ab1: 11ed.*
+ 14ab2: 27ed.*
+ 14ab3: 6fed.*
+ 14ab4: 27ee.*
+ 14ab5: 0c41.*
+ 14ab6: 6fed.*
+ 14ab7: 27ef.*
+ 14ab8: 0d41.*
+ 14ab9: 6fed.*
+ 14aba: 27f0.*
+ 14abb: 0c42.*
+ 14abc: 6fed.*
+ 14abd: 27f1.*
+ 14abe: 0d42.*
+ 14abf: 6fed.*
+ 14ac0: 27f2.*
+ 14ac1: 0c43.*
+ 14ac2: 6fed.*
+ 14ac3: 27f3.*
+ 14ac4: 0d43.*
+ 14ac5: 6fed.*
+ 14ac6: 27f4.*
+ 14ac7: 0c44.*
+ 14ac8: 6fed.*
+ 14ac9: 27f5.*
+ 14aca: 0d44.*
+ 14acb: 6fed.*
+ 14acc: 27f6.*
+ 14acd: 0c45.*
+ 14ace: 6fed.*
+ 14acf: 27f7.*
+ 14ad0: 0d45.*
+ 14ad1: 6fed.*
+ 14ad2: 27f8.*
+ 14ad3: 0c46.*
+ 14ad4: 6fed.*
+ 14ad5: 27f9.*
+ 14ad6: 0d46.*
+ 14ad7: 6fed.*
+ 14ad8: 27fa.*
+ 14ad9: 0c47.*
+ 14ada: 6fed.*
+ 14adb: 27fb.*
+ 14adc: 0d47.*
+ 14add: 6fed.*
+ 14ade: 27fc.*
+ 14adf: 0c48.*
+ 14ae0: 6fed.*
+ 14ae1: 27fd.*
+ 14ae2: 0d48.*
+ 14ae3: 6fed.*
+ 14ae4: 27fe.*
+ 14ae5: 0c49.*
+ 14ae6: 6fed.*
+ 14ae7: 27ff.*
+ 14ae8: 0d49.*
+ 14ae9: 6fed.*
+ 14aea: 2800.*
+ 14aeb: 0c4a.*
+ 14aec: 6fed.*
+ 14aed: 2801.*
+ 14aee: 0d4a.*
+ 14aef: 6fed.*
+ 14af0: 2802.*
+ 14af1: 0c4b.*
+ 14af2: 6fed.*
+ 14af3: 2803.*
+ 14af4: 0d4b.*
+ 14af5: 6fed.*
+ 14af6: 2804.*
+ 14af7: 0c4c.*
+ 14af8: 6fed.*
+ 14af9: 2805.*
+ 14afa: 0d4c.*
+ 14afb: 6fed.*
+ 14afc: 2806.*
+ 14afd: 0c4d.*
+ 14afe: 6fed.*
+ 14aff: 2807.*
+ 14b00: 0d4d.*
+ 14b01: 6fed.*
+ 14b02: 2808.*
+ 14b03: 0c4e.*
+ 14b04: 6fed.*
+ 14b05: 2809.*
+ 14b06: 0d4e.*
+ 14b07: 6fed.*
+ 14b08: 280a.*
+ 14b09: 0c4f.*
+ 14b0a: 6fed.*
+ 14b0b: 280b.*
+ 14b0c: 0d4f.*
+ 14b0d: 10f5.*
+ 14b0e: 280c.*
+ 14b0f: 11f5.*
+ 14b10: 280d.*
+ 14b11: 6ff5.*
+ 14b12: 280e.*
+ 14b13: 0c50.*
+ 14b14: 6ff5.*
+ 14b15: 280f.*
+ 14b16: 0d50.*
+ 14b17: 6ff5.*
+ 14b18: 2810.*
+ 14b19: 0c51.*
+ 14b1a: 6ff5.*
+ 14b1b: 2811.*
+ 14b1c: 0d51.*
+ 14b1d: 6ff5.*
+ 14b1e: 2812.*
+ 14b1f: 0c52.*
+ 14b20: 6ff5.*
+ 14b21: 2813.*
+ 14b22: 0d52.*
+ 14b23: 6ff5.*
+ 14b24: 2814.*
+ 14b25: 0c53.*
+ 14b26: 6ff5.*
+ 14b27: 2815.*
+ 14b28: 0d53.*
+ 14b29: 6ff5.*
+ 14b2a: 2816.*
+ 14b2b: 0c54.*
+ 14b2c: 6ff5.*
+ 14b2d: 2817.*
+ 14b2e: 0d54.*
+ 14b2f: 6ff5.*
+ 14b30: 2818.*
+ 14b31: 0c55.*
+ 14b32: 6ff5.*
+ 14b33: 2819.*
+ 14b34: 0d55.*
+ 14b35: 6ff5.*
+ 14b36: 281a.*
+ 14b37: 0c56.*
+ 14b38: 6ff5.*
+ 14b39: 281b.*
+ 14b3a: 0d56.*
+ 14b3b: 6ff5.*
+ 14b3c: 281c.*
+ 14b3d: 0c57.*
+ 14b3e: 6ff5.*
+ 14b3f: 281d.*
+ 14b40: 0d57.*
+ 14b41: 6ff5.*
+ 14b42: 281e.*
+ 14b43: 0c58.*
+ 14b44: 6ff5.*
+ 14b45: 281f.*
+ 14b46: 0d58.*
+ 14b47: 6ff5.*
+ 14b48: 2820.*
+ 14b49: 0c59.*
+ 14b4a: 6ff5.*
+ 14b4b: 2821.*
+ 14b4c: 0d59.*
+ 14b4d: 6ff5.*
+ 14b4e: 2822.*
+ 14b4f: 0c5a.*
+ 14b50: 6ff5.*
+ 14b51: 2823.*
+ 14b52: 0d5a.*
+ 14b53: 6ff5.*
+ 14b54: 2824.*
+ 14b55: 0c5b.*
+ 14b56: 6ff5.*
+ 14b57: 2825.*
+ 14b58: 0d5b.*
+ 14b59: 6ff5.*
+ 14b5a: 2826.*
+ 14b5b: 0c5c.*
+ 14b5c: 6ff5.*
+ 14b5d: 2827.*
+ 14b5e: 0d5c.*
+ 14b5f: 6ff5.*
+ 14b60: 2828.*
+ 14b61: 0c5d.*
+ 14b62: 6ff5.*
+ 14b63: 2829.*
+ 14b64: 0d5d.*
+ 14b65: 6ff5.*
+ 14b66: 282a.*
+ 14b67: 0c5e.*
+ 14b68: 6ff5.*
+ 14b69: 282b.*
+ 14b6a: 0d5e.*
+ 14b6b: 6ff5.*
+ 14b6c: 282c.*
+ 14b6d: 0c5f.*
+ 14b6e: 6ff5.*
+ 14b6f: 282d.*
+ 14b70: 0d5f.*
+ 14b71: 10f5.*
+ 14b72: 282e.*
+ 14b73: 11f5.*
+ 14b74: 282f.*
+ 14b75: 6ff5.*
+ 14b76: 2830.*
+ 14b77: 0c41.*
+ 14b78: 6ff5.*
+ 14b79: 2831.*
+ 14b7a: 0d41.*
+ 14b7b: 6ff5.*
+ 14b7c: 2832.*
+ 14b7d: 0c42.*
+ 14b7e: 6ff5.*
+ 14b7f: 2833.*
+ 14b80: 0d42.*
+ 14b81: 6ff5.*
+ 14b82: 2834.*
+ 14b83: 0c43.*
+ 14b84: 6ff5.*
+ 14b85: 2835.*
+ 14b86: 0d43.*
+ 14b87: 6ff5.*
+ 14b88: 2836.*
+ 14b89: 0c44.*
+ 14b8a: 6ff5.*
+ 14b8b: 2837.*
+ 14b8c: 0d44.*
+ 14b8d: 6ff5.*
+ 14b8e: 2838.*
+ 14b8f: 0c45.*
+ 14b90: 6ff5.*
+ 14b91: 2839.*
+ 14b92: 0d45.*
+ 14b93: 6ff5.*
+ 14b94: 283a.*
+ 14b95: 0c46.*
+ 14b96: 6ff5.*
+ 14b97: 283b.*
+ 14b98: 0d46.*
+ 14b99: 6ff5.*
+ 14b9a: 283c.*
+ 14b9b: 0c47.*
+ 14b9c: 6ff5.*
+ 14b9d: 283d.*
+ 14b9e: 0d47.*
+ 14b9f: 6ff5.*
+ 14ba0: 283e.*
+ 14ba1: 0c48.*
+ 14ba2: 6ff5.*
+ 14ba3: 283f.*
+ 14ba4: 0d48.*
+ 14ba5: 6ff5.*
+ 14ba6: 2840.*
+ 14ba7: 0c49.*
+ 14ba8: 6ff5.*
+ 14ba9: 2841.*
+ 14baa: 0d49.*
+ 14bab: 6ff5.*
+ 14bac: 2842.*
+ 14bad: 0c4a.*
+ 14bae: 6ff5.*
+ 14baf: 2843.*
+ 14bb0: 0d4a.*
+ 14bb1: 6ff5.*
+ 14bb2: 2844.*
+ 14bb3: 0c4b.*
+ 14bb4: 6ff5.*
+ 14bb5: 2845.*
+ 14bb6: 0d4b.*
+ 14bb7: 6ff5.*
+ 14bb8: 2846.*
+ 14bb9: 0c4c.*
+ 14bba: 6ff5.*
+ 14bbb: 2847.*
+ 14bbc: 0d4c.*
+ 14bbd: 6ff5.*
+ 14bbe: 2848.*
+ 14bbf: 0c4d.*
+ 14bc0: 6ff5.*
+ 14bc1: 2849.*
+ 14bc2: 0d4d.*
+ 14bc3: 6ff5.*
+ 14bc4: 284a.*
+ 14bc5: 0c4e.*
+ 14bc6: 6ff5.*
+ 14bc7: 284b.*
+ 14bc8: 0d4e.*
+ 14bc9: 6ff5.*
+ 14bca: 284c.*
+ 14bcb: 0c4f.*
+ 14bcc: 6ff5.*
+ 14bcd: 284d.*
+ 14bce: 0d4f.*
+ 14bcf: 10f8.*
+ 14bd0: 284e.*
+ 14bd1: 11f8.*
+ 14bd2: 284f.*
+ 14bd3: 6ff8.*
+ 14bd4: 2850.*
+ 14bd5: 0c50.*
+ 14bd6: 6ff8.*
+ 14bd7: 2851.*
+ 14bd8: 0d50.*
+ 14bd9: 6ff8.*
+ 14bda: 2852.*
+ 14bdb: 0c51.*
+ 14bdc: 6ff8.*
+ 14bdd: 2853.*
+ 14bde: 0d51.*
+ 14bdf: 6ff8.*
+ 14be0: 2854.*
+ 14be1: 0c52.*
+ 14be2: 6ff8.*
+ 14be3: 2855.*
+ 14be4: 0d52.*
+ 14be5: 6ff8.*
+ 14be6: 2856.*
+ 14be7: 0c53.*
+ 14be8: 6ff8.*
+ 14be9: 2857.*
+ 14bea: 0d53.*
+ 14beb: 6ff8.*
+ 14bec: 2858.*
+ 14bed: 0c54.*
+ 14bee: 6ff8.*
+ 14bef: 2859.*
+ 14bf0: 0d54.*
+ 14bf1: 6ff8.*
+ 14bf2: 285a.*
+ 14bf3: 0c55.*
+ 14bf4: 6ff8.*
+ 14bf5: 285b.*
+ 14bf6: 0d55.*
+ 14bf7: 6ff8.*
+ 14bf8: 285c.*
+ 14bf9: 0c56.*
+ 14bfa: 6ff8.*
+ 14bfb: 285d.*
+ 14bfc: 0d56.*
+ 14bfd: 6ff8.*
+ 14bfe: 285e.*
+ 14bff: 0c57.*
+ 14c00: 6ff8.*
+ 14c01: 285f.*
+ 14c02: 0d57.*
+ 14c03: 6ff8.*
+ 14c04: 2860.*
+ 14c05: 0c58.*
+ 14c06: 6ff8.*
+ 14c07: 2861.*
+ 14c08: 0d58.*
+ 14c09: 6ff8.*
+ 14c0a: 2862.*
+ 14c0b: 0c59.*
+ 14c0c: 6ff8.*
+ 14c0d: 2863.*
+ 14c0e: 0d59.*
+ 14c0f: 6ff8.*
+ 14c10: 2864.*
+ 14c11: 0c5a.*
+ 14c12: 6ff8.*
+ 14c13: 2865.*
+ 14c14: 0d5a.*
+ 14c15: 6ff8.*
+ 14c16: 2866.*
+ 14c17: 0c5b.*
+ 14c18: 6ff8.*
+ 14c19: 2867.*
+ 14c1a: 0d5b.*
+ 14c1b: 6ff8.*
+ 14c1c: 2868.*
+ 14c1d: 0c5c.*
+ 14c1e: 6ff8.*
+ 14c1f: 2869.*
+ 14c20: 0d5c.*
+ 14c21: 6ff8.*
+ 14c22: 286a.*
+ 14c23: 0c5d.*
+ 14c24: 6ff8.*
+ 14c25: 286b.*
+ 14c26: 0d5d.*
+ 14c27: 6ff8.*
+ 14c28: 286c.*
+ 14c29: 0c5e.*
+ 14c2a: 6ff8.*
+ 14c2b: 286d.*
+ 14c2c: 0d5e.*
+ 14c2d: 6ff8.*
+ 14c2e: 286e.*
+ 14c2f: 0c5f.*
+ 14c30: 6ff8.*
+ 14c31: 286f.*
+ 14c32: 0d5f.*
+ 14c33: 10f8.*
+ 14c34: 2870.*
+ 14c35: 11f8.*
+ 14c36: 2871.*
+ 14c37: 6ff8.*
+ 14c38: 2872.*
+ 14c39: 0c41.*
+ 14c3a: 6ff8.*
+ 14c3b: 2873.*
+ 14c3c: 0d41.*
+ 14c3d: 6ff8.*
+ 14c3e: 2874.*
+ 14c3f: 0c42.*
+ 14c40: 6ff8.*
+ 14c41: 2875.*
+ 14c42: 0d42.*
+ 14c43: 6ff8.*
+ 14c44: 2876.*
+ 14c45: 0c43.*
+ 14c46: 6ff8.*
+ 14c47: 2877.*
+ 14c48: 0d43.*
+ 14c49: 6ff8.*
+ 14c4a: 2878.*
+ 14c4b: 0c44.*
+ 14c4c: 6ff8.*
+ 14c4d: 2879.*
+ 14c4e: 0d44.*
+ 14c4f: 6ff8.*
+ 14c50: 287a.*
+ 14c51: 0c45.*
+ 14c52: 6ff8.*
+ 14c53: 287b.*
+ 14c54: 0d45.*
+ 14c55: 6ff8.*
+ 14c56: 287c.*
+ 14c57: 0c46.*
+ 14c58: 6ff8.*
+ 14c59: 287d.*
+ 14c5a: 0d46.*
+ 14c5b: 6ff8.*
+ 14c5c: 287e.*
+ 14c5d: 0c47.*
+ 14c5e: 6ff8.*
+ 14c5f: 287f.*
+ 14c60: 0d47.*
+ 14c61: 6ff8.*
+ 14c62: 2880.*
+ 14c63: 0c48.*
+ 14c64: 6ff8.*
+ 14c65: 2881.*
+ 14c66: 0d48.*
+ 14c67: 6ff8.*
+ 14c68: 2882.*
+ 14c69: 0c49.*
+ 14c6a: 6ff8.*
+ 14c6b: 2883.*
+ 14c6c: 0d49.*
+ 14c6d: 6ff8.*
+ 14c6e: 2884.*
+ 14c6f: 0c4a.*
+ 14c70: 6ff8.*
+ 14c71: 2885.*
+ 14c72: 0d4a.*
+ 14c73: 6ff8.*
+ 14c74: 2886.*
+ 14c75: 0c4b.*
+ 14c76: 6ff8.*
+ 14c77: 2887.*
+ 14c78: 0d4b.*
+ 14c79: 6ff8.*
+ 14c7a: 2888.*
+ 14c7b: 0c4c.*
+ 14c7c: 6ff8.*
+ 14c7d: 2889.*
+ 14c7e: 0d4c.*
+ 14c7f: 6ff8.*
+ 14c80: 288a.*
+ 14c81: 0c4d.*
+ 14c82: 6ff8.*
+ 14c83: 288b.*
+ 14c84: 0d4d.*
+ 14c85: 6ff8.*
+ 14c86: 288c.*
+ 14c87: 0c4e.*
+ 14c88: 6ff8.*
+ 14c89: 288d.*
+ 14c8a: 0d4e.*
+ 14c8b: 6ff8.*
+ 14c8c: 288e.*
+ 14c8d: 0c4f.*
+ 14c8e: 6ff8.*
+ 14c8f: 288f.*
+ 14c90: 0d4f.*
+ 14c91: 1086.*
+ 14c92: 1186.*
+ 14c93: 6f86.*
+ 14c94: 0c50.*
+ 14c95: 6f86.*
+ 14c96: 0d50.*
+ 14c97: 6f86.*
+ 14c98: 0c51.*
+ 14c99: 6f86.*
+ 14c9a: 0d51.*
+ 14c9b: 6f86.*
+ 14c9c: 0c52.*
+ 14c9d: 6f86.*
+ 14c9e: 0d52.*
+ 14c9f: 6f86.*
+ 14ca0: 0c53.*
+ 14ca1: 6f86.*
+ 14ca2: 0d53.*
+ 14ca3: 6f86.*
+ 14ca4: 0c54.*
+ 14ca5: 6f86.*
+ 14ca6: 0d54.*
+ 14ca7: 6f86.*
+ 14ca8: 0c55.*
+ 14ca9: 6f86.*
+ 14caa: 0d55.*
+ 14cab: 6f86.*
+ 14cac: 0c56.*
+ 14cad: 6f86.*
+ 14cae: 0d56.*
+ 14caf: 6f86.*
+ 14cb0: 0c57.*
+ 14cb1: 6f86.*
+ 14cb2: 0d57.*
+ 14cb3: 6f86.*
+ 14cb4: 0c58.*
+ 14cb5: 6f86.*
+ 14cb6: 0d58.*
+ 14cb7: 6f86.*
+ 14cb8: 0c59.*
+ 14cb9: 6f86.*
+ 14cba: 0d59.*
+ 14cbb: 6f86.*
+ 14cbc: 0c5a.*
+ 14cbd: 6f86.*
+ 14cbe: 0d5a.*
+ 14cbf: 6f86.*
+ 14cc0: 0c5b.*
+ 14cc1: 6f86.*
+ 14cc2: 0d5b.*
+ 14cc3: 6f86.*
+ 14cc4: 0c5c.*
+ 14cc5: 6f86.*
+ 14cc6: 0d5c.*
+ 14cc7: 6f86.*
+ 14cc8: 0c5d.*
+ 14cc9: 6f86.*
+ 14cca: 0d5d.*
+ 14ccb: 6f86.*
+ 14ccc: 0c5e.*
+ 14ccd: 6f86.*
+ 14cce: 0d5e.*
+ 14ccf: 6f86.*
+ 14cd0: 0c5f.*
+ 14cd1: 6f86.*
+ 14cd2: 0d5f.*
+ 14cd3: 1086.*
+ 14cd4: 1186.*
+ 14cd5: 6f86.*
+ 14cd6: 0c41.*
+ 14cd7: 6f86.*
+ 14cd8: 0d41.*
+ 14cd9: 6f86.*
+ 14cda: 0c42.*
+ 14cdb: 6f86.*
+ 14cdc: 0d42.*
+ 14cdd: 6f86.*
+ 14cde: 0c43.*
+ 14cdf: 6f86.*
+ 14ce0: 0d43.*
+ 14ce1: 6f86.*
+ 14ce2: 0c44.*
+ 14ce3: 6f86.*
+ 14ce4: 0d44.*
+ 14ce5: 6f86.*
+ 14ce6: 0c45.*
+ 14ce7: 6f86.*
+ 14ce8: 0d45.*
+ 14ce9: 6f86.*
+ 14cea: 0c46.*
+ 14ceb: 6f86.*
+ 14cec: 0d46.*
+ 14ced: 6f86.*
+ 14cee: 0c47.*
+ 14cef: 6f86.*
+ 14cf0: 0d47.*
+ 14cf1: 6f86.*
+ 14cf2: 0c48.*
+ 14cf3: 6f86.*
+ 14cf4: 0d48.*
+ 14cf5: 6f86.*
+ 14cf6: 0c49.*
+ 14cf7: 6f86.*
+ 14cf8: 0d49.*
+ 14cf9: 6f86.*
+ 14cfa: 0c4a.*
+ 14cfb: 6f86.*
+ 14cfc: 0d4a.*
+ 14cfd: 6f86.*
+ 14cfe: 0c4b.*
+ 14cff: 6f86.*
+ 14d00: 0d4b.*
+ 14d01: 6f86.*
+ 14d02: 0c4c.*
+ 14d03: 6f86.*
+ 14d04: 0d4c.*
+ 14d05: 6f86.*
+ 14d06: 0c4d.*
+ 14d07: 6f86.*
+ 14d08: 0d4d.*
+ 14d09: 6f86.*
+ 14d0a: 0c4e.*
+ 14d0b: 6f86.*
+ 14d0c: 0d4e.*
+ 14d0d: 6f86.*
+ 14d0e: 0c4f.*
+ 14d0f: 6f86.*
+ 14d10: 0d4f.*
+ 14d11: 108e.*
+ 14d12: 118e.*
+ 14d13: 6f8e.*
+ 14d14: 0c50.*
+ 14d15: 6f8e.*
+ 14d16: 0d50.*
+ 14d17: 6f8e.*
+ 14d18: 0c51.*
+ 14d19: 6f8e.*
+ 14d1a: 0d51.*
+ 14d1b: 6f8e.*
+ 14d1c: 0c52.*
+ 14d1d: 6f8e.*
+ 14d1e: 0d52.*
+ 14d1f: 6f8e.*
+ 14d20: 0c53.*
+ 14d21: 6f8e.*
+ 14d22: 0d53.*
+ 14d23: 6f8e.*
+ 14d24: 0c54.*
+ 14d25: 6f8e.*
+ 14d26: 0d54.*
+ 14d27: 6f8e.*
+ 14d28: 0c55.*
+ 14d29: 6f8e.*
+ 14d2a: 0d55.*
+ 14d2b: 6f8e.*
+ 14d2c: 0c56.*
+ 14d2d: 6f8e.*
+ 14d2e: 0d56.*
+ 14d2f: 6f8e.*
+ 14d30: 0c57.*
+ 14d31: 6f8e.*
+ 14d32: 0d57.*
+ 14d33: 6f8e.*
+ 14d34: 0c58.*
+ 14d35: 6f8e.*
+ 14d36: 0d58.*
+ 14d37: 6f8e.*
+ 14d38: 0c59.*
+ 14d39: 6f8e.*
+ 14d3a: 0d59.*
+ 14d3b: 6f8e.*
+ 14d3c: 0c5a.*
+ 14d3d: 6f8e.*
+ 14d3e: 0d5a.*
+ 14d3f: 6f8e.*
+ 14d40: 0c5b.*
+ 14d41: 6f8e.*
+ 14d42: 0d5b.*
+ 14d43: 6f8e.*
+ 14d44: 0c5c.*
+ 14d45: 6f8e.*
+ 14d46: 0d5c.*
+ 14d47: 6f8e.*
+ 14d48: 0c5d.*
+ 14d49: 6f8e.*
+ 14d4a: 0d5d.*
+ 14d4b: 6f8e.*
+ 14d4c: 0c5e.*
+ 14d4d: 6f8e.*
+ 14d4e: 0d5e.*
+ 14d4f: 6f8e.*
+ 14d50: 0c5f.*
+ 14d51: 6f8e.*
+ 14d52: 0d5f.*
+ 14d53: 108e.*
+ 14d54: 118e.*
+ 14d55: 6f8e.*
+ 14d56: 0c41.*
+ 14d57: 6f8e.*
+ 14d58: 0d41.*
+ 14d59: 6f8e.*
+ 14d5a: 0c42.*
+ 14d5b: 6f8e.*
+ 14d5c: 0d42.*
+ 14d5d: 6f8e.*
+ 14d5e: 0c43.*
+ 14d5f: 6f8e.*
+ 14d60: 0d43.*
+ 14d61: 6f8e.*
+ 14d62: 0c44.*
+ 14d63: 6f8e.*
+ 14d64: 0d44.*
+ 14d65: 6f8e.*
+ 14d66: 0c45.*
+ 14d67: 6f8e.*
+ 14d68: 0d45.*
+ 14d69: 6f8e.*
+ 14d6a: 0c46.*
+ 14d6b: 6f8e.*
+ 14d6c: 0d46.*
+ 14d6d: 6f8e.*
+ 14d6e: 0c47.*
+ 14d6f: 6f8e.*
+ 14d70: 0d47.*
+ 14d71: 6f8e.*
+ 14d72: 0c48.*
+ 14d73: 6f8e.*
+ 14d74: 0d48.*
+ 14d75: 6f8e.*
+ 14d76: 0c49.*
+ 14d77: 6f8e.*
+ 14d78: 0d49.*
+ 14d79: 6f8e.*
+ 14d7a: 0c4a.*
+ 14d7b: 6f8e.*
+ 14d7c: 0d4a.*
+ 14d7d: 6f8e.*
+ 14d7e: 0c4b.*
+ 14d7f: 6f8e.*
+ 14d80: 0d4b.*
+ 14d81: 6f8e.*
+ 14d82: 0c4c.*
+ 14d83: 6f8e.*
+ 14d84: 0d4c.*
+ 14d85: 6f8e.*
+ 14d86: 0c4d.*
+ 14d87: 6f8e.*
+ 14d88: 0d4d.*
+ 14d89: 6f8e.*
+ 14d8a: 0c4e.*
+ 14d8b: 6f8e.*
+ 14d8c: 0d4e.*
+ 14d8d: 6f8e.*
+ 14d8e: 0c4f.*
+ 14d8f: 6f8e.*
+ 14d90: 0d4f.*
+ 14d91: 1096.*
+ 14d92: 1196.*
+ 14d93: 6f96.*
+ 14d94: 0c50.*
+ 14d95: 6f96.*
+ 14d96: 0d50.*
+ 14d97: 6f96.*
+ 14d98: 0c51.*
+ 14d99: 6f96.*
+ 14d9a: 0d51.*
+ 14d9b: 6f96.*
+ 14d9c: 0c52.*
+ 14d9d: 6f96.*
+ 14d9e: 0d52.*
+ 14d9f: 6f96.*
+ 14da0: 0c53.*
+ 14da1: 6f96.*
+ 14da2: 0d53.*
+ 14da3: 6f96.*
+ 14da4: 0c54.*
+ 14da5: 6f96.*
+ 14da6: 0d54.*
+ 14da7: 6f96.*
+ 14da8: 0c55.*
+ 14da9: 6f96.*
+ 14daa: 0d55.*
+ 14dab: 6f96.*
+ 14dac: 0c56.*
+ 14dad: 6f96.*
+ 14dae: 0d56.*
+ 14daf: 6f96.*
+ 14db0: 0c57.*
+ 14db1: 6f96.*
+ 14db2: 0d57.*
+ 14db3: 6f96.*
+ 14db4: 0c58.*
+ 14db5: 6f96.*
+ 14db6: 0d58.*
+ 14db7: 6f96.*
+ 14db8: 0c59.*
+ 14db9: 6f96.*
+ 14dba: 0d59.*
+ 14dbb: 6f96.*
+ 14dbc: 0c5a.*
+ 14dbd: 6f96.*
+ 14dbe: 0d5a.*
+ 14dbf: 6f96.*
+ 14dc0: 0c5b.*
+ 14dc1: 6f96.*
+ 14dc2: 0d5b.*
+ 14dc3: 6f96.*
+ 14dc4: 0c5c.*
+ 14dc5: 6f96.*
+ 14dc6: 0d5c.*
+ 14dc7: 6f96.*
+ 14dc8: 0c5d.*
+ 14dc9: 6f96.*
+ 14dca: 0d5d.*
+ 14dcb: 6f96.*
+ 14dcc: 0c5e.*
+ 14dcd: 6f96.*
+ 14dce: 0d5e.*
+ 14dcf: 6f96.*
+ 14dd0: 0c5f.*
+ 14dd1: 6f96.*
+ 14dd2: 0d5f.*
+ 14dd3: 1096.*
+ 14dd4: 1196.*
+ 14dd5: 6f96.*
+ 14dd6: 0c41.*
+ 14dd7: 6f96.*
+ 14dd8: 0d41.*
+ 14dd9: 6f96.*
+ 14dda: 0c42.*
+ 14ddb: 6f96.*
+ 14ddc: 0d42.*
+ 14ddd: 6f96.*
+ 14dde: 0c43.*
+ 14ddf: 6f96.*
+ 14de0: 0d43.*
+ 14de1: 6f96.*
+ 14de2: 0c44.*
+ 14de3: 6f96.*
+ 14de4: 0d44.*
+ 14de5: 6f96.*
+ 14de6: 0c45.*
+ 14de7: 6f96.*
+ 14de8: 0d45.*
+ 14de9: 6f96.*
+ 14dea: 0c46.*
+ 14deb: 6f96.*
+ 14dec: 0d46.*
+ 14ded: 6f96.*
+ 14dee: 0c47.*
+ 14def: 6f96.*
+ 14df0: 0d47.*
+ 14df1: 6f96.*
+ 14df2: 0c48.*
+ 14df3: 6f96.*
+ 14df4: 0d48.*
+ 14df5: 6f96.*
+ 14df6: 0c49.*
+ 14df7: 6f96.*
+ 14df8: 0d49.*
+ 14df9: 6f96.*
+ 14dfa: 0c4a.*
+ 14dfb: 6f96.*
+ 14dfc: 0d4a.*
+ 14dfd: 6f96.*
+ 14dfe: 0c4b.*
+ 14dff: 6f96.*
+ 14e00: 0d4b.*
+ 14e01: 6f96.*
+ 14e02: 0c4c.*
+ 14e03: 6f96.*
+ 14e04: 0d4c.*
+ 14e05: 6f96.*
+ 14e06: 0c4d.*
+ 14e07: 6f96.*
+ 14e08: 0d4d.*
+ 14e09: 6f96.*
+ 14e0a: 0c4e.*
+ 14e0b: 6f96.*
+ 14e0c: 0d4e.*
+ 14e0d: 6f96.*
+ 14e0e: 0c4f.*
+ 14e0f: 6f96.*
+ 14e10: 0d4f.*
+ 14e11: 10a6.*
+ 14e12: 11a6.*
+ 14e13: 6fa6.*
+ 14e14: 0c50.*
+ 14e15: 6fa6.*
+ 14e16: 0d50.*
+ 14e17: 6fa6.*
+ 14e18: 0c51.*
+ 14e19: 6fa6.*
+ 14e1a: 0d51.*
+ 14e1b: 6fa6.*
+ 14e1c: 0c52.*
+ 14e1d: 6fa6.*
+ 14e1e: 0d52.*
+ 14e1f: 6fa6.*
+ 14e20: 0c53.*
+ 14e21: 6fa6.*
+ 14e22: 0d53.*
+ 14e23: 6fa6.*
+ 14e24: 0c54.*
+ 14e25: 6fa6.*
+ 14e26: 0d54.*
+ 14e27: 6fa6.*
+ 14e28: 0c55.*
+ 14e29: 6fa6.*
+ 14e2a: 0d55.*
+ 14e2b: 6fa6.*
+ 14e2c: 0c56.*
+ 14e2d: 6fa6.*
+ 14e2e: 0d56.*
+ 14e2f: 6fa6.*
+ 14e30: 0c57.*
+ 14e31: 6fa6.*
+ 14e32: 0d57.*
+ 14e33: 6fa6.*
+ 14e34: 0c58.*
+ 14e35: 6fa6.*
+ 14e36: 0d58.*
+ 14e37: 6fa6.*
+ 14e38: 0c59.*
+ 14e39: 6fa6.*
+ 14e3a: 0d59.*
+ 14e3b: 6fa6.*
+ 14e3c: 0c5a.*
+ 14e3d: 6fa6.*
+ 14e3e: 0d5a.*
+ 14e3f: 6fa6.*
+ 14e40: 0c5b.*
+ 14e41: 6fa6.*
+ 14e42: 0d5b.*
+ 14e43: 6fa6.*
+ 14e44: 0c5c.*
+ 14e45: 6fa6.*
+ 14e46: 0d5c.*
+ 14e47: 6fa6.*
+ 14e48: 0c5d.*
+ 14e49: 6fa6.*
+ 14e4a: 0d5d.*
+ 14e4b: 6fa6.*
+ 14e4c: 0c5e.*
+ 14e4d: 6fa6.*
+ 14e4e: 0d5e.*
+ 14e4f: 6fa6.*
+ 14e50: 0c5f.*
+ 14e51: 6fa6.*
+ 14e52: 0d5f.*
+ 14e53: 10a6.*
+ 14e54: 11a6.*
+ 14e55: 6fa6.*
+ 14e56: 0c41.*
+ 14e57: 6fa6.*
+ 14e58: 0d41.*
+ 14e59: 6fa6.*
+ 14e5a: 0c42.*
+ 14e5b: 6fa6.*
+ 14e5c: 0d42.*
+ 14e5d: 6fa6.*
+ 14e5e: 0c43.*
+ 14e5f: 6fa6.*
+ 14e60: 0d43.*
+ 14e61: 6fa6.*
+ 14e62: 0c44.*
+ 14e63: 6fa6.*
+ 14e64: 0d44.*
+ 14e65: 6fa6.*
+ 14e66: 0c45.*
+ 14e67: 6fa6.*
+ 14e68: 0d45.*
+ 14e69: 6fa6.*
+ 14e6a: 0c46.*
+ 14e6b: 6fa6.*
+ 14e6c: 0d46.*
+ 14e6d: 6fa6.*
+ 14e6e: 0c47.*
+ 14e6f: 6fa6.*
+ 14e70: 0d47.*
+ 14e71: 6fa6.*
+ 14e72: 0c48.*
+ 14e73: 6fa6.*
+ 14e74: 0d48.*
+ 14e75: 6fa6.*
+ 14e76: 0c49.*
+ 14e77: 6fa6.*
+ 14e78: 0d49.*
+ 14e79: 6fa6.*
+ 14e7a: 0c4a.*
+ 14e7b: 6fa6.*
+ 14e7c: 0d4a.*
+ 14e7d: 6fa6.*
+ 14e7e: 0c4b.*
+ 14e7f: 6fa6.*
+ 14e80: 0d4b.*
+ 14e81: 6fa6.*
+ 14e82: 0c4c.*
+ 14e83: 6fa6.*
+ 14e84: 0d4c.*
+ 14e85: 6fa6.*
+ 14e86: 0c4d.*
+ 14e87: 6fa6.*
+ 14e88: 0d4d.*
+ 14e89: 6fa6.*
+ 14e8a: 0c4e.*
+ 14e8b: 6fa6.*
+ 14e8c: 0d4e.*
+ 14e8d: 6fa6.*
+ 14e8e: 0c4f.*
+ 14e8f: 6fa6.*
+ 14e90: 0d4f.*
+ 14e91: 10ae.*
+ 14e92: 11ae.*
+ 14e93: 6fae.*
+ 14e94: 0c50.*
+ 14e95: 6fae.*
+ 14e96: 0d50.*
+ 14e97: 6fae.*
+ 14e98: 0c51.*
+ 14e99: 6fae.*
+ 14e9a: 0d51.*
+ 14e9b: 6fae.*
+ 14e9c: 0c52.*
+ 14e9d: 6fae.*
+ 14e9e: 0d52.*
+ 14e9f: 6fae.*
+ 14ea0: 0c53.*
+ 14ea1: 6fae.*
+ 14ea2: 0d53.*
+ 14ea3: 6fae.*
+ 14ea4: 0c54.*
+ 14ea5: 6fae.*
+ 14ea6: 0d54.*
+ 14ea7: 6fae.*
+ 14ea8: 0c55.*
+ 14ea9: 6fae.*
+ 14eaa: 0d55.*
+ 14eab: 6fae.*
+ 14eac: 0c56.*
+ 14ead: 6fae.*
+ 14eae: 0d56.*
+ 14eaf: 6fae.*
+ 14eb0: 0c57.*
+ 14eb1: 6fae.*
+ 14eb2: 0d57.*
+ 14eb3: 6fae.*
+ 14eb4: 0c58.*
+ 14eb5: 6fae.*
+ 14eb6: 0d58.*
+ 14eb7: 6fae.*
+ 14eb8: 0c59.*
+ 14eb9: 6fae.*
+ 14eba: 0d59.*
+ 14ebb: 6fae.*
+ 14ebc: 0c5a.*
+ 14ebd: 6fae.*
+ 14ebe: 0d5a.*
+ 14ebf: 6fae.*
+ 14ec0: 0c5b.*
+ 14ec1: 6fae.*
+ 14ec2: 0d5b.*
+ 14ec3: 6fae.*
+ 14ec4: 0c5c.*
+ 14ec5: 6fae.*
+ 14ec6: 0d5c.*
+ 14ec7: 6fae.*
+ 14ec8: 0c5d.*
+ 14ec9: 6fae.*
+ 14eca: 0d5d.*
+ 14ecb: 6fae.*
+ 14ecc: 0c5e.*
+ 14ecd: 6fae.*
+ 14ece: 0d5e.*
+ 14ecf: 6fae.*
+ 14ed0: 0c5f.*
+ 14ed1: 6fae.*
+ 14ed2: 0d5f.*
+ 14ed3: 10ae.*
+ 14ed4: 11ae.*
+ 14ed5: 6fae.*
+ 14ed6: 0c41.*
+ 14ed7: 6fae.*
+ 14ed8: 0d41.*
+ 14ed9: 6fae.*
+ 14eda: 0c42.*
+ 14edb: 6fae.*
+ 14edc: 0d42.*
+ 14edd: 6fae.*
+ 14ede: 0c43.*
+ 14edf: 6fae.*
+ 14ee0: 0d43.*
+ 14ee1: 6fae.*
+ 14ee2: 0c44.*
+ 14ee3: 6fae.*
+ 14ee4: 0d44.*
+ 14ee5: 6fae.*
+ 14ee6: 0c45.*
+ 14ee7: 6fae.*
+ 14ee8: 0d45.*
+ 14ee9: 6fae.*
+ 14eea: 0c46.*
+ 14eeb: 6fae.*
+ 14eec: 0d46.*
+ 14eed: 6fae.*
+ 14eee: 0c47.*
+ 14eef: 6fae.*
+ 14ef0: 0d47.*
+ 14ef1: 6fae.*
+ 14ef2: 0c48.*
+ 14ef3: 6fae.*
+ 14ef4: 0d48.*
+ 14ef5: 6fae.*
+ 14ef6: 0c49.*
+ 14ef7: 6fae.*
+ 14ef8: 0d49.*
+ 14ef9: 6fae.*
+ 14efa: 0c4a.*
+ 14efb: 6fae.*
+ 14efc: 0d4a.*
+ 14efd: 6fae.*
+ 14efe: 0c4b.*
+ 14eff: 6fae.*
+ 14f00: 0d4b.*
+ 14f01: 6fae.*
+ 14f02: 0c4c.*
+ 14f03: 6fae.*
+ 14f04: 0d4c.*
+ 14f05: 6fae.*
+ 14f06: 0c4d.*
+ 14f07: 6fae.*
+ 14f08: 0d4d.*
+ 14f09: 6fae.*
+ 14f0a: 0c4e.*
+ 14f0b: 6fae.*
+ 14f0c: 0d4e.*
+ 14f0d: 6fae.*
+ 14f0e: 0c4f.*
+ 14f0f: 6fae.*
+ 14f10: 0d4f.*
+ 14f11: 10b6.*
+ 14f12: 11b6.*
+ 14f13: 6fb6.*
+ 14f14: 0c50.*
+ 14f15: 6fb6.*
+ 14f16: 0d50.*
+ 14f17: 6fb6.*
+ 14f18: 0c51.*
+ 14f19: 6fb6.*
+ 14f1a: 0d51.*
+ 14f1b: 6fb6.*
+ 14f1c: 0c52.*
+ 14f1d: 6fb6.*
+ 14f1e: 0d52.*
+ 14f1f: 6fb6.*
+ 14f20: 0c53.*
+ 14f21: 6fb6.*
+ 14f22: 0d53.*
+ 14f23: 6fb6.*
+ 14f24: 0c54.*
+ 14f25: 6fb6.*
+ 14f26: 0d54.*
+ 14f27: 6fb6.*
+ 14f28: 0c55.*
+ 14f29: 6fb6.*
+ 14f2a: 0d55.*
+ 14f2b: 6fb6.*
+ 14f2c: 0c56.*
+ 14f2d: 6fb6.*
+ 14f2e: 0d56.*
+ 14f2f: 6fb6.*
+ 14f30: 0c57.*
+ 14f31: 6fb6.*
+ 14f32: 0d57.*
+ 14f33: 6fb6.*
+ 14f34: 0c58.*
+ 14f35: 6fb6.*
+ 14f36: 0d58.*
+ 14f37: 6fb6.*
+ 14f38: 0c59.*
+ 14f39: 6fb6.*
+ 14f3a: 0d59.*
+ 14f3b: 6fb6.*
+ 14f3c: 0c5a.*
+ 14f3d: 6fb6.*
+ 14f3e: 0d5a.*
+ 14f3f: 6fb6.*
+ 14f40: 0c5b.*
+ 14f41: 6fb6.*
+ 14f42: 0d5b.*
+ 14f43: 6fb6.*
+ 14f44: 0c5c.*
+ 14f45: 6fb6.*
+ 14f46: 0d5c.*
+ 14f47: 6fb6.*
+ 14f48: 0c5d.*
+ 14f49: 6fb6.*
+ 14f4a: 0d5d.*
+ 14f4b: 6fb6.*
+ 14f4c: 0c5e.*
+ 14f4d: 6fb6.*
+ 14f4e: 0d5e.*
+ 14f4f: 6fb6.*
+ 14f50: 0c5f.*
+ 14f51: 6fb6.*
+ 14f52: 0d5f.*
+ 14f53: 10b6.*
+ 14f54: 11b6.*
+ 14f55: 6fb6.*
+ 14f56: 0c41.*
+ 14f57: 6fb6.*
+ 14f58: 0d41.*
+ 14f59: 6fb6.*
+ 14f5a: 0c42.*
+ 14f5b: 6fb6.*
+ 14f5c: 0d42.*
+ 14f5d: 6fb6.*
+ 14f5e: 0c43.*
+ 14f5f: 6fb6.*
+ 14f60: 0d43.*
+ 14f61: 6fb6.*
+ 14f62: 0c44.*
+ 14f63: 6fb6.*
+ 14f64: 0d44.*
+ 14f65: 6fb6.*
+ 14f66: 0c45.*
+ 14f67: 6fb6.*
+ 14f68: 0d45.*
+ 14f69: 6fb6.*
+ 14f6a: 0c46.*
+ 14f6b: 6fb6.*
+ 14f6c: 0d46.*
+ 14f6d: 6fb6.*
+ 14f6e: 0c47.*
+ 14f6f: 6fb6.*
+ 14f70: 0d47.*
+ 14f71: 6fb6.*
+ 14f72: 0c48.*
+ 14f73: 6fb6.*
+ 14f74: 0d48.*
+ 14f75: 6fb6.*
+ 14f76: 0c49.*
+ 14f77: 6fb6.*
+ 14f78: 0d49.*
+ 14f79: 6fb6.*
+ 14f7a: 0c4a.*
+ 14f7b: 6fb6.*
+ 14f7c: 0d4a.*
+ 14f7d: 6fb6.*
+ 14f7e: 0c4b.*
+ 14f7f: 6fb6.*
+ 14f80: 0d4b.*
+ 14f81: 6fb6.*
+ 14f82: 0c4c.*
+ 14f83: 6fb6.*
+ 14f84: 0d4c.*
+ 14f85: 6fb6.*
+ 14f86: 0c4d.*
+ 14f87: 6fb6.*
+ 14f88: 0d4d.*
+ 14f89: 6fb6.*
+ 14f8a: 0c4e.*
+ 14f8b: 6fb6.*
+ 14f8c: 0d4e.*
+ 14f8d: 6fb6.*
+ 14f8e: 0c4f.*
+ 14f8f: 6fb6.*
+ 14f90: 0d4f.*
+ 14f91: 10be.*
+ 14f92: 11be.*
+ 14f93: 6fbe.*
+ 14f94: 0c50.*
+ 14f95: 6fbe.*
+ 14f96: 0d50.*
+ 14f97: 6fbe.*
+ 14f98: 0c51.*
+ 14f99: 6fbe.*
+ 14f9a: 0d51.*
+ 14f9b: 6fbe.*
+ 14f9c: 0c52.*
+ 14f9d: 6fbe.*
+ 14f9e: 0d52.*
+ 14f9f: 6fbe.*
+ 14fa0: 0c53.*
+ 14fa1: 6fbe.*
+ 14fa2: 0d53.*
+ 14fa3: 6fbe.*
+ 14fa4: 0c54.*
+ 14fa5: 6fbe.*
+ 14fa6: 0d54.*
+ 14fa7: 6fbe.*
+ 14fa8: 0c55.*
+ 14fa9: 6fbe.*
+ 14faa: 0d55.*
+ 14fab: 6fbe.*
+ 14fac: 0c56.*
+ 14fad: 6fbe.*
+ 14fae: 0d56.*
+ 14faf: 6fbe.*
+ 14fb0: 0c57.*
+ 14fb1: 6fbe.*
+ 14fb2: 0d57.*
+ 14fb3: 6fbe.*
+ 14fb4: 0c58.*
+ 14fb5: 6fbe.*
+ 14fb6: 0d58.*
+ 14fb7: 6fbe.*
+ 14fb8: 0c59.*
+ 14fb9: 6fbe.*
+ 14fba: 0d59.*
+ 14fbb: 6fbe.*
+ 14fbc: 0c5a.*
+ 14fbd: 6fbe.*
+ 14fbe: 0d5a.*
+ 14fbf: 6fbe.*
+ 14fc0: 0c5b.*
+ 14fc1: 6fbe.*
+ 14fc2: 0d5b.*
+ 14fc3: 6fbe.*
+ 14fc4: 0c5c.*
+ 14fc5: 6fbe.*
+ 14fc6: 0d5c.*
+ 14fc7: 6fbe.*
+ 14fc8: 0c5d.*
+ 14fc9: 6fbe.*
+ 14fca: 0d5d.*
+ 14fcb: 6fbe.*
+ 14fcc: 0c5e.*
+ 14fcd: 6fbe.*
+ 14fce: 0d5e.*
+ 14fcf: 6fbe.*
+ 14fd0: 0c5f.*
+ 14fd1: 6fbe.*
+ 14fd2: 0d5f.*
+ 14fd3: 10be.*
+ 14fd4: 11be.*
+ 14fd5: 6fbe.*
+ 14fd6: 0c41.*
+ 14fd7: 6fbe.*
+ 14fd8: 0d41.*
+ 14fd9: 6fbe.*
+ 14fda: 0c42.*
+ 14fdb: 6fbe.*
+ 14fdc: 0d42.*
+ 14fdd: 6fbe.*
+ 14fde: 0c43.*
+ 14fdf: 6fbe.*
+ 14fe0: 0d43.*
+ 14fe1: 6fbe.*
+ 14fe2: 0c44.*
+ 14fe3: 6fbe.*
+ 14fe4: 0d44.*
+ 14fe5: 6fbe.*
+ 14fe6: 0c45.*
+ 14fe7: 6fbe.*
+ 14fe8: 0d45.*
+ 14fe9: 6fbe.*
+ 14fea: 0c46.*
+ 14feb: 6fbe.*
+ 14fec: 0d46.*
+ 14fed: 6fbe.*
+ 14fee: 0c47.*
+ 14fef: 6fbe.*
+ 14ff0: 0d47.*
+ 14ff1: 6fbe.*
+ 14ff2: 0c48.*
+ 14ff3: 6fbe.*
+ 14ff4: 0d48.*
+ 14ff5: 6fbe.*
+ 14ff6: 0c49.*
+ 14ff7: 6fbe.*
+ 14ff8: 0d49.*
+ 14ff9: 6fbe.*
+ 14ffa: 0c4a.*
+ 14ffb: 6fbe.*
+ 14ffc: 0d4a.*
+ 14ffd: 6fbe.*
+ 14ffe: 0c4b.*
+ 14fff: 6fbe.*
+ 15000: 0d4b.*
+ 15001: 6fbe.*
+ 15002: 0c4c.*
+ 15003: 6fbe.*
+ 15004: 0d4c.*
+ 15005: 6fbe.*
+ 15006: 0c4d.*
+ 15007: 6fbe.*
+ 15008: 0d4d.*
+ 15009: 6fbe.*
+ 1500a: 0c4e.*
+ 1500b: 6fbe.*
+ 1500c: 0d4e.*
+ 1500d: 6fbe.*
+ 1500e: 0c4f.*
+ 1500f: 6fbe.*
+ 15010: 0d4f.*
+ 15011: 10c6.*
+ 15012: 11c6.*
+ 15013: 6fc6.*
+ 15014: 0c50.*
+ 15015: 6fc6.*
+ 15016: 0d50.*
+ 15017: 6fc6.*
+ 15018: 0c51.*
+ 15019: 6fc6.*
+ 1501a: 0d51.*
+ 1501b: 6fc6.*
+ 1501c: 0c52.*
+ 1501d: 6fc6.*
+ 1501e: 0d52.*
+ 1501f: 6fc6.*
+ 15020: 0c53.*
+ 15021: 6fc6.*
+ 15022: 0d53.*
+ 15023: 6fc6.*
+ 15024: 0c54.*
+ 15025: 6fc6.*
+ 15026: 0d54.*
+ 15027: 6fc6.*
+ 15028: 0c55.*
+ 15029: 6fc6.*
+ 1502a: 0d55.*
+ 1502b: 6fc6.*
+ 1502c: 0c56.*
+ 1502d: 6fc6.*
+ 1502e: 0d56.*
+ 1502f: 6fc6.*
+ 15030: 0c57.*
+ 15031: 6fc6.*
+ 15032: 0d57.*
+ 15033: 6fc6.*
+ 15034: 0c58.*
+ 15035: 6fc6.*
+ 15036: 0d58.*
+ 15037: 6fc6.*
+ 15038: 0c59.*
+ 15039: 6fc6.*
+ 1503a: 0d59.*
+ 1503b: 6fc6.*
+ 1503c: 0c5a.*
+ 1503d: 6fc6.*
+ 1503e: 0d5a.*
+ 1503f: 6fc6.*
+ 15040: 0c5b.*
+ 15041: 6fc6.*
+ 15042: 0d5b.*
+ 15043: 6fc6.*
+ 15044: 0c5c.*
+ 15045: 6fc6.*
+ 15046: 0d5c.*
+ 15047: 6fc6.*
+ 15048: 0c5d.*
+ 15049: 6fc6.*
+ 1504a: 0d5d.*
+ 1504b: 6fc6.*
+ 1504c: 0c5e.*
+ 1504d: 6fc6.*
+ 1504e: 0d5e.*
+ 1504f: 6fc6.*
+ 15050: 0c5f.*
+ 15051: 6fc6.*
+ 15052: 0d5f.*
+ 15053: 10c6.*
+ 15054: 11c6.*
+ 15055: 6fc6.*
+ 15056: 0c41.*
+ 15057: 6fc6.*
+ 15058: 0d41.*
+ 15059: 6fc6.*
+ 1505a: 0c42.*
+ 1505b: 6fc6.*
+ 1505c: 0d42.*
+ 1505d: 6fc6.*
+ 1505e: 0c43.*
+ 1505f: 6fc6.*
+ 15060: 0d43.*
+ 15061: 6fc6.*
+ 15062: 0c44.*
+ 15063: 6fc6.*
+ 15064: 0d44.*
+ 15065: 6fc6.*
+ 15066: 0c45.*
+ 15067: 6fc6.*
+ 15068: 0d45.*
+ 15069: 6fc6.*
+ 1506a: 0c46.*
+ 1506b: 6fc6.*
+ 1506c: 0d46.*
+ 1506d: 6fc6.*
+ 1506e: 0c47.*
+ 1506f: 6fc6.*
+ 15070: 0d47.*
+ 15071: 6fc6.*
+ 15072: 0c48.*
+ 15073: 6fc6.*
+ 15074: 0d48.*
+ 15075: 6fc6.*
+ 15076: 0c49.*
+ 15077: 6fc6.*
+ 15078: 0d49.*
+ 15079: 6fc6.*
+ 1507a: 0c4a.*
+ 1507b: 6fc6.*
+ 1507c: 0d4a.*
+ 1507d: 6fc6.*
+ 1507e: 0c4b.*
+ 1507f: 6fc6.*
+ 15080: 0d4b.*
+ 15081: 6fc6.*
+ 15082: 0c4c.*
+ 15083: 6fc6.*
+ 15084: 0d4c.*
+ 15085: 6fc6.*
+ 15086: 0c4d.*
+ 15087: 6fc6.*
+ 15088: 0d4d.*
+ 15089: 6fc6.*
+ 1508a: 0c4e.*
+ 1508b: 6fc6.*
+ 1508c: 0d4e.*
+ 1508d: 6fc6.*
+ 1508e: 0c4f.*
+ 1508f: 6fc6.*
+ 15090: 0d4f.*
+ 15091: 10ce.*
+ 15092: 11ce.*
+ 15093: 6fce.*
+ 15094: 0c50.*
+ 15095: 6fce.*
+ 15096: 0d50.*
+ 15097: 6fce.*
+ 15098: 0c51.*
+ 15099: 6fce.*
+ 1509a: 0d51.*
+ 1509b: 6fce.*
+ 1509c: 0c52.*
+ 1509d: 6fce.*
+ 1509e: 0d52.*
+ 1509f: 6fce.*
+ 150a0: 0c53.*
+ 150a1: 6fce.*
+ 150a2: 0d53.*
+ 150a3: 6fce.*
+ 150a4: 0c54.*
+ 150a5: 6fce.*
+ 150a6: 0d54.*
+ 150a7: 6fce.*
+ 150a8: 0c55.*
+ 150a9: 6fce.*
+ 150aa: 0d55.*
+ 150ab: 6fce.*
+ 150ac: 0c56.*
+ 150ad: 6fce.*
+ 150ae: 0d56.*
+ 150af: 6fce.*
+ 150b0: 0c57.*
+ 150b1: 6fce.*
+ 150b2: 0d57.*
+ 150b3: 6fce.*
+ 150b4: 0c58.*
+ 150b5: 6fce.*
+ 150b6: 0d58.*
+ 150b7: 6fce.*
+ 150b8: 0c59.*
+ 150b9: 6fce.*
+ 150ba: 0d59.*
+ 150bb: 6fce.*
+ 150bc: 0c5a.*
+ 150bd: 6fce.*
+ 150be: 0d5a.*
+ 150bf: 6fce.*
+ 150c0: 0c5b.*
+ 150c1: 6fce.*
+ 150c2: 0d5b.*
+ 150c3: 6fce.*
+ 150c4: 0c5c.*
+ 150c5: 6fce.*
+ 150c6: 0d5c.*
+ 150c7: 6fce.*
+ 150c8: 0c5d.*
+ 150c9: 6fce.*
+ 150ca: 0d5d.*
+ 150cb: 6fce.*
+ 150cc: 0c5e.*
+ 150cd: 6fce.*
+ 150ce: 0d5e.*
+ 150cf: 6fce.*
+ 150d0: 0c5f.*
+ 150d1: 6fce.*
+ 150d2: 0d5f.*
+ 150d3: 10ce.*
+ 150d4: 11ce.*
+ 150d5: 6fce.*
+ 150d6: 0c41.*
+ 150d7: 6fce.*
+ 150d8: 0d41.*
+ 150d9: 6fce.*
+ 150da: 0c42.*
+ 150db: 6fce.*
+ 150dc: 0d42.*
+ 150dd: 6fce.*
+ 150de: 0c43.*
+ 150df: 6fce.*
+ 150e0: 0d43.*
+ 150e1: 6fce.*
+ 150e2: 0c44.*
+ 150e3: 6fce.*
+ 150e4: 0d44.*
+ 150e5: 6fce.*
+ 150e6: 0c45.*
+ 150e7: 6fce.*
+ 150e8: 0d45.*
+ 150e9: 6fce.*
+ 150ea: 0c46.*
+ 150eb: 6fce.*
+ 150ec: 0d46.*
+ 150ed: 6fce.*
+ 150ee: 0c47.*
+ 150ef: 6fce.*
+ 150f0: 0d47.*
+ 150f1: 6fce.*
+ 150f2: 0c48.*
+ 150f3: 6fce.*
+ 150f4: 0d48.*
+ 150f5: 6fce.*
+ 150f6: 0c49.*
+ 150f7: 6fce.*
+ 150f8: 0d49.*
+ 150f9: 6fce.*
+ 150fa: 0c4a.*
+ 150fb: 6fce.*
+ 150fc: 0d4a.*
+ 150fd: 6fce.*
+ 150fe: 0c4b.*
+ 150ff: 6fce.*
+ 15100: 0d4b.*
+ 15101: 6fce.*
+ 15102: 0c4c.*
+ 15103: 6fce.*
+ 15104: 0d4c.*
+ 15105: 6fce.*
+ 15106: 0c4d.*
+ 15107: 6fce.*
+ 15108: 0d4d.*
+ 15109: 6fce.*
+ 1510a: 0c4e.*
+ 1510b: 6fce.*
+ 1510c: 0d4e.*
+ 1510d: 6fce.*
+ 1510e: 0c4f.*
+ 1510f: 6fce.*
+ 15110: 0d4f.*
+ 15111: 10d6.*
+ 15112: 11d6.*
+ 15113: 6fd6.*
+ 15114: 0c50.*
+ 15115: 6fd6.*
+ 15116: 0d50.*
+ 15117: 6fd6.*
+ 15118: 0c51.*
+ 15119: 6fd6.*
+ 1511a: 0d51.*
+ 1511b: 6fd6.*
+ 1511c: 0c52.*
+ 1511d: 6fd6.*
+ 1511e: 0d52.*
+ 1511f: 6fd6.*
+ 15120: 0c53.*
+ 15121: 6fd6.*
+ 15122: 0d53.*
+ 15123: 6fd6.*
+ 15124: 0c54.*
+ 15125: 6fd6.*
+ 15126: 0d54.*
+ 15127: 6fd6.*
+ 15128: 0c55.*
+ 15129: 6fd6.*
+ 1512a: 0d55.*
+ 1512b: 6fd6.*
+ 1512c: 0c56.*
+ 1512d: 6fd6.*
+ 1512e: 0d56.*
+ 1512f: 6fd6.*
+ 15130: 0c57.*
+ 15131: 6fd6.*
+ 15132: 0d57.*
+ 15133: 6fd6.*
+ 15134: 0c58.*
+ 15135: 6fd6.*
+ 15136: 0d58.*
+ 15137: 6fd6.*
+ 15138: 0c59.*
+ 15139: 6fd6.*
+ 1513a: 0d59.*
+ 1513b: 6fd6.*
+ 1513c: 0c5a.*
+ 1513d: 6fd6.*
+ 1513e: 0d5a.*
+ 1513f: 6fd6.*
+ 15140: 0c5b.*
+ 15141: 6fd6.*
+ 15142: 0d5b.*
+ 15143: 6fd6.*
+ 15144: 0c5c.*
+ 15145: 6fd6.*
+ 15146: 0d5c.*
+ 15147: 6fd6.*
+ 15148: 0c5d.*
+ 15149: 6fd6.*
+ 1514a: 0d5d.*
+ 1514b: 6fd6.*
+ 1514c: 0c5e.*
+ 1514d: 6fd6.*
+ 1514e: 0d5e.*
+ 1514f: 6fd6.*
+ 15150: 0c5f.*
+ 15151: 6fd6.*
+ 15152: 0d5f.*
+ 15153: 10d6.*
+ 15154: 11d6.*
+ 15155: 6fd6.*
+ 15156: 0c41.*
+ 15157: 6fd6.*
+ 15158: 0d41.*
+ 15159: 6fd6.*
+ 1515a: 0c42.*
+ 1515b: 6fd6.*
+ 1515c: 0d42.*
+ 1515d: 6fd6.*
+ 1515e: 0c43.*
+ 1515f: 6fd6.*
+ 15160: 0d43.*
+ 15161: 6fd6.*
+ 15162: 0c44.*
+ 15163: 6fd6.*
+ 15164: 0d44.*
+ 15165: 6fd6.*
+ 15166: 0c45.*
+ 15167: 6fd6.*
+ 15168: 0d45.*
+ 15169: 6fd6.*
+ 1516a: 0c46.*
+ 1516b: 6fd6.*
+ 1516c: 0d46.*
+ 1516d: 6fd6.*
+ 1516e: 0c47.*
+ 1516f: 6fd6.*
+ 15170: 0d47.*
+ 15171: 6fd6.*
+ 15172: 0c48.*
+ 15173: 6fd6.*
+ 15174: 0d48.*
+ 15175: 6fd6.*
+ 15176: 0c49.*
+ 15177: 6fd6.*
+ 15178: 0d49.*
+ 15179: 6fd6.*
+ 1517a: 0c4a.*
+ 1517b: 6fd6.*
+ 1517c: 0d4a.*
+ 1517d: 6fd6.*
+ 1517e: 0c4b.*
+ 1517f: 6fd6.*
+ 15180: 0d4b.*
+ 15181: 6fd6.*
+ 15182: 0c4c.*
+ 15183: 6fd6.*
+ 15184: 0d4c.*
+ 15185: 6fd6.*
+ 15186: 0c4d.*
+ 15187: 6fd6.*
+ 15188: 0d4d.*
+ 15189: 6fd6.*
+ 1518a: 0c4e.*
+ 1518b: 6fd6.*
+ 1518c: 0d4e.*
+ 1518d: 6fd6.*
+ 1518e: 0c4f.*
+ 1518f: 6fd6.*
+ 15190: 0d4f.*
+ 15191: 10de.*
+ 15192: 11de.*
+ 15193: 6fde.*
+ 15194: 0c50.*
+ 15195: 6fde.*
+ 15196: 0d50.*
+ 15197: 6fde.*
+ 15198: 0c51.*
+ 15199: 6fde.*
+ 1519a: 0d51.*
+ 1519b: 6fde.*
+ 1519c: 0c52.*
+ 1519d: 6fde.*
+ 1519e: 0d52.*
+ 1519f: 6fde.*
+ 151a0: 0c53.*
+ 151a1: 6fde.*
+ 151a2: 0d53.*
+ 151a3: 6fde.*
+ 151a4: 0c54.*
+ 151a5: 6fde.*
+ 151a6: 0d54.*
+ 151a7: 6fde.*
+ 151a8: 0c55.*
+ 151a9: 6fde.*
+ 151aa: 0d55.*
+ 151ab: 6fde.*
+ 151ac: 0c56.*
+ 151ad: 6fde.*
+ 151ae: 0d56.*
+ 151af: 6fde.*
+ 151b0: 0c57.*
+ 151b1: 6fde.*
+ 151b2: 0d57.*
+ 151b3: 6fde.*
+ 151b4: 0c58.*
+ 151b5: 6fde.*
+ 151b6: 0d58.*
+ 151b7: 6fde.*
+ 151b8: 0c59.*
+ 151b9: 6fde.*
+ 151ba: 0d59.*
+ 151bb: 6fde.*
+ 151bc: 0c5a.*
+ 151bd: 6fde.*
+ 151be: 0d5a.*
+ 151bf: 6fde.*
+ 151c0: 0c5b.*
+ 151c1: 6fde.*
+ 151c2: 0d5b.*
+ 151c3: 6fde.*
+ 151c4: 0c5c.*
+ 151c5: 6fde.*
+ 151c6: 0d5c.*
+ 151c7: 6fde.*
+ 151c8: 0c5d.*
+ 151c9: 6fde.*
+ 151ca: 0d5d.*
+ 151cb: 6fde.*
+ 151cc: 0c5e.*
+ 151cd: 6fde.*
+ 151ce: 0d5e.*
+ 151cf: 6fde.*
+ 151d0: 0c5f.*
+ 151d1: 6fde.*
+ 151d2: 0d5f.*
+ 151d3: 10de.*
+ 151d4: 11de.*
+ 151d5: 6fde.*
+ 151d6: 0c41.*
+ 151d7: 6fde.*
+ 151d8: 0d41.*
+ 151d9: 6fde.*
+ 151da: 0c42.*
+ 151db: 6fde.*
+ 151dc: 0d42.*
+ 151dd: 6fde.*
+ 151de: 0c43.*
+ 151df: 6fde.*
+ 151e0: 0d43.*
+ 151e1: 6fde.*
+ 151e2: 0c44.*
+ 151e3: 6fde.*
+ 151e4: 0d44.*
+ 151e5: 6fde.*
+ 151e6: 0c45.*
+ 151e7: 6fde.*
+ 151e8: 0d45.*
+ 151e9: 6fde.*
+ 151ea: 0c46.*
+ 151eb: 6fde.*
+ 151ec: 0d46.*
+ 151ed: 6fde.*
+ 151ee: 0c47.*
+ 151ef: 6fde.*
+ 151f0: 0d47.*
+ 151f1: 6fde.*
+ 151f2: 0c48.*
+ 151f3: 6fde.*
+ 151f4: 0d48.*
+ 151f5: 6fde.*
+ 151f6: 0c49.*
+ 151f7: 6fde.*
+ 151f8: 0d49.*
+ 151f9: 6fde.*
+ 151fa: 0c4a.*
+ 151fb: 6fde.*
+ 151fc: 0d4a.*
+ 151fd: 6fde.*
+ 151fe: 0c4b.*
+ 151ff: 6fde.*
+ 15200: 0d4b.*
+ 15201: 6fde.*
+ 15202: 0c4c.*
+ 15203: 6fde.*
+ 15204: 0d4c.*
+ 15205: 6fde.*
+ 15206: 0c4d.*
+ 15207: 6fde.*
+ 15208: 0d4d.*
+ 15209: 6fde.*
+ 1520a: 0c4e.*
+ 1520b: 6fde.*
+ 1520c: 0d4e.*
+ 1520d: 6fde.*
+ 1520e: 0c4f.*
+ 1520f: 6fde.*
+ 15210: 0d4f.*
+ 15211: 10e6.*
+ 15212: 2890.*
+ 15213: 11e6.*
+ 15214: 2891.*
+ 15215: 6fe6.*
+ 15216: 2892.*
+ 15217: 0c50.*
+ 15218: 6fe6.*
+ 15219: 2893.*
+ 1521a: 0d50.*
+ 1521b: 6fe6.*
+ 1521c: 2894.*
+ 1521d: 0c51.*
+ 1521e: 6fe6.*
+ 1521f: 2895.*
+ 15220: 0d51.*
+ 15221: 6fe6.*
+ 15222: 2896.*
+ 15223: 0c52.*
+ 15224: 6fe6.*
+ 15225: 2897.*
+ 15226: 0d52.*
+ 15227: 6fe6.*
+ 15228: 2898.*
+ 15229: 0c53.*
+ 1522a: 6fe6.*
+ 1522b: 2899.*
+ 1522c: 0d53.*
+ 1522d: 6fe6.*
+ 1522e: 289a.*
+ 1522f: 0c54.*
+ 15230: 6fe6.*
+ 15231: 289b.*
+ 15232: 0d54.*
+ 15233: 6fe6.*
+ 15234: 289c.*
+ 15235: 0c55.*
+ 15236: 6fe6.*
+ 15237: 289d.*
+ 15238: 0d55.*
+ 15239: 6fe6.*
+ 1523a: 289e.*
+ 1523b: 0c56.*
+ 1523c: 6fe6.*
+ 1523d: 289f.*
+ 1523e: 0d56.*
+ 1523f: 6fe6.*
+ 15240: 28a0.*
+ 15241: 0c57.*
+ 15242: 6fe6.*
+ 15243: 28a1.*
+ 15244: 0d57.*
+ 15245: 6fe6.*
+ 15246: 28a2.*
+ 15247: 0c58.*
+ 15248: 6fe6.*
+ 15249: 28a3.*
+ 1524a: 0d58.*
+ 1524b: 6fe6.*
+ 1524c: 28a4.*
+ 1524d: 0c59.*
+ 1524e: 6fe6.*
+ 1524f: 28a5.*
+ 15250: 0d59.*
+ 15251: 6fe6.*
+ 15252: 28a6.*
+ 15253: 0c5a.*
+ 15254: 6fe6.*
+ 15255: 28a7.*
+ 15256: 0d5a.*
+ 15257: 6fe6.*
+ 15258: 28a8.*
+ 15259: 0c5b.*
+ 1525a: 6fe6.*
+ 1525b: 28a9.*
+ 1525c: 0d5b.*
+ 1525d: 6fe6.*
+ 1525e: 28aa.*
+ 1525f: 0c5c.*
+ 15260: 6fe6.*
+ 15261: 28ab.*
+ 15262: 0d5c.*
+ 15263: 6fe6.*
+ 15264: 28ac.*
+ 15265: 0c5d.*
+ 15266: 6fe6.*
+ 15267: 28ad.*
+ 15268: 0d5d.*
+ 15269: 6fe6.*
+ 1526a: 28ae.*
+ 1526b: 0c5e.*
+ 1526c: 6fe6.*
+ 1526d: 28af.*
+ 1526e: 0d5e.*
+ 1526f: 6fe6.*
+ 15270: 28b0.*
+ 15271: 0c5f.*
+ 15272: 6fe6.*
+ 15273: 28b1.*
+ 15274: 0d5f.*
+ 15275: 10e6.*
+ 15276: 28b2.*
+ 15277: 11e6.*
+ 15278: 28b3.*
+ 15279: 6fe6.*
+ 1527a: 28b4.*
+ 1527b: 0c41.*
+ 1527c: 6fe6.*
+ 1527d: 28b5.*
+ 1527e: 0d41.*
+ 1527f: 6fe6.*
+ 15280: 28b6.*
+ 15281: 0c42.*
+ 15282: 6fe6.*
+ 15283: 28b7.*
+ 15284: 0d42.*
+ 15285: 6fe6.*
+ 15286: 28b8.*
+ 15287: 0c43.*
+ 15288: 6fe6.*
+ 15289: 28b9.*
+ 1528a: 0d43.*
+ 1528b: 6fe6.*
+ 1528c: 28ba.*
+ 1528d: 0c44.*
+ 1528e: 6fe6.*
+ 1528f: 28bb.*
+ 15290: 0d44.*
+ 15291: 6fe6.*
+ 15292: 28bc.*
+ 15293: 0c45.*
+ 15294: 6fe6.*
+ 15295: 28bd.*
+ 15296: 0d45.*
+ 15297: 6fe6.*
+ 15298: 28be.*
+ 15299: 0c46.*
+ 1529a: 6fe6.*
+ 1529b: 28bf.*
+ 1529c: 0d46.*
+ 1529d: 6fe6.*
+ 1529e: 28c0.*
+ 1529f: 0c47.*
+ 152a0: 6fe6.*
+ 152a1: 28c1.*
+ 152a2: 0d47.*
+ 152a3: 6fe6.*
+ 152a4: 28c2.*
+ 152a5: 0c48.*
+ 152a6: 6fe6.*
+ 152a7: 28c3.*
+ 152a8: 0d48.*
+ 152a9: 6fe6.*
+ 152aa: 28c4.*
+ 152ab: 0c49.*
+ 152ac: 6fe6.*
+ 152ad: 28c5.*
+ 152ae: 0d49.*
+ 152af: 6fe6.*
+ 152b0: 28c6.*
+ 152b1: 0c4a.*
+ 152b2: 6fe6.*
+ 152b3: 28c7.*
+ 152b4: 0d4a.*
+ 152b5: 6fe6.*
+ 152b6: 28c8.*
+ 152b7: 0c4b.*
+ 152b8: 6fe6.*
+ 152b9: 28c9.*
+ 152ba: 0d4b.*
+ 152bb: 6fe6.*
+ 152bc: 28ca.*
+ 152bd: 0c4c.*
+ 152be: 6fe6.*
+ 152bf: 28cb.*
+ 152c0: 0d4c.*
+ 152c1: 6fe6.*
+ 152c2: 28cc.*
+ 152c3: 0c4d.*
+ 152c4: 6fe6.*
+ 152c5: 28cd.*
+ 152c6: 0d4d.*
+ 152c7: 6fe6.*
+ 152c8: 28ce.*
+ 152c9: 0c4e.*
+ 152ca: 6fe6.*
+ 152cb: 28cf.*
+ 152cc: 0d4e.*
+ 152cd: 6fe6.*
+ 152ce: 28d0.*
+ 152cf: 0c4f.*
+ 152d0: 6fe6.*
+ 152d1: 28d1.*
+ 152d2: 0d4f.*
+ 152d3: 10ee.*
+ 152d4: 28d2.*
+ 152d5: 11ee.*
+ 152d6: 28d3.*
+ 152d7: 6fee.*
+ 152d8: 28d4.*
+ 152d9: 0c50.*
+ 152da: 6fee.*
+ 152db: 28d5.*
+ 152dc: 0d50.*
+ 152dd: 6fee.*
+ 152de: 28d6.*
+ 152df: 0c51.*
+ 152e0: 6fee.*
+ 152e1: 28d7.*
+ 152e2: 0d51.*
+ 152e3: 6fee.*
+ 152e4: 28d8.*
+ 152e5: 0c52.*
+ 152e6: 6fee.*
+ 152e7: 28d9.*
+ 152e8: 0d52.*
+ 152e9: 6fee.*
+ 152ea: 28da.*
+ 152eb: 0c53.*
+ 152ec: 6fee.*
+ 152ed: 28db.*
+ 152ee: 0d53.*
+ 152ef: 6fee.*
+ 152f0: 28dc.*
+ 152f1: 0c54.*
+ 152f2: 6fee.*
+ 152f3: 28dd.*
+ 152f4: 0d54.*
+ 152f5: 6fee.*
+ 152f6: 28de.*
+ 152f7: 0c55.*
+ 152f8: 6fee.*
+ 152f9: 28df.*
+ 152fa: 0d55.*
+ 152fb: 6fee.*
+ 152fc: 28e0.*
+ 152fd: 0c56.*
+ 152fe: 6fee.*
+ 152ff: 28e1.*
+ 15300: 0d56.*
+ 15301: 6fee.*
+ 15302: 28e2.*
+ 15303: 0c57.*
+ 15304: 6fee.*
+ 15305: 28e3.*
+ 15306: 0d57.*
+ 15307: 6fee.*
+ 15308: 28e4.*
+ 15309: 0c58.*
+ 1530a: 6fee.*
+ 1530b: 28e5.*
+ 1530c: 0d58.*
+ 1530d: 6fee.*
+ 1530e: 28e6.*
+ 1530f: 0c59.*
+ 15310: 6fee.*
+ 15311: 28e7.*
+ 15312: 0d59.*
+ 15313: 6fee.*
+ 15314: 28e8.*
+ 15315: 0c5a.*
+ 15316: 6fee.*
+ 15317: 28e9.*
+ 15318: 0d5a.*
+ 15319: 6fee.*
+ 1531a: 28ea.*
+ 1531b: 0c5b.*
+ 1531c: 6fee.*
+ 1531d: 28eb.*
+ 1531e: 0d5b.*
+ 1531f: 6fee.*
+ 15320: 28ec.*
+ 15321: 0c5c.*
+ 15322: 6fee.*
+ 15323: 28ed.*
+ 15324: 0d5c.*
+ 15325: 6fee.*
+ 15326: 28ee.*
+ 15327: 0c5d.*
+ 15328: 6fee.*
+ 15329: 28ef.*
+ 1532a: 0d5d.*
+ 1532b: 6fee.*
+ 1532c: 28f0.*
+ 1532d: 0c5e.*
+ 1532e: 6fee.*
+ 1532f: 28f1.*
+ 15330: 0d5e.*
+ 15331: 6fee.*
+ 15332: 28f2.*
+ 15333: 0c5f.*
+ 15334: 6fee.*
+ 15335: 28f3.*
+ 15336: 0d5f.*
+ 15337: 10ee.*
+ 15338: 28f4.*
+ 15339: 11ee.*
+ 1533a: 28f5.*
+ 1533b: 6fee.*
+ 1533c: 28f6.*
+ 1533d: 0c41.*
+ 1533e: 6fee.*
+ 1533f: 28f7.*
+ 15340: 0d41.*
+ 15341: 6fee.*
+ 15342: 28f8.*
+ 15343: 0c42.*
+ 15344: 6fee.*
+ 15345: 28f9.*
+ 15346: 0d42.*
+ 15347: 6fee.*
+ 15348: 28fa.*
+ 15349: 0c43.*
+ 1534a: 6fee.*
+ 1534b: 28fb.*
+ 1534c: 0d43.*
+ 1534d: 6fee.*
+ 1534e: 28fc.*
+ 1534f: 0c44.*
+ 15350: 6fee.*
+ 15351: 28fd.*
+ 15352: 0d44.*
+ 15353: 6fee.*
+ 15354: 28fe.*
+ 15355: 0c45.*
+ 15356: 6fee.*
+ 15357: 28ff.*
+ 15358: 0d45.*
+ 15359: 6fee.*
+ 1535a: 2900.*
+ 1535b: 0c46.*
+ 1535c: 6fee.*
+ 1535d: 2901.*
+ 1535e: 0d46.*
+ 1535f: 6fee.*
+ 15360: 2902.*
+ 15361: 0c47.*
+ 15362: 6fee.*
+ 15363: 2903.*
+ 15364: 0d47.*
+ 15365: 6fee.*
+ 15366: 2904.*
+ 15367: 0c48.*
+ 15368: 6fee.*
+ 15369: 2905.*
+ 1536a: 0d48.*
+ 1536b: 6fee.*
+ 1536c: 2906.*
+ 1536d: 0c49.*
+ 1536e: 6fee.*
+ 1536f: 2907.*
+ 15370: 0d49.*
+ 15371: 6fee.*
+ 15372: 2908.*
+ 15373: 0c4a.*
+ 15374: 6fee.*
+ 15375: 2909.*
+ 15376: 0d4a.*
+ 15377: 6fee.*
+ 15378: 290a.*
+ 15379: 0c4b.*
+ 1537a: 6fee.*
+ 1537b: 290b.*
+ 1537c: 0d4b.*
+ 1537d: 6fee.*
+ 1537e: 290c.*
+ 1537f: 0c4c.*
+ 15380: 6fee.*
+ 15381: 290d.*
+ 15382: 0d4c.*
+ 15383: 6fee.*
+ 15384: 290e.*
+ 15385: 0c4d.*
+ 15386: 6fee.*
+ 15387: 290f.*
+ 15388: 0d4d.*
+ 15389: 6fee.*
+ 1538a: 2910.*
+ 1538b: 0c4e.*
+ 1538c: 6fee.*
+ 1538d: 2911.*
+ 1538e: 0d4e.*
+ 1538f: 6fee.*
+ 15390: 2912.*
+ 15391: 0c4f.*
+ 15392: 6fee.*
+ 15393: 2913.*
+ 15394: 0d4f.*
+ 15395: 10f6.*
+ 15396: 2914.*
+ 15397: 11f6.*
+ 15398: 2915.*
+ 15399: 6ff6.*
+ 1539a: 2916.*
+ 1539b: 0c50.*
+ 1539c: 6ff6.*
+ 1539d: 2917.*
+ 1539e: 0d50.*
+ 1539f: 6ff6.*
+ 153a0: 2918.*
+ 153a1: 0c51.*
+ 153a2: 6ff6.*
+ 153a3: 2919.*
+ 153a4: 0d51.*
+ 153a5: 6ff6.*
+ 153a6: 291a.*
+ 153a7: 0c52.*
+ 153a8: 6ff6.*
+ 153a9: 291b.*
+ 153aa: 0d52.*
+ 153ab: 6ff6.*
+ 153ac: 291c.*
+ 153ad: 0c53.*
+ 153ae: 6ff6.*
+ 153af: 291d.*
+ 153b0: 0d53.*
+ 153b1: 6ff6.*
+ 153b2: 291e.*
+ 153b3: 0c54.*
+ 153b4: 6ff6.*
+ 153b5: 291f.*
+ 153b6: 0d54.*
+ 153b7: 6ff6.*
+ 153b8: 2920.*
+ 153b9: 0c55.*
+ 153ba: 6ff6.*
+ 153bb: 2921.*
+ 153bc: 0d55.*
+ 153bd: 6ff6.*
+ 153be: 2922.*
+ 153bf: 0c56.*
+ 153c0: 6ff6.*
+ 153c1: 2923.*
+ 153c2: 0d56.*
+ 153c3: 6ff6.*
+ 153c4: 2924.*
+ 153c5: 0c57.*
+ 153c6: 6ff6.*
+ 153c7: 2925.*
+ 153c8: 0d57.*
+ 153c9: 6ff6.*
+ 153ca: 2926.*
+ 153cb: 0c58.*
+ 153cc: 6ff6.*
+ 153cd: 2927.*
+ 153ce: 0d58.*
+ 153cf: 6ff6.*
+ 153d0: 2928.*
+ 153d1: 0c59.*
+ 153d2: 6ff6.*
+ 153d3: 2929.*
+ 153d4: 0d59.*
+ 153d5: 6ff6.*
+ 153d6: 292a.*
+ 153d7: 0c5a.*
+ 153d8: 6ff6.*
+ 153d9: 292b.*
+ 153da: 0d5a.*
+ 153db: 6ff6.*
+ 153dc: 292c.*
+ 153dd: 0c5b.*
+ 153de: 6ff6.*
+ 153df: 292d.*
+ 153e0: 0d5b.*
+ 153e1: 6ff6.*
+ 153e2: 292e.*
+ 153e3: 0c5c.*
+ 153e4: 6ff6.*
+ 153e5: 292f.*
+ 153e6: 0d5c.*
+ 153e7: 6ff6.*
+ 153e8: 2930.*
+ 153e9: 0c5d.*
+ 153ea: 6ff6.*
+ 153eb: 2931.*
+ 153ec: 0d5d.*
+ 153ed: 6ff6.*
+ 153ee: 2932.*
+ 153ef: 0c5e.*
+ 153f0: 6ff6.*
+ 153f1: 2933.*
+ 153f2: 0d5e.*
+ 153f3: 6ff6.*
+ 153f4: 2934.*
+ 153f5: 0c5f.*
+ 153f6: 6ff6.*
+ 153f7: 2935.*
+ 153f8: 0d5f.*
+ 153f9: 10f6.*
+ 153fa: 2936.*
+ 153fb: 11f6.*
+ 153fc: 2937.*
+ 153fd: 6ff6.*
+ 153fe: 2938.*
+ 153ff: 0c41.*
+ 15400: 6ff6.*
+ 15401: 2939.*
+ 15402: 0d41.*
+ 15403: 6ff6.*
+ 15404: 293a.*
+ 15405: 0c42.*
+ 15406: 6ff6.*
+ 15407: 293b.*
+ 15408: 0d42.*
+ 15409: 6ff6.*
+ 1540a: 293c.*
+ 1540b: 0c43.*
+ 1540c: 6ff6.*
+ 1540d: 293d.*
+ 1540e: 0d43.*
+ 1540f: 6ff6.*
+ 15410: 293e.*
+ 15411: 0c44.*
+ 15412: 6ff6.*
+ 15413: 293f.*
+ 15414: 0d44.*
+ 15415: 6ff6.*
+ 15416: 2940.*
+ 15417: 0c45.*
+ 15418: 6ff6.*
+ 15419: 2941.*
+ 1541a: 0d45.*
+ 1541b: 6ff6.*
+ 1541c: 2942.*
+ 1541d: 0c46.*
+ 1541e: 6ff6.*
+ 1541f: 2943.*
+ 15420: 0d46.*
+ 15421: 6ff6.*
+ 15422: 2944.*
+ 15423: 0c47.*
+ 15424: 6ff6.*
+ 15425: 2945.*
+ 15426: 0d47.*
+ 15427: 6ff6.*
+ 15428: 2946.*
+ 15429: 0c48.*
+ 1542a: 6ff6.*
+ 1542b: 2947.*
+ 1542c: 0d48.*
+ 1542d: 6ff6.*
+ 1542e: 2948.*
+ 1542f: 0c49.*
+ 15430: 6ff6.*
+ 15431: 2949.*
+ 15432: 0d49.*
+ 15433: 6ff6.*
+ 15434: 294a.*
+ 15435: 0c4a.*
+ 15436: 6ff6.*
+ 15437: 294b.*
+ 15438: 0d4a.*
+ 15439: 6ff6.*
+ 1543a: 294c.*
+ 1543b: 0c4b.*
+ 1543c: 6ff6.*
+ 1543d: 294d.*
+ 1543e: 0d4b.*
+ 1543f: 6ff6.*
+ 15440: 294e.*
+ 15441: 0c4c.*
+ 15442: 6ff6.*
+ 15443: 294f.*
+ 15444: 0d4c.*
+ 15445: 6ff6.*
+ 15446: 2950.*
+ 15447: 0c4d.*
+ 15448: 6ff6.*
+ 15449: 2951.*
+ 1544a: 0d4d.*
+ 1544b: 6ff6.*
+ 1544c: 2952.*
+ 1544d: 0c4e.*
+ 1544e: 6ff6.*
+ 1544f: 2953.*
+ 15450: 0d4e.*
+ 15451: 6ff6.*
+ 15452: 2954.*
+ 15453: 0c4f.*
+ 15454: 6ff6.*
+ 15455: 2955.*
+ 15456: 0d4f.*
+ 15457: 10f8.*
+ 15458: 2956.*
+ 15459: 11f8.*
+ 1545a: 2957.*
+ 1545b: 6ff8.*
+ 1545c: 2958.*
+ 1545d: 0c50.*
+ 1545e: 6ff8.*
+ 1545f: 2959.*
+ 15460: 0d50.*
+ 15461: 6ff8.*
+ 15462: 295a.*
+ 15463: 0c51.*
+ 15464: 6ff8.*
+ 15465: 295b.*
+ 15466: 0d51.*
+ 15467: 6ff8.*
+ 15468: 295c.*
+ 15469: 0c52.*
+ 1546a: 6ff8.*
+ 1546b: 295d.*
+ 1546c: 0d52.*
+ 1546d: 6ff8.*
+ 1546e: 295e.*
+ 1546f: 0c53.*
+ 15470: 6ff8.*
+ 15471: 295f.*
+ 15472: 0d53.*
+ 15473: 6ff8.*
+ 15474: 2960.*
+ 15475: 0c54.*
+ 15476: 6ff8.*
+ 15477: 2961.*
+ 15478: 0d54.*
+ 15479: 6ff8.*
+ 1547a: 2962.*
+ 1547b: 0c55.*
+ 1547c: 6ff8.*
+ 1547d: 2963.*
+ 1547e: 0d55.*
+ 1547f: 6ff8.*
+ 15480: 2964.*
+ 15481: 0c56.*
+ 15482: 6ff8.*
+ 15483: 2965.*
+ 15484: 0d56.*
+ 15485: 6ff8.*
+ 15486: 2966.*
+ 15487: 0c57.*
+ 15488: 6ff8.*
+ 15489: 2967.*
+ 1548a: 0d57.*
+ 1548b: 6ff8.*
+ 1548c: 2968.*
+ 1548d: 0c58.*
+ 1548e: 6ff8.*
+ 1548f: 2969.*
+ 15490: 0d58.*
+ 15491: 6ff8.*
+ 15492: 296a.*
+ 15493: 0c59.*
+ 15494: 6ff8.*
+ 15495: 296b.*
+ 15496: 0d59.*
+ 15497: 6ff8.*
+ 15498: 296c.*
+ 15499: 0c5a.*
+ 1549a: 6ff8.*
+ 1549b: 296d.*
+ 1549c: 0d5a.*
+ 1549d: 6ff8.*
+ 1549e: 296e.*
+ 1549f: 0c5b.*
+ 154a0: 6ff8.*
+ 154a1: 296f.*
+ 154a2: 0d5b.*
+ 154a3: 6ff8.*
+ 154a4: 2970.*
+ 154a5: 0c5c.*
+ 154a6: 6ff8.*
+ 154a7: 2971.*
+ 154a8: 0d5c.*
+ 154a9: 6ff8.*
+ 154aa: 2972.*
+ 154ab: 0c5d.*
+ 154ac: 6ff8.*
+ 154ad: 2973.*
+ 154ae: 0d5d.*
+ 154af: 6ff8.*
+ 154b0: 2974.*
+ 154b1: 0c5e.*
+ 154b2: 6ff8.*
+ 154b3: 2975.*
+ 154b4: 0d5e.*
+ 154b5: 6ff8.*
+ 154b6: 2976.*
+ 154b7: 0c5f.*
+ 154b8: 6ff8.*
+ 154b9: 2977.*
+ 154ba: 0d5f.*
+ 154bb: 10f8.*
+ 154bc: 2978.*
+ 154bd: 11f8.*
+ 154be: 2979.*
+ 154bf: 6ff8.*
+ 154c0: 297a.*
+ 154c1: 0c41.*
+ 154c2: 6ff8.*
+ 154c3: 297b.*
+ 154c4: 0d41.*
+ 154c5: 6ff8.*
+ 154c6: 297c.*
+ 154c7: 0c42.*
+ 154c8: 6ff8.*
+ 154c9: 297d.*
+ 154ca: 0d42.*
+ 154cb: 6ff8.*
+ 154cc: 297e.*
+ 154cd: 0c43.*
+ 154ce: 6ff8.*
+ 154cf: 297f.*
+ 154d0: 0d43.*
+ 154d1: 6ff8.*
+ 154d2: 2980.*
+ 154d3: 0c44.*
+ 154d4: 6ff8.*
+ 154d5: 2981.*
+ 154d6: 0d44.*
+ 154d7: 6ff8.*
+ 154d8: 2982.*
+ 154d9: 0c45.*
+ 154da: 6ff8.*
+ 154db: 2983.*
+ 154dc: 0d45.*
+ 154dd: 6ff8.*
+ 154de: 2984.*
+ 154df: 0c46.*
+ 154e0: 6ff8.*
+ 154e1: 2985.*
+ 154e2: 0d46.*
+ 154e3: 6ff8.*
+ 154e4: 2986.*
+ 154e5: 0c47.*
+ 154e6: 6ff8.*
+ 154e7: 2987.*
+ 154e8: 0d47.*
+ 154e9: 6ff8.*
+ 154ea: 2988.*
+ 154eb: 0c48.*
+ 154ec: 6ff8.*
+ 154ed: 2989.*
+ 154ee: 0d48.*
+ 154ef: 6ff8.*
+ 154f0: 298a.*
+ 154f1: 0c49.*
+ 154f2: 6ff8.*
+ 154f3: 298b.*
+ 154f4: 0d49.*
+ 154f5: 6ff8.*
+ 154f6: 298c.*
+ 154f7: 0c4a.*
+ 154f8: 6ff8.*
+ 154f9: 298d.*
+ 154fa: 0d4a.*
+ 154fb: 6ff8.*
+ 154fc: 298e.*
+ 154fd: 0c4b.*
+ 154fe: 6ff8.*
+ 154ff: 298f.*
+ 15500: 0d4b.*
+ 15501: 6ff8.*
+ 15502: 2990.*
+ 15503: 0c4c.*
+ 15504: 6ff8.*
+ 15505: 2991.*
+ 15506: 0d4c.*
+ 15507: 6ff8.*
+ 15508: 2992.*
+ 15509: 0c4d.*
+ 1550a: 6ff8.*
+ 1550b: 2993.*
+ 1550c: 0d4d.*
+ 1550d: 6ff8.*
+ 1550e: 2994.*
+ 1550f: 0c4e.*
+ 15510: 6ff8.*
+ 15511: 2995.*
+ 15512: 0d4e.*
+ 15513: 6ff8.*
+ 15514: 2996.*
+ 15515: 0c4f.*
+ 15516: 6ff8.*
+ 15517: 2997.*
+ 15518: 0d4f.*
+ 15519: 1087.*
+ 1551a: 1187.*
+ 1551b: 6f87.*
+ 1551c: 0c50.*
+ 1551d: 6f87.*
+ 1551e: 0d50.*
+ 1551f: 6f87.*
+ 15520: 0c51.*
+ 15521: 6f87.*
+ 15522: 0d51.*
+ 15523: 6f87.*
+ 15524: 0c52.*
+ 15525: 6f87.*
+ 15526: 0d52.*
+ 15527: 6f87.*
+ 15528: 0c53.*
+ 15529: 6f87.*
+ 1552a: 0d53.*
+ 1552b: 6f87.*
+ 1552c: 0c54.*
+ 1552d: 6f87.*
+ 1552e: 0d54.*
+ 1552f: 6f87.*
+ 15530: 0c55.*
+ 15531: 6f87.*
+ 15532: 0d55.*
+ 15533: 6f87.*
+ 15534: 0c56.*
+ 15535: 6f87.*
+ 15536: 0d56.*
+ 15537: 6f87.*
+ 15538: 0c57.*
+ 15539: 6f87.*
+ 1553a: 0d57.*
+ 1553b: 6f87.*
+ 1553c: 0c58.*
+ 1553d: 6f87.*
+ 1553e: 0d58.*
+ 1553f: 6f87.*
+ 15540: 0c59.*
+ 15541: 6f87.*
+ 15542: 0d59.*
+ 15543: 6f87.*
+ 15544: 0c5a.*
+ 15545: 6f87.*
+ 15546: 0d5a.*
+ 15547: 6f87.*
+ 15548: 0c5b.*
+ 15549: 6f87.*
+ 1554a: 0d5b.*
+ 1554b: 6f87.*
+ 1554c: 0c5c.*
+ 1554d: 6f87.*
+ 1554e: 0d5c.*
+ 1554f: 6f87.*
+ 15550: 0c5d.*
+ 15551: 6f87.*
+ 15552: 0d5d.*
+ 15553: 6f87.*
+ 15554: 0c5e.*
+ 15555: 6f87.*
+ 15556: 0d5e.*
+ 15557: 6f87.*
+ 15558: 0c5f.*
+ 15559: 6f87.*
+ 1555a: 0d5f.*
+ 1555b: 1087.*
+ 1555c: 1187.*
+ 1555d: 6f87.*
+ 1555e: 0c41.*
+ 1555f: 6f87.*
+ 15560: 0d41.*
+ 15561: 6f87.*
+ 15562: 0c42.*
+ 15563: 6f87.*
+ 15564: 0d42.*
+ 15565: 6f87.*
+ 15566: 0c43.*
+ 15567: 6f87.*
+ 15568: 0d43.*
+ 15569: 6f87.*
+ 1556a: 0c44.*
+ 1556b: 6f87.*
+ 1556c: 0d44.*
+ 1556d: 6f87.*
+ 1556e: 0c45.*
+ 1556f: 6f87.*
+ 15570: 0d45.*
+ 15571: 6f87.*
+ 15572: 0c46.*
+ 15573: 6f87.*
+ 15574: 0d46.*
+ 15575: 6f87.*
+ 15576: 0c47.*
+ 15577: 6f87.*
+ 15578: 0d47.*
+ 15579: 6f87.*
+ 1557a: 0c48.*
+ 1557b: 6f87.*
+ 1557c: 0d48.*
+ 1557d: 6f87.*
+ 1557e: 0c49.*
+ 1557f: 6f87.*
+ 15580: 0d49.*
+ 15581: 6f87.*
+ 15582: 0c4a.*
+ 15583: 6f87.*
+ 15584: 0d4a.*
+ 15585: 6f87.*
+ 15586: 0c4b.*
+ 15587: 6f87.*
+ 15588: 0d4b.*
+ 15589: 6f87.*
+ 1558a: 0c4c.*
+ 1558b: 6f87.*
+ 1558c: 0d4c.*
+ 1558d: 6f87.*
+ 1558e: 0c4d.*
+ 1558f: 6f87.*
+ 15590: 0d4d.*
+ 15591: 6f87.*
+ 15592: 0c4e.*
+ 15593: 6f87.*
+ 15594: 0d4e.*
+ 15595: 6f87.*
+ 15596: 0c4f.*
+ 15597: 6f87.*
+ 15598: 0d4f.*
+ 15599: 108f.*
+ 1559a: 118f.*
+ 1559b: 6f8f.*
+ 1559c: 0c50.*
+ 1559d: 6f8f.*
+ 1559e: 0d50.*
+ 1559f: 6f8f.*
+ 155a0: 0c51.*
+ 155a1: 6f8f.*
+ 155a2: 0d51.*
+ 155a3: 6f8f.*
+ 155a4: 0c52.*
+ 155a5: 6f8f.*
+ 155a6: 0d52.*
+ 155a7: 6f8f.*
+ 155a8: 0c53.*
+ 155a9: 6f8f.*
+ 155aa: 0d53.*
+ 155ab: 6f8f.*
+ 155ac: 0c54.*
+ 155ad: 6f8f.*
+ 155ae: 0d54.*
+ 155af: 6f8f.*
+ 155b0: 0c55.*
+ 155b1: 6f8f.*
+ 155b2: 0d55.*
+ 155b3: 6f8f.*
+ 155b4: 0c56.*
+ 155b5: 6f8f.*
+ 155b6: 0d56.*
+ 155b7: 6f8f.*
+ 155b8: 0c57.*
+ 155b9: 6f8f.*
+ 155ba: 0d57.*
+ 155bb: 6f8f.*
+ 155bc: 0c58.*
+ 155bd: 6f8f.*
+ 155be: 0d58.*
+ 155bf: 6f8f.*
+ 155c0: 0c59.*
+ 155c1: 6f8f.*
+ 155c2: 0d59.*
+ 155c3: 6f8f.*
+ 155c4: 0c5a.*
+ 155c5: 6f8f.*
+ 155c6: 0d5a.*
+ 155c7: 6f8f.*
+ 155c8: 0c5b.*
+ 155c9: 6f8f.*
+ 155ca: 0d5b.*
+ 155cb: 6f8f.*
+ 155cc: 0c5c.*
+ 155cd: 6f8f.*
+ 155ce: 0d5c.*
+ 155cf: 6f8f.*
+ 155d0: 0c5d.*
+ 155d1: 6f8f.*
+ 155d2: 0d5d.*
+ 155d3: 6f8f.*
+ 155d4: 0c5e.*
+ 155d5: 6f8f.*
+ 155d6: 0d5e.*
+ 155d7: 6f8f.*
+ 155d8: 0c5f.*
+ 155d9: 6f8f.*
+ 155da: 0d5f.*
+ 155db: 108f.*
+ 155dc: 118f.*
+ 155dd: 6f8f.*
+ 155de: 0c41.*
+ 155df: 6f8f.*
+ 155e0: 0d41.*
+ 155e1: 6f8f.*
+ 155e2: 0c42.*
+ 155e3: 6f8f.*
+ 155e4: 0d42.*
+ 155e5: 6f8f.*
+ 155e6: 0c43.*
+ 155e7: 6f8f.*
+ 155e8: 0d43.*
+ 155e9: 6f8f.*
+ 155ea: 0c44.*
+ 155eb: 6f8f.*
+ 155ec: 0d44.*
+ 155ed: 6f8f.*
+ 155ee: 0c45.*
+ 155ef: 6f8f.*
+ 155f0: 0d45.*
+ 155f1: 6f8f.*
+ 155f2: 0c46.*
+ 155f3: 6f8f.*
+ 155f4: 0d46.*
+ 155f5: 6f8f.*
+ 155f6: 0c47.*
+ 155f7: 6f8f.*
+ 155f8: 0d47.*
+ 155f9: 6f8f.*
+ 155fa: 0c48.*
+ 155fb: 6f8f.*
+ 155fc: 0d48.*
+ 155fd: 6f8f.*
+ 155fe: 0c49.*
+ 155ff: 6f8f.*
+ 15600: 0d49.*
+ 15601: 6f8f.*
+ 15602: 0c4a.*
+ 15603: 6f8f.*
+ 15604: 0d4a.*
+ 15605: 6f8f.*
+ 15606: 0c4b.*
+ 15607: 6f8f.*
+ 15608: 0d4b.*
+ 15609: 6f8f.*
+ 1560a: 0c4c.*
+ 1560b: 6f8f.*
+ 1560c: 0d4c.*
+ 1560d: 6f8f.*
+ 1560e: 0c4d.*
+ 1560f: 6f8f.*
+ 15610: 0d4d.*
+ 15611: 6f8f.*
+ 15612: 0c4e.*
+ 15613: 6f8f.*
+ 15614: 0d4e.*
+ 15615: 6f8f.*
+ 15616: 0c4f.*
+ 15617: 6f8f.*
+ 15618: 0d4f.*
+ 15619: 1097.*
+ 1561a: 1197.*
+ 1561b: 6f97.*
+ 1561c: 0c50.*
+ 1561d: 6f97.*
+ 1561e: 0d50.*
+ 1561f: 6f97.*
+ 15620: 0c51.*
+ 15621: 6f97.*
+ 15622: 0d51.*
+ 15623: 6f97.*
+ 15624: 0c52.*
+ 15625: 6f97.*
+ 15626: 0d52.*
+ 15627: 6f97.*
+ 15628: 0c53.*
+ 15629: 6f97.*
+ 1562a: 0d53.*
+ 1562b: 6f97.*
+ 1562c: 0c54.*
+ 1562d: 6f97.*
+ 1562e: 0d54.*
+ 1562f: 6f97.*
+ 15630: 0c55.*
+ 15631: 6f97.*
+ 15632: 0d55.*
+ 15633: 6f97.*
+ 15634: 0c56.*
+ 15635: 6f97.*
+ 15636: 0d56.*
+ 15637: 6f97.*
+ 15638: 0c57.*
+ 15639: 6f97.*
+ 1563a: 0d57.*
+ 1563b: 6f97.*
+ 1563c: 0c58.*
+ 1563d: 6f97.*
+ 1563e: 0d58.*
+ 1563f: 6f97.*
+ 15640: 0c59.*
+ 15641: 6f97.*
+ 15642: 0d59.*
+ 15643: 6f97.*
+ 15644: 0c5a.*
+ 15645: 6f97.*
+ 15646: 0d5a.*
+ 15647: 6f97.*
+ 15648: 0c5b.*
+ 15649: 6f97.*
+ 1564a: 0d5b.*
+ 1564b: 6f97.*
+ 1564c: 0c5c.*
+ 1564d: 6f97.*
+ 1564e: 0d5c.*
+ 1564f: 6f97.*
+ 15650: 0c5d.*
+ 15651: 6f97.*
+ 15652: 0d5d.*
+ 15653: 6f97.*
+ 15654: 0c5e.*
+ 15655: 6f97.*
+ 15656: 0d5e.*
+ 15657: 6f97.*
+ 15658: 0c5f.*
+ 15659: 6f97.*
+ 1565a: 0d5f.*
+ 1565b: 1097.*
+ 1565c: 1197.*
+ 1565d: 6f97.*
+ 1565e: 0c41.*
+ 1565f: 6f97.*
+ 15660: 0d41.*
+ 15661: 6f97.*
+ 15662: 0c42.*
+ 15663: 6f97.*
+ 15664: 0d42.*
+ 15665: 6f97.*
+ 15666: 0c43.*
+ 15667: 6f97.*
+ 15668: 0d43.*
+ 15669: 6f97.*
+ 1566a: 0c44.*
+ 1566b: 6f97.*
+ 1566c: 0d44.*
+ 1566d: 6f97.*
+ 1566e: 0c45.*
+ 1566f: 6f97.*
+ 15670: 0d45.*
+ 15671: 6f97.*
+ 15672: 0c46.*
+ 15673: 6f97.*
+ 15674: 0d46.*
+ 15675: 6f97.*
+ 15676: 0c47.*
+ 15677: 6f97.*
+ 15678: 0d47.*
+ 15679: 6f97.*
+ 1567a: 0c48.*
+ 1567b: 6f97.*
+ 1567c: 0d48.*
+ 1567d: 6f97.*
+ 1567e: 0c49.*
+ 1567f: 6f97.*
+ 15680: 0d49.*
+ 15681: 6f97.*
+ 15682: 0c4a.*
+ 15683: 6f97.*
+ 15684: 0d4a.*
+ 15685: 6f97.*
+ 15686: 0c4b.*
+ 15687: 6f97.*
+ 15688: 0d4b.*
+ 15689: 6f97.*
+ 1568a: 0c4c.*
+ 1568b: 6f97.*
+ 1568c: 0d4c.*
+ 1568d: 6f97.*
+ 1568e: 0c4d.*
+ 1568f: 6f97.*
+ 15690: 0d4d.*
+ 15691: 6f97.*
+ 15692: 0c4e.*
+ 15693: 6f97.*
+ 15694: 0d4e.*
+ 15695: 6f97.*
+ 15696: 0c4f.*
+ 15697: 6f97.*
+ 15698: 0d4f.*
+ 15699: 10a7.*
+ 1569a: 11a7.*
+ 1569b: 6fa7.*
+ 1569c: 0c50.*
+ 1569d: 6fa7.*
+ 1569e: 0d50.*
+ 1569f: 6fa7.*
+ 156a0: 0c51.*
+ 156a1: 6fa7.*
+ 156a2: 0d51.*
+ 156a3: 6fa7.*
+ 156a4: 0c52.*
+ 156a5: 6fa7.*
+ 156a6: 0d52.*
+ 156a7: 6fa7.*
+ 156a8: 0c53.*
+ 156a9: 6fa7.*
+ 156aa: 0d53.*
+ 156ab: 6fa7.*
+ 156ac: 0c54.*
+ 156ad: 6fa7.*
+ 156ae: 0d54.*
+ 156af: 6fa7.*
+ 156b0: 0c55.*
+ 156b1: 6fa7.*
+ 156b2: 0d55.*
+ 156b3: 6fa7.*
+ 156b4: 0c56.*
+ 156b5: 6fa7.*
+ 156b6: 0d56.*
+ 156b7: 6fa7.*
+ 156b8: 0c57.*
+ 156b9: 6fa7.*
+ 156ba: 0d57.*
+ 156bb: 6fa7.*
+ 156bc: 0c58.*
+ 156bd: 6fa7.*
+ 156be: 0d58.*
+ 156bf: 6fa7.*
+ 156c0: 0c59.*
+ 156c1: 6fa7.*
+ 156c2: 0d59.*
+ 156c3: 6fa7.*
+ 156c4: 0c5a.*
+ 156c5: 6fa7.*
+ 156c6: 0d5a.*
+ 156c7: 6fa7.*
+ 156c8: 0c5b.*
+ 156c9: 6fa7.*
+ 156ca: 0d5b.*
+ 156cb: 6fa7.*
+ 156cc: 0c5c.*
+ 156cd: 6fa7.*
+ 156ce: 0d5c.*
+ 156cf: 6fa7.*
+ 156d0: 0c5d.*
+ 156d1: 6fa7.*
+ 156d2: 0d5d.*
+ 156d3: 6fa7.*
+ 156d4: 0c5e.*
+ 156d5: 6fa7.*
+ 156d6: 0d5e.*
+ 156d7: 6fa7.*
+ 156d8: 0c5f.*
+ 156d9: 6fa7.*
+ 156da: 0d5f.*
+ 156db: 10a7.*
+ 156dc: 11a7.*
+ 156dd: 6fa7.*
+ 156de: 0c41.*
+ 156df: 6fa7.*
+ 156e0: 0d41.*
+ 156e1: 6fa7.*
+ 156e2: 0c42.*
+ 156e3: 6fa7.*
+ 156e4: 0d42.*
+ 156e5: 6fa7.*
+ 156e6: 0c43.*
+ 156e7: 6fa7.*
+ 156e8: 0d43.*
+ 156e9: 6fa7.*
+ 156ea: 0c44.*
+ 156eb: 6fa7.*
+ 156ec: 0d44.*
+ 156ed: 6fa7.*
+ 156ee: 0c45.*
+ 156ef: 6fa7.*
+ 156f0: 0d45.*
+ 156f1: 6fa7.*
+ 156f2: 0c46.*
+ 156f3: 6fa7.*
+ 156f4: 0d46.*
+ 156f5: 6fa7.*
+ 156f6: 0c47.*
+ 156f7: 6fa7.*
+ 156f8: 0d47.*
+ 156f9: 6fa7.*
+ 156fa: 0c48.*
+ 156fb: 6fa7.*
+ 156fc: 0d48.*
+ 156fd: 6fa7.*
+ 156fe: 0c49.*
+ 156ff: 6fa7.*
+ 15700: 0d49.*
+ 15701: 6fa7.*
+ 15702: 0c4a.*
+ 15703: 6fa7.*
+ 15704: 0d4a.*
+ 15705: 6fa7.*
+ 15706: 0c4b.*
+ 15707: 6fa7.*
+ 15708: 0d4b.*
+ 15709: 6fa7.*
+ 1570a: 0c4c.*
+ 1570b: 6fa7.*
+ 1570c: 0d4c.*
+ 1570d: 6fa7.*
+ 1570e: 0c4d.*
+ 1570f: 6fa7.*
+ 15710: 0d4d.*
+ 15711: 6fa7.*
+ 15712: 0c4e.*
+ 15713: 6fa7.*
+ 15714: 0d4e.*
+ 15715: 6fa7.*
+ 15716: 0c4f.*
+ 15717: 6fa7.*
+ 15718: 0d4f.*
+ 15719: 10af.*
+ 1571a: 11af.*
+ 1571b: 6faf.*
+ 1571c: 0c50.*
+ 1571d: 6faf.*
+ 1571e: 0d50.*
+ 1571f: 6faf.*
+ 15720: 0c51.*
+ 15721: 6faf.*
+ 15722: 0d51.*
+ 15723: 6faf.*
+ 15724: 0c52.*
+ 15725: 6faf.*
+ 15726: 0d52.*
+ 15727: 6faf.*
+ 15728: 0c53.*
+ 15729: 6faf.*
+ 1572a: 0d53.*
+ 1572b: 6faf.*
+ 1572c: 0c54.*
+ 1572d: 6faf.*
+ 1572e: 0d54.*
+ 1572f: 6faf.*
+ 15730: 0c55.*
+ 15731: 6faf.*
+ 15732: 0d55.*
+ 15733: 6faf.*
+ 15734: 0c56.*
+ 15735: 6faf.*
+ 15736: 0d56.*
+ 15737: 6faf.*
+ 15738: 0c57.*
+ 15739: 6faf.*
+ 1573a: 0d57.*
+ 1573b: 6faf.*
+ 1573c: 0c58.*
+ 1573d: 6faf.*
+ 1573e: 0d58.*
+ 1573f: 6faf.*
+ 15740: 0c59.*
+ 15741: 6faf.*
+ 15742: 0d59.*
+ 15743: 6faf.*
+ 15744: 0c5a.*
+ 15745: 6faf.*
+ 15746: 0d5a.*
+ 15747: 6faf.*
+ 15748: 0c5b.*
+ 15749: 6faf.*
+ 1574a: 0d5b.*
+ 1574b: 6faf.*
+ 1574c: 0c5c.*
+ 1574d: 6faf.*
+ 1574e: 0d5c.*
+ 1574f: 6faf.*
+ 15750: 0c5d.*
+ 15751: 6faf.*
+ 15752: 0d5d.*
+ 15753: 6faf.*
+ 15754: 0c5e.*
+ 15755: 6faf.*
+ 15756: 0d5e.*
+ 15757: 6faf.*
+ 15758: 0c5f.*
+ 15759: 6faf.*
+ 1575a: 0d5f.*
+ 1575b: 10af.*
+ 1575c: 11af.*
+ 1575d: 6faf.*
+ 1575e: 0c41.*
+ 1575f: 6faf.*
+ 15760: 0d41.*
+ 15761: 6faf.*
+ 15762: 0c42.*
+ 15763: 6faf.*
+ 15764: 0d42.*
+ 15765: 6faf.*
+ 15766: 0c43.*
+ 15767: 6faf.*
+ 15768: 0d43.*
+ 15769: 6faf.*
+ 1576a: 0c44.*
+ 1576b: 6faf.*
+ 1576c: 0d44.*
+ 1576d: 6faf.*
+ 1576e: 0c45.*
+ 1576f: 6faf.*
+ 15770: 0d45.*
+ 15771: 6faf.*
+ 15772: 0c46.*
+ 15773: 6faf.*
+ 15774: 0d46.*
+ 15775: 6faf.*
+ 15776: 0c47.*
+ 15777: 6faf.*
+ 15778: 0d47.*
+ 15779: 6faf.*
+ 1577a: 0c48.*
+ 1577b: 6faf.*
+ 1577c: 0d48.*
+ 1577d: 6faf.*
+ 1577e: 0c49.*
+ 1577f: 6faf.*
+ 15780: 0d49.*
+ 15781: 6faf.*
+ 15782: 0c4a.*
+ 15783: 6faf.*
+ 15784: 0d4a.*
+ 15785: 6faf.*
+ 15786: 0c4b.*
+ 15787: 6faf.*
+ 15788: 0d4b.*
+ 15789: 6faf.*
+ 1578a: 0c4c.*
+ 1578b: 6faf.*
+ 1578c: 0d4c.*
+ 1578d: 6faf.*
+ 1578e: 0c4d.*
+ 1578f: 6faf.*
+ 15790: 0d4d.*
+ 15791: 6faf.*
+ 15792: 0c4e.*
+ 15793: 6faf.*
+ 15794: 0d4e.*
+ 15795: 6faf.*
+ 15796: 0c4f.*
+ 15797: 6faf.*
+ 15798: 0d4f.*
+ 15799: 10b7.*
+ 1579a: 11b7.*
+ 1579b: 6fb7.*
+ 1579c: 0c50.*
+ 1579d: 6fb7.*
+ 1579e: 0d50.*
+ 1579f: 6fb7.*
+ 157a0: 0c51.*
+ 157a1: 6fb7.*
+ 157a2: 0d51.*
+ 157a3: 6fb7.*
+ 157a4: 0c52.*
+ 157a5: 6fb7.*
+ 157a6: 0d52.*
+ 157a7: 6fb7.*
+ 157a8: 0c53.*
+ 157a9: 6fb7.*
+ 157aa: 0d53.*
+ 157ab: 6fb7.*
+ 157ac: 0c54.*
+ 157ad: 6fb7.*
+ 157ae: 0d54.*
+ 157af: 6fb7.*
+ 157b0: 0c55.*
+ 157b1: 6fb7.*
+ 157b2: 0d55.*
+ 157b3: 6fb7.*
+ 157b4: 0c56.*
+ 157b5: 6fb7.*
+ 157b6: 0d56.*
+ 157b7: 6fb7.*
+ 157b8: 0c57.*
+ 157b9: 6fb7.*
+ 157ba: 0d57.*
+ 157bb: 6fb7.*
+ 157bc: 0c58.*
+ 157bd: 6fb7.*
+ 157be: 0d58.*
+ 157bf: 6fb7.*
+ 157c0: 0c59.*
+ 157c1: 6fb7.*
+ 157c2: 0d59.*
+ 157c3: 6fb7.*
+ 157c4: 0c5a.*
+ 157c5: 6fb7.*
+ 157c6: 0d5a.*
+ 157c7: 6fb7.*
+ 157c8: 0c5b.*
+ 157c9: 6fb7.*
+ 157ca: 0d5b.*
+ 157cb: 6fb7.*
+ 157cc: 0c5c.*
+ 157cd: 6fb7.*
+ 157ce: 0d5c.*
+ 157cf: 6fb7.*
+ 157d0: 0c5d.*
+ 157d1: 6fb7.*
+ 157d2: 0d5d.*
+ 157d3: 6fb7.*
+ 157d4: 0c5e.*
+ 157d5: 6fb7.*
+ 157d6: 0d5e.*
+ 157d7: 6fb7.*
+ 157d8: 0c5f.*
+ 157d9: 6fb7.*
+ 157da: 0d5f.*
+ 157db: 10b7.*
+ 157dc: 11b7.*
+ 157dd: 6fb7.*
+ 157de: 0c41.*
+ 157df: 6fb7.*
+ 157e0: 0d41.*
+ 157e1: 6fb7.*
+ 157e2: 0c42.*
+ 157e3: 6fb7.*
+ 157e4: 0d42.*
+ 157e5: 6fb7.*
+ 157e6: 0c43.*
+ 157e7: 6fb7.*
+ 157e8: 0d43.*
+ 157e9: 6fb7.*
+ 157ea: 0c44.*
+ 157eb: 6fb7.*
+ 157ec: 0d44.*
+ 157ed: 6fb7.*
+ 157ee: 0c45.*
+ 157ef: 6fb7.*
+ 157f0: 0d45.*
+ 157f1: 6fb7.*
+ 157f2: 0c46.*
+ 157f3: 6fb7.*
+ 157f4: 0d46.*
+ 157f5: 6fb7.*
+ 157f6: 0c47.*
+ 157f7: 6fb7.*
+ 157f8: 0d47.*
+ 157f9: 6fb7.*
+ 157fa: 0c48.*
+ 157fb: 6fb7.*
+ 157fc: 0d48.*
+ 157fd: 6fb7.*
+ 157fe: 0c49.*
+ 157ff: 6fb7.*
+ 15800: 0d49.*
+ 15801: 6fb7.*
+ 15802: 0c4a.*
+ 15803: 6fb7.*
+ 15804: 0d4a.*
+ 15805: 6fb7.*
+ 15806: 0c4b.*
+ 15807: 6fb7.*
+ 15808: 0d4b.*
+ 15809: 6fb7.*
+ 1580a: 0c4c.*
+ 1580b: 6fb7.*
+ 1580c: 0d4c.*
+ 1580d: 6fb7.*
+ 1580e: 0c4d.*
+ 1580f: 6fb7.*
+ 15810: 0d4d.*
+ 15811: 6fb7.*
+ 15812: 0c4e.*
+ 15813: 6fb7.*
+ 15814: 0d4e.*
+ 15815: 6fb7.*
+ 15816: 0c4f.*
+ 15817: 6fb7.*
+ 15818: 0d4f.*
+ 15819: 10bf.*
+ 1581a: 11bf.*
+ 1581b: 6fbf.*
+ 1581c: 0c50.*
+ 1581d: 6fbf.*
+ 1581e: 0d50.*
+ 1581f: 6fbf.*
+ 15820: 0c51.*
+ 15821: 6fbf.*
+ 15822: 0d51.*
+ 15823: 6fbf.*
+ 15824: 0c52.*
+ 15825: 6fbf.*
+ 15826: 0d52.*
+ 15827: 6fbf.*
+ 15828: 0c53.*
+ 15829: 6fbf.*
+ 1582a: 0d53.*
+ 1582b: 6fbf.*
+ 1582c: 0c54.*
+ 1582d: 6fbf.*
+ 1582e: 0d54.*
+ 1582f: 6fbf.*
+ 15830: 0c55.*
+ 15831: 6fbf.*
+ 15832: 0d55.*
+ 15833: 6fbf.*
+ 15834: 0c56.*
+ 15835: 6fbf.*
+ 15836: 0d56.*
+ 15837: 6fbf.*
+ 15838: 0c57.*
+ 15839: 6fbf.*
+ 1583a: 0d57.*
+ 1583b: 6fbf.*
+ 1583c: 0c58.*
+ 1583d: 6fbf.*
+ 1583e: 0d58.*
+ 1583f: 6fbf.*
+ 15840: 0c59.*
+ 15841: 6fbf.*
+ 15842: 0d59.*
+ 15843: 6fbf.*
+ 15844: 0c5a.*
+ 15845: 6fbf.*
+ 15846: 0d5a.*
+ 15847: 6fbf.*
+ 15848: 0c5b.*
+ 15849: 6fbf.*
+ 1584a: 0d5b.*
+ 1584b: 6fbf.*
+ 1584c: 0c5c.*
+ 1584d: 6fbf.*
+ 1584e: 0d5c.*
+ 1584f: 6fbf.*
+ 15850: 0c5d.*
+ 15851: 6fbf.*
+ 15852: 0d5d.*
+ 15853: 6fbf.*
+ 15854: 0c5e.*
+ 15855: 6fbf.*
+ 15856: 0d5e.*
+ 15857: 6fbf.*
+ 15858: 0c5f.*
+ 15859: 6fbf.*
+ 1585a: 0d5f.*
+ 1585b: 10bf.*
+ 1585c: 11bf.*
+ 1585d: 6fbf.*
+ 1585e: 0c41.*
+ 1585f: 6fbf.*
+ 15860: 0d41.*
+ 15861: 6fbf.*
+ 15862: 0c42.*
+ 15863: 6fbf.*
+ 15864: 0d42.*
+ 15865: 6fbf.*
+ 15866: 0c43.*
+ 15867: 6fbf.*
+ 15868: 0d43.*
+ 15869: 6fbf.*
+ 1586a: 0c44.*
+ 1586b: 6fbf.*
+ 1586c: 0d44.*
+ 1586d: 6fbf.*
+ 1586e: 0c45.*
+ 1586f: 6fbf.*
+ 15870: 0d45.*
+ 15871: 6fbf.*
+ 15872: 0c46.*
+ 15873: 6fbf.*
+ 15874: 0d46.*
+ 15875: 6fbf.*
+ 15876: 0c47.*
+ 15877: 6fbf.*
+ 15878: 0d47.*
+ 15879: 6fbf.*
+ 1587a: 0c48.*
+ 1587b: 6fbf.*
+ 1587c: 0d48.*
+ 1587d: 6fbf.*
+ 1587e: 0c49.*
+ 1587f: 6fbf.*
+ 15880: 0d49.*
+ 15881: 6fbf.*
+ 15882: 0c4a.*
+ 15883: 6fbf.*
+ 15884: 0d4a.*
+ 15885: 6fbf.*
+ 15886: 0c4b.*
+ 15887: 6fbf.*
+ 15888: 0d4b.*
+ 15889: 6fbf.*
+ 1588a: 0c4c.*
+ 1588b: 6fbf.*
+ 1588c: 0d4c.*
+ 1588d: 6fbf.*
+ 1588e: 0c4d.*
+ 1588f: 6fbf.*
+ 15890: 0d4d.*
+ 15891: 6fbf.*
+ 15892: 0c4e.*
+ 15893: 6fbf.*
+ 15894: 0d4e.*
+ 15895: 6fbf.*
+ 15896: 0c4f.*
+ 15897: 6fbf.*
+ 15898: 0d4f.*
+ 15899: 10c7.*
+ 1589a: 11c7.*
+ 1589b: 6fc7.*
+ 1589c: 0c50.*
+ 1589d: 6fc7.*
+ 1589e: 0d50.*
+ 1589f: 6fc7.*
+ 158a0: 0c51.*
+ 158a1: 6fc7.*
+ 158a2: 0d51.*
+ 158a3: 6fc7.*
+ 158a4: 0c52.*
+ 158a5: 6fc7.*
+ 158a6: 0d52.*
+ 158a7: 6fc7.*
+ 158a8: 0c53.*
+ 158a9: 6fc7.*
+ 158aa: 0d53.*
+ 158ab: 6fc7.*
+ 158ac: 0c54.*
+ 158ad: 6fc7.*
+ 158ae: 0d54.*
+ 158af: 6fc7.*
+ 158b0: 0c55.*
+ 158b1: 6fc7.*
+ 158b2: 0d55.*
+ 158b3: 6fc7.*
+ 158b4: 0c56.*
+ 158b5: 6fc7.*
+ 158b6: 0d56.*
+ 158b7: 6fc7.*
+ 158b8: 0c57.*
+ 158b9: 6fc7.*
+ 158ba: 0d57.*
+ 158bb: 6fc7.*
+ 158bc: 0c58.*
+ 158bd: 6fc7.*
+ 158be: 0d58.*
+ 158bf: 6fc7.*
+ 158c0: 0c59.*
+ 158c1: 6fc7.*
+ 158c2: 0d59.*
+ 158c3: 6fc7.*
+ 158c4: 0c5a.*
+ 158c5: 6fc7.*
+ 158c6: 0d5a.*
+ 158c7: 6fc7.*
+ 158c8: 0c5b.*
+ 158c9: 6fc7.*
+ 158ca: 0d5b.*
+ 158cb: 6fc7.*
+ 158cc: 0c5c.*
+ 158cd: 6fc7.*
+ 158ce: 0d5c.*
+ 158cf: 6fc7.*
+ 158d0: 0c5d.*
+ 158d1: 6fc7.*
+ 158d2: 0d5d.*
+ 158d3: 6fc7.*
+ 158d4: 0c5e.*
+ 158d5: 6fc7.*
+ 158d6: 0d5e.*
+ 158d7: 6fc7.*
+ 158d8: 0c5f.*
+ 158d9: 6fc7.*
+ 158da: 0d5f.*
+ 158db: 10c7.*
+ 158dc: 11c7.*
+ 158dd: 6fc7.*
+ 158de: 0c41.*
+ 158df: 6fc7.*
+ 158e0: 0d41.*
+ 158e1: 6fc7.*
+ 158e2: 0c42.*
+ 158e3: 6fc7.*
+ 158e4: 0d42.*
+ 158e5: 6fc7.*
+ 158e6: 0c43.*
+ 158e7: 6fc7.*
+ 158e8: 0d43.*
+ 158e9: 6fc7.*
+ 158ea: 0c44.*
+ 158eb: 6fc7.*
+ 158ec: 0d44.*
+ 158ed: 6fc7.*
+ 158ee: 0c45.*
+ 158ef: 6fc7.*
+ 158f0: 0d45.*
+ 158f1: 6fc7.*
+ 158f2: 0c46.*
+ 158f3: 6fc7.*
+ 158f4: 0d46.*
+ 158f5: 6fc7.*
+ 158f6: 0c47.*
+ 158f7: 6fc7.*
+ 158f8: 0d47.*
+ 158f9: 6fc7.*
+ 158fa: 0c48.*
+ 158fb: 6fc7.*
+ 158fc: 0d48.*
+ 158fd: 6fc7.*
+ 158fe: 0c49.*
+ 158ff: 6fc7.*
+ 15900: 0d49.*
+ 15901: 6fc7.*
+ 15902: 0c4a.*
+ 15903: 6fc7.*
+ 15904: 0d4a.*
+ 15905: 6fc7.*
+ 15906: 0c4b.*
+ 15907: 6fc7.*
+ 15908: 0d4b.*
+ 15909: 6fc7.*
+ 1590a: 0c4c.*
+ 1590b: 6fc7.*
+ 1590c: 0d4c.*
+ 1590d: 6fc7.*
+ 1590e: 0c4d.*
+ 1590f: 6fc7.*
+ 15910: 0d4d.*
+ 15911: 6fc7.*
+ 15912: 0c4e.*
+ 15913: 6fc7.*
+ 15914: 0d4e.*
+ 15915: 6fc7.*
+ 15916: 0c4f.*
+ 15917: 6fc7.*
+ 15918: 0d4f.*
+ 15919: 10cf.*
+ 1591a: 11cf.*
+ 1591b: 6fcf.*
+ 1591c: 0c50.*
+ 1591d: 6fcf.*
+ 1591e: 0d50.*
+ 1591f: 6fcf.*
+ 15920: 0c51.*
+ 15921: 6fcf.*
+ 15922: 0d51.*
+ 15923: 6fcf.*
+ 15924: 0c52.*
+ 15925: 6fcf.*
+ 15926: 0d52.*
+ 15927: 6fcf.*
+ 15928: 0c53.*
+ 15929: 6fcf.*
+ 1592a: 0d53.*
+ 1592b: 6fcf.*
+ 1592c: 0c54.*
+ 1592d: 6fcf.*
+ 1592e: 0d54.*
+ 1592f: 6fcf.*
+ 15930: 0c55.*
+ 15931: 6fcf.*
+ 15932: 0d55.*
+ 15933: 6fcf.*
+ 15934: 0c56.*
+ 15935: 6fcf.*
+ 15936: 0d56.*
+ 15937: 6fcf.*
+ 15938: 0c57.*
+ 15939: 6fcf.*
+ 1593a: 0d57.*
+ 1593b: 6fcf.*
+ 1593c: 0c58.*
+ 1593d: 6fcf.*
+ 1593e: 0d58.*
+ 1593f: 6fcf.*
+ 15940: 0c59.*
+ 15941: 6fcf.*
+ 15942: 0d59.*
+ 15943: 6fcf.*
+ 15944: 0c5a.*
+ 15945: 6fcf.*
+ 15946: 0d5a.*
+ 15947: 6fcf.*
+ 15948: 0c5b.*
+ 15949: 6fcf.*
+ 1594a: 0d5b.*
+ 1594b: 6fcf.*
+ 1594c: 0c5c.*
+ 1594d: 6fcf.*
+ 1594e: 0d5c.*
+ 1594f: 6fcf.*
+ 15950: 0c5d.*
+ 15951: 6fcf.*
+ 15952: 0d5d.*
+ 15953: 6fcf.*
+ 15954: 0c5e.*
+ 15955: 6fcf.*
+ 15956: 0d5e.*
+ 15957: 6fcf.*
+ 15958: 0c5f.*
+ 15959: 6fcf.*
+ 1595a: 0d5f.*
+ 1595b: 10cf.*
+ 1595c: 11cf.*
+ 1595d: 6fcf.*
+ 1595e: 0c41.*
+ 1595f: 6fcf.*
+ 15960: 0d41.*
+ 15961: 6fcf.*
+ 15962: 0c42.*
+ 15963: 6fcf.*
+ 15964: 0d42.*
+ 15965: 6fcf.*
+ 15966: 0c43.*
+ 15967: 6fcf.*
+ 15968: 0d43.*
+ 15969: 6fcf.*
+ 1596a: 0c44.*
+ 1596b: 6fcf.*
+ 1596c: 0d44.*
+ 1596d: 6fcf.*
+ 1596e: 0c45.*
+ 1596f: 6fcf.*
+ 15970: 0d45.*
+ 15971: 6fcf.*
+ 15972: 0c46.*
+ 15973: 6fcf.*
+ 15974: 0d46.*
+ 15975: 6fcf.*
+ 15976: 0c47.*
+ 15977: 6fcf.*
+ 15978: 0d47.*
+ 15979: 6fcf.*
+ 1597a: 0c48.*
+ 1597b: 6fcf.*
+ 1597c: 0d48.*
+ 1597d: 6fcf.*
+ 1597e: 0c49.*
+ 1597f: 6fcf.*
+ 15980: 0d49.*
+ 15981: 6fcf.*
+ 15982: 0c4a.*
+ 15983: 6fcf.*
+ 15984: 0d4a.*
+ 15985: 6fcf.*
+ 15986: 0c4b.*
+ 15987: 6fcf.*
+ 15988: 0d4b.*
+ 15989: 6fcf.*
+ 1598a: 0c4c.*
+ 1598b: 6fcf.*
+ 1598c: 0d4c.*
+ 1598d: 6fcf.*
+ 1598e: 0c4d.*
+ 1598f: 6fcf.*
+ 15990: 0d4d.*
+ 15991: 6fcf.*
+ 15992: 0c4e.*
+ 15993: 6fcf.*
+ 15994: 0d4e.*
+ 15995: 6fcf.*
+ 15996: 0c4f.*
+ 15997: 6fcf.*
+ 15998: 0d4f.*
+ 15999: 10d7.*
+ 1599a: 11d7.*
+ 1599b: 6fd7.*
+ 1599c: 0c50.*
+ 1599d: 6fd7.*
+ 1599e: 0d50.*
+ 1599f: 6fd7.*
+ 159a0: 0c51.*
+ 159a1: 6fd7.*
+ 159a2: 0d51.*
+ 159a3: 6fd7.*
+ 159a4: 0c52.*
+ 159a5: 6fd7.*
+ 159a6: 0d52.*
+ 159a7: 6fd7.*
+ 159a8: 0c53.*
+ 159a9: 6fd7.*
+ 159aa: 0d53.*
+ 159ab: 6fd7.*
+ 159ac: 0c54.*
+ 159ad: 6fd7.*
+ 159ae: 0d54.*
+ 159af: 6fd7.*
+ 159b0: 0c55.*
+ 159b1: 6fd7.*
+ 159b2: 0d55.*
+ 159b3: 6fd7.*
+ 159b4: 0c56.*
+ 159b5: 6fd7.*
+ 159b6: 0d56.*
+ 159b7: 6fd7.*
+ 159b8: 0c57.*
+ 159b9: 6fd7.*
+ 159ba: 0d57.*
+ 159bb: 6fd7.*
+ 159bc: 0c58.*
+ 159bd: 6fd7.*
+ 159be: 0d58.*
+ 159bf: 6fd7.*
+ 159c0: 0c59.*
+ 159c1: 6fd7.*
+ 159c2: 0d59.*
+ 159c3: 6fd7.*
+ 159c4: 0c5a.*
+ 159c5: 6fd7.*
+ 159c6: 0d5a.*
+ 159c7: 6fd7.*
+ 159c8: 0c5b.*
+ 159c9: 6fd7.*
+ 159ca: 0d5b.*
+ 159cb: 6fd7.*
+ 159cc: 0c5c.*
+ 159cd: 6fd7.*
+ 159ce: 0d5c.*
+ 159cf: 6fd7.*
+ 159d0: 0c5d.*
+ 159d1: 6fd7.*
+ 159d2: 0d5d.*
+ 159d3: 6fd7.*
+ 159d4: 0c5e.*
+ 159d5: 6fd7.*
+ 159d6: 0d5e.*
+ 159d7: 6fd7.*
+ 159d8: 0c5f.*
+ 159d9: 6fd7.*
+ 159da: 0d5f.*
+ 159db: 10d7.*
+ 159dc: 11d7.*
+ 159dd: 6fd7.*
+ 159de: 0c41.*
+ 159df: 6fd7.*
+ 159e0: 0d41.*
+ 159e1: 6fd7.*
+ 159e2: 0c42.*
+ 159e3: 6fd7.*
+ 159e4: 0d42.*
+ 159e5: 6fd7.*
+ 159e6: 0c43.*
+ 159e7: 6fd7.*
+ 159e8: 0d43.*
+ 159e9: 6fd7.*
+ 159ea: 0c44.*
+ 159eb: 6fd7.*
+ 159ec: 0d44.*
+ 159ed: 6fd7.*
+ 159ee: 0c45.*
+ 159ef: 6fd7.*
+ 159f0: 0d45.*
+ 159f1: 6fd7.*
+ 159f2: 0c46.*
+ 159f3: 6fd7.*
+ 159f4: 0d46.*
+ 159f5: 6fd7.*
+ 159f6: 0c47.*
+ 159f7: 6fd7.*
+ 159f8: 0d47.*
+ 159f9: 6fd7.*
+ 159fa: 0c48.*
+ 159fb: 6fd7.*
+ 159fc: 0d48.*
+ 159fd: 6fd7.*
+ 159fe: 0c49.*
+ 159ff: 6fd7.*
+ 15a00: 0d49.*
+ 15a01: 6fd7.*
+ 15a02: 0c4a.*
+ 15a03: 6fd7.*
+ 15a04: 0d4a.*
+ 15a05: 6fd7.*
+ 15a06: 0c4b.*
+ 15a07: 6fd7.*
+ 15a08: 0d4b.*
+ 15a09: 6fd7.*
+ 15a0a: 0c4c.*
+ 15a0b: 6fd7.*
+ 15a0c: 0d4c.*
+ 15a0d: 6fd7.*
+ 15a0e: 0c4d.*
+ 15a0f: 6fd7.*
+ 15a10: 0d4d.*
+ 15a11: 6fd7.*
+ 15a12: 0c4e.*
+ 15a13: 6fd7.*
+ 15a14: 0d4e.*
+ 15a15: 6fd7.*
+ 15a16: 0c4f.*
+ 15a17: 6fd7.*
+ 15a18: 0d4f.*
+ 15a19: 10df.*
+ 15a1a: 11df.*
+ 15a1b: 6fdf.*
+ 15a1c: 0c50.*
+ 15a1d: 6fdf.*
+ 15a1e: 0d50.*
+ 15a1f: 6fdf.*
+ 15a20: 0c51.*
+ 15a21: 6fdf.*
+ 15a22: 0d51.*
+ 15a23: 6fdf.*
+ 15a24: 0c52.*
+ 15a25: 6fdf.*
+ 15a26: 0d52.*
+ 15a27: 6fdf.*
+ 15a28: 0c53.*
+ 15a29: 6fdf.*
+ 15a2a: 0d53.*
+ 15a2b: 6fdf.*
+ 15a2c: 0c54.*
+ 15a2d: 6fdf.*
+ 15a2e: 0d54.*
+ 15a2f: 6fdf.*
+ 15a30: 0c55.*
+ 15a31: 6fdf.*
+ 15a32: 0d55.*
+ 15a33: 6fdf.*
+ 15a34: 0c56.*
+ 15a35: 6fdf.*
+ 15a36: 0d56.*
+ 15a37: 6fdf.*
+ 15a38: 0c57.*
+ 15a39: 6fdf.*
+ 15a3a: 0d57.*
+ 15a3b: 6fdf.*
+ 15a3c: 0c58.*
+ 15a3d: 6fdf.*
+ 15a3e: 0d58.*
+ 15a3f: 6fdf.*
+ 15a40: 0c59.*
+ 15a41: 6fdf.*
+ 15a42: 0d59.*
+ 15a43: 6fdf.*
+ 15a44: 0c5a.*
+ 15a45: 6fdf.*
+ 15a46: 0d5a.*
+ 15a47: 6fdf.*
+ 15a48: 0c5b.*
+ 15a49: 6fdf.*
+ 15a4a: 0d5b.*
+ 15a4b: 6fdf.*
+ 15a4c: 0c5c.*
+ 15a4d: 6fdf.*
+ 15a4e: 0d5c.*
+ 15a4f: 6fdf.*
+ 15a50: 0c5d.*
+ 15a51: 6fdf.*
+ 15a52: 0d5d.*
+ 15a53: 6fdf.*
+ 15a54: 0c5e.*
+ 15a55: 6fdf.*
+ 15a56: 0d5e.*
+ 15a57: 6fdf.*
+ 15a58: 0c5f.*
+ 15a59: 6fdf.*
+ 15a5a: 0d5f.*
+ 15a5b: 10df.*
+ 15a5c: 11df.*
+ 15a5d: 6fdf.*
+ 15a5e: 0c41.*
+ 15a5f: 6fdf.*
+ 15a60: 0d41.*
+ 15a61: 6fdf.*
+ 15a62: 0c42.*
+ 15a63: 6fdf.*
+ 15a64: 0d42.*
+ 15a65: 6fdf.*
+ 15a66: 0c43.*
+ 15a67: 6fdf.*
+ 15a68: 0d43.*
+ 15a69: 6fdf.*
+ 15a6a: 0c44.*
+ 15a6b: 6fdf.*
+ 15a6c: 0d44.*
+ 15a6d: 6fdf.*
+ 15a6e: 0c45.*
+ 15a6f: 6fdf.*
+ 15a70: 0d45.*
+ 15a71: 6fdf.*
+ 15a72: 0c46.*
+ 15a73: 6fdf.*
+ 15a74: 0d46.*
+ 15a75: 6fdf.*
+ 15a76: 0c47.*
+ 15a77: 6fdf.*
+ 15a78: 0d47.*
+ 15a79: 6fdf.*
+ 15a7a: 0c48.*
+ 15a7b: 6fdf.*
+ 15a7c: 0d48.*
+ 15a7d: 6fdf.*
+ 15a7e: 0c49.*
+ 15a7f: 6fdf.*
+ 15a80: 0d49.*
+ 15a81: 6fdf.*
+ 15a82: 0c4a.*
+ 15a83: 6fdf.*
+ 15a84: 0d4a.*
+ 15a85: 6fdf.*
+ 15a86: 0c4b.*
+ 15a87: 6fdf.*
+ 15a88: 0d4b.*
+ 15a89: 6fdf.*
+ 15a8a: 0c4c.*
+ 15a8b: 6fdf.*
+ 15a8c: 0d4c.*
+ 15a8d: 6fdf.*
+ 15a8e: 0c4d.*
+ 15a8f: 6fdf.*
+ 15a90: 0d4d.*
+ 15a91: 6fdf.*
+ 15a92: 0c4e.*
+ 15a93: 6fdf.*
+ 15a94: 0d4e.*
+ 15a95: 6fdf.*
+ 15a96: 0c4f.*
+ 15a97: 6fdf.*
+ 15a98: 0d4f.*
+ 15a99: 10e7.*
+ 15a9a: 2998.*
+ 15a9b: 11e7.*
+ 15a9c: 2999.*
+ 15a9d: 6fe7.*
+ 15a9e: 299a.*
+ 15a9f: 0c50.*
+ 15aa0: 6fe7.*
+ 15aa1: 299b.*
+ 15aa2: 0d50.*
+ 15aa3: 6fe7.*
+ 15aa4: 299c.*
+ 15aa5: 0c51.*
+ 15aa6: 6fe7.*
+ 15aa7: 299d.*
+ 15aa8: 0d51.*
+ 15aa9: 6fe7.*
+ 15aaa: 299e.*
+ 15aab: 0c52.*
+ 15aac: 6fe7.*
+ 15aad: 299f.*
+ 15aae: 0d52.*
+ 15aaf: 6fe7.*
+ 15ab0: 29a0.*
+ 15ab1: 0c53.*
+ 15ab2: 6fe7.*
+ 15ab3: 29a1.*
+ 15ab4: 0d53.*
+ 15ab5: 6fe7.*
+ 15ab6: 29a2.*
+ 15ab7: 0c54.*
+ 15ab8: 6fe7.*
+ 15ab9: 29a3.*
+ 15aba: 0d54.*
+ 15abb: 6fe7.*
+ 15abc: 29a4.*
+ 15abd: 0c55.*
+ 15abe: 6fe7.*
+ 15abf: 29a5.*
+ 15ac0: 0d55.*
+ 15ac1: 6fe7.*
+ 15ac2: 29a6.*
+ 15ac3: 0c56.*
+ 15ac4: 6fe7.*
+ 15ac5: 29a7.*
+ 15ac6: 0d56.*
+ 15ac7: 6fe7.*
+ 15ac8: 29a8.*
+ 15ac9: 0c57.*
+ 15aca: 6fe7.*
+ 15acb: 29a9.*
+ 15acc: 0d57.*
+ 15acd: 6fe7.*
+ 15ace: 29aa.*
+ 15acf: 0c58.*
+ 15ad0: 6fe7.*
+ 15ad1: 29ab.*
+ 15ad2: 0d58.*
+ 15ad3: 6fe7.*
+ 15ad4: 29ac.*
+ 15ad5: 0c59.*
+ 15ad6: 6fe7.*
+ 15ad7: 29ad.*
+ 15ad8: 0d59.*
+ 15ad9: 6fe7.*
+ 15ada: 29ae.*
+ 15adb: 0c5a.*
+ 15adc: 6fe7.*
+ 15add: 29af.*
+ 15ade: 0d5a.*
+ 15adf: 6fe7.*
+ 15ae0: 29b0.*
+ 15ae1: 0c5b.*
+ 15ae2: 6fe7.*
+ 15ae3: 29b1.*
+ 15ae4: 0d5b.*
+ 15ae5: 6fe7.*
+ 15ae6: 29b2.*
+ 15ae7: 0c5c.*
+ 15ae8: 6fe7.*
+ 15ae9: 29b3.*
+ 15aea: 0d5c.*
+ 15aeb: 6fe7.*
+ 15aec: 29b4.*
+ 15aed: 0c5d.*
+ 15aee: 6fe7.*
+ 15aef: 29b5.*
+ 15af0: 0d5d.*
+ 15af1: 6fe7.*
+ 15af2: 29b6.*
+ 15af3: 0c5e.*
+ 15af4: 6fe7.*
+ 15af5: 29b7.*
+ 15af6: 0d5e.*
+ 15af7: 6fe7.*
+ 15af8: 29b8.*
+ 15af9: 0c5f.*
+ 15afa: 6fe7.*
+ 15afb: 29b9.*
+ 15afc: 0d5f.*
+ 15afd: 10e7.*
+ 15afe: 29ba.*
+ 15aff: 11e7.*
+ 15b00: 29bb.*
+ 15b01: 6fe7.*
+ 15b02: 29bc.*
+ 15b03: 0c41.*
+ 15b04: 6fe7.*
+ 15b05: 29bd.*
+ 15b06: 0d41.*
+ 15b07: 6fe7.*
+ 15b08: 29be.*
+ 15b09: 0c42.*
+ 15b0a: 6fe7.*
+ 15b0b: 29bf.*
+ 15b0c: 0d42.*
+ 15b0d: 6fe7.*
+ 15b0e: 29c0.*
+ 15b0f: 0c43.*
+ 15b10: 6fe7.*
+ 15b11: 29c1.*
+ 15b12: 0d43.*
+ 15b13: 6fe7.*
+ 15b14: 29c2.*
+ 15b15: 0c44.*
+ 15b16: 6fe7.*
+ 15b17: 29c3.*
+ 15b18: 0d44.*
+ 15b19: 6fe7.*
+ 15b1a: 29c4.*
+ 15b1b: 0c45.*
+ 15b1c: 6fe7.*
+ 15b1d: 29c5.*
+ 15b1e: 0d45.*
+ 15b1f: 6fe7.*
+ 15b20: 29c6.*
+ 15b21: 0c46.*
+ 15b22: 6fe7.*
+ 15b23: 29c7.*
+ 15b24: 0d46.*
+ 15b25: 6fe7.*
+ 15b26: 29c8.*
+ 15b27: 0c47.*
+ 15b28: 6fe7.*
+ 15b29: 29c9.*
+ 15b2a: 0d47.*
+ 15b2b: 6fe7.*
+ 15b2c: 29ca.*
+ 15b2d: 0c48.*
+ 15b2e: 6fe7.*
+ 15b2f: 29cb.*
+ 15b30: 0d48.*
+ 15b31: 6fe7.*
+ 15b32: 29cc.*
+ 15b33: 0c49.*
+ 15b34: 6fe7.*
+ 15b35: 29cd.*
+ 15b36: 0d49.*
+ 15b37: 6fe7.*
+ 15b38: 29ce.*
+ 15b39: 0c4a.*
+ 15b3a: 6fe7.*
+ 15b3b: 29cf.*
+ 15b3c: 0d4a.*
+ 15b3d: 6fe7.*
+ 15b3e: 29d0.*
+ 15b3f: 0c4b.*
+ 15b40: 6fe7.*
+ 15b41: 29d1.*
+ 15b42: 0d4b.*
+ 15b43: 6fe7.*
+ 15b44: 29d2.*
+ 15b45: 0c4c.*
+ 15b46: 6fe7.*
+ 15b47: 29d3.*
+ 15b48: 0d4c.*
+ 15b49: 6fe7.*
+ 15b4a: 29d4.*
+ 15b4b: 0c4d.*
+ 15b4c: 6fe7.*
+ 15b4d: 29d5.*
+ 15b4e: 0d4d.*
+ 15b4f: 6fe7.*
+ 15b50: 29d6.*
+ 15b51: 0c4e.*
+ 15b52: 6fe7.*
+ 15b53: 29d7.*
+ 15b54: 0d4e.*
+ 15b55: 6fe7.*
+ 15b56: 29d8.*
+ 15b57: 0c4f.*
+ 15b58: 6fe7.*
+ 15b59: 29d9.*
+ 15b5a: 0d4f.*
+ 15b5b: 10ef.*
+ 15b5c: 29da.*
+ 15b5d: 11ef.*
+ 15b5e: 29db.*
+ 15b5f: 6fef.*
+ 15b60: 29dc.*
+ 15b61: 0c50.*
+ 15b62: 6fef.*
+ 15b63: 29dd.*
+ 15b64: 0d50.*
+ 15b65: 6fef.*
+ 15b66: 29de.*
+ 15b67: 0c51.*
+ 15b68: 6fef.*
+ 15b69: 29df.*
+ 15b6a: 0d51.*
+ 15b6b: 6fef.*
+ 15b6c: 29e0.*
+ 15b6d: 0c52.*
+ 15b6e: 6fef.*
+ 15b6f: 29e1.*
+ 15b70: 0d52.*
+ 15b71: 6fef.*
+ 15b72: 29e2.*
+ 15b73: 0c53.*
+ 15b74: 6fef.*
+ 15b75: 29e3.*
+ 15b76: 0d53.*
+ 15b77: 6fef.*
+ 15b78: 29e4.*
+ 15b79: 0c54.*
+ 15b7a: 6fef.*
+ 15b7b: 29e5.*
+ 15b7c: 0d54.*
+ 15b7d: 6fef.*
+ 15b7e: 29e6.*
+ 15b7f: 0c55.*
+ 15b80: 6fef.*
+ 15b81: 29e7.*
+ 15b82: 0d55.*
+ 15b83: 6fef.*
+ 15b84: 29e8.*
+ 15b85: 0c56.*
+ 15b86: 6fef.*
+ 15b87: 29e9.*
+ 15b88: 0d56.*
+ 15b89: 6fef.*
+ 15b8a: 29ea.*
+ 15b8b: 0c57.*
+ 15b8c: 6fef.*
+ 15b8d: 29eb.*
+ 15b8e: 0d57.*
+ 15b8f: 6fef.*
+ 15b90: 29ec.*
+ 15b91: 0c58.*
+ 15b92: 6fef.*
+ 15b93: 29ed.*
+ 15b94: 0d58.*
+ 15b95: 6fef.*
+ 15b96: 29ee.*
+ 15b97: 0c59.*
+ 15b98: 6fef.*
+ 15b99: 29ef.*
+ 15b9a: 0d59.*
+ 15b9b: 6fef.*
+ 15b9c: 29f0.*
+ 15b9d: 0c5a.*
+ 15b9e: 6fef.*
+ 15b9f: 29f1.*
+ 15ba0: 0d5a.*
+ 15ba1: 6fef.*
+ 15ba2: 29f2.*
+ 15ba3: 0c5b.*
+ 15ba4: 6fef.*
+ 15ba5: 29f3.*
+ 15ba6: 0d5b.*
+ 15ba7: 6fef.*
+ 15ba8: 29f4.*
+ 15ba9: 0c5c.*
+ 15baa: 6fef.*
+ 15bab: 29f5.*
+ 15bac: 0d5c.*
+ 15bad: 6fef.*
+ 15bae: 29f6.*
+ 15baf: 0c5d.*
+ 15bb0: 6fef.*
+ 15bb1: 29f7.*
+ 15bb2: 0d5d.*
+ 15bb3: 6fef.*
+ 15bb4: 29f8.*
+ 15bb5: 0c5e.*
+ 15bb6: 6fef.*
+ 15bb7: 29f9.*
+ 15bb8: 0d5e.*
+ 15bb9: 6fef.*
+ 15bba: 29fa.*
+ 15bbb: 0c5f.*
+ 15bbc: 6fef.*
+ 15bbd: 29fb.*
+ 15bbe: 0d5f.*
+ 15bbf: 10ef.*
+ 15bc0: 29fc.*
+ 15bc1: 11ef.*
+ 15bc2: 29fd.*
+ 15bc3: 6fef.*
+ 15bc4: 29fe.*
+ 15bc5: 0c41.*
+ 15bc6: 6fef.*
+ 15bc7: 29ff.*
+ 15bc8: 0d41.*
+ 15bc9: 6fef.*
+ 15bca: 2a00.*
+ 15bcb: 0c42.*
+ 15bcc: 6fef.*
+ 15bcd: 2a01.*
+ 15bce: 0d42.*
+ 15bcf: 6fef.*
+ 15bd0: 2a02.*
+ 15bd1: 0c43.*
+ 15bd2: 6fef.*
+ 15bd3: 2a03.*
+ 15bd4: 0d43.*
+ 15bd5: 6fef.*
+ 15bd6: 2a04.*
+ 15bd7: 0c44.*
+ 15bd8: 6fef.*
+ 15bd9: 2a05.*
+ 15bda: 0d44.*
+ 15bdb: 6fef.*
+ 15bdc: 2a06.*
+ 15bdd: 0c45.*
+ 15bde: 6fef.*
+ 15bdf: 2a07.*
+ 15be0: 0d45.*
+ 15be1: 6fef.*
+ 15be2: 2a08.*
+ 15be3: 0c46.*
+ 15be4: 6fef.*
+ 15be5: 2a09.*
+ 15be6: 0d46.*
+ 15be7: 6fef.*
+ 15be8: 2a0a.*
+ 15be9: 0c47.*
+ 15bea: 6fef.*
+ 15beb: 2a0b.*
+ 15bec: 0d47.*
+ 15bed: 6fef.*
+ 15bee: 2a0c.*
+ 15bef: 0c48.*
+ 15bf0: 6fef.*
+ 15bf1: 2a0d.*
+ 15bf2: 0d48.*
+ 15bf3: 6fef.*
+ 15bf4: 2a0e.*
+ 15bf5: 0c49.*
+ 15bf6: 6fef.*
+ 15bf7: 2a0f.*
+ 15bf8: 0d49.*
+ 15bf9: 6fef.*
+ 15bfa: 2a10.*
+ 15bfb: 0c4a.*
+ 15bfc: 6fef.*
+ 15bfd: 2a11.*
+ 15bfe: 0d4a.*
+ 15bff: 6fef.*
+ 15c00: 2a12.*
+ 15c01: 0c4b.*
+ 15c02: 6fef.*
+ 15c03: 2a13.*
+ 15c04: 0d4b.*
+ 15c05: 6fef.*
+ 15c06: 2a14.*
+ 15c07: 0c4c.*
+ 15c08: 6fef.*
+ 15c09: 2a15.*
+ 15c0a: 0d4c.*
+ 15c0b: 6fef.*
+ 15c0c: 2a16.*
+ 15c0d: 0c4d.*
+ 15c0e: 6fef.*
+ 15c0f: 2a17.*
+ 15c10: 0d4d.*
+ 15c11: 6fef.*
+ 15c12: 2a18.*
+ 15c13: 0c4e.*
+ 15c14: 6fef.*
+ 15c15: 2a19.*
+ 15c16: 0d4e.*
+ 15c17: 6fef.*
+ 15c18: 2a1a.*
+ 15c19: 0c4f.*
+ 15c1a: 6fef.*
+ 15c1b: 2a1b.*
+ 15c1c: 0d4f.*
+ 15c1d: 10f7.*
+ 15c1e: 2a1c.*
+ 15c1f: 11f7.*
+ 15c20: 2a1d.*
+ 15c21: 6ff7.*
+ 15c22: 2a1e.*
+ 15c23: 0c50.*
+ 15c24: 6ff7.*
+ 15c25: 2a1f.*
+ 15c26: 0d50.*
+ 15c27: 6ff7.*
+ 15c28: 2a20.*
+ 15c29: 0c51.*
+ 15c2a: 6ff7.*
+ 15c2b: 2a21.*
+ 15c2c: 0d51.*
+ 15c2d: 6ff7.*
+ 15c2e: 2a22.*
+ 15c2f: 0c52.*
+ 15c30: 6ff7.*
+ 15c31: 2a23.*
+ 15c32: 0d52.*
+ 15c33: 6ff7.*
+ 15c34: 2a24.*
+ 15c35: 0c53.*
+ 15c36: 6ff7.*
+ 15c37: 2a25.*
+ 15c38: 0d53.*
+ 15c39: 6ff7.*
+ 15c3a: 2a26.*
+ 15c3b: 0c54.*
+ 15c3c: 6ff7.*
+ 15c3d: 2a27.*
+ 15c3e: 0d54.*
+ 15c3f: 6ff7.*
+ 15c40: 2a28.*
+ 15c41: 0c55.*
+ 15c42: 6ff7.*
+ 15c43: 2a29.*
+ 15c44: 0d55.*
+ 15c45: 6ff7.*
+ 15c46: 2a2a.*
+ 15c47: 0c56.*
+ 15c48: 6ff7.*
+ 15c49: 2a2b.*
+ 15c4a: 0d56.*
+ 15c4b: 6ff7.*
+ 15c4c: 2a2c.*
+ 15c4d: 0c57.*
+ 15c4e: 6ff7.*
+ 15c4f: 2a2d.*
+ 15c50: 0d57.*
+ 15c51: 6ff7.*
+ 15c52: 2a2e.*
+ 15c53: 0c58.*
+ 15c54: 6ff7.*
+ 15c55: 2a2f.*
+ 15c56: 0d58.*
+ 15c57: 6ff7.*
+ 15c58: 2a30.*
+ 15c59: 0c59.*
+ 15c5a: 6ff7.*
+ 15c5b: 2a31.*
+ 15c5c: 0d59.*
+ 15c5d: 6ff7.*
+ 15c5e: 2a32.*
+ 15c5f: 0c5a.*
+ 15c60: 6ff7.*
+ 15c61: 2a33.*
+ 15c62: 0d5a.*
+ 15c63: 6ff7.*
+ 15c64: 2a34.*
+ 15c65: 0c5b.*
+ 15c66: 6ff7.*
+ 15c67: 2a35.*
+ 15c68: 0d5b.*
+ 15c69: 6ff7.*
+ 15c6a: 2a36.*
+ 15c6b: 0c5c.*
+ 15c6c: 6ff7.*
+ 15c6d: 2a37.*
+ 15c6e: 0d5c.*
+ 15c6f: 6ff7.*
+ 15c70: 2a38.*
+ 15c71: 0c5d.*
+ 15c72: 6ff7.*
+ 15c73: 2a39.*
+ 15c74: 0d5d.*
+ 15c75: 6ff7.*
+ 15c76: 2a3a.*
+ 15c77: 0c5e.*
+ 15c78: 6ff7.*
+ 15c79: 2a3b.*
+ 15c7a: 0d5e.*
+ 15c7b: 6ff7.*
+ 15c7c: 2a3c.*
+ 15c7d: 0c5f.*
+ 15c7e: 6ff7.*
+ 15c7f: 2a3d.*
+ 15c80: 0d5f.*
+ 15c81: 10f7.*
+ 15c82: 2a3e.*
+ 15c83: 11f7.*
+ 15c84: 2a3f.*
+ 15c85: 6ff7.*
+ 15c86: 2a40.*
+ 15c87: 0c41.*
+ 15c88: 6ff7.*
+ 15c89: 2a41.*
+ 15c8a: 0d41.*
+ 15c8b: 6ff7.*
+ 15c8c: 2a42.*
+ 15c8d: 0c42.*
+ 15c8e: 6ff7.*
+ 15c8f: 2a43.*
+ 15c90: 0d42.*
+ 15c91: 6ff7.*
+ 15c92: 2a44.*
+ 15c93: 0c43.*
+ 15c94: 6ff7.*
+ 15c95: 2a45.*
+ 15c96: 0d43.*
+ 15c97: 6ff7.*
+ 15c98: 2a46.*
+ 15c99: 0c44.*
+ 15c9a: 6ff7.*
+ 15c9b: 2a47.*
+ 15c9c: 0d44.*
+ 15c9d: 6ff7.*
+ 15c9e: 2a48.*
+ 15c9f: 0c45.*
+ 15ca0: 6ff7.*
+ 15ca1: 2a49.*
+ 15ca2: 0d45.*
+ 15ca3: 6ff7.*
+ 15ca4: 2a4a.*
+ 15ca5: 0c46.*
+ 15ca6: 6ff7.*
+ 15ca7: 2a4b.*
+ 15ca8: 0d46.*
+ 15ca9: 6ff7.*
+ 15caa: 2a4c.*
+ 15cab: 0c47.*
+ 15cac: 6ff7.*
+ 15cad: 2a4d.*
+ 15cae: 0d47.*
+ 15caf: 6ff7.*
+ 15cb0: 2a4e.*
+ 15cb1: 0c48.*
+ 15cb2: 6ff7.*
+ 15cb3: 2a4f.*
+ 15cb4: 0d48.*
+ 15cb5: 6ff7.*
+ 15cb6: 2a50.*
+ 15cb7: 0c49.*
+ 15cb8: 6ff7.*
+ 15cb9: 2a51.*
+ 15cba: 0d49.*
+ 15cbb: 6ff7.*
+ 15cbc: 2a52.*
+ 15cbd: 0c4a.*
+ 15cbe: 6ff7.*
+ 15cbf: 2a53.*
+ 15cc0: 0d4a.*
+ 15cc1: 6ff7.*
+ 15cc2: 2a54.*
+ 15cc3: 0c4b.*
+ 15cc4: 6ff7.*
+ 15cc5: 2a55.*
+ 15cc6: 0d4b.*
+ 15cc7: 6ff7.*
+ 15cc8: 2a56.*
+ 15cc9: 0c4c.*
+ 15cca: 6ff7.*
+ 15ccb: 2a57.*
+ 15ccc: 0d4c.*
+ 15ccd: 6ff7.*
+ 15cce: 2a58.*
+ 15ccf: 0c4d.*
+ 15cd0: 6ff7.*
+ 15cd1: 2a59.*
+ 15cd2: 0d4d.*
+ 15cd3: 6ff7.*
+ 15cd4: 2a5a.*
+ 15cd5: 0c4e.*
+ 15cd6: 6ff7.*
+ 15cd7: 2a5b.*
+ 15cd8: 0d4e.*
+ 15cd9: 6ff7.*
+ 15cda: 2a5c.*
+ 15cdb: 0c4f.*
+ 15cdc: 6ff7.*
+ 15cdd: 2a5d.*
+ 15cde: 0d4f.*
+ 15cdf: 10f8.*
+ 15ce0: 2a5e.*
+ 15ce1: 11f8.*
+ 15ce2: 2a5f.*
+ 15ce3: 6ff8.*
+ 15ce4: 2a60.*
+ 15ce5: 0c50.*
+ 15ce6: 6ff8.*
+ 15ce7: 2a61.*
+ 15ce8: 0d50.*
+ 15ce9: 6ff8.*
+ 15cea: 2a62.*
+ 15ceb: 0c51.*
+ 15cec: 6ff8.*
+ 15ced: 2a63.*
+ 15cee: 0d51.*
+ 15cef: 6ff8.*
+ 15cf0: 2a64.*
+ 15cf1: 0c52.*
+ 15cf2: 6ff8.*
+ 15cf3: 2a65.*
+ 15cf4: 0d52.*
+ 15cf5: 6ff8.*
+ 15cf6: 2a66.*
+ 15cf7: 0c53.*
+ 15cf8: 6ff8.*
+ 15cf9: 2a67.*
+ 15cfa: 0d53.*
+ 15cfb: 6ff8.*
+ 15cfc: 2a68.*
+ 15cfd: 0c54.*
+ 15cfe: 6ff8.*
+ 15cff: 2a69.*
+ 15d00: 0d54.*
+ 15d01: 6ff8.*
+ 15d02: 2a6a.*
+ 15d03: 0c55.*
+ 15d04: 6ff8.*
+ 15d05: 2a6b.*
+ 15d06: 0d55.*
+ 15d07: 6ff8.*
+ 15d08: 2a6c.*
+ 15d09: 0c56.*
+ 15d0a: 6ff8.*
+ 15d0b: 2a6d.*
+ 15d0c: 0d56.*
+ 15d0d: 6ff8.*
+ 15d0e: 2a6e.*
+ 15d0f: 0c57.*
+ 15d10: 6ff8.*
+ 15d11: 2a6f.*
+ 15d12: 0d57.*
+ 15d13: 6ff8.*
+ 15d14: 2a70.*
+ 15d15: 0c58.*
+ 15d16: 6ff8.*
+ 15d17: 2a71.*
+ 15d18: 0d58.*
+ 15d19: 6ff8.*
+ 15d1a: 2a72.*
+ 15d1b: 0c59.*
+ 15d1c: 6ff8.*
+ 15d1d: 2a73.*
+ 15d1e: 0d59.*
+ 15d1f: 6ff8.*
+ 15d20: 2a74.*
+ 15d21: 0c5a.*
+ 15d22: 6ff8.*
+ 15d23: 2a75.*
+ 15d24: 0d5a.*
+ 15d25: 6ff8.*
+ 15d26: 2a76.*
+ 15d27: 0c5b.*
+ 15d28: 6ff8.*
+ 15d29: 2a77.*
+ 15d2a: 0d5b.*
+ 15d2b: 6ff8.*
+ 15d2c: 2a78.*
+ 15d2d: 0c5c.*
+ 15d2e: 6ff8.*
+ 15d2f: 2a79.*
+ 15d30: 0d5c.*
+ 15d31: 6ff8.*
+ 15d32: 2a7a.*
+ 15d33: 0c5d.*
+ 15d34: 6ff8.*
+ 15d35: 2a7b.*
+ 15d36: 0d5d.*
+ 15d37: 6ff8.*
+ 15d38: 2a7c.*
+ 15d39: 0c5e.*
+ 15d3a: 6ff8.*
+ 15d3b: 2a7d.*
+ 15d3c: 0d5e.*
+ 15d3d: 6ff8.*
+ 15d3e: 2a7e.*
+ 15d3f: 0c5f.*
+ 15d40: 6ff8.*
+ 15d41: 2a7f.*
+ 15d42: 0d5f.*
+ 15d43: 10f8.*
+ 15d44: 2a80.*
+ 15d45: 11f8.*
+ 15d46: 2a81.*
+ 15d47: 6ff8.*
+ 15d48: 2a82.*
+ 15d49: 0c41.*
+ 15d4a: 6ff8.*
+ 15d4b: 2a83.*
+ 15d4c: 0d41.*
+ 15d4d: 6ff8.*
+ 15d4e: 2a84.*
+ 15d4f: 0c42.*
+ 15d50: 6ff8.*
+ 15d51: 2a85.*
+ 15d52: 0d42.*
+ 15d53: 6ff8.*
+ 15d54: 2a86.*
+ 15d55: 0c43.*
+ 15d56: 6ff8.*
+ 15d57: 2a87.*
+ 15d58: 0d43.*
+ 15d59: 6ff8.*
+ 15d5a: 2a88.*
+ 15d5b: 0c44.*
+ 15d5c: 6ff8.*
+ 15d5d: 2a89.*
+ 15d5e: 0d44.*
+ 15d5f: 6ff8.*
+ 15d60: 2a8a.*
+ 15d61: 0c45.*
+ 15d62: 6ff8.*
+ 15d63: 2a8b.*
+ 15d64: 0d45.*
+ 15d65: 6ff8.*
+ 15d66: 2a8c.*
+ 15d67: 0c46.*
+ 15d68: 6ff8.*
+ 15d69: 2a8d.*
+ 15d6a: 0d46.*
+ 15d6b: 6ff8.*
+ 15d6c: 2a8e.*
+ 15d6d: 0c47.*
+ 15d6e: 6ff8.*
+ 15d6f: 2a8f.*
+ 15d70: 0d47.*
+ 15d71: 6ff8.*
+ 15d72: 2a90.*
+ 15d73: 0c48.*
+ 15d74: 6ff8.*
+ 15d75: 2a91.*
+ 15d76: 0d48.*
+ 15d77: 6ff8.*
+ 15d78: 2a92.*
+ 15d79: 0c49.*
+ 15d7a: 6ff8.*
+ 15d7b: 2a93.*
+ 15d7c: 0d49.*
+ 15d7d: 6ff8.*
+ 15d7e: 2a94.*
+ 15d7f: 0c4a.*
+ 15d80: 6ff8.*
+ 15d81: 2a95.*
+ 15d82: 0d4a.*
+ 15d83: 6ff8.*
+ 15d84: 2a96.*
+ 15d85: 0c4b.*
+ 15d86: 6ff8.*
+ 15d87: 2a97.*
+ 15d88: 0d4b.*
+ 15d89: 6ff8.*
+ 15d8a: 2a98.*
+ 15d8b: 0c4c.*
+ 15d8c: 6ff8.*
+ 15d8d: 2a99.*
+ 15d8e: 0d4c.*
+ 15d8f: 6ff8.*
+ 15d90: 2a9a.*
+ 15d91: 0c4d.*
+ 15d92: 6ff8.*
+ 15d93: 2a9b.*
+ 15d94: 0d4d.*
+ 15d95: 6ff8.*
+ 15d96: 2a9c.*
+ 15d97: 0c4e.*
+ 15d98: 6ff8.*
+ 15d99: 2a9d.*
+ 15d9a: 0d4e.*
+ 15d9b: 6ff8.*
+ 15d9c: 2a9e.*
+ 15d9d: 0c4f.*
+ 15d9e: 6ff8.*
+ 15d9f: 2a9f.*
+ 15da0: 0d4f.*
+ 15da1: 1080.*
+ 15da2: 1180.*
+ 15da3: 1088.*
+ 15da4: 1188.*
+ 15da5: 1090.*
+ 15da6: 1190.*
+ 15da7: 10a0.*
+ 15da8: 11a0.*
+ 15da9: 10a8.*
+ 15daa: 11a8.*
+ 15dab: 10b0.*
+ 15dac: 11b0.*
+ 15dad: 10b8.*
+ 15dae: 11b8.*
+ 15daf: 10c0.*
+ 15db0: 11c0.*
+ 15db1: 10c8.*
+ 15db2: 11c8.*
+ 15db3: 10d0.*
+ 15db4: 11d0.*
+ 15db5: 10d8.*
+ 15db6: 11d8.*
+ 15db7: 10e0.*
+ 15db8: 2aa0.*
+ 15db9: 11e0.*
+ 15dba: 2aa1.*
+ 15dbb: 10e8.*
+ 15dbc: 2aa2.*
+ 15dbd: 11e8.*
+ 15dbe: 2aa3.*
+ 15dbf: 10f0.*
+ 15dc0: 2aa4.*
+ 15dc1: 11f0.*
+ 15dc2: 2aa5.*
+ 15dc3: 10f8.*
+ 15dc4: 2aa6.*
+ 15dc5: 11f8.*
+ 15dc6: 2aa7.*
+ 15dc7: 1081.*
+ 15dc8: 1181.*
+ 15dc9: 1089.*
+ 15dca: 1189.*
+ 15dcb: 1091.*
+ 15dcc: 1191.*
+ 15dcd: 10a1.*
+ 15dce: 11a1.*
+ 15dcf: 10a9.*
+ 15dd0: 11a9.*
+ 15dd1: 10b1.*
+ 15dd2: 11b1.*
+ 15dd3: 10b9.*
+ 15dd4: 11b9.*
+ 15dd5: 10c1.*
+ 15dd6: 11c1.*
+ 15dd7: 10c9.*
+ 15dd8: 11c9.*
+ 15dd9: 10d1.*
+ 15dda: 11d1.*
+ 15ddb: 10d9.*
+ 15ddc: 11d9.*
+ 15ddd: 10e1.*
+ 15dde: 2aa8.*
+ 15ddf: 11e1.*
+ 15de0: 2aa9.*
+ 15de1: 10e9.*
+ 15de2: 2aaa.*
+ 15de3: 11e9.*
+ 15de4: 2aab.*
+ 15de5: 10f1.*
+ 15de6: 2aac.*
+ 15de7: 11f1.*
+ 15de8: 2aad.*
+ 15de9: 10f8.*
+ 15dea: 2aae.*
+ 15deb: 11f8.*
+ 15dec: 2aaf.*
+ 15ded: 1082.*
+ 15dee: 1182.*
+ 15def: 108a.*
+ 15df0: 118a.*
+ 15df1: 1092.*
+ 15df2: 1192.*
+ 15df3: 10a2.*
+ 15df4: 11a2.*
+ 15df5: 10aa.*
+ 15df6: 11aa.*
+ 15df7: 10b2.*
+ 15df8: 11b2.*
+ 15df9: 10ba.*
+ 15dfa: 11ba.*
+ 15dfb: 10c2.*
+ 15dfc: 11c2.*
+ 15dfd: 10ca.*
+ 15dfe: 11ca.*
+ 15dff: 10d2.*
+ 15e00: 11d2.*
+ 15e01: 10da.*
+ 15e02: 11da.*
+ 15e03: 10e2.*
+ 15e04: 2ab0.*
+ 15e05: 11e2.*
+ 15e06: 2ab1.*
+ 15e07: 10ea.*
+ 15e08: 2ab2.*
+ 15e09: 11ea.*
+ 15e0a: 2ab3.*
+ 15e0b: 10f2.*
+ 15e0c: 2ab4.*
+ 15e0d: 11f2.*
+ 15e0e: 2ab5.*
+ 15e0f: 10f8.*
+ 15e10: 2ab6.*
+ 15e11: 11f8.*
+ 15e12: 2ab7.*
+ 15e13: 1083.*
+ 15e14: 1183.*
+ 15e15: 108b.*
+ 15e16: 118b.*
+ 15e17: 1093.*
+ 15e18: 1193.*
+ 15e19: 10a3.*
+ 15e1a: 11a3.*
+ 15e1b: 10ab.*
+ 15e1c: 11ab.*
+ 15e1d: 10b3.*
+ 15e1e: 11b3.*
+ 15e1f: 10bb.*
+ 15e20: 11bb.*
+ 15e21: 10c3.*
+ 15e22: 11c3.*
+ 15e23: 10cb.*
+ 15e24: 11cb.*
+ 15e25: 10d3.*
+ 15e26: 11d3.*
+ 15e27: 10db.*
+ 15e28: 11db.*
+ 15e29: 10e3.*
+ 15e2a: 2ab8.*
+ 15e2b: 11e3.*
+ 15e2c: 2ab9.*
+ 15e2d: 10eb.*
+ 15e2e: 2aba.*
+ 15e2f: 11eb.*
+ 15e30: 2abb.*
+ 15e31: 10f3.*
+ 15e32: 2abc.*
+ 15e33: 11f3.*
+ 15e34: 2abd.*
+ 15e35: 10f8.*
+ 15e36: 2abe.*
+ 15e37: 11f8.*
+ 15e38: 2abf.*
+ 15e39: 1084.*
+ 15e3a: 1184.*
+ 15e3b: 108c.*
+ 15e3c: 118c.*
+ 15e3d: 1094.*
+ 15e3e: 1194.*
+ 15e3f: 10a4.*
+ 15e40: 11a4.*
+ 15e41: 10ac.*
+ 15e42: 11ac.*
+ 15e43: 10b4.*
+ 15e44: 11b4.*
+ 15e45: 10bc.*
+ 15e46: 11bc.*
+ 15e47: 10c4.*
+ 15e48: 11c4.*
+ 15e49: 10cc.*
+ 15e4a: 11cc.*
+ 15e4b: 10d4.*
+ 15e4c: 11d4.*
+ 15e4d: 10dc.*
+ 15e4e: 11dc.*
+ 15e4f: 10e4.*
+ 15e50: 2ac0.*
+ 15e51: 11e4.*
+ 15e52: 2ac1.*
+ 15e53: 10ec.*
+ 15e54: 2ac2.*
+ 15e55: 11ec.*
+ 15e56: 2ac3.*
+ 15e57: 10f4.*
+ 15e58: 2ac4.*
+ 15e59: 11f4.*
+ 15e5a: 2ac5.*
+ 15e5b: 10f8.*
+ 15e5c: 2ac6.*
+ 15e5d: 11f8.*
+ 15e5e: 2ac7.*
+ 15e5f: 1085.*
+ 15e60: 1185.*
+ 15e61: 108d.*
+ 15e62: 118d.*
+ 15e63: 1095.*
+ 15e64: 1195.*
+ 15e65: 10a5.*
+ 15e66: 11a5.*
+ 15e67: 10ad.*
+ 15e68: 11ad.*
+ 15e69: 10b5.*
+ 15e6a: 11b5.*
+ 15e6b: 10bd.*
+ 15e6c: 11bd.*
+ 15e6d: 10c5.*
+ 15e6e: 11c5.*
+ 15e6f: 10cd.*
+ 15e70: 11cd.*
+ 15e71: 10d5.*
+ 15e72: 11d5.*
+ 15e73: 10dd.*
+ 15e74: 11dd.*
+ 15e75: 10e5.*
+ 15e76: 2ac8.*
+ 15e77: 11e5.*
+ 15e78: 2ac9.*
+ 15e79: 10ed.*
+ 15e7a: 2aca.*
+ 15e7b: 11ed.*
+ 15e7c: 2acb.*
+ 15e7d: 10f5.*
+ 15e7e: 2acc.*
+ 15e7f: 11f5.*
+ 15e80: 2acd.*
+ 15e81: 10f8.*
+ 15e82: 2ace.*
+ 15e83: 11f8.*
+ 15e84: 2acf.*
+ 15e85: 1086.*
+ 15e86: 1186.*
+ 15e87: 108e.*
+ 15e88: 118e.*
+ 15e89: 1096.*
+ 15e8a: 1196.*
+ 15e8b: 10a6.*
+ 15e8c: 11a6.*
+ 15e8d: 10ae.*
+ 15e8e: 11ae.*
+ 15e8f: 10b6.*
+ 15e90: 11b6.*
+ 15e91: 10be.*
+ 15e92: 11be.*
+ 15e93: 10c6.*
+ 15e94: 11c6.*
+ 15e95: 10ce.*
+ 15e96: 11ce.*
+ 15e97: 10d6.*
+ 15e98: 11d6.*
+ 15e99: 10de.*
+ 15e9a: 11de.*
+ 15e9b: 10e6.*
+ 15e9c: 2ad0.*
+ 15e9d: 11e6.*
+ 15e9e: 2ad1.*
+ 15e9f: 10ee.*
+ 15ea0: 2ad2.*
+ 15ea1: 11ee.*
+ 15ea2: 2ad3.*
+ 15ea3: 10f6.*
+ 15ea4: 2ad4.*
+ 15ea5: 11f6.*
+ 15ea6: 2ad5.*
+ 15ea7: 10f8.*
+ 15ea8: 2ad6.*
+ 15ea9: 11f8.*
+ 15eaa: 2ad7.*
+ 15eab: 1087.*
+ 15eac: 1187.*
+ 15ead: 108f.*
+ 15eae: 118f.*
+ 15eaf: 1097.*
+ 15eb0: 1197.*
+ 15eb1: 10a7.*
+ 15eb2: 11a7.*
+ 15eb3: 10af.*
+ 15eb4: 11af.*
+ 15eb5: 10b7.*
+ 15eb6: 11b7.*
+ 15eb7: 10bf.*
+ 15eb8: 11bf.*
+ 15eb9: 10c7.*
+ 15eba: 11c7.*
+ 15ebb: 10cf.*
+ 15ebc: 11cf.*
+ 15ebd: 10d7.*
+ 15ebe: 11d7.*
+ 15ebf: 10df.*
+ 15ec0: 11df.*
+ 15ec1: 10e7.*
+ 15ec2: 2ad8.*
+ 15ec3: 11e7.*
+ 15ec4: 2ad9.*
+ 15ec5: 10ef.*
+ 15ec6: 2ada.*
+ 15ec7: 11ef.*
+ 15ec8: 2adb.*
+ 15ec9: 10f7.*
+ 15eca: 2adc.*
+ 15ecb: 11f7.*
+ 15ecc: 2add.*
+ 15ecd: 10f8.*
+ 15ece: 2ade.*
+ 15ecf: 11f8.*
+ 15ed0: 2adf.*
+ 15ed1: 3040.*
+ 15ed2: 3080.*
+ 15ed3: 3088.*
+ 15ed4: 3090.*
+ 15ed5: 30a0.*
+ 15ed6: 30a8.*
+ 15ed7: 30b0.*
+ 15ed8: 30b8.*
+ 15ed9: 30c0.*
+ 15eda: 30c8.*
+ 15edb: 30d0.*
+ 15edc: 30d8.*
+ 15edd: 30e0.*
+ 15ede: 2ae0.*
+ 15edf: 30e8.*
+ 15ee0: 2ae1.*
+ 15ee1: 30f0.*
+ 15ee2: 2ae2.*
+ 15ee3: 30f8.*
+ 15ee4: 2ae3.*
+ 15ee5: 3081.*
+ 15ee6: 3089.*
+ 15ee7: 3091.*
+ 15ee8: 30a1.*
+ 15ee9: 30a9.*
+ 15eea: 30b1.*
+ 15eeb: 30b9.*
+ 15eec: 30c1.*
+ 15eed: 30c9.*
+ 15eee: 30d1.*
+ 15eef: 30d9.*
+ 15ef0: 30e1.*
+ 15ef1: 2ae4.*
+ 15ef2: 30e9.*
+ 15ef3: 2ae5.*
+ 15ef4: 30f1.*
+ 15ef5: 2ae6.*
+ 15ef6: 30f8.*
+ 15ef7: 2ae7.*
+ 15ef8: 3082.*
+ 15ef9: 308a.*
+ 15efa: 3092.*
+ 15efb: 30a2.*
+ 15efc: 30aa.*
+ 15efd: 30b2.*
+ 15efe: 30ba.*
+ 15eff: 30c2.*
+ 15f00: 30ca.*
+ 15f01: 30d2.*
+ 15f02: 30da.*
+ 15f03: 30e2.*
+ 15f04: 2ae8.*
+ 15f05: 30ea.*
+ 15f06: 2ae9.*
+ 15f07: 30f2.*
+ 15f08: 2aea.*
+ 15f09: 30f8.*
+ 15f0a: 2aeb.*
+ 15f0b: 3083.*
+ 15f0c: 308b.*
+ 15f0d: 3093.*
+ 15f0e: 30a3.*
+ 15f0f: 30ab.*
+ 15f10: 30b3.*
+ 15f11: 30bb.*
+ 15f12: 30c3.*
+ 15f13: 30cb.*
+ 15f14: 30d3.*
+ 15f15: 30db.*
+ 15f16: 30e3.*
+ 15f17: 2aec.*
+ 15f18: 30eb.*
+ 15f19: 2aed.*
+ 15f1a: 30f3.*
+ 15f1b: 2aee.*
+ 15f1c: 30f8.*
+ 15f1d: 2aef.*
+ 15f1e: 3084.*
+ 15f1f: 308c.*
+ 15f20: 3094.*
+ 15f21: 30a4.*
+ 15f22: 30ac.*
+ 15f23: 30b4.*
+ 15f24: 30bc.*
+ 15f25: 30c4.*
+ 15f26: 30cc.*
+ 15f27: 30d4.*
+ 15f28: 30dc.*
+ 15f29: 30e4.*
+ 15f2a: 2af0.*
+ 15f2b: 30ec.*
+ 15f2c: 2af1.*
+ 15f2d: 30f4.*
+ 15f2e: 2af2.*
+ 15f2f: 30f8.*
+ 15f30: 2af3.*
+ 15f31: 3085.*
+ 15f32: 308d.*
+ 15f33: 3095.*
+ 15f34: 30a5.*
+ 15f35: 30ad.*
+ 15f36: 30b5.*
+ 15f37: 30bd.*
+ 15f38: 30c5.*
+ 15f39: 30cd.*
+ 15f3a: 30d5.*
+ 15f3b: 30dd.*
+ 15f3c: 30e5.*
+ 15f3d: 2af4.*
+ 15f3e: 30ed.*
+ 15f3f: 2af5.*
+ 15f40: 30f5.*
+ 15f41: 2af6.*
+ 15f42: 30f8.*
+ 15f43: 2af7.*
+ 15f44: 3086.*
+ 15f45: 308e.*
+ 15f46: 3096.*
+ 15f47: 30a6.*
+ 15f48: 30ae.*
+ 15f49: 30b6.*
+ 15f4a: 30be.*
+ 15f4b: 30c6.*
+ 15f4c: 30ce.*
+ 15f4d: 30d6.*
+ 15f4e: 30de.*
+ 15f4f: 30e6.*
+ 15f50: 2af8.*
+ 15f51: 30ee.*
+ 15f52: 2af9.*
+ 15f53: 30f6.*
+ 15f54: 2afa.*
+ 15f55: 30f8.*
+ 15f56: 2afb.*
+ 15f57: 3087.*
+ 15f58: 308f.*
+ 15f59: 3097.*
+ 15f5a: 30a7.*
+ 15f5b: 30af.*
+ 15f5c: 30b7.*
+ 15f5d: 30bf.*
+ 15f5e: 30c7.*
+ 15f5f: 30cf.*
+ 15f60: 30d7.*
+ 15f61: 30df.*
+ 15f62: 30e7.*
+ 15f63: 2afc.*
+ 15f64: 30ef.*
+ 15f65: 2afd.*
+ 15f66: 30f7.*
+ 15f67: 2afe.*
+ 15f68: 30f8.*
+ 15f69: 2aff.*
+ 15f6a: 1441.*
+ 15f6b: 1542.*
+ 15f6c: 1480.*
+ 15f6d: 1580.*
+ 15f6e: 1488.*
+ 15f6f: 1588.*
+ 15f70: 1490.*
+ 15f71: 1590.*
+ 15f72: 14a0.*
+ 15f73: 15a0.*
+ 15f74: 14a8.*
+ 15f75: 15a8.*
+ 15f76: 14b0.*
+ 15f77: 15b0.*
+ 15f78: 14b8.*
+ 15f79: 15b8.*
+ 15f7a: 14c0.*
+ 15f7b: 15c0.*
+ 15f7c: 14c8.*
+ 15f7d: 15c8.*
+ 15f7e: 14d0.*
+ 15f7f: 15d0.*
+ 15f80: 14d8.*
+ 15f81: 15d8.*
+ 15f82: 14e0.*
+ 15f83: 2b00.*
+ 15f84: 15e0.*
+ 15f85: 2b01.*
+ 15f86: 14e8.*
+ 15f87: 2b02.*
+ 15f88: 15e8.*
+ 15f89: 2b03.*
+ 15f8a: 14f0.*
+ 15f8b: 2b04.*
+ 15f8c: 15f0.*
+ 15f8d: 2b05.*
+ 15f8e: 14f8.*
+ 15f8f: 2b06.*
+ 15f90: 15f8.*
+ 15f91: 2b07.*
+ 15f92: 1481.*
+ 15f93: 1581.*
+ 15f94: 1489.*
+ 15f95: 1589.*
+ 15f96: 1491.*
+ 15f97: 1591.*
+ 15f98: 14a1.*
+ 15f99: 15a1.*
+ 15f9a: 14a9.*
+ 15f9b: 15a9.*
+ 15f9c: 14b1.*
+ 15f9d: 15b1.*
+ 15f9e: 14b9.*
+ 15f9f: 15b9.*
+ 15fa0: 14c1.*
+ 15fa1: 15c1.*
+ 15fa2: 14c9.*
+ 15fa3: 15c9.*
+ 15fa4: 14d1.*
+ 15fa5: 15d1.*
+ 15fa6: 14d9.*
+ 15fa7: 15d9.*
+ 15fa8: 14e1.*
+ 15fa9: 2b08.*
+ 15faa: 15e1.*
+ 15fab: 2b09.*
+ 15fac: 14e9.*
+ 15fad: 2b0a.*
+ 15fae: 15e9.*
+ 15faf: 2b0b.*
+ 15fb0: 14f1.*
+ 15fb1: 2b0c.*
+ 15fb2: 15f1.*
+ 15fb3: 2b0d.*
+ 15fb4: 14f8.*
+ 15fb5: 2b0e.*
+ 15fb6: 15f8.*
+ 15fb7: 2b0f.*
+ 15fb8: 1482.*
+ 15fb9: 1582.*
+ 15fba: 148a.*
+ 15fbb: 158a.*
+ 15fbc: 1492.*
+ 15fbd: 1592.*
+ 15fbe: 14a2.*
+ 15fbf: 15a2.*
+ 15fc0: 14aa.*
+ 15fc1: 15aa.*
+ 15fc2: 14b2.*
+ 15fc3: 15b2.*
+ 15fc4: 14ba.*
+ 15fc5: 15ba.*
+ 15fc6: 14c2.*
+ 15fc7: 15c2.*
+ 15fc8: 14ca.*
+ 15fc9: 15ca.*
+ 15fca: 14d2.*
+ 15fcb: 15d2.*
+ 15fcc: 14da.*
+ 15fcd: 15da.*
+ 15fce: 14e2.*
+ 15fcf: 2b10.*
+ 15fd0: 15e2.*
+ 15fd1: 2b11.*
+ 15fd2: 14ea.*
+ 15fd3: 2b12.*
+ 15fd4: 15ea.*
+ 15fd5: 2b13.*
+ 15fd6: 14f2.*
+ 15fd7: 2b14.*
+ 15fd8: 15f2.*
+ 15fd9: 2b15.*
+ 15fda: 14f8.*
+ 15fdb: 2b16.*
+ 15fdc: 15f8.*
+ 15fdd: 2b17.*
+ 15fde: 1483.*
+ 15fdf: 1583.*
+ 15fe0: 148b.*
+ 15fe1: 158b.*
+ 15fe2: 1493.*
+ 15fe3: 1593.*
+ 15fe4: 14a3.*
+ 15fe5: 15a3.*
+ 15fe6: 14ab.*
+ 15fe7: 15ab.*
+ 15fe8: 14b3.*
+ 15fe9: 15b3.*
+ 15fea: 14bb.*
+ 15feb: 15bb.*
+ 15fec: 14c3.*
+ 15fed: 15c3.*
+ 15fee: 14cb.*
+ 15fef: 15cb.*
+ 15ff0: 14d3.*
+ 15ff1: 15d3.*
+ 15ff2: 14db.*
+ 15ff3: 15db.*
+ 15ff4: 14e3.*
+ 15ff5: 2b18.*
+ 15ff6: 15e3.*
+ 15ff7: 2b19.*
+ 15ff8: 14eb.*
+ 15ff9: 2b1a.*
+ 15ffa: 15eb.*
+ 15ffb: 2b1b.*
+ 15ffc: 14f3.*
+ 15ffd: 2b1c.*
+ 15ffe: 15f3.*
+ 15fff: 2b1d.*
+ 16000: 14f8.*
+ 16001: 2b1e.*
+ 16002: 15f8.*
+ 16003: 2b1f.*
+ 16004: 1484.*
+ 16005: 1584.*
+ 16006: 148c.*
+ 16007: 158c.*
+ 16008: 1494.*
+ 16009: 1594.*
+ 1600a: 14a4.*
+ 1600b: 15a4.*
+ 1600c: 14ac.*
+ 1600d: 15ac.*
+ 1600e: 14b4.*
+ 1600f: 15b4.*
+ 16010: 14bc.*
+ 16011: 15bc.*
+ 16012: 14c4.*
+ 16013: 15c4.*
+ 16014: 14cc.*
+ 16015: 15cc.*
+ 16016: 14d4.*
+ 16017: 15d4.*
+ 16018: 14dc.*
+ 16019: 15dc.*
+ 1601a: 14e4.*
+ 1601b: 2b20.*
+ 1601c: 15e4.*
+ 1601d: 2b21.*
+ 1601e: 14ec.*
+ 1601f: 2b22.*
+ 16020: 15ec.*
+ 16021: 2b23.*
+ 16022: 14f4.*
+ 16023: 2b24.*
+ 16024: 15f4.*
+ 16025: 2b25.*
+ 16026: 14f8.*
+ 16027: 2b26.*
+ 16028: 15f8.*
+ 16029: 2b27.*
+ 1602a: 1485.*
+ 1602b: 1585.*
+ 1602c: 148d.*
+ 1602d: 158d.*
+ 1602e: 1495.*
+ 1602f: 1595.*
+ 16030: 14a5.*
+ 16031: 15a5.*
+ 16032: 14ad.*
+ 16033: 15ad.*
+ 16034: 14b5.*
+ 16035: 15b5.*
+ 16036: 14bd.*
+ 16037: 15bd.*
+ 16038: 14c5.*
+ 16039: 15c5.*
+ 1603a: 14cd.*
+ 1603b: 15cd.*
+ 1603c: 14d5.*
+ 1603d: 15d5.*
+ 1603e: 14dd.*
+ 1603f: 15dd.*
+ 16040: 14e5.*
+ 16041: 2b28.*
+ 16042: 15e5.*
+ 16043: 2b29.*
+ 16044: 14ed.*
+ 16045: 2b2a.*
+ 16046: 15ed.*
+ 16047: 2b2b.*
+ 16048: 14f5.*
+ 16049: 2b2c.*
+ 1604a: 15f5.*
+ 1604b: 2b2d.*
+ 1604c: 14f8.*
+ 1604d: 2b2e.*
+ 1604e: 15f8.*
+ 1604f: 2b2f.*
+ 16050: 1486.*
+ 16051: 1586.*
+ 16052: 148e.*
+ 16053: 158e.*
+ 16054: 1496.*
+ 16055: 1596.*
+ 16056: 14a6.*
+ 16057: 15a6.*
+ 16058: 14ae.*
+ 16059: 15ae.*
+ 1605a: 14b6.*
+ 1605b: 15b6.*
+ 1605c: 14be.*
+ 1605d: 15be.*
+ 1605e: 14c6.*
+ 1605f: 15c6.*
+ 16060: 14ce.*
+ 16061: 15ce.*
+ 16062: 14d6.*
+ 16063: 15d6.*
+ 16064: 14de.*
+ 16065: 15de.*
+ 16066: 14e6.*
+ 16067: 2b30.*
+ 16068: 15e6.*
+ 16069: 2b31.*
+ 1606a: 14ee.*
+ 1606b: 2b32.*
+ 1606c: 15ee.*
+ 1606d: 2b33.*
+ 1606e: 14f6.*
+ 1606f: 2b34.*
+ 16070: 15f6.*
+ 16071: 2b35.*
+ 16072: 14f8.*
+ 16073: 2b36.*
+ 16074: 15f8.*
+ 16075: 2b37.*
+ 16076: 1487.*
+ 16077: 1587.*
+ 16078: 148f.*
+ 16079: 158f.*
+ 1607a: 1497.*
+ 1607b: 1597.*
+ 1607c: 14a7.*
+ 1607d: 15a7.*
+ 1607e: 14af.*
+ 1607f: 15af.*
+ 16080: 14b7.*
+ 16081: 15b7.*
+ 16082: 14bf.*
+ 16083: 15bf.*
+ 16084: 14c7.*
+ 16085: 15c7.*
+ 16086: 14cf.*
+ 16087: 15cf.*
+ 16088: 14d7.*
+ 16089: 15d7.*
+ 1608a: 14df.*
+ 1608b: 15df.*
+ 1608c: 14e7.*
+ 1608d: 2b38.*
+ 1608e: 15e7.*
+ 1608f: 2b39.*
+ 16090: 14ef.*
+ 16091: 2b3a.*
+ 16092: 15ef.*
+ 16093: 2b3b.*
+ 16094: 14f7.*
+ 16095: 2b3c.*
+ 16096: 15f7.*
+ 16097: 2b3d.*
+ 16098: 14f8.*
+ 16099: 2b3e.*
+ 1609a: 15f8.*
+ 1609b: 2b3f.*
+ 1609c: 1082.*
+ 1609d: 1182.*
+ 1609e: 9401.*
+ 1609f: 9501.*
+ 160a0: 9402.*
+ 160a1: 9502.*
+ 160a2: 9403.*
+ 160a3: 9503.*
+ 160a4: 9404.*
+ 160a5: 9504.*
+ 160a6: 9405.*
+ 160a7: 9505.*
+ 160a8: 9406.*
+ 160a9: 9506.*
+ 160aa: 9407.*
+ 160ab: 9507.*
+ 160ac: 9408.*
+ 160ad: 9508.*
+ 160ae: 9409.*
+ 160af: 9509.*
+ 160b0: 940a.*
+ 160b1: 950a.*
+ 160b2: 940b.*
+ 160b3: 950b.*
+ 160b4: 940c.*
+ 160b5: 950c.*
+ 160b6: 940d.*
+ 160b7: 950d.*
+ 160b8: 940e.*
+ 160b9: 950e.*
+ 160ba: 940f.*
+ 160bb: 950f.*
+ 160bc: 108a.*
+ 160bd: 118a.*
+ 160be: 9441.*
+ 160bf: 9541.*
+ 160c0: 9442.*
+ 160c1: 9542.*
+ 160c2: 9443.*
+ 160c3: 9543.*
+ 160c4: 9444.*
+ 160c5: 9544.*
+ 160c6: 9445.*
+ 160c7: 9545.*
+ 160c8: 9446.*
+ 160c9: 9546.*
+ 160ca: 9447.*
+ 160cb: 9547.*
+ 160cc: 9448.*
+ 160cd: 9548.*
+ 160ce: 9449.*
+ 160cf: 9549.*
+ 160d0: 944a.*
+ 160d1: 954a.*
+ 160d2: 944b.*
+ 160d3: 954b.*
+ 160d4: 944c.*
+ 160d5: 954c.*
+ 160d6: 944d.*
+ 160d7: 954d.*
+ 160d8: 944e.*
+ 160d9: 954e.*
+ 160da: 944f.*
+ 160db: 954f.*
+ 160dc: 1092.*
+ 160dd: 1192.*
+ 160de: 9481.*
+ 160df: 9581.*
+ 160e0: 9482.*
+ 160e1: 9582.*
+ 160e2: 9483.*
+ 160e3: 9583.*
+ 160e4: 9484.*
+ 160e5: 9584.*
+ 160e6: 9485.*
+ 160e7: 9585.*
+ 160e8: 9486.*
+ 160e9: 9586.*
+ 160ea: 9487.*
+ 160eb: 9587.*
+ 160ec: 9488.*
+ 160ed: 9588.*
+ 160ee: 9489.*
+ 160ef: 9589.*
+ 160f0: 948a.*
+ 160f1: 958a.*
+ 160f2: 948b.*
+ 160f3: 958b.*
+ 160f4: 948c.*
+ 160f5: 958c.*
+ 160f6: 948d.*
+ 160f7: 958d.*
+ 160f8: 948e.*
+ 160f9: 958e.*
+ 160fa: 948f.*
+ 160fb: 958f.*
+ 160fc: 10da.*
+ 160fd: 11da.*
+ 160fe: 94c1.*
+ 160ff: 95c1.*
+ 16100: 94c2.*
+ 16101: 95c2.*
+ 16102: 94c3.*
+ 16103: 95c3.*
+ 16104: 94c4.*
+ 16105: 95c4.*
+ 16106: 94c5.*
+ 16107: 95c5.*
+ 16108: 94c6.*
+ 16109: 95c6.*
+ 1610a: 94c7.*
+ 1610b: 95c7.*
+ 1610c: 94c8.*
+ 1610d: 95c8.*
+ 1610e: 94c9.*
+ 1610f: 95c9.*
+ 16110: 94ca.*
+ 16111: 95ca.*
+ 16112: 94cb.*
+ 16113: 95cb.*
+ 16114: 94cc.*
+ 16115: 95cc.*
+ 16116: 94cd.*
+ 16117: 95cd.*
+ 16118: 94ce.*
+ 16119: 95ce.*
+ 1611a: 94cf.*
+ 1611b: 95cf.*
+ 1611c: 1083.*
+ 1611d: 1183.*
+ 1611e: 9411.*
+ 1611f: 9511.*
+ 16120: 9412.*
+ 16121: 9512.*
+ 16122: 9413.*
+ 16123: 9513.*
+ 16124: 9414.*
+ 16125: 9514.*
+ 16126: 9415.*
+ 16127: 9515.*
+ 16128: 9416.*
+ 16129: 9516.*
+ 1612a: 9417.*
+ 1612b: 9517.*
+ 1612c: 9418.*
+ 1612d: 9518.*
+ 1612e: 9419.*
+ 1612f: 9519.*
+ 16130: 941a.*
+ 16131: 951a.*
+ 16132: 941b.*
+ 16133: 951b.*
+ 16134: 941c.*
+ 16135: 951c.*
+ 16136: 941d.*
+ 16137: 951d.*
+ 16138: 941e.*
+ 16139: 951e.*
+ 1613a: 941f.*
+ 1613b: 951f.*
+ 1613c: 108b.*
+ 1613d: 118b.*
+ 1613e: 9451.*
+ 1613f: 9551.*
+ 16140: 9452.*
+ 16141: 9552.*
+ 16142: 9453.*
+ 16143: 9553.*
+ 16144: 9454.*
+ 16145: 9554.*
+ 16146: 9455.*
+ 16147: 9555.*
+ 16148: 9456.*
+ 16149: 9556.*
+ 1614a: 9457.*
+ 1614b: 9557.*
+ 1614c: 9458.*
+ 1614d: 9558.*
+ 1614e: 9459.*
+ 1614f: 9559.*
+ 16150: 945a.*
+ 16151: 955a.*
+ 16152: 945b.*
+ 16153: 955b.*
+ 16154: 945c.*
+ 16155: 955c.*
+ 16156: 945d.*
+ 16157: 955d.*
+ 16158: 945e.*
+ 16159: 955e.*
+ 1615a: 945f.*
+ 1615b: 955f.*
+ 1615c: 1093.*
+ 1615d: 1193.*
+ 1615e: 9491.*
+ 1615f: 9591.*
+ 16160: 9492.*
+ 16161: 9592.*
+ 16162: 9493.*
+ 16163: 9593.*
+ 16164: 9494.*
+ 16165: 9594.*
+ 16166: 9495.*
+ 16167: 9595.*
+ 16168: 9496.*
+ 16169: 9596.*
+ 1616a: 9497.*
+ 1616b: 9597.*
+ 1616c: 9498.*
+ 1616d: 9598.*
+ 1616e: 9499.*
+ 1616f: 9599.*
+ 16170: 949a.*
+ 16171: 959a.*
+ 16172: 949b.*
+ 16173: 959b.*
+ 16174: 949c.*
+ 16175: 959c.*
+ 16176: 949d.*
+ 16177: 959d.*
+ 16178: 949e.*
+ 16179: 959e.*
+ 1617a: 949f.*
+ 1617b: 959f.*
+ 1617c: 10db.*
+ 1617d: 11db.*
+ 1617e: 94d1.*
+ 1617f: 95d1.*
+ 16180: 94d2.*
+ 16181: 95d2.*
+ 16182: 94d3.*
+ 16183: 95d3.*
+ 16184: 94d4.*
+ 16185: 95d4.*
+ 16186: 94d5.*
+ 16187: 95d5.*
+ 16188: 94d6.*
+ 16189: 95d6.*
+ 1618a: 94d7.*
+ 1618b: 95d7.*
+ 1618c: 94d8.*
+ 1618d: 95d8.*
+ 1618e: 94d9.*
+ 1618f: 95d9.*
+ 16190: 94da.*
+ 16191: 95da.*
+ 16192: 94db.*
+ 16193: 95db.*
+ 16194: 94dc.*
+ 16195: 95dc.*
+ 16196: 94dd.*
+ 16197: 95dd.*
+ 16198: 94de.*
+ 16199: 95de.*
+ 1619a: 94df.*
+ 1619b: 95df.*
+ 1619c: 1084.*
+ 1619d: 1184.*
+ 1619e: 9421.*
+ 1619f: 9521.*
+ 161a0: 9422.*
+ 161a1: 9522.*
+ 161a2: 9423.*
+ 161a3: 9523.*
+ 161a4: 9424.*
+ 161a5: 9524.*
+ 161a6: 9425.*
+ 161a7: 9525.*
+ 161a8: 9426.*
+ 161a9: 9526.*
+ 161aa: 9427.*
+ 161ab: 9527.*
+ 161ac: 9428.*
+ 161ad: 9528.*
+ 161ae: 9429.*
+ 161af: 9529.*
+ 161b0: 942a.*
+ 161b1: 952a.*
+ 161b2: 942b.*
+ 161b3: 952b.*
+ 161b4: 942c.*
+ 161b5: 952c.*
+ 161b6: 942d.*
+ 161b7: 952d.*
+ 161b8: 942e.*
+ 161b9: 952e.*
+ 161ba: 942f.*
+ 161bb: 952f.*
+ 161bc: 108c.*
+ 161bd: 118c.*
+ 161be: 9461.*
+ 161bf: 9561.*
+ 161c0: 9462.*
+ 161c1: 9562.*
+ 161c2: 9463.*
+ 161c3: 9563.*
+ 161c4: 9464.*
+ 161c5: 9564.*
+ 161c6: 9465.*
+ 161c7: 9565.*
+ 161c8: 9466.*
+ 161c9: 9566.*
+ 161ca: 9467.*
+ 161cb: 9567.*
+ 161cc: 9468.*
+ 161cd: 9568.*
+ 161ce: 9469.*
+ 161cf: 9569.*
+ 161d0: 946a.*
+ 161d1: 956a.*
+ 161d2: 946b.*
+ 161d3: 956b.*
+ 161d4: 946c.*
+ 161d5: 956c.*
+ 161d6: 946d.*
+ 161d7: 956d.*
+ 161d8: 946e.*
+ 161d9: 956e.*
+ 161da: 946f.*
+ 161db: 956f.*
+ 161dc: 1094.*
+ 161dd: 1194.*
+ 161de: 94a1.*
+ 161df: 95a1.*
+ 161e0: 94a2.*
+ 161e1: 95a2.*
+ 161e2: 94a3.*
+ 161e3: 95a3.*
+ 161e4: 94a4.*
+ 161e5: 95a4.*
+ 161e6: 94a5.*
+ 161e7: 95a5.*
+ 161e8: 94a6.*
+ 161e9: 95a6.*
+ 161ea: 94a7.*
+ 161eb: 95a7.*
+ 161ec: 94a8.*
+ 161ed: 95a8.*
+ 161ee: 94a9.*
+ 161ef: 95a9.*
+ 161f0: 94aa.*
+ 161f1: 95aa.*
+ 161f2: 94ab.*
+ 161f3: 95ab.*
+ 161f4: 94ac.*
+ 161f5: 95ac.*
+ 161f6: 94ad.*
+ 161f7: 95ad.*
+ 161f8: 94ae.*
+ 161f9: 95ae.*
+ 161fa: 94af.*
+ 161fb: 95af.*
+ 161fc: 10dc.*
+ 161fd: 11dc.*
+ 161fe: 94e1.*
+ 161ff: 95e1.*
+ 16200: 94e2.*
+ 16201: 95e2.*
+ 16202: 94e3.*
+ 16203: 95e3.*
+ 16204: 94e4.*
+ 16205: 95e4.*
+ 16206: 94e5.*
+ 16207: 95e5.*
+ 16208: 94e6.*
+ 16209: 95e6.*
+ 1620a: 94e7.*
+ 1620b: 95e7.*
+ 1620c: 94e8.*
+ 1620d: 95e8.*
+ 1620e: 94e9.*
+ 1620f: 95e9.*
+ 16210: 94ea.*
+ 16211: 95ea.*
+ 16212: 94eb.*
+ 16213: 95eb.*
+ 16214: 94ec.*
+ 16215: 95ec.*
+ 16216: 94ed.*
+ 16217: 95ed.*
+ 16218: 94ee.*
+ 16219: 95ee.*
+ 1621a: 94ef.*
+ 1621b: 95ef.*
+ 1621c: 1085.*
+ 1621d: 1185.*
+ 1621e: 9431.*
+ 1621f: 9531.*
+ 16220: 9432.*
+ 16221: 9532.*
+ 16222: 9433.*
+ 16223: 9533.*
+ 16224: 9434.*
+ 16225: 9534.*
+ 16226: 9435.*
+ 16227: 9535.*
+ 16228: 9436.*
+ 16229: 9536.*
+ 1622a: 9437.*
+ 1622b: 9537.*
+ 1622c: 9438.*
+ 1622d: 9538.*
+ 1622e: 9439.*
+ 1622f: 9539.*
+ 16230: 943a.*
+ 16231: 953a.*
+ 16232: 943b.*
+ 16233: 953b.*
+ 16234: 943c.*
+ 16235: 953c.*
+ 16236: 943d.*
+ 16237: 953d.*
+ 16238: 943e.*
+ 16239: 953e.*
+ 1623a: 943f.*
+ 1623b: 953f.*
+ 1623c: 108d.*
+ 1623d: 118d.*
+ 1623e: 9471.*
+ 1623f: 9571.*
+ 16240: 9472.*
+ 16241: 9572.*
+ 16242: 9473.*
+ 16243: 9573.*
+ 16244: 9474.*
+ 16245: 9574.*
+ 16246: 9475.*
+ 16247: 9575.*
+ 16248: 9476.*
+ 16249: 9576.*
+ 1624a: 9477.*
+ 1624b: 9577.*
+ 1624c: 9478.*
+ 1624d: 9578.*
+ 1624e: 9479.*
+ 1624f: 9579.*
+ 16250: 947a.*
+ 16251: 957a.*
+ 16252: 947b.*
+ 16253: 957b.*
+ 16254: 947c.*
+ 16255: 957c.*
+ 16256: 947d.*
+ 16257: 957d.*
+ 16258: 947e.*
+ 16259: 957e.*
+ 1625a: 947f.*
+ 1625b: 957f.*
+ 1625c: 1095.*
+ 1625d: 1195.*
+ 1625e: 94b1.*
+ 1625f: 95b1.*
+ 16260: 94b2.*
+ 16261: 95b2.*
+ 16262: 94b3.*
+ 16263: 95b3.*
+ 16264: 94b4.*
+ 16265: 95b4.*
+ 16266: 94b5.*
+ 16267: 95b5.*
+ 16268: 94b6.*
+ 16269: 95b6.*
+ 1626a: 94b7.*
+ 1626b: 95b7.*
+ 1626c: 94b8.*
+ 1626d: 95b8.*
+ 1626e: 94b9.*
+ 1626f: 95b9.*
+ 16270: 94ba.*
+ 16271: 95ba.*
+ 16272: 94bb.*
+ 16273: 95bb.*
+ 16274: 94bc.*
+ 16275: 95bc.*
+ 16276: 94bd.*
+ 16277: 95bd.*
+ 16278: 94be.*
+ 16279: 95be.*
+ 1627a: 94bf.*
+ 1627b: 95bf.*
+ 1627c: 10dd.*
+ 1627d: 11dd.*
+ 1627e: 94f1.*
+ 1627f: 95f1.*
+ 16280: 94f2.*
+ 16281: 95f2.*
+ 16282: 94f3.*
+ 16283: 95f3.*
+ 16284: 94f4.*
+ 16285: 95f4.*
+ 16286: 94f5.*
+ 16287: 95f5.*
+ 16288: 94f6.*
+ 16289: 95f6.*
+ 1628a: 94f7.*
+ 1628b: 95f7.*
+ 1628c: 94f8.*
+ 1628d: 95f8.*
+ 1628e: 94f9.*
+ 1628f: 95f9.*
+ 16290: 94fa.*
+ 16291: 95fa.*
+ 16292: 94fb.*
+ 16293: 95fb.*
+ 16294: 94fc.*
+ 16295: 95fc.*
+ 16296: 94fd.*
+ 16297: 95fd.*
+ 16298: 94fe.*
+ 16299: 95fe.*
+ 1629a: 94ff.*
+ 1629b: 95ff.*
+ 1629c: e100.*
+ 1629d: e104.*
+ 1629e: e108.*
+ 1629f: e10c.*
+ 162a0: e101.*
+ 162a1: e105.*
+ 162a2: e109.*
+ 162a3: e10d.*
+ 162a4: e102.*
+ 162a5: e106.*
+ 162a6: e10a.*
+ 162a7: e10e.*
+ 162a8: e103.*
+ 162a9: e107.*
+ 162aa: e10b.*
+ 162ab: e10f.*
+ 162ac: e140.*
+ 162ad: e144.*
+ 162ae: e148.*
+ 162af: e14c.*
+ 162b0: e141.*
+ 162b1: e145.*
+ 162b2: e149.*
+ 162b3: e14d.*
+ 162b4: e142.*
+ 162b5: e146.*
+ 162b6: e14a.*
+ 162b7: e14e.*
+ 162b8: e143.*
+ 162b9: e147.*
+ 162ba: e14b.*
+ 162bb: e14f.*
+ 162bc: e180.*
+ 162bd: e184.*
+ 162be: e188.*
+ 162bf: e18c.*
+ 162c0: e181.*
+ 162c1: e185.*
+ 162c2: e189.*
+ 162c3: e18d.*
+ 162c4: e182.*
+ 162c5: e186.*
+ 162c6: e18a.*
+ 162c7: e18e.*
+ 162c8: e183.*
+ 162c9: e187.*
+ 162ca: e18b.*
+ 162cb: e18f.*
+ 162cc: e1c0.*
+ 162cd: e1c4.*
+ 162ce: e1c8.*
+ 162cf: e1cc.*
+ 162d0: e1c1.*
+ 162d1: e1c5.*
+ 162d2: e1c9.*
+ 162d3: e1cd.*
+ 162d4: e1c2.*
+ 162d5: e1c6.*
+ 162d6: e1ca.*
+ 162d7: e1ce.*
+ 162d8: e1c3.*
+ 162d9: e1c7.*
+ 162da: e1cb.*
+ 162db: e1cf.*
+ 162dc: e110.*
+ 162dd: e114.*
+ 162de: e118.*
+ 162df: e11c.*
+ 162e0: e111.*
+ 162e1: e115.*
+ 162e2: e119.*
+ 162e3: e11d.*
+ 162e4: e112.*
+ 162e5: e116.*
+ 162e6: e11a.*
+ 162e7: e11e.*
+ 162e8: e113.*
+ 162e9: e117.*
+ 162ea: e11b.*
+ 162eb: e11f.*
+ 162ec: e150.*
+ 162ed: e154.*
+ 162ee: e158.*
+ 162ef: e15c.*
+ 162f0: e151.*
+ 162f1: e155.*
+ 162f2: e159.*
+ 162f3: e15d.*
+ 162f4: e152.*
+ 162f5: e156.*
+ 162f6: e15a.*
+ 162f7: e15e.*
+ 162f8: e153.*
+ 162f9: e157.*
+ 162fa: e15b.*
+ 162fb: e15f.*
+ 162fc: e190.*
+ 162fd: e194.*
+ 162fe: e198.*
+ 162ff: e19c.*
+ 16300: e191.*
+ 16301: e195.*
+ 16302: e199.*
+ 16303: e19d.*
+ 16304: e192.*
+ 16305: e196.*
+ 16306: e19a.*
+ 16307: e19e.*
+ 16308: e193.*
+ 16309: e197.*
+ 1630a: e19b.*
+ 1630b: e19f.*
+ 1630c: e1d0.*
+ 1630d: e1d4.*
+ 1630e: e1d8.*
+ 1630f: e1dc.*
+ 16310: e1d1.*
+ 16311: e1d5.*
+ 16312: e1d9.*
+ 16313: e1dd.*
+ 16314: e1d2.*
+ 16315: e1d6.*
+ 16316: e1da.*
+ 16317: e1de.*
+ 16318: e1d3.*
+ 16319: e1d7.*
+ 1631a: e1db.*
+ 1631b: e1df.*
+ 1631c: e120.*
+ 1631d: e124.*
+ 1631e: e128.*
+ 1631f: e12c.*
+ 16320: e121.*
+ 16321: e125.*
+ 16322: e129.*
+ 16323: e12d.*
+ 16324: e122.*
+ 16325: e126.*
+ 16326: e12a.*
+ 16327: e12e.*
+ 16328: e123.*
+ 16329: e127.*
+ 1632a: e12b.*
+ 1632b: e12f.*
+ 1632c: e160.*
+ 1632d: e164.*
+ 1632e: e168.*
+ 1632f: e16c.*
+ 16330: e161.*
+ 16331: e165.*
+ 16332: e169.*
+ 16333: e16d.*
+ 16334: e162.*
+ 16335: e166.*
+ 16336: e16a.*
+ 16337: e16e.*
+ 16338: e163.*
+ 16339: e167.*
+ 1633a: e16b.*
+ 1633b: e16f.*
+ 1633c: e1a0.*
+ 1633d: e1a4.*
+ 1633e: e1a8.*
+ 1633f: e1ac.*
+ 16340: e1a1.*
+ 16341: e1a5.*
+ 16342: e1a9.*
+ 16343: e1ad.*
+ 16344: e1a2.*
+ 16345: e1a6.*
+ 16346: e1aa.*
+ 16347: e1ae.*
+ 16348: e1a3.*
+ 16349: e1a7.*
+ 1634a: e1ab.*
+ 1634b: e1af.*
+ 1634c: e1e0.*
+ 1634d: e1e4.*
+ 1634e: e1e8.*
+ 1634f: e1ec.*
+ 16350: e1e1.*
+ 16351: e1e5.*
+ 16352: e1e9.*
+ 16353: e1ed.*
+ 16354: e1e2.*
+ 16355: e1e6.*
+ 16356: e1ea.*
+ 16357: e1ee.*
+ 16358: e1e3.*
+ 16359: e1e7.*
+ 1635a: e1eb.*
+ 1635b: e1ef.*
+ 1635c: e130.*
+ 1635d: e134.*
+ 1635e: e138.*
+ 1635f: e13c.*
+ 16360: e131.*
+ 16361: e135.*
+ 16362: e139.*
+ 16363: e13d.*
+ 16364: e132.*
+ 16365: e136.*
+ 16366: e13a.*
+ 16367: e13e.*
+ 16368: e133.*
+ 16369: e137.*
+ 1636a: e13b.*
+ 1636b: e13f.*
+ 1636c: e170.*
+ 1636d: e174.*
+ 1636e: e178.*
+ 1636f: e17c.*
+ 16370: e171.*
+ 16371: e175.*
+ 16372: e179.*
+ 16373: e17d.*
+ 16374: e172.*
+ 16375: e176.*
+ 16376: e17a.*
+ 16377: e17e.*
+ 16378: e173.*
+ 16379: e177.*
+ 1637a: e17b.*
+ 1637b: e17f.*
+ 1637c: e1b0.*
+ 1637d: e1b4.*
+ 1637e: e1b8.*
+ 1637f: e1bc.*
+ 16380: e1b1.*
+ 16381: e1b5.*
+ 16382: e1b9.*
+ 16383: e1bd.*
+ 16384: e1b2.*
+ 16385: e1b6.*
+ 16386: e1ba.*
+ 16387: e1be.*
+ 16388: e1b3.*
+ 16389: e1b7.*
+ 1638a: e1bb.*
+ 1638b: e1bf.*
+ 1638c: e1f0.*
+ 1638d: e1f4.*
+ 1638e: e1f8.*
+ 1638f: e1fc.*
+ 16390: e1f1.*
+ 16391: e1f5.*
+ 16392: e1f9.*
+ 16393: e1fd.*
+ 16394: e1f2.*
+ 16395: e1f6.*
+ 16396: e1fa.*
+ 16397: e1fe.*
+ 16398: e1f3.*
+ 16399: e1f7.*
+ 1639a: e1fb.*
+ 1639b: e1ff.*
+ 1639c: 4c43.*
+ 1639d: 4c80.*
+ 1639e: 4c88.*
+ 1639f: 4c90.*
+ 163a0: 4ca0.*
+ 163a1: 4ca8.*
+ 163a2: 4cb0.*
+ 163a3: 4cb8.*
+ 163a4: 4cc0.*
+ 163a5: 4cc8.*
+ 163a6: 4cd0.*
+ 163a7: 4cd8.*
+ 163a8: 4ce0.*
+ 163a9: 2b40.*
+ 163aa: 4ce8.*
+ 163ab: 2b41.*
+ 163ac: 4cf0.*
+ 163ad: 2b42.*
+ 163ae: 4cf8.*
+ 163af: 2b43.*
+ 163b0: 4c81.*
+ 163b1: 4c89.*
+ 163b2: 4c91.*
+ 163b3: 4ca1.*
+ 163b4: 4ca9.*
+ 163b5: 4cb1.*
+ 163b6: 4cb9.*
+ 163b7: 4cc1.*
+ 163b8: 4cc9.*
+ 163b9: 4cd1.*
+ 163ba: 4cd9.*
+ 163bb: 4ce1.*
+ 163bc: 2b44.*
+ 163bd: 4ce9.*
+ 163be: 2b45.*
+ 163bf: 4cf1.*
+ 163c0: 2b46.*
+ 163c1: 4cf8.*
+ 163c2: 2b47.*
+ 163c3: 4c82.*
+ 163c4: 4c8a.*
+ 163c5: 4c92.*
+ 163c6: 4ca2.*
+ 163c7: 4caa.*
+ 163c8: 4cb2.*
+ 163c9: 4cba.*
+ 163ca: 4cc2.*
+ 163cb: 4cca.*
+ 163cc: 4cd2.*
+ 163cd: 4cda.*
+ 163ce: 4ce2.*
+ 163cf: 2b48.*
+ 163d0: 4cea.*
+ 163d1: 2b49.*
+ 163d2: 4cf2.*
+ 163d3: 2b4a.*
+ 163d4: 4cf8.*
+ 163d5: 2b4b.*
+ 163d6: 4c83.*
+ 163d7: 4c8b.*
+ 163d8: 4c93.*
+ 163d9: 4ca3.*
+ 163da: 4cab.*
+ 163db: 4cb3.*
+ 163dc: 4cbb.*
+ 163dd: 4cc3.*
+ 163de: 4ccb.*
+ 163df: 4cd3.*
+ 163e0: 4cdb.*
+ 163e1: 4ce3.*
+ 163e2: 2b4c.*
+ 163e3: 4ceb.*
+ 163e4: 2b4d.*
+ 163e5: 4cf3.*
+ 163e6: 2b4e.*
+ 163e7: 4cf8.*
+ 163e8: 2b4f.*
+ 163e9: 4c84.*
+ 163ea: 4c8c.*
+ 163eb: 4c94.*
+ 163ec: 4ca4.*
+ 163ed: 4cac.*
+ 163ee: 4cb4.*
+ 163ef: 4cbc.*
+ 163f0: 4cc4.*
+ 163f1: 4ccc.*
+ 163f2: 4cd4.*
+ 163f3: 4cdc.*
+ 163f4: 4ce4.*
+ 163f5: 2b50.*
+ 163f6: 4cec.*
+ 163f7: 2b51.*
+ 163f8: 4cf4.*
+ 163f9: 2b52.*
+ 163fa: 4cf8.*
+ 163fb: 2b53.*
+ 163fc: 4c85.*
+ 163fd: 4c8d.*
+ 163fe: 4c95.*
+ 163ff: 4ca5.*
+ 16400: 4cad.*
+ 16401: 4cb5.*
+ 16402: 4cbd.*
+ 16403: 4cc5.*
+ 16404: 4ccd.*
+ 16405: 4cd5.*
+ 16406: 4cdd.*
+ 16407: 4ce5.*
+ 16408: 2b54.*
+ 16409: 4ced.*
+ 1640a: 2b55.*
+ 1640b: 4cf5.*
+ 1640c: 2b56.*
+ 1640d: 4cf8.*
+ 1640e: 2b57.*
+ 1640f: 4c86.*
+ 16410: 4c8e.*
+ 16411: 4c96.*
+ 16412: 4ca6.*
+ 16413: 4cae.*
+ 16414: 4cb6.*
+ 16415: 4cbe.*
+ 16416: 4cc6.*
+ 16417: 4cce.*
+ 16418: 4cd6.*
+ 16419: 4cde.*
+ 1641a: 4ce6.*
+ 1641b: 2b58.*
+ 1641c: 4cee.*
+ 1641d: 2b59.*
+ 1641e: 4cf6.*
+ 1641f: 2b5a.*
+ 16420: 4cf8.*
+ 16421: 2b5b.*
+ 16422: 4c87.*
+ 16423: 4c8f.*
+ 16424: 4c97.*
+ 16425: 4ca7.*
+ 16426: 4caf.*
+ 16427: 4cb7.*
+ 16428: 4cbf.*
+ 16429: 4cc7.*
+ 1642a: 4ccf.*
+ 1642b: 4cd7.*
+ 1642c: 4cdf.*
+ 1642d: 4ce7.*
+ 1642e: 2b5c.*
+ 1642f: 4cef.*
+ 16430: 2b5d.*
+ 16431: 4cf7.*
+ 16432: 2b5e.*
+ 16433: 4cf8.*
+ 16434: 2b5f.*
+ 16435: 2844.*
+ 16436: 2945.*
+ 16437: 3546.*
+ 16438: 3547.*
+ 16439: 3748.*
+ 1643a: 3749.*
+ 1643b: 3780.*
+ 1643c: 3780.*
+ 1643d: 3788.*
+ 1643e: 3788.*
+ 1643f: 3790.*
+ 16440: 3790.*
+ 16441: 37a0.*
+ 16442: 37a0.*
+ 16443: 37a8.*
+ 16444: 37a8.*
+ 16445: 37b0.*
+ 16446: 37b0.*
+ 16447: 37b8.*
+ 16448: 37b8.*
+ 16449: 37c0.*
+ 1644a: 37c0.*
+ 1644b: 37c8.*
+ 1644c: 37c8.*
+ 1644d: 37d0.*
+ 1644e: 37d0.*
+ 1644f: 37d8.*
+ 16450: 37d8.*
+ 16451: 37e0.*
+ 16452: 2b60.*
+ 16453: 37e0.*
+ 16454: 2b61.*
+ 16455: 37e8.*
+ 16456: 2b62.*
+ 16457: 37e8.*
+ 16458: 2b63.*
+ 16459: 37f0.*
+ 1645a: 2b64.*
+ 1645b: 37f0.*
+ 1645c: 2b65.*
+ 1645d: 37f8.*
+ 1645e: 2b66.*
+ 1645f: 37f8.*
+ 16460: 2b67.*
+ 16461: 3781.*
+ 16462: 3781.*
+ 16463: 3789.*
+ 16464: 3789.*
+ 16465: 3791.*
+ 16466: 3791.*
+ 16467: 37a1.*
+ 16468: 37a1.*
+ 16469: 37a9.*
+ 1646a: 37a9.*
+ 1646b: 37b1.*
+ 1646c: 37b1.*
+ 1646d: 37b9.*
+ 1646e: 37b9.*
+ 1646f: 37c1.*
+ 16470: 37c1.*
+ 16471: 37c9.*
+ 16472: 37c9.*
+ 16473: 37d1.*
+ 16474: 37d1.*
+ 16475: 37d9.*
+ 16476: 37d9.*
+ 16477: 37e1.*
+ 16478: 2b68.*
+ 16479: 37e1.*
+ 1647a: 2b69.*
+ 1647b: 37e9.*
+ 1647c: 2b6a.*
+ 1647d: 37e9.*
+ 1647e: 2b6b.*
+ 1647f: 37f1.*
+ 16480: 2b6c.*
+ 16481: 37f1.*
+ 16482: 2b6d.*
+ 16483: 37f8.*
+ 16484: 2b6e.*
+ 16485: 37f8.*
+ 16486: 2b6f.*
+ 16487: 3782.*
+ 16488: 3782.*
+ 16489: 378a.*
+ 1648a: 378a.*
+ 1648b: 3792.*
+ 1648c: 3792.*
+ 1648d: 37a2.*
+ 1648e: 37a2.*
+ 1648f: 37aa.*
+ 16490: 37aa.*
+ 16491: 37b2.*
+ 16492: 37b2.*
+ 16493: 37ba.*
+ 16494: 37ba.*
+ 16495: 37c2.*
+ 16496: 37c2.*
+ 16497: 37ca.*
+ 16498: 37ca.*
+ 16499: 37d2.*
+ 1649a: 37d2.*
+ 1649b: 37da.*
+ 1649c: 37da.*
+ 1649d: 37e2.*
+ 1649e: 2b70.*
+ 1649f: 37e2.*
+ 164a0: 2b71.*
+ 164a1: 37ea.*
+ 164a2: 2b72.*
+ 164a3: 37ea.*
+ 164a4: 2b73.*
+ 164a5: 37f2.*
+ 164a6: 2b74.*
+ 164a7: 37f2.*
+ 164a8: 2b75.*
+ 164a9: 37f8.*
+ 164aa: 2b76.*
+ 164ab: 37f8.*
+ 164ac: 2b77.*
+ 164ad: 3783.*
+ 164ae: 3783.*
+ 164af: 378b.*
+ 164b0: 378b.*
+ 164b1: 3793.*
+ 164b2: 3793.*
+ 164b3: 37a3.*
+ 164b4: 37a3.*
+ 164b5: 37ab.*
+ 164b6: 37ab.*
+ 164b7: 37b3.*
+ 164b8: 37b3.*
+ 164b9: 37bb.*
+ 164ba: 37bb.*
+ 164bb: 37c3.*
+ 164bc: 37c3.*
+ 164bd: 37cb.*
+ 164be: 37cb.*
+ 164bf: 37d3.*
+ 164c0: 37d3.*
+ 164c1: 37db.*
+ 164c2: 37db.*
+ 164c3: 37e3.*
+ 164c4: 2b78.*
+ 164c5: 37e3.*
+ 164c6: 2b79.*
+ 164c7: 37eb.*
+ 164c8: 2b7a.*
+ 164c9: 37eb.*
+ 164ca: 2b7b.*
+ 164cb: 37f3.*
+ 164cc: 2b7c.*
+ 164cd: 37f3.*
+ 164ce: 2b7d.*
+ 164cf: 37f8.*
+ 164d0: 2b7e.*
+ 164d1: 37f8.*
+ 164d2: 2b7f.*
+ 164d3: 3784.*
+ 164d4: 3784.*
+ 164d5: 378c.*
+ 164d6: 378c.*
+ 164d7: 3794.*
+ 164d8: 3794.*
+ 164d9: 37a4.*
+ 164da: 37a4.*
+ 164db: 37ac.*
+ 164dc: 37ac.*
+ 164dd: 37b4.*
+ 164de: 37b4.*
+ 164df: 37bc.*
+ 164e0: 37bc.*
+ 164e1: 37c4.*
+ 164e2: 37c4.*
+ 164e3: 37cc.*
+ 164e4: 37cc.*
+ 164e5: 37d4.*
+ 164e6: 37d4.*
+ 164e7: 37dc.*
+ 164e8: 37dc.*
+ 164e9: 37e4.*
+ 164ea: 2b80.*
+ 164eb: 37e4.*
+ 164ec: 2b81.*
+ 164ed: 37ec.*
+ 164ee: 2b82.*
+ 164ef: 37ec.*
+ 164f0: 2b83.*
+ 164f1: 37f4.*
+ 164f2: 2b84.*
+ 164f3: 37f4.*
+ 164f4: 2b85.*
+ 164f5: 37f8.*
+ 164f6: 2b86.*
+ 164f7: 37f8.*
+ 164f8: 2b87.*
+ 164f9: 3785.*
+ 164fa: 3785.*
+ 164fb: 378d.*
+ 164fc: 378d.*
+ 164fd: 3795.*
+ 164fe: 3795.*
+ 164ff: 37a5.*
+ 16500: 37a5.*
+ 16501: 37ad.*
+ 16502: 37ad.*
+ 16503: 37b5.*
+ 16504: 37b5.*
+ 16505: 37bd.*
+ 16506: 37bd.*
+ 16507: 37c5.*
+ 16508: 37c5.*
+ 16509: 37cd.*
+ 1650a: 37cd.*
+ 1650b: 37d5.*
+ 1650c: 37d5.*
+ 1650d: 37dd.*
+ 1650e: 37dd.*
+ 1650f: 37e5.*
+ 16510: 2b88.*
+ 16511: 37e5.*
+ 16512: 2b89.*
+ 16513: 37ed.*
+ 16514: 2b8a.*
+ 16515: 37ed.*
+ 16516: 2b8b.*
+ 16517: 37f5.*
+ 16518: 2b8c.*
+ 16519: 37f5.*
+ 1651a: 2b8d.*
+ 1651b: 37f8.*
+ 1651c: 2b8e.*
+ 1651d: 37f8.*
+ 1651e: 2b8f.*
+ 1651f: 3786.*
+ 16520: 3786.*
+ 16521: 378e.*
+ 16522: 378e.*
+ 16523: 3796.*
+ 16524: 3796.*
+ 16525: 37a6.*
+ 16526: 37a6.*
+ 16527: 37ae.*
+ 16528: 37ae.*
+ 16529: 37b6.*
+ 1652a: 37b6.*
+ 1652b: 37be.*
+ 1652c: 37be.*
+ 1652d: 37c6.*
+ 1652e: 37c6.*
+ 1652f: 37ce.*
+ 16530: 37ce.*
+ 16531: 37d6.*
+ 16532: 37d6.*
+ 16533: 37de.*
+ 16534: 37de.*
+ 16535: 37e6.*
+ 16536: 2b90.*
+ 16537: 37e6.*
+ 16538: 2b91.*
+ 16539: 37ee.*
+ 1653a: 2b92.*
+ 1653b: 37ee.*
+ 1653c: 2b93.*
+ 1653d: 37f6.*
+ 1653e: 2b94.*
+ 1653f: 37f6.*
+ 16540: 2b95.*
+ 16541: 37f8.*
+ 16542: 2b96.*
+ 16543: 37f8.*
+ 16544: 2b97.*
+ 16545: 3787.*
+ 16546: 3787.*
+ 16547: 378f.*
+ 16548: 378f.*
+ 16549: 3797.*
+ 1654a: 3797.*
+ 1654b: 37a7.*
+ 1654c: 37a7.*
+ 1654d: 37af.*
+ 1654e: 37af.*
+ 1654f: 37b7.*
+ 16550: 37b7.*
+ 16551: 37bf.*
+ 16552: 37bf.*
+ 16553: 37c7.*
+ 16554: 37c7.*
+ 16555: 37cf.*
+ 16556: 37cf.*
+ 16557: 37d7.*
+ 16558: 37d7.*
+ 16559: 37df.*
+ 1655a: 37df.*
+ 1655b: 37e7.*
+ 1655c: 2b98.*
+ 1655d: 37e7.*
+ 1655e: 2b99.*
+ 1655f: 37ef.*
+ 16560: 2b9a.*
+ 16561: 37ef.*
+ 16562: 2b9b.*
+ 16563: 37f7.*
+ 16564: 2b9c.*
+ 16565: 37f7.*
+ 16566: 2b9d.*
+ 16567: 37f8.*
+ 16568: 2b9e.*
+ 16569: 37f8.*
+ 1656a: 2b9f.*
+ 1656b: f489.*
+ 1656c: f589.*
+ 1656d: f489.*
+ 1656e: f689.*
+ 1656f: f789.*
+ 16570: f789.*
+ 16571: 3580.*
+ 16572: 3580.*
+ 16573: 3588.*
+ 16574: 3588.*
+ 16575: 3590.*
+ 16576: 3590.*
+ 16577: 35a0.*
+ 16578: 35a0.*
+ 16579: 35a8.*
+ 1657a: 35a8.*
+ 1657b: 35b0.*
+ 1657c: 35b0.*
+ 1657d: 35b8.*
+ 1657e: 35b8.*
+ 1657f: 35c0.*
+ 16580: 35c0.*
+ 16581: 35c8.*
+ 16582: 35c8.*
+ 16583: 35d0.*
+ 16584: 35d0.*
+ 16585: 35d8.*
+ 16586: 35d8.*
+ 16587: 35e0.*
+ 16588: 2ba0.*
+ 16589: 35e0.*
+ 1658a: 2ba1.*
+ 1658b: 35e8.*
+ 1658c: 2ba2.*
+ 1658d: 35e8.*
+ 1658e: 2ba3.*
+ 1658f: 35f0.*
+ 16590: 2ba4.*
+ 16591: 35f0.*
+ 16592: 2ba5.*
+ 16593: 35f8.*
+ 16594: 2ba6.*
+ 16595: 35f8.*
+ 16596: 2ba7.*
+ 16597: 3581.*
+ 16598: 3581.*
+ 16599: 3589.*
+ 1659a: 3589.*
+ 1659b: 3591.*
+ 1659c: 3591.*
+ 1659d: 35a1.*
+ 1659e: 35a1.*
+ 1659f: 35a9.*
+ 165a0: 35a9.*
+ 165a1: 35b1.*
+ 165a2: 35b1.*
+ 165a3: 35b9.*
+ 165a4: 35b9.*
+ 165a5: 35c1.*
+ 165a6: 35c1.*
+ 165a7: 35c9.*
+ 165a8: 35c9.*
+ 165a9: 35d1.*
+ 165aa: 35d1.*
+ 165ab: 35d9.*
+ 165ac: 35d9.*
+ 165ad: 35e1.*
+ 165ae: 2ba8.*
+ 165af: 35e1.*
+ 165b0: 2ba9.*
+ 165b1: 35e9.*
+ 165b2: 2baa.*
+ 165b3: 35e9.*
+ 165b4: 2bab.*
+ 165b5: 35f1.*
+ 165b6: 2bac.*
+ 165b7: 35f1.*
+ 165b8: 2bad.*
+ 165b9: 35f8.*
+ 165ba: 2bae.*
+ 165bb: 35f8.*
+ 165bc: 2baf.*
+ 165bd: 3582.*
+ 165be: 3582.*
+ 165bf: 358a.*
+ 165c0: 358a.*
+ 165c1: 3592.*
+ 165c2: 3592.*
+ 165c3: 35a2.*
+ 165c4: 35a2.*
+ 165c5: 35aa.*
+ 165c6: 35aa.*
+ 165c7: 35b2.*
+ 165c8: 35b2.*
+ 165c9: 35ba.*
+ 165ca: 35ba.*
+ 165cb: 35c2.*
+ 165cc: 35c2.*
+ 165cd: 35ca.*
+ 165ce: 35ca.*
+ 165cf: 35d2.*
+ 165d0: 35d2.*
+ 165d1: 35da.*
+ 165d2: 35da.*
+ 165d3: 35e2.*
+ 165d4: 2bb0.*
+ 165d5: 35e2.*
+ 165d6: 2bb1.*
+ 165d7: 35ea.*
+ 165d8: 2bb2.*
+ 165d9: 35ea.*
+ 165da: 2bb3.*
+ 165db: 35f2.*
+ 165dc: 2bb4.*
+ 165dd: 35f2.*
+ 165de: 2bb5.*
+ 165df: 35f8.*
+ 165e0: 2bb6.*
+ 165e1: 35f8.*
+ 165e2: 2bb7.*
+ 165e3: 3583.*
+ 165e4: 3583.*
+ 165e5: 358b.*
+ 165e6: 358b.*
+ 165e7: 3593.*
+ 165e8: 3593.*
+ 165e9: 35a3.*
+ 165ea: 35a3.*
+ 165eb: 35ab.*
+ 165ec: 35ab.*
+ 165ed: 35b3.*
+ 165ee: 35b3.*
+ 165ef: 35bb.*
+ 165f0: 35bb.*
+ 165f1: 35c3.*
+ 165f2: 35c3.*
+ 165f3: 35cb.*
+ 165f4: 35cb.*
+ 165f5: 35d3.*
+ 165f6: 35d3.*
+ 165f7: 35db.*
+ 165f8: 35db.*
+ 165f9: 35e3.*
+ 165fa: 2bb8.*
+ 165fb: 35e3.*
+ 165fc: 2bb9.*
+ 165fd: 35eb.*
+ 165fe: 2bba.*
+ 165ff: 35eb.*
+ 16600: 2bbb.*
+ 16601: 35f3.*
+ 16602: 2bbc.*
+ 16603: 35f3.*
+ 16604: 2bbd.*
+ 16605: 35f8.*
+ 16606: 2bbe.*
+ 16607: 35f8.*
+ 16608: 2bbf.*
+ 16609: 3584.*
+ 1660a: 3584.*
+ 1660b: 358c.*
+ 1660c: 358c.*
+ 1660d: 3594.*
+ 1660e: 3594.*
+ 1660f: 35a4.*
+ 16610: 35a4.*
+ 16611: 35ac.*
+ 16612: 35ac.*
+ 16613: 35b4.*
+ 16614: 35b4.*
+ 16615: 35bc.*
+ 16616: 35bc.*
+ 16617: 35c4.*
+ 16618: 35c4.*
+ 16619: 35cc.*
+ 1661a: 35cc.*
+ 1661b: 35d4.*
+ 1661c: 35d4.*
+ 1661d: 35dc.*
+ 1661e: 35dc.*
+ 1661f: 35e4.*
+ 16620: 2bc0.*
+ 16621: 35e4.*
+ 16622: 2bc1.*
+ 16623: 35ec.*
+ 16624: 2bc2.*
+ 16625: 35ec.*
+ 16626: 2bc3.*
+ 16627: 35f4.*
+ 16628: 2bc4.*
+ 16629: 35f4.*
+ 1662a: 2bc5.*
+ 1662b: 35f8.*
+ 1662c: 2bc6.*
+ 1662d: 35f8.*
+ 1662e: 2bc7.*
+ 1662f: 3585.*
+ 16630: 3585.*
+ 16631: 358d.*
+ 16632: 358d.*
+ 16633: 3595.*
+ 16634: 3595.*
+ 16635: 35a5.*
+ 16636: 35a5.*
+ 16637: 35ad.*
+ 16638: 35ad.*
+ 16639: 35b5.*
+ 1663a: 35b5.*
+ 1663b: 35bd.*
+ 1663c: 35bd.*
+ 1663d: 35c5.*
+ 1663e: 35c5.*
+ 1663f: 35cd.*
+ 16640: 35cd.*
+ 16641: 35d5.*
+ 16642: 35d5.*
+ 16643: 35dd.*
+ 16644: 35dd.*
+ 16645: 35e5.*
+ 16646: 2bc8.*
+ 16647: 35e5.*
+ 16648: 2bc9.*
+ 16649: 35ed.*
+ 1664a: 2bca.*
+ 1664b: 35ed.*
+ 1664c: 2bcb.*
+ 1664d: 35f5.*
+ 1664e: 2bcc.*
+ 1664f: 35f5.*
+ 16650: 2bcd.*
+ 16651: 35f8.*
+ 16652: 2bce.*
+ 16653: 35f8.*
+ 16654: 2bcf.*
+ 16655: 3586.*
+ 16656: 3586.*
+ 16657: 358e.*
+ 16658: 358e.*
+ 16659: 3596.*
+ 1665a: 3596.*
+ 1665b: 35a6.*
+ 1665c: 35a6.*
+ 1665d: 35ae.*
+ 1665e: 35ae.*
+ 1665f: 35b6.*
+ 16660: 35b6.*
+ 16661: 35be.*
+ 16662: 35be.*
+ 16663: 35c6.*
+ 16664: 35c6.*
+ 16665: 35ce.*
+ 16666: 35ce.*
+ 16667: 35d6.*
+ 16668: 35d6.*
+ 16669: 35de.*
+ 1666a: 35de.*
+ 1666b: 35e6.*
+ 1666c: 2bd0.*
+ 1666d: 35e6.*
+ 1666e: 2bd1.*
+ 1666f: 35ee.*
+ 16670: 2bd2.*
+ 16671: 35ee.*
+ 16672: 2bd3.*
+ 16673: 35f6.*
+ 16674: 2bd4.*
+ 16675: 35f6.*
+ 16676: 2bd5.*
+ 16677: 35f8.*
+ 16678: 2bd6.*
+ 16679: 35f8.*
+ 1667a: 2bd7.*
+ 1667b: 3587.*
+ 1667c: 3587.*
+ 1667d: 358f.*
+ 1667e: 358f.*
+ 1667f: 3597.*
+ 16680: 3597.*
+ 16681: 35a7.*
+ 16682: 35a7.*
+ 16683: 35af.*
+ 16684: 35af.*
+ 16685: 35b7.*
+ 16686: 35b7.*
+ 16687: 35bf.*
+ 16688: 35bf.*
+ 16689: 35c7.*
+ 1668a: 35c7.*
+ 1668b: 35cf.*
+ 1668c: 35cf.*
+ 1668d: 35d7.*
+ 1668e: 35d7.*
+ 1668f: 35df.*
+ 16690: 35df.*
+ 16691: 35e7.*
+ 16692: 2bd8.*
+ 16693: 35e7.*
+ 16694: 2bd9.*
+ 16695: 35ef.*
+ 16696: 2bda.*
+ 16697: 35ef.*
+ 16698: 2bdb.*
+ 16699: 35f7.*
+ 1669a: 2bdc.*
+ 1669b: 35f7.*
+ 1669c: 2bdd.*
+ 1669d: 35f8.*
+ 1669e: 2bde.*
+ 1669f: 35f8.*
+ 166a0: 2bdf.*
+ 166a1: f488.*
+ 166a2: f588.*
+ 166a3: f488.*
+ 166a4: f688.*
+ 166a5: f788.*
+ 166a6: f788.*
+ 166a7: 7a4a.*
+ 166a8: 04d0.*
+ 166a9: 7b4b.*
+ 166aa: 04d1.*
+ 166ab: 7a80.*
+ 166ac: 04d2.*
+ 166ad: 7b80.*
+ 166ae: 04d3.*
+ 166af: 7a88.*
+ 166b0: 04d4.*
+ 166b1: 7b88.*
+ 166b2: 04d5.*
+ 166b3: 7a90.*
+ 166b4: 04d6.*
+ 166b5: 7b90.*
+ 166b6: 04d7.*
+ 166b7: 7aa0.*
+ 166b8: 04d8.*
+ 166b9: 7ba0.*
+ 166ba: 04d9.*
+ 166bb: 7aa8.*
+ 166bc: 04da.*
+ 166bd: 7ba8.*
+ 166be: 04db.*
+ 166bf: 7ab0.*
+ 166c0: 04dc.*
+ 166c1: 7bb0.*
+ 166c2: 04dd.*
+ 166c3: 7ab8.*
+ 166c4: 04de.*
+ 166c5: 7bb8.*
+ 166c6: 04df.*
+ 166c7: 7ac0.*
+ 166c8: 04e0.*
+ 166c9: 7bc0.*
+ 166ca: 04e1.*
+ 166cb: 7ac8.*
+ 166cc: 04e2.*
+ 166cd: 7bc8.*
+ 166ce: 04e3.*
+ 166cf: 7ad0.*
+ 166d0: 04e4.*
+ 166d1: 7bd0.*
+ 166d2: 04e5.*
+ 166d3: 7ad8.*
+ 166d4: 04e6.*
+ 166d5: 7bd8.*
+ 166d6: 04e7.*
+ 166d7: 7ae0.*
+ 166d8: 2be0.*
+ 166d9: 04e8.*
+ 166da: 7be0.*
+ 166db: 2be1.*
+ 166dc: 04e9.*
+ 166dd: 7ae8.*
+ 166de: 2be2.*
+ 166df: 04ea.*
+ 166e0: 7be8.*
+ 166e1: 2be3.*
+ 166e2: 04eb.*
+ 166e3: 7af0.*
+ 166e4: 2be4.*
+ 166e5: 04ec.*
+ 166e6: 7bf0.*
+ 166e7: 2be5.*
+ 166e8: 04ed.*
+ 166e9: 7af8.*
+ 166ea: 2be6.*
+ 166eb: 04ee.*
+ 166ec: 7bf8.*
+ 166ed: 2be7.*
+ 166ee: 04ef.*
+ 166ef: 7a81.*
+ 166f0: 04f0.*
+ 166f1: 7b81.*
+ 166f2: 04f1.*
+ 166f3: 7a89.*
+ 166f4: 04f2.*
+ 166f5: 7b89.*
+ 166f6: 04f3.*
+ 166f7: 7a91.*
+ 166f8: 04f4.*
+ 166f9: 7b91.*
+ 166fa: 04f5.*
+ 166fb: 7aa1.*
+ 166fc: 04f6.*
+ 166fd: 7ba1.*
+ 166fe: 04f7.*
+ 166ff: 7aa9.*
+ 16700: 04f8.*
+ 16701: 7ba9.*
+ 16702: 04f9.*
+ 16703: 7ab1.*
+ 16704: 04fa.*
+ 16705: 7bb1.*
+ 16706: 04fb.*
+ 16707: 7ab9.*
+ 16708: 04fc.*
+ 16709: 7bb9.*
+ 1670a: 04fd.*
+ 1670b: 7ac1.*
+ 1670c: 04fe.*
+ 1670d: 7bc1.*
+ 1670e: 04ff.*
+ 1670f: 7ac9.*
+ 16710: 0500.*
+ 16711: 7bc9.*
+ 16712: 0501.*
+ 16713: 7ad1.*
+ 16714: 0502.*
+ 16715: 7bd1.*
+ 16716: 0503.*
+ 16717: 7ad9.*
+ 16718: 0504.*
+ 16719: 7bd9.*
+ 1671a: 0505.*
+ 1671b: 7ae1.*
+ 1671c: 2be8.*
+ 1671d: 0506.*
+ 1671e: 7be1.*
+ 1671f: 2be9.*
+ 16720: 0507.*
+ 16721: 7ae9.*
+ 16722: 2bea.*
+ 16723: 0508.*
+ 16724: 7be9.*
+ 16725: 2beb.*
+ 16726: 0509.*
+ 16727: 7af1.*
+ 16728: 2bec.*
+ 16729: 050a.*
+ 1672a: 7bf1.*
+ 1672b: 2bed.*
+ 1672c: 050b.*
+ 1672d: 7af8.*
+ 1672e: 2bee.*
+ 1672f: 050c.*
+ 16730: 7bf8.*
+ 16731: 2bef.*
+ 16732: 050d.*
+ 16733: 7a82.*
+ 16734: 050e.*
+ 16735: 7b82.*
+ 16736: 050f.*
+ 16737: 7a8a.*
+ 16738: 0510.*
+ 16739: 7b8a.*
+ 1673a: 0511.*
+ 1673b: 7a92.*
+ 1673c: 0512.*
+ 1673d: 7b92.*
+ 1673e: 0513.*
+ 1673f: 7aa2.*
+ 16740: 0514.*
+ 16741: 7ba2.*
+ 16742: 0515.*
+ 16743: 7aaa.*
+ 16744: 0516.*
+ 16745: 7baa.*
+ 16746: 0517.*
+ 16747: 7ab2.*
+ 16748: 0518.*
+ 16749: 7bb2.*
+ 1674a: 0519.*
+ 1674b: 7aba.*
+ 1674c: 051a.*
+ 1674d: 7bba.*
+ 1674e: 051b.*
+ 1674f: 7ac2.*
+ 16750: 051c.*
+ 16751: 7bc2.*
+ 16752: 051d.*
+ 16753: 7aca.*
+ 16754: 051e.*
+ 16755: 7bca.*
+ 16756: 051f.*
+ 16757: 7ad2.*
+ 16758: 0520.*
+ 16759: 7bd2.*
+ 1675a: 0521.*
+ 1675b: 7ada.*
+ 1675c: 0522.*
+ 1675d: 7bda.*
+ 1675e: 0523.*
+ 1675f: 7ae2.*
+ 16760: 2bf0.*
+ 16761: 0524.*
+ 16762: 7be2.*
+ 16763: 2bf1.*
+ 16764: 0525.*
+ 16765: 7aea.*
+ 16766: 2bf2.*
+ 16767: 0526.*
+ 16768: 7bea.*
+ 16769: 2bf3.*
+ 1676a: 0527.*
+ 1676b: 7af2.*
+ 1676c: 2bf4.*
+ 1676d: 0528.*
+ 1676e: 7bf2.*
+ 1676f: 2bf5.*
+ 16770: 0529.*
+ 16771: 7af8.*
+ 16772: 2bf6.*
+ 16773: 052a.*
+ 16774: 7bf8.*
+ 16775: 2bf7.*
+ 16776: 052b.*
+ 16777: 7a83.*
+ 16778: 052c.*
+ 16779: 7b83.*
+ 1677a: 052d.*
+ 1677b: 7a8b.*
+ 1677c: 052e.*
+ 1677d: 7b8b.*
+ 1677e: 052f.*
+ 1677f: 7a93.*
+ 16780: 0530.*
+ 16781: 7b93.*
+ 16782: 0531.*
+ 16783: 7aa3.*
+ 16784: 0532.*
+ 16785: 7ba3.*
+ 16786: 0533.*
+ 16787: 7aab.*
+ 16788: 0534.*
+ 16789: 7bab.*
+ 1678a: 0535.*
+ 1678b: 7ab3.*
+ 1678c: 0536.*
+ 1678d: 7bb3.*
+ 1678e: 0537.*
+ 1678f: 7abb.*
+ 16790: 0538.*
+ 16791: 7bbb.*
+ 16792: 0539.*
+ 16793: 7ac3.*
+ 16794: 053a.*
+ 16795: 7bc3.*
+ 16796: 053b.*
+ 16797: 7acb.*
+ 16798: 053c.*
+ 16799: 7bcb.*
+ 1679a: 053d.*
+ 1679b: 7ad3.*
+ 1679c: 053e.*
+ 1679d: 7bd3.*
+ 1679e: 053f.*
+ 1679f: 7adb.*
+ 167a0: 0540.*
+ 167a1: 7bdb.*
+ 167a2: 0541.*
+ 167a3: 7ae3.*
+ 167a4: 2bf8.*
+ 167a5: 0542.*
+ 167a6: 7be3.*
+ 167a7: 2bf9.*
+ 167a8: 0543.*
+ 167a9: 7aeb.*
+ 167aa: 2bfa.*
+ 167ab: 0544.*
+ 167ac: 7beb.*
+ 167ad: 2bfb.*
+ 167ae: 0545.*
+ 167af: 7af3.*
+ 167b0: 2bfc.*
+ 167b1: 0546.*
+ 167b2: 7bf3.*
+ 167b3: 2bfd.*
+ 167b4: 0547.*
+ 167b5: 7af8.*
+ 167b6: 2bfe.*
+ 167b7: 0548.*
+ 167b8: 7bf8.*
+ 167b9: 2bff.*
+ 167ba: 0549.*
+ 167bb: 7a84.*
+ 167bc: 054a.*
+ 167bd: 7b84.*
+ 167be: 054b.*
+ 167bf: 7a8c.*
+ 167c0: 054c.*
+ 167c1: 7b8c.*
+ 167c2: 054d.*
+ 167c3: 7a94.*
+ 167c4: 054e.*
+ 167c5: 7b94.*
+ 167c6: 054f.*
+ 167c7: 7aa4.*
+ 167c8: 0550.*
+ 167c9: 7ba4.*
+ 167ca: 0551.*
+ 167cb: 7aac.*
+ 167cc: 0552.*
+ 167cd: 7bac.*
+ 167ce: 0553.*
+ 167cf: 7ab4.*
+ 167d0: 0554.*
+ 167d1: 7bb4.*
+ 167d2: 0555.*
+ 167d3: 7abc.*
+ 167d4: 0556.*
+ 167d5: 7bbc.*
+ 167d6: 0557.*
+ 167d7: 7ac4.*
+ 167d8: 0558.*
+ 167d9: 7bc4.*
+ 167da: 0559.*
+ 167db: 7acc.*
+ 167dc: 055a.*
+ 167dd: 7bcc.*
+ 167de: 055b.*
+ 167df: 7ad4.*
+ 167e0: 055c.*
+ 167e1: 7bd4.*
+ 167e2: 055d.*
+ 167e3: 7adc.*
+ 167e4: 055e.*
+ 167e5: 7bdc.*
+ 167e6: 055f.*
+ 167e7: 7ae4.*
+ 167e8: 2c00.*
+ 167e9: 0560.*
+ 167ea: 7be4.*
+ 167eb: 2c01.*
+ 167ec: 0561.*
+ 167ed: 7aec.*
+ 167ee: 2c02.*
+ 167ef: 0562.*
+ 167f0: 7bec.*
+ 167f1: 2c03.*
+ 167f2: 0563.*
+ 167f3: 7af4.*
+ 167f4: 2c04.*
+ 167f5: 0564.*
+ 167f6: 7bf4.*
+ 167f7: 2c05.*
+ 167f8: 0565.*
+ 167f9: 7af8.*
+ 167fa: 2c06.*
+ 167fb: 0566.*
+ 167fc: 7bf8.*
+ 167fd: 2c07.*
+ 167fe: 0567.*
+ 167ff: 7a85.*
+ 16800: 0568.*
+ 16801: 7b85.*
+ 16802: 0569.*
+ 16803: 7a8d.*
+ 16804: 056a.*
+ 16805: 7b8d.*
+ 16806: 056b.*
+ 16807: 7a95.*
+ 16808: 056c.*
+ 16809: 7b95.*
+ 1680a: 056d.*
+ 1680b: 7aa5.*
+ 1680c: 056e.*
+ 1680d: 7ba5.*
+ 1680e: 056f.*
+ 1680f: 7aad.*
+ 16810: 0570.*
+ 16811: 7bad.*
+ 16812: 0571.*
+ 16813: 7ab5.*
+ 16814: 0572.*
+ 16815: 7bb5.*
+ 16816: 0573.*
+ 16817: 7abd.*
+ 16818: 0574.*
+ 16819: 7bbd.*
+ 1681a: 0575.*
+ 1681b: 7ac5.*
+ 1681c: 0576.*
+ 1681d: 7bc5.*
+ 1681e: 0577.*
+ 1681f: 7acd.*
+ 16820: 0578.*
+ 16821: 7bcd.*
+ 16822: 0579.*
+ 16823: 7ad5.*
+ 16824: 057a.*
+ 16825: 7bd5.*
+ 16826: 057b.*
+ 16827: 7add.*
+ 16828: 057c.*
+ 16829: 7bdd.*
+ 1682a: 057d.*
+ 1682b: 7ae5.*
+ 1682c: 2c08.*
+ 1682d: 057e.*
+ 1682e: 7be5.*
+ 1682f: 2c09.*
+ 16830: 057f.*
+ 16831: 7aed.*
+ 16832: 2c0a.*
+ 16833: 0580.*
+ 16834: 7bed.*
+ 16835: 2c0b.*
+ 16836: 0581.*
+ 16837: 7af5.*
+ 16838: 2c0c.*
+ 16839: 0582.*
+ 1683a: 7bf5.*
+ 1683b: 2c0d.*
+ 1683c: 0583.*
+ 1683d: 7af8.*
+ 1683e: 2c0e.*
+ 1683f: 0584.*
+ 16840: 7bf8.*
+ 16841: 2c0f.*
+ 16842: 0585.*
+ 16843: 7a86.*
+ 16844: 0586.*
+ 16845: 7b86.*
+ 16846: 0587.*
+ 16847: 7a8e.*
+ 16848: 0588.*
+ 16849: 7b8e.*
+ 1684a: 0589.*
+ 1684b: 7a96.*
+ 1684c: 058a.*
+ 1684d: 7b96.*
+ 1684e: 058b.*
+ 1684f: 7aa6.*
+ 16850: 058c.*
+ 16851: 7ba6.*
+ 16852: 058d.*
+ 16853: 7aae.*
+ 16854: 058e.*
+ 16855: 7bae.*
+ 16856: 058f.*
+ 16857: 7ab6.*
+ 16858: 0590.*
+ 16859: 7bb6.*
+ 1685a: 0591.*
+ 1685b: 7abe.*
+ 1685c: 0592.*
+ 1685d: 7bbe.*
+ 1685e: 0593.*
+ 1685f: 7ac6.*
+ 16860: 0594.*
+ 16861: 7bc6.*
+ 16862: 0595.*
+ 16863: 7ace.*
+ 16864: 0596.*
+ 16865: 7bce.*
+ 16866: 0597.*
+ 16867: 7ad6.*
+ 16868: 0598.*
+ 16869: 7bd6.*
+ 1686a: 0599.*
+ 1686b: 7ade.*
+ 1686c: 059a.*
+ 1686d: 7bde.*
+ 1686e: 059b.*
+ 1686f: 7ae6.*
+ 16870: 2c10.*
+ 16871: 059c.*
+ 16872: 7be6.*
+ 16873: 2c11.*
+ 16874: 059d.*
+ 16875: 7aee.*
+ 16876: 2c12.*
+ 16877: 059e.*
+ 16878: 7bee.*
+ 16879: 2c13.*
+ 1687a: 059f.*
+ 1687b: 7af6.*
+ 1687c: 2c14.*
+ 1687d: 05a0.*
+ 1687e: 7bf6.*
+ 1687f: 2c15.*
+ 16880: 05a1.*
+ 16881: 7af8.*
+ 16882: 2c16.*
+ 16883: 05a2.*
+ 16884: 7bf8.*
+ 16885: 2c17.*
+ 16886: 05a3.*
+ 16887: 7a87.*
+ 16888: 05a4.*
+ 16889: 7b87.*
+ 1688a: 05a5.*
+ 1688b: 7a8f.*
+ 1688c: 05a6.*
+ 1688d: 7b8f.*
+ 1688e: 05a7.*
+ 1688f: 7a97.*
+ 16890: 05a8.*
+ 16891: 7b97.*
+ 16892: 05a9.*
+ 16893: 7aa7.*
+ 16894: 05aa.*
+ 16895: 7ba7.*
+ 16896: 05ab.*
+ 16897: 7aaf.*
+ 16898: 05ac.*
+ 16899: 7baf.*
+ 1689a: 05ad.*
+ 1689b: 7ab7.*
+ 1689c: 05ae.*
+ 1689d: 7bb7.*
+ 1689e: 05af.*
+ 1689f: 7abf.*
+ 168a0: 05b0.*
+ 168a1: 7bbf.*
+ 168a2: 05b1.*
+ 168a3: 7ac7.*
+ 168a4: 05b2.*
+ 168a5: 7bc7.*
+ 168a6: 05b3.*
+ 168a7: 7acf.*
+ 168a8: 05b4.*
+ 168a9: 7bcf.*
+ 168aa: 05b5.*
+ 168ab: 7ad7.*
+ 168ac: 05b6.*
+ 168ad: 7bd7.*
+ 168ae: 05b7.*
+ 168af: 7adf.*
+ 168b0: 05b8.*
+ 168b1: 7bdf.*
+ 168b2: 05b9.*
+ 168b3: 7ae7.*
+ 168b4: 2c18.*
+ 168b5: 05ba.*
+ 168b6: 7be7.*
+ 168b7: 2c19.*
+ 168b8: 05bb.*
+ 168b9: 7aef.*
+ 168ba: 2c1a.*
+ 168bb: 05bc.*
+ 168bc: 7bef.*
+ 168bd: 2c1b.*
+ 168be: 05bd.*
+ 168bf: 7af7.*
+ 168c0: 2c1c.*
+ 168c1: 05be.*
+ 168c2: 7bf7.*
+ 168c3: 2c1d.*
+ 168c4: 05bf.*
+ 168c5: 7af8.*
+ 168c6: 2c1e.*
+ 168c7: 05c0.*
+ 168c8: 7bf8.*
+ 168c9: 2c1f.*
+ 168ca: 05c1.*
+ 168cb: 784c.*
+ 168cc: 05c2.*
+ 168cd: 794d.*
+ 168ce: 05c3.*
+ 168cf: 7880.*
+ 168d0: 05c4.*
+ 168d1: 7980.*
+ 168d2: 05c5.*
+ 168d3: 7888.*
+ 168d4: 05c6.*
+ 168d5: 7988.*
+ 168d6: 05c7.*
+ 168d7: 7890.*
+ 168d8: 05c8.*
+ 168d9: 7990.*
+ 168da: 05c9.*
+ 168db: 78a0.*
+ 168dc: 05ca.*
+ 168dd: 79a0.*
+ 168de: 05cb.*
+ 168df: 78a8.*
+ 168e0: 05cc.*
+ 168e1: 79a8.*
+ 168e2: 05cd.*
+ 168e3: 78b0.*
+ 168e4: 05ce.*
+ 168e5: 79b0.*
+ 168e6: 05cf.*
+ 168e7: 78b8.*
+ 168e8: 05d0.*
+ 168e9: 79b8.*
+ 168ea: 05d1.*
+ 168eb: 78c0.*
+ 168ec: 05d2.*
+ 168ed: 79c0.*
+ 168ee: 05d3.*
+ 168ef: 78c8.*
+ 168f0: 05d4.*
+ 168f1: 79c8.*
+ 168f2: 05d5.*
+ 168f3: 78d0.*
+ 168f4: 05d6.*
+ 168f5: 79d0.*
+ 168f6: 05d7.*
+ 168f7: 78d8.*
+ 168f8: 05d8.*
+ 168f9: 79d8.*
+ 168fa: 05d9.*
+ 168fb: 78e0.*
+ 168fc: 2c20.*
+ 168fd: 05da.*
+ 168fe: 79e0.*
+ 168ff: 2c21.*
+ 16900: 05db.*
+ 16901: 78e8.*
+ 16902: 2c22.*
+ 16903: 05dc.*
+ 16904: 79e8.*
+ 16905: 2c23.*
+ 16906: 05dd.*
+ 16907: 78f0.*
+ 16908: 2c24.*
+ 16909: 05de.*
+ 1690a: 79f0.*
+ 1690b: 2c25.*
+ 1690c: 05df.*
+ 1690d: 78f8.*
+ 1690e: 2c26.*
+ 1690f: 05e0.*
+ 16910: 79f8.*
+ 16911: 2c27.*
+ 16912: 05e1.*
+ 16913: 7881.*
+ 16914: 05e2.*
+ 16915: 7981.*
+ 16916: 05e3.*
+ 16917: 7889.*
+ 16918: 05e4.*
+ 16919: 7989.*
+ 1691a: 05e5.*
+ 1691b: 7891.*
+ 1691c: 05e6.*
+ 1691d: 7991.*
+ 1691e: 05e7.*
+ 1691f: 78a1.*
+ 16920: 05e8.*
+ 16921: 79a1.*
+ 16922: 05e9.*
+ 16923: 78a9.*
+ 16924: 05ea.*
+ 16925: 79a9.*
+ 16926: 05eb.*
+ 16927: 78b1.*
+ 16928: 05ec.*
+ 16929: 79b1.*
+ 1692a: 05ed.*
+ 1692b: 78b9.*
+ 1692c: 05ee.*
+ 1692d: 79b9.*
+ 1692e: 05ef.*
+ 1692f: 78c1.*
+ 16930: 05f0.*
+ 16931: 79c1.*
+ 16932: 05f1.*
+ 16933: 78c9.*
+ 16934: 05f2.*
+ 16935: 79c9.*
+ 16936: 05f3.*
+ 16937: 78d1.*
+ 16938: 05f4.*
+ 16939: 79d1.*
+ 1693a: 05f5.*
+ 1693b: 78d9.*
+ 1693c: 05f6.*
+ 1693d: 79d9.*
+ 1693e: 05f7.*
+ 1693f: 78e1.*
+ 16940: 2c28.*
+ 16941: 05f8.*
+ 16942: 79e1.*
+ 16943: 2c29.*
+ 16944: 05f9.*
+ 16945: 78e9.*
+ 16946: 2c2a.*
+ 16947: 05fa.*
+ 16948: 79e9.*
+ 16949: 2c2b.*
+ 1694a: 05fb.*
+ 1694b: 78f1.*
+ 1694c: 2c2c.*
+ 1694d: 05fc.*
+ 1694e: 79f1.*
+ 1694f: 2c2d.*
+ 16950: 05fd.*
+ 16951: 78f8.*
+ 16952: 2c2e.*
+ 16953: 05fe.*
+ 16954: 79f8.*
+ 16955: 2c2f.*
+ 16956: 05ff.*
+ 16957: 7882.*
+ 16958: 0600.*
+ 16959: 7982.*
+ 1695a: 0601.*
+ 1695b: 788a.*
+ 1695c: 0602.*
+ 1695d: 798a.*
+ 1695e: 0603.*
+ 1695f: 7892.*
+ 16960: 0604.*
+ 16961: 7992.*
+ 16962: 0605.*
+ 16963: 78a2.*
+ 16964: 0606.*
+ 16965: 79a2.*
+ 16966: 0607.*
+ 16967: 78aa.*
+ 16968: 0608.*
+ 16969: 79aa.*
+ 1696a: 0609.*
+ 1696b: 78b2.*
+ 1696c: 060a.*
+ 1696d: 79b2.*
+ 1696e: 060b.*
+ 1696f: 78ba.*
+ 16970: 060c.*
+ 16971: 79ba.*
+ 16972: 060d.*
+ 16973: 78c2.*
+ 16974: 060e.*
+ 16975: 79c2.*
+ 16976: 060f.*
+ 16977: 78ca.*
+ 16978: 0610.*
+ 16979: 79ca.*
+ 1697a: 0611.*
+ 1697b: 78d2.*
+ 1697c: 0612.*
+ 1697d: 79d2.*
+ 1697e: 0613.*
+ 1697f: 78da.*
+ 16980: 0614.*
+ 16981: 79da.*
+ 16982: 0615.*
+ 16983: 78e2.*
+ 16984: 2c30.*
+ 16985: 0616.*
+ 16986: 79e2.*
+ 16987: 2c31.*
+ 16988: 0617.*
+ 16989: 78ea.*
+ 1698a: 2c32.*
+ 1698b: 0618.*
+ 1698c: 79ea.*
+ 1698d: 2c33.*
+ 1698e: 0619.*
+ 1698f: 78f2.*
+ 16990: 2c34.*
+ 16991: 061a.*
+ 16992: 79f2.*
+ 16993: 2c35.*
+ 16994: 061b.*
+ 16995: 78f8.*
+ 16996: 2c36.*
+ 16997: 061c.*
+ 16998: 79f8.*
+ 16999: 2c37.*
+ 1699a: 061d.*
+ 1699b: 7883.*
+ 1699c: 061e.*
+ 1699d: 7983.*
+ 1699e: 061f.*
+ 1699f: 788b.*
+ 169a0: 0620.*
+ 169a1: 798b.*
+ 169a2: 0621.*
+ 169a3: 7893.*
+ 169a4: 0622.*
+ 169a5: 7993.*
+ 169a6: 0623.*
+ 169a7: 78a3.*
+ 169a8: 0624.*
+ 169a9: 79a3.*
+ 169aa: 0625.*
+ 169ab: 78ab.*
+ 169ac: 0626.*
+ 169ad: 79ab.*
+ 169ae: 0627.*
+ 169af: 78b3.*
+ 169b0: 0628.*
+ 169b1: 79b3.*
+ 169b2: 0629.*
+ 169b3: 78bb.*
+ 169b4: 062a.*
+ 169b5: 79bb.*
+ 169b6: 062b.*
+ 169b7: 78c3.*
+ 169b8: 062c.*
+ 169b9: 79c3.*
+ 169ba: 062d.*
+ 169bb: 78cb.*
+ 169bc: 062e.*
+ 169bd: 79cb.*
+ 169be: 062f.*
+ 169bf: 78d3.*
+ 169c0: 0630.*
+ 169c1: 79d3.*
+ 169c2: 0631.*
+ 169c3: 78db.*
+ 169c4: 0632.*
+ 169c5: 79db.*
+ 169c6: 0633.*
+ 169c7: 78e3.*
+ 169c8: 2c38.*
+ 169c9: 0634.*
+ 169ca: 79e3.*
+ 169cb: 2c39.*
+ 169cc: 0635.*
+ 169cd: 78eb.*
+ 169ce: 2c3a.*
+ 169cf: 0636.*
+ 169d0: 79eb.*
+ 169d1: 2c3b.*
+ 169d2: 0637.*
+ 169d3: 78f3.*
+ 169d4: 2c3c.*
+ 169d5: 0638.*
+ 169d6: 79f3.*
+ 169d7: 2c3d.*
+ 169d8: 0639.*
+ 169d9: 78f8.*
+ 169da: 2c3e.*
+ 169db: 063a.*
+ 169dc: 79f8.*
+ 169dd: 2c3f.*
+ 169de: 063b.*
+ 169df: 7884.*
+ 169e0: 063c.*
+ 169e1: 7984.*
+ 169e2: 063d.*
+ 169e3: 788c.*
+ 169e4: 063e.*
+ 169e5: 798c.*
+ 169e6: 063f.*
+ 169e7: 7894.*
+ 169e8: 0640.*
+ 169e9: 7994.*
+ 169ea: 0641.*
+ 169eb: 78a4.*
+ 169ec: 0642.*
+ 169ed: 79a4.*
+ 169ee: 0643.*
+ 169ef: 78ac.*
+ 169f0: 0644.*
+ 169f1: 79ac.*
+ 169f2: 0645.*
+ 169f3: 78b4.*
+ 169f4: 0646.*
+ 169f5: 79b4.*
+ 169f6: 0647.*
+ 169f7: 78bc.*
+ 169f8: 0648.*
+ 169f9: 79bc.*
+ 169fa: 0649.*
+ 169fb: 78c4.*
+ 169fc: 064a.*
+ 169fd: 79c4.*
+ 169fe: 064b.*
+ 169ff: 78cc.*
+ 16a00: 064c.*
+ 16a01: 79cc.*
+ 16a02: 064d.*
+ 16a03: 78d4.*
+ 16a04: 064e.*
+ 16a05: 79d4.*
+ 16a06: 064f.*
+ 16a07: 78dc.*
+ 16a08: 0650.*
+ 16a09: 79dc.*
+ 16a0a: 0651.*
+ 16a0b: 78e4.*
+ 16a0c: 2c40.*
+ 16a0d: 0652.*
+ 16a0e: 79e4.*
+ 16a0f: 2c41.*
+ 16a10: 0653.*
+ 16a11: 78ec.*
+ 16a12: 2c42.*
+ 16a13: 0654.*
+ 16a14: 79ec.*
+ 16a15: 2c43.*
+ 16a16: 0655.*
+ 16a17: 78f4.*
+ 16a18: 2c44.*
+ 16a19: 0656.*
+ 16a1a: 79f4.*
+ 16a1b: 2c45.*
+ 16a1c: 0657.*
+ 16a1d: 78f8.*
+ 16a1e: 2c46.*
+ 16a1f: 0658.*
+ 16a20: 79f8.*
+ 16a21: 2c47.*
+ 16a22: 0659.*
+ 16a23: 7885.*
+ 16a24: 065a.*
+ 16a25: 7985.*
+ 16a26: 065b.*
+ 16a27: 788d.*
+ 16a28: 065c.*
+ 16a29: 798d.*
+ 16a2a: 065d.*
+ 16a2b: 7895.*
+ 16a2c: 065e.*
+ 16a2d: 7995.*
+ 16a2e: 065f.*
+ 16a2f: 78a5.*
+ 16a30: 0660.*
+ 16a31: 79a5.*
+ 16a32: 0661.*
+ 16a33: 78ad.*
+ 16a34: 0662.*
+ 16a35: 79ad.*
+ 16a36: 0663.*
+ 16a37: 78b5.*
+ 16a38: 0664.*
+ 16a39: 79b5.*
+ 16a3a: 0665.*
+ 16a3b: 78bd.*
+ 16a3c: 0666.*
+ 16a3d: 79bd.*
+ 16a3e: 0667.*
+ 16a3f: 78c5.*
+ 16a40: 0668.*
+ 16a41: 79c5.*
+ 16a42: 0669.*
+ 16a43: 78cd.*
+ 16a44: 066a.*
+ 16a45: 79cd.*
+ 16a46: 066b.*
+ 16a47: 78d5.*
+ 16a48: 066c.*
+ 16a49: 79d5.*
+ 16a4a: 066d.*
+ 16a4b: 78dd.*
+ 16a4c: 066e.*
+ 16a4d: 79dd.*
+ 16a4e: 066f.*
+ 16a4f: 78e5.*
+ 16a50: 2c48.*
+ 16a51: 0670.*
+ 16a52: 79e5.*
+ 16a53: 2c49.*
+ 16a54: 0671.*
+ 16a55: 78ed.*
+ 16a56: 2c4a.*
+ 16a57: 0672.*
+ 16a58: 79ed.*
+ 16a59: 2c4b.*
+ 16a5a: 0673.*
+ 16a5b: 78f5.*
+ 16a5c: 2c4c.*
+ 16a5d: 0674.*
+ 16a5e: 79f5.*
+ 16a5f: 2c4d.*
+ 16a60: 0675.*
+ 16a61: 78f8.*
+ 16a62: 2c4e.*
+ 16a63: 0676.*
+ 16a64: 79f8.*
+ 16a65: 2c4f.*
+ 16a66: 0677.*
+ 16a67: 7886.*
+ 16a68: 0678.*
+ 16a69: 7986.*
+ 16a6a: 0679.*
+ 16a6b: 788e.*
+ 16a6c: 067a.*
+ 16a6d: 798e.*
+ 16a6e: 067b.*
+ 16a6f: 7896.*
+ 16a70: 067c.*
+ 16a71: 7996.*
+ 16a72: 067d.*
+ 16a73: 78a6.*
+ 16a74: 067e.*
+ 16a75: 79a6.*
+ 16a76: 067f.*
+ 16a77: 78ae.*
+ 16a78: 0680.*
+ 16a79: 79ae.*
+ 16a7a: 0681.*
+ 16a7b: 78b6.*
+ 16a7c: 0682.*
+ 16a7d: 79b6.*
+ 16a7e: 0683.*
+ 16a7f: 78be.*
+ 16a80: 0684.*
+ 16a81: 79be.*
+ 16a82: 0685.*
+ 16a83: 78c6.*
+ 16a84: 0686.*
+ 16a85: 79c6.*
+ 16a86: 0687.*
+ 16a87: 78ce.*
+ 16a88: 0688.*
+ 16a89: 79ce.*
+ 16a8a: 0689.*
+ 16a8b: 78d6.*
+ 16a8c: 068a.*
+ 16a8d: 79d6.*
+ 16a8e: 068b.*
+ 16a8f: 78de.*
+ 16a90: 068c.*
+ 16a91: 79de.*
+ 16a92: 068d.*
+ 16a93: 78e6.*
+ 16a94: 2c50.*
+ 16a95: 068e.*
+ 16a96: 79e6.*
+ 16a97: 2c51.*
+ 16a98: 068f.*
+ 16a99: 78ee.*
+ 16a9a: 2c52.*
+ 16a9b: 0690.*
+ 16a9c: 79ee.*
+ 16a9d: 2c53.*
+ 16a9e: 0691.*
+ 16a9f: 78f6.*
+ 16aa0: 2c54.*
+ 16aa1: 0692.*
+ 16aa2: 79f6.*
+ 16aa3: 2c55.*
+ 16aa4: 0693.*
+ 16aa5: 78f8.*
+ 16aa6: 2c56.*
+ 16aa7: 0694.*
+ 16aa8: 79f8.*
+ 16aa9: 2c57.*
+ 16aaa: 0695.*
+ 16aab: 7887.*
+ 16aac: 0696.*
+ 16aad: 7987.*
+ 16aae: 0697.*
+ 16aaf: 788f.*
+ 16ab0: 0698.*
+ 16ab1: 798f.*
+ 16ab2: 0699.*
+ 16ab3: 7897.*
+ 16ab4: 069a.*
+ 16ab5: 7997.*
+ 16ab6: 069b.*
+ 16ab7: 78a7.*
+ 16ab8: 069c.*
+ 16ab9: 79a7.*
+ 16aba: 069d.*
+ 16abb: 78af.*
+ 16abc: 069e.*
+ 16abd: 79af.*
+ 16abe: 069f.*
+ 16abf: 78b7.*
+ 16ac0: 06a0.*
+ 16ac1: 79b7.*
+ 16ac2: 06a1.*
+ 16ac3: 78bf.*
+ 16ac4: 06a2.*
+ 16ac5: 79bf.*
+ 16ac6: 06a3.*
+ 16ac7: 78c7.*
+ 16ac8: 06a4.*
+ 16ac9: 79c7.*
+ 16aca: 06a5.*
+ 16acb: 78cf.*
+ 16acc: 06a6.*
+ 16acd: 79cf.*
+ 16ace: 06a7.*
+ 16acf: 78d7.*
+ 16ad0: 06a8.*
+ 16ad1: 79d7.*
+ 16ad2: 06a9.*
+ 16ad3: 78df.*
+ 16ad4: 06aa.*
+ 16ad5: 79df.*
+ 16ad6: 06ab.*
+ 16ad7: 78e7.*
+ 16ad8: 2c58.*
+ 16ad9: 06ac.*
+ 16ada: 79e7.*
+ 16adb: 2c59.*
+ 16adc: 06ad.*
+ 16add: 78ef.*
+ 16ade: 2c5a.*
+ 16adf: 06ae.*
+ 16ae0: 79ef.*
+ 16ae1: 2c5b.*
+ 16ae2: 06af.*
+ 16ae3: 78f7.*
+ 16ae4: 2c5c.*
+ 16ae5: 06b0.*
+ 16ae6: 79f7.*
+ 16ae7: 2c5d.*
+ 16ae8: 06b1.*
+ 16ae9: 78f8.*
+ 16aea: 2c5e.*
+ 16aeb: 06b2.*
+ 16aec: 79f8.*
+ 16aed: 2c5f.*
+ 16aee: 06b3.*
+ 16aef: 2a4e.*
+ 16af0: 2b4f.*
+ 16af1: 2a80.*
+ 16af2: 2b80.*
+ 16af3: 2a88.*
+ 16af4: 2b88.*
+ 16af5: 2a90.*
+ 16af6: 2b90.*
+ 16af7: 2aa0.*
+ 16af8: 2ba0.*
+ 16af9: 2aa8.*
+ 16afa: 2ba8.*
+ 16afb: 2ab0.*
+ 16afc: 2bb0.*
+ 16afd: 2ab8.*
+ 16afe: 2bb8.*
+ 16aff: 2ac0.*
+ 16b00: 2bc0.*
+ 16b01: 2ac8.*
+ 16b02: 2bc8.*
+ 16b03: 2ad0.*
+ 16b04: 2bd0.*
+ 16b05: 2ad8.*
+ 16b06: 2bd8.*
+ 16b07: 2ae0.*
+ 16b08: 2c60.*
+ 16b09: 2be0.*
+ 16b0a: 2c61.*
+ 16b0b: 2ae8.*
+ 16b0c: 2c62.*
+ 16b0d: 2be8.*
+ 16b0e: 2c63.*
+ 16b0f: 2af0.*
+ 16b10: 2c64.*
+ 16b11: 2bf0.*
+ 16b12: 2c65.*
+ 16b13: 2af8.*
+ 16b14: 2c66.*
+ 16b15: 2bf8.*
+ 16b16: 2c67.*
+ 16b17: 2a81.*
+ 16b18: 2b81.*
+ 16b19: 2a89.*
+ 16b1a: 2b89.*
+ 16b1b: 2a91.*
+ 16b1c: 2b91.*
+ 16b1d: 2aa1.*
+ 16b1e: 2ba1.*
+ 16b1f: 2aa9.*
+ 16b20: 2ba9.*
+ 16b21: 2ab1.*
+ 16b22: 2bb1.*
+ 16b23: 2ab9.*
+ 16b24: 2bb9.*
+ 16b25: 2ac1.*
+ 16b26: 2bc1.*
+ 16b27: 2ac9.*
+ 16b28: 2bc9.*
+ 16b29: 2ad1.*
+ 16b2a: 2bd1.*
+ 16b2b: 2ad9.*
+ 16b2c: 2bd9.*
+ 16b2d: 2ae1.*
+ 16b2e: 2c68.*
+ 16b2f: 2be1.*
+ 16b30: 2c69.*
+ 16b31: 2ae9.*
+ 16b32: 2c6a.*
+ 16b33: 2be9.*
+ 16b34: 2c6b.*
+ 16b35: 2af1.*
+ 16b36: 2c6c.*
+ 16b37: 2bf1.*
+ 16b38: 2c6d.*
+ 16b39: 2af8.*
+ 16b3a: 2c6e.*
+ 16b3b: 2bf8.*
+ 16b3c: 2c6f.*
+ 16b3d: 2a82.*
+ 16b3e: 2b82.*
+ 16b3f: 2a8a.*
+ 16b40: 2b8a.*
+ 16b41: 2a92.*
+ 16b42: 2b92.*
+ 16b43: 2aa2.*
+ 16b44: 2ba2.*
+ 16b45: 2aaa.*
+ 16b46: 2baa.*
+ 16b47: 2ab2.*
+ 16b48: 2bb2.*
+ 16b49: 2aba.*
+ 16b4a: 2bba.*
+ 16b4b: 2ac2.*
+ 16b4c: 2bc2.*
+ 16b4d: 2aca.*
+ 16b4e: 2bca.*
+ 16b4f: 2ad2.*
+ 16b50: 2bd2.*
+ 16b51: 2ada.*
+ 16b52: 2bda.*
+ 16b53: 2ae2.*
+ 16b54: 2c70.*
+ 16b55: 2be2.*
+ 16b56: 2c71.*
+ 16b57: 2aea.*
+ 16b58: 2c72.*
+ 16b59: 2bea.*
+ 16b5a: 2c73.*
+ 16b5b: 2af2.*
+ 16b5c: 2c74.*
+ 16b5d: 2bf2.*
+ 16b5e: 2c75.*
+ 16b5f: 2af8.*
+ 16b60: 2c76.*
+ 16b61: 2bf8.*
+ 16b62: 2c77.*
+ 16b63: 2a83.*
+ 16b64: 2b83.*
+ 16b65: 2a8b.*
+ 16b66: 2b8b.*
+ 16b67: 2a93.*
+ 16b68: 2b93.*
+ 16b69: 2aa3.*
+ 16b6a: 2ba3.*
+ 16b6b: 2aab.*
+ 16b6c: 2bab.*
+ 16b6d: 2ab3.*
+ 16b6e: 2bb3.*
+ 16b6f: 2abb.*
+ 16b70: 2bbb.*
+ 16b71: 2ac3.*
+ 16b72: 2bc3.*
+ 16b73: 2acb.*
+ 16b74: 2bcb.*
+ 16b75: 2ad3.*
+ 16b76: 2bd3.*
+ 16b77: 2adb.*
+ 16b78: 2bdb.*
+ 16b79: 2ae3.*
+ 16b7a: 2c78.*
+ 16b7b: 2be3.*
+ 16b7c: 2c79.*
+ 16b7d: 2aeb.*
+ 16b7e: 2c7a.*
+ 16b7f: 2beb.*
+ 16b80: 2c7b.*
+ 16b81: 2af3.*
+ 16b82: 2c7c.*
+ 16b83: 2bf3.*
+ 16b84: 2c7d.*
+ 16b85: 2af8.*
+ 16b86: 2c7e.*
+ 16b87: 2bf8.*
+ 16b88: 2c7f.*
+ 16b89: 2a84.*
+ 16b8a: 2b84.*
+ 16b8b: 2a8c.*
+ 16b8c: 2b8c.*
+ 16b8d: 2a94.*
+ 16b8e: 2b94.*
+ 16b8f: 2aa4.*
+ 16b90: 2ba4.*
+ 16b91: 2aac.*
+ 16b92: 2bac.*
+ 16b93: 2ab4.*
+ 16b94: 2bb4.*
+ 16b95: 2abc.*
+ 16b96: 2bbc.*
+ 16b97: 2ac4.*
+ 16b98: 2bc4.*
+ 16b99: 2acc.*
+ 16b9a: 2bcc.*
+ 16b9b: 2ad4.*
+ 16b9c: 2bd4.*
+ 16b9d: 2adc.*
+ 16b9e: 2bdc.*
+ 16b9f: 2ae4.*
+ 16ba0: 2c80.*
+ 16ba1: 2be4.*
+ 16ba2: 2c81.*
+ 16ba3: 2aec.*
+ 16ba4: 2c82.*
+ 16ba5: 2bec.*
+ 16ba6: 2c83.*
+ 16ba7: 2af4.*
+ 16ba8: 2c84.*
+ 16ba9: 2bf4.*
+ 16baa: 2c85.*
+ 16bab: 2af8.*
+ 16bac: 2c86.*
+ 16bad: 2bf8.*
+ 16bae: 2c87.*
+ 16baf: 2a85.*
+ 16bb0: 2b85.*
+ 16bb1: 2a8d.*
+ 16bb2: 2b8d.*
+ 16bb3: 2a95.*
+ 16bb4: 2b95.*
+ 16bb5: 2aa5.*
+ 16bb6: 2ba5.*
+ 16bb7: 2aad.*
+ 16bb8: 2bad.*
+ 16bb9: 2ab5.*
+ 16bba: 2bb5.*
+ 16bbb: 2abd.*
+ 16bbc: 2bbd.*
+ 16bbd: 2ac5.*
+ 16bbe: 2bc5.*
+ 16bbf: 2acd.*
+ 16bc0: 2bcd.*
+ 16bc1: 2ad5.*
+ 16bc2: 2bd5.*
+ 16bc3: 2add.*
+ 16bc4: 2bdd.*
+ 16bc5: 2ae5.*
+ 16bc6: 2c88.*
+ 16bc7: 2be5.*
+ 16bc8: 2c89.*
+ 16bc9: 2aed.*
+ 16bca: 2c8a.*
+ 16bcb: 2bed.*
+ 16bcc: 2c8b.*
+ 16bcd: 2af5.*
+ 16bce: 2c8c.*
+ 16bcf: 2bf5.*
+ 16bd0: 2c8d.*
+ 16bd1: 2af8.*
+ 16bd2: 2c8e.*
+ 16bd3: 2bf8.*
+ 16bd4: 2c8f.*
+ 16bd5: 2a86.*
+ 16bd6: 2b86.*
+ 16bd7: 2a8e.*
+ 16bd8: 2b8e.*
+ 16bd9: 2a96.*
+ 16bda: 2b96.*
+ 16bdb: 2aa6.*
+ 16bdc: 2ba6.*
+ 16bdd: 2aae.*
+ 16bde: 2bae.*
+ 16bdf: 2ab6.*
+ 16be0: 2bb6.*
+ 16be1: 2abe.*
+ 16be2: 2bbe.*
+ 16be3: 2ac6.*
+ 16be4: 2bc6.*
+ 16be5: 2ace.*
+ 16be6: 2bce.*
+ 16be7: 2ad6.*
+ 16be8: 2bd6.*
+ 16be9: 2ade.*
+ 16bea: 2bde.*
+ 16beb: 2ae6.*
+ 16bec: 2c90.*
+ 16bed: 2be6.*
+ 16bee: 2c91.*
+ 16bef: 2aee.*
+ 16bf0: 2c92.*
+ 16bf1: 2bee.*
+ 16bf2: 2c93.*
+ 16bf3: 2af6.*
+ 16bf4: 2c94.*
+ 16bf5: 2bf6.*
+ 16bf6: 2c95.*
+ 16bf7: 2af8.*
+ 16bf8: 2c96.*
+ 16bf9: 2bf8.*
+ 16bfa: 2c97.*
+ 16bfb: 2a87.*
+ 16bfc: 2b87.*
+ 16bfd: 2a8f.*
+ 16bfe: 2b8f.*
+ 16bff: 2a97.*
+ 16c00: 2b97.*
+ 16c01: 2aa7.*
+ 16c02: 2ba7.*
+ 16c03: 2aaf.*
+ 16c04: 2baf.*
+ 16c05: 2ab7.*
+ 16c06: 2bb7.*
+ 16c07: 2abf.*
+ 16c08: 2bbf.*
+ 16c09: 2ac7.*
+ 16c0a: 2bc7.*
+ 16c0b: 2acf.*
+ 16c0c: 2bcf.*
+ 16c0d: 2ad7.*
+ 16c0e: 2bd7.*
+ 16c0f: 2adf.*
+ 16c10: 2bdf.*
+ 16c11: 2ae7.*
+ 16c12: 2c98.*
+ 16c13: 2be7.*
+ 16c14: 2c99.*
+ 16c15: 2aef.*
+ 16c16: 2c9a.*
+ 16c17: 2bef.*
+ 16c18: 2c9b.*
+ 16c19: 2af7.*
+ 16c1a: 2c9c.*
+ 16c1b: 2bf7.*
+ 16c1c: 2c9d.*
+ 16c1d: 2af8.*
+ 16c1e: 2c9e.*
+ 16c1f: 2bf8.*
+ 16c20: 2c9f.*
+ 16c21: b400.*
+ 16c22: b500.*
+ 16c23: b400.*
+ 16c24: b600.*
+ 16c25: b700.*
+ 16c26: b700.*
+ 16c27: b404.*
+ 16c28: b504.*
+ 16c29: b404.*
+ 16c2a: b604.*
+ 16c2b: b704.*
+ 16c2c: b704.*
+ 16c2d: b408.*
+ 16c2e: b508.*
+ 16c2f: b408.*
+ 16c30: b608.*
+ 16c31: b708.*
+ 16c32: b708.*
+ 16c33: b40c.*
+ 16c34: b50c.*
+ 16c35: b40c.*
+ 16c36: b60c.*
+ 16c37: b70c.*
+ 16c38: b70c.*
+ 16c39: b401.*
+ 16c3a: b501.*
+ 16c3b: b401.*
+ 16c3c: b601.*
+ 16c3d: b701.*
+ 16c3e: b701.*
+ 16c3f: b405.*
+ 16c40: b505.*
+ 16c41: b405.*
+ 16c42: b605.*
+ 16c43: b705.*
+ 16c44: b705.*
+ 16c45: b409.*
+ 16c46: b509.*
+ 16c47: b409.*
+ 16c48: b609.*
+ 16c49: b709.*
+ 16c4a: b709.*
+ 16c4b: b40d.*
+ 16c4c: b50d.*
+ 16c4d: b40d.*
+ 16c4e: b60d.*
+ 16c4f: b70d.*
+ 16c50: b70d.*
+ 16c51: b402.*
+ 16c52: b502.*
+ 16c53: b402.*
+ 16c54: b602.*
+ 16c55: b702.*
+ 16c56: b702.*
+ 16c57: b406.*
+ 16c58: b506.*
+ 16c59: b406.*
+ 16c5a: b606.*
+ 16c5b: b706.*
+ 16c5c: b706.*
+ 16c5d: b40a.*
+ 16c5e: b50a.*
+ 16c5f: b40a.*
+ 16c60: b60a.*
+ 16c61: b70a.*
+ 16c62: b70a.*
+ 16c63: b40e.*
+ 16c64: b50e.*
+ 16c65: b40e.*
+ 16c66: b60e.*
+ 16c67: b70e.*
+ 16c68: b70e.*
+ 16c69: b403.*
+ 16c6a: b503.*
+ 16c6b: b403.*
+ 16c6c: b603.*
+ 16c6d: b703.*
+ 16c6e: b703.*
+ 16c6f: b407.*
+ 16c70: b507.*
+ 16c71: b407.*
+ 16c72: b607.*
+ 16c73: b707.*
+ 16c74: b707.*
+ 16c75: b40b.*
+ 16c76: b50b.*
+ 16c77: b40b.*
+ 16c78: b60b.*
+ 16c79: b70b.*
+ 16c7a: b70b.*
+ 16c7b: b40f.*
+ 16c7c: b50f.*
+ 16c7d: b40f.*
+ 16c7e: b60f.*
+ 16c7f: b70f.*
+ 16c80: b70f.*
+ 16c81: b440.*
+ 16c82: b540.*
+ 16c83: b440.*
+ 16c84: b640.*
+ 16c85: b740.*
+ 16c86: b740.*
+ 16c87: b444.*
+ 16c88: b544.*
+ 16c89: b444.*
+ 16c8a: b644.*
+ 16c8b: b744.*
+ 16c8c: b744.*
+ 16c8d: b448.*
+ 16c8e: b548.*
+ 16c8f: b448.*
+ 16c90: b648.*
+ 16c91: b748.*
+ 16c92: b748.*
+ 16c93: b44c.*
+ 16c94: b54c.*
+ 16c95: b44c.*
+ 16c96: b64c.*
+ 16c97: b74c.*
+ 16c98: b74c.*
+ 16c99: b441.*
+ 16c9a: b541.*
+ 16c9b: b441.*
+ 16c9c: b641.*
+ 16c9d: b741.*
+ 16c9e: b741.*
+ 16c9f: b445.*
+ 16ca0: b545.*
+ 16ca1: b445.*
+ 16ca2: b645.*
+ 16ca3: b745.*
+ 16ca4: b745.*
+ 16ca5: b449.*
+ 16ca6: b549.*
+ 16ca7: b449.*
+ 16ca8: b649.*
+ 16ca9: b749.*
+ 16caa: b749.*
+ 16cab: b44d.*
+ 16cac: b54d.*
+ 16cad: b44d.*
+ 16cae: b64d.*
+ 16caf: b74d.*
+ 16cb0: b74d.*
+ 16cb1: b442.*
+ 16cb2: b542.*
+ 16cb3: b442.*
+ 16cb4: b642.*
+ 16cb5: b742.*
+ 16cb6: b742.*
+ 16cb7: b446.*
+ 16cb8: b546.*
+ 16cb9: b446.*
+ 16cba: b646.*
+ 16cbb: b746.*
+ 16cbc: b746.*
+ 16cbd: b44a.*
+ 16cbe: b54a.*
+ 16cbf: b44a.*
+ 16cc0: b64a.*
+ 16cc1: b74a.*
+ 16cc2: b74a.*
+ 16cc3: b44e.*
+ 16cc4: b54e.*
+ 16cc5: b44e.*
+ 16cc6: b64e.*
+ 16cc7: b74e.*
+ 16cc8: b74e.*
+ 16cc9: b443.*
+ 16cca: b543.*
+ 16ccb: b443.*
+ 16ccc: b643.*
+ 16ccd: b743.*
+ 16cce: b743.*
+ 16ccf: b447.*
+ 16cd0: b547.*
+ 16cd1: b447.*
+ 16cd2: b647.*
+ 16cd3: b747.*
+ 16cd4: b747.*
+ 16cd5: b44b.*
+ 16cd6: b54b.*
+ 16cd7: b44b.*
+ 16cd8: b64b.*
+ 16cd9: b74b.*
+ 16cda: b74b.*
+ 16cdb: b44f.*
+ 16cdc: b54f.*
+ 16cdd: b44f.*
+ 16cde: b64f.*
+ 16cdf: b74f.*
+ 16ce0: b74f.*
+ 16ce1: b480.*
+ 16ce2: b580.*
+ 16ce3: b480.*
+ 16ce4: b680.*
+ 16ce5: b780.*
+ 16ce6: b780.*
+ 16ce7: b484.*
+ 16ce8: b584.*
+ 16ce9: b484.*
+ 16cea: b684.*
+ 16ceb: b784.*
+ 16cec: b784.*
+ 16ced: b488.*
+ 16cee: b588.*
+ 16cef: b488.*
+ 16cf0: b688.*
+ 16cf1: b788.*
+ 16cf2: b788.*
+ 16cf3: b48c.*
+ 16cf4: b58c.*
+ 16cf5: b48c.*
+ 16cf6: b68c.*
+ 16cf7: b78c.*
+ 16cf8: b78c.*
+ 16cf9: b481.*
+ 16cfa: b581.*
+ 16cfb: b481.*
+ 16cfc: b681.*
+ 16cfd: b781.*
+ 16cfe: b781.*
+ 16cff: b485.*
+ 16d00: b585.*
+ 16d01: b485.*
+ 16d02: b685.*
+ 16d03: b785.*
+ 16d04: b785.*
+ 16d05: b489.*
+ 16d06: b589.*
+ 16d07: b489.*
+ 16d08: b689.*
+ 16d09: b789.*
+ 16d0a: b789.*
+ 16d0b: b48d.*
+ 16d0c: b58d.*
+ 16d0d: b48d.*
+ 16d0e: b68d.*
+ 16d0f: b78d.*
+ 16d10: b78d.*
+ 16d11: b482.*
+ 16d12: b582.*
+ 16d13: b482.*
+ 16d14: b682.*
+ 16d15: b782.*
+ 16d16: b782.*
+ 16d17: b486.*
+ 16d18: b586.*
+ 16d19: b486.*
+ 16d1a: b686.*
+ 16d1b: b786.*
+ 16d1c: b786.*
+ 16d1d: b48a.*
+ 16d1e: b58a.*
+ 16d1f: b48a.*
+ 16d20: b68a.*
+ 16d21: b78a.*
+ 16d22: b78a.*
+ 16d23: b48e.*
+ 16d24: b58e.*
+ 16d25: b48e.*
+ 16d26: b68e.*
+ 16d27: b78e.*
+ 16d28: b78e.*
+ 16d29: b483.*
+ 16d2a: b583.*
+ 16d2b: b483.*
+ 16d2c: b683.*
+ 16d2d: b783.*
+ 16d2e: b783.*
+ 16d2f: b487.*
+ 16d30: b587.*
+ 16d31: b487.*
+ 16d32: b687.*
+ 16d33: b787.*
+ 16d34: b787.*
+ 16d35: b48b.*
+ 16d36: b58b.*
+ 16d37: b48b.*
+ 16d38: b68b.*
+ 16d39: b78b.*
+ 16d3a: b78b.*
+ 16d3b: b48f.*
+ 16d3c: b58f.*
+ 16d3d: b48f.*
+ 16d3e: b68f.*
+ 16d3f: b78f.*
+ 16d40: b78f.*
+ 16d41: b4c0.*
+ 16d42: b5c0.*
+ 16d43: b4c0.*
+ 16d44: b6c0.*
+ 16d45: b7c0.*
+ 16d46: b7c0.*
+ 16d47: b4c4.*
+ 16d48: b5c4.*
+ 16d49: b4c4.*
+ 16d4a: b6c4.*
+ 16d4b: b7c4.*
+ 16d4c: b7c4.*
+ 16d4d: b4c8.*
+ 16d4e: b5c8.*
+ 16d4f: b4c8.*
+ 16d50: b6c8.*
+ 16d51: b7c8.*
+ 16d52: b7c8.*
+ 16d53: b4cc.*
+ 16d54: b5cc.*
+ 16d55: b4cc.*
+ 16d56: b6cc.*
+ 16d57: b7cc.*
+ 16d58: b7cc.*
+ 16d59: b4c1.*
+ 16d5a: b5c1.*
+ 16d5b: b4c1.*
+ 16d5c: b6c1.*
+ 16d5d: b7c1.*
+ 16d5e: b7c1.*
+ 16d5f: b4c5.*
+ 16d60: b5c5.*
+ 16d61: b4c5.*
+ 16d62: b6c5.*
+ 16d63: b7c5.*
+ 16d64: b7c5.*
+ 16d65: b4c9.*
+ 16d66: b5c9.*
+ 16d67: b4c9.*
+ 16d68: b6c9.*
+ 16d69: b7c9.*
+ 16d6a: b7c9.*
+ 16d6b: b4cd.*
+ 16d6c: b5cd.*
+ 16d6d: b4cd.*
+ 16d6e: b6cd.*
+ 16d6f: b7cd.*
+ 16d70: b7cd.*
+ 16d71: b4c2.*
+ 16d72: b5c2.*
+ 16d73: b4c2.*
+ 16d74: b6c2.*
+ 16d75: b7c2.*
+ 16d76: b7c2.*
+ 16d77: b4c6.*
+ 16d78: b5c6.*
+ 16d79: b4c6.*
+ 16d7a: b6c6.*
+ 16d7b: b7c6.*
+ 16d7c: b7c6.*
+ 16d7d: b4ca.*
+ 16d7e: b5ca.*
+ 16d7f: b4ca.*
+ 16d80: b6ca.*
+ 16d81: b7ca.*
+ 16d82: b7ca.*
+ 16d83: b4ce.*
+ 16d84: b5ce.*
+ 16d85: b4ce.*
+ 16d86: b6ce.*
+ 16d87: b7ce.*
+ 16d88: b7ce.*
+ 16d89: b4c3.*
+ 16d8a: b5c3.*
+ 16d8b: b4c3.*
+ 16d8c: b6c3.*
+ 16d8d: b7c3.*
+ 16d8e: b7c3.*
+ 16d8f: b4c7.*
+ 16d90: b5c7.*
+ 16d91: b4c7.*
+ 16d92: b6c7.*
+ 16d93: b7c7.*
+ 16d94: b7c7.*
+ 16d95: b4cb.*
+ 16d96: b5cb.*
+ 16d97: b4cb.*
+ 16d98: b6cb.*
+ 16d99: b7cb.*
+ 16d9a: b7cb.*
+ 16d9b: b4cf.*
+ 16d9c: b5cf.*
+ 16d9d: b4cf.*
+ 16d9e: b6cf.*
+ 16d9f: b7cf.*
+ 16da0: b7cf.*
+ 16da1: b410.*
+ 16da2: b510.*
+ 16da3: b410.*
+ 16da4: b610.*
+ 16da5: b710.*
+ 16da6: b710.*
+ 16da7: b414.*
+ 16da8: b514.*
+ 16da9: b414.*
+ 16daa: b614.*
+ 16dab: b714.*
+ 16dac: b714.*
+ 16dad: b418.*
+ 16dae: b518.*
+ 16daf: b418.*
+ 16db0: b618.*
+ 16db1: b718.*
+ 16db2: b718.*
+ 16db3: b41c.*
+ 16db4: b51c.*
+ 16db5: b41c.*
+ 16db6: b61c.*
+ 16db7: b71c.*
+ 16db8: b71c.*
+ 16db9: b411.*
+ 16dba: b511.*
+ 16dbb: b411.*
+ 16dbc: b611.*
+ 16dbd: b711.*
+ 16dbe: b711.*
+ 16dbf: b415.*
+ 16dc0: b515.*
+ 16dc1: b415.*
+ 16dc2: b615.*
+ 16dc3: b715.*
+ 16dc4: b715.*
+ 16dc5: b419.*
+ 16dc6: b519.*
+ 16dc7: b419.*
+ 16dc8: b619.*
+ 16dc9: b719.*
+ 16dca: b719.*
+ 16dcb: b41d.*
+ 16dcc: b51d.*
+ 16dcd: b41d.*
+ 16dce: b61d.*
+ 16dcf: b71d.*
+ 16dd0: b71d.*
+ 16dd1: b412.*
+ 16dd2: b512.*
+ 16dd3: b412.*
+ 16dd4: b612.*
+ 16dd5: b712.*
+ 16dd6: b712.*
+ 16dd7: b416.*
+ 16dd8: b516.*
+ 16dd9: b416.*
+ 16dda: b616.*
+ 16ddb: b716.*
+ 16ddc: b716.*
+ 16ddd: b41a.*
+ 16dde: b51a.*
+ 16ddf: b41a.*
+ 16de0: b61a.*
+ 16de1: b71a.*
+ 16de2: b71a.*
+ 16de3: b41e.*
+ 16de4: b51e.*
+ 16de5: b41e.*
+ 16de6: b61e.*
+ 16de7: b71e.*
+ 16de8: b71e.*
+ 16de9: b413.*
+ 16dea: b513.*
+ 16deb: b413.*
+ 16dec: b613.*
+ 16ded: b713.*
+ 16dee: b713.*
+ 16def: b417.*
+ 16df0: b517.*
+ 16df1: b417.*
+ 16df2: b617.*
+ 16df3: b717.*
+ 16df4: b717.*
+ 16df5: b41b.*
+ 16df6: b51b.*
+ 16df7: b41b.*
+ 16df8: b61b.*
+ 16df9: b71b.*
+ 16dfa: b71b.*
+ 16dfb: b41f.*
+ 16dfc: b51f.*
+ 16dfd: b41f.*
+ 16dfe: b61f.*
+ 16dff: b71f.*
+ 16e00: b71f.*
+ 16e01: b450.*
+ 16e02: b550.*
+ 16e03: b450.*
+ 16e04: b650.*
+ 16e05: b750.*
+ 16e06: b750.*
+ 16e07: b454.*
+ 16e08: b554.*
+ 16e09: b454.*
+ 16e0a: b654.*
+ 16e0b: b754.*
+ 16e0c: b754.*
+ 16e0d: b458.*
+ 16e0e: b558.*
+ 16e0f: b458.*
+ 16e10: b658.*
+ 16e11: b758.*
+ 16e12: b758.*
+ 16e13: b45c.*
+ 16e14: b55c.*
+ 16e15: b45c.*
+ 16e16: b65c.*
+ 16e17: b75c.*
+ 16e18: b75c.*
+ 16e19: b451.*
+ 16e1a: b551.*
+ 16e1b: b451.*
+ 16e1c: b651.*
+ 16e1d: b751.*
+ 16e1e: b751.*
+ 16e1f: b455.*
+ 16e20: b555.*
+ 16e21: b455.*
+ 16e22: b655.*
+ 16e23: b755.*
+ 16e24: b755.*
+ 16e25: b459.*
+ 16e26: b559.*
+ 16e27: b459.*
+ 16e28: b659.*
+ 16e29: b759.*
+ 16e2a: b759.*
+ 16e2b: b45d.*
+ 16e2c: b55d.*
+ 16e2d: b45d.*
+ 16e2e: b65d.*
+ 16e2f: b75d.*
+ 16e30: b75d.*
+ 16e31: b452.*
+ 16e32: b552.*
+ 16e33: b452.*
+ 16e34: b652.*
+ 16e35: b752.*
+ 16e36: b752.*
+ 16e37: b456.*
+ 16e38: b556.*
+ 16e39: b456.*
+ 16e3a: b656.*
+ 16e3b: b756.*
+ 16e3c: b756.*
+ 16e3d: b45a.*
+ 16e3e: b55a.*
+ 16e3f: b45a.*
+ 16e40: b65a.*
+ 16e41: b75a.*
+ 16e42: b75a.*
+ 16e43: b45e.*
+ 16e44: b55e.*
+ 16e45: b45e.*
+ 16e46: b65e.*
+ 16e47: b75e.*
+ 16e48: b75e.*
+ 16e49: b453.*
+ 16e4a: b553.*
+ 16e4b: b453.*
+ 16e4c: b653.*
+ 16e4d: b753.*
+ 16e4e: b753.*
+ 16e4f: b457.*
+ 16e50: b557.*
+ 16e51: b457.*
+ 16e52: b657.*
+ 16e53: b757.*
+ 16e54: b757.*
+ 16e55: b45b.*
+ 16e56: b55b.*
+ 16e57: b45b.*
+ 16e58: b65b.*
+ 16e59: b75b.*
+ 16e5a: b75b.*
+ 16e5b: b45f.*
+ 16e5c: b55f.*
+ 16e5d: b45f.*
+ 16e5e: b65f.*
+ 16e5f: b75f.*
+ 16e60: b75f.*
+ 16e61: b490.*
+ 16e62: b590.*
+ 16e63: b490.*
+ 16e64: b690.*
+ 16e65: b790.*
+ 16e66: b790.*
+ 16e67: b494.*
+ 16e68: b594.*
+ 16e69: b494.*
+ 16e6a: b694.*
+ 16e6b: b794.*
+ 16e6c: b794.*
+ 16e6d: b498.*
+ 16e6e: b598.*
+ 16e6f: b498.*
+ 16e70: b698.*
+ 16e71: b798.*
+ 16e72: b798.*
+ 16e73: b49c.*
+ 16e74: b59c.*
+ 16e75: b49c.*
+ 16e76: b69c.*
+ 16e77: b79c.*
+ 16e78: b79c.*
+ 16e79: b491.*
+ 16e7a: b591.*
+ 16e7b: b491.*
+ 16e7c: b691.*
+ 16e7d: b791.*
+ 16e7e: b791.*
+ 16e7f: b495.*
+ 16e80: b595.*
+ 16e81: b495.*
+ 16e82: b695.*
+ 16e83: b795.*
+ 16e84: b795.*
+ 16e85: b499.*
+ 16e86: b599.*
+ 16e87: b499.*
+ 16e88: b699.*
+ 16e89: b799.*
+ 16e8a: b799.*
+ 16e8b: b49d.*
+ 16e8c: b59d.*
+ 16e8d: b49d.*
+ 16e8e: b69d.*
+ 16e8f: b79d.*
+ 16e90: b79d.*
+ 16e91: b492.*
+ 16e92: b592.*
+ 16e93: b492.*
+ 16e94: b692.*
+ 16e95: b792.*
+ 16e96: b792.*
+ 16e97: b496.*
+ 16e98: b596.*
+ 16e99: b496.*
+ 16e9a: b696.*
+ 16e9b: b796.*
+ 16e9c: b796.*
+ 16e9d: b49a.*
+ 16e9e: b59a.*
+ 16e9f: b49a.*
+ 16ea0: b69a.*
+ 16ea1: b79a.*
+ 16ea2: b79a.*
+ 16ea3: b49e.*
+ 16ea4: b59e.*
+ 16ea5: b49e.*
+ 16ea6: b69e.*
+ 16ea7: b79e.*
+ 16ea8: b79e.*
+ 16ea9: b493.*
+ 16eaa: b593.*
+ 16eab: b493.*
+ 16eac: b693.*
+ 16ead: b793.*
+ 16eae: b793.*
+ 16eaf: b497.*
+ 16eb0: b597.*
+ 16eb1: b497.*
+ 16eb2: b697.*
+ 16eb3: b797.*
+ 16eb4: b797.*
+ 16eb5: b49b.*
+ 16eb6: b59b.*
+ 16eb7: b49b.*
+ 16eb8: b69b.*
+ 16eb9: b79b.*
+ 16eba: b79b.*
+ 16ebb: b49f.*
+ 16ebc: b59f.*
+ 16ebd: b49f.*
+ 16ebe: b69f.*
+ 16ebf: b79f.*
+ 16ec0: b79f.*
+ 16ec1: b4d0.*
+ 16ec2: b5d0.*
+ 16ec3: b4d0.*
+ 16ec4: b6d0.*
+ 16ec5: b7d0.*
+ 16ec6: b7d0.*
+ 16ec7: b4d4.*
+ 16ec8: b5d4.*
+ 16ec9: b4d4.*
+ 16eca: b6d4.*
+ 16ecb: b7d4.*
+ 16ecc: b7d4.*
+ 16ecd: b4d8.*
+ 16ece: b5d8.*
+ 16ecf: b4d8.*
+ 16ed0: b6d8.*
+ 16ed1: b7d8.*
+ 16ed2: b7d8.*
+ 16ed3: b4dc.*
+ 16ed4: b5dc.*
+ 16ed5: b4dc.*
+ 16ed6: b6dc.*
+ 16ed7: b7dc.*
+ 16ed8: b7dc.*
+ 16ed9: b4d1.*
+ 16eda: b5d1.*
+ 16edb: b4d1.*
+ 16edc: b6d1.*
+ 16edd: b7d1.*
+ 16ede: b7d1.*
+ 16edf: b4d5.*
+ 16ee0: b5d5.*
+ 16ee1: b4d5.*
+ 16ee2: b6d5.*
+ 16ee3: b7d5.*
+ 16ee4: b7d5.*
+ 16ee5: b4d9.*
+ 16ee6: b5d9.*
+ 16ee7: b4d9.*
+ 16ee8: b6d9.*
+ 16ee9: b7d9.*
+ 16eea: b7d9.*
+ 16eeb: b4dd.*
+ 16eec: b5dd.*
+ 16eed: b4dd.*
+ 16eee: b6dd.*
+ 16eef: b7dd.*
+ 16ef0: b7dd.*
+ 16ef1: b4d2.*
+ 16ef2: b5d2.*
+ 16ef3: b4d2.*
+ 16ef4: b6d2.*
+ 16ef5: b7d2.*
+ 16ef6: b7d2.*
+ 16ef7: b4d6.*
+ 16ef8: b5d6.*
+ 16ef9: b4d6.*
+ 16efa: b6d6.*
+ 16efb: b7d6.*
+ 16efc: b7d6.*
+ 16efd: b4da.*
+ 16efe: b5da.*
+ 16eff: b4da.*
+ 16f00: b6da.*
+ 16f01: b7da.*
+ 16f02: b7da.*
+ 16f03: b4de.*
+ 16f04: b5de.*
+ 16f05: b4de.*
+ 16f06: b6de.*
+ 16f07: b7de.*
+ 16f08: b7de.*
+ 16f09: b4d3.*
+ 16f0a: b5d3.*
+ 16f0b: b4d3.*
+ 16f0c: b6d3.*
+ 16f0d: b7d3.*
+ 16f0e: b7d3.*
+ 16f0f: b4d7.*
+ 16f10: b5d7.*
+ 16f11: b4d7.*
+ 16f12: b6d7.*
+ 16f13: b7d7.*
+ 16f14: b7d7.*
+ 16f15: b4db.*
+ 16f16: b5db.*
+ 16f17: b4db.*
+ 16f18: b6db.*
+ 16f19: b7db.*
+ 16f1a: b7db.*
+ 16f1b: b4df.*
+ 16f1c: b5df.*
+ 16f1d: b4df.*
+ 16f1e: b6df.*
+ 16f1f: b7df.*
+ 16f20: b7df.*
+ 16f21: b420.*
+ 16f22: b520.*
+ 16f23: b420.*
+ 16f24: b620.*
+ 16f25: b720.*
+ 16f26: b720.*
+ 16f27: b424.*
+ 16f28: b524.*
+ 16f29: b424.*
+ 16f2a: b624.*
+ 16f2b: b724.*
+ 16f2c: b724.*
+ 16f2d: b428.*
+ 16f2e: b528.*
+ 16f2f: b428.*
+ 16f30: b628.*
+ 16f31: b728.*
+ 16f32: b728.*
+ 16f33: b42c.*
+ 16f34: b52c.*
+ 16f35: b42c.*
+ 16f36: b62c.*
+ 16f37: b72c.*
+ 16f38: b72c.*
+ 16f39: b421.*
+ 16f3a: b521.*
+ 16f3b: b421.*
+ 16f3c: b621.*
+ 16f3d: b721.*
+ 16f3e: b721.*
+ 16f3f: b425.*
+ 16f40: b525.*
+ 16f41: b425.*
+ 16f42: b625.*
+ 16f43: b725.*
+ 16f44: b725.*
+ 16f45: b429.*
+ 16f46: b529.*
+ 16f47: b429.*
+ 16f48: b629.*
+ 16f49: b729.*
+ 16f4a: b729.*
+ 16f4b: b42d.*
+ 16f4c: b52d.*
+ 16f4d: b42d.*
+ 16f4e: b62d.*
+ 16f4f: b72d.*
+ 16f50: b72d.*
+ 16f51: b422.*
+ 16f52: b522.*
+ 16f53: b422.*
+ 16f54: b622.*
+ 16f55: b722.*
+ 16f56: b722.*
+ 16f57: b426.*
+ 16f58: b526.*
+ 16f59: b426.*
+ 16f5a: b626.*
+ 16f5b: b726.*
+ 16f5c: b726.*
+ 16f5d: b42a.*
+ 16f5e: b52a.*
+ 16f5f: b42a.*
+ 16f60: b62a.*
+ 16f61: b72a.*
+ 16f62: b72a.*
+ 16f63: b42e.*
+ 16f64: b52e.*
+ 16f65: b42e.*
+ 16f66: b62e.*
+ 16f67: b72e.*
+ 16f68: b72e.*
+ 16f69: b423.*
+ 16f6a: b523.*
+ 16f6b: b423.*
+ 16f6c: b623.*
+ 16f6d: b723.*
+ 16f6e: b723.*
+ 16f6f: b427.*
+ 16f70: b527.*
+ 16f71: b427.*
+ 16f72: b627.*
+ 16f73: b727.*
+ 16f74: b727.*
+ 16f75: b42b.*
+ 16f76: b52b.*
+ 16f77: b42b.*
+ 16f78: b62b.*
+ 16f79: b72b.*
+ 16f7a: b72b.*
+ 16f7b: b42f.*
+ 16f7c: b52f.*
+ 16f7d: b42f.*
+ 16f7e: b62f.*
+ 16f7f: b72f.*
+ 16f80: b72f.*
+ 16f81: b460.*
+ 16f82: b560.*
+ 16f83: b460.*
+ 16f84: b660.*
+ 16f85: b760.*
+ 16f86: b760.*
+ 16f87: b464.*
+ 16f88: b564.*
+ 16f89: b464.*
+ 16f8a: b664.*
+ 16f8b: b764.*
+ 16f8c: b764.*
+ 16f8d: b468.*
+ 16f8e: b568.*
+ 16f8f: b468.*
+ 16f90: b668.*
+ 16f91: b768.*
+ 16f92: b768.*
+ 16f93: b46c.*
+ 16f94: b56c.*
+ 16f95: b46c.*
+ 16f96: b66c.*
+ 16f97: b76c.*
+ 16f98: b76c.*
+ 16f99: b461.*
+ 16f9a: b561.*
+ 16f9b: b461.*
+ 16f9c: b661.*
+ 16f9d: b761.*
+ 16f9e: b761.*
+ 16f9f: b465.*
+ 16fa0: b565.*
+ 16fa1: b465.*
+ 16fa2: b665.*
+ 16fa3: b765.*
+ 16fa4: b765.*
+ 16fa5: b469.*
+ 16fa6: b569.*
+ 16fa7: b469.*
+ 16fa8: b669.*
+ 16fa9: b769.*
+ 16faa: b769.*
+ 16fab: b46d.*
+ 16fac: b56d.*
+ 16fad: b46d.*
+ 16fae: b66d.*
+ 16faf: b76d.*
+ 16fb0: b76d.*
+ 16fb1: b462.*
+ 16fb2: b562.*
+ 16fb3: b462.*
+ 16fb4: b662.*
+ 16fb5: b762.*
+ 16fb6: b762.*
+ 16fb7: b466.*
+ 16fb8: b566.*
+ 16fb9: b466.*
+ 16fba: b666.*
+ 16fbb: b766.*
+ 16fbc: b766.*
+ 16fbd: b46a.*
+ 16fbe: b56a.*
+ 16fbf: b46a.*
+ 16fc0: b66a.*
+ 16fc1: b76a.*
+ 16fc2: b76a.*
+ 16fc3: b46e.*
+ 16fc4: b56e.*
+ 16fc5: b46e.*
+ 16fc6: b66e.*
+ 16fc7: b76e.*
+ 16fc8: b76e.*
+ 16fc9: b463.*
+ 16fca: b563.*
+ 16fcb: b463.*
+ 16fcc: b663.*
+ 16fcd: b763.*
+ 16fce: b763.*
+ 16fcf: b467.*
+ 16fd0: b567.*
+ 16fd1: b467.*
+ 16fd2: b667.*
+ 16fd3: b767.*
+ 16fd4: b767.*
+ 16fd5: b46b.*
+ 16fd6: b56b.*
+ 16fd7: b46b.*
+ 16fd8: b66b.*
+ 16fd9: b76b.*
+ 16fda: b76b.*
+ 16fdb: b46f.*
+ 16fdc: b56f.*
+ 16fdd: b46f.*
+ 16fde: b66f.*
+ 16fdf: b76f.*
+ 16fe0: b76f.*
+ 16fe1: b4a0.*
+ 16fe2: b5a0.*
+ 16fe3: b4a0.*
+ 16fe4: b6a0.*
+ 16fe5: b7a0.*
+ 16fe6: b7a0.*
+ 16fe7: b4a4.*
+ 16fe8: b5a4.*
+ 16fe9: b4a4.*
+ 16fea: b6a4.*
+ 16feb: b7a4.*
+ 16fec: b7a4.*
+ 16fed: b4a8.*
+ 16fee: b5a8.*
+ 16fef: b4a8.*
+ 16ff0: b6a8.*
+ 16ff1: b7a8.*
+ 16ff2: b7a8.*
+ 16ff3: b4ac.*
+ 16ff4: b5ac.*
+ 16ff5: b4ac.*
+ 16ff6: b6ac.*
+ 16ff7: b7ac.*
+ 16ff8: b7ac.*
+ 16ff9: b4a1.*
+ 16ffa: b5a1.*
+ 16ffb: b4a1.*
+ 16ffc: b6a1.*
+ 16ffd: b7a1.*
+ 16ffe: b7a1.*
+ 16fff: b4a5.*
+ 17000: b5a5.*
+ 17001: b4a5.*
+ 17002: b6a5.*
+ 17003: b7a5.*
+ 17004: b7a5.*
+ 17005: b4a9.*
+ 17006: b5a9.*
+ 17007: b4a9.*
+ 17008: b6a9.*
+ 17009: b7a9.*
+ 1700a: b7a9.*
+ 1700b: b4ad.*
+ 1700c: b5ad.*
+ 1700d: b4ad.*
+ 1700e: b6ad.*
+ 1700f: b7ad.*
+ 17010: b7ad.*
+ 17011: b4a2.*
+ 17012: b5a2.*
+ 17013: b4a2.*
+ 17014: b6a2.*
+ 17015: b7a2.*
+ 17016: b7a2.*
+ 17017: b4a6.*
+ 17018: b5a6.*
+ 17019: b4a6.*
+ 1701a: b6a6.*
+ 1701b: b7a6.*
+ 1701c: b7a6.*
+ 1701d: b4aa.*
+ 1701e: b5aa.*
+ 1701f: b4aa.*
+ 17020: b6aa.*
+ 17021: b7aa.*
+ 17022: b7aa.*
+ 17023: b4ae.*
+ 17024: b5ae.*
+ 17025: b4ae.*
+ 17026: b6ae.*
+ 17027: b7ae.*
+ 17028: b7ae.*
+ 17029: b4a3.*
+ 1702a: b5a3.*
+ 1702b: b4a3.*
+ 1702c: b6a3.*
+ 1702d: b7a3.*
+ 1702e: b7a3.*
+ 1702f: b4a7.*
+ 17030: b5a7.*
+ 17031: b4a7.*
+ 17032: b6a7.*
+ 17033: b7a7.*
+ 17034: b7a7.*
+ 17035: b4ab.*
+ 17036: b5ab.*
+ 17037: b4ab.*
+ 17038: b6ab.*
+ 17039: b7ab.*
+ 1703a: b7ab.*
+ 1703b: b4af.*
+ 1703c: b5af.*
+ 1703d: b4af.*
+ 1703e: b6af.*
+ 1703f: b7af.*
+ 17040: b7af.*
+ 17041: b4e0.*
+ 17042: b5e0.*
+ 17043: b4e0.*
+ 17044: b6e0.*
+ 17045: b7e0.*
+ 17046: b7e0.*
+ 17047: b4e4.*
+ 17048: b5e4.*
+ 17049: b4e4.*
+ 1704a: b6e4.*
+ 1704b: b7e4.*
+ 1704c: b7e4.*
+ 1704d: b4e8.*
+ 1704e: b5e8.*
+ 1704f: b4e8.*
+ 17050: b6e8.*
+ 17051: b7e8.*
+ 17052: b7e8.*
+ 17053: b4ec.*
+ 17054: b5ec.*
+ 17055: b4ec.*
+ 17056: b6ec.*
+ 17057: b7ec.*
+ 17058: b7ec.*
+ 17059: b4e1.*
+ 1705a: b5e1.*
+ 1705b: b4e1.*
+ 1705c: b6e1.*
+ 1705d: b7e1.*
+ 1705e: b7e1.*
+ 1705f: b4e5.*
+ 17060: b5e5.*
+ 17061: b4e5.*
+ 17062: b6e5.*
+ 17063: b7e5.*
+ 17064: b7e5.*
+ 17065: b4e9.*
+ 17066: b5e9.*
+ 17067: b4e9.*
+ 17068: b6e9.*
+ 17069: b7e9.*
+ 1706a: b7e9.*
+ 1706b: b4ed.*
+ 1706c: b5ed.*
+ 1706d: b4ed.*
+ 1706e: b6ed.*
+ 1706f: b7ed.*
+ 17070: b7ed.*
+ 17071: b4e2.*
+ 17072: b5e2.*
+ 17073: b4e2.*
+ 17074: b6e2.*
+ 17075: b7e2.*
+ 17076: b7e2.*
+ 17077: b4e6.*
+ 17078: b5e6.*
+ 17079: b4e6.*
+ 1707a: b6e6.*
+ 1707b: b7e6.*
+ 1707c: b7e6.*
+ 1707d: b4ea.*
+ 1707e: b5ea.*
+ 1707f: b4ea.*
+ 17080: b6ea.*
+ 17081: b7ea.*
+ 17082: b7ea.*
+ 17083: b4ee.*
+ 17084: b5ee.*
+ 17085: b4ee.*
+ 17086: b6ee.*
+ 17087: b7ee.*
+ 17088: b7ee.*
+ 17089: b4e3.*
+ 1708a: b5e3.*
+ 1708b: b4e3.*
+ 1708c: b6e3.*
+ 1708d: b7e3.*
+ 1708e: b7e3.*
+ 1708f: b4e7.*
+ 17090: b5e7.*
+ 17091: b4e7.*
+ 17092: b6e7.*
+ 17093: b7e7.*
+ 17094: b7e7.*
+ 17095: b4eb.*
+ 17096: b5eb.*
+ 17097: b4eb.*
+ 17098: b6eb.*
+ 17099: b7eb.*
+ 1709a: b7eb.*
+ 1709b: b4ef.*
+ 1709c: b5ef.*
+ 1709d: b4ef.*
+ 1709e: b6ef.*
+ 1709f: b7ef.*
+ 170a0: b7ef.*
+ 170a1: b430.*
+ 170a2: b530.*
+ 170a3: b430.*
+ 170a4: b630.*
+ 170a5: b730.*
+ 170a6: b730.*
+ 170a7: b434.*
+ 170a8: b534.*
+ 170a9: b434.*
+ 170aa: b634.*
+ 170ab: b734.*
+ 170ac: b734.*
+ 170ad: b438.*
+ 170ae: b538.*
+ 170af: b438.*
+ 170b0: b638.*
+ 170b1: b738.*
+ 170b2: b738.*
+ 170b3: b43c.*
+ 170b4: b53c.*
+ 170b5: b43c.*
+ 170b6: b63c.*
+ 170b7: b73c.*
+ 170b8: b73c.*
+ 170b9: b431.*
+ 170ba: b531.*
+ 170bb: b431.*
+ 170bc: b631.*
+ 170bd: b731.*
+ 170be: b731.*
+ 170bf: b435.*
+ 170c0: b535.*
+ 170c1: b435.*
+ 170c2: b635.*
+ 170c3: b735.*
+ 170c4: b735.*
+ 170c5: b439.*
+ 170c6: b539.*
+ 170c7: b439.*
+ 170c8: b639.*
+ 170c9: b739.*
+ 170ca: b739.*
+ 170cb: b43d.*
+ 170cc: b53d.*
+ 170cd: b43d.*
+ 170ce: b63d.*
+ 170cf: b73d.*
+ 170d0: b73d.*
+ 170d1: b432.*
+ 170d2: b532.*
+ 170d3: b432.*
+ 170d4: b632.*
+ 170d5: b732.*
+ 170d6: b732.*
+ 170d7: b436.*
+ 170d8: b536.*
+ 170d9: b436.*
+ 170da: b636.*
+ 170db: b736.*
+ 170dc: b736.*
+ 170dd: b43a.*
+ 170de: b53a.*
+ 170df: b43a.*
+ 170e0: b63a.*
+ 170e1: b73a.*
+ 170e2: b73a.*
+ 170e3: b43e.*
+ 170e4: b53e.*
+ 170e5: b43e.*
+ 170e6: b63e.*
+ 170e7: b73e.*
+ 170e8: b73e.*
+ 170e9: b433.*
+ 170ea: b533.*
+ 170eb: b433.*
+ 170ec: b633.*
+ 170ed: b733.*
+ 170ee: b733.*
+ 170ef: b437.*
+ 170f0: b537.*
+ 170f1: b437.*
+ 170f2: b637.*
+ 170f3: b737.*
+ 170f4: b737.*
+ 170f5: b43b.*
+ 170f6: b53b.*
+ 170f7: b43b.*
+ 170f8: b63b.*
+ 170f9: b73b.*
+ 170fa: b73b.*
+ 170fb: b43f.*
+ 170fc: b53f.*
+ 170fd: b43f.*
+ 170fe: b63f.*
+ 170ff: b73f.*
+ 17100: b73f.*
+ 17101: b470.*
+ 17102: b570.*
+ 17103: b470.*
+ 17104: b670.*
+ 17105: b770.*
+ 17106: b770.*
+ 17107: b474.*
+ 17108: b574.*
+ 17109: b474.*
+ 1710a: b674.*
+ 1710b: b774.*
+ 1710c: b774.*
+ 1710d: b478.*
+ 1710e: b578.*
+ 1710f: b478.*
+ 17110: b678.*
+ 17111: b778.*
+ 17112: b778.*
+ 17113: b47c.*
+ 17114: b57c.*
+ 17115: b47c.*
+ 17116: b67c.*
+ 17117: b77c.*
+ 17118: b77c.*
+ 17119: b471.*
+ 1711a: b571.*
+ 1711b: b471.*
+ 1711c: b671.*
+ 1711d: b771.*
+ 1711e: b771.*
+ 1711f: b475.*
+ 17120: b575.*
+ 17121: b475.*
+ 17122: b675.*
+ 17123: b775.*
+ 17124: b775.*
+ 17125: b479.*
+ 17126: b579.*
+ 17127: b479.*
+ 17128: b679.*
+ 17129: b779.*
+ 1712a: b779.*
+ 1712b: b47d.*
+ 1712c: b57d.*
+ 1712d: b47d.*
+ 1712e: b67d.*
+ 1712f: b77d.*
+ 17130: b77d.*
+ 17131: b472.*
+ 17132: b572.*
+ 17133: b472.*
+ 17134: b672.*
+ 17135: b772.*
+ 17136: b772.*
+ 17137: b476.*
+ 17138: b576.*
+ 17139: b476.*
+ 1713a: b676.*
+ 1713b: b776.*
+ 1713c: b776.*
+ 1713d: b47a.*
+ 1713e: b57a.*
+ 1713f: b47a.*
+ 17140: b67a.*
+ 17141: b77a.*
+ 17142: b77a.*
+ 17143: b47e.*
+ 17144: b57e.*
+ 17145: b47e.*
+ 17146: b67e.*
+ 17147: b77e.*
+ 17148: b77e.*
+ 17149: b473.*
+ 1714a: b573.*
+ 1714b: b473.*
+ 1714c: b673.*
+ 1714d: b773.*
+ 1714e: b773.*
+ 1714f: b477.*
+ 17150: b577.*
+ 17151: b477.*
+ 17152: b677.*
+ 17153: b777.*
+ 17154: b777.*
+ 17155: b47b.*
+ 17156: b57b.*
+ 17157: b47b.*
+ 17158: b67b.*
+ 17159: b77b.*
+ 1715a: b77b.*
+ 1715b: b47f.*
+ 1715c: b57f.*
+ 1715d: b47f.*
+ 1715e: b67f.*
+ 1715f: b77f.*
+ 17160: b77f.*
+ 17161: b4b0.*
+ 17162: b5b0.*
+ 17163: b4b0.*
+ 17164: b6b0.*
+ 17165: b7b0.*
+ 17166: b7b0.*
+ 17167: b4b4.*
+ 17168: b5b4.*
+ 17169: b4b4.*
+ 1716a: b6b4.*
+ 1716b: b7b4.*
+ 1716c: b7b4.*
+ 1716d: b4b8.*
+ 1716e: b5b8.*
+ 1716f: b4b8.*
+ 17170: b6b8.*
+ 17171: b7b8.*
+ 17172: b7b8.*
+ 17173: b4bc.*
+ 17174: b5bc.*
+ 17175: b4bc.*
+ 17176: b6bc.*
+ 17177: b7bc.*
+ 17178: b7bc.*
+ 17179: b4b1.*
+ 1717a: b5b1.*
+ 1717b: b4b1.*
+ 1717c: b6b1.*
+ 1717d: b7b1.*
+ 1717e: b7b1.*
+ 1717f: b4b5.*
+ 17180: b5b5.*
+ 17181: b4b5.*
+ 17182: b6b5.*
+ 17183: b7b5.*
+ 17184: b7b5.*
+ 17185: b4b9.*
+ 17186: b5b9.*
+ 17187: b4b9.*
+ 17188: b6b9.*
+ 17189: b7b9.*
+ 1718a: b7b9.*
+ 1718b: b4bd.*
+ 1718c: b5bd.*
+ 1718d: b4bd.*
+ 1718e: b6bd.*
+ 1718f: b7bd.*
+ 17190: b7bd.*
+ 17191: b4b2.*
+ 17192: b5b2.*
+ 17193: b4b2.*
+ 17194: b6b2.*
+ 17195: b7b2.*
+ 17196: b7b2.*
+ 17197: b4b6.*
+ 17198: b5b6.*
+ 17199: b4b6.*
+ 1719a: b6b6.*
+ 1719b: b7b6.*
+ 1719c: b7b6.*
+ 1719d: b4ba.*
+ 1719e: b5ba.*
+ 1719f: b4ba.*
+ 171a0: b6ba.*
+ 171a1: b7ba.*
+ 171a2: b7ba.*
+ 171a3: b4be.*
+ 171a4: b5be.*
+ 171a5: b4be.*
+ 171a6: b6be.*
+ 171a7: b7be.*
+ 171a8: b7be.*
+ 171a9: b4b3.*
+ 171aa: b5b3.*
+ 171ab: b4b3.*
+ 171ac: b6b3.*
+ 171ad: b7b3.*
+ 171ae: b7b3.*
+ 171af: b4b7.*
+ 171b0: b5b7.*
+ 171b1: b4b7.*
+ 171b2: b6b7.*
+ 171b3: b7b7.*
+ 171b4: b7b7.*
+ 171b5: b4bb.*
+ 171b6: b5bb.*
+ 171b7: b4bb.*
+ 171b8: b6bb.*
+ 171b9: b7bb.*
+ 171ba: b7bb.*
+ 171bb: b4bf.*
+ 171bc: b5bf.*
+ 171bd: b4bf.*
+ 171be: b6bf.*
+ 171bf: b7bf.*
+ 171c0: b7bf.*
+ 171c1: b4f0.*
+ 171c2: b5f0.*
+ 171c3: b4f0.*
+ 171c4: b6f0.*
+ 171c5: b7f0.*
+ 171c6: b7f0.*
+ 171c7: b4f4.*
+ 171c8: b5f4.*
+ 171c9: b4f4.*
+ 171ca: b6f4.*
+ 171cb: b7f4.*
+ 171cc: b7f4.*
+ 171cd: b4f8.*
+ 171ce: b5f8.*
+ 171cf: b4f8.*
+ 171d0: b6f8.*
+ 171d1: b7f8.*
+ 171d2: b7f8.*
+ 171d3: b4fc.*
+ 171d4: b5fc.*
+ 171d5: b4fc.*
+ 171d6: b6fc.*
+ 171d7: b7fc.*
+ 171d8: b7fc.*
+ 171d9: b4f1.*
+ 171da: b5f1.*
+ 171db: b4f1.*
+ 171dc: b6f1.*
+ 171dd: b7f1.*
+ 171de: b7f1.*
+ 171df: b4f5.*
+ 171e0: b5f5.*
+ 171e1: b4f5.*
+ 171e2: b6f5.*
+ 171e3: b7f5.*
+ 171e4: b7f5.*
+ 171e5: b4f9.*
+ 171e6: b5f9.*
+ 171e7: b4f9.*
+ 171e8: b6f9.*
+ 171e9: b7f9.*
+ 171ea: b7f9.*
+ 171eb: b4fd.*
+ 171ec: b5fd.*
+ 171ed: b4fd.*
+ 171ee: b6fd.*
+ 171ef: b7fd.*
+ 171f0: b7fd.*
+ 171f1: b4f2.*
+ 171f2: b5f2.*
+ 171f3: b4f2.*
+ 171f4: b6f2.*
+ 171f5: b7f2.*
+ 171f6: b7f2.*
+ 171f7: b4f6.*
+ 171f8: b5f6.*
+ 171f9: b4f6.*
+ 171fa: b6f6.*
+ 171fb: b7f6.*
+ 171fc: b7f6.*
+ 171fd: b4fa.*
+ 171fe: b5fa.*
+ 171ff: b4fa.*
+ 17200: b6fa.*
+ 17201: b7fa.*
+ 17202: b7fa.*
+ 17203: b4fe.*
+ 17204: b5fe.*
+ 17205: b4fe.*
+ 17206: b6fe.*
+ 17207: b7fe.*
+ 17208: b7fe.*
+ 17209: b4f3.*
+ 1720a: b5f3.*
+ 1720b: b4f3.*
+ 1720c: b6f3.*
+ 1720d: b7f3.*
+ 1720e: b7f3.*
+ 1720f: b4f7.*
+ 17210: b5f7.*
+ 17211: b4f7.*
+ 17212: b6f7.*
+ 17213: b7f7.*
+ 17214: b7f7.*
+ 17215: b4fb.*
+ 17216: b5fb.*
+ 17217: b4fb.*
+ 17218: b6fb.*
+ 17219: b7fb.*
+ 1721a: b7fb.*
+ 1721b: b4ff.*
+ 1721c: b5ff.*
+ 1721d: b4ff.*
+ 1721e: b6ff.*
+ 1721f: b7ff.*
+ 17220: b7ff.*
+ 17221: a600.*
+ 17222: a700.*
+ 17223: a604.*
+ 17224: a704.*
+ 17225: a608.*
+ 17226: a708.*
+ 17227: a60c.*
+ 17228: a70c.*
+ 17229: a601.*
+ 1722a: a701.*
+ 1722b: a605.*
+ 1722c: a705.*
+ 1722d: a609.*
+ 1722e: a709.*
+ 1722f: a60d.*
+ 17230: a70d.*
+ 17231: a602.*
+ 17232: a702.*
+ 17233: a606.*
+ 17234: a706.*
+ 17235: a60a.*
+ 17236: a70a.*
+ 17237: a60e.*
+ 17238: a70e.*
+ 17239: a603.*
+ 1723a: a703.*
+ 1723b: a607.*
+ 1723c: a707.*
+ 1723d: a60b.*
+ 1723e: a70b.*
+ 1723f: a60f.*
+ 17240: a70f.*
+ 17241: a640.*
+ 17242: a740.*
+ 17243: a644.*
+ 17244: a744.*
+ 17245: a648.*
+ 17246: a748.*
+ 17247: a64c.*
+ 17248: a74c.*
+ 17249: a641.*
+ 1724a: a741.*
+ 1724b: a645.*
+ 1724c: a745.*
+ 1724d: a649.*
+ 1724e: a749.*
+ 1724f: a64d.*
+ 17250: a74d.*
+ 17251: a642.*
+ 17252: a742.*
+ 17253: a646.*
+ 17254: a746.*
+ 17255: a64a.*
+ 17256: a74a.*
+ 17257: a64e.*
+ 17258: a74e.*
+ 17259: a643.*
+ 1725a: a743.*
+ 1725b: a647.*
+ 1725c: a747.*
+ 1725d: a64b.*
+ 1725e: a74b.*
+ 1725f: a64f.*
+ 17260: a74f.*
+ 17261: a680.*
+ 17262: a780.*
+ 17263: a684.*
+ 17264: a784.*
+ 17265: a688.*
+ 17266: a788.*
+ 17267: a68c.*
+ 17268: a78c.*
+ 17269: a681.*
+ 1726a: a781.*
+ 1726b: a685.*
+ 1726c: a785.*
+ 1726d: a689.*
+ 1726e: a789.*
+ 1726f: a68d.*
+ 17270: a78d.*
+ 17271: a682.*
+ 17272: a782.*
+ 17273: a686.*
+ 17274: a786.*
+ 17275: a68a.*
+ 17276: a78a.*
+ 17277: a68e.*
+ 17278: a78e.*
+ 17279: a683.*
+ 1727a: a783.*
+ 1727b: a687.*
+ 1727c: a787.*
+ 1727d: a68b.*
+ 1727e: a78b.*
+ 1727f: a68f.*
+ 17280: a78f.*
+ 17281: a6c0.*
+ 17282: a7c0.*
+ 17283: a6c4.*
+ 17284: a7c4.*
+ 17285: a6c8.*
+ 17286: a7c8.*
+ 17287: a6cc.*
+ 17288: a7cc.*
+ 17289: a6c1.*
+ 1728a: a7c1.*
+ 1728b: a6c5.*
+ 1728c: a7c5.*
+ 1728d: a6c9.*
+ 1728e: a7c9.*
+ 1728f: a6cd.*
+ 17290: a7cd.*
+ 17291: a6c2.*
+ 17292: a7c2.*
+ 17293: a6c6.*
+ 17294: a7c6.*
+ 17295: a6ca.*
+ 17296: a7ca.*
+ 17297: a6ce.*
+ 17298: a7ce.*
+ 17299: a6c3.*
+ 1729a: a7c3.*
+ 1729b: a6c7.*
+ 1729c: a7c7.*
+ 1729d: a6cb.*
+ 1729e: a7cb.*
+ 1729f: a6cf.*
+ 172a0: a7cf.*
+ 172a1: a610.*
+ 172a2: a710.*
+ 172a3: a614.*
+ 172a4: a714.*
+ 172a5: a618.*
+ 172a6: a718.*
+ 172a7: a61c.*
+ 172a8: a71c.*
+ 172a9: a611.*
+ 172aa: a711.*
+ 172ab: a615.*
+ 172ac: a715.*
+ 172ad: a619.*
+ 172ae: a719.*
+ 172af: a61d.*
+ 172b0: a71d.*
+ 172b1: a612.*
+ 172b2: a712.*
+ 172b3: a616.*
+ 172b4: a716.*
+ 172b5: a61a.*
+ 172b6: a71a.*
+ 172b7: a61e.*
+ 172b8: a71e.*
+ 172b9: a613.*
+ 172ba: a713.*
+ 172bb: a617.*
+ 172bc: a717.*
+ 172bd: a61b.*
+ 172be: a71b.*
+ 172bf: a61f.*
+ 172c0: a71f.*
+ 172c1: a650.*
+ 172c2: a750.*
+ 172c3: a654.*
+ 172c4: a754.*
+ 172c5: a658.*
+ 172c6: a758.*
+ 172c7: a65c.*
+ 172c8: a75c.*
+ 172c9: a651.*
+ 172ca: a751.*
+ 172cb: a655.*
+ 172cc: a755.*
+ 172cd: a659.*
+ 172ce: a759.*
+ 172cf: a65d.*
+ 172d0: a75d.*
+ 172d1: a652.*
+ 172d2: a752.*
+ 172d3: a656.*
+ 172d4: a756.*
+ 172d5: a65a.*
+ 172d6: a75a.*
+ 172d7: a65e.*
+ 172d8: a75e.*
+ 172d9: a653.*
+ 172da: a753.*
+ 172db: a657.*
+ 172dc: a757.*
+ 172dd: a65b.*
+ 172de: a75b.*
+ 172df: a65f.*
+ 172e0: a75f.*
+ 172e1: a690.*
+ 172e2: a790.*
+ 172e3: a694.*
+ 172e4: a794.*
+ 172e5: a698.*
+ 172e6: a798.*
+ 172e7: a69c.*
+ 172e8: a79c.*
+ 172e9: a691.*
+ 172ea: a791.*
+ 172eb: a695.*
+ 172ec: a795.*
+ 172ed: a699.*
+ 172ee: a799.*
+ 172ef: a69d.*
+ 172f0: a79d.*
+ 172f1: a692.*
+ 172f2: a792.*
+ 172f3: a696.*
+ 172f4: a796.*
+ 172f5: a69a.*
+ 172f6: a79a.*
+ 172f7: a69e.*
+ 172f8: a79e.*
+ 172f9: a693.*
+ 172fa: a793.*
+ 172fb: a697.*
+ 172fc: a797.*
+ 172fd: a69b.*
+ 172fe: a79b.*
+ 172ff: a69f.*
+ 17300: a79f.*
+ 17301: a6d0.*
+ 17302: a7d0.*
+ 17303: a6d4.*
+ 17304: a7d4.*
+ 17305: a6d8.*
+ 17306: a7d8.*
+ 17307: a6dc.*
+ 17308: a7dc.*
+ 17309: a6d1.*
+ 1730a: a7d1.*
+ 1730b: a6d5.*
+ 1730c: a7d5.*
+ 1730d: a6d9.*
+ 1730e: a7d9.*
+ 1730f: a6dd.*
+ 17310: a7dd.*
+ 17311: a6d2.*
+ 17312: a7d2.*
+ 17313: a6d6.*
+ 17314: a7d6.*
+ 17315: a6da.*
+ 17316: a7da.*
+ 17317: a6de.*
+ 17318: a7de.*
+ 17319: a6d3.*
+ 1731a: a7d3.*
+ 1731b: a6d7.*
+ 1731c: a7d7.*
+ 1731d: a6db.*
+ 1731e: a7db.*
+ 1731f: a6df.*
+ 17320: a7df.*
+ 17321: a620.*
+ 17322: a720.*
+ 17323: a624.*
+ 17324: a724.*
+ 17325: a628.*
+ 17326: a728.*
+ 17327: a62c.*
+ 17328: a72c.*
+ 17329: a621.*
+ 1732a: a721.*
+ 1732b: a625.*
+ 1732c: a725.*
+ 1732d: a629.*
+ 1732e: a729.*
+ 1732f: a62d.*
+ 17330: a72d.*
+ 17331: a622.*
+ 17332: a722.*
+ 17333: a626.*
+ 17334: a726.*
+ 17335: a62a.*
+ 17336: a72a.*
+ 17337: a62e.*
+ 17338: a72e.*
+ 17339: a623.*
+ 1733a: a723.*
+ 1733b: a627.*
+ 1733c: a727.*
+ 1733d: a62b.*
+ 1733e: a72b.*
+ 1733f: a62f.*
+ 17340: a72f.*
+ 17341: a660.*
+ 17342: a760.*
+ 17343: a664.*
+ 17344: a764.*
+ 17345: a668.*
+ 17346: a768.*
+ 17347: a66c.*
+ 17348: a76c.*
+ 17349: a661.*
+ 1734a: a761.*
+ 1734b: a665.*
+ 1734c: a765.*
+ 1734d: a669.*
+ 1734e: a769.*
+ 1734f: a66d.*
+ 17350: a76d.*
+ 17351: a662.*
+ 17352: a762.*
+ 17353: a666.*
+ 17354: a766.*
+ 17355: a66a.*
+ 17356: a76a.*
+ 17357: a66e.*
+ 17358: a76e.*
+ 17359: a663.*
+ 1735a: a763.*
+ 1735b: a667.*
+ 1735c: a767.*
+ 1735d: a66b.*
+ 1735e: a76b.*
+ 1735f: a66f.*
+ 17360: a76f.*
+ 17361: a6a0.*
+ 17362: a7a0.*
+ 17363: a6a4.*
+ 17364: a7a4.*
+ 17365: a6a8.*
+ 17366: a7a8.*
+ 17367: a6ac.*
+ 17368: a7ac.*
+ 17369: a6a1.*
+ 1736a: a7a1.*
+ 1736b: a6a5.*
+ 1736c: a7a5.*
+ 1736d: a6a9.*
+ 1736e: a7a9.*
+ 1736f: a6ad.*
+ 17370: a7ad.*
+ 17371: a6a2.*
+ 17372: a7a2.*
+ 17373: a6a6.*
+ 17374: a7a6.*
+ 17375: a6aa.*
+ 17376: a7aa.*
+ 17377: a6ae.*
+ 17378: a7ae.*
+ 17379: a6a3.*
+ 1737a: a7a3.*
+ 1737b: a6a7.*
+ 1737c: a7a7.*
+ 1737d: a6ab.*
+ 1737e: a7ab.*
+ 1737f: a6af.*
+ 17380: a7af.*
+ 17381: a6e0.*
+ 17382: a7e0.*
+ 17383: a6e4.*
+ 17384: a7e4.*
+ 17385: a6e8.*
+ 17386: a7e8.*
+ 17387: a6ec.*
+ 17388: a7ec.*
+ 17389: a6e1.*
+ 1738a: a7e1.*
+ 1738b: a6e5.*
+ 1738c: a7e5.*
+ 1738d: a6e9.*
+ 1738e: a7e9.*
+ 1738f: a6ed.*
+ 17390: a7ed.*
+ 17391: a6e2.*
+ 17392: a7e2.*
+ 17393: a6e6.*
+ 17394: a7e6.*
+ 17395: a6ea.*
+ 17396: a7ea.*
+ 17397: a6ee.*
+ 17398: a7ee.*
+ 17399: a6e3.*
+ 1739a: a7e3.*
+ 1739b: a6e7.*
+ 1739c: a7e7.*
+ 1739d: a6eb.*
+ 1739e: a7eb.*
+ 1739f: a6ef.*
+ 173a0: a7ef.*
+ 173a1: a630.*
+ 173a2: a730.*
+ 173a3: a634.*
+ 173a4: a734.*
+ 173a5: a638.*
+ 173a6: a738.*
+ 173a7: a63c.*
+ 173a8: a73c.*
+ 173a9: a631.*
+ 173aa: a731.*
+ 173ab: a635.*
+ 173ac: a735.*
+ 173ad: a639.*
+ 173ae: a739.*
+ 173af: a63d.*
+ 173b0: a73d.*
+ 173b1: a632.*
+ 173b2: a732.*
+ 173b3: a636.*
+ 173b4: a736.*
+ 173b5: a63a.*
+ 173b6: a73a.*
+ 173b7: a63e.*
+ 173b8: a73e.*
+ 173b9: a633.*
+ 173ba: a733.*
+ 173bb: a637.*
+ 173bc: a737.*
+ 173bd: a63b.*
+ 173be: a73b.*
+ 173bf: a63f.*
+ 173c0: a73f.*
+ 173c1: a670.*
+ 173c2: a770.*
+ 173c3: a674.*
+ 173c4: a774.*
+ 173c5: a678.*
+ 173c6: a778.*
+ 173c7: a67c.*
+ 173c8: a77c.*
+ 173c9: a671.*
+ 173ca: a771.*
+ 173cb: a675.*
+ 173cc: a775.*
+ 173cd: a679.*
+ 173ce: a779.*
+ 173cf: a67d.*
+ 173d0: a77d.*
+ 173d1: a672.*
+ 173d2: a772.*
+ 173d3: a676.*
+ 173d4: a776.*
+ 173d5: a67a.*
+ 173d6: a77a.*
+ 173d7: a67e.*
+ 173d8: a77e.*
+ 173d9: a673.*
+ 173da: a773.*
+ 173db: a677.*
+ 173dc: a777.*
+ 173dd: a67b.*
+ 173de: a77b.*
+ 173df: a67f.*
+ 173e0: a77f.*
+ 173e1: a6b0.*
+ 173e2: a7b0.*
+ 173e3: a6b4.*
+ 173e4: a7b4.*
+ 173e5: a6b8.*
+ 173e6: a7b8.*
+ 173e7: a6bc.*
+ 173e8: a7bc.*
+ 173e9: a6b1.*
+ 173ea: a7b1.*
+ 173eb: a6b5.*
+ 173ec: a7b5.*
+ 173ed: a6b9.*
+ 173ee: a7b9.*
+ 173ef: a6bd.*
+ 173f0: a7bd.*
+ 173f1: a6b2.*
+ 173f2: a7b2.*
+ 173f3: a6b6.*
+ 173f4: a7b6.*
+ 173f5: a6ba.*
+ 173f6: a7ba.*
+ 173f7: a6be.*
+ 173f8: a7be.*
+ 173f9: a6b3.*
+ 173fa: a7b3.*
+ 173fb: a6b7.*
+ 173fc: a7b7.*
+ 173fd: a6bb.*
+ 173fe: a7bb.*
+ 173ff: a6bf.*
+ 17400: a7bf.*
+ 17401: a6f0.*
+ 17402: a7f0.*
+ 17403: a6f4.*
+ 17404: a7f4.*
+ 17405: a6f8.*
+ 17406: a7f8.*
+ 17407: a6fc.*
+ 17408: a7fc.*
+ 17409: a6f1.*
+ 1740a: a7f1.*
+ 1740b: a6f5.*
+ 1740c: a7f5.*
+ 1740d: a6f9.*
+ 1740e: a7f9.*
+ 1740f: a6fd.*
+ 17410: a7fd.*
+ 17411: a6f2.*
+ 17412: a7f2.*
+ 17413: a6f6.*
+ 17414: a7f6.*
+ 17415: a6fa.*
+ 17416: a7fa.*
+ 17417: a6fe.*
+ 17418: a7fe.*
+ 17419: a6f3.*
+ 1741a: a7f3.*
+ 1741b: a6f7.*
+ 1741c: a7f7.*
+ 1741d: a6fb.*
+ 1741e: a7fb.*
+ 1741f: a6ff.*
+ 17420: a7ff.*
+ 17421: 2880.*
+ 17422: 2980.*
+ 17423: 2888.*
+ 17424: 2988.*
+ 17425: 2890.*
+ 17426: 2990.*
+ 17427: 28a0.*
+ 17428: 29a0.*
+ 17429: 28a8.*
+ 1742a: 29a8.*
+ 1742b: 28b0.*
+ 1742c: 29b0.*
+ 1742d: 28b8.*
+ 1742e: 29b8.*
+ 1742f: 28c0.*
+ 17430: 29c0.*
+ 17431: 28c8.*
+ 17432: 29c8.*
+ 17433: 28d0.*
+ 17434: 29d0.*
+ 17435: 28d8.*
+ 17436: 29d8.*
+ 17437: 28e0.*
+ 17438: 2ca0.*
+ 17439: 29e0.*
+ 1743a: 2ca1.*
+ 1743b: 28e8.*
+ 1743c: 2ca2.*
+ 1743d: 29e8.*
+ 1743e: 2ca3.*
+ 1743f: 28f0.*
+ 17440: 2ca4.*
+ 17441: 29f0.*
+ 17442: 2ca5.*
+ 17443: 28f8.*
+ 17444: 2ca6.*
+ 17445: 29f8.*
+ 17446: 2ca7.*
+ 17447: 2881.*
+ 17448: 2981.*
+ 17449: 2889.*
+ 1744a: 2989.*
+ 1744b: 2891.*
+ 1744c: 2991.*
+ 1744d: 28a1.*
+ 1744e: 29a1.*
+ 1744f: 28a9.*
+ 17450: 29a9.*
+ 17451: 28b1.*
+ 17452: 29b1.*
+ 17453: 28b9.*
+ 17454: 29b9.*
+ 17455: 28c1.*
+ 17456: 29c1.*
+ 17457: 28c9.*
+ 17458: 29c9.*
+ 17459: 28d1.*
+ 1745a: 29d1.*
+ 1745b: 28d9.*
+ 1745c: 29d9.*
+ 1745d: 28e1.*
+ 1745e: 2ca8.*
+ 1745f: 29e1.*
+ 17460: 2ca9.*
+ 17461: 28e9.*
+ 17462: 2caa.*
+ 17463: 29e9.*
+ 17464: 2cab.*
+ 17465: 28f1.*
+ 17466: 2cac.*
+ 17467: 29f1.*
+ 17468: 2cad.*
+ 17469: 28f8.*
+ 1746a: 2cae.*
+ 1746b: 29f8.*
+ 1746c: 2caf.*
+ 1746d: 2882.*
+ 1746e: 2982.*
+ 1746f: 288a.*
+ 17470: 298a.*
+ 17471: 2892.*
+ 17472: 2992.*
+ 17473: 28a2.*
+ 17474: 29a2.*
+ 17475: 28aa.*
+ 17476: 29aa.*
+ 17477: 28b2.*
+ 17478: 29b2.*
+ 17479: 28ba.*
+ 1747a: 29ba.*
+ 1747b: 28c2.*
+ 1747c: 29c2.*
+ 1747d: 28ca.*
+ 1747e: 29ca.*
+ 1747f: 28d2.*
+ 17480: 29d2.*
+ 17481: 28da.*
+ 17482: 29da.*
+ 17483: 28e2.*
+ 17484: 2cb0.*
+ 17485: 29e2.*
+ 17486: 2cb1.*
+ 17487: 28ea.*
+ 17488: 2cb2.*
+ 17489: 29ea.*
+ 1748a: 2cb3.*
+ 1748b: 28f2.*
+ 1748c: 2cb4.*
+ 1748d: 29f2.*
+ 1748e: 2cb5.*
+ 1748f: 28f8.*
+ 17490: 2cb6.*
+ 17491: 29f8.*
+ 17492: 2cb7.*
+ 17493: 2883.*
+ 17494: 2983.*
+ 17495: 288b.*
+ 17496: 298b.*
+ 17497: 2893.*
+ 17498: 2993.*
+ 17499: 28a3.*
+ 1749a: 29a3.*
+ 1749b: 28ab.*
+ 1749c: 29ab.*
+ 1749d: 28b3.*
+ 1749e: 29b3.*
+ 1749f: 28bb.*
+ 174a0: 29bb.*
+ 174a1: 28c3.*
+ 174a2: 29c3.*
+ 174a3: 28cb.*
+ 174a4: 29cb.*
+ 174a5: 28d3.*
+ 174a6: 29d3.*
+ 174a7: 28db.*
+ 174a8: 29db.*
+ 174a9: 28e3.*
+ 174aa: 2cb8.*
+ 174ab: 29e3.*
+ 174ac: 2cb9.*
+ 174ad: 28eb.*
+ 174ae: 2cba.*
+ 174af: 29eb.*
+ 174b0: 2cbb.*
+ 174b1: 28f3.*
+ 174b2: 2cbc.*
+ 174b3: 29f3.*
+ 174b4: 2cbd.*
+ 174b5: 28f8.*
+ 174b6: 2cbe.*
+ 174b7: 29f8.*
+ 174b8: 2cbf.*
+ 174b9: 2884.*
+ 174ba: 2984.*
+ 174bb: 288c.*
+ 174bc: 298c.*
+ 174bd: 2894.*
+ 174be: 2994.*
+ 174bf: 28a4.*
+ 174c0: 29a4.*
+ 174c1: 28ac.*
+ 174c2: 29ac.*
+ 174c3: 28b4.*
+ 174c4: 29b4.*
+ 174c5: 28bc.*
+ 174c6: 29bc.*
+ 174c7: 28c4.*
+ 174c8: 29c4.*
+ 174c9: 28cc.*
+ 174ca: 29cc.*
+ 174cb: 28d4.*
+ 174cc: 29d4.*
+ 174cd: 28dc.*
+ 174ce: 29dc.*
+ 174cf: 28e4.*
+ 174d0: 2cc0.*
+ 174d1: 29e4.*
+ 174d2: 2cc1.*
+ 174d3: 28ec.*
+ 174d4: 2cc2.*
+ 174d5: 29ec.*
+ 174d6: 2cc3.*
+ 174d7: 28f4.*
+ 174d8: 2cc4.*
+ 174d9: 29f4.*
+ 174da: 2cc5.*
+ 174db: 28f8.*
+ 174dc: 2cc6.*
+ 174dd: 29f8.*
+ 174de: 2cc7.*
+ 174df: 2885.*
+ 174e0: 2985.*
+ 174e1: 288d.*
+ 174e2: 298d.*
+ 174e3: 2895.*
+ 174e4: 2995.*
+ 174e5: 28a5.*
+ 174e6: 29a5.*
+ 174e7: 28ad.*
+ 174e8: 29ad.*
+ 174e9: 28b5.*
+ 174ea: 29b5.*
+ 174eb: 28bd.*
+ 174ec: 29bd.*
+ 174ed: 28c5.*
+ 174ee: 29c5.*
+ 174ef: 28cd.*
+ 174f0: 29cd.*
+ 174f1: 28d5.*
+ 174f2: 29d5.*
+ 174f3: 28dd.*
+ 174f4: 29dd.*
+ 174f5: 28e5.*
+ 174f6: 2cc8.*
+ 174f7: 29e5.*
+ 174f8: 2cc9.*
+ 174f9: 28ed.*
+ 174fa: 2cca.*
+ 174fb: 29ed.*
+ 174fc: 2ccb.*
+ 174fd: 28f5.*
+ 174fe: 2ccc.*
+ 174ff: 29f5.*
+ 17500: 2ccd.*
+ 17501: 28f8.*
+ 17502: 2cce.*
+ 17503: 29f8.*
+ 17504: 2ccf.*
+ 17505: 2886.*
+ 17506: 2986.*
+ 17507: 288e.*
+ 17508: 298e.*
+ 17509: 2896.*
+ 1750a: 2996.*
+ 1750b: 28a6.*
+ 1750c: 29a6.*
+ 1750d: 28ae.*
+ 1750e: 29ae.*
+ 1750f: 28b6.*
+ 17510: 29b6.*
+ 17511: 28be.*
+ 17512: 29be.*
+ 17513: 28c6.*
+ 17514: 29c6.*
+ 17515: 28ce.*
+ 17516: 29ce.*
+ 17517: 28d6.*
+ 17518: 29d6.*
+ 17519: 28de.*
+ 1751a: 29de.*
+ 1751b: 28e6.*
+ 1751c: 2cd0.*
+ 1751d: 29e6.*
+ 1751e: 2cd1.*
+ 1751f: 28ee.*
+ 17520: 2cd2.*
+ 17521: 29ee.*
+ 17522: 2cd3.*
+ 17523: 28f6.*
+ 17524: 2cd4.*
+ 17525: 29f6.*
+ 17526: 2cd5.*
+ 17527: 28f8.*
+ 17528: 2cd6.*
+ 17529: 29f8.*
+ 1752a: 2cd7.*
+ 1752b: 2887.*
+ 1752c: 2987.*
+ 1752d: 288f.*
+ 1752e: 298f.*
+ 1752f: 2897.*
+ 17530: 2997.*
+ 17531: 28a7.*
+ 17532: 29a7.*
+ 17533: 28af.*
+ 17534: 29af.*
+ 17535: 28b7.*
+ 17536: 29b7.*
+ 17537: 28bf.*
+ 17538: 29bf.*
+ 17539: 28c7.*
+ 1753a: 29c7.*
+ 1753b: 28cf.*
+ 1753c: 29cf.*
+ 1753d: 28d7.*
+ 1753e: 29d7.*
+ 1753f: 28df.*
+ 17540: 29df.*
+ 17541: 28e7.*
+ 17542: 2cd8.*
+ 17543: 29e7.*
+ 17544: 2cd9.*
+ 17545: 28ef.*
+ 17546: 2cda.*
+ 17547: 29ef.*
+ 17548: 2cdb.*
+ 17549: 28f7.*
+ 1754a: 2cdc.*
+ 1754b: 29f7.*
+ 1754c: 2cdd.*
+ 1754d: 28f8.*
+ 1754e: 2cde.*
+ 1754f: 29f8.*
+ 17550: 2cdf.*
+ 17551: f067.*
+ 17552: 2ce0.*
+ 17553: f167.*
+ 17554: 2ce1.*
+ 17555: f067.*
+ 17556: 2ce2.*
+ 17557: f267.*
+ 17558: 2ce3.*
+ 17559: f367.*
+ 1755a: 2ce4.*
+ 1755b: f367.*
+ 1755c: 2ce5.*
+ 1755d: 6450.*
+ 1755e: 2ce6.*
+ 1755f: 6551.*
+ 17560: 2ce7.*
+ 17561: 6452.*
+ 17562: 2ce8.*
+ 17563: 6653.*
+ 17564: 2ce9.*
+ 17565: 6754.*
+ 17566: 2cea.*
+ 17567: 6755.*
+ 17568: 2ceb.*
+ 17569: 6480.*
+ 1756a: 2cec.*
+ 1756b: 6580.*
+ 1756c: 2ced.*
+ 1756d: 6480.*
+ 1756e: 2cee.*
+ 1756f: 6680.*
+ 17570: 2cef.*
+ 17571: 6780.*
+ 17572: 2cf0.*
+ 17573: 6780.*
+ 17574: 2cf1.*
+ 17575: 6488.*
+ 17576: 2cf2.*
+ 17577: 6588.*
+ 17578: 2cf3.*
+ 17579: 6488.*
+ 1757a: 2cf4.*
+ 1757b: 6688.*
+ 1757c: 2cf5.*
+ 1757d: 6788.*
+ 1757e: 2cf6.*
+ 1757f: 6788.*
+ 17580: 2cf7.*
+ 17581: 6490.*
+ 17582: 2cf8.*
+ 17583: 6590.*
+ 17584: 2cf9.*
+ 17585: 6490.*
+ 17586: 2cfa.*
+ 17587: 6690.*
+ 17588: 2cfb.*
+ 17589: 6790.*
+ 1758a: 2cfc.*
+ 1758b: 6790.*
+ 1758c: 2cfd.*
+ 1758d: 64a0.*
+ 1758e: 2cfe.*
+ 1758f: 65a0.*
+ 17590: 2cff.*
+ 17591: 64a0.*
+ 17592: 2d00.*
+ 17593: 66a0.*
+ 17594: 2d01.*
+ 17595: 67a0.*
+ 17596: 2d02.*
+ 17597: 67a0.*
+ 17598: 2d03.*
+ 17599: 64a8.*
+ 1759a: 2d04.*
+ 1759b: 65a8.*
+ 1759c: 2d05.*
+ 1759d: 64a8.*
+ 1759e: 2d06.*
+ 1759f: 66a8.*
+ 175a0: 2d07.*
+ 175a1: 67a8.*
+ 175a2: 2d08.*
+ 175a3: 67a8.*
+ 175a4: 2d09.*
+ 175a5: 64b0.*
+ 175a6: 2d0a.*
+ 175a7: 65b0.*
+ 175a8: 2d0b.*
+ 175a9: 64b0.*
+ 175aa: 2d0c.*
+ 175ab: 66b0.*
+ 175ac: 2d0d.*
+ 175ad: 67b0.*
+ 175ae: 2d0e.*
+ 175af: 67b0.*
+ 175b0: 2d0f.*
+ 175b1: 64b8.*
+ 175b2: 2d10.*
+ 175b3: 65b8.*
+ 175b4: 2d11.*
+ 175b5: 64b8.*
+ 175b6: 2d12.*
+ 175b7: 66b8.*
+ 175b8: 2d13.*
+ 175b9: 67b8.*
+ 175ba: 2d14.*
+ 175bb: 67b8.*
+ 175bc: 2d15.*
+ 175bd: 64c0.*
+ 175be: 2d16.*
+ 175bf: 65c0.*
+ 175c0: 2d17.*
+ 175c1: 64c0.*
+ 175c2: 2d18.*
+ 175c3: 66c0.*
+ 175c4: 2d19.*
+ 175c5: 67c0.*
+ 175c6: 2d1a.*
+ 175c7: 67c0.*
+ 175c8: 2d1b.*
+ 175c9: 64c8.*
+ 175ca: 2d1c.*
+ 175cb: 65c8.*
+ 175cc: 2d1d.*
+ 175cd: 64c8.*
+ 175ce: 2d1e.*
+ 175cf: 66c8.*
+ 175d0: 2d1f.*
+ 175d1: 67c8.*
+ 175d2: 2d20.*
+ 175d3: 67c8.*
+ 175d4: 2d21.*
+ 175d5: 64d0.*
+ 175d6: 2d22.*
+ 175d7: 65d0.*
+ 175d8: 2d23.*
+ 175d9: 64d0.*
+ 175da: 2d24.*
+ 175db: 66d0.*
+ 175dc: 2d25.*
+ 175dd: 67d0.*
+ 175de: 2d26.*
+ 175df: 67d0.*
+ 175e0: 2d27.*
+ 175e1: 64d8.*
+ 175e2: 2d28.*
+ 175e3: 65d8.*
+ 175e4: 2d29.*
+ 175e5: 64d8.*
+ 175e6: 2d2a.*
+ 175e7: 66d8.*
+ 175e8: 2d2b.*
+ 175e9: 67d8.*
+ 175ea: 2d2c.*
+ 175eb: 67d8.*
+ 175ec: 2d2d.*
+ 175ed: 64e0.*
+ 175ee: 2d2e.*
+ 175ef: 2d2f.*
+ 175f0: 65e0.*
+ 175f1: 2d30.*
+ 175f2: 2d31.*
+ 175f3: 64e0.*
+ 175f4: 2d32.*
+ 175f5: 2d33.*
+ 175f6: 66e0.*
+ 175f7: 2d34.*
+ 175f8: 2d35.*
+ 175f9: 67e0.*
+ 175fa: 2d36.*
+ 175fb: 2d37.*
+ 175fc: 67e0.*
+ 175fd: 2d38.*
+ 175fe: 2d39.*
+ 175ff: 64e8.*
+ 17600: 2d3a.*
+ 17601: 2d3b.*
+ 17602: 65e8.*
+ 17603: 2d3c.*
+ 17604: 2d3d.*
+ 17605: 64e8.*
+ 17606: 2d3e.*
+ 17607: 2d3f.*
+ 17608: 66e8.*
+ 17609: 2d40.*
+ 1760a: 2d41.*
+ 1760b: 67e8.*
+ 1760c: 2d42.*
+ 1760d: 2d43.*
+ 1760e: 67e8.*
+ 1760f: 2d44.*
+ 17610: 2d45.*
+ 17611: 64f0.*
+ 17612: 2d46.*
+ 17613: 2d47.*
+ 17614: 65f0.*
+ 17615: 2d48.*
+ 17616: 2d49.*
+ 17617: 64f0.*
+ 17618: 2d4a.*
+ 17619: 2d4b.*
+ 1761a: 66f0.*
+ 1761b: 2d4c.*
+ 1761c: 2d4d.*
+ 1761d: 67f0.*
+ 1761e: 2d4e.*
+ 1761f: 2d4f.*
+ 17620: 67f0.*
+ 17621: 2d50.*
+ 17622: 2d51.*
+ 17623: 64f8.*
+ 17624: 2d52.*
+ 17625: 2d53.*
+ 17626: 65f8.*
+ 17627: 2d54.*
+ 17628: 2d55.*
+ 17629: 64f8.*
+ 1762a: 2d56.*
+ 1762b: 2d57.*
+ 1762c: 66f8.*
+ 1762d: 2d58.*
+ 1762e: 2d59.*
+ 1762f: 67f8.*
+ 17630: 2d5a.*
+ 17631: 2d5b.*
+ 17632: 67f8.*
+ 17633: 2d5c.*
+ 17634: 2d5d.*
+ 17635: 6481.*
+ 17636: 2d5e.*
+ 17637: 6581.*
+ 17638: 2d5f.*
+ 17639: 6481.*
+ 1763a: 2d60.*
+ 1763b: 6681.*
+ 1763c: 2d61.*
+ 1763d: 6781.*
+ 1763e: 2d62.*
+ 1763f: 6781.*
+ 17640: 2d63.*
+ 17641: 6489.*
+ 17642: 2d64.*
+ 17643: 6589.*
+ 17644: 2d65.*
+ 17645: 6489.*
+ 17646: 2d66.*
+ 17647: 6689.*
+ 17648: 2d67.*
+ 17649: 6789.*
+ 1764a: 2d68.*
+ 1764b: 6789.*
+ 1764c: 2d69.*
+ 1764d: 6491.*
+ 1764e: 2d6a.*
+ 1764f: 6591.*
+ 17650: 2d6b.*
+ 17651: 6491.*
+ 17652: 2d6c.*
+ 17653: 6691.*
+ 17654: 2d6d.*
+ 17655: 6791.*
+ 17656: 2d6e.*
+ 17657: 6791.*
+ 17658: 2d6f.*
+ 17659: 64a1.*
+ 1765a: 2d70.*
+ 1765b: 65a1.*
+ 1765c: 2d71.*
+ 1765d: 64a1.*
+ 1765e: 2d72.*
+ 1765f: 66a1.*
+ 17660: 2d73.*
+ 17661: 67a1.*
+ 17662: 2d74.*
+ 17663: 67a1.*
+ 17664: 2d75.*
+ 17665: 64a9.*
+ 17666: 2d76.*
+ 17667: 65a9.*
+ 17668: 2d77.*
+ 17669: 64a9.*
+ 1766a: 2d78.*
+ 1766b: 66a9.*
+ 1766c: 2d79.*
+ 1766d: 67a9.*
+ 1766e: 2d7a.*
+ 1766f: 67a9.*
+ 17670: 2d7b.*
+ 17671: 64b1.*
+ 17672: 2d7c.*
+ 17673: 65b1.*
+ 17674: 2d7d.*
+ 17675: 64b1.*
+ 17676: 2d7e.*
+ 17677: 66b1.*
+ 17678: 2d7f.*
+ 17679: 67b1.*
+ 1767a: 2d80.*
+ 1767b: 67b1.*
+ 1767c: 2d81.*
+ 1767d: 64b9.*
+ 1767e: 2d82.*
+ 1767f: 65b9.*
+ 17680: 2d83.*
+ 17681: 64b9.*
+ 17682: 2d84.*
+ 17683: 66b9.*
+ 17684: 2d85.*
+ 17685: 67b9.*
+ 17686: 2d86.*
+ 17687: 67b9.*
+ 17688: 2d87.*
+ 17689: 64c1.*
+ 1768a: 2d88.*
+ 1768b: 65c1.*
+ 1768c: 2d89.*
+ 1768d: 64c1.*
+ 1768e: 2d8a.*
+ 1768f: 66c1.*
+ 17690: 2d8b.*
+ 17691: 67c1.*
+ 17692: 2d8c.*
+ 17693: 67c1.*
+ 17694: 2d8d.*
+ 17695: 64c9.*
+ 17696: 2d8e.*
+ 17697: 65c9.*
+ 17698: 2d8f.*
+ 17699: 64c9.*
+ 1769a: 2d90.*
+ 1769b: 66c9.*
+ 1769c: 2d91.*
+ 1769d: 67c9.*
+ 1769e: 2d92.*
+ 1769f: 67c9.*
+ 176a0: 2d93.*
+ 176a1: 64d1.*
+ 176a2: 2d94.*
+ 176a3: 65d1.*
+ 176a4: 2d95.*
+ 176a5: 64d1.*
+ 176a6: 2d96.*
+ 176a7: 66d1.*
+ 176a8: 2d97.*
+ 176a9: 67d1.*
+ 176aa: 2d98.*
+ 176ab: 67d1.*
+ 176ac: 2d99.*
+ 176ad: 64d9.*
+ 176ae: 2d9a.*
+ 176af: 65d9.*
+ 176b0: 2d9b.*
+ 176b1: 64d9.*
+ 176b2: 2d9c.*
+ 176b3: 66d9.*
+ 176b4: 2d9d.*
+ 176b5: 67d9.*
+ 176b6: 2d9e.*
+ 176b7: 67d9.*
+ 176b8: 2d9f.*
+ 176b9: 64e1.*
+ 176ba: 2da0.*
+ 176bb: 2da1.*
+ 176bc: 65e1.*
+ 176bd: 2da2.*
+ 176be: 2da3.*
+ 176bf: 64e1.*
+ 176c0: 2da4.*
+ 176c1: 2da5.*
+ 176c2: 66e1.*
+ 176c3: 2da6.*
+ 176c4: 2da7.*
+ 176c5: 67e1.*
+ 176c6: 2da8.*
+ 176c7: 2da9.*
+ 176c8: 67e1.*
+ 176c9: 2daa.*
+ 176ca: 2dab.*
+ 176cb: 64e9.*
+ 176cc: 2dac.*
+ 176cd: 2dad.*
+ 176ce: 65e9.*
+ 176cf: 2dae.*
+ 176d0: 2daf.*
+ 176d1: 64e9.*
+ 176d2: 2db0.*
+ 176d3: 2db1.*
+ 176d4: 66e9.*
+ 176d5: 2db2.*
+ 176d6: 2db3.*
+ 176d7: 67e9.*
+ 176d8: 2db4.*
+ 176d9: 2db5.*
+ 176da: 67e9.*
+ 176db: 2db6.*
+ 176dc: 2db7.*
+ 176dd: 64f1.*
+ 176de: 2db8.*
+ 176df: 2db9.*
+ 176e0: 65f1.*
+ 176e1: 2dba.*
+ 176e2: 2dbb.*
+ 176e3: 64f1.*
+ 176e4: 2dbc.*
+ 176e5: 2dbd.*
+ 176e6: 66f1.*
+ 176e7: 2dbe.*
+ 176e8: 2dbf.*
+ 176e9: 67f1.*
+ 176ea: 2dc0.*
+ 176eb: 2dc1.*
+ 176ec: 67f1.*
+ 176ed: 2dc2.*
+ 176ee: 2dc3.*
+ 176ef: 64f8.*
+ 176f0: 2dc4.*
+ 176f1: 2dc5.*
+ 176f2: 65f8.*
+ 176f3: 2dc6.*
+ 176f4: 2dc7.*
+ 176f5: 64f8.*
+ 176f6: 2dc8.*
+ 176f7: 2dc9.*
+ 176f8: 66f8.*
+ 176f9: 2dca.*
+ 176fa: 2dcb.*
+ 176fb: 67f8.*
+ 176fc: 2dcc.*
+ 176fd: 2dcd.*
+ 176fe: 67f8.*
+ 176ff: 2dce.*
+ 17700: 2dcf.*
+ 17701: 6482.*
+ 17702: 2dd0.*
+ 17703: 6582.*
+ 17704: 2dd1.*
+ 17705: 6482.*
+ 17706: 2dd2.*
+ 17707: 6682.*
+ 17708: 2dd3.*
+ 17709: 6782.*
+ 1770a: 2dd4.*
+ 1770b: 6782.*
+ 1770c: 2dd5.*
+ 1770d: 648a.*
+ 1770e: 2dd6.*
+ 1770f: 658a.*
+ 17710: 2dd7.*
+ 17711: 648a.*
+ 17712: 2dd8.*
+ 17713: 668a.*
+ 17714: 2dd9.*
+ 17715: 678a.*
+ 17716: 2dda.*
+ 17717: 678a.*
+ 17718: 2ddb.*
+ 17719: 6492.*
+ 1771a: 2ddc.*
+ 1771b: 6592.*
+ 1771c: 2ddd.*
+ 1771d: 6492.*
+ 1771e: 2dde.*
+ 1771f: 6692.*
+ 17720: 2ddf.*
+ 17721: 6792.*
+ 17722: 2de0.*
+ 17723: 6792.*
+ 17724: 2de1.*
+ 17725: 64a2.*
+ 17726: 2de2.*
+ 17727: 65a2.*
+ 17728: 2de3.*
+ 17729: 64a2.*
+ 1772a: 2de4.*
+ 1772b: 66a2.*
+ 1772c: 2de5.*
+ 1772d: 67a2.*
+ 1772e: 2de6.*
+ 1772f: 67a2.*
+ 17730: 2de7.*
+ 17731: 64aa.*
+ 17732: 2de8.*
+ 17733: 65aa.*
+ 17734: 2de9.*
+ 17735: 64aa.*
+ 17736: 2dea.*
+ 17737: 66aa.*
+ 17738: 2deb.*
+ 17739: 67aa.*
+ 1773a: 2dec.*
+ 1773b: 67aa.*
+ 1773c: 2ded.*
+ 1773d: 64b2.*
+ 1773e: 2dee.*
+ 1773f: 65b2.*
+ 17740: 2def.*
+ 17741: 64b2.*
+ 17742: 2df0.*
+ 17743: 66b2.*
+ 17744: 2df1.*
+ 17745: 67b2.*
+ 17746: 2df2.*
+ 17747: 67b2.*
+ 17748: 2df3.*
+ 17749: 64ba.*
+ 1774a: 2df4.*
+ 1774b: 65ba.*
+ 1774c: 2df5.*
+ 1774d: 64ba.*
+ 1774e: 2df6.*
+ 1774f: 66ba.*
+ 17750: 2df7.*
+ 17751: 67ba.*
+ 17752: 2df8.*
+ 17753: 67ba.*
+ 17754: 2df9.*
+ 17755: 64c2.*
+ 17756: 2dfa.*
+ 17757: 65c2.*
+ 17758: 2dfb.*
+ 17759: 64c2.*
+ 1775a: 2dfc.*
+ 1775b: 66c2.*
+ 1775c: 2dfd.*
+ 1775d: 67c2.*
+ 1775e: 2dfe.*
+ 1775f: 67c2.*
+ 17760: 2dff.*
+ 17761: 64ca.*
+ 17762: 2e00.*
+ 17763: 65ca.*
+ 17764: 2e01.*
+ 17765: 64ca.*
+ 17766: 2e02.*
+ 17767: 66ca.*
+ 17768: 2e03.*
+ 17769: 67ca.*
+ 1776a: 2e04.*
+ 1776b: 67ca.*
+ 1776c: 2e05.*
+ 1776d: 64d2.*
+ 1776e: 2e06.*
+ 1776f: 65d2.*
+ 17770: 2e07.*
+ 17771: 64d2.*
+ 17772: 2e08.*
+ 17773: 66d2.*
+ 17774: 2e09.*
+ 17775: 67d2.*
+ 17776: 2e0a.*
+ 17777: 67d2.*
+ 17778: 2e0b.*
+ 17779: 64da.*
+ 1777a: 2e0c.*
+ 1777b: 65da.*
+ 1777c: 2e0d.*
+ 1777d: 64da.*
+ 1777e: 2e0e.*
+ 1777f: 66da.*
+ 17780: 2e0f.*
+ 17781: 67da.*
+ 17782: 2e10.*
+ 17783: 67da.*
+ 17784: 2e11.*
+ 17785: 64e2.*
+ 17786: 2e12.*
+ 17787: 2e13.*
+ 17788: 65e2.*
+ 17789: 2e14.*
+ 1778a: 2e15.*
+ 1778b: 64e2.*
+ 1778c: 2e16.*
+ 1778d: 2e17.*
+ 1778e: 66e2.*
+ 1778f: 2e18.*
+ 17790: 2e19.*
+ 17791: 67e2.*
+ 17792: 2e1a.*
+ 17793: 2e1b.*
+ 17794: 67e2.*
+ 17795: 2e1c.*
+ 17796: 2e1d.*
+ 17797: 64ea.*
+ 17798: 2e1e.*
+ 17799: 2e1f.*
+ 1779a: 65ea.*
+ 1779b: 2e20.*
+ 1779c: 2e21.*
+ 1779d: 64ea.*
+ 1779e: 2e22.*
+ 1779f: 2e23.*
+ 177a0: 66ea.*
+ 177a1: 2e24.*
+ 177a2: 2e25.*
+ 177a3: 67ea.*
+ 177a4: 2e26.*
+ 177a5: 2e27.*
+ 177a6: 67ea.*
+ 177a7: 2e28.*
+ 177a8: 2e29.*
+ 177a9: 64f2.*
+ 177aa: 2e2a.*
+ 177ab: 2e2b.*
+ 177ac: 65f2.*
+ 177ad: 2e2c.*
+ 177ae: 2e2d.*
+ 177af: 64f2.*
+ 177b0: 2e2e.*
+ 177b1: 2e2f.*
+ 177b2: 66f2.*
+ 177b3: 2e30.*
+ 177b4: 2e31.*
+ 177b5: 67f2.*
+ 177b6: 2e32.*
+ 177b7: 2e33.*
+ 177b8: 67f2.*
+ 177b9: 2e34.*
+ 177ba: 2e35.*
+ 177bb: 64f8.*
+ 177bc: 2e36.*
+ 177bd: 2e37.*
+ 177be: 65f8.*
+ 177bf: 2e38.*
+ 177c0: 2e39.*
+ 177c1: 64f8.*
+ 177c2: 2e3a.*
+ 177c3: 2e3b.*
+ 177c4: 66f8.*
+ 177c5: 2e3c.*
+ 177c6: 2e3d.*
+ 177c7: 67f8.*
+ 177c8: 2e3e.*
+ 177c9: 2e3f.*
+ 177ca: 67f8.*
+ 177cb: 2e40.*
+ 177cc: 2e41.*
+ 177cd: 6483.*
+ 177ce: 2e42.*
+ 177cf: 6583.*
+ 177d0: 2e43.*
+ 177d1: 6483.*
+ 177d2: 2e44.*
+ 177d3: 6683.*
+ 177d4: 2e45.*
+ 177d5: 6783.*
+ 177d6: 2e46.*
+ 177d7: 6783.*
+ 177d8: 2e47.*
+ 177d9: 648b.*
+ 177da: 2e48.*
+ 177db: 658b.*
+ 177dc: 2e49.*
+ 177dd: 648b.*
+ 177de: 2e4a.*
+ 177df: 668b.*
+ 177e0: 2e4b.*
+ 177e1: 678b.*
+ 177e2: 2e4c.*
+ 177e3: 678b.*
+ 177e4: 2e4d.*
+ 177e5: 6493.*
+ 177e6: 2e4e.*
+ 177e7: 6593.*
+ 177e8: 2e4f.*
+ 177e9: 6493.*
+ 177ea: 2e50.*
+ 177eb: 6693.*
+ 177ec: 2e51.*
+ 177ed: 6793.*
+ 177ee: 2e52.*
+ 177ef: 6793.*
+ 177f0: 2e53.*
+ 177f1: 64a3.*
+ 177f2: 2e54.*
+ 177f3: 65a3.*
+ 177f4: 2e55.*
+ 177f5: 64a3.*
+ 177f6: 2e56.*
+ 177f7: 66a3.*
+ 177f8: 2e57.*
+ 177f9: 67a3.*
+ 177fa: 2e58.*
+ 177fb: 67a3.*
+ 177fc: 2e59.*
+ 177fd: 64ab.*
+ 177fe: 2e5a.*
+ 177ff: 65ab.*
+ 17800: 2e5b.*
+ 17801: 64ab.*
+ 17802: 2e5c.*
+ 17803: 66ab.*
+ 17804: 2e5d.*
+ 17805: 67ab.*
+ 17806: 2e5e.*
+ 17807: 67ab.*
+ 17808: 2e5f.*
+ 17809: 64b3.*
+ 1780a: 2e60.*
+ 1780b: 65b3.*
+ 1780c: 2e61.*
+ 1780d: 64b3.*
+ 1780e: 2e62.*
+ 1780f: 66b3.*
+ 17810: 2e63.*
+ 17811: 67b3.*
+ 17812: 2e64.*
+ 17813: 67b3.*
+ 17814: 2e65.*
+ 17815: 64bb.*
+ 17816: 2e66.*
+ 17817: 65bb.*
+ 17818: 2e67.*
+ 17819: 64bb.*
+ 1781a: 2e68.*
+ 1781b: 66bb.*
+ 1781c: 2e69.*
+ 1781d: 67bb.*
+ 1781e: 2e6a.*
+ 1781f: 67bb.*
+ 17820: 2e6b.*
+ 17821: 64c3.*
+ 17822: 2e6c.*
+ 17823: 65c3.*
+ 17824: 2e6d.*
+ 17825: 64c3.*
+ 17826: 2e6e.*
+ 17827: 66c3.*
+ 17828: 2e6f.*
+ 17829: 67c3.*
+ 1782a: 2e70.*
+ 1782b: 67c3.*
+ 1782c: 2e71.*
+ 1782d: 64cb.*
+ 1782e: 2e72.*
+ 1782f: 65cb.*
+ 17830: 2e73.*
+ 17831: 64cb.*
+ 17832: 2e74.*
+ 17833: 66cb.*
+ 17834: 2e75.*
+ 17835: 67cb.*
+ 17836: 2e76.*
+ 17837: 67cb.*
+ 17838: 2e77.*
+ 17839: 64d3.*
+ 1783a: 2e78.*
+ 1783b: 65d3.*
+ 1783c: 2e79.*
+ 1783d: 64d3.*
+ 1783e: 2e7a.*
+ 1783f: 66d3.*
+ 17840: 2e7b.*
+ 17841: 67d3.*
+ 17842: 2e7c.*
+ 17843: 67d3.*
+ 17844: 2e7d.*
+ 17845: 64db.*
+ 17846: 2e7e.*
+ 17847: 65db.*
+ 17848: 2e7f.*
+ 17849: 64db.*
+ 1784a: 2e80.*
+ 1784b: 66db.*
+ 1784c: 2e81.*
+ 1784d: 67db.*
+ 1784e: 2e82.*
+ 1784f: 67db.*
+ 17850: 2e83.*
+ 17851: 64e3.*
+ 17852: 2e84.*
+ 17853: 2e85.*
+ 17854: 65e3.*
+ 17855: 2e86.*
+ 17856: 2e87.*
+ 17857: 64e3.*
+ 17858: 2e88.*
+ 17859: 2e89.*
+ 1785a: 66e3.*
+ 1785b: 2e8a.*
+ 1785c: 2e8b.*
+ 1785d: 67e3.*
+ 1785e: 2e8c.*
+ 1785f: 2e8d.*
+ 17860: 67e3.*
+ 17861: 2e8e.*
+ 17862: 2e8f.*
+ 17863: 64eb.*
+ 17864: 2e90.*
+ 17865: 2e91.*
+ 17866: 65eb.*
+ 17867: 2e92.*
+ 17868: 2e93.*
+ 17869: 64eb.*
+ 1786a: 2e94.*
+ 1786b: 2e95.*
+ 1786c: 66eb.*
+ 1786d: 2e96.*
+ 1786e: 2e97.*
+ 1786f: 67eb.*
+ 17870: 2e98.*
+ 17871: 2e99.*
+ 17872: 67eb.*
+ 17873: 2e9a.*
+ 17874: 2e9b.*
+ 17875: 64f3.*
+ 17876: 2e9c.*
+ 17877: 2e9d.*
+ 17878: 65f3.*
+ 17879: 2e9e.*
+ 1787a: 2e9f.*
+ 1787b: 64f3.*
+ 1787c: 2ea0.*
+ 1787d: 2ea1.*
+ 1787e: 66f3.*
+ 1787f: 2ea2.*
+ 17880: 2ea3.*
+ 17881: 67f3.*
+ 17882: 2ea4.*
+ 17883: 2ea5.*
+ 17884: 67f3.*
+ 17885: 2ea6.*
+ 17886: 2ea7.*
+ 17887: 64f8.*
+ 17888: 2ea8.*
+ 17889: 2ea9.*
+ 1788a: 65f8.*
+ 1788b: 2eaa.*
+ 1788c: 2eab.*
+ 1788d: 64f8.*
+ 1788e: 2eac.*
+ 1788f: 2ead.*
+ 17890: 66f8.*
+ 17891: 2eae.*
+ 17892: 2eaf.*
+ 17893: 67f8.*
+ 17894: 2eb0.*
+ 17895: 2eb1.*
+ 17896: 67f8.*
+ 17897: 2eb2.*
+ 17898: 2eb3.*
+ 17899: 6484.*
+ 1789a: 2eb4.*
+ 1789b: 6584.*
+ 1789c: 2eb5.*
+ 1789d: 6484.*
+ 1789e: 2eb6.*
+ 1789f: 6684.*
+ 178a0: 2eb7.*
+ 178a1: 6784.*
+ 178a2: 2eb8.*
+ 178a3: 6784.*
+ 178a4: 2eb9.*
+ 178a5: 648c.*
+ 178a6: 2eba.*
+ 178a7: 658c.*
+ 178a8: 2ebb.*
+ 178a9: 648c.*
+ 178aa: 2ebc.*
+ 178ab: 668c.*
+ 178ac: 2ebd.*
+ 178ad: 678c.*
+ 178ae: 2ebe.*
+ 178af: 678c.*
+ 178b0: 2ebf.*
+ 178b1: 6494.*
+ 178b2: 2ec0.*
+ 178b3: 6594.*
+ 178b4: 2ec1.*
+ 178b5: 6494.*
+ 178b6: 2ec2.*
+ 178b7: 6694.*
+ 178b8: 2ec3.*
+ 178b9: 6794.*
+ 178ba: 2ec4.*
+ 178bb: 6794.*
+ 178bc: 2ec5.*
+ 178bd: 64a4.*
+ 178be: 2ec6.*
+ 178bf: 65a4.*
+ 178c0: 2ec7.*
+ 178c1: 64a4.*
+ 178c2: 2ec8.*
+ 178c3: 66a4.*
+ 178c4: 2ec9.*
+ 178c5: 67a4.*
+ 178c6: 2eca.*
+ 178c7: 67a4.*
+ 178c8: 2ecb.*
+ 178c9: 64ac.*
+ 178ca: 2ecc.*
+ 178cb: 65ac.*
+ 178cc: 2ecd.*
+ 178cd: 64ac.*
+ 178ce: 2ece.*
+ 178cf: 66ac.*
+ 178d0: 2ecf.*
+ 178d1: 67ac.*
+ 178d2: 2ed0.*
+ 178d3: 67ac.*
+ 178d4: 2ed1.*
+ 178d5: 64b4.*
+ 178d6: 2ed2.*
+ 178d7: 65b4.*
+ 178d8: 2ed3.*
+ 178d9: 64b4.*
+ 178da: 2ed4.*
+ 178db: 66b4.*
+ 178dc: 2ed5.*
+ 178dd: 67b4.*
+ 178de: 2ed6.*
+ 178df: 67b4.*
+ 178e0: 2ed7.*
+ 178e1: 64bc.*
+ 178e2: 2ed8.*
+ 178e3: 65bc.*
+ 178e4: 2ed9.*
+ 178e5: 64bc.*
+ 178e6: 2eda.*
+ 178e7: 66bc.*
+ 178e8: 2edb.*
+ 178e9: 67bc.*
+ 178ea: 2edc.*
+ 178eb: 67bc.*
+ 178ec: 2edd.*
+ 178ed: 64c4.*
+ 178ee: 2ede.*
+ 178ef: 65c4.*
+ 178f0: 2edf.*
+ 178f1: 64c4.*
+ 178f2: 2ee0.*
+ 178f3: 66c4.*
+ 178f4: 2ee1.*
+ 178f5: 67c4.*
+ 178f6: 2ee2.*
+ 178f7: 67c4.*
+ 178f8: 2ee3.*
+ 178f9: 64cc.*
+ 178fa: 2ee4.*
+ 178fb: 65cc.*
+ 178fc: 2ee5.*
+ 178fd: 64cc.*
+ 178fe: 2ee6.*
+ 178ff: 66cc.*
+ 17900: 2ee7.*
+ 17901: 67cc.*
+ 17902: 2ee8.*
+ 17903: 67cc.*
+ 17904: 2ee9.*
+ 17905: 64d4.*
+ 17906: 2eea.*
+ 17907: 65d4.*
+ 17908: 2eeb.*
+ 17909: 64d4.*
+ 1790a: 2eec.*
+ 1790b: 66d4.*
+ 1790c: 2eed.*
+ 1790d: 67d4.*
+ 1790e: 2eee.*
+ 1790f: 67d4.*
+ 17910: 2eef.*
+ 17911: 64dc.*
+ 17912: 2ef0.*
+ 17913: 65dc.*
+ 17914: 2ef1.*
+ 17915: 64dc.*
+ 17916: 2ef2.*
+ 17917: 66dc.*
+ 17918: 2ef3.*
+ 17919: 67dc.*
+ 1791a: 2ef4.*
+ 1791b: 67dc.*
+ 1791c: 2ef5.*
+ 1791d: 64e4.*
+ 1791e: 2ef6.*
+ 1791f: 2ef7.*
+ 17920: 65e4.*
+ 17921: 2ef8.*
+ 17922: 2ef9.*
+ 17923: 64e4.*
+ 17924: 2efa.*
+ 17925: 2efb.*
+ 17926: 66e4.*
+ 17927: 2efc.*
+ 17928: 2efd.*
+ 17929: 67e4.*
+ 1792a: 2efe.*
+ 1792b: 2eff.*
+ 1792c: 67e4.*
+ 1792d: 2f00.*
+ 1792e: 2f01.*
+ 1792f: 64ec.*
+ 17930: 2f02.*
+ 17931: 2f03.*
+ 17932: 65ec.*
+ 17933: 2f04.*
+ 17934: 2f05.*
+ 17935: 64ec.*
+ 17936: 2f06.*
+ 17937: 2f07.*
+ 17938: 66ec.*
+ 17939: 2f08.*
+ 1793a: 2f09.*
+ 1793b: 67ec.*
+ 1793c: 2f0a.*
+ 1793d: 2f0b.*
+ 1793e: 67ec.*
+ 1793f: 2f0c.*
+ 17940: 2f0d.*
+ 17941: 64f4.*
+ 17942: 2f0e.*
+ 17943: 2f0f.*
+ 17944: 65f4.*
+ 17945: 2f10.*
+ 17946: 2f11.*
+ 17947: 64f4.*
+ 17948: 2f12.*
+ 17949: 2f13.*
+ 1794a: 66f4.*
+ 1794b: 2f14.*
+ 1794c: 2f15.*
+ 1794d: 67f4.*
+ 1794e: 2f16.*
+ 1794f: 2f17.*
+ 17950: 67f4.*
+ 17951: 2f18.*
+ 17952: 2f19.*
+ 17953: 64f8.*
+ 17954: 2f1a.*
+ 17955: 2f1b.*
+ 17956: 65f8.*
+ 17957: 2f1c.*
+ 17958: 2f1d.*
+ 17959: 64f8.*
+ 1795a: 2f1e.*
+ 1795b: 2f1f.*
+ 1795c: 66f8.*
+ 1795d: 2f20.*
+ 1795e: 2f21.*
+ 1795f: 67f8.*
+ 17960: 2f22.*
+ 17961: 2f23.*
+ 17962: 67f8.*
+ 17963: 2f24.*
+ 17964: 2f25.*
+ 17965: 6485.*
+ 17966: 2f26.*
+ 17967: 6585.*
+ 17968: 2f27.*
+ 17969: 6485.*
+ 1796a: 2f28.*
+ 1796b: 6685.*
+ 1796c: 2f29.*
+ 1796d: 6785.*
+ 1796e: 2f2a.*
+ 1796f: 6785.*
+ 17970: 2f2b.*
+ 17971: 648d.*
+ 17972: 2f2c.*
+ 17973: 658d.*
+ 17974: 2f2d.*
+ 17975: 648d.*
+ 17976: 2f2e.*
+ 17977: 668d.*
+ 17978: 2f2f.*
+ 17979: 678d.*
+ 1797a: 2f30.*
+ 1797b: 678d.*
+ 1797c: 2f31.*
+ 1797d: 6495.*
+ 1797e: 2f32.*
+ 1797f: 6595.*
+ 17980: 2f33.*
+ 17981: 6495.*
+ 17982: 2f34.*
+ 17983: 6695.*
+ 17984: 2f35.*
+ 17985: 6795.*
+ 17986: 2f36.*
+ 17987: 6795.*
+ 17988: 2f37.*
+ 17989: 64a5.*
+ 1798a: 2f38.*
+ 1798b: 65a5.*
+ 1798c: 2f39.*
+ 1798d: 64a5.*
+ 1798e: 2f3a.*
+ 1798f: 66a5.*
+ 17990: 2f3b.*
+ 17991: 67a5.*
+ 17992: 2f3c.*
+ 17993: 67a5.*
+ 17994: 2f3d.*
+ 17995: 64ad.*
+ 17996: 2f3e.*
+ 17997: 65ad.*
+ 17998: 2f3f.*
+ 17999: 64ad.*
+ 1799a: 2f40.*
+ 1799b: 66ad.*
+ 1799c: 2f41.*
+ 1799d: 67ad.*
+ 1799e: 2f42.*
+ 1799f: 67ad.*
+ 179a0: 2f43.*
+ 179a1: 64b5.*
+ 179a2: 2f44.*
+ 179a3: 65b5.*
+ 179a4: 2f45.*
+ 179a5: 64b5.*
+ 179a6: 2f46.*
+ 179a7: 66b5.*
+ 179a8: 2f47.*
+ 179a9: 67b5.*
+ 179aa: 2f48.*
+ 179ab: 67b5.*
+ 179ac: 2f49.*
+ 179ad: 64bd.*
+ 179ae: 2f4a.*
+ 179af: 65bd.*
+ 179b0: 2f4b.*
+ 179b1: 64bd.*
+ 179b2: 2f4c.*
+ 179b3: 66bd.*
+ 179b4: 2f4d.*
+ 179b5: 67bd.*
+ 179b6: 2f4e.*
+ 179b7: 67bd.*
+ 179b8: 2f4f.*
+ 179b9: 64c5.*
+ 179ba: 2f50.*
+ 179bb: 65c5.*
+ 179bc: 2f51.*
+ 179bd: 64c5.*
+ 179be: 2f52.*
+ 179bf: 66c5.*
+ 179c0: 2f53.*
+ 179c1: 67c5.*
+ 179c2: 2f54.*
+ 179c3: 67c5.*
+ 179c4: 2f55.*
+ 179c5: 64cd.*
+ 179c6: 2f56.*
+ 179c7: 65cd.*
+ 179c8: 2f57.*
+ 179c9: 64cd.*
+ 179ca: 2f58.*
+ 179cb: 66cd.*
+ 179cc: 2f59.*
+ 179cd: 67cd.*
+ 179ce: 2f5a.*
+ 179cf: 67cd.*
+ 179d0: 2f5b.*
+ 179d1: 64d5.*
+ 179d2: 2f5c.*
+ 179d3: 65d5.*
+ 179d4: 2f5d.*
+ 179d5: 64d5.*
+ 179d6: 2f5e.*
+ 179d7: 66d5.*
+ 179d8: 2f5f.*
+ 179d9: 67d5.*
+ 179da: 2f60.*
+ 179db: 67d5.*
+ 179dc: 2f61.*
+ 179dd: 64dd.*
+ 179de: 2f62.*
+ 179df: 65dd.*
+ 179e0: 2f63.*
+ 179e1: 64dd.*
+ 179e2: 2f64.*
+ 179e3: 66dd.*
+ 179e4: 2f65.*
+ 179e5: 67dd.*
+ 179e6: 2f66.*
+ 179e7: 67dd.*
+ 179e8: 2f67.*
+ 179e9: 64e5.*
+ 179ea: 2f68.*
+ 179eb: 2f69.*
+ 179ec: 65e5.*
+ 179ed: 2f6a.*
+ 179ee: 2f6b.*
+ 179ef: 64e5.*
+ 179f0: 2f6c.*
+ 179f1: 2f6d.*
+ 179f2: 66e5.*
+ 179f3: 2f6e.*
+ 179f4: 2f6f.*
+ 179f5: 67e5.*
+ 179f6: 2f70.*
+ 179f7: 2f71.*
+ 179f8: 67e5.*
+ 179f9: 2f72.*
+ 179fa: 2f73.*
+ 179fb: 64ed.*
+ 179fc: 2f74.*
+ 179fd: 2f75.*
+ 179fe: 65ed.*
+ 179ff: 2f76.*
+ 17a00: 2f77.*
+ 17a01: 64ed.*
+ 17a02: 2f78.*
+ 17a03: 2f79.*
+ 17a04: 66ed.*
+ 17a05: 2f7a.*
+ 17a06: 2f7b.*
+ 17a07: 67ed.*
+ 17a08: 2f7c.*
+ 17a09: 2f7d.*
+ 17a0a: 67ed.*
+ 17a0b: 2f7e.*
+ 17a0c: 2f7f.*
+ 17a0d: 64f5.*
+ 17a0e: 2f80.*
+ 17a0f: 2f81.*
+ 17a10: 65f5.*
+ 17a11: 2f82.*
+ 17a12: 2f83.*
+ 17a13: 64f5.*
+ 17a14: 2f84.*
+ 17a15: 2f85.*
+ 17a16: 66f5.*
+ 17a17: 2f86.*
+ 17a18: 2f87.*
+ 17a19: 67f5.*
+ 17a1a: 2f88.*
+ 17a1b: 2f89.*
+ 17a1c: 67f5.*
+ 17a1d: 2f8a.*
+ 17a1e: 2f8b.*
+ 17a1f: 64f8.*
+ 17a20: 2f8c.*
+ 17a21: 2f8d.*
+ 17a22: 65f8.*
+ 17a23: 2f8e.*
+ 17a24: 2f8f.*
+ 17a25: 64f8.*
+ 17a26: 2f90.*
+ 17a27: 2f91.*
+ 17a28: 66f8.*
+ 17a29: 2f92.*
+ 17a2a: 2f93.*
+ 17a2b: 67f8.*
+ 17a2c: 2f94.*
+ 17a2d: 2f95.*
+ 17a2e: 67f8.*
+ 17a2f: 2f96.*
+ 17a30: 2f97.*
+ 17a31: 6486.*
+ 17a32: 2f98.*
+ 17a33: 6586.*
+ 17a34: 2f99.*
+ 17a35: 6486.*
+ 17a36: 2f9a.*
+ 17a37: 6686.*
+ 17a38: 2f9b.*
+ 17a39: 6786.*
+ 17a3a: 2f9c.*
+ 17a3b: 6786.*
+ 17a3c: 2f9d.*
+ 17a3d: 648e.*
+ 17a3e: 2f9e.*
+ 17a3f: 658e.*
+ 17a40: 2f9f.*
+ 17a41: 648e.*
+ 17a42: 2fa0.*
+ 17a43: 668e.*
+ 17a44: 2fa1.*
+ 17a45: 678e.*
+ 17a46: 2fa2.*
+ 17a47: 678e.*
+ 17a48: 2fa3.*
+ 17a49: 6496.*
+ 17a4a: 2fa4.*
+ 17a4b: 6596.*
+ 17a4c: 2fa5.*
+ 17a4d: 6496.*
+ 17a4e: 2fa6.*
+ 17a4f: 6696.*
+ 17a50: 2fa7.*
+ 17a51: 6796.*
+ 17a52: 2fa8.*
+ 17a53: 6796.*
+ 17a54: 2fa9.*
+ 17a55: 64a6.*
+ 17a56: 2faa.*
+ 17a57: 65a6.*
+ 17a58: 2fab.*
+ 17a59: 64a6.*
+ 17a5a: 2fac.*
+ 17a5b: 66a6.*
+ 17a5c: 2fad.*
+ 17a5d: 67a6.*
+ 17a5e: 2fae.*
+ 17a5f: 67a6.*
+ 17a60: 2faf.*
+ 17a61: 64ae.*
+ 17a62: 2fb0.*
+ 17a63: 65ae.*
+ 17a64: 2fb1.*
+ 17a65: 64ae.*
+ 17a66: 2fb2.*
+ 17a67: 66ae.*
+ 17a68: 2fb3.*
+ 17a69: 67ae.*
+ 17a6a: 2fb4.*
+ 17a6b: 67ae.*
+ 17a6c: 2fb5.*
+ 17a6d: 64b6.*
+ 17a6e: 2fb6.*
+ 17a6f: 65b6.*
+ 17a70: 2fb7.*
+ 17a71: 64b6.*
+ 17a72: 2fb8.*
+ 17a73: 66b6.*
+ 17a74: 2fb9.*
+ 17a75: 67b6.*
+ 17a76: 2fba.*
+ 17a77: 67b6.*
+ 17a78: 2fbb.*
+ 17a79: 64be.*
+ 17a7a: 2fbc.*
+ 17a7b: 65be.*
+ 17a7c: 2fbd.*
+ 17a7d: 64be.*
+ 17a7e: 2fbe.*
+ 17a7f: 66be.*
+ 17a80: 2fbf.*
+ 17a81: 67be.*
+ 17a82: 2fc0.*
+ 17a83: 67be.*
+ 17a84: 2fc1.*
+ 17a85: 64c6.*
+ 17a86: 2fc2.*
+ 17a87: 65c6.*
+ 17a88: 2fc3.*
+ 17a89: 64c6.*
+ 17a8a: 2fc4.*
+ 17a8b: 66c6.*
+ 17a8c: 2fc5.*
+ 17a8d: 67c6.*
+ 17a8e: 2fc6.*
+ 17a8f: 67c6.*
+ 17a90: 2fc7.*
+ 17a91: 64ce.*
+ 17a92: 2fc8.*
+ 17a93: 65ce.*
+ 17a94: 2fc9.*
+ 17a95: 64ce.*
+ 17a96: 2fca.*
+ 17a97: 66ce.*
+ 17a98: 2fcb.*
+ 17a99: 67ce.*
+ 17a9a: 2fcc.*
+ 17a9b: 67ce.*
+ 17a9c: 2fcd.*
+ 17a9d: 64d6.*
+ 17a9e: 2fce.*
+ 17a9f: 65d6.*
+ 17aa0: 2fcf.*
+ 17aa1: 64d6.*
+ 17aa2: 2fd0.*
+ 17aa3: 66d6.*
+ 17aa4: 2fd1.*
+ 17aa5: 67d6.*
+ 17aa6: 2fd2.*
+ 17aa7: 67d6.*
+ 17aa8: 2fd3.*
+ 17aa9: 64de.*
+ 17aaa: 2fd4.*
+ 17aab: 65de.*
+ 17aac: 2fd5.*
+ 17aad: 64de.*
+ 17aae: 2fd6.*
+ 17aaf: 66de.*
+ 17ab0: 2fd7.*
+ 17ab1: 67de.*
+ 17ab2: 2fd8.*
+ 17ab3: 67de.*
+ 17ab4: 2fd9.*
+ 17ab5: 64e6.*
+ 17ab6: 2fda.*
+ 17ab7: 2fdb.*
+ 17ab8: 65e6.*
+ 17ab9: 2fdc.*
+ 17aba: 2fdd.*
+ 17abb: 64e6.*
+ 17abc: 2fde.*
+ 17abd: 2fdf.*
+ 17abe: 66e6.*
+ 17abf: 2fe0.*
+ 17ac0: 2fe1.*
+ 17ac1: 67e6.*
+ 17ac2: 2fe2.*
+ 17ac3: 2fe3.*
+ 17ac4: 67e6.*
+ 17ac5: 2fe4.*
+ 17ac6: 2fe5.*
+ 17ac7: 64ee.*
+ 17ac8: 2fe6.*
+ 17ac9: 2fe7.*
+ 17aca: 65ee.*
+ 17acb: 2fe8.*
+ 17acc: 2fe9.*
+ 17acd: 64ee.*
+ 17ace: 2fea.*
+ 17acf: 2feb.*
+ 17ad0: 66ee.*
+ 17ad1: 2fec.*
+ 17ad2: 2fed.*
+ 17ad3: 67ee.*
+ 17ad4: 2fee.*
+ 17ad5: 2fef.*
+ 17ad6: 67ee.*
+ 17ad7: 2ff0.*
+ 17ad8: 2ff1.*
+ 17ad9: 64f6.*
+ 17ada: 2ff2.*
+ 17adb: 2ff3.*
+ 17adc: 65f6.*
+ 17add: 2ff4.*
+ 17ade: 2ff5.*
+ 17adf: 64f6.*
+ 17ae0: 2ff6.*
+ 17ae1: 2ff7.*
+ 17ae2: 66f6.*
+ 17ae3: 2ff8.*
+ 17ae4: 2ff9.*
+ 17ae5: 67f6.*
+ 17ae6: 2ffa.*
+ 17ae7: 2ffb.*
+ 17ae8: 67f6.*
+ 17ae9: 2ffc.*
+ 17aea: 2ffd.*
+ 17aeb: 64f8.*
+ 17aec: 2ffe.*
+ 17aed: 2fff.*
+ 17aee: 65f8.*
+ 17aef: 3000.*
+ 17af0: 3001.*
+ 17af1: 64f8.*
+ 17af2: 3002.*
+ 17af3: 3003.*
+ 17af4: 66f8.*
+ 17af5: 3004.*
+ 17af6: 3005.*
+ 17af7: 67f8.*
+ 17af8: 3006.*
+ 17af9: 3007.*
+ 17afa: 67f8.*
+ 17afb: 3008.*
+ 17afc: 3009.*
+ 17afd: 6487.*
+ 17afe: 300a.*
+ 17aff: 6587.*
+ 17b00: 300b.*
+ 17b01: 6487.*
+ 17b02: 300c.*
+ 17b03: 6687.*
+ 17b04: 300d.*
+ 17b05: 6787.*
+ 17b06: 300e.*
+ 17b07: 6787.*
+ 17b08: 300f.*
+ 17b09: 648f.*
+ 17b0a: 3010.*
+ 17b0b: 658f.*
+ 17b0c: 3011.*
+ 17b0d: 648f.*
+ 17b0e: 3012.*
+ 17b0f: 668f.*
+ 17b10: 3013.*
+ 17b11: 678f.*
+ 17b12: 3014.*
+ 17b13: 678f.*
+ 17b14: 3015.*
+ 17b15: 6497.*
+ 17b16: 3016.*
+ 17b17: 6597.*
+ 17b18: 3017.*
+ 17b19: 6497.*
+ 17b1a: 3018.*
+ 17b1b: 6697.*
+ 17b1c: 3019.*
+ 17b1d: 6797.*
+ 17b1e: 301a.*
+ 17b1f: 6797.*
+ 17b20: 301b.*
+ 17b21: 64a7.*
+ 17b22: 301c.*
+ 17b23: 65a7.*
+ 17b24: 301d.*
+ 17b25: 64a7.*
+ 17b26: 301e.*
+ 17b27: 66a7.*
+ 17b28: 301f.*
+ 17b29: 67a7.*
+ 17b2a: 3020.*
+ 17b2b: 67a7.*
+ 17b2c: 3021.*
+ 17b2d: 64af.*
+ 17b2e: 3022.*
+ 17b2f: 65af.*
+ 17b30: 3023.*
+ 17b31: 64af.*
+ 17b32: 3024.*
+ 17b33: 66af.*
+ 17b34: 3025.*
+ 17b35: 67af.*
+ 17b36: 3026.*
+ 17b37: 67af.*
+ 17b38: 3027.*
+ 17b39: 64b7.*
+ 17b3a: 3028.*
+ 17b3b: 65b7.*
+ 17b3c: 3029.*
+ 17b3d: 64b7.*
+ 17b3e: 302a.*
+ 17b3f: 66b7.*
+ 17b40: 302b.*
+ 17b41: 67b7.*
+ 17b42: 302c.*
+ 17b43: 67b7.*
+ 17b44: 302d.*
+ 17b45: 64bf.*
+ 17b46: 302e.*
+ 17b47: 65bf.*
+ 17b48: 302f.*
+ 17b49: 64bf.*
+ 17b4a: 3030.*
+ 17b4b: 66bf.*
+ 17b4c: 3031.*
+ 17b4d: 67bf.*
+ 17b4e: 3032.*
+ 17b4f: 67bf.*
+ 17b50: 3033.*
+ 17b51: 64c7.*
+ 17b52: 3034.*
+ 17b53: 65c7.*
+ 17b54: 3035.*
+ 17b55: 64c7.*
+ 17b56: 3036.*
+ 17b57: 66c7.*
+ 17b58: 3037.*
+ 17b59: 67c7.*
+ 17b5a: 3038.*
+ 17b5b: 67c7.*
+ 17b5c: 3039.*
+ 17b5d: 64cf.*
+ 17b5e: 303a.*
+ 17b5f: 65cf.*
+ 17b60: 303b.*
+ 17b61: 64cf.*
+ 17b62: 303c.*
+ 17b63: 66cf.*
+ 17b64: 303d.*
+ 17b65: 67cf.*
+ 17b66: 303e.*
+ 17b67: 67cf.*
+ 17b68: 303f.*
+ 17b69: 64d7.*
+ 17b6a: 3040.*
+ 17b6b: 65d7.*
+ 17b6c: 3041.*
+ 17b6d: 64d7.*
+ 17b6e: 3042.*
+ 17b6f: 66d7.*
+ 17b70: 3043.*
+ 17b71: 67d7.*
+ 17b72: 3044.*
+ 17b73: 67d7.*
+ 17b74: 3045.*
+ 17b75: 64df.*
+ 17b76: 3046.*
+ 17b77: 65df.*
+ 17b78: 3047.*
+ 17b79: 64df.*
+ 17b7a: 3048.*
+ 17b7b: 66df.*
+ 17b7c: 3049.*
+ 17b7d: 67df.*
+ 17b7e: 304a.*
+ 17b7f: 67df.*
+ 17b80: 304b.*
+ 17b81: 64e7.*
+ 17b82: 304c.*
+ 17b83: 304d.*
+ 17b84: 65e7.*
+ 17b85: 304e.*
+ 17b86: 304f.*
+ 17b87: 64e7.*
+ 17b88: 3050.*
+ 17b89: 3051.*
+ 17b8a: 66e7.*
+ 17b8b: 3052.*
+ 17b8c: 3053.*
+ 17b8d: 67e7.*
+ 17b8e: 3054.*
+ 17b8f: 3055.*
+ 17b90: 67e7.*
+ 17b91: 3056.*
+ 17b92: 3057.*
+ 17b93: 64ef.*
+ 17b94: 3058.*
+ 17b95: 3059.*
+ 17b96: 65ef.*
+ 17b97: 305a.*
+ 17b98: 305b.*
+ 17b99: 64ef.*
+ 17b9a: 305c.*
+ 17b9b: 305d.*
+ 17b9c: 66ef.*
+ 17b9d: 305e.*
+ 17b9e: 305f.*
+ 17b9f: 67ef.*
+ 17ba0: 3060.*
+ 17ba1: 3061.*
+ 17ba2: 67ef.*
+ 17ba3: 3062.*
+ 17ba4: 3063.*
+ 17ba5: 64f7.*
+ 17ba6: 3064.*
+ 17ba7: 3065.*
+ 17ba8: 65f7.*
+ 17ba9: 3066.*
+ 17baa: 3067.*
+ 17bab: 64f7.*
+ 17bac: 3068.*
+ 17bad: 3069.*
+ 17bae: 66f7.*
+ 17baf: 306a.*
+ 17bb0: 306b.*
+ 17bb1: 67f7.*
+ 17bb2: 306c.*
+ 17bb3: 306d.*
+ 17bb4: 67f7.*
+ 17bb5: 306e.*
+ 17bb6: 306f.*
+ 17bb7: 64f8.*
+ 17bb8: 3070.*
+ 17bb9: 3071.*
+ 17bba: 65f8.*
+ 17bbb: 3072.*
+ 17bbc: 3073.*
+ 17bbd: 64f8.*
+ 17bbe: 3074.*
+ 17bbf: 3075.*
+ 17bc0: 66f8.*
+ 17bc1: 3076.*
+ 17bc2: 3077.*
+ 17bc3: 67f8.*
+ 17bc4: 3078.*
+ 17bc5: 3079.*
+ 17bc6: 67f8.*
+ 17bc7: 307a.*
+ 17bc8: 307b.*
+ 17bc9: b000.*
+ 17bca: b100.*
+ 17bcb: b000.*
+ 17bcc: b200.*
+ 17bcd: b300.*
+ 17bce: b300.*
+ 17bcf: b004.*
+ 17bd0: b104.*
+ 17bd1: b004.*
+ 17bd2: b204.*
+ 17bd3: b304.*
+ 17bd4: b304.*
+ 17bd5: b008.*
+ 17bd6: b108.*
+ 17bd7: b008.*
+ 17bd8: b208.*
+ 17bd9: b308.*
+ 17bda: b308.*
+ 17bdb: b00c.*
+ 17bdc: b10c.*
+ 17bdd: b00c.*
+ 17bde: b20c.*
+ 17bdf: b30c.*
+ 17be0: b30c.*
+ 17be1: b001.*
+ 17be2: b101.*
+ 17be3: b001.*
+ 17be4: b201.*
+ 17be5: b301.*
+ 17be6: b301.*
+ 17be7: b005.*
+ 17be8: b105.*
+ 17be9: b005.*
+ 17bea: b205.*
+ 17beb: b305.*
+ 17bec: b305.*
+ 17bed: b009.*
+ 17bee: b109.*
+ 17bef: b009.*
+ 17bf0: b209.*
+ 17bf1: b309.*
+ 17bf2: b309.*
+ 17bf3: b00d.*
+ 17bf4: b10d.*
+ 17bf5: b00d.*
+ 17bf6: b20d.*
+ 17bf7: b30d.*
+ 17bf8: b30d.*
+ 17bf9: b002.*
+ 17bfa: b102.*
+ 17bfb: b002.*
+ 17bfc: b202.*
+ 17bfd: b302.*
+ 17bfe: b302.*
+ 17bff: b006.*
+ 17c00: b106.*
+ 17c01: b006.*
+ 17c02: b206.*
+ 17c03: b306.*
+ 17c04: b306.*
+ 17c05: b00a.*
+ 17c06: b10a.*
+ 17c07: b00a.*
+ 17c08: b20a.*
+ 17c09: b30a.*
+ 17c0a: b30a.*
+ 17c0b: b00e.*
+ 17c0c: b10e.*
+ 17c0d: b00e.*
+ 17c0e: b20e.*
+ 17c0f: b30e.*
+ 17c10: b30e.*
+ 17c11: b003.*
+ 17c12: b103.*
+ 17c13: b003.*
+ 17c14: b203.*
+ 17c15: b303.*
+ 17c16: b303.*
+ 17c17: b007.*
+ 17c18: b107.*
+ 17c19: b007.*
+ 17c1a: b207.*
+ 17c1b: b307.*
+ 17c1c: b307.*
+ 17c1d: b00b.*
+ 17c1e: b10b.*
+ 17c1f: b00b.*
+ 17c20: b20b.*
+ 17c21: b30b.*
+ 17c22: b30b.*
+ 17c23: b00f.*
+ 17c24: b10f.*
+ 17c25: b00f.*
+ 17c26: b20f.*
+ 17c27: b30f.*
+ 17c28: b30f.*
+ 17c29: b040.*
+ 17c2a: b140.*
+ 17c2b: b040.*
+ 17c2c: b240.*
+ 17c2d: b340.*
+ 17c2e: b340.*
+ 17c2f: b044.*
+ 17c30: b144.*
+ 17c31: b044.*
+ 17c32: b244.*
+ 17c33: b344.*
+ 17c34: b344.*
+ 17c35: b048.*
+ 17c36: b148.*
+ 17c37: b048.*
+ 17c38: b248.*
+ 17c39: b348.*
+ 17c3a: b348.*
+ 17c3b: b04c.*
+ 17c3c: b14c.*
+ 17c3d: b04c.*
+ 17c3e: b24c.*
+ 17c3f: b34c.*
+ 17c40: b34c.*
+ 17c41: b041.*
+ 17c42: b141.*
+ 17c43: b041.*
+ 17c44: b241.*
+ 17c45: b341.*
+ 17c46: b341.*
+ 17c47: b045.*
+ 17c48: b145.*
+ 17c49: b045.*
+ 17c4a: b245.*
+ 17c4b: b345.*
+ 17c4c: b345.*
+ 17c4d: b049.*
+ 17c4e: b149.*
+ 17c4f: b049.*
+ 17c50: b249.*
+ 17c51: b349.*
+ 17c52: b349.*
+ 17c53: b04d.*
+ 17c54: b14d.*
+ 17c55: b04d.*
+ 17c56: b24d.*
+ 17c57: b34d.*
+ 17c58: b34d.*
+ 17c59: b042.*
+ 17c5a: b142.*
+ 17c5b: b042.*
+ 17c5c: b242.*
+ 17c5d: b342.*
+ 17c5e: b342.*
+ 17c5f: b046.*
+ 17c60: b146.*
+ 17c61: b046.*
+ 17c62: b246.*
+ 17c63: b346.*
+ 17c64: b346.*
+ 17c65: b04a.*
+ 17c66: b14a.*
+ 17c67: b04a.*
+ 17c68: b24a.*
+ 17c69: b34a.*
+ 17c6a: b34a.*
+ 17c6b: b04e.*
+ 17c6c: b14e.*
+ 17c6d: b04e.*
+ 17c6e: b24e.*
+ 17c6f: b34e.*
+ 17c70: b34e.*
+ 17c71: b043.*
+ 17c72: b143.*
+ 17c73: b043.*
+ 17c74: b243.*
+ 17c75: b343.*
+ 17c76: b343.*
+ 17c77: b047.*
+ 17c78: b147.*
+ 17c79: b047.*
+ 17c7a: b247.*
+ 17c7b: b347.*
+ 17c7c: b347.*
+ 17c7d: b04b.*
+ 17c7e: b14b.*
+ 17c7f: b04b.*
+ 17c80: b24b.*
+ 17c81: b34b.*
+ 17c82: b34b.*
+ 17c83: b04f.*
+ 17c84: b14f.*
+ 17c85: b04f.*
+ 17c86: b24f.*
+ 17c87: b34f.*
+ 17c88: b34f.*
+ 17c89: b080.*
+ 17c8a: b180.*
+ 17c8b: b080.*
+ 17c8c: b280.*
+ 17c8d: b380.*
+ 17c8e: b380.*
+ 17c8f: b084.*
+ 17c90: b184.*
+ 17c91: b084.*
+ 17c92: b284.*
+ 17c93: b384.*
+ 17c94: b384.*
+ 17c95: b088.*
+ 17c96: b188.*
+ 17c97: b088.*
+ 17c98: b288.*
+ 17c99: b388.*
+ 17c9a: b388.*
+ 17c9b: b08c.*
+ 17c9c: b18c.*
+ 17c9d: b08c.*
+ 17c9e: b28c.*
+ 17c9f: b38c.*
+ 17ca0: b38c.*
+ 17ca1: b081.*
+ 17ca2: b181.*
+ 17ca3: b081.*
+ 17ca4: b281.*
+ 17ca5: b381.*
+ 17ca6: b381.*
+ 17ca7: b085.*
+ 17ca8: b185.*
+ 17ca9: b085.*
+ 17caa: b285.*
+ 17cab: b385.*
+ 17cac: b385.*
+ 17cad: b089.*
+ 17cae: b189.*
+ 17caf: b089.*
+ 17cb0: b289.*
+ 17cb1: b389.*
+ 17cb2: b389.*
+ 17cb3: b08d.*
+ 17cb4: b18d.*
+ 17cb5: b08d.*
+ 17cb6: b28d.*
+ 17cb7: b38d.*
+ 17cb8: b38d.*
+ 17cb9: b082.*
+ 17cba: b182.*
+ 17cbb: b082.*
+ 17cbc: b282.*
+ 17cbd: b382.*
+ 17cbe: b382.*
+ 17cbf: b086.*
+ 17cc0: b186.*
+ 17cc1: b086.*
+ 17cc2: b286.*
+ 17cc3: b386.*
+ 17cc4: b386.*
+ 17cc5: b08a.*
+ 17cc6: b18a.*
+ 17cc7: b08a.*
+ 17cc8: b28a.*
+ 17cc9: b38a.*
+ 17cca: b38a.*
+ 17ccb: b08e.*
+ 17ccc: b18e.*
+ 17ccd: b08e.*
+ 17cce: b28e.*
+ 17ccf: b38e.*
+ 17cd0: b38e.*
+ 17cd1: b083.*
+ 17cd2: b183.*
+ 17cd3: b083.*
+ 17cd4: b283.*
+ 17cd5: b383.*
+ 17cd6: b383.*
+ 17cd7: b087.*
+ 17cd8: b187.*
+ 17cd9: b087.*
+ 17cda: b287.*
+ 17cdb: b387.*
+ 17cdc: b387.*
+ 17cdd: b08b.*
+ 17cde: b18b.*
+ 17cdf: b08b.*
+ 17ce0: b28b.*
+ 17ce1: b38b.*
+ 17ce2: b38b.*
+ 17ce3: b08f.*
+ 17ce4: b18f.*
+ 17ce5: b08f.*
+ 17ce6: b28f.*
+ 17ce7: b38f.*
+ 17ce8: b38f.*
+ 17ce9: b0c0.*
+ 17cea: b1c0.*
+ 17ceb: b0c0.*
+ 17cec: b2c0.*
+ 17ced: b3c0.*
+ 17cee: b3c0.*
+ 17cef: b0c4.*
+ 17cf0: b1c4.*
+ 17cf1: b0c4.*
+ 17cf2: b2c4.*
+ 17cf3: b3c4.*
+ 17cf4: b3c4.*
+ 17cf5: b0c8.*
+ 17cf6: b1c8.*
+ 17cf7: b0c8.*
+ 17cf8: b2c8.*
+ 17cf9: b3c8.*
+ 17cfa: b3c8.*
+ 17cfb: b0cc.*
+ 17cfc: b1cc.*
+ 17cfd: b0cc.*
+ 17cfe: b2cc.*
+ 17cff: b3cc.*
+ 17d00: b3cc.*
+ 17d01: b0c1.*
+ 17d02: b1c1.*
+ 17d03: b0c1.*
+ 17d04: b2c1.*
+ 17d05: b3c1.*
+ 17d06: b3c1.*
+ 17d07: b0c5.*
+ 17d08: b1c5.*
+ 17d09: b0c5.*
+ 17d0a: b2c5.*
+ 17d0b: b3c5.*
+ 17d0c: b3c5.*
+ 17d0d: b0c9.*
+ 17d0e: b1c9.*
+ 17d0f: b0c9.*
+ 17d10: b2c9.*
+ 17d11: b3c9.*
+ 17d12: b3c9.*
+ 17d13: b0cd.*
+ 17d14: b1cd.*
+ 17d15: b0cd.*
+ 17d16: b2cd.*
+ 17d17: b3cd.*
+ 17d18: b3cd.*
+ 17d19: b0c2.*
+ 17d1a: b1c2.*
+ 17d1b: b0c2.*
+ 17d1c: b2c2.*
+ 17d1d: b3c2.*
+ 17d1e: b3c2.*
+ 17d1f: b0c6.*
+ 17d20: b1c6.*
+ 17d21: b0c6.*
+ 17d22: b2c6.*
+ 17d23: b3c6.*
+ 17d24: b3c6.*
+ 17d25: b0ca.*
+ 17d26: b1ca.*
+ 17d27: b0ca.*
+ 17d28: b2ca.*
+ 17d29: b3ca.*
+ 17d2a: b3ca.*
+ 17d2b: b0ce.*
+ 17d2c: b1ce.*
+ 17d2d: b0ce.*
+ 17d2e: b2ce.*
+ 17d2f: b3ce.*
+ 17d30: b3ce.*
+ 17d31: b0c3.*
+ 17d32: b1c3.*
+ 17d33: b0c3.*
+ 17d34: b2c3.*
+ 17d35: b3c3.*
+ 17d36: b3c3.*
+ 17d37: b0c7.*
+ 17d38: b1c7.*
+ 17d39: b0c7.*
+ 17d3a: b2c7.*
+ 17d3b: b3c7.*
+ 17d3c: b3c7.*
+ 17d3d: b0cb.*
+ 17d3e: b1cb.*
+ 17d3f: b0cb.*
+ 17d40: b2cb.*
+ 17d41: b3cb.*
+ 17d42: b3cb.*
+ 17d43: b0cf.*
+ 17d44: b1cf.*
+ 17d45: b0cf.*
+ 17d46: b2cf.*
+ 17d47: b3cf.*
+ 17d48: b3cf.*
+ 17d49: b010.*
+ 17d4a: b110.*
+ 17d4b: b010.*
+ 17d4c: b210.*
+ 17d4d: b310.*
+ 17d4e: b310.*
+ 17d4f: b014.*
+ 17d50: b114.*
+ 17d51: b014.*
+ 17d52: b214.*
+ 17d53: b314.*
+ 17d54: b314.*
+ 17d55: b018.*
+ 17d56: b118.*
+ 17d57: b018.*
+ 17d58: b218.*
+ 17d59: b318.*
+ 17d5a: b318.*
+ 17d5b: b01c.*
+ 17d5c: b11c.*
+ 17d5d: b01c.*
+ 17d5e: b21c.*
+ 17d5f: b31c.*
+ 17d60: b31c.*
+ 17d61: b011.*
+ 17d62: b111.*
+ 17d63: b011.*
+ 17d64: b211.*
+ 17d65: b311.*
+ 17d66: b311.*
+ 17d67: b015.*
+ 17d68: b115.*
+ 17d69: b015.*
+ 17d6a: b215.*
+ 17d6b: b315.*
+ 17d6c: b315.*
+ 17d6d: b019.*
+ 17d6e: b119.*
+ 17d6f: b019.*
+ 17d70: b219.*
+ 17d71: b319.*
+ 17d72: b319.*
+ 17d73: b01d.*
+ 17d74: b11d.*
+ 17d75: b01d.*
+ 17d76: b21d.*
+ 17d77: b31d.*
+ 17d78: b31d.*
+ 17d79: b012.*
+ 17d7a: b112.*
+ 17d7b: b012.*
+ 17d7c: b212.*
+ 17d7d: b312.*
+ 17d7e: b312.*
+ 17d7f: b016.*
+ 17d80: b116.*
+ 17d81: b016.*
+ 17d82: b216.*
+ 17d83: b316.*
+ 17d84: b316.*
+ 17d85: b01a.*
+ 17d86: b11a.*
+ 17d87: b01a.*
+ 17d88: b21a.*
+ 17d89: b31a.*
+ 17d8a: b31a.*
+ 17d8b: b01e.*
+ 17d8c: b11e.*
+ 17d8d: b01e.*
+ 17d8e: b21e.*
+ 17d8f: b31e.*
+ 17d90: b31e.*
+ 17d91: b013.*
+ 17d92: b113.*
+ 17d93: b013.*
+ 17d94: b213.*
+ 17d95: b313.*
+ 17d96: b313.*
+ 17d97: b017.*
+ 17d98: b117.*
+ 17d99: b017.*
+ 17d9a: b217.*
+ 17d9b: b317.*
+ 17d9c: b317.*
+ 17d9d: b01b.*
+ 17d9e: b11b.*
+ 17d9f: b01b.*
+ 17da0: b21b.*
+ 17da1: b31b.*
+ 17da2: b31b.*
+ 17da3: b01f.*
+ 17da4: b11f.*
+ 17da5: b01f.*
+ 17da6: b21f.*
+ 17da7: b31f.*
+ 17da8: b31f.*
+ 17da9: b050.*
+ 17daa: b150.*
+ 17dab: b050.*
+ 17dac: b250.*
+ 17dad: b350.*
+ 17dae: b350.*
+ 17daf: b054.*
+ 17db0: b154.*
+ 17db1: b054.*
+ 17db2: b254.*
+ 17db3: b354.*
+ 17db4: b354.*
+ 17db5: b058.*
+ 17db6: b158.*
+ 17db7: b058.*
+ 17db8: b258.*
+ 17db9: b358.*
+ 17dba: b358.*
+ 17dbb: b05c.*
+ 17dbc: b15c.*
+ 17dbd: b05c.*
+ 17dbe: b25c.*
+ 17dbf: b35c.*
+ 17dc0: b35c.*
+ 17dc1: b051.*
+ 17dc2: b151.*
+ 17dc3: b051.*
+ 17dc4: b251.*
+ 17dc5: b351.*
+ 17dc6: b351.*
+ 17dc7: b055.*
+ 17dc8: b155.*
+ 17dc9: b055.*
+ 17dca: b255.*
+ 17dcb: b355.*
+ 17dcc: b355.*
+ 17dcd: b059.*
+ 17dce: b159.*
+ 17dcf: b059.*
+ 17dd0: b259.*
+ 17dd1: b359.*
+ 17dd2: b359.*
+ 17dd3: b05d.*
+ 17dd4: b15d.*
+ 17dd5: b05d.*
+ 17dd6: b25d.*
+ 17dd7: b35d.*
+ 17dd8: b35d.*
+ 17dd9: b052.*
+ 17dda: b152.*
+ 17ddb: b052.*
+ 17ddc: b252.*
+ 17ddd: b352.*
+ 17dde: b352.*
+ 17ddf: b056.*
+ 17de0: b156.*
+ 17de1: b056.*
+ 17de2: b256.*
+ 17de3: b356.*
+ 17de4: b356.*
+ 17de5: b05a.*
+ 17de6: b15a.*
+ 17de7: b05a.*
+ 17de8: b25a.*
+ 17de9: b35a.*
+ 17dea: b35a.*
+ 17deb: b05e.*
+ 17dec: b15e.*
+ 17ded: b05e.*
+ 17dee: b25e.*
+ 17def: b35e.*
+ 17df0: b35e.*
+ 17df1: b053.*
+ 17df2: b153.*
+ 17df3: b053.*
+ 17df4: b253.*
+ 17df5: b353.*
+ 17df6: b353.*
+ 17df7: b057.*
+ 17df8: b157.*
+ 17df9: b057.*
+ 17dfa: b257.*
+ 17dfb: b357.*
+ 17dfc: b357.*
+ 17dfd: b05b.*
+ 17dfe: b15b.*
+ 17dff: b05b.*
+ 17e00: b25b.*
+ 17e01: b35b.*
+ 17e02: b35b.*
+ 17e03: b05f.*
+ 17e04: b15f.*
+ 17e05: b05f.*
+ 17e06: b25f.*
+ 17e07: b35f.*
+ 17e08: b35f.*
+ 17e09: b090.*
+ 17e0a: b190.*
+ 17e0b: b090.*
+ 17e0c: b290.*
+ 17e0d: b390.*
+ 17e0e: b390.*
+ 17e0f: b094.*
+ 17e10: b194.*
+ 17e11: b094.*
+ 17e12: b294.*
+ 17e13: b394.*
+ 17e14: b394.*
+ 17e15: b098.*
+ 17e16: b198.*
+ 17e17: b098.*
+ 17e18: b298.*
+ 17e19: b398.*
+ 17e1a: b398.*
+ 17e1b: b09c.*
+ 17e1c: b19c.*
+ 17e1d: b09c.*
+ 17e1e: b29c.*
+ 17e1f: b39c.*
+ 17e20: b39c.*
+ 17e21: b091.*
+ 17e22: b191.*
+ 17e23: b091.*
+ 17e24: b291.*
+ 17e25: b391.*
+ 17e26: b391.*
+ 17e27: b095.*
+ 17e28: b195.*
+ 17e29: b095.*
+ 17e2a: b295.*
+ 17e2b: b395.*
+ 17e2c: b395.*
+ 17e2d: b099.*
+ 17e2e: b199.*
+ 17e2f: b099.*
+ 17e30: b299.*
+ 17e31: b399.*
+ 17e32: b399.*
+ 17e33: b09d.*
+ 17e34: b19d.*
+ 17e35: b09d.*
+ 17e36: b29d.*
+ 17e37: b39d.*
+ 17e38: b39d.*
+ 17e39: b092.*
+ 17e3a: b192.*
+ 17e3b: b092.*
+ 17e3c: b292.*
+ 17e3d: b392.*
+ 17e3e: b392.*
+ 17e3f: b096.*
+ 17e40: b196.*
+ 17e41: b096.*
+ 17e42: b296.*
+ 17e43: b396.*
+ 17e44: b396.*
+ 17e45: b09a.*
+ 17e46: b19a.*
+ 17e47: b09a.*
+ 17e48: b29a.*
+ 17e49: b39a.*
+ 17e4a: b39a.*
+ 17e4b: b09e.*
+ 17e4c: b19e.*
+ 17e4d: b09e.*
+ 17e4e: b29e.*
+ 17e4f: b39e.*
+ 17e50: b39e.*
+ 17e51: b093.*
+ 17e52: b193.*
+ 17e53: b093.*
+ 17e54: b293.*
+ 17e55: b393.*
+ 17e56: b393.*
+ 17e57: b097.*
+ 17e58: b197.*
+ 17e59: b097.*
+ 17e5a: b297.*
+ 17e5b: b397.*
+ 17e5c: b397.*
+ 17e5d: b09b.*
+ 17e5e: b19b.*
+ 17e5f: b09b.*
+ 17e60: b29b.*
+ 17e61: b39b.*
+ 17e62: b39b.*
+ 17e63: b09f.*
+ 17e64: b19f.*
+ 17e65: b09f.*
+ 17e66: b29f.*
+ 17e67: b39f.*
+ 17e68: b39f.*
+ 17e69: b0d0.*
+ 17e6a: b1d0.*
+ 17e6b: b0d0.*
+ 17e6c: b2d0.*
+ 17e6d: b3d0.*
+ 17e6e: b3d0.*
+ 17e6f: b0d4.*
+ 17e70: b1d4.*
+ 17e71: b0d4.*
+ 17e72: b2d4.*
+ 17e73: b3d4.*
+ 17e74: b3d4.*
+ 17e75: b0d8.*
+ 17e76: b1d8.*
+ 17e77: b0d8.*
+ 17e78: b2d8.*
+ 17e79: b3d8.*
+ 17e7a: b3d8.*
+ 17e7b: b0dc.*
+ 17e7c: b1dc.*
+ 17e7d: b0dc.*
+ 17e7e: b2dc.*
+ 17e7f: b3dc.*
+ 17e80: b3dc.*
+ 17e81: b0d1.*
+ 17e82: b1d1.*
+ 17e83: b0d1.*
+ 17e84: b2d1.*
+ 17e85: b3d1.*
+ 17e86: b3d1.*
+ 17e87: b0d5.*
+ 17e88: b1d5.*
+ 17e89: b0d5.*
+ 17e8a: b2d5.*
+ 17e8b: b3d5.*
+ 17e8c: b3d5.*
+ 17e8d: b0d9.*
+ 17e8e: b1d9.*
+ 17e8f: b0d9.*
+ 17e90: b2d9.*
+ 17e91: b3d9.*
+ 17e92: b3d9.*
+ 17e93: b0dd.*
+ 17e94: b1dd.*
+ 17e95: b0dd.*
+ 17e96: b2dd.*
+ 17e97: b3dd.*
+ 17e98: b3dd.*
+ 17e99: b0d2.*
+ 17e9a: b1d2.*
+ 17e9b: b0d2.*
+ 17e9c: b2d2.*
+ 17e9d: b3d2.*
+ 17e9e: b3d2.*
+ 17e9f: b0d6.*
+ 17ea0: b1d6.*
+ 17ea1: b0d6.*
+ 17ea2: b2d6.*
+ 17ea3: b3d6.*
+ 17ea4: b3d6.*
+ 17ea5: b0da.*
+ 17ea6: b1da.*
+ 17ea7: b0da.*
+ 17ea8: b2da.*
+ 17ea9: b3da.*
+ 17eaa: b3da.*
+ 17eab: b0de.*
+ 17eac: b1de.*
+ 17ead: b0de.*
+ 17eae: b2de.*
+ 17eaf: b3de.*
+ 17eb0: b3de.*
+ 17eb1: b0d3.*
+ 17eb2: b1d3.*
+ 17eb3: b0d3.*
+ 17eb4: b2d3.*
+ 17eb5: b3d3.*
+ 17eb6: b3d3.*
+ 17eb7: b0d7.*
+ 17eb8: b1d7.*
+ 17eb9: b0d7.*
+ 17eba: b2d7.*
+ 17ebb: b3d7.*
+ 17ebc: b3d7.*
+ 17ebd: b0db.*
+ 17ebe: b1db.*
+ 17ebf: b0db.*
+ 17ec0: b2db.*
+ 17ec1: b3db.*
+ 17ec2: b3db.*
+ 17ec3: b0df.*
+ 17ec4: b1df.*
+ 17ec5: b0df.*
+ 17ec6: b2df.*
+ 17ec7: b3df.*
+ 17ec8: b3df.*
+ 17ec9: b020.*
+ 17eca: b120.*
+ 17ecb: b020.*
+ 17ecc: b220.*
+ 17ecd: b320.*
+ 17ece: b320.*
+ 17ecf: b024.*
+ 17ed0: b124.*
+ 17ed1: b024.*
+ 17ed2: b224.*
+ 17ed3: b324.*
+ 17ed4: b324.*
+ 17ed5: b028.*
+ 17ed6: b128.*
+ 17ed7: b028.*
+ 17ed8: b228.*
+ 17ed9: b328.*
+ 17eda: b328.*
+ 17edb: b02c.*
+ 17edc: b12c.*
+ 17edd: b02c.*
+ 17ede: b22c.*
+ 17edf: b32c.*
+ 17ee0: b32c.*
+ 17ee1: b021.*
+ 17ee2: b121.*
+ 17ee3: b021.*
+ 17ee4: b221.*
+ 17ee5: b321.*
+ 17ee6: b321.*
+ 17ee7: b025.*
+ 17ee8: b125.*
+ 17ee9: b025.*
+ 17eea: b225.*
+ 17eeb: b325.*
+ 17eec: b325.*
+ 17eed: b029.*
+ 17eee: b129.*
+ 17eef: b029.*
+ 17ef0: b229.*
+ 17ef1: b329.*
+ 17ef2: b329.*
+ 17ef3: b02d.*
+ 17ef4: b12d.*
+ 17ef5: b02d.*
+ 17ef6: b22d.*
+ 17ef7: b32d.*
+ 17ef8: b32d.*
+ 17ef9: b022.*
+ 17efa: b122.*
+ 17efb: b022.*
+ 17efc: b222.*
+ 17efd: b322.*
+ 17efe: b322.*
+ 17eff: b026.*
+ 17f00: b126.*
+ 17f01: b026.*
+ 17f02: b226.*
+ 17f03: b326.*
+ 17f04: b326.*
+ 17f05: b02a.*
+ 17f06: b12a.*
+ 17f07: b02a.*
+ 17f08: b22a.*
+ 17f09: b32a.*
+ 17f0a: b32a.*
+ 17f0b: b02e.*
+ 17f0c: b12e.*
+ 17f0d: b02e.*
+ 17f0e: b22e.*
+ 17f0f: b32e.*
+ 17f10: b32e.*
+ 17f11: b023.*
+ 17f12: b123.*
+ 17f13: b023.*
+ 17f14: b223.*
+ 17f15: b323.*
+ 17f16: b323.*
+ 17f17: b027.*
+ 17f18: b127.*
+ 17f19: b027.*
+ 17f1a: b227.*
+ 17f1b: b327.*
+ 17f1c: b327.*
+ 17f1d: b02b.*
+ 17f1e: b12b.*
+ 17f1f: b02b.*
+ 17f20: b22b.*
+ 17f21: b32b.*
+ 17f22: b32b.*
+ 17f23: b02f.*
+ 17f24: b12f.*
+ 17f25: b02f.*
+ 17f26: b22f.*
+ 17f27: b32f.*
+ 17f28: b32f.*
+ 17f29: b060.*
+ 17f2a: b160.*
+ 17f2b: b060.*
+ 17f2c: b260.*
+ 17f2d: b360.*
+ 17f2e: b360.*
+ 17f2f: b064.*
+ 17f30: b164.*
+ 17f31: b064.*
+ 17f32: b264.*
+ 17f33: b364.*
+ 17f34: b364.*
+ 17f35: b068.*
+ 17f36: b168.*
+ 17f37: b068.*
+ 17f38: b268.*
+ 17f39: b368.*
+ 17f3a: b368.*
+ 17f3b: b06c.*
+ 17f3c: b16c.*
+ 17f3d: b06c.*
+ 17f3e: b26c.*
+ 17f3f: b36c.*
+ 17f40: b36c.*
+ 17f41: b061.*
+ 17f42: b161.*
+ 17f43: b061.*
+ 17f44: b261.*
+ 17f45: b361.*
+ 17f46: b361.*
+ 17f47: b065.*
+ 17f48: b165.*
+ 17f49: b065.*
+ 17f4a: b265.*
+ 17f4b: b365.*
+ 17f4c: b365.*
+ 17f4d: b069.*
+ 17f4e: b169.*
+ 17f4f: b069.*
+ 17f50: b269.*
+ 17f51: b369.*
+ 17f52: b369.*
+ 17f53: b06d.*
+ 17f54: b16d.*
+ 17f55: b06d.*
+ 17f56: b26d.*
+ 17f57: b36d.*
+ 17f58: b36d.*
+ 17f59: b062.*
+ 17f5a: b162.*
+ 17f5b: b062.*
+ 17f5c: b262.*
+ 17f5d: b362.*
+ 17f5e: b362.*
+ 17f5f: b066.*
+ 17f60: b166.*
+ 17f61: b066.*
+ 17f62: b266.*
+ 17f63: b366.*
+ 17f64: b366.*
+ 17f65: b06a.*
+ 17f66: b16a.*
+ 17f67: b06a.*
+ 17f68: b26a.*
+ 17f69: b36a.*
+ 17f6a: b36a.*
+ 17f6b: b06e.*
+ 17f6c: b16e.*
+ 17f6d: b06e.*
+ 17f6e: b26e.*
+ 17f6f: b36e.*
+ 17f70: b36e.*
+ 17f71: b063.*
+ 17f72: b163.*
+ 17f73: b063.*
+ 17f74: b263.*
+ 17f75: b363.*
+ 17f76: b363.*
+ 17f77: b067.*
+ 17f78: b167.*
+ 17f79: b067.*
+ 17f7a: b267.*
+ 17f7b: b367.*
+ 17f7c: b367.*
+ 17f7d: b06b.*
+ 17f7e: b16b.*
+ 17f7f: b06b.*
+ 17f80: b26b.*
+ 17f81: b36b.*
+ 17f82: b36b.*
+ 17f83: b06f.*
+ 17f84: b16f.*
+ 17f85: b06f.*
+ 17f86: b26f.*
+ 17f87: b36f.*
+ 17f88: b36f.*
+ 17f89: b0a0.*
+ 17f8a: b1a0.*
+ 17f8b: b0a0.*
+ 17f8c: b2a0.*
+ 17f8d: b3a0.*
+ 17f8e: b3a0.*
+ 17f8f: b0a4.*
+ 17f90: b1a4.*
+ 17f91: b0a4.*
+ 17f92: b2a4.*
+ 17f93: b3a4.*
+ 17f94: b3a4.*
+ 17f95: b0a8.*
+ 17f96: b1a8.*
+ 17f97: b0a8.*
+ 17f98: b2a8.*
+ 17f99: b3a8.*
+ 17f9a: b3a8.*
+ 17f9b: b0ac.*
+ 17f9c: b1ac.*
+ 17f9d: b0ac.*
+ 17f9e: b2ac.*
+ 17f9f: b3ac.*
+ 17fa0: b3ac.*
+ 17fa1: b0a1.*
+ 17fa2: b1a1.*
+ 17fa3: b0a1.*
+ 17fa4: b2a1.*
+ 17fa5: b3a1.*
+ 17fa6: b3a1.*
+ 17fa7: b0a5.*
+ 17fa8: b1a5.*
+ 17fa9: b0a5.*
+ 17faa: b2a5.*
+ 17fab: b3a5.*
+ 17fac: b3a5.*
+ 17fad: b0a9.*
+ 17fae: b1a9.*
+ 17faf: b0a9.*
+ 17fb0: b2a9.*
+ 17fb1: b3a9.*
+ 17fb2: b3a9.*
+ 17fb3: b0ad.*
+ 17fb4: b1ad.*
+ 17fb5: b0ad.*
+ 17fb6: b2ad.*
+ 17fb7: b3ad.*
+ 17fb8: b3ad.*
+ 17fb9: b0a2.*
+ 17fba: b1a2.*
+ 17fbb: b0a2.*
+ 17fbc: b2a2.*
+ 17fbd: b3a2.*
+ 17fbe: b3a2.*
+ 17fbf: b0a6.*
+ 17fc0: b1a6.*
+ 17fc1: b0a6.*
+ 17fc2: b2a6.*
+ 17fc3: b3a6.*
+ 17fc4: b3a6.*
+ 17fc5: b0aa.*
+ 17fc6: b1aa.*
+ 17fc7: b0aa.*
+ 17fc8: b2aa.*
+ 17fc9: b3aa.*
+ 17fca: b3aa.*
+ 17fcb: b0ae.*
+ 17fcc: b1ae.*
+ 17fcd: b0ae.*
+ 17fce: b2ae.*
+ 17fcf: b3ae.*
+ 17fd0: b3ae.*
+ 17fd1: b0a3.*
+ 17fd2: b1a3.*
+ 17fd3: b0a3.*
+ 17fd4: b2a3.*
+ 17fd5: b3a3.*
+ 17fd6: b3a3.*
+ 17fd7: b0a7.*
+ 17fd8: b1a7.*
+ 17fd9: b0a7.*
+ 17fda: b2a7.*
+ 17fdb: b3a7.*
+ 17fdc: b3a7.*
+ 17fdd: b0ab.*
+ 17fde: b1ab.*
+ 17fdf: b0ab.*
+ 17fe0: b2ab.*
+ 17fe1: b3ab.*
+ 17fe2: b3ab.*
+ 17fe3: b0af.*
+ 17fe4: b1af.*
+ 17fe5: b0af.*
+ 17fe6: b2af.*
+ 17fe7: b3af.*
+ 17fe8: b3af.*
+ 17fe9: b0e0.*
+ 17fea: b1e0.*
+ 17feb: b0e0.*
+ 17fec: b2e0.*
+ 17fed: b3e0.*
+ 17fee: b3e0.*
+ 17fef: b0e4.*
+ 17ff0: b1e4.*
+ 17ff1: b0e4.*
+ 17ff2: b2e4.*
+ 17ff3: b3e4.*
+ 17ff4: b3e4.*
+ 17ff5: b0e8.*
+ 17ff6: b1e8.*
+ 17ff7: b0e8.*
+ 17ff8: b2e8.*
+ 17ff9: b3e8.*
+ 17ffa: b3e8.*
+ 17ffb: b0ec.*
+ 17ffc: b1ec.*
+ 17ffd: b0ec.*
+ 17ffe: b2ec.*
+ 17fff: b3ec.*
+ 18000: b3ec.*
+ 18001: b0e1.*
+ 18002: b1e1.*
+ 18003: b0e1.*
+ 18004: b2e1.*
+ 18005: b3e1.*
+ 18006: b3e1.*
+ 18007: b0e5.*
+ 18008: b1e5.*
+ 18009: b0e5.*
+ 1800a: b2e5.*
+ 1800b: b3e5.*
+ 1800c: b3e5.*
+ 1800d: b0e9.*
+ 1800e: b1e9.*
+ 1800f: b0e9.*
+ 18010: b2e9.*
+ 18011: b3e9.*
+ 18012: b3e9.*
+ 18013: b0ed.*
+ 18014: b1ed.*
+ 18015: b0ed.*
+ 18016: b2ed.*
+ 18017: b3ed.*
+ 18018: b3ed.*
+ 18019: b0e2.*
+ 1801a: b1e2.*
+ 1801b: b0e2.*
+ 1801c: b2e2.*
+ 1801d: b3e2.*
+ 1801e: b3e2.*
+ 1801f: b0e6.*
+ 18020: b1e6.*
+ 18021: b0e6.*
+ 18022: b2e6.*
+ 18023: b3e6.*
+ 18024: b3e6.*
+ 18025: b0ea.*
+ 18026: b1ea.*
+ 18027: b0ea.*
+ 18028: b2ea.*
+ 18029: b3ea.*
+ 1802a: b3ea.*
+ 1802b: b0ee.*
+ 1802c: b1ee.*
+ 1802d: b0ee.*
+ 1802e: b2ee.*
+ 1802f: b3ee.*
+ 18030: b3ee.*
+ 18031: b0e3.*
+ 18032: b1e3.*
+ 18033: b0e3.*
+ 18034: b2e3.*
+ 18035: b3e3.*
+ 18036: b3e3.*
+ 18037: b0e7.*
+ 18038: b1e7.*
+ 18039: b0e7.*
+ 1803a: b2e7.*
+ 1803b: b3e7.*
+ 1803c: b3e7.*
+ 1803d: b0eb.*
+ 1803e: b1eb.*
+ 1803f: b0eb.*
+ 18040: b2eb.*
+ 18041: b3eb.*
+ 18042: b3eb.*
+ 18043: b0ef.*
+ 18044: b1ef.*
+ 18045: b0ef.*
+ 18046: b2ef.*
+ 18047: b3ef.*
+ 18048: b3ef.*
+ 18049: b030.*
+ 1804a: b130.*
+ 1804b: b030.*
+ 1804c: b230.*
+ 1804d: b330.*
+ 1804e: b330.*
+ 1804f: b034.*
+ 18050: b134.*
+ 18051: b034.*
+ 18052: b234.*
+ 18053: b334.*
+ 18054: b334.*
+ 18055: b038.*
+ 18056: b138.*
+ 18057: b038.*
+ 18058: b238.*
+ 18059: b338.*
+ 1805a: b338.*
+ 1805b: b03c.*
+ 1805c: b13c.*
+ 1805d: b03c.*
+ 1805e: b23c.*
+ 1805f: b33c.*
+ 18060: b33c.*
+ 18061: b031.*
+ 18062: b131.*
+ 18063: b031.*
+ 18064: b231.*
+ 18065: b331.*
+ 18066: b331.*
+ 18067: b035.*
+ 18068: b135.*
+ 18069: b035.*
+ 1806a: b235.*
+ 1806b: b335.*
+ 1806c: b335.*
+ 1806d: b039.*
+ 1806e: b139.*
+ 1806f: b039.*
+ 18070: b239.*
+ 18071: b339.*
+ 18072: b339.*
+ 18073: b03d.*
+ 18074: b13d.*
+ 18075: b03d.*
+ 18076: b23d.*
+ 18077: b33d.*
+ 18078: b33d.*
+ 18079: b032.*
+ 1807a: b132.*
+ 1807b: b032.*
+ 1807c: b232.*
+ 1807d: b332.*
+ 1807e: b332.*
+ 1807f: b036.*
+ 18080: b136.*
+ 18081: b036.*
+ 18082: b236.*
+ 18083: b336.*
+ 18084: b336.*
+ 18085: b03a.*
+ 18086: b13a.*
+ 18087: b03a.*
+ 18088: b23a.*
+ 18089: b33a.*
+ 1808a: b33a.*
+ 1808b: b03e.*
+ 1808c: b13e.*
+ 1808d: b03e.*
+ 1808e: b23e.*
+ 1808f: b33e.*
+ 18090: b33e.*
+ 18091: b033.*
+ 18092: b133.*
+ 18093: b033.*
+ 18094: b233.*
+ 18095: b333.*
+ 18096: b333.*
+ 18097: b037.*
+ 18098: b137.*
+ 18099: b037.*
+ 1809a: b237.*
+ 1809b: b337.*
+ 1809c: b337.*
+ 1809d: b03b.*
+ 1809e: b13b.*
+ 1809f: b03b.*
+ 180a0: b23b.*
+ 180a1: b33b.*
+ 180a2: b33b.*
+ 180a3: b03f.*
+ 180a4: b13f.*
+ 180a5: b03f.*
+ 180a6: b23f.*
+ 180a7: b33f.*
+ 180a8: b33f.*
+ 180a9: b070.*
+ 180aa: b170.*
+ 180ab: b070.*
+ 180ac: b270.*
+ 180ad: b370.*
+ 180ae: b370.*
+ 180af: b074.*
+ 180b0: b174.*
+ 180b1: b074.*
+ 180b2: b274.*
+ 180b3: b374.*
+ 180b4: b374.*
+ 180b5: b078.*
+ 180b6: b178.*
+ 180b7: b078.*
+ 180b8: b278.*
+ 180b9: b378.*
+ 180ba: b378.*
+ 180bb: b07c.*
+ 180bc: b17c.*
+ 180bd: b07c.*
+ 180be: b27c.*
+ 180bf: b37c.*
+ 180c0: b37c.*
+ 180c1: b071.*
+ 180c2: b171.*
+ 180c3: b071.*
+ 180c4: b271.*
+ 180c5: b371.*
+ 180c6: b371.*
+ 180c7: b075.*
+ 180c8: b175.*
+ 180c9: b075.*
+ 180ca: b275.*
+ 180cb: b375.*
+ 180cc: b375.*
+ 180cd: b079.*
+ 180ce: b179.*
+ 180cf: b079.*
+ 180d0: b279.*
+ 180d1: b379.*
+ 180d2: b379.*
+ 180d3: b07d.*
+ 180d4: b17d.*
+ 180d5: b07d.*
+ 180d6: b27d.*
+ 180d7: b37d.*
+ 180d8: b37d.*
+ 180d9: b072.*
+ 180da: b172.*
+ 180db: b072.*
+ 180dc: b272.*
+ 180dd: b372.*
+ 180de: b372.*
+ 180df: b076.*
+ 180e0: b176.*
+ 180e1: b076.*
+ 180e2: b276.*
+ 180e3: b376.*
+ 180e4: b376.*
+ 180e5: b07a.*
+ 180e6: b17a.*
+ 180e7: b07a.*
+ 180e8: b27a.*
+ 180e9: b37a.*
+ 180ea: b37a.*
+ 180eb: b07e.*
+ 180ec: b17e.*
+ 180ed: b07e.*
+ 180ee: b27e.*
+ 180ef: b37e.*
+ 180f0: b37e.*
+ 180f1: b073.*
+ 180f2: b173.*
+ 180f3: b073.*
+ 180f4: b273.*
+ 180f5: b373.*
+ 180f6: b373.*
+ 180f7: b077.*
+ 180f8: b177.*
+ 180f9: b077.*
+ 180fa: b277.*
+ 180fb: b377.*
+ 180fc: b377.*
+ 180fd: b07b.*
+ 180fe: b17b.*
+ 180ff: b07b.*
+ 18100: b27b.*
+ 18101: b37b.*
+ 18102: b37b.*
+ 18103: b07f.*
+ 18104: b17f.*
+ 18105: b07f.*
+ 18106: b27f.*
+ 18107: b37f.*
+ 18108: b37f.*
+ 18109: b0b0.*
+ 1810a: b1b0.*
+ 1810b: b0b0.*
+ 1810c: b2b0.*
+ 1810d: b3b0.*
+ 1810e: b3b0.*
+ 1810f: b0b4.*
+ 18110: b1b4.*
+ 18111: b0b4.*
+ 18112: b2b4.*
+ 18113: b3b4.*
+ 18114: b3b4.*
+ 18115: b0b8.*
+ 18116: b1b8.*
+ 18117: b0b8.*
+ 18118: b2b8.*
+ 18119: b3b8.*
+ 1811a: b3b8.*
+ 1811b: b0bc.*
+ 1811c: b1bc.*
+ 1811d: b0bc.*
+ 1811e: b2bc.*
+ 1811f: b3bc.*
+ 18120: b3bc.*
+ 18121: b0b1.*
+ 18122: b1b1.*
+ 18123: b0b1.*
+ 18124: b2b1.*
+ 18125: b3b1.*
+ 18126: b3b1.*
+ 18127: b0b5.*
+ 18128: b1b5.*
+ 18129: b0b5.*
+ 1812a: b2b5.*
+ 1812b: b3b5.*
+ 1812c: b3b5.*
+ 1812d: b0b9.*
+ 1812e: b1b9.*
+ 1812f: b0b9.*
+ 18130: b2b9.*
+ 18131: b3b9.*
+ 18132: b3b9.*
+ 18133: b0bd.*
+ 18134: b1bd.*
+ 18135: b0bd.*
+ 18136: b2bd.*
+ 18137: b3bd.*
+ 18138: b3bd.*
+ 18139: b0b2.*
+ 1813a: b1b2.*
+ 1813b: b0b2.*
+ 1813c: b2b2.*
+ 1813d: b3b2.*
+ 1813e: b3b2.*
+ 1813f: b0b6.*
+ 18140: b1b6.*
+ 18141: b0b6.*
+ 18142: b2b6.*
+ 18143: b3b6.*
+ 18144: b3b6.*
+ 18145: b0ba.*
+ 18146: b1ba.*
+ 18147: b0ba.*
+ 18148: b2ba.*
+ 18149: b3ba.*
+ 1814a: b3ba.*
+ 1814b: b0be.*
+ 1814c: b1be.*
+ 1814d: b0be.*
+ 1814e: b2be.*
+ 1814f: b3be.*
+ 18150: b3be.*
+ 18151: b0b3.*
+ 18152: b1b3.*
+ 18153: b0b3.*
+ 18154: b2b3.*
+ 18155: b3b3.*
+ 18156: b3b3.*
+ 18157: b0b7.*
+ 18158: b1b7.*
+ 18159: b0b7.*
+ 1815a: b2b7.*
+ 1815b: b3b7.*
+ 1815c: b3b7.*
+ 1815d: b0bb.*
+ 1815e: b1bb.*
+ 1815f: b0bb.*
+ 18160: b2bb.*
+ 18161: b3bb.*
+ 18162: b3bb.*
+ 18163: b0bf.*
+ 18164: b1bf.*
+ 18165: b0bf.*
+ 18166: b2bf.*
+ 18167: b3bf.*
+ 18168: b3bf.*
+ 18169: b0f0.*
+ 1816a: b1f0.*
+ 1816b: b0f0.*
+ 1816c: b2f0.*
+ 1816d: b3f0.*
+ 1816e: b3f0.*
+ 1816f: b0f4.*
+ 18170: b1f4.*
+ 18171: b0f4.*
+ 18172: b2f4.*
+ 18173: b3f4.*
+ 18174: b3f4.*
+ 18175: b0f8.*
+ 18176: b1f8.*
+ 18177: b0f8.*
+ 18178: b2f8.*
+ 18179: b3f8.*
+ 1817a: b3f8.*
+ 1817b: b0fc.*
+ 1817c: b1fc.*
+ 1817d: b0fc.*
+ 1817e: b2fc.*
+ 1817f: b3fc.*
+ 18180: b3fc.*
+ 18181: b0f1.*
+ 18182: b1f1.*
+ 18183: b0f1.*
+ 18184: b2f1.*
+ 18185: b3f1.*
+ 18186: b3f1.*
+ 18187: b0f5.*
+ 18188: b1f5.*
+ 18189: b0f5.*
+ 1818a: b2f5.*
+ 1818b: b3f5.*
+ 1818c: b3f5.*
+ 1818d: b0f9.*
+ 1818e: b1f9.*
+ 1818f: b0f9.*
+ 18190: b2f9.*
+ 18191: b3f9.*
+ 18192: b3f9.*
+ 18193: b0fd.*
+ 18194: b1fd.*
+ 18195: b0fd.*
+ 18196: b2fd.*
+ 18197: b3fd.*
+ 18198: b3fd.*
+ 18199: b0f2.*
+ 1819a: b1f2.*
+ 1819b: b0f2.*
+ 1819c: b2f2.*
+ 1819d: b3f2.*
+ 1819e: b3f2.*
+ 1819f: b0f6.*
+ 181a0: b1f6.*
+ 181a1: b0f6.*
+ 181a2: b2f6.*
+ 181a3: b3f6.*
+ 181a4: b3f6.*
+ 181a5: b0fa.*
+ 181a6: b1fa.*
+ 181a7: b0fa.*
+ 181a8: b2fa.*
+ 181a9: b3fa.*
+ 181aa: b3fa.*
+ 181ab: b0fe.*
+ 181ac: b1fe.*
+ 181ad: b0fe.*
+ 181ae: b2fe.*
+ 181af: b3fe.*
+ 181b0: b3fe.*
+ 181b1: b0f3.*
+ 181b2: b1f3.*
+ 181b3: b0f3.*
+ 181b4: b2f3.*
+ 181b5: b3f3.*
+ 181b6: b3f3.*
+ 181b7: b0f7.*
+ 181b8: b1f7.*
+ 181b9: b0f7.*
+ 181ba: b2f7.*
+ 181bb: b3f7.*
+ 181bc: b3f7.*
+ 181bd: b0fb.*
+ 181be: b1fb.*
+ 181bf: b0fb.*
+ 181c0: b2fb.*
+ 181c1: b3fb.*
+ 181c2: b3fb.*
+ 181c3: b0ff.*
+ 181c4: b1ff.*
+ 181c5: b0ff.*
+ 181c6: b2ff.*
+ 181c7: b3ff.*
+ 181c8: b3ff.*
+ 181c9: 6d56.*
+ 181ca: 6d80.*
+ 181cb: 6d88.*
+ 181cc: 6d90.*
+ 181cd: 6da0.*
+ 181ce: 6da8.*
+ 181cf: 6db0.*
+ 181d0: 6db8.*
+ 181d1: 6dc0.*
+ 181d2: 6dc8.*
+ 181d3: 6dd0.*
+ 181d4: 6dd8.*
+ 181d5: 6de0.*
+ 181d6: 307c.*
+ 181d7: 6de8.*
+ 181d8: 307d.*
+ 181d9: 6df0.*
+ 181da: 307e.*
+ 181db: 6df8.*
+ 181dc: 307f.*
+ 181dd: 6d81.*
+ 181de: 6d89.*
+ 181df: 6d91.*
+ 181e0: 6da1.*
+ 181e1: 6da9.*
+ 181e2: 6db1.*
+ 181e3: 6db9.*
+ 181e4: 6dc1.*
+ 181e5: 6dc9.*
+ 181e6: 6dd1.*
+ 181e7: 6dd9.*
+ 181e8: 6de1.*
+ 181e9: 3080.*
+ 181ea: 6de9.*
+ 181eb: 3081.*
+ 181ec: 6df1.*
+ 181ed: 3082.*
+ 181ee: 6df8.*
+ 181ef: 3083.*
+ 181f0: 6d82.*
+ 181f1: 6d8a.*
+ 181f2: 6d92.*
+ 181f3: 6da2.*
+ 181f4: 6daa.*
+ 181f5: 6db2.*
+ 181f6: 6dba.*
+ 181f7: 6dc2.*
+ 181f8: 6dca.*
+ 181f9: 6dd2.*
+ 181fa: 6dda.*
+ 181fb: 6de2.*
+ 181fc: 3084.*
+ 181fd: 6dea.*
+ 181fe: 3085.*
+ 181ff: 6df2.*
+ 18200: 3086.*
+ 18201: 6df8.*
+ 18202: 3087.*
+ 18203: 6d83.*
+ 18204: 6d8b.*
+ 18205: 6d93.*
+ 18206: 6da3.*
+ 18207: 6dab.*
+ 18208: 6db3.*
+ 18209: 6dbb.*
+ 1820a: 6dc3.*
+ 1820b: 6dcb.*
+ 1820c: 6dd3.*
+ 1820d: 6ddb.*
+ 1820e: 6de3.*
+ 1820f: 3088.*
+ 18210: 6deb.*
+ 18211: 3089.*
+ 18212: 6df3.*
+ 18213: 308a.*
+ 18214: 6df8.*
+ 18215: 308b.*
+ 18216: 6d84.*
+ 18217: 6d8c.*
+ 18218: 6d94.*
+ 18219: 6da4.*
+ 1821a: 6dac.*
+ 1821b: 6db4.*
+ 1821c: 6dbc.*
+ 1821d: 6dc4.*
+ 1821e: 6dcc.*
+ 1821f: 6dd4.*
+ 18220: 6ddc.*
+ 18221: 6de4.*
+ 18222: 308c.*
+ 18223: 6dec.*
+ 18224: 308d.*
+ 18225: 6df4.*
+ 18226: 308e.*
+ 18227: 6df8.*
+ 18228: 308f.*
+ 18229: 6d85.*
+ 1822a: 6d8d.*
+ 1822b: 6d95.*
+ 1822c: 6da5.*
+ 1822d: 6dad.*
+ 1822e: 6db5.*
+ 1822f: 6dbd.*
+ 18230: 6dc5.*
+ 18231: 6dcd.*
+ 18232: 6dd5.*
+ 18233: 6ddd.*
+ 18234: 6de5.*
+ 18235: 3090.*
+ 18236: 6ded.*
+ 18237: 3091.*
+ 18238: 6df5.*
+ 18239: 3092.*
+ 1823a: 6df8.*
+ 1823b: 3093.*
+ 1823c: 6d86.*
+ 1823d: 6d8e.*
+ 1823e: 6d96.*
+ 1823f: 6da6.*
+ 18240: 6dae.*
+ 18241: 6db6.*
+ 18242: 6dbe.*
+ 18243: 6dc6.*
+ 18244: 6dce.*
+ 18245: 6dd6.*
+ 18246: 6dde.*
+ 18247: 6de6.*
+ 18248: 3094.*
+ 18249: 6dee.*
+ 1824a: 3095.*
+ 1824b: 6df6.*
+ 1824c: 3096.*
+ 1824d: 6df8.*
+ 1824e: 3097.*
+ 1824f: 6d87.*
+ 18250: 6d8f.*
+ 18251: 6d97.*
+ 18252: 6da7.*
+ 18253: 6daf.*
+ 18254: 6db7.*
+ 18255: 6dbf.*
+ 18256: 6dc7.*
+ 18257: 6dcf.*
+ 18258: 6dd7.*
+ 18259: 6ddf.*
+ 1825a: 6de7.*
+ 1825b: 3098.*
+ 1825c: 6def.*
+ 1825d: 3099.*
+ 1825e: 6df7.*
+ 1825f: 309a.*
+ 18260: 6df8.*
+ 18261: 309b.*
+ 18262: 2c57.*
+ 18263: 2d58.*
+ 18264: 3359.*
+ 18265: 335a.*
+ 18266: f48b.*
+ 18267: f58b.*
+ 18268: f48b.*
+ 18269: f68b.*
+ 1826a: f78b.*
+ 1826b: f78b.*
+ 1826c: 3380.*
+ 1826d: 3380.*
+ 1826e: 3388.*
+ 1826f: 3388.*
+ 18270: 3390.*
+ 18271: 3390.*
+ 18272: 33a0.*
+ 18273: 33a0.*
+ 18274: 33a8.*
+ 18275: 33a8.*
+ 18276: 33b0.*
+ 18277: 33b0.*
+ 18278: 33b8.*
+ 18279: 33b8.*
+ 1827a: 33c0.*
+ 1827b: 33c0.*
+ 1827c: 33c8.*
+ 1827d: 33c8.*
+ 1827e: 33d0.*
+ 1827f: 33d0.*
+ 18280: 33d8.*
+ 18281: 33d8.*
+ 18282: 33e0.*
+ 18283: 309c.*
+ 18284: 33e0.*
+ 18285: 309d.*
+ 18286: 33e8.*
+ 18287: 309e.*
+ 18288: 33e8.*
+ 18289: 309f.*
+ 1828a: 33f0.*
+ 1828b: 30a0.*
+ 1828c: 33f0.*
+ 1828d: 30a1.*
+ 1828e: 33f8.*
+ 1828f: 30a2.*
+ 18290: 33f8.*
+ 18291: 30a3.*
+ 18292: 3381.*
+ 18293: 3381.*
+ 18294: 3389.*
+ 18295: 3389.*
+ 18296: 3391.*
+ 18297: 3391.*
+ 18298: 33a1.*
+ 18299: 33a1.*
+ 1829a: 33a9.*
+ 1829b: 33a9.*
+ 1829c: 33b1.*
+ 1829d: 33b1.*
+ 1829e: 33b9.*
+ 1829f: 33b9.*
+ 182a0: 33c1.*
+ 182a1: 33c1.*
+ 182a2: 33c9.*
+ 182a3: 33c9.*
+ 182a4: 33d1.*
+ 182a5: 33d1.*
+ 182a6: 33d9.*
+ 182a7: 33d9.*
+ 182a8: 33e1.*
+ 182a9: 30a4.*
+ 182aa: 33e1.*
+ 182ab: 30a5.*
+ 182ac: 33e9.*
+ 182ad: 30a6.*
+ 182ae: 33e9.*
+ 182af: 30a7.*
+ 182b0: 33f1.*
+ 182b1: 30a8.*
+ 182b2: 33f1.*
+ 182b3: 30a9.*
+ 182b4: 33f8.*
+ 182b5: 30aa.*
+ 182b6: 33f8.*
+ 182b7: 30ab.*
+ 182b8: 3382.*
+ 182b9: 3382.*
+ 182ba: 338a.*
+ 182bb: 338a.*
+ 182bc: 3392.*
+ 182bd: 3392.*
+ 182be: 33a2.*
+ 182bf: 33a2.*
+ 182c0: 33aa.*
+ 182c1: 33aa.*
+ 182c2: 33b2.*
+ 182c3: 33b2.*
+ 182c4: 33ba.*
+ 182c5: 33ba.*
+ 182c6: 33c2.*
+ 182c7: 33c2.*
+ 182c8: 33ca.*
+ 182c9: 33ca.*
+ 182ca: 33d2.*
+ 182cb: 33d2.*
+ 182cc: 33da.*
+ 182cd: 33da.*
+ 182ce: 33e2.*
+ 182cf: 30ac.*
+ 182d0: 33e2.*
+ 182d1: 30ad.*
+ 182d2: 33ea.*
+ 182d3: 30ae.*
+ 182d4: 33ea.*
+ 182d5: 30af.*
+ 182d6: 33f2.*
+ 182d7: 30b0.*
+ 182d8: 33f2.*
+ 182d9: 30b1.*
+ 182da: 33f8.*
+ 182db: 30b2.*
+ 182dc: 33f8.*
+ 182dd: 30b3.*
+ 182de: 3383.*
+ 182df: 3383.*
+ 182e0: 338b.*
+ 182e1: 338b.*
+ 182e2: 3393.*
+ 182e3: 3393.*
+ 182e4: 33a3.*
+ 182e5: 33a3.*
+ 182e6: 33ab.*
+ 182e7: 33ab.*
+ 182e8: 33b3.*
+ 182e9: 33b3.*
+ 182ea: 33bb.*
+ 182eb: 33bb.*
+ 182ec: 33c3.*
+ 182ed: 33c3.*
+ 182ee: 33cb.*
+ 182ef: 33cb.*
+ 182f0: 33d3.*
+ 182f1: 33d3.*
+ 182f2: 33db.*
+ 182f3: 33db.*
+ 182f4: 33e3.*
+ 182f5: 30b4.*
+ 182f6: 33e3.*
+ 182f7: 30b5.*
+ 182f8: 33eb.*
+ 182f9: 30b6.*
+ 182fa: 33eb.*
+ 182fb: 30b7.*
+ 182fc: 33f3.*
+ 182fd: 30b8.*
+ 182fe: 33f3.*
+ 182ff: 30b9.*
+ 18300: 33f8.*
+ 18301: 30ba.*
+ 18302: 33f8.*
+ 18303: 30bb.*
+ 18304: 3384.*
+ 18305: 3384.*
+ 18306: 338c.*
+ 18307: 338c.*
+ 18308: 3394.*
+ 18309: 3394.*
+ 1830a: 33a4.*
+ 1830b: 33a4.*
+ 1830c: 33ac.*
+ 1830d: 33ac.*
+ 1830e: 33b4.*
+ 1830f: 33b4.*
+ 18310: 33bc.*
+ 18311: 33bc.*
+ 18312: 33c4.*
+ 18313: 33c4.*
+ 18314: 33cc.*
+ 18315: 33cc.*
+ 18316: 33d4.*
+ 18317: 33d4.*
+ 18318: 33dc.*
+ 18319: 33dc.*
+ 1831a: 33e4.*
+ 1831b: 30bc.*
+ 1831c: 33e4.*
+ 1831d: 30bd.*
+ 1831e: 33ec.*
+ 1831f: 30be.*
+ 18320: 33ec.*
+ 18321: 30bf.*
+ 18322: 33f4.*
+ 18323: 30c0.*
+ 18324: 33f4.*
+ 18325: 30c1.*
+ 18326: 33f8.*
+ 18327: 30c2.*
+ 18328: 33f8.*
+ 18329: 30c3.*
+ 1832a: 3385.*
+ 1832b: 3385.*
+ 1832c: 338d.*
+ 1832d: 338d.*
+ 1832e: 3395.*
+ 1832f: 3395.*
+ 18330: 33a5.*
+ 18331: 33a5.*
+ 18332: 33ad.*
+ 18333: 33ad.*
+ 18334: 33b5.*
+ 18335: 33b5.*
+ 18336: 33bd.*
+ 18337: 33bd.*
+ 18338: 33c5.*
+ 18339: 33c5.*
+ 1833a: 33cd.*
+ 1833b: 33cd.*
+ 1833c: 33d5.*
+ 1833d: 33d5.*
+ 1833e: 33dd.*
+ 1833f: 33dd.*
+ 18340: 33e5.*
+ 18341: 30c4.*
+ 18342: 33e5.*
+ 18343: 30c5.*
+ 18344: 33ed.*
+ 18345: 30c6.*
+ 18346: 33ed.*
+ 18347: 30c7.*
+ 18348: 33f5.*
+ 18349: 30c8.*
+ 1834a: 33f5.*
+ 1834b: 30c9.*
+ 1834c: 33f8.*
+ 1834d: 30ca.*
+ 1834e: 33f8.*
+ 1834f: 30cb.*
+ 18350: 3386.*
+ 18351: 3386.*
+ 18352: 338e.*
+ 18353: 338e.*
+ 18354: 3396.*
+ 18355: 3396.*
+ 18356: 33a6.*
+ 18357: 33a6.*
+ 18358: 33ae.*
+ 18359: 33ae.*
+ 1835a: 33b6.*
+ 1835b: 33b6.*
+ 1835c: 33be.*
+ 1835d: 33be.*
+ 1835e: 33c6.*
+ 1835f: 33c6.*
+ 18360: 33ce.*
+ 18361: 33ce.*
+ 18362: 33d6.*
+ 18363: 33d6.*
+ 18364: 33de.*
+ 18365: 33de.*
+ 18366: 33e6.*
+ 18367: 30cc.*
+ 18368: 33e6.*
+ 18369: 30cd.*
+ 1836a: 33ee.*
+ 1836b: 30ce.*
+ 1836c: 33ee.*
+ 1836d: 30cf.*
+ 1836e: 33f6.*
+ 1836f: 30d0.*
+ 18370: 33f6.*
+ 18371: 30d1.*
+ 18372: 33f8.*
+ 18373: 30d2.*
+ 18374: 33f8.*
+ 18375: 30d3.*
+ 18376: 3387.*
+ 18377: 3387.*
+ 18378: 338f.*
+ 18379: 338f.*
+ 1837a: 3397.*
+ 1837b: 3397.*
+ 1837c: 33a7.*
+ 1837d: 33a7.*
+ 1837e: 33af.*
+ 1837f: 33af.*
+ 18380: 33b7.*
+ 18381: 33b7.*
+ 18382: 33bf.*
+ 18383: 33bf.*
+ 18384: 33c7.*
+ 18385: 33c7.*
+ 18386: 33cf.*
+ 18387: 33cf.*
+ 18388: 33d7.*
+ 18389: 33d7.*
+ 1838a: 33df.*
+ 1838b: 33df.*
+ 1838c: 33e7.*
+ 1838d: 30d4.*
+ 1838e: 33e7.*
+ 1838f: 30d5.*
+ 18390: 33ef.*
+ 18391: 30d6.*
+ 18392: 33ef.*
+ 18393: 30d7.*
+ 18394: 33f7.*
+ 18395: 30d8.*
+ 18396: 33f7.*
+ 18397: 30d9.*
+ 18398: 33f8.*
+ 18399: 30da.*
+ 1839a: 33f8.*
+ 1839b: 30db.*
+ 1839c: f48a.*
+ 1839d: f58a.*
+ 1839e: f48a.*
+ 1839f: f68a.*
+ 183a0: f78a.*
+ 183a1: f78a.*
+ 183a2: 2e5b.*
+ 183a3: 2f5c.*
+ 183a4: 2e80.*
+ 183a5: 2f80.*
+ 183a6: 2e88.*
+ 183a7: 2f88.*
+ 183a8: 2e90.*
+ 183a9: 2f90.*
+ 183aa: 2ea0.*
+ 183ab: 2fa0.*
+ 183ac: 2ea8.*
+ 183ad: 2fa8.*
+ 183ae: 2eb0.*
+ 183af: 2fb0.*
+ 183b0: 2eb8.*
+ 183b1: 2fb8.*
+ 183b2: 2ec0.*
+ 183b3: 2fc0.*
+ 183b4: 2ec8.*
+ 183b5: 2fc8.*
+ 183b6: 2ed0.*
+ 183b7: 2fd0.*
+ 183b8: 2ed8.*
+ 183b9: 2fd8.*
+ 183ba: 2ee0.*
+ 183bb: 30dc.*
+ 183bc: 2fe0.*
+ 183bd: 30dd.*
+ 183be: 2ee8.*
+ 183bf: 30de.*
+ 183c0: 2fe8.*
+ 183c1: 30df.*
+ 183c2: 2ef0.*
+ 183c3: 30e0.*
+ 183c4: 2ff0.*
+ 183c5: 30e1.*
+ 183c6: 2ef8.*
+ 183c7: 30e2.*
+ 183c8: 2ff8.*
+ 183c9: 30e3.*
+ 183ca: 2e81.*
+ 183cb: 2f81.*
+ 183cc: 2e89.*
+ 183cd: 2f89.*
+ 183ce: 2e91.*
+ 183cf: 2f91.*
+ 183d0: 2ea1.*
+ 183d1: 2fa1.*
+ 183d2: 2ea9.*
+ 183d3: 2fa9.*
+ 183d4: 2eb1.*
+ 183d5: 2fb1.*
+ 183d6: 2eb9.*
+ 183d7: 2fb9.*
+ 183d8: 2ec1.*
+ 183d9: 2fc1.*
+ 183da: 2ec9.*
+ 183db: 2fc9.*
+ 183dc: 2ed1.*
+ 183dd: 2fd1.*
+ 183de: 2ed9.*
+ 183df: 2fd9.*
+ 183e0: 2ee1.*
+ 183e1: 30e4.*
+ 183e2: 2fe1.*
+ 183e3: 30e5.*
+ 183e4: 2ee9.*
+ 183e5: 30e6.*
+ 183e6: 2fe9.*
+ 183e7: 30e7.*
+ 183e8: 2ef1.*
+ 183e9: 30e8.*
+ 183ea: 2ff1.*
+ 183eb: 30e9.*
+ 183ec: 2ef8.*
+ 183ed: 30ea.*
+ 183ee: 2ff8.*
+ 183ef: 30eb.*
+ 183f0: 2e82.*
+ 183f1: 2f82.*
+ 183f2: 2e8a.*
+ 183f3: 2f8a.*
+ 183f4: 2e92.*
+ 183f5: 2f92.*
+ 183f6: 2ea2.*
+ 183f7: 2fa2.*
+ 183f8: 2eaa.*
+ 183f9: 2faa.*
+ 183fa: 2eb2.*
+ 183fb: 2fb2.*
+ 183fc: 2eba.*
+ 183fd: 2fba.*
+ 183fe: 2ec2.*
+ 183ff: 2fc2.*
+ 18400: 2eca.*
+ 18401: 2fca.*
+ 18402: 2ed2.*
+ 18403: 2fd2.*
+ 18404: 2eda.*
+ 18405: 2fda.*
+ 18406: 2ee2.*
+ 18407: 30ec.*
+ 18408: 2fe2.*
+ 18409: 30ed.*
+ 1840a: 2eea.*
+ 1840b: 30ee.*
+ 1840c: 2fea.*
+ 1840d: 30ef.*
+ 1840e: 2ef2.*
+ 1840f: 30f0.*
+ 18410: 2ff2.*
+ 18411: 30f1.*
+ 18412: 2ef8.*
+ 18413: 30f2.*
+ 18414: 2ff8.*
+ 18415: 30f3.*
+ 18416: 2e83.*
+ 18417: 2f83.*
+ 18418: 2e8b.*
+ 18419: 2f8b.*
+ 1841a: 2e93.*
+ 1841b: 2f93.*
+ 1841c: 2ea3.*
+ 1841d: 2fa3.*
+ 1841e: 2eab.*
+ 1841f: 2fab.*
+ 18420: 2eb3.*
+ 18421: 2fb3.*
+ 18422: 2ebb.*
+ 18423: 2fbb.*
+ 18424: 2ec3.*
+ 18425: 2fc3.*
+ 18426: 2ecb.*
+ 18427: 2fcb.*
+ 18428: 2ed3.*
+ 18429: 2fd3.*
+ 1842a: 2edb.*
+ 1842b: 2fdb.*
+ 1842c: 2ee3.*
+ 1842d: 30f4.*
+ 1842e: 2fe3.*
+ 1842f: 30f5.*
+ 18430: 2eeb.*
+ 18431: 30f6.*
+ 18432: 2feb.*
+ 18433: 30f7.*
+ 18434: 2ef3.*
+ 18435: 30f8.*
+ 18436: 2ff3.*
+ 18437: 30f9.*
+ 18438: 2ef8.*
+ 18439: 30fa.*
+ 1843a: 2ff8.*
+ 1843b: 30fb.*
+ 1843c: 2e84.*
+ 1843d: 2f84.*
+ 1843e: 2e8c.*
+ 1843f: 2f8c.*
+ 18440: 2e94.*
+ 18441: 2f94.*
+ 18442: 2ea4.*
+ 18443: 2fa4.*
+ 18444: 2eac.*
+ 18445: 2fac.*
+ 18446: 2eb4.*
+ 18447: 2fb4.*
+ 18448: 2ebc.*
+ 18449: 2fbc.*
+ 1844a: 2ec4.*
+ 1844b: 2fc4.*
+ 1844c: 2ecc.*
+ 1844d: 2fcc.*
+ 1844e: 2ed4.*
+ 1844f: 2fd4.*
+ 18450: 2edc.*
+ 18451: 2fdc.*
+ 18452: 2ee4.*
+ 18453: 30fc.*
+ 18454: 2fe4.*
+ 18455: 30fd.*
+ 18456: 2eec.*
+ 18457: 30fe.*
+ 18458: 2fec.*
+ 18459: 30ff.*
+ 1845a: 2ef4.*
+ 1845b: 3100.*
+ 1845c: 2ff4.*
+ 1845d: 3101.*
+ 1845e: 2ef8.*
+ 1845f: 3102.*
+ 18460: 2ff8.*
+ 18461: 3103.*
+ 18462: 2e85.*
+ 18463: 2f85.*
+ 18464: 2e8d.*
+ 18465: 2f8d.*
+ 18466: 2e95.*
+ 18467: 2f95.*
+ 18468: 2ea5.*
+ 18469: 2fa5.*
+ 1846a: 2ead.*
+ 1846b: 2fad.*
+ 1846c: 2eb5.*
+ 1846d: 2fb5.*
+ 1846e: 2ebd.*
+ 1846f: 2fbd.*
+ 18470: 2ec5.*
+ 18471: 2fc5.*
+ 18472: 2ecd.*
+ 18473: 2fcd.*
+ 18474: 2ed5.*
+ 18475: 2fd5.*
+ 18476: 2edd.*
+ 18477: 2fdd.*
+ 18478: 2ee5.*
+ 18479: 3104.*
+ 1847a: 2fe5.*
+ 1847b: 3105.*
+ 1847c: 2eed.*
+ 1847d: 3106.*
+ 1847e: 2fed.*
+ 1847f: 3107.*
+ 18480: 2ef5.*
+ 18481: 3108.*
+ 18482: 2ff5.*
+ 18483: 3109.*
+ 18484: 2ef8.*
+ 18485: 310a.*
+ 18486: 2ff8.*
+ 18487: 310b.*
+ 18488: 2e86.*
+ 18489: 2f86.*
+ 1848a: 2e8e.*
+ 1848b: 2f8e.*
+ 1848c: 2e96.*
+ 1848d: 2f96.*
+ 1848e: 2ea6.*
+ 1848f: 2fa6.*
+ 18490: 2eae.*
+ 18491: 2fae.*
+ 18492: 2eb6.*
+ 18493: 2fb6.*
+ 18494: 2ebe.*
+ 18495: 2fbe.*
+ 18496: 2ec6.*
+ 18497: 2fc6.*
+ 18498: 2ece.*
+ 18499: 2fce.*
+ 1849a: 2ed6.*
+ 1849b: 2fd6.*
+ 1849c: 2ede.*
+ 1849d: 2fde.*
+ 1849e: 2ee6.*
+ 1849f: 310c.*
+ 184a0: 2fe6.*
+ 184a1: 310d.*
+ 184a2: 2eee.*
+ 184a3: 310e.*
+ 184a4: 2fee.*
+ 184a5: 310f.*
+ 184a6: 2ef6.*
+ 184a7: 3110.*
+ 184a8: 2ff6.*
+ 184a9: 3111.*
+ 184aa: 2ef8.*
+ 184ab: 3112.*
+ 184ac: 2ff8.*
+ 184ad: 3113.*
+ 184ae: 2e87.*
+ 184af: 2f87.*
+ 184b0: 2e8f.*
+ 184b1: 2f8f.*
+ 184b2: 2e97.*
+ 184b3: 2f97.*
+ 184b4: 2ea7.*
+ 184b5: 2fa7.*
+ 184b6: 2eaf.*
+ 184b7: 2faf.*
+ 184b8: 2eb7.*
+ 184b9: 2fb7.*
+ 184ba: 2ebf.*
+ 184bb: 2fbf.*
+ 184bc: 2ec7.*
+ 184bd: 2fc7.*
+ 184be: 2ecf.*
+ 184bf: 2fcf.*
+ 184c0: 2ed7.*
+ 184c1: 2fd7.*
+ 184c2: 2edf.*
+ 184c3: 2fdf.*
+ 184c4: 2ee7.*
+ 184c5: 3114.*
+ 184c6: 2fe7.*
+ 184c7: 3115.*
+ 184c8: 2eef.*
+ 184c9: 3116.*
+ 184ca: 2fef.*
+ 184cb: 3117.*
+ 184cc: 2ef7.*
+ 184cd: 3118.*
+ 184ce: 2ff7.*
+ 184cf: 3119.*
+ 184d0: 2ef8.*
+ 184d1: 311a.*
+ 184d2: 2ff8.*
+ 184d3: 311b.*
+ 184d4: bc00.*
+ 184d5: bd00.*
+ 184d6: bc00.*
+ 184d7: be00.*
+ 184d8: bf00.*
+ 184d9: bf00.*
+ 184da: bc04.*
+ 184db: bd04.*
+ 184dc: bc04.*
+ 184dd: be04.*
+ 184de: bf04.*
+ 184df: bf04.*
+ 184e0: bc08.*
+ 184e1: bd08.*
+ 184e2: bc08.*
+ 184e3: be08.*
+ 184e4: bf08.*
+ 184e5: bf08.*
+ 184e6: bc0c.*
+ 184e7: bd0c.*
+ 184e8: bc0c.*
+ 184e9: be0c.*
+ 184ea: bf0c.*
+ 184eb: bf0c.*
+ 184ec: bc01.*
+ 184ed: bd01.*
+ 184ee: bc01.*
+ 184ef: be01.*
+ 184f0: bf01.*
+ 184f1: bf01.*
+ 184f2: bc05.*
+ 184f3: bd05.*
+ 184f4: bc05.*
+ 184f5: be05.*
+ 184f6: bf05.*
+ 184f7: bf05.*
+ 184f8: bc09.*
+ 184f9: bd09.*
+ 184fa: bc09.*
+ 184fb: be09.*
+ 184fc: bf09.*
+ 184fd: bf09.*
+ 184fe: bc0d.*
+ 184ff: bd0d.*
+ 18500: bc0d.*
+ 18501: be0d.*
+ 18502: bf0d.*
+ 18503: bf0d.*
+ 18504: bc02.*
+ 18505: bd02.*
+ 18506: bc02.*
+ 18507: be02.*
+ 18508: bf02.*
+ 18509: bf02.*
+ 1850a: bc06.*
+ 1850b: bd06.*
+ 1850c: bc06.*
+ 1850d: be06.*
+ 1850e: bf06.*
+ 1850f: bf06.*
+ 18510: bc0a.*
+ 18511: bd0a.*
+ 18512: bc0a.*
+ 18513: be0a.*
+ 18514: bf0a.*
+ 18515: bf0a.*
+ 18516: bc0e.*
+ 18517: bd0e.*
+ 18518: bc0e.*
+ 18519: be0e.*
+ 1851a: bf0e.*
+ 1851b: bf0e.*
+ 1851c: bc03.*
+ 1851d: bd03.*
+ 1851e: bc03.*
+ 1851f: be03.*
+ 18520: bf03.*
+ 18521: bf03.*
+ 18522: bc07.*
+ 18523: bd07.*
+ 18524: bc07.*
+ 18525: be07.*
+ 18526: bf07.*
+ 18527: bf07.*
+ 18528: bc0b.*
+ 18529: bd0b.*
+ 1852a: bc0b.*
+ 1852b: be0b.*
+ 1852c: bf0b.*
+ 1852d: bf0b.*
+ 1852e: bc0f.*
+ 1852f: bd0f.*
+ 18530: bc0f.*
+ 18531: be0f.*
+ 18532: bf0f.*
+ 18533: bf0f.*
+ 18534: bc40.*
+ 18535: bd40.*
+ 18536: bc40.*
+ 18537: be40.*
+ 18538: bf40.*
+ 18539: bf40.*
+ 1853a: bc44.*
+ 1853b: bd44.*
+ 1853c: bc44.*
+ 1853d: be44.*
+ 1853e: bf44.*
+ 1853f: bf44.*
+ 18540: bc48.*
+ 18541: bd48.*
+ 18542: bc48.*
+ 18543: be48.*
+ 18544: bf48.*
+ 18545: bf48.*
+ 18546: bc4c.*
+ 18547: bd4c.*
+ 18548: bc4c.*
+ 18549: be4c.*
+ 1854a: bf4c.*
+ 1854b: bf4c.*
+ 1854c: bc41.*
+ 1854d: bd41.*
+ 1854e: bc41.*
+ 1854f: be41.*
+ 18550: bf41.*
+ 18551: bf41.*
+ 18552: bc45.*
+ 18553: bd45.*
+ 18554: bc45.*
+ 18555: be45.*
+ 18556: bf45.*
+ 18557: bf45.*
+ 18558: bc49.*
+ 18559: bd49.*
+ 1855a: bc49.*
+ 1855b: be49.*
+ 1855c: bf49.*
+ 1855d: bf49.*
+ 1855e: bc4d.*
+ 1855f: bd4d.*
+ 18560: bc4d.*
+ 18561: be4d.*
+ 18562: bf4d.*
+ 18563: bf4d.*
+ 18564: bc42.*
+ 18565: bd42.*
+ 18566: bc42.*
+ 18567: be42.*
+ 18568: bf42.*
+ 18569: bf42.*
+ 1856a: bc46.*
+ 1856b: bd46.*
+ 1856c: bc46.*
+ 1856d: be46.*
+ 1856e: bf46.*
+ 1856f: bf46.*
+ 18570: bc4a.*
+ 18571: bd4a.*
+ 18572: bc4a.*
+ 18573: be4a.*
+ 18574: bf4a.*
+ 18575: bf4a.*
+ 18576: bc4e.*
+ 18577: bd4e.*
+ 18578: bc4e.*
+ 18579: be4e.*
+ 1857a: bf4e.*
+ 1857b: bf4e.*
+ 1857c: bc43.*
+ 1857d: bd43.*
+ 1857e: bc43.*
+ 1857f: be43.*
+ 18580: bf43.*
+ 18581: bf43.*
+ 18582: bc47.*
+ 18583: bd47.*
+ 18584: bc47.*
+ 18585: be47.*
+ 18586: bf47.*
+ 18587: bf47.*
+ 18588: bc4b.*
+ 18589: bd4b.*
+ 1858a: bc4b.*
+ 1858b: be4b.*
+ 1858c: bf4b.*
+ 1858d: bf4b.*
+ 1858e: bc4f.*
+ 1858f: bd4f.*
+ 18590: bc4f.*
+ 18591: be4f.*
+ 18592: bf4f.*
+ 18593: bf4f.*
+ 18594: bc80.*
+ 18595: bd80.*
+ 18596: bc80.*
+ 18597: be80.*
+ 18598: bf80.*
+ 18599: bf80.*
+ 1859a: bc84.*
+ 1859b: bd84.*
+ 1859c: bc84.*
+ 1859d: be84.*
+ 1859e: bf84.*
+ 1859f: bf84.*
+ 185a0: bc88.*
+ 185a1: bd88.*
+ 185a2: bc88.*
+ 185a3: be88.*
+ 185a4: bf88.*
+ 185a5: bf88.*
+ 185a6: bc8c.*
+ 185a7: bd8c.*
+ 185a8: bc8c.*
+ 185a9: be8c.*
+ 185aa: bf8c.*
+ 185ab: bf8c.*
+ 185ac: bc81.*
+ 185ad: bd81.*
+ 185ae: bc81.*
+ 185af: be81.*
+ 185b0: bf81.*
+ 185b1: bf81.*
+ 185b2: bc85.*
+ 185b3: bd85.*
+ 185b4: bc85.*
+ 185b5: be85.*
+ 185b6: bf85.*
+ 185b7: bf85.*
+ 185b8: bc89.*
+ 185b9: bd89.*
+ 185ba: bc89.*
+ 185bb: be89.*
+ 185bc: bf89.*
+ 185bd: bf89.*
+ 185be: bc8d.*
+ 185bf: bd8d.*
+ 185c0: bc8d.*
+ 185c1: be8d.*
+ 185c2: bf8d.*
+ 185c3: bf8d.*
+ 185c4: bc82.*
+ 185c5: bd82.*
+ 185c6: bc82.*
+ 185c7: be82.*
+ 185c8: bf82.*
+ 185c9: bf82.*
+ 185ca: bc86.*
+ 185cb: bd86.*
+ 185cc: bc86.*
+ 185cd: be86.*
+ 185ce: bf86.*
+ 185cf: bf86.*
+ 185d0: bc8a.*
+ 185d1: bd8a.*
+ 185d2: bc8a.*
+ 185d3: be8a.*
+ 185d4: bf8a.*
+ 185d5: bf8a.*
+ 185d6: bc8e.*
+ 185d7: bd8e.*
+ 185d8: bc8e.*
+ 185d9: be8e.*
+ 185da: bf8e.*
+ 185db: bf8e.*
+ 185dc: bc83.*
+ 185dd: bd83.*
+ 185de: bc83.*
+ 185df: be83.*
+ 185e0: bf83.*
+ 185e1: bf83.*
+ 185e2: bc87.*
+ 185e3: bd87.*
+ 185e4: bc87.*
+ 185e5: be87.*
+ 185e6: bf87.*
+ 185e7: bf87.*
+ 185e8: bc8b.*
+ 185e9: bd8b.*
+ 185ea: bc8b.*
+ 185eb: be8b.*
+ 185ec: bf8b.*
+ 185ed: bf8b.*
+ 185ee: bc8f.*
+ 185ef: bd8f.*
+ 185f0: bc8f.*
+ 185f1: be8f.*
+ 185f2: bf8f.*
+ 185f3: bf8f.*
+ 185f4: bcc0.*
+ 185f5: bdc0.*
+ 185f6: bcc0.*
+ 185f7: bec0.*
+ 185f8: bfc0.*
+ 185f9: bfc0.*
+ 185fa: bcc4.*
+ 185fb: bdc4.*
+ 185fc: bcc4.*
+ 185fd: bec4.*
+ 185fe: bfc4.*
+ 185ff: bfc4.*
+ 18600: bcc8.*
+ 18601: bdc8.*
+ 18602: bcc8.*
+ 18603: bec8.*
+ 18604: bfc8.*
+ 18605: bfc8.*
+ 18606: bccc.*
+ 18607: bdcc.*
+ 18608: bccc.*
+ 18609: becc.*
+ 1860a: bfcc.*
+ 1860b: bfcc.*
+ 1860c: bcc1.*
+ 1860d: bdc1.*
+ 1860e: bcc1.*
+ 1860f: bec1.*
+ 18610: bfc1.*
+ 18611: bfc1.*
+ 18612: bcc5.*
+ 18613: bdc5.*
+ 18614: bcc5.*
+ 18615: bec5.*
+ 18616: bfc5.*
+ 18617: bfc5.*
+ 18618: bcc9.*
+ 18619: bdc9.*
+ 1861a: bcc9.*
+ 1861b: bec9.*
+ 1861c: bfc9.*
+ 1861d: bfc9.*
+ 1861e: bccd.*
+ 1861f: bdcd.*
+ 18620: bccd.*
+ 18621: becd.*
+ 18622: bfcd.*
+ 18623: bfcd.*
+ 18624: bcc2.*
+ 18625: bdc2.*
+ 18626: bcc2.*
+ 18627: bec2.*
+ 18628: bfc2.*
+ 18629: bfc2.*
+ 1862a: bcc6.*
+ 1862b: bdc6.*
+ 1862c: bcc6.*
+ 1862d: bec6.*
+ 1862e: bfc6.*
+ 1862f: bfc6.*
+ 18630: bcca.*
+ 18631: bdca.*
+ 18632: bcca.*
+ 18633: beca.*
+ 18634: bfca.*
+ 18635: bfca.*
+ 18636: bcce.*
+ 18637: bdce.*
+ 18638: bcce.*
+ 18639: bece.*
+ 1863a: bfce.*
+ 1863b: bfce.*
+ 1863c: bcc3.*
+ 1863d: bdc3.*
+ 1863e: bcc3.*
+ 1863f: bec3.*
+ 18640: bfc3.*
+ 18641: bfc3.*
+ 18642: bcc7.*
+ 18643: bdc7.*
+ 18644: bcc7.*
+ 18645: bec7.*
+ 18646: bfc7.*
+ 18647: bfc7.*
+ 18648: bccb.*
+ 18649: bdcb.*
+ 1864a: bccb.*
+ 1864b: becb.*
+ 1864c: bfcb.*
+ 1864d: bfcb.*
+ 1864e: bccf.*
+ 1864f: bdcf.*
+ 18650: bccf.*
+ 18651: becf.*
+ 18652: bfcf.*
+ 18653: bfcf.*
+ 18654: bc10.*
+ 18655: bd10.*
+ 18656: bc10.*
+ 18657: be10.*
+ 18658: bf10.*
+ 18659: bf10.*
+ 1865a: bc14.*
+ 1865b: bd14.*
+ 1865c: bc14.*
+ 1865d: be14.*
+ 1865e: bf14.*
+ 1865f: bf14.*
+ 18660: bc18.*
+ 18661: bd18.*
+ 18662: bc18.*
+ 18663: be18.*
+ 18664: bf18.*
+ 18665: bf18.*
+ 18666: bc1c.*
+ 18667: bd1c.*
+ 18668: bc1c.*
+ 18669: be1c.*
+ 1866a: bf1c.*
+ 1866b: bf1c.*
+ 1866c: bc11.*
+ 1866d: bd11.*
+ 1866e: bc11.*
+ 1866f: be11.*
+ 18670: bf11.*
+ 18671: bf11.*
+ 18672: bc15.*
+ 18673: bd15.*
+ 18674: bc15.*
+ 18675: be15.*
+ 18676: bf15.*
+ 18677: bf15.*
+ 18678: bc19.*
+ 18679: bd19.*
+ 1867a: bc19.*
+ 1867b: be19.*
+ 1867c: bf19.*
+ 1867d: bf19.*
+ 1867e: bc1d.*
+ 1867f: bd1d.*
+ 18680: bc1d.*
+ 18681: be1d.*
+ 18682: bf1d.*
+ 18683: bf1d.*
+ 18684: bc12.*
+ 18685: bd12.*
+ 18686: bc12.*
+ 18687: be12.*
+ 18688: bf12.*
+ 18689: bf12.*
+ 1868a: bc16.*
+ 1868b: bd16.*
+ 1868c: bc16.*
+ 1868d: be16.*
+ 1868e: bf16.*
+ 1868f: bf16.*
+ 18690: bc1a.*
+ 18691: bd1a.*
+ 18692: bc1a.*
+ 18693: be1a.*
+ 18694: bf1a.*
+ 18695: bf1a.*
+ 18696: bc1e.*
+ 18697: bd1e.*
+ 18698: bc1e.*
+ 18699: be1e.*
+ 1869a: bf1e.*
+ 1869b: bf1e.*
+ 1869c: bc13.*
+ 1869d: bd13.*
+ 1869e: bc13.*
+ 1869f: be13.*
+ 186a0: bf13.*
+ 186a1: bf13.*
+ 186a2: bc17.*
+ 186a3: bd17.*
+ 186a4: bc17.*
+ 186a5: be17.*
+ 186a6: bf17.*
+ 186a7: bf17.*
+ 186a8: bc1b.*
+ 186a9: bd1b.*
+ 186aa: bc1b.*
+ 186ab: be1b.*
+ 186ac: bf1b.*
+ 186ad: bf1b.*
+ 186ae: bc1f.*
+ 186af: bd1f.*
+ 186b0: bc1f.*
+ 186b1: be1f.*
+ 186b2: bf1f.*
+ 186b3: bf1f.*
+ 186b4: bc50.*
+ 186b5: bd50.*
+ 186b6: bc50.*
+ 186b7: be50.*
+ 186b8: bf50.*
+ 186b9: bf50.*
+ 186ba: bc54.*
+ 186bb: bd54.*
+ 186bc: bc54.*
+ 186bd: be54.*
+ 186be: bf54.*
+ 186bf: bf54.*
+ 186c0: bc58.*
+ 186c1: bd58.*
+ 186c2: bc58.*
+ 186c3: be58.*
+ 186c4: bf58.*
+ 186c5: bf58.*
+ 186c6: bc5c.*
+ 186c7: bd5c.*
+ 186c8: bc5c.*
+ 186c9: be5c.*
+ 186ca: bf5c.*
+ 186cb: bf5c.*
+ 186cc: bc51.*
+ 186cd: bd51.*
+ 186ce: bc51.*
+ 186cf: be51.*
+ 186d0: bf51.*
+ 186d1: bf51.*
+ 186d2: bc55.*
+ 186d3: bd55.*
+ 186d4: bc55.*
+ 186d5: be55.*
+ 186d6: bf55.*
+ 186d7: bf55.*
+ 186d8: bc59.*
+ 186d9: bd59.*
+ 186da: bc59.*
+ 186db: be59.*
+ 186dc: bf59.*
+ 186dd: bf59.*
+ 186de: bc5d.*
+ 186df: bd5d.*
+ 186e0: bc5d.*
+ 186e1: be5d.*
+ 186e2: bf5d.*
+ 186e3: bf5d.*
+ 186e4: bc52.*
+ 186e5: bd52.*
+ 186e6: bc52.*
+ 186e7: be52.*
+ 186e8: bf52.*
+ 186e9: bf52.*
+ 186ea: bc56.*
+ 186eb: bd56.*
+ 186ec: bc56.*
+ 186ed: be56.*
+ 186ee: bf56.*
+ 186ef: bf56.*
+ 186f0: bc5a.*
+ 186f1: bd5a.*
+ 186f2: bc5a.*
+ 186f3: be5a.*
+ 186f4: bf5a.*
+ 186f5: bf5a.*
+ 186f6: bc5e.*
+ 186f7: bd5e.*
+ 186f8: bc5e.*
+ 186f9: be5e.*
+ 186fa: bf5e.*
+ 186fb: bf5e.*
+ 186fc: bc53.*
+ 186fd: bd53.*
+ 186fe: bc53.*
+ 186ff: be53.*
+ 18700: bf53.*
+ 18701: bf53.*
+ 18702: bc57.*
+ 18703: bd57.*
+ 18704: bc57.*
+ 18705: be57.*
+ 18706: bf57.*
+ 18707: bf57.*
+ 18708: bc5b.*
+ 18709: bd5b.*
+ 1870a: bc5b.*
+ 1870b: be5b.*
+ 1870c: bf5b.*
+ 1870d: bf5b.*
+ 1870e: bc5f.*
+ 1870f: bd5f.*
+ 18710: bc5f.*
+ 18711: be5f.*
+ 18712: bf5f.*
+ 18713: bf5f.*
+ 18714: bc90.*
+ 18715: bd90.*
+ 18716: bc90.*
+ 18717: be90.*
+ 18718: bf90.*
+ 18719: bf90.*
+ 1871a: bc94.*
+ 1871b: bd94.*
+ 1871c: bc94.*
+ 1871d: be94.*
+ 1871e: bf94.*
+ 1871f: bf94.*
+ 18720: bc98.*
+ 18721: bd98.*
+ 18722: bc98.*
+ 18723: be98.*
+ 18724: bf98.*
+ 18725: bf98.*
+ 18726: bc9c.*
+ 18727: bd9c.*
+ 18728: bc9c.*
+ 18729: be9c.*
+ 1872a: bf9c.*
+ 1872b: bf9c.*
+ 1872c: bc91.*
+ 1872d: bd91.*
+ 1872e: bc91.*
+ 1872f: be91.*
+ 18730: bf91.*
+ 18731: bf91.*
+ 18732: bc95.*
+ 18733: bd95.*
+ 18734: bc95.*
+ 18735: be95.*
+ 18736: bf95.*
+ 18737: bf95.*
+ 18738: bc99.*
+ 18739: bd99.*
+ 1873a: bc99.*
+ 1873b: be99.*
+ 1873c: bf99.*
+ 1873d: bf99.*
+ 1873e: bc9d.*
+ 1873f: bd9d.*
+ 18740: bc9d.*
+ 18741: be9d.*
+ 18742: bf9d.*
+ 18743: bf9d.*
+ 18744: bc92.*
+ 18745: bd92.*
+ 18746: bc92.*
+ 18747: be92.*
+ 18748: bf92.*
+ 18749: bf92.*
+ 1874a: bc96.*
+ 1874b: bd96.*
+ 1874c: bc96.*
+ 1874d: be96.*
+ 1874e: bf96.*
+ 1874f: bf96.*
+ 18750: bc9a.*
+ 18751: bd9a.*
+ 18752: bc9a.*
+ 18753: be9a.*
+ 18754: bf9a.*
+ 18755: bf9a.*
+ 18756: bc9e.*
+ 18757: bd9e.*
+ 18758: bc9e.*
+ 18759: be9e.*
+ 1875a: bf9e.*
+ 1875b: bf9e.*
+ 1875c: bc93.*
+ 1875d: bd93.*
+ 1875e: bc93.*
+ 1875f: be93.*
+ 18760: bf93.*
+ 18761: bf93.*
+ 18762: bc97.*
+ 18763: bd97.*
+ 18764: bc97.*
+ 18765: be97.*
+ 18766: bf97.*
+ 18767: bf97.*
+ 18768: bc9b.*
+ 18769: bd9b.*
+ 1876a: bc9b.*
+ 1876b: be9b.*
+ 1876c: bf9b.*
+ 1876d: bf9b.*
+ 1876e: bc9f.*
+ 1876f: bd9f.*
+ 18770: bc9f.*
+ 18771: be9f.*
+ 18772: bf9f.*
+ 18773: bf9f.*
+ 18774: bcd0.*
+ 18775: bdd0.*
+ 18776: bcd0.*
+ 18777: bed0.*
+ 18778: bfd0.*
+ 18779: bfd0.*
+ 1877a: bcd4.*
+ 1877b: bdd4.*
+ 1877c: bcd4.*
+ 1877d: bed4.*
+ 1877e: bfd4.*
+ 1877f: bfd4.*
+ 18780: bcd8.*
+ 18781: bdd8.*
+ 18782: bcd8.*
+ 18783: bed8.*
+ 18784: bfd8.*
+ 18785: bfd8.*
+ 18786: bcdc.*
+ 18787: bddc.*
+ 18788: bcdc.*
+ 18789: bedc.*
+ 1878a: bfdc.*
+ 1878b: bfdc.*
+ 1878c: bcd1.*
+ 1878d: bdd1.*
+ 1878e: bcd1.*
+ 1878f: bed1.*
+ 18790: bfd1.*
+ 18791: bfd1.*
+ 18792: bcd5.*
+ 18793: bdd5.*
+ 18794: bcd5.*
+ 18795: bed5.*
+ 18796: bfd5.*
+ 18797: bfd5.*
+ 18798: bcd9.*
+ 18799: bdd9.*
+ 1879a: bcd9.*
+ 1879b: bed9.*
+ 1879c: bfd9.*
+ 1879d: bfd9.*
+ 1879e: bcdd.*
+ 1879f: bddd.*
+ 187a0: bcdd.*
+ 187a1: bedd.*
+ 187a2: bfdd.*
+ 187a3: bfdd.*
+ 187a4: bcd2.*
+ 187a5: bdd2.*
+ 187a6: bcd2.*
+ 187a7: bed2.*
+ 187a8: bfd2.*
+ 187a9: bfd2.*
+ 187aa: bcd6.*
+ 187ab: bdd6.*
+ 187ac: bcd6.*
+ 187ad: bed6.*
+ 187ae: bfd6.*
+ 187af: bfd6.*
+ 187b0: bcda.*
+ 187b1: bdda.*
+ 187b2: bcda.*
+ 187b3: beda.*
+ 187b4: bfda.*
+ 187b5: bfda.*
+ 187b6: bcde.*
+ 187b7: bdde.*
+ 187b8: bcde.*
+ 187b9: bede.*
+ 187ba: bfde.*
+ 187bb: bfde.*
+ 187bc: bcd3.*
+ 187bd: bdd3.*
+ 187be: bcd3.*
+ 187bf: bed3.*
+ 187c0: bfd3.*
+ 187c1: bfd3.*
+ 187c2: bcd7.*
+ 187c3: bdd7.*
+ 187c4: bcd7.*
+ 187c5: bed7.*
+ 187c6: bfd7.*
+ 187c7: bfd7.*
+ 187c8: bcdb.*
+ 187c9: bddb.*
+ 187ca: bcdb.*
+ 187cb: bedb.*
+ 187cc: bfdb.*
+ 187cd: bfdb.*
+ 187ce: bcdf.*
+ 187cf: bddf.*
+ 187d0: bcdf.*
+ 187d1: bedf.*
+ 187d2: bfdf.*
+ 187d3: bfdf.*
+ 187d4: bc20.*
+ 187d5: bd20.*
+ 187d6: bc20.*
+ 187d7: be20.*
+ 187d8: bf20.*
+ 187d9: bf20.*
+ 187da: bc24.*
+ 187db: bd24.*
+ 187dc: bc24.*
+ 187dd: be24.*
+ 187de: bf24.*
+ 187df: bf24.*
+ 187e0: bc28.*
+ 187e1: bd28.*
+ 187e2: bc28.*
+ 187e3: be28.*
+ 187e4: bf28.*
+ 187e5: bf28.*
+ 187e6: bc2c.*
+ 187e7: bd2c.*
+ 187e8: bc2c.*
+ 187e9: be2c.*
+ 187ea: bf2c.*
+ 187eb: bf2c.*
+ 187ec: bc21.*
+ 187ed: bd21.*
+ 187ee: bc21.*
+ 187ef: be21.*
+ 187f0: bf21.*
+ 187f1: bf21.*
+ 187f2: bc25.*
+ 187f3: bd25.*
+ 187f4: bc25.*
+ 187f5: be25.*
+ 187f6: bf25.*
+ 187f7: bf25.*
+ 187f8: bc29.*
+ 187f9: bd29.*
+ 187fa: bc29.*
+ 187fb: be29.*
+ 187fc: bf29.*
+ 187fd: bf29.*
+ 187fe: bc2d.*
+ 187ff: bd2d.*
+ 18800: bc2d.*
+ 18801: be2d.*
+ 18802: bf2d.*
+ 18803: bf2d.*
+ 18804: bc22.*
+ 18805: bd22.*
+ 18806: bc22.*
+ 18807: be22.*
+ 18808: bf22.*
+ 18809: bf22.*
+ 1880a: bc26.*
+ 1880b: bd26.*
+ 1880c: bc26.*
+ 1880d: be26.*
+ 1880e: bf26.*
+ 1880f: bf26.*
+ 18810: bc2a.*
+ 18811: bd2a.*
+ 18812: bc2a.*
+ 18813: be2a.*
+ 18814: bf2a.*
+ 18815: bf2a.*
+ 18816: bc2e.*
+ 18817: bd2e.*
+ 18818: bc2e.*
+ 18819: be2e.*
+ 1881a: bf2e.*
+ 1881b: bf2e.*
+ 1881c: bc23.*
+ 1881d: bd23.*
+ 1881e: bc23.*
+ 1881f: be23.*
+ 18820: bf23.*
+ 18821: bf23.*
+ 18822: bc27.*
+ 18823: bd27.*
+ 18824: bc27.*
+ 18825: be27.*
+ 18826: bf27.*
+ 18827: bf27.*
+ 18828: bc2b.*
+ 18829: bd2b.*
+ 1882a: bc2b.*
+ 1882b: be2b.*
+ 1882c: bf2b.*
+ 1882d: bf2b.*
+ 1882e: bc2f.*
+ 1882f: bd2f.*
+ 18830: bc2f.*
+ 18831: be2f.*
+ 18832: bf2f.*
+ 18833: bf2f.*
+ 18834: bc60.*
+ 18835: bd60.*
+ 18836: bc60.*
+ 18837: be60.*
+ 18838: bf60.*
+ 18839: bf60.*
+ 1883a: bc64.*
+ 1883b: bd64.*
+ 1883c: bc64.*
+ 1883d: be64.*
+ 1883e: bf64.*
+ 1883f: bf64.*
+ 18840: bc68.*
+ 18841: bd68.*
+ 18842: bc68.*
+ 18843: be68.*
+ 18844: bf68.*
+ 18845: bf68.*
+ 18846: bc6c.*
+ 18847: bd6c.*
+ 18848: bc6c.*
+ 18849: be6c.*
+ 1884a: bf6c.*
+ 1884b: bf6c.*
+ 1884c: bc61.*
+ 1884d: bd61.*
+ 1884e: bc61.*
+ 1884f: be61.*
+ 18850: bf61.*
+ 18851: bf61.*
+ 18852: bc65.*
+ 18853: bd65.*
+ 18854: bc65.*
+ 18855: be65.*
+ 18856: bf65.*
+ 18857: bf65.*
+ 18858: bc69.*
+ 18859: bd69.*
+ 1885a: bc69.*
+ 1885b: be69.*
+ 1885c: bf69.*
+ 1885d: bf69.*
+ 1885e: bc6d.*
+ 1885f: bd6d.*
+ 18860: bc6d.*
+ 18861: be6d.*
+ 18862: bf6d.*
+ 18863: bf6d.*
+ 18864: bc62.*
+ 18865: bd62.*
+ 18866: bc62.*
+ 18867: be62.*
+ 18868: bf62.*
+ 18869: bf62.*
+ 1886a: bc66.*
+ 1886b: bd66.*
+ 1886c: bc66.*
+ 1886d: be66.*
+ 1886e: bf66.*
+ 1886f: bf66.*
+ 18870: bc6a.*
+ 18871: bd6a.*
+ 18872: bc6a.*
+ 18873: be6a.*
+ 18874: bf6a.*
+ 18875: bf6a.*
+ 18876: bc6e.*
+ 18877: bd6e.*
+ 18878: bc6e.*
+ 18879: be6e.*
+ 1887a: bf6e.*
+ 1887b: bf6e.*
+ 1887c: bc63.*
+ 1887d: bd63.*
+ 1887e: bc63.*
+ 1887f: be63.*
+ 18880: bf63.*
+ 18881: bf63.*
+ 18882: bc67.*
+ 18883: bd67.*
+ 18884: bc67.*
+ 18885: be67.*
+ 18886: bf67.*
+ 18887: bf67.*
+ 18888: bc6b.*
+ 18889: bd6b.*
+ 1888a: bc6b.*
+ 1888b: be6b.*
+ 1888c: bf6b.*
+ 1888d: bf6b.*
+ 1888e: bc6f.*
+ 1888f: bd6f.*
+ 18890: bc6f.*
+ 18891: be6f.*
+ 18892: bf6f.*
+ 18893: bf6f.*
+ 18894: bca0.*
+ 18895: bda0.*
+ 18896: bca0.*
+ 18897: bea0.*
+ 18898: bfa0.*
+ 18899: bfa0.*
+ 1889a: bca4.*
+ 1889b: bda4.*
+ 1889c: bca4.*
+ 1889d: bea4.*
+ 1889e: bfa4.*
+ 1889f: bfa4.*
+ 188a0: bca8.*
+ 188a1: bda8.*
+ 188a2: bca8.*
+ 188a3: bea8.*
+ 188a4: bfa8.*
+ 188a5: bfa8.*
+ 188a6: bcac.*
+ 188a7: bdac.*
+ 188a8: bcac.*
+ 188a9: beac.*
+ 188aa: bfac.*
+ 188ab: bfac.*
+ 188ac: bca1.*
+ 188ad: bda1.*
+ 188ae: bca1.*
+ 188af: bea1.*
+ 188b0: bfa1.*
+ 188b1: bfa1.*
+ 188b2: bca5.*
+ 188b3: bda5.*
+ 188b4: bca5.*
+ 188b5: bea5.*
+ 188b6: bfa5.*
+ 188b7: bfa5.*
+ 188b8: bca9.*
+ 188b9: bda9.*
+ 188ba: bca9.*
+ 188bb: bea9.*
+ 188bc: bfa9.*
+ 188bd: bfa9.*
+ 188be: bcad.*
+ 188bf: bdad.*
+ 188c0: bcad.*
+ 188c1: bead.*
+ 188c2: bfad.*
+ 188c3: bfad.*
+ 188c4: bca2.*
+ 188c5: bda2.*
+ 188c6: bca2.*
+ 188c7: bea2.*
+ 188c8: bfa2.*
+ 188c9: bfa2.*
+ 188ca: bca6.*
+ 188cb: bda6.*
+ 188cc: bca6.*
+ 188cd: bea6.*
+ 188ce: bfa6.*
+ 188cf: bfa6.*
+ 188d0: bcaa.*
+ 188d1: bdaa.*
+ 188d2: bcaa.*
+ 188d3: beaa.*
+ 188d4: bfaa.*
+ 188d5: bfaa.*
+ 188d6: bcae.*
+ 188d7: bdae.*
+ 188d8: bcae.*
+ 188d9: beae.*
+ 188da: bfae.*
+ 188db: bfae.*
+ 188dc: bca3.*
+ 188dd: bda3.*
+ 188de: bca3.*
+ 188df: bea3.*
+ 188e0: bfa3.*
+ 188e1: bfa3.*
+ 188e2: bca7.*
+ 188e3: bda7.*
+ 188e4: bca7.*
+ 188e5: bea7.*
+ 188e6: bfa7.*
+ 188e7: bfa7.*
+ 188e8: bcab.*
+ 188e9: bdab.*
+ 188ea: bcab.*
+ 188eb: beab.*
+ 188ec: bfab.*
+ 188ed: bfab.*
+ 188ee: bcaf.*
+ 188ef: bdaf.*
+ 188f0: bcaf.*
+ 188f1: beaf.*
+ 188f2: bfaf.*
+ 188f3: bfaf.*
+ 188f4: bce0.*
+ 188f5: bde0.*
+ 188f6: bce0.*
+ 188f7: bee0.*
+ 188f8: bfe0.*
+ 188f9: bfe0.*
+ 188fa: bce4.*
+ 188fb: bde4.*
+ 188fc: bce4.*
+ 188fd: bee4.*
+ 188fe: bfe4.*
+ 188ff: bfe4.*
+ 18900: bce8.*
+ 18901: bde8.*
+ 18902: bce8.*
+ 18903: bee8.*
+ 18904: bfe8.*
+ 18905: bfe8.*
+ 18906: bcec.*
+ 18907: bdec.*
+ 18908: bcec.*
+ 18909: beec.*
+ 1890a: bfec.*
+ 1890b: bfec.*
+ 1890c: bce1.*
+ 1890d: bde1.*
+ 1890e: bce1.*
+ 1890f: bee1.*
+ 18910: bfe1.*
+ 18911: bfe1.*
+ 18912: bce5.*
+ 18913: bde5.*
+ 18914: bce5.*
+ 18915: bee5.*
+ 18916: bfe5.*
+ 18917: bfe5.*
+ 18918: bce9.*
+ 18919: bde9.*
+ 1891a: bce9.*
+ 1891b: bee9.*
+ 1891c: bfe9.*
+ 1891d: bfe9.*
+ 1891e: bced.*
+ 1891f: bded.*
+ 18920: bced.*
+ 18921: beed.*
+ 18922: bfed.*
+ 18923: bfed.*
+ 18924: bce2.*
+ 18925: bde2.*
+ 18926: bce2.*
+ 18927: bee2.*
+ 18928: bfe2.*
+ 18929: bfe2.*
+ 1892a: bce6.*
+ 1892b: bde6.*
+ 1892c: bce6.*
+ 1892d: bee6.*
+ 1892e: bfe6.*
+ 1892f: bfe6.*
+ 18930: bcea.*
+ 18931: bdea.*
+ 18932: bcea.*
+ 18933: beea.*
+ 18934: bfea.*
+ 18935: bfea.*
+ 18936: bcee.*
+ 18937: bdee.*
+ 18938: bcee.*
+ 18939: beee.*
+ 1893a: bfee.*
+ 1893b: bfee.*
+ 1893c: bce3.*
+ 1893d: bde3.*
+ 1893e: bce3.*
+ 1893f: bee3.*
+ 18940: bfe3.*
+ 18941: bfe3.*
+ 18942: bce7.*
+ 18943: bde7.*
+ 18944: bce7.*
+ 18945: bee7.*
+ 18946: bfe7.*
+ 18947: bfe7.*
+ 18948: bceb.*
+ 18949: bdeb.*
+ 1894a: bceb.*
+ 1894b: beeb.*
+ 1894c: bfeb.*
+ 1894d: bfeb.*
+ 1894e: bcef.*
+ 1894f: bdef.*
+ 18950: bcef.*
+ 18951: beef.*
+ 18952: bfef.*
+ 18953: bfef.*
+ 18954: bc30.*
+ 18955: bd30.*
+ 18956: bc30.*
+ 18957: be30.*
+ 18958: bf30.*
+ 18959: bf30.*
+ 1895a: bc34.*
+ 1895b: bd34.*
+ 1895c: bc34.*
+ 1895d: be34.*
+ 1895e: bf34.*
+ 1895f: bf34.*
+ 18960: bc38.*
+ 18961: bd38.*
+ 18962: bc38.*
+ 18963: be38.*
+ 18964: bf38.*
+ 18965: bf38.*
+ 18966: bc3c.*
+ 18967: bd3c.*
+ 18968: bc3c.*
+ 18969: be3c.*
+ 1896a: bf3c.*
+ 1896b: bf3c.*
+ 1896c: bc31.*
+ 1896d: bd31.*
+ 1896e: bc31.*
+ 1896f: be31.*
+ 18970: bf31.*
+ 18971: bf31.*
+ 18972: bc35.*
+ 18973: bd35.*
+ 18974: bc35.*
+ 18975: be35.*
+ 18976: bf35.*
+ 18977: bf35.*
+ 18978: bc39.*
+ 18979: bd39.*
+ 1897a: bc39.*
+ 1897b: be39.*
+ 1897c: bf39.*
+ 1897d: bf39.*
+ 1897e: bc3d.*
+ 1897f: bd3d.*
+ 18980: bc3d.*
+ 18981: be3d.*
+ 18982: bf3d.*
+ 18983: bf3d.*
+ 18984: bc32.*
+ 18985: bd32.*
+ 18986: bc32.*
+ 18987: be32.*
+ 18988: bf32.*
+ 18989: bf32.*
+ 1898a: bc36.*
+ 1898b: bd36.*
+ 1898c: bc36.*
+ 1898d: be36.*
+ 1898e: bf36.*
+ 1898f: bf36.*
+ 18990: bc3a.*
+ 18991: bd3a.*
+ 18992: bc3a.*
+ 18993: be3a.*
+ 18994: bf3a.*
+ 18995: bf3a.*
+ 18996: bc3e.*
+ 18997: bd3e.*
+ 18998: bc3e.*
+ 18999: be3e.*
+ 1899a: bf3e.*
+ 1899b: bf3e.*
+ 1899c: bc33.*
+ 1899d: bd33.*
+ 1899e: bc33.*
+ 1899f: be33.*
+ 189a0: bf33.*
+ 189a1: bf33.*
+ 189a2: bc37.*
+ 189a3: bd37.*
+ 189a4: bc37.*
+ 189a5: be37.*
+ 189a6: bf37.*
+ 189a7: bf37.*
+ 189a8: bc3b.*
+ 189a9: bd3b.*
+ 189aa: bc3b.*
+ 189ab: be3b.*
+ 189ac: bf3b.*
+ 189ad: bf3b.*
+ 189ae: bc3f.*
+ 189af: bd3f.*
+ 189b0: bc3f.*
+ 189b1: be3f.*
+ 189b2: bf3f.*
+ 189b3: bf3f.*
+ 189b4: bc70.*
+ 189b5: bd70.*
+ 189b6: bc70.*
+ 189b7: be70.*
+ 189b8: bf70.*
+ 189b9: bf70.*
+ 189ba: bc74.*
+ 189bb: bd74.*
+ 189bc: bc74.*
+ 189bd: be74.*
+ 189be: bf74.*
+ 189bf: bf74.*
+ 189c0: bc78.*
+ 189c1: bd78.*
+ 189c2: bc78.*
+ 189c3: be78.*
+ 189c4: bf78.*
+ 189c5: bf78.*
+ 189c6: bc7c.*
+ 189c7: bd7c.*
+ 189c8: bc7c.*
+ 189c9: be7c.*
+ 189ca: bf7c.*
+ 189cb: bf7c.*
+ 189cc: bc71.*
+ 189cd: bd71.*
+ 189ce: bc71.*
+ 189cf: be71.*
+ 189d0: bf71.*
+ 189d1: bf71.*
+ 189d2: bc75.*
+ 189d3: bd75.*
+ 189d4: bc75.*
+ 189d5: be75.*
+ 189d6: bf75.*
+ 189d7: bf75.*
+ 189d8: bc79.*
+ 189d9: bd79.*
+ 189da: bc79.*
+ 189db: be79.*
+ 189dc: bf79.*
+ 189dd: bf79.*
+ 189de: bc7d.*
+ 189df: bd7d.*
+ 189e0: bc7d.*
+ 189e1: be7d.*
+ 189e2: bf7d.*
+ 189e3: bf7d.*
+ 189e4: bc72.*
+ 189e5: bd72.*
+ 189e6: bc72.*
+ 189e7: be72.*
+ 189e8: bf72.*
+ 189e9: bf72.*
+ 189ea: bc76.*
+ 189eb: bd76.*
+ 189ec: bc76.*
+ 189ed: be76.*
+ 189ee: bf76.*
+ 189ef: bf76.*
+ 189f0: bc7a.*
+ 189f1: bd7a.*
+ 189f2: bc7a.*
+ 189f3: be7a.*
+ 189f4: bf7a.*
+ 189f5: bf7a.*
+ 189f6: bc7e.*
+ 189f7: bd7e.*
+ 189f8: bc7e.*
+ 189f9: be7e.*
+ 189fa: bf7e.*
+ 189fb: bf7e.*
+ 189fc: bc73.*
+ 189fd: bd73.*
+ 189fe: bc73.*
+ 189ff: be73.*
+ 18a00: bf73.*
+ 18a01: bf73.*
+ 18a02: bc77.*
+ 18a03: bd77.*
+ 18a04: bc77.*
+ 18a05: be77.*
+ 18a06: bf77.*
+ 18a07: bf77.*
+ 18a08: bc7b.*
+ 18a09: bd7b.*
+ 18a0a: bc7b.*
+ 18a0b: be7b.*
+ 18a0c: bf7b.*
+ 18a0d: bf7b.*
+ 18a0e: bc7f.*
+ 18a0f: bd7f.*
+ 18a10: bc7f.*
+ 18a11: be7f.*
+ 18a12: bf7f.*
+ 18a13: bf7f.*
+ 18a14: bcb0.*
+ 18a15: bdb0.*
+ 18a16: bcb0.*
+ 18a17: beb0.*
+ 18a18: bfb0.*
+ 18a19: bfb0.*
+ 18a1a: bcb4.*
+ 18a1b: bdb4.*
+ 18a1c: bcb4.*
+ 18a1d: beb4.*
+ 18a1e: bfb4.*
+ 18a1f: bfb4.*
+ 18a20: bcb8.*
+ 18a21: bdb8.*
+ 18a22: bcb8.*
+ 18a23: beb8.*
+ 18a24: bfb8.*
+ 18a25: bfb8.*
+ 18a26: bcbc.*
+ 18a27: bdbc.*
+ 18a28: bcbc.*
+ 18a29: bebc.*
+ 18a2a: bfbc.*
+ 18a2b: bfbc.*
+ 18a2c: bcb1.*
+ 18a2d: bdb1.*
+ 18a2e: bcb1.*
+ 18a2f: beb1.*
+ 18a30: bfb1.*
+ 18a31: bfb1.*
+ 18a32: bcb5.*
+ 18a33: bdb5.*
+ 18a34: bcb5.*
+ 18a35: beb5.*
+ 18a36: bfb5.*
+ 18a37: bfb5.*
+ 18a38: bcb9.*
+ 18a39: bdb9.*
+ 18a3a: bcb9.*
+ 18a3b: beb9.*
+ 18a3c: bfb9.*
+ 18a3d: bfb9.*
+ 18a3e: bcbd.*
+ 18a3f: bdbd.*
+ 18a40: bcbd.*
+ 18a41: bebd.*
+ 18a42: bfbd.*
+ 18a43: bfbd.*
+ 18a44: bcb2.*
+ 18a45: bdb2.*
+ 18a46: bcb2.*
+ 18a47: beb2.*
+ 18a48: bfb2.*
+ 18a49: bfb2.*
+ 18a4a: bcb6.*
+ 18a4b: bdb6.*
+ 18a4c: bcb6.*
+ 18a4d: beb6.*
+ 18a4e: bfb6.*
+ 18a4f: bfb6.*
+ 18a50: bcba.*
+ 18a51: bdba.*
+ 18a52: bcba.*
+ 18a53: beba.*
+ 18a54: bfba.*
+ 18a55: bfba.*
+ 18a56: bcbe.*
+ 18a57: bdbe.*
+ 18a58: bcbe.*
+ 18a59: bebe.*
+ 18a5a: bfbe.*
+ 18a5b: bfbe.*
+ 18a5c: bcb3.*
+ 18a5d: bdb3.*
+ 18a5e: bcb3.*
+ 18a5f: beb3.*
+ 18a60: bfb3.*
+ 18a61: bfb3.*
+ 18a62: bcb7.*
+ 18a63: bdb7.*
+ 18a64: bcb7.*
+ 18a65: beb7.*
+ 18a66: bfb7.*
+ 18a67: bfb7.*
+ 18a68: bcbb.*
+ 18a69: bdbb.*
+ 18a6a: bcbb.*
+ 18a6b: bebb.*
+ 18a6c: bfbb.*
+ 18a6d: bfbb.*
+ 18a6e: bcbf.*
+ 18a6f: bdbf.*
+ 18a70: bcbf.*
+ 18a71: bebf.*
+ 18a72: bfbf.*
+ 18a73: bfbf.*
+ 18a74: bcf0.*
+ 18a75: bdf0.*
+ 18a76: bcf0.*
+ 18a77: bef0.*
+ 18a78: bff0.*
+ 18a79: bff0.*
+ 18a7a: bcf4.*
+ 18a7b: bdf4.*
+ 18a7c: bcf4.*
+ 18a7d: bef4.*
+ 18a7e: bff4.*
+ 18a7f: bff4.*
+ 18a80: bcf8.*
+ 18a81: bdf8.*
+ 18a82: bcf8.*
+ 18a83: bef8.*
+ 18a84: bff8.*
+ 18a85: bff8.*
+ 18a86: bcfc.*
+ 18a87: bdfc.*
+ 18a88: bcfc.*
+ 18a89: befc.*
+ 18a8a: bffc.*
+ 18a8b: bffc.*
+ 18a8c: bcf1.*
+ 18a8d: bdf1.*
+ 18a8e: bcf1.*
+ 18a8f: bef1.*
+ 18a90: bff1.*
+ 18a91: bff1.*
+ 18a92: bcf5.*
+ 18a93: bdf5.*
+ 18a94: bcf5.*
+ 18a95: bef5.*
+ 18a96: bff5.*
+ 18a97: bff5.*
+ 18a98: bcf9.*
+ 18a99: bdf9.*
+ 18a9a: bcf9.*
+ 18a9b: bef9.*
+ 18a9c: bff9.*
+ 18a9d: bff9.*
+ 18a9e: bcfd.*
+ 18a9f: bdfd.*
+ 18aa0: bcfd.*
+ 18aa1: befd.*
+ 18aa2: bffd.*
+ 18aa3: bffd.*
+ 18aa4: bcf2.*
+ 18aa5: bdf2.*
+ 18aa6: bcf2.*
+ 18aa7: bef2.*
+ 18aa8: bff2.*
+ 18aa9: bff2.*
+ 18aaa: bcf6.*
+ 18aab: bdf6.*
+ 18aac: bcf6.*
+ 18aad: bef6.*
+ 18aae: bff6.*
+ 18aaf: bff6.*
+ 18ab0: bcfa.*
+ 18ab1: bdfa.*
+ 18ab2: bcfa.*
+ 18ab3: befa.*
+ 18ab4: bffa.*
+ 18ab5: bffa.*
+ 18ab6: bcfe.*
+ 18ab7: bdfe.*
+ 18ab8: bcfe.*
+ 18ab9: befe.*
+ 18aba: bffe.*
+ 18abb: bffe.*
+ 18abc: bcf3.*
+ 18abd: bdf3.*
+ 18abe: bcf3.*
+ 18abf: bef3.*
+ 18ac0: bff3.*
+ 18ac1: bff3.*
+ 18ac2: bcf7.*
+ 18ac3: bdf7.*
+ 18ac4: bcf7.*
+ 18ac5: bef7.*
+ 18ac6: bff7.*
+ 18ac7: bff7.*
+ 18ac8: bcfb.*
+ 18ac9: bdfb.*
+ 18aca: bcfb.*
+ 18acb: befb.*
+ 18acc: bffb.*
+ 18acd: bffb.*
+ 18ace: bcff.*
+ 18acf: bdff.*
+ 18ad0: bcff.*
+ 18ad1: beff.*
+ 18ad2: bfff.*
+ 18ad3: bfff.*
+ 18ad4: 2c80.*
+ 18ad5: 2d80.*
+ 18ad6: 2c88.*
+ 18ad7: 2d88.*
+ 18ad8: 2c90.*
+ 18ad9: 2d90.*
+ 18ada: 2ca0.*
+ 18adb: 2da0.*
+ 18adc: 2ca8.*
+ 18add: 2da8.*
+ 18ade: 2cb0.*
+ 18adf: 2db0.*
+ 18ae0: 2cb8.*
+ 18ae1: 2db8.*
+ 18ae2: 2cc0.*
+ 18ae3: 2dc0.*
+ 18ae4: 2cc8.*
+ 18ae5: 2dc8.*
+ 18ae6: 2cd0.*
+ 18ae7: 2dd0.*
+ 18ae8: 2cd8.*
+ 18ae9: 2dd8.*
+ 18aea: 2ce0.*
+ 18aeb: 311c.*
+ 18aec: 2de0.*
+ 18aed: 311d.*
+ 18aee: 2ce8.*
+ 18aef: 311e.*
+ 18af0: 2de8.*
+ 18af1: 311f.*
+ 18af2: 2cf0.*
+ 18af3: 3120.*
+ 18af4: 2df0.*
+ 18af5: 3121.*
+ 18af6: 2cf8.*
+ 18af7: 3122.*
+ 18af8: 2df8.*
+ 18af9: 3123.*
+ 18afa: 2c81.*
+ 18afb: 2d81.*
+ 18afc: 2c89.*
+ 18afd: 2d89.*
+ 18afe: 2c91.*
+ 18aff: 2d91.*
+ 18b00: 2ca1.*
+ 18b01: 2da1.*
+ 18b02: 2ca9.*
+ 18b03: 2da9.*
+ 18b04: 2cb1.*
+ 18b05: 2db1.*
+ 18b06: 2cb9.*
+ 18b07: 2db9.*
+ 18b08: 2cc1.*
+ 18b09: 2dc1.*
+ 18b0a: 2cc9.*
+ 18b0b: 2dc9.*
+ 18b0c: 2cd1.*
+ 18b0d: 2dd1.*
+ 18b0e: 2cd9.*
+ 18b0f: 2dd9.*
+ 18b10: 2ce1.*
+ 18b11: 3124.*
+ 18b12: 2de1.*
+ 18b13: 3125.*
+ 18b14: 2ce9.*
+ 18b15: 3126.*
+ 18b16: 2de9.*
+ 18b17: 3127.*
+ 18b18: 2cf1.*
+ 18b19: 3128.*
+ 18b1a: 2df1.*
+ 18b1b: 3129.*
+ 18b1c: 2cf8.*
+ 18b1d: 312a.*
+ 18b1e: 2df8.*
+ 18b1f: 312b.*
+ 18b20: 2c82.*
+ 18b21: 2d82.*
+ 18b22: 2c8a.*
+ 18b23: 2d8a.*
+ 18b24: 2c92.*
+ 18b25: 2d92.*
+ 18b26: 2ca2.*
+ 18b27: 2da2.*
+ 18b28: 2caa.*
+ 18b29: 2daa.*
+ 18b2a: 2cb2.*
+ 18b2b: 2db2.*
+ 18b2c: 2cba.*
+ 18b2d: 2dba.*
+ 18b2e: 2cc2.*
+ 18b2f: 2dc2.*
+ 18b30: 2cca.*
+ 18b31: 2dca.*
+ 18b32: 2cd2.*
+ 18b33: 2dd2.*
+ 18b34: 2cda.*
+ 18b35: 2dda.*
+ 18b36: 2ce2.*
+ 18b37: 312c.*
+ 18b38: 2de2.*
+ 18b39: 312d.*
+ 18b3a: 2cea.*
+ 18b3b: 312e.*
+ 18b3c: 2dea.*
+ 18b3d: 312f.*
+ 18b3e: 2cf2.*
+ 18b3f: 3130.*
+ 18b40: 2df2.*
+ 18b41: 3131.*
+ 18b42: 2cf8.*
+ 18b43: 3132.*
+ 18b44: 2df8.*
+ 18b45: 3133.*
+ 18b46: 2c83.*
+ 18b47: 2d83.*
+ 18b48: 2c8b.*
+ 18b49: 2d8b.*
+ 18b4a: 2c93.*
+ 18b4b: 2d93.*
+ 18b4c: 2ca3.*
+ 18b4d: 2da3.*
+ 18b4e: 2cab.*
+ 18b4f: 2dab.*
+ 18b50: 2cb3.*
+ 18b51: 2db3.*
+ 18b52: 2cbb.*
+ 18b53: 2dbb.*
+ 18b54: 2cc3.*
+ 18b55: 2dc3.*
+ 18b56: 2ccb.*
+ 18b57: 2dcb.*
+ 18b58: 2cd3.*
+ 18b59: 2dd3.*
+ 18b5a: 2cdb.*
+ 18b5b: 2ddb.*
+ 18b5c: 2ce3.*
+ 18b5d: 3134.*
+ 18b5e: 2de3.*
+ 18b5f: 3135.*
+ 18b60: 2ceb.*
+ 18b61: 3136.*
+ 18b62: 2deb.*
+ 18b63: 3137.*
+ 18b64: 2cf3.*
+ 18b65: 3138.*
+ 18b66: 2df3.*
+ 18b67: 3139.*
+ 18b68: 2cf8.*
+ 18b69: 313a.*
+ 18b6a: 2df8.*
+ 18b6b: 313b.*
+ 18b6c: 2c84.*
+ 18b6d: 2d84.*
+ 18b6e: 2c8c.*
+ 18b6f: 2d8c.*
+ 18b70: 2c94.*
+ 18b71: 2d94.*
+ 18b72: 2ca4.*
+ 18b73: 2da4.*
+ 18b74: 2cac.*
+ 18b75: 2dac.*
+ 18b76: 2cb4.*
+ 18b77: 2db4.*
+ 18b78: 2cbc.*
+ 18b79: 2dbc.*
+ 18b7a: 2cc4.*
+ 18b7b: 2dc4.*
+ 18b7c: 2ccc.*
+ 18b7d: 2dcc.*
+ 18b7e: 2cd4.*
+ 18b7f: 2dd4.*
+ 18b80: 2cdc.*
+ 18b81: 2ddc.*
+ 18b82: 2ce4.*
+ 18b83: 313c.*
+ 18b84: 2de4.*
+ 18b85: 313d.*
+ 18b86: 2cec.*
+ 18b87: 313e.*
+ 18b88: 2dec.*
+ 18b89: 313f.*
+ 18b8a: 2cf4.*
+ 18b8b: 3140.*
+ 18b8c: 2df4.*
+ 18b8d: 3141.*
+ 18b8e: 2cf8.*
+ 18b8f: 3142.*
+ 18b90: 2df8.*
+ 18b91: 3143.*
+ 18b92: 2c85.*
+ 18b93: 2d85.*
+ 18b94: 2c8d.*
+ 18b95: 2d8d.*
+ 18b96: 2c95.*
+ 18b97: 2d95.*
+ 18b98: 2ca5.*
+ 18b99: 2da5.*
+ 18b9a: 2cad.*
+ 18b9b: 2dad.*
+ 18b9c: 2cb5.*
+ 18b9d: 2db5.*
+ 18b9e: 2cbd.*
+ 18b9f: 2dbd.*
+ 18ba0: 2cc5.*
+ 18ba1: 2dc5.*
+ 18ba2: 2ccd.*
+ 18ba3: 2dcd.*
+ 18ba4: 2cd5.*
+ 18ba5: 2dd5.*
+ 18ba6: 2cdd.*
+ 18ba7: 2ddd.*
+ 18ba8: 2ce5.*
+ 18ba9: 3144.*
+ 18baa: 2de5.*
+ 18bab: 3145.*
+ 18bac: 2ced.*
+ 18bad: 3146.*
+ 18bae: 2ded.*
+ 18baf: 3147.*
+ 18bb0: 2cf5.*
+ 18bb1: 3148.*
+ 18bb2: 2df5.*
+ 18bb3: 3149.*
+ 18bb4: 2cf8.*
+ 18bb5: 314a.*
+ 18bb6: 2df8.*
+ 18bb7: 314b.*
+ 18bb8: 2c86.*
+ 18bb9: 2d86.*
+ 18bba: 2c8e.*
+ 18bbb: 2d8e.*
+ 18bbc: 2c96.*
+ 18bbd: 2d96.*
+ 18bbe: 2ca6.*
+ 18bbf: 2da6.*
+ 18bc0: 2cae.*
+ 18bc1: 2dae.*
+ 18bc2: 2cb6.*
+ 18bc3: 2db6.*
+ 18bc4: 2cbe.*
+ 18bc5: 2dbe.*
+ 18bc6: 2cc6.*
+ 18bc7: 2dc6.*
+ 18bc8: 2cce.*
+ 18bc9: 2dce.*
+ 18bca: 2cd6.*
+ 18bcb: 2dd6.*
+ 18bcc: 2cde.*
+ 18bcd: 2dde.*
+ 18bce: 2ce6.*
+ 18bcf: 314c.*
+ 18bd0: 2de6.*
+ 18bd1: 314d.*
+ 18bd2: 2cee.*
+ 18bd3: 314e.*
+ 18bd4: 2dee.*
+ 18bd5: 314f.*
+ 18bd6: 2cf6.*
+ 18bd7: 3150.*
+ 18bd8: 2df6.*
+ 18bd9: 3151.*
+ 18bda: 2cf8.*
+ 18bdb: 3152.*
+ 18bdc: 2df8.*
+ 18bdd: 3153.*
+ 18bde: 2c87.*
+ 18bdf: 2d87.*
+ 18be0: 2c8f.*
+ 18be1: 2d8f.*
+ 18be2: 2c97.*
+ 18be3: 2d97.*
+ 18be4: 2ca7.*
+ 18be5: 2da7.*
+ 18be6: 2caf.*
+ 18be7: 2daf.*
+ 18be8: 2cb7.*
+ 18be9: 2db7.*
+ 18bea: 2cbf.*
+ 18beb: 2dbf.*
+ 18bec: 2cc7.*
+ 18bed: 2dc7.*
+ 18bee: 2ccf.*
+ 18bef: 2dcf.*
+ 18bf0: 2cd7.*
+ 18bf1: 2dd7.*
+ 18bf2: 2cdf.*
+ 18bf3: 2ddf.*
+ 18bf4: 2ce7.*
+ 18bf5: 3154.*
+ 18bf6: 2de7.*
+ 18bf7: 3155.*
+ 18bf8: 2cef.*
+ 18bf9: 3156.*
+ 18bfa: 2def.*
+ 18bfb: 3157.*
+ 18bfc: 2cf7.*
+ 18bfd: 3158.*
+ 18bfe: 2df7.*
+ 18bff: 3159.*
+ 18c00: 2cf8.*
+ 18c01: 315a.*
+ 18c02: 2df8.*
+ 18c03: 315b.*
+ 18c04: b800.*
+ 18c05: b900.*
+ 18c06: b800.*
+ 18c07: ba00.*
+ 18c08: bb00.*
+ 18c09: bb00.*
+ 18c0a: b804.*
+ 18c0b: b904.*
+ 18c0c: b804.*
+ 18c0d: ba04.*
+ 18c0e: bb04.*
+ 18c0f: bb04.*
+ 18c10: b808.*
+ 18c11: b908.*
+ 18c12: b808.*
+ 18c13: ba08.*
+ 18c14: bb08.*
+ 18c15: bb08.*
+ 18c16: b80c.*
+ 18c17: b90c.*
+ 18c18: b80c.*
+ 18c19: ba0c.*
+ 18c1a: bb0c.*
+ 18c1b: bb0c.*
+ 18c1c: b801.*
+ 18c1d: b901.*
+ 18c1e: b801.*
+ 18c1f: ba01.*
+ 18c20: bb01.*
+ 18c21: bb01.*
+ 18c22: b805.*
+ 18c23: b905.*
+ 18c24: b805.*
+ 18c25: ba05.*
+ 18c26: bb05.*
+ 18c27: bb05.*
+ 18c28: b809.*
+ 18c29: b909.*
+ 18c2a: b809.*
+ 18c2b: ba09.*
+ 18c2c: bb09.*
+ 18c2d: bb09.*
+ 18c2e: b80d.*
+ 18c2f: b90d.*
+ 18c30: b80d.*
+ 18c31: ba0d.*
+ 18c32: bb0d.*
+ 18c33: bb0d.*
+ 18c34: b802.*
+ 18c35: b902.*
+ 18c36: b802.*
+ 18c37: ba02.*
+ 18c38: bb02.*
+ 18c39: bb02.*
+ 18c3a: b806.*
+ 18c3b: b906.*
+ 18c3c: b806.*
+ 18c3d: ba06.*
+ 18c3e: bb06.*
+ 18c3f: bb06.*
+ 18c40: b80a.*
+ 18c41: b90a.*
+ 18c42: b80a.*
+ 18c43: ba0a.*
+ 18c44: bb0a.*
+ 18c45: bb0a.*
+ 18c46: b80e.*
+ 18c47: b90e.*
+ 18c48: b80e.*
+ 18c49: ba0e.*
+ 18c4a: bb0e.*
+ 18c4b: bb0e.*
+ 18c4c: b803.*
+ 18c4d: b903.*
+ 18c4e: b803.*
+ 18c4f: ba03.*
+ 18c50: bb03.*
+ 18c51: bb03.*
+ 18c52: b807.*
+ 18c53: b907.*
+ 18c54: b807.*
+ 18c55: ba07.*
+ 18c56: bb07.*
+ 18c57: bb07.*
+ 18c58: b80b.*
+ 18c59: b90b.*
+ 18c5a: b80b.*
+ 18c5b: ba0b.*
+ 18c5c: bb0b.*
+ 18c5d: bb0b.*
+ 18c5e: b80f.*
+ 18c5f: b90f.*
+ 18c60: b80f.*
+ 18c61: ba0f.*
+ 18c62: bb0f.*
+ 18c63: bb0f.*
+ 18c64: b840.*
+ 18c65: b940.*
+ 18c66: b840.*
+ 18c67: ba40.*
+ 18c68: bb40.*
+ 18c69: bb40.*
+ 18c6a: b844.*
+ 18c6b: b944.*
+ 18c6c: b844.*
+ 18c6d: ba44.*
+ 18c6e: bb44.*
+ 18c6f: bb44.*
+ 18c70: b848.*
+ 18c71: b948.*
+ 18c72: b848.*
+ 18c73: ba48.*
+ 18c74: bb48.*
+ 18c75: bb48.*
+ 18c76: b84c.*
+ 18c77: b94c.*
+ 18c78: b84c.*
+ 18c79: ba4c.*
+ 18c7a: bb4c.*
+ 18c7b: bb4c.*
+ 18c7c: b841.*
+ 18c7d: b941.*
+ 18c7e: b841.*
+ 18c7f: ba41.*
+ 18c80: bb41.*
+ 18c81: bb41.*
+ 18c82: b845.*
+ 18c83: b945.*
+ 18c84: b845.*
+ 18c85: ba45.*
+ 18c86: bb45.*
+ 18c87: bb45.*
+ 18c88: b849.*
+ 18c89: b949.*
+ 18c8a: b849.*
+ 18c8b: ba49.*
+ 18c8c: bb49.*
+ 18c8d: bb49.*
+ 18c8e: b84d.*
+ 18c8f: b94d.*
+ 18c90: b84d.*
+ 18c91: ba4d.*
+ 18c92: bb4d.*
+ 18c93: bb4d.*
+ 18c94: b842.*
+ 18c95: b942.*
+ 18c96: b842.*
+ 18c97: ba42.*
+ 18c98: bb42.*
+ 18c99: bb42.*
+ 18c9a: b846.*
+ 18c9b: b946.*
+ 18c9c: b846.*
+ 18c9d: ba46.*
+ 18c9e: bb46.*
+ 18c9f: bb46.*
+ 18ca0: b84a.*
+ 18ca1: b94a.*
+ 18ca2: b84a.*
+ 18ca3: ba4a.*
+ 18ca4: bb4a.*
+ 18ca5: bb4a.*
+ 18ca6: b84e.*
+ 18ca7: b94e.*
+ 18ca8: b84e.*
+ 18ca9: ba4e.*
+ 18caa: bb4e.*
+ 18cab: bb4e.*
+ 18cac: b843.*
+ 18cad: b943.*
+ 18cae: b843.*
+ 18caf: ba43.*
+ 18cb0: bb43.*
+ 18cb1: bb43.*
+ 18cb2: b847.*
+ 18cb3: b947.*
+ 18cb4: b847.*
+ 18cb5: ba47.*
+ 18cb6: bb47.*
+ 18cb7: bb47.*
+ 18cb8: b84b.*
+ 18cb9: b94b.*
+ 18cba: b84b.*
+ 18cbb: ba4b.*
+ 18cbc: bb4b.*
+ 18cbd: bb4b.*
+ 18cbe: b84f.*
+ 18cbf: b94f.*
+ 18cc0: b84f.*
+ 18cc1: ba4f.*
+ 18cc2: bb4f.*
+ 18cc3: bb4f.*
+ 18cc4: b880.*
+ 18cc5: b980.*
+ 18cc6: b880.*
+ 18cc7: ba80.*
+ 18cc8: bb80.*
+ 18cc9: bb80.*
+ 18cca: b884.*
+ 18ccb: b984.*
+ 18ccc: b884.*
+ 18ccd: ba84.*
+ 18cce: bb84.*
+ 18ccf: bb84.*
+ 18cd0: b888.*
+ 18cd1: b988.*
+ 18cd2: b888.*
+ 18cd3: ba88.*
+ 18cd4: bb88.*
+ 18cd5: bb88.*
+ 18cd6: b88c.*
+ 18cd7: b98c.*
+ 18cd8: b88c.*
+ 18cd9: ba8c.*
+ 18cda: bb8c.*
+ 18cdb: bb8c.*
+ 18cdc: b881.*
+ 18cdd: b981.*
+ 18cde: b881.*
+ 18cdf: ba81.*
+ 18ce0: bb81.*
+ 18ce1: bb81.*
+ 18ce2: b885.*
+ 18ce3: b985.*
+ 18ce4: b885.*
+ 18ce5: ba85.*
+ 18ce6: bb85.*
+ 18ce7: bb85.*
+ 18ce8: b889.*
+ 18ce9: b989.*
+ 18cea: b889.*
+ 18ceb: ba89.*
+ 18cec: bb89.*
+ 18ced: bb89.*
+ 18cee: b88d.*
+ 18cef: b98d.*
+ 18cf0: b88d.*
+ 18cf1: ba8d.*
+ 18cf2: bb8d.*
+ 18cf3: bb8d.*
+ 18cf4: b882.*
+ 18cf5: b982.*
+ 18cf6: b882.*
+ 18cf7: ba82.*
+ 18cf8: bb82.*
+ 18cf9: bb82.*
+ 18cfa: b886.*
+ 18cfb: b986.*
+ 18cfc: b886.*
+ 18cfd: ba86.*
+ 18cfe: bb86.*
+ 18cff: bb86.*
+ 18d00: b88a.*
+ 18d01: b98a.*
+ 18d02: b88a.*
+ 18d03: ba8a.*
+ 18d04: bb8a.*
+ 18d05: bb8a.*
+ 18d06: b88e.*
+ 18d07: b98e.*
+ 18d08: b88e.*
+ 18d09: ba8e.*
+ 18d0a: bb8e.*
+ 18d0b: bb8e.*
+ 18d0c: b883.*
+ 18d0d: b983.*
+ 18d0e: b883.*
+ 18d0f: ba83.*
+ 18d10: bb83.*
+ 18d11: bb83.*
+ 18d12: b887.*
+ 18d13: b987.*
+ 18d14: b887.*
+ 18d15: ba87.*
+ 18d16: bb87.*
+ 18d17: bb87.*
+ 18d18: b88b.*
+ 18d19: b98b.*
+ 18d1a: b88b.*
+ 18d1b: ba8b.*
+ 18d1c: bb8b.*
+ 18d1d: bb8b.*
+ 18d1e: b88f.*
+ 18d1f: b98f.*
+ 18d20: b88f.*
+ 18d21: ba8f.*
+ 18d22: bb8f.*
+ 18d23: bb8f.*
+ 18d24: b8c0.*
+ 18d25: b9c0.*
+ 18d26: b8c0.*
+ 18d27: bac0.*
+ 18d28: bbc0.*
+ 18d29: bbc0.*
+ 18d2a: b8c4.*
+ 18d2b: b9c4.*
+ 18d2c: b8c4.*
+ 18d2d: bac4.*
+ 18d2e: bbc4.*
+ 18d2f: bbc4.*
+ 18d30: b8c8.*
+ 18d31: b9c8.*
+ 18d32: b8c8.*
+ 18d33: bac8.*
+ 18d34: bbc8.*
+ 18d35: bbc8.*
+ 18d36: b8cc.*
+ 18d37: b9cc.*
+ 18d38: b8cc.*
+ 18d39: bacc.*
+ 18d3a: bbcc.*
+ 18d3b: bbcc.*
+ 18d3c: b8c1.*
+ 18d3d: b9c1.*
+ 18d3e: b8c1.*
+ 18d3f: bac1.*
+ 18d40: bbc1.*
+ 18d41: bbc1.*
+ 18d42: b8c5.*
+ 18d43: b9c5.*
+ 18d44: b8c5.*
+ 18d45: bac5.*
+ 18d46: bbc5.*
+ 18d47: bbc5.*
+ 18d48: b8c9.*
+ 18d49: b9c9.*
+ 18d4a: b8c9.*
+ 18d4b: bac9.*
+ 18d4c: bbc9.*
+ 18d4d: bbc9.*
+ 18d4e: b8cd.*
+ 18d4f: b9cd.*
+ 18d50: b8cd.*
+ 18d51: bacd.*
+ 18d52: bbcd.*
+ 18d53: bbcd.*
+ 18d54: b8c2.*
+ 18d55: b9c2.*
+ 18d56: b8c2.*
+ 18d57: bac2.*
+ 18d58: bbc2.*
+ 18d59: bbc2.*
+ 18d5a: b8c6.*
+ 18d5b: b9c6.*
+ 18d5c: b8c6.*
+ 18d5d: bac6.*
+ 18d5e: bbc6.*
+ 18d5f: bbc6.*
+ 18d60: b8ca.*
+ 18d61: b9ca.*
+ 18d62: b8ca.*
+ 18d63: baca.*
+ 18d64: bbca.*
+ 18d65: bbca.*
+ 18d66: b8ce.*
+ 18d67: b9ce.*
+ 18d68: b8ce.*
+ 18d69: bace.*
+ 18d6a: bbce.*
+ 18d6b: bbce.*
+ 18d6c: b8c3.*
+ 18d6d: b9c3.*
+ 18d6e: b8c3.*
+ 18d6f: bac3.*
+ 18d70: bbc3.*
+ 18d71: bbc3.*
+ 18d72: b8c7.*
+ 18d73: b9c7.*
+ 18d74: b8c7.*
+ 18d75: bac7.*
+ 18d76: bbc7.*
+ 18d77: bbc7.*
+ 18d78: b8cb.*
+ 18d79: b9cb.*
+ 18d7a: b8cb.*
+ 18d7b: bacb.*
+ 18d7c: bbcb.*
+ 18d7d: bbcb.*
+ 18d7e: b8cf.*
+ 18d7f: b9cf.*
+ 18d80: b8cf.*
+ 18d81: bacf.*
+ 18d82: bbcf.*
+ 18d83: bbcf.*
+ 18d84: b810.*
+ 18d85: b910.*
+ 18d86: b810.*
+ 18d87: ba10.*
+ 18d88: bb10.*
+ 18d89: bb10.*
+ 18d8a: b814.*
+ 18d8b: b914.*
+ 18d8c: b814.*
+ 18d8d: ba14.*
+ 18d8e: bb14.*
+ 18d8f: bb14.*
+ 18d90: b818.*
+ 18d91: b918.*
+ 18d92: b818.*
+ 18d93: ba18.*
+ 18d94: bb18.*
+ 18d95: bb18.*
+ 18d96: b81c.*
+ 18d97: b91c.*
+ 18d98: b81c.*
+ 18d99: ba1c.*
+ 18d9a: bb1c.*
+ 18d9b: bb1c.*
+ 18d9c: b811.*
+ 18d9d: b911.*
+ 18d9e: b811.*
+ 18d9f: ba11.*
+ 18da0: bb11.*
+ 18da1: bb11.*
+ 18da2: b815.*
+ 18da3: b915.*
+ 18da4: b815.*
+ 18da5: ba15.*
+ 18da6: bb15.*
+ 18da7: bb15.*
+ 18da8: b819.*
+ 18da9: b919.*
+ 18daa: b819.*
+ 18dab: ba19.*
+ 18dac: bb19.*
+ 18dad: bb19.*
+ 18dae: b81d.*
+ 18daf: b91d.*
+ 18db0: b81d.*
+ 18db1: ba1d.*
+ 18db2: bb1d.*
+ 18db3: bb1d.*
+ 18db4: b812.*
+ 18db5: b912.*
+ 18db6: b812.*
+ 18db7: ba12.*
+ 18db8: bb12.*
+ 18db9: bb12.*
+ 18dba: b816.*
+ 18dbb: b916.*
+ 18dbc: b816.*
+ 18dbd: ba16.*
+ 18dbe: bb16.*
+ 18dbf: bb16.*
+ 18dc0: b81a.*
+ 18dc1: b91a.*
+ 18dc2: b81a.*
+ 18dc3: ba1a.*
+ 18dc4: bb1a.*
+ 18dc5: bb1a.*
+ 18dc6: b81e.*
+ 18dc7: b91e.*
+ 18dc8: b81e.*
+ 18dc9: ba1e.*
+ 18dca: bb1e.*
+ 18dcb: bb1e.*
+ 18dcc: b813.*
+ 18dcd: b913.*
+ 18dce: b813.*
+ 18dcf: ba13.*
+ 18dd0: bb13.*
+ 18dd1: bb13.*
+ 18dd2: b817.*
+ 18dd3: b917.*
+ 18dd4: b817.*
+ 18dd5: ba17.*
+ 18dd6: bb17.*
+ 18dd7: bb17.*
+ 18dd8: b81b.*
+ 18dd9: b91b.*
+ 18dda: b81b.*
+ 18ddb: ba1b.*
+ 18ddc: bb1b.*
+ 18ddd: bb1b.*
+ 18dde: b81f.*
+ 18ddf: b91f.*
+ 18de0: b81f.*
+ 18de1: ba1f.*
+ 18de2: bb1f.*
+ 18de3: bb1f.*
+ 18de4: b850.*
+ 18de5: b950.*
+ 18de6: b850.*
+ 18de7: ba50.*
+ 18de8: bb50.*
+ 18de9: bb50.*
+ 18dea: b854.*
+ 18deb: b954.*
+ 18dec: b854.*
+ 18ded: ba54.*
+ 18dee: bb54.*
+ 18def: bb54.*
+ 18df0: b858.*
+ 18df1: b958.*
+ 18df2: b858.*
+ 18df3: ba58.*
+ 18df4: bb58.*
+ 18df5: bb58.*
+ 18df6: b85c.*
+ 18df7: b95c.*
+ 18df8: b85c.*
+ 18df9: ba5c.*
+ 18dfa: bb5c.*
+ 18dfb: bb5c.*
+ 18dfc: b851.*
+ 18dfd: b951.*
+ 18dfe: b851.*
+ 18dff: ba51.*
+ 18e00: bb51.*
+ 18e01: bb51.*
+ 18e02: b855.*
+ 18e03: b955.*
+ 18e04: b855.*
+ 18e05: ba55.*
+ 18e06: bb55.*
+ 18e07: bb55.*
+ 18e08: b859.*
+ 18e09: b959.*
+ 18e0a: b859.*
+ 18e0b: ba59.*
+ 18e0c: bb59.*
+ 18e0d: bb59.*
+ 18e0e: b85d.*
+ 18e0f: b95d.*
+ 18e10: b85d.*
+ 18e11: ba5d.*
+ 18e12: bb5d.*
+ 18e13: bb5d.*
+ 18e14: b852.*
+ 18e15: b952.*
+ 18e16: b852.*
+ 18e17: ba52.*
+ 18e18: bb52.*
+ 18e19: bb52.*
+ 18e1a: b856.*
+ 18e1b: b956.*
+ 18e1c: b856.*
+ 18e1d: ba56.*
+ 18e1e: bb56.*
+ 18e1f: bb56.*
+ 18e20: b85a.*
+ 18e21: b95a.*
+ 18e22: b85a.*
+ 18e23: ba5a.*
+ 18e24: bb5a.*
+ 18e25: bb5a.*
+ 18e26: b85e.*
+ 18e27: b95e.*
+ 18e28: b85e.*
+ 18e29: ba5e.*
+ 18e2a: bb5e.*
+ 18e2b: bb5e.*
+ 18e2c: b853.*
+ 18e2d: b953.*
+ 18e2e: b853.*
+ 18e2f: ba53.*
+ 18e30: bb53.*
+ 18e31: bb53.*
+ 18e32: b857.*
+ 18e33: b957.*
+ 18e34: b857.*
+ 18e35: ba57.*
+ 18e36: bb57.*
+ 18e37: bb57.*
+ 18e38: b85b.*
+ 18e39: b95b.*
+ 18e3a: b85b.*
+ 18e3b: ba5b.*
+ 18e3c: bb5b.*
+ 18e3d: bb5b.*
+ 18e3e: b85f.*
+ 18e3f: b95f.*
+ 18e40: b85f.*
+ 18e41: ba5f.*
+ 18e42: bb5f.*
+ 18e43: bb5f.*
+ 18e44: b890.*
+ 18e45: b990.*
+ 18e46: b890.*
+ 18e47: ba90.*
+ 18e48: bb90.*
+ 18e49: bb90.*
+ 18e4a: b894.*
+ 18e4b: b994.*
+ 18e4c: b894.*
+ 18e4d: ba94.*
+ 18e4e: bb94.*
+ 18e4f: bb94.*
+ 18e50: b898.*
+ 18e51: b998.*
+ 18e52: b898.*
+ 18e53: ba98.*
+ 18e54: bb98.*
+ 18e55: bb98.*
+ 18e56: b89c.*
+ 18e57: b99c.*
+ 18e58: b89c.*
+ 18e59: ba9c.*
+ 18e5a: bb9c.*
+ 18e5b: bb9c.*
+ 18e5c: b891.*
+ 18e5d: b991.*
+ 18e5e: b891.*
+ 18e5f: ba91.*
+ 18e60: bb91.*
+ 18e61: bb91.*
+ 18e62: b895.*
+ 18e63: b995.*
+ 18e64: b895.*
+ 18e65: ba95.*
+ 18e66: bb95.*
+ 18e67: bb95.*
+ 18e68: b899.*
+ 18e69: b999.*
+ 18e6a: b899.*
+ 18e6b: ba99.*
+ 18e6c: bb99.*
+ 18e6d: bb99.*
+ 18e6e: b89d.*
+ 18e6f: b99d.*
+ 18e70: b89d.*
+ 18e71: ba9d.*
+ 18e72: bb9d.*
+ 18e73: bb9d.*
+ 18e74: b892.*
+ 18e75: b992.*
+ 18e76: b892.*
+ 18e77: ba92.*
+ 18e78: bb92.*
+ 18e79: bb92.*
+ 18e7a: b896.*
+ 18e7b: b996.*
+ 18e7c: b896.*
+ 18e7d: ba96.*
+ 18e7e: bb96.*
+ 18e7f: bb96.*
+ 18e80: b89a.*
+ 18e81: b99a.*
+ 18e82: b89a.*
+ 18e83: ba9a.*
+ 18e84: bb9a.*
+ 18e85: bb9a.*
+ 18e86: b89e.*
+ 18e87: b99e.*
+ 18e88: b89e.*
+ 18e89: ba9e.*
+ 18e8a: bb9e.*
+ 18e8b: bb9e.*
+ 18e8c: b893.*
+ 18e8d: b993.*
+ 18e8e: b893.*
+ 18e8f: ba93.*
+ 18e90: bb93.*
+ 18e91: bb93.*
+ 18e92: b897.*
+ 18e93: b997.*
+ 18e94: b897.*
+ 18e95: ba97.*
+ 18e96: bb97.*
+ 18e97: bb97.*
+ 18e98: b89b.*
+ 18e99: b99b.*
+ 18e9a: b89b.*
+ 18e9b: ba9b.*
+ 18e9c: bb9b.*
+ 18e9d: bb9b.*
+ 18e9e: b89f.*
+ 18e9f: b99f.*
+ 18ea0: b89f.*
+ 18ea1: ba9f.*
+ 18ea2: bb9f.*
+ 18ea3: bb9f.*
+ 18ea4: b8d0.*
+ 18ea5: b9d0.*
+ 18ea6: b8d0.*
+ 18ea7: bad0.*
+ 18ea8: bbd0.*
+ 18ea9: bbd0.*
+ 18eaa: b8d4.*
+ 18eab: b9d4.*
+ 18eac: b8d4.*
+ 18ead: bad4.*
+ 18eae: bbd4.*
+ 18eaf: bbd4.*
+ 18eb0: b8d8.*
+ 18eb1: b9d8.*
+ 18eb2: b8d8.*
+ 18eb3: bad8.*
+ 18eb4: bbd8.*
+ 18eb5: bbd8.*
+ 18eb6: b8dc.*
+ 18eb7: b9dc.*
+ 18eb8: b8dc.*
+ 18eb9: badc.*
+ 18eba: bbdc.*
+ 18ebb: bbdc.*
+ 18ebc: b8d1.*
+ 18ebd: b9d1.*
+ 18ebe: b8d1.*
+ 18ebf: bad1.*
+ 18ec0: bbd1.*
+ 18ec1: bbd1.*
+ 18ec2: b8d5.*
+ 18ec3: b9d5.*
+ 18ec4: b8d5.*
+ 18ec5: bad5.*
+ 18ec6: bbd5.*
+ 18ec7: bbd5.*
+ 18ec8: b8d9.*
+ 18ec9: b9d9.*
+ 18eca: b8d9.*
+ 18ecb: bad9.*
+ 18ecc: bbd9.*
+ 18ecd: bbd9.*
+ 18ece: b8dd.*
+ 18ecf: b9dd.*
+ 18ed0: b8dd.*
+ 18ed1: badd.*
+ 18ed2: bbdd.*
+ 18ed3: bbdd.*
+ 18ed4: b8d2.*
+ 18ed5: b9d2.*
+ 18ed6: b8d2.*
+ 18ed7: bad2.*
+ 18ed8: bbd2.*
+ 18ed9: bbd2.*
+ 18eda: b8d6.*
+ 18edb: b9d6.*
+ 18edc: b8d6.*
+ 18edd: bad6.*
+ 18ede: bbd6.*
+ 18edf: bbd6.*
+ 18ee0: b8da.*
+ 18ee1: b9da.*
+ 18ee2: b8da.*
+ 18ee3: bada.*
+ 18ee4: bbda.*
+ 18ee5: bbda.*
+ 18ee6: b8de.*
+ 18ee7: b9de.*
+ 18ee8: b8de.*
+ 18ee9: bade.*
+ 18eea: bbde.*
+ 18eeb: bbde.*
+ 18eec: b8d3.*
+ 18eed: b9d3.*
+ 18eee: b8d3.*
+ 18eef: bad3.*
+ 18ef0: bbd3.*
+ 18ef1: bbd3.*
+ 18ef2: b8d7.*
+ 18ef3: b9d7.*
+ 18ef4: b8d7.*
+ 18ef5: bad7.*
+ 18ef6: bbd7.*
+ 18ef7: bbd7.*
+ 18ef8: b8db.*
+ 18ef9: b9db.*
+ 18efa: b8db.*
+ 18efb: badb.*
+ 18efc: bbdb.*
+ 18efd: bbdb.*
+ 18efe: b8df.*
+ 18eff: b9df.*
+ 18f00: b8df.*
+ 18f01: badf.*
+ 18f02: bbdf.*
+ 18f03: bbdf.*
+ 18f04: b820.*
+ 18f05: b920.*
+ 18f06: b820.*
+ 18f07: ba20.*
+ 18f08: bb20.*
+ 18f09: bb20.*
+ 18f0a: b824.*
+ 18f0b: b924.*
+ 18f0c: b824.*
+ 18f0d: ba24.*
+ 18f0e: bb24.*
+ 18f0f: bb24.*
+ 18f10: b828.*
+ 18f11: b928.*
+ 18f12: b828.*
+ 18f13: ba28.*
+ 18f14: bb28.*
+ 18f15: bb28.*
+ 18f16: b82c.*
+ 18f17: b92c.*
+ 18f18: b82c.*
+ 18f19: ba2c.*
+ 18f1a: bb2c.*
+ 18f1b: bb2c.*
+ 18f1c: b821.*
+ 18f1d: b921.*
+ 18f1e: b821.*
+ 18f1f: ba21.*
+ 18f20: bb21.*
+ 18f21: bb21.*
+ 18f22: b825.*
+ 18f23: b925.*
+ 18f24: b825.*
+ 18f25: ba25.*
+ 18f26: bb25.*
+ 18f27: bb25.*
+ 18f28: b829.*
+ 18f29: b929.*
+ 18f2a: b829.*
+ 18f2b: ba29.*
+ 18f2c: bb29.*
+ 18f2d: bb29.*
+ 18f2e: b82d.*
+ 18f2f: b92d.*
+ 18f30: b82d.*
+ 18f31: ba2d.*
+ 18f32: bb2d.*
+ 18f33: bb2d.*
+ 18f34: b822.*
+ 18f35: b922.*
+ 18f36: b822.*
+ 18f37: ba22.*
+ 18f38: bb22.*
+ 18f39: bb22.*
+ 18f3a: b826.*
+ 18f3b: b926.*
+ 18f3c: b826.*
+ 18f3d: ba26.*
+ 18f3e: bb26.*
+ 18f3f: bb26.*
+ 18f40: b82a.*
+ 18f41: b92a.*
+ 18f42: b82a.*
+ 18f43: ba2a.*
+ 18f44: bb2a.*
+ 18f45: bb2a.*
+ 18f46: b82e.*
+ 18f47: b92e.*
+ 18f48: b82e.*
+ 18f49: ba2e.*
+ 18f4a: bb2e.*
+ 18f4b: bb2e.*
+ 18f4c: b823.*
+ 18f4d: b923.*
+ 18f4e: b823.*
+ 18f4f: ba23.*
+ 18f50: bb23.*
+ 18f51: bb23.*
+ 18f52: b827.*
+ 18f53: b927.*
+ 18f54: b827.*
+ 18f55: ba27.*
+ 18f56: bb27.*
+ 18f57: bb27.*
+ 18f58: b82b.*
+ 18f59: b92b.*
+ 18f5a: b82b.*
+ 18f5b: ba2b.*
+ 18f5c: bb2b.*
+ 18f5d: bb2b.*
+ 18f5e: b82f.*
+ 18f5f: b92f.*
+ 18f60: b82f.*
+ 18f61: ba2f.*
+ 18f62: bb2f.*
+ 18f63: bb2f.*
+ 18f64: b860.*
+ 18f65: b960.*
+ 18f66: b860.*
+ 18f67: ba60.*
+ 18f68: bb60.*
+ 18f69: bb60.*
+ 18f6a: b864.*
+ 18f6b: b964.*
+ 18f6c: b864.*
+ 18f6d: ba64.*
+ 18f6e: bb64.*
+ 18f6f: bb64.*
+ 18f70: b868.*
+ 18f71: b968.*
+ 18f72: b868.*
+ 18f73: ba68.*
+ 18f74: bb68.*
+ 18f75: bb68.*
+ 18f76: b86c.*
+ 18f77: b96c.*
+ 18f78: b86c.*
+ 18f79: ba6c.*
+ 18f7a: bb6c.*
+ 18f7b: bb6c.*
+ 18f7c: b861.*
+ 18f7d: b961.*
+ 18f7e: b861.*
+ 18f7f: ba61.*
+ 18f80: bb61.*
+ 18f81: bb61.*
+ 18f82: b865.*
+ 18f83: b965.*
+ 18f84: b865.*
+ 18f85: ba65.*
+ 18f86: bb65.*
+ 18f87: bb65.*
+ 18f88: b869.*
+ 18f89: b969.*
+ 18f8a: b869.*
+ 18f8b: ba69.*
+ 18f8c: bb69.*
+ 18f8d: bb69.*
+ 18f8e: b86d.*
+ 18f8f: b96d.*
+ 18f90: b86d.*
+ 18f91: ba6d.*
+ 18f92: bb6d.*
+ 18f93: bb6d.*
+ 18f94: b862.*
+ 18f95: b962.*
+ 18f96: b862.*
+ 18f97: ba62.*
+ 18f98: bb62.*
+ 18f99: bb62.*
+ 18f9a: b866.*
+ 18f9b: b966.*
+ 18f9c: b866.*
+ 18f9d: ba66.*
+ 18f9e: bb66.*
+ 18f9f: bb66.*
+ 18fa0: b86a.*
+ 18fa1: b96a.*
+ 18fa2: b86a.*
+ 18fa3: ba6a.*
+ 18fa4: bb6a.*
+ 18fa5: bb6a.*
+ 18fa6: b86e.*
+ 18fa7: b96e.*
+ 18fa8: b86e.*
+ 18fa9: ba6e.*
+ 18faa: bb6e.*
+ 18fab: bb6e.*
+ 18fac: b863.*
+ 18fad: b963.*
+ 18fae: b863.*
+ 18faf: ba63.*
+ 18fb0: bb63.*
+ 18fb1: bb63.*
+ 18fb2: b867.*
+ 18fb3: b967.*
+ 18fb4: b867.*
+ 18fb5: ba67.*
+ 18fb6: bb67.*
+ 18fb7: bb67.*
+ 18fb8: b86b.*
+ 18fb9: b96b.*
+ 18fba: b86b.*
+ 18fbb: ba6b.*
+ 18fbc: bb6b.*
+ 18fbd: bb6b.*
+ 18fbe: b86f.*
+ 18fbf: b96f.*
+ 18fc0: b86f.*
+ 18fc1: ba6f.*
+ 18fc2: bb6f.*
+ 18fc3: bb6f.*
+ 18fc4: b8a0.*
+ 18fc5: b9a0.*
+ 18fc6: b8a0.*
+ 18fc7: baa0.*
+ 18fc8: bba0.*
+ 18fc9: bba0.*
+ 18fca: b8a4.*
+ 18fcb: b9a4.*
+ 18fcc: b8a4.*
+ 18fcd: baa4.*
+ 18fce: bba4.*
+ 18fcf: bba4.*
+ 18fd0: b8a8.*
+ 18fd1: b9a8.*
+ 18fd2: b8a8.*
+ 18fd3: baa8.*
+ 18fd4: bba8.*
+ 18fd5: bba8.*
+ 18fd6: b8ac.*
+ 18fd7: b9ac.*
+ 18fd8: b8ac.*
+ 18fd9: baac.*
+ 18fda: bbac.*
+ 18fdb: bbac.*
+ 18fdc: b8a1.*
+ 18fdd: b9a1.*
+ 18fde: b8a1.*
+ 18fdf: baa1.*
+ 18fe0: bba1.*
+ 18fe1: bba1.*
+ 18fe2: b8a5.*
+ 18fe3: b9a5.*
+ 18fe4: b8a5.*
+ 18fe5: baa5.*
+ 18fe6: bba5.*
+ 18fe7: bba5.*
+ 18fe8: b8a9.*
+ 18fe9: b9a9.*
+ 18fea: b8a9.*
+ 18feb: baa9.*
+ 18fec: bba9.*
+ 18fed: bba9.*
+ 18fee: b8ad.*
+ 18fef: b9ad.*
+ 18ff0: b8ad.*
+ 18ff1: baad.*
+ 18ff2: bbad.*
+ 18ff3: bbad.*
+ 18ff4: b8a2.*
+ 18ff5: b9a2.*
+ 18ff6: b8a2.*
+ 18ff7: baa2.*
+ 18ff8: bba2.*
+ 18ff9: bba2.*
+ 18ffa: b8a6.*
+ 18ffb: b9a6.*
+ 18ffc: b8a6.*
+ 18ffd: baa6.*
+ 18ffe: bba6.*
+ 18fff: bba6.*
+ 19000: b8aa.*
+ 19001: b9aa.*
+ 19002: b8aa.*
+ 19003: baaa.*
+ 19004: bbaa.*
+ 19005: bbaa.*
+ 19006: b8ae.*
+ 19007: b9ae.*
+ 19008: b8ae.*
+ 19009: baae.*
+ 1900a: bbae.*
+ 1900b: bbae.*
+ 1900c: b8a3.*
+ 1900d: b9a3.*
+ 1900e: b8a3.*
+ 1900f: baa3.*
+ 19010: bba3.*
+ 19011: bba3.*
+ 19012: b8a7.*
+ 19013: b9a7.*
+ 19014: b8a7.*
+ 19015: baa7.*
+ 19016: bba7.*
+ 19017: bba7.*
+ 19018: b8ab.*
+ 19019: b9ab.*
+ 1901a: b8ab.*
+ 1901b: baab.*
+ 1901c: bbab.*
+ 1901d: bbab.*
+ 1901e: b8af.*
+ 1901f: b9af.*
+ 19020: b8af.*
+ 19021: baaf.*
+ 19022: bbaf.*
+ 19023: bbaf.*
+ 19024: b8e0.*
+ 19025: b9e0.*
+ 19026: b8e0.*
+ 19027: bae0.*
+ 19028: bbe0.*
+ 19029: bbe0.*
+ 1902a: b8e4.*
+ 1902b: b9e4.*
+ 1902c: b8e4.*
+ 1902d: bae4.*
+ 1902e: bbe4.*
+ 1902f: bbe4.*
+ 19030: b8e8.*
+ 19031: b9e8.*
+ 19032: b8e8.*
+ 19033: bae8.*
+ 19034: bbe8.*
+ 19035: bbe8.*
+ 19036: b8ec.*
+ 19037: b9ec.*
+ 19038: b8ec.*
+ 19039: baec.*
+ 1903a: bbec.*
+ 1903b: bbec.*
+ 1903c: b8e1.*
+ 1903d: b9e1.*
+ 1903e: b8e1.*
+ 1903f: bae1.*
+ 19040: bbe1.*
+ 19041: bbe1.*
+ 19042: b8e5.*
+ 19043: b9e5.*
+ 19044: b8e5.*
+ 19045: bae5.*
+ 19046: bbe5.*
+ 19047: bbe5.*
+ 19048: b8e9.*
+ 19049: b9e9.*
+ 1904a: b8e9.*
+ 1904b: bae9.*
+ 1904c: bbe9.*
+ 1904d: bbe9.*
+ 1904e: b8ed.*
+ 1904f: b9ed.*
+ 19050: b8ed.*
+ 19051: baed.*
+ 19052: bbed.*
+ 19053: bbed.*
+ 19054: b8e2.*
+ 19055: b9e2.*
+ 19056: b8e2.*
+ 19057: bae2.*
+ 19058: bbe2.*
+ 19059: bbe2.*
+ 1905a: b8e6.*
+ 1905b: b9e6.*
+ 1905c: b8e6.*
+ 1905d: bae6.*
+ 1905e: bbe6.*
+ 1905f: bbe6.*
+ 19060: b8ea.*
+ 19061: b9ea.*
+ 19062: b8ea.*
+ 19063: baea.*
+ 19064: bbea.*
+ 19065: bbea.*
+ 19066: b8ee.*
+ 19067: b9ee.*
+ 19068: b8ee.*
+ 19069: baee.*
+ 1906a: bbee.*
+ 1906b: bbee.*
+ 1906c: b8e3.*
+ 1906d: b9e3.*
+ 1906e: b8e3.*
+ 1906f: bae3.*
+ 19070: bbe3.*
+ 19071: bbe3.*
+ 19072: b8e7.*
+ 19073: b9e7.*
+ 19074: b8e7.*
+ 19075: bae7.*
+ 19076: bbe7.*
+ 19077: bbe7.*
+ 19078: b8eb.*
+ 19079: b9eb.*
+ 1907a: b8eb.*
+ 1907b: baeb.*
+ 1907c: bbeb.*
+ 1907d: bbeb.*
+ 1907e: b8ef.*
+ 1907f: b9ef.*
+ 19080: b8ef.*
+ 19081: baef.*
+ 19082: bbef.*
+ 19083: bbef.*
+ 19084: b830.*
+ 19085: b930.*
+ 19086: b830.*
+ 19087: ba30.*
+ 19088: bb30.*
+ 19089: bb30.*
+ 1908a: b834.*
+ 1908b: b934.*
+ 1908c: b834.*
+ 1908d: ba34.*
+ 1908e: bb34.*
+ 1908f: bb34.*
+ 19090: b838.*
+ 19091: b938.*
+ 19092: b838.*
+ 19093: ba38.*
+ 19094: bb38.*
+ 19095: bb38.*
+ 19096: b83c.*
+ 19097: b93c.*
+ 19098: b83c.*
+ 19099: ba3c.*
+ 1909a: bb3c.*
+ 1909b: bb3c.*
+ 1909c: b831.*
+ 1909d: b931.*
+ 1909e: b831.*
+ 1909f: ba31.*
+ 190a0: bb31.*
+ 190a1: bb31.*
+ 190a2: b835.*
+ 190a3: b935.*
+ 190a4: b835.*
+ 190a5: ba35.*
+ 190a6: bb35.*
+ 190a7: bb35.*
+ 190a8: b839.*
+ 190a9: b939.*
+ 190aa: b839.*
+ 190ab: ba39.*
+ 190ac: bb39.*
+ 190ad: bb39.*
+ 190ae: b83d.*
+ 190af: b93d.*
+ 190b0: b83d.*
+ 190b1: ba3d.*
+ 190b2: bb3d.*
+ 190b3: bb3d.*
+ 190b4: b832.*
+ 190b5: b932.*
+ 190b6: b832.*
+ 190b7: ba32.*
+ 190b8: bb32.*
+ 190b9: bb32.*
+ 190ba: b836.*
+ 190bb: b936.*
+ 190bc: b836.*
+ 190bd: ba36.*
+ 190be: bb36.*
+ 190bf: bb36.*
+ 190c0: b83a.*
+ 190c1: b93a.*
+ 190c2: b83a.*
+ 190c3: ba3a.*
+ 190c4: bb3a.*
+ 190c5: bb3a.*
+ 190c6: b83e.*
+ 190c7: b93e.*
+ 190c8: b83e.*
+ 190c9: ba3e.*
+ 190ca: bb3e.*
+ 190cb: bb3e.*
+ 190cc: b833.*
+ 190cd: b933.*
+ 190ce: b833.*
+ 190cf: ba33.*
+ 190d0: bb33.*
+ 190d1: bb33.*
+ 190d2: b837.*
+ 190d3: b937.*
+ 190d4: b837.*
+ 190d5: ba37.*
+ 190d6: bb37.*
+ 190d7: bb37.*
+ 190d8: b83b.*
+ 190d9: b93b.*
+ 190da: b83b.*
+ 190db: ba3b.*
+ 190dc: bb3b.*
+ 190dd: bb3b.*
+ 190de: b83f.*
+ 190df: b93f.*
+ 190e0: b83f.*
+ 190e1: ba3f.*
+ 190e2: bb3f.*
+ 190e3: bb3f.*
+ 190e4: b870.*
+ 190e5: b970.*
+ 190e6: b870.*
+ 190e7: ba70.*
+ 190e8: bb70.*
+ 190e9: bb70.*
+ 190ea: b874.*
+ 190eb: b974.*
+ 190ec: b874.*
+ 190ed: ba74.*
+ 190ee: bb74.*
+ 190ef: bb74.*
+ 190f0: b878.*
+ 190f1: b978.*
+ 190f2: b878.*
+ 190f3: ba78.*
+ 190f4: bb78.*
+ 190f5: bb78.*
+ 190f6: b87c.*
+ 190f7: b97c.*
+ 190f8: b87c.*
+ 190f9: ba7c.*
+ 190fa: bb7c.*
+ 190fb: bb7c.*
+ 190fc: b871.*
+ 190fd: b971.*
+ 190fe: b871.*
+ 190ff: ba71.*
+ 19100: bb71.*
+ 19101: bb71.*
+ 19102: b875.*
+ 19103: b975.*
+ 19104: b875.*
+ 19105: ba75.*
+ 19106: bb75.*
+ 19107: bb75.*
+ 19108: b879.*
+ 19109: b979.*
+ 1910a: b879.*
+ 1910b: ba79.*
+ 1910c: bb79.*
+ 1910d: bb79.*
+ 1910e: b87d.*
+ 1910f: b97d.*
+ 19110: b87d.*
+ 19111: ba7d.*
+ 19112: bb7d.*
+ 19113: bb7d.*
+ 19114: b872.*
+ 19115: b972.*
+ 19116: b872.*
+ 19117: ba72.*
+ 19118: bb72.*
+ 19119: bb72.*
+ 1911a: b876.*
+ 1911b: b976.*
+ 1911c: b876.*
+ 1911d: ba76.*
+ 1911e: bb76.*
+ 1911f: bb76.*
+ 19120: b87a.*
+ 19121: b97a.*
+ 19122: b87a.*
+ 19123: ba7a.*
+ 19124: bb7a.*
+ 19125: bb7a.*
+ 19126: b87e.*
+ 19127: b97e.*
+ 19128: b87e.*
+ 19129: ba7e.*
+ 1912a: bb7e.*
+ 1912b: bb7e.*
+ 1912c: b873.*
+ 1912d: b973.*
+ 1912e: b873.*
+ 1912f: ba73.*
+ 19130: bb73.*
+ 19131: bb73.*
+ 19132: b877.*
+ 19133: b977.*
+ 19134: b877.*
+ 19135: ba77.*
+ 19136: bb77.*
+ 19137: bb77.*
+ 19138: b87b.*
+ 19139: b97b.*
+ 1913a: b87b.*
+ 1913b: ba7b.*
+ 1913c: bb7b.*
+ 1913d: bb7b.*
+ 1913e: b87f.*
+ 1913f: b97f.*
+ 19140: b87f.*
+ 19141: ba7f.*
+ 19142: bb7f.*
+ 19143: bb7f.*
+ 19144: b8b0.*
+ 19145: b9b0.*
+ 19146: b8b0.*
+ 19147: bab0.*
+ 19148: bbb0.*
+ 19149: bbb0.*
+ 1914a: b8b4.*
+ 1914b: b9b4.*
+ 1914c: b8b4.*
+ 1914d: bab4.*
+ 1914e: bbb4.*
+ 1914f: bbb4.*
+ 19150: b8b8.*
+ 19151: b9b8.*
+ 19152: b8b8.*
+ 19153: bab8.*
+ 19154: bbb8.*
+ 19155: bbb8.*
+ 19156: b8bc.*
+ 19157: b9bc.*
+ 19158: b8bc.*
+ 19159: babc.*
+ 1915a: bbbc.*
+ 1915b: bbbc.*
+ 1915c: b8b1.*
+ 1915d: b9b1.*
+ 1915e: b8b1.*
+ 1915f: bab1.*
+ 19160: bbb1.*
+ 19161: bbb1.*
+ 19162: b8b5.*
+ 19163: b9b5.*
+ 19164: b8b5.*
+ 19165: bab5.*
+ 19166: bbb5.*
+ 19167: bbb5.*
+ 19168: b8b9.*
+ 19169: b9b9.*
+ 1916a: b8b9.*
+ 1916b: bab9.*
+ 1916c: bbb9.*
+ 1916d: bbb9.*
+ 1916e: b8bd.*
+ 1916f: b9bd.*
+ 19170: b8bd.*
+ 19171: babd.*
+ 19172: bbbd.*
+ 19173: bbbd.*
+ 19174: b8b2.*
+ 19175: b9b2.*
+ 19176: b8b2.*
+ 19177: bab2.*
+ 19178: bbb2.*
+ 19179: bbb2.*
+ 1917a: b8b6.*
+ 1917b: b9b6.*
+ 1917c: b8b6.*
+ 1917d: bab6.*
+ 1917e: bbb6.*
+ 1917f: bbb6.*
+ 19180: b8ba.*
+ 19181: b9ba.*
+ 19182: b8ba.*
+ 19183: baba.*
+ 19184: bbba.*
+ 19185: bbba.*
+ 19186: b8be.*
+ 19187: b9be.*
+ 19188: b8be.*
+ 19189: babe.*
+ 1918a: bbbe.*
+ 1918b: bbbe.*
+ 1918c: b8b3.*
+ 1918d: b9b3.*
+ 1918e: b8b3.*
+ 1918f: bab3.*
+ 19190: bbb3.*
+ 19191: bbb3.*
+ 19192: b8b7.*
+ 19193: b9b7.*
+ 19194: b8b7.*
+ 19195: bab7.*
+ 19196: bbb7.*
+ 19197: bbb7.*
+ 19198: b8bb.*
+ 19199: b9bb.*
+ 1919a: b8bb.*
+ 1919b: babb.*
+ 1919c: bbbb.*
+ 1919d: bbbb.*
+ 1919e: b8bf.*
+ 1919f: b9bf.*
+ 191a0: b8bf.*
+ 191a1: babf.*
+ 191a2: bbbf.*
+ 191a3: bbbf.*
+ 191a4: b8f0.*
+ 191a5: b9f0.*
+ 191a6: b8f0.*
+ 191a7: baf0.*
+ 191a8: bbf0.*
+ 191a9: bbf0.*
+ 191aa: b8f4.*
+ 191ab: b9f4.*
+ 191ac: b8f4.*
+ 191ad: baf4.*
+ 191ae: bbf4.*
+ 191af: bbf4.*
+ 191b0: b8f8.*
+ 191b1: b9f8.*
+ 191b2: b8f8.*
+ 191b3: baf8.*
+ 191b4: bbf8.*
+ 191b5: bbf8.*
+ 191b6: b8fc.*
+ 191b7: b9fc.*
+ 191b8: b8fc.*
+ 191b9: bafc.*
+ 191ba: bbfc.*
+ 191bb: bbfc.*
+ 191bc: b8f1.*
+ 191bd: b9f1.*
+ 191be: b8f1.*
+ 191bf: baf1.*
+ 191c0: bbf1.*
+ 191c1: bbf1.*
+ 191c2: b8f5.*
+ 191c3: b9f5.*
+ 191c4: b8f5.*
+ 191c5: baf5.*
+ 191c6: bbf5.*
+ 191c7: bbf5.*
+ 191c8: b8f9.*
+ 191c9: b9f9.*
+ 191ca: b8f9.*
+ 191cb: baf9.*
+ 191cc: bbf9.*
+ 191cd: bbf9.*
+ 191ce: b8fd.*
+ 191cf: b9fd.*
+ 191d0: b8fd.*
+ 191d1: bafd.*
+ 191d2: bbfd.*
+ 191d3: bbfd.*
+ 191d4: b8f2.*
+ 191d5: b9f2.*
+ 191d6: b8f2.*
+ 191d7: baf2.*
+ 191d8: bbf2.*
+ 191d9: bbf2.*
+ 191da: b8f6.*
+ 191db: b9f6.*
+ 191dc: b8f6.*
+ 191dd: baf6.*
+ 191de: bbf6.*
+ 191df: bbf6.*
+ 191e0: b8fa.*
+ 191e1: b9fa.*
+ 191e2: b8fa.*
+ 191e3: bafa.*
+ 191e4: bbfa.*
+ 191e5: bbfa.*
+ 191e6: b8fe.*
+ 191e7: b9fe.*
+ 191e8: b8fe.*
+ 191e9: bafe.*
+ 191ea: bbfe.*
+ 191eb: bbfe.*
+ 191ec: b8f3.*
+ 191ed: b9f3.*
+ 191ee: b8f3.*
+ 191ef: baf3.*
+ 191f0: bbf3.*
+ 191f1: bbf3.*
+ 191f2: b8f7.*
+ 191f3: b9f7.*
+ 191f4: b8f7.*
+ 191f5: baf7.*
+ 191f6: bbf7.*
+ 191f7: bbf7.*
+ 191f8: b8fb.*
+ 191f9: b9fb.*
+ 191fa: b8fb.*
+ 191fb: bafb.*
+ 191fc: bbfb.*
+ 191fd: bbfb.*
+ 191fe: b8ff.*
+ 191ff: b9ff.*
+ 19200: b8ff.*
+ 19201: baff.*
+ 19202: bbff.*
+ 19203: bbff.*
+ 19204: f486.*
+ 19205: f586.*
+ 19206: f487.*
+ 19207: f587.*
+ 19208: 205d.*
+ 19209: 215e.*
+ 1920a: f48c.*
+ 1920b: f58c.*
+ 1920c: 315f.*
+ 1920d: 3180.*
+ 1920e: 3188.*
+ 1920f: 3190.*
+ 19210: 31a0.*
+ 19211: 31a8.*
+ 19212: 31b0.*
+ 19213: 31b8.*
+ 19214: 31c0.*
+ 19215: 31c8.*
+ 19216: 31d0.*
+ 19217: 31d8.*
+ 19218: 31e0.*
+ 19219: 315c.*
+ 1921a: 31e8.*
+ 1921b: 315d.*
+ 1921c: 31f0.*
+ 1921d: 315e.*
+ 1921e: 31f8.*
+ 1921f: 315f.*
+ 19220: 3181.*
+ 19221: 3189.*
+ 19222: 3191.*
+ 19223: 31a1.*
+ 19224: 31a9.*
+ 19225: 31b1.*
+ 19226: 31b9.*
+ 19227: 31c1.*
+ 19228: 31c9.*
+ 19229: 31d1.*
+ 1922a: 31d9.*
+ 1922b: 31e1.*
+ 1922c: 3160.*
+ 1922d: 31e9.*
+ 1922e: 3161.*
+ 1922f: 31f1.*
+ 19230: 3162.*
+ 19231: 31f8.*
+ 19232: 3163.*
+ 19233: 3182.*
+ 19234: 318a.*
+ 19235: 3192.*
+ 19236: 31a2.*
+ 19237: 31aa.*
+ 19238: 31b2.*
+ 19239: 31ba.*
+ 1923a: 31c2.*
+ 1923b: 31ca.*
+ 1923c: 31d2.*
+ 1923d: 31da.*
+ 1923e: 31e2.*
+ 1923f: 3164.*
+ 19240: 31ea.*
+ 19241: 3165.*
+ 19242: 31f2.*
+ 19243: 3166.*
+ 19244: 31f8.*
+ 19245: 3167.*
+ 19246: 3183.*
+ 19247: 318b.*
+ 19248: 3193.*
+ 19249: 31a3.*
+ 1924a: 31ab.*
+ 1924b: 31b3.*
+ 1924c: 31bb.*
+ 1924d: 31c3.*
+ 1924e: 31cb.*
+ 1924f: 31d3.*
+ 19250: 31db.*
+ 19251: 31e3.*
+ 19252: 3168.*
+ 19253: 31eb.*
+ 19254: 3169.*
+ 19255: 31f3.*
+ 19256: 316a.*
+ 19257: 31f8.*
+ 19258: 316b.*
+ 19259: 3184.*
+ 1925a: 318c.*
+ 1925b: 3194.*
+ 1925c: 31a4.*
+ 1925d: 31ac.*
+ 1925e: 31b4.*
+ 1925f: 31bc.*
+ 19260: 31c4.*
+ 19261: 31cc.*
+ 19262: 31d4.*
+ 19263: 31dc.*
+ 19264: 31e4.*
+ 19265: 316c.*
+ 19266: 31ec.*
+ 19267: 316d.*
+ 19268: 31f4.*
+ 19269: 316e.*
+ 1926a: 31f8.*
+ 1926b: 316f.*
+ 1926c: 3185.*
+ 1926d: 318d.*
+ 1926e: 3195.*
+ 1926f: 31a5.*
+ 19270: 31ad.*
+ 19271: 31b5.*
+ 19272: 31bd.*
+ 19273: 31c5.*
+ 19274: 31cd.*
+ 19275: 31d5.*
+ 19276: 31dd.*
+ 19277: 31e5.*
+ 19278: 3170.*
+ 19279: 31ed.*
+ 1927a: 3171.*
+ 1927b: 31f5.*
+ 1927c: 3172.*
+ 1927d: 31f8.*
+ 1927e: 3173.*
+ 1927f: 3186.*
+ 19280: 318e.*
+ 19281: 3196.*
+ 19282: 31a6.*
+ 19283: 31ae.*
+ 19284: 31b6.*
+ 19285: 31be.*
+ 19286: 31c6.*
+ 19287: 31ce.*
+ 19288: 31d6.*
+ 19289: 31de.*
+ 1928a: 31e6.*
+ 1928b: 3174.*
+ 1928c: 31ee.*
+ 1928d: 3175.*
+ 1928e: 31f6.*
+ 1928f: 3176.*
+ 19290: 31f8.*
+ 19291: 3177.*
+ 19292: 3187.*
+ 19293: 318f.*
+ 19294: 3197.*
+ 19295: 31a7.*
+ 19296: 31af.*
+ 19297: 31b7.*
+ 19298: 31bf.*
+ 19299: 31c7.*
+ 1929a: 31cf.*
+ 1929b: 31d7.*
+ 1929c: 31df.*
+ 1929d: 31e7.*
+ 1929e: 3178.*
+ 1929f: 31ef.*
+ 192a0: 3179.*
+ 192a1: 31f7.*
+ 192a2: 317a.*
+ 192a3: 31f8.*
+ 192a4: 317b.*
+ 192a5: 2260.*
+ 192a6: 2361.*
+ 192a7: 2280.*
+ 192a8: 2380.*
+ 192a9: 2288.*
+ 192aa: 2388.*
+ 192ab: 2290.*
+ 192ac: 2390.*
+ 192ad: 22a0.*
+ 192ae: 23a0.*
+ 192af: 22a8.*
+ 192b0: 23a8.*
+ 192b1: 22b0.*
+ 192b2: 23b0.*
+ 192b3: 22b8.*
+ 192b4: 23b8.*
+ 192b5: 22c0.*
+ 192b6: 23c0.*
+ 192b7: 22c8.*
+ 192b8: 23c8.*
+ 192b9: 22d0.*
+ 192ba: 23d0.*
+ 192bb: 22d8.*
+ 192bc: 23d8.*
+ 192bd: 22e0.*
+ 192be: 317c.*
+ 192bf: 23e0.*
+ 192c0: 317d.*
+ 192c1: 22e8.*
+ 192c2: 317e.*
+ 192c3: 23e8.*
+ 192c4: 317f.*
+ 192c5: 22f0.*
+ 192c6: 3180.*
+ 192c7: 23f0.*
+ 192c8: 3181.*
+ 192c9: 22f8.*
+ 192ca: 3182.*
+ 192cb: 23f8.*
+ 192cc: 3183.*
+ 192cd: 2281.*
+ 192ce: 2381.*
+ 192cf: 2289.*
+ 192d0: 2389.*
+ 192d1: 2291.*
+ 192d2: 2391.*
+ 192d3: 22a1.*
+ 192d4: 23a1.*
+ 192d5: 22a9.*
+ 192d6: 23a9.*
+ 192d7: 22b1.*
+ 192d8: 23b1.*
+ 192d9: 22b9.*
+ 192da: 23b9.*
+ 192db: 22c1.*
+ 192dc: 23c1.*
+ 192dd: 22c9.*
+ 192de: 23c9.*
+ 192df: 22d1.*
+ 192e0: 23d1.*
+ 192e1: 22d9.*
+ 192e2: 23d9.*
+ 192e3: 22e1.*
+ 192e4: 3184.*
+ 192e5: 23e1.*
+ 192e6: 3185.*
+ 192e7: 22e9.*
+ 192e8: 3186.*
+ 192e9: 23e9.*
+ 192ea: 3187.*
+ 192eb: 22f1.*
+ 192ec: 3188.*
+ 192ed: 23f1.*
+ 192ee: 3189.*
+ 192ef: 22f8.*
+ 192f0: 318a.*
+ 192f1: 23f8.*
+ 192f2: 318b.*
+ 192f3: 2282.*
+ 192f4: 2382.*
+ 192f5: 228a.*
+ 192f6: 238a.*
+ 192f7: 2292.*
+ 192f8: 2392.*
+ 192f9: 22a2.*
+ 192fa: 23a2.*
+ 192fb: 22aa.*
+ 192fc: 23aa.*
+ 192fd: 22b2.*
+ 192fe: 23b2.*
+ 192ff: 22ba.*
+ 19300: 23ba.*
+ 19301: 22c2.*
+ 19302: 23c2.*
+ 19303: 22ca.*
+ 19304: 23ca.*
+ 19305: 22d2.*
+ 19306: 23d2.*
+ 19307: 22da.*
+ 19308: 23da.*
+ 19309: 22e2.*
+ 1930a: 318c.*
+ 1930b: 23e2.*
+ 1930c: 318d.*
+ 1930d: 22ea.*
+ 1930e: 318e.*
+ 1930f: 23ea.*
+ 19310: 318f.*
+ 19311: 22f2.*
+ 19312: 3190.*
+ 19313: 23f2.*
+ 19314: 3191.*
+ 19315: 22f8.*
+ 19316: 3192.*
+ 19317: 23f8.*
+ 19318: 3193.*
+ 19319: 2283.*
+ 1931a: 2383.*
+ 1931b: 228b.*
+ 1931c: 238b.*
+ 1931d: 2293.*
+ 1931e: 2393.*
+ 1931f: 22a3.*
+ 19320: 23a3.*
+ 19321: 22ab.*
+ 19322: 23ab.*
+ 19323: 22b3.*
+ 19324: 23b3.*
+ 19325: 22bb.*
+ 19326: 23bb.*
+ 19327: 22c3.*
+ 19328: 23c3.*
+ 19329: 22cb.*
+ 1932a: 23cb.*
+ 1932b: 22d3.*
+ 1932c: 23d3.*
+ 1932d: 22db.*
+ 1932e: 23db.*
+ 1932f: 22e3.*
+ 19330: 3194.*
+ 19331: 23e3.*
+ 19332: 3195.*
+ 19333: 22eb.*
+ 19334: 3196.*
+ 19335: 23eb.*
+ 19336: 3197.*
+ 19337: 22f3.*
+ 19338: 3198.*
+ 19339: 23f3.*
+ 1933a: 3199.*
+ 1933b: 22f8.*
+ 1933c: 319a.*
+ 1933d: 23f8.*
+ 1933e: 319b.*
+ 1933f: 2284.*
+ 19340: 2384.*
+ 19341: 228c.*
+ 19342: 238c.*
+ 19343: 2294.*
+ 19344: 2394.*
+ 19345: 22a4.*
+ 19346: 23a4.*
+ 19347: 22ac.*
+ 19348: 23ac.*
+ 19349: 22b4.*
+ 1934a: 23b4.*
+ 1934b: 22bc.*
+ 1934c: 23bc.*
+ 1934d: 22c4.*
+ 1934e: 23c4.*
+ 1934f: 22cc.*
+ 19350: 23cc.*
+ 19351: 22d4.*
+ 19352: 23d4.*
+ 19353: 22dc.*
+ 19354: 23dc.*
+ 19355: 22e4.*
+ 19356: 319c.*
+ 19357: 23e4.*
+ 19358: 319d.*
+ 19359: 22ec.*
+ 1935a: 319e.*
+ 1935b: 23ec.*
+ 1935c: 319f.*
+ 1935d: 22f4.*
+ 1935e: 31a0.*
+ 1935f: 23f4.*
+ 19360: 31a1.*
+ 19361: 22f8.*
+ 19362: 31a2.*
+ 19363: 23f8.*
+ 19364: 31a3.*
+ 19365: 2285.*
+ 19366: 2385.*
+ 19367: 228d.*
+ 19368: 238d.*
+ 19369: 2295.*
+ 1936a: 2395.*
+ 1936b: 22a5.*
+ 1936c: 23a5.*
+ 1936d: 22ad.*
+ 1936e: 23ad.*
+ 1936f: 22b5.*
+ 19370: 23b5.*
+ 19371: 22bd.*
+ 19372: 23bd.*
+ 19373: 22c5.*
+ 19374: 23c5.*
+ 19375: 22cd.*
+ 19376: 23cd.*
+ 19377: 22d5.*
+ 19378: 23d5.*
+ 19379: 22dd.*
+ 1937a: 23dd.*
+ 1937b: 22e5.*
+ 1937c: 31a4.*
+ 1937d: 23e5.*
+ 1937e: 31a5.*
+ 1937f: 22ed.*
+ 19380: 31a6.*
+ 19381: 23ed.*
+ 19382: 31a7.*
+ 19383: 22f5.*
+ 19384: 31a8.*
+ 19385: 23f5.*
+ 19386: 31a9.*
+ 19387: 22f8.*
+ 19388: 31aa.*
+ 19389: 23f8.*
+ 1938a: 31ab.*
+ 1938b: 2286.*
+ 1938c: 2386.*
+ 1938d: 228e.*
+ 1938e: 238e.*
+ 1938f: 2296.*
+ 19390: 2396.*
+ 19391: 22a6.*
+ 19392: 23a6.*
+ 19393: 22ae.*
+ 19394: 23ae.*
+ 19395: 22b6.*
+ 19396: 23b6.*
+ 19397: 22be.*
+ 19398: 23be.*
+ 19399: 22c6.*
+ 1939a: 23c6.*
+ 1939b: 22ce.*
+ 1939c: 23ce.*
+ 1939d: 22d6.*
+ 1939e: 23d6.*
+ 1939f: 22de.*
+ 193a0: 23de.*
+ 193a1: 22e6.*
+ 193a2: 31ac.*
+ 193a3: 23e6.*
+ 193a4: 31ad.*
+ 193a5: 22ee.*
+ 193a6: 31ae.*
+ 193a7: 23ee.*
+ 193a8: 31af.*
+ 193a9: 22f6.*
+ 193aa: 31b0.*
+ 193ab: 23f6.*
+ 193ac: 31b1.*
+ 193ad: 22f8.*
+ 193ae: 31b2.*
+ 193af: 23f8.*
+ 193b0: 31b3.*
+ 193b1: 2287.*
+ 193b2: 2387.*
+ 193b3: 228f.*
+ 193b4: 238f.*
+ 193b5: 2297.*
+ 193b6: 2397.*
+ 193b7: 22a7.*
+ 193b8: 23a7.*
+ 193b9: 22af.*
+ 193ba: 23af.*
+ 193bb: 22b7.*
+ 193bc: 23b7.*
+ 193bd: 22bf.*
+ 193be: 23bf.*
+ 193bf: 22c7.*
+ 193c0: 23c7.*
+ 193c1: 22cf.*
+ 193c2: 23cf.*
+ 193c3: 22d7.*
+ 193c4: 23d7.*
+ 193c5: 22df.*
+ 193c6: 23df.*
+ 193c7: 22e7.*
+ 193c8: 31b4.*
+ 193c9: 23e7.*
+ 193ca: 31b5.*
+ 193cb: 22ef.*
+ 193cc: 31b6.*
+ 193cd: 23ef.*
+ 193ce: 31b7.*
+ 193cf: 22f7.*
+ 193d0: 31b8.*
+ 193d1: 23f7.*
+ 193d2: 31b9.*
+ 193d3: 22f8.*
+ 193d4: 31ba.*
+ 193d5: 23f8.*
+ 193d6: 31bb.*
+ 193d7: 2462.*
+ 193d8: 2563.*
+ 193d9: 2480.*
+ 193da: 2580.*
+ 193db: 2488.*
+ 193dc: 2588.*
+ 193dd: 2490.*
+ 193de: 2590.*
+ 193df: 24a0.*
+ 193e0: 25a0.*
+ 193e1: 24a8.*
+ 193e2: 25a8.*
+ 193e3: 24b0.*
+ 193e4: 25b0.*
+ 193e5: 24b8.*
+ 193e6: 25b8.*
+ 193e7: 24c0.*
+ 193e8: 25c0.*
+ 193e9: 24c8.*
+ 193ea: 25c8.*
+ 193eb: 24d0.*
+ 193ec: 25d0.*
+ 193ed: 24d8.*
+ 193ee: 25d8.*
+ 193ef: 24e0.*
+ 193f0: 31bc.*
+ 193f1: 25e0.*
+ 193f2: 31bd.*
+ 193f3: 24e8.*
+ 193f4: 31be.*
+ 193f5: 25e8.*
+ 193f6: 31bf.*
+ 193f7: 24f0.*
+ 193f8: 31c0.*
+ 193f9: 25f0.*
+ 193fa: 31c1.*
+ 193fb: 24f8.*
+ 193fc: 31c2.*
+ 193fd: 25f8.*
+ 193fe: 31c3.*
+ 193ff: 2481.*
+ 19400: 2581.*
+ 19401: 2489.*
+ 19402: 2589.*
+ 19403: 2491.*
+ 19404: 2591.*
+ 19405: 24a1.*
+ 19406: 25a1.*
+ 19407: 24a9.*
+ 19408: 25a9.*
+ 19409: 24b1.*
+ 1940a: 25b1.*
+ 1940b: 24b9.*
+ 1940c: 25b9.*
+ 1940d: 24c1.*
+ 1940e: 25c1.*
+ 1940f: 24c9.*
+ 19410: 25c9.*
+ 19411: 24d1.*
+ 19412: 25d1.*
+ 19413: 24d9.*
+ 19414: 25d9.*
+ 19415: 24e1.*
+ 19416: 31c4.*
+ 19417: 25e1.*
+ 19418: 31c5.*
+ 19419: 24e9.*
+ 1941a: 31c6.*
+ 1941b: 25e9.*
+ 1941c: 31c7.*
+ 1941d: 24f1.*
+ 1941e: 31c8.*
+ 1941f: 25f1.*
+ 19420: 31c9.*
+ 19421: 24f8.*
+ 19422: 31ca.*
+ 19423: 25f8.*
+ 19424: 31cb.*
+ 19425: 2482.*
+ 19426: 2582.*
+ 19427: 248a.*
+ 19428: 258a.*
+ 19429: 2492.*
+ 1942a: 2592.*
+ 1942b: 24a2.*
+ 1942c: 25a2.*
+ 1942d: 24aa.*
+ 1942e: 25aa.*
+ 1942f: 24b2.*
+ 19430: 25b2.*
+ 19431: 24ba.*
+ 19432: 25ba.*
+ 19433: 24c2.*
+ 19434: 25c2.*
+ 19435: 24ca.*
+ 19436: 25ca.*
+ 19437: 24d2.*
+ 19438: 25d2.*
+ 19439: 24da.*
+ 1943a: 25da.*
+ 1943b: 24e2.*
+ 1943c: 31cc.*
+ 1943d: 25e2.*
+ 1943e: 31cd.*
+ 1943f: 24ea.*
+ 19440: 31ce.*
+ 19441: 25ea.*
+ 19442: 31cf.*
+ 19443: 24f2.*
+ 19444: 31d0.*
+ 19445: 25f2.*
+ 19446: 31d1.*
+ 19447: 24f8.*
+ 19448: 31d2.*
+ 19449: 25f8.*
+ 1944a: 31d3.*
+ 1944b: 2483.*
+ 1944c: 2583.*
+ 1944d: 248b.*
+ 1944e: 258b.*
+ 1944f: 2493.*
+ 19450: 2593.*
+ 19451: 24a3.*
+ 19452: 25a3.*
+ 19453: 24ab.*
+ 19454: 25ab.*
+ 19455: 24b3.*
+ 19456: 25b3.*
+ 19457: 24bb.*
+ 19458: 25bb.*
+ 19459: 24c3.*
+ 1945a: 25c3.*
+ 1945b: 24cb.*
+ 1945c: 25cb.*
+ 1945d: 24d3.*
+ 1945e: 25d3.*
+ 1945f: 24db.*
+ 19460: 25db.*
+ 19461: 24e3.*
+ 19462: 31d4.*
+ 19463: 25e3.*
+ 19464: 31d5.*
+ 19465: 24eb.*
+ 19466: 31d6.*
+ 19467: 25eb.*
+ 19468: 31d7.*
+ 19469: 24f3.*
+ 1946a: 31d8.*
+ 1946b: 25f3.*
+ 1946c: 31d9.*
+ 1946d: 24f8.*
+ 1946e: 31da.*
+ 1946f: 25f8.*
+ 19470: 31db.*
+ 19471: 2484.*
+ 19472: 2584.*
+ 19473: 248c.*
+ 19474: 258c.*
+ 19475: 2494.*
+ 19476: 2594.*
+ 19477: 24a4.*
+ 19478: 25a4.*
+ 19479: 24ac.*
+ 1947a: 25ac.*
+ 1947b: 24b4.*
+ 1947c: 25b4.*
+ 1947d: 24bc.*
+ 1947e: 25bc.*
+ 1947f: 24c4.*
+ 19480: 25c4.*
+ 19481: 24cc.*
+ 19482: 25cc.*
+ 19483: 24d4.*
+ 19484: 25d4.*
+ 19485: 24dc.*
+ 19486: 25dc.*
+ 19487: 24e4.*
+ 19488: 31dc.*
+ 19489: 25e4.*
+ 1948a: 31dd.*
+ 1948b: 24ec.*
+ 1948c: 31de.*
+ 1948d: 25ec.*
+ 1948e: 31df.*
+ 1948f: 24f4.*
+ 19490: 31e0.*
+ 19491: 25f4.*
+ 19492: 31e1.*
+ 19493: 24f8.*
+ 19494: 31e2.*
+ 19495: 25f8.*
+ 19496: 31e3.*
+ 19497: 2485.*
+ 19498: 2585.*
+ 19499: 248d.*
+ 1949a: 258d.*
+ 1949b: 2495.*
+ 1949c: 2595.*
+ 1949d: 24a5.*
+ 1949e: 25a5.*
+ 1949f: 24ad.*
+ 194a0: 25ad.*
+ 194a1: 24b5.*
+ 194a2: 25b5.*
+ 194a3: 24bd.*
+ 194a4: 25bd.*
+ 194a5: 24c5.*
+ 194a6: 25c5.*
+ 194a7: 24cd.*
+ 194a8: 25cd.*
+ 194a9: 24d5.*
+ 194aa: 25d5.*
+ 194ab: 24dd.*
+ 194ac: 25dd.*
+ 194ad: 24e5.*
+ 194ae: 31e4.*
+ 194af: 25e5.*
+ 194b0: 31e5.*
+ 194b1: 24ed.*
+ 194b2: 31e6.*
+ 194b3: 25ed.*
+ 194b4: 31e7.*
+ 194b5: 24f5.*
+ 194b6: 31e8.*
+ 194b7: 25f5.*
+ 194b8: 31e9.*
+ 194b9: 24f8.*
+ 194ba: 31ea.*
+ 194bb: 25f8.*
+ 194bc: 31eb.*
+ 194bd: 2486.*
+ 194be: 2586.*
+ 194bf: 248e.*
+ 194c0: 258e.*
+ 194c1: 2496.*
+ 194c2: 2596.*
+ 194c3: 24a6.*
+ 194c4: 25a6.*
+ 194c5: 24ae.*
+ 194c6: 25ae.*
+ 194c7: 24b6.*
+ 194c8: 25b6.*
+ 194c9: 24be.*
+ 194ca: 25be.*
+ 194cb: 24c6.*
+ 194cc: 25c6.*
+ 194cd: 24ce.*
+ 194ce: 25ce.*
+ 194cf: 24d6.*
+ 194d0: 25d6.*
+ 194d1: 24de.*
+ 194d2: 25de.*
+ 194d3: 24e6.*
+ 194d4: 31ec.*
+ 194d5: 25e6.*
+ 194d6: 31ed.*
+ 194d7: 24ee.*
+ 194d8: 31ee.*
+ 194d9: 25ee.*
+ 194da: 31ef.*
+ 194db: 24f6.*
+ 194dc: 31f0.*
+ 194dd: 25f6.*
+ 194de: 31f1.*
+ 194df: 24f8.*
+ 194e0: 31f2.*
+ 194e1: 25f8.*
+ 194e2: 31f3.*
+ 194e3: 2487.*
+ 194e4: 2587.*
+ 194e5: 248f.*
+ 194e6: 258f.*
+ 194e7: 2497.*
+ 194e8: 2597.*
+ 194e9: 24a7.*
+ 194ea: 25a7.*
+ 194eb: 24af.*
+ 194ec: 25af.*
+ 194ed: 24b7.*
+ 194ee: 25b7.*
+ 194ef: 24bf.*
+ 194f0: 25bf.*
+ 194f1: 24c7.*
+ 194f2: 25c7.*
+ 194f3: 24cf.*
+ 194f4: 25cf.*
+ 194f5: 24d7.*
+ 194f6: 25d7.*
+ 194f7: 24df.*
+ 194f8: 25df.*
+ 194f9: 24e7.*
+ 194fa: 31f4.*
+ 194fb: 25e7.*
+ 194fc: 31f5.*
+ 194fd: 24ef.*
+ 194fe: 31f6.*
+ 194ff: 25ef.*
+ 19500: 31f7.*
+ 19501: 24f7.*
+ 19502: 31f8.*
+ 19503: 25f7.*
+ 19504: 31f9.*
+ 19505: 24f8.*
+ 19506: 31fa.*
+ 19507: 25f8.*
+ 19508: 31fb.*
+ 19509: 2080.*
+ 1950a: 2180.*
+ 1950b: 2088.*
+ 1950c: 2188.*
+ 1950d: 2090.*
+ 1950e: 2190.*
+ 1950f: 20a0.*
+ 19510: 21a0.*
+ 19511: 20a8.*
+ 19512: 21a8.*
+ 19513: 20b0.*
+ 19514: 21b0.*
+ 19515: 20b8.*
+ 19516: 21b8.*
+ 19517: 20c0.*
+ 19518: 21c0.*
+ 19519: 20c8.*
+ 1951a: 21c8.*
+ 1951b: 20d0.*
+ 1951c: 21d0.*
+ 1951d: 20d8.*
+ 1951e: 21d8.*
+ 1951f: 20e0.*
+ 19520: 31fc.*
+ 19521: 21e0.*
+ 19522: 31fd.*
+ 19523: 20e8.*
+ 19524: 31fe.*
+ 19525: 21e8.*
+ 19526: 31ff.*
+ 19527: 20f0.*
+ 19528: 3200.*
+ 19529: 21f0.*
+ 1952a: 3201.*
+ 1952b: 20f8.*
+ 1952c: 3202.*
+ 1952d: 21f8.*
+ 1952e: 3203.*
+ 1952f: 2081.*
+ 19530: 2181.*
+ 19531: 2089.*
+ 19532: 2189.*
+ 19533: 2091.*
+ 19534: 2191.*
+ 19535: 20a1.*
+ 19536: 21a1.*
+ 19537: 20a9.*
+ 19538: 21a9.*
+ 19539: 20b1.*
+ 1953a: 21b1.*
+ 1953b: 20b9.*
+ 1953c: 21b9.*
+ 1953d: 20c1.*
+ 1953e: 21c1.*
+ 1953f: 20c9.*
+ 19540: 21c9.*
+ 19541: 20d1.*
+ 19542: 21d1.*
+ 19543: 20d9.*
+ 19544: 21d9.*
+ 19545: 20e1.*
+ 19546: 3204.*
+ 19547: 21e1.*
+ 19548: 3205.*
+ 19549: 20e9.*
+ 1954a: 3206.*
+ 1954b: 21e9.*
+ 1954c: 3207.*
+ 1954d: 20f1.*
+ 1954e: 3208.*
+ 1954f: 21f1.*
+ 19550: 3209.*
+ 19551: 20f8.*
+ 19552: 320a.*
+ 19553: 21f8.*
+ 19554: 320b.*
+ 19555: 2082.*
+ 19556: 2182.*
+ 19557: 208a.*
+ 19558: 218a.*
+ 19559: 2092.*
+ 1955a: 2192.*
+ 1955b: 20a2.*
+ 1955c: 21a2.*
+ 1955d: 20aa.*
+ 1955e: 21aa.*
+ 1955f: 20b2.*
+ 19560: 21b2.*
+ 19561: 20ba.*
+ 19562: 21ba.*
+ 19563: 20c2.*
+ 19564: 21c2.*
+ 19565: 20ca.*
+ 19566: 21ca.*
+ 19567: 20d2.*
+ 19568: 21d2.*
+ 19569: 20da.*
+ 1956a: 21da.*
+ 1956b: 20e2.*
+ 1956c: 320c.*
+ 1956d: 21e2.*
+ 1956e: 320d.*
+ 1956f: 20ea.*
+ 19570: 320e.*
+ 19571: 21ea.*
+ 19572: 320f.*
+ 19573: 20f2.*
+ 19574: 3210.*
+ 19575: 21f2.*
+ 19576: 3211.*
+ 19577: 20f8.*
+ 19578: 3212.*
+ 19579: 21f8.*
+ 1957a: 3213.*
+ 1957b: 2083.*
+ 1957c: 2183.*
+ 1957d: 208b.*
+ 1957e: 218b.*
+ 1957f: 2093.*
+ 19580: 2193.*
+ 19581: 20a3.*
+ 19582: 21a3.*
+ 19583: 20ab.*
+ 19584: 21ab.*
+ 19585: 20b3.*
+ 19586: 21b3.*
+ 19587: 20bb.*
+ 19588: 21bb.*
+ 19589: 20c3.*
+ 1958a: 21c3.*
+ 1958b: 20cb.*
+ 1958c: 21cb.*
+ 1958d: 20d3.*
+ 1958e: 21d3.*
+ 1958f: 20db.*
+ 19590: 21db.*
+ 19591: 20e3.*
+ 19592: 3214.*
+ 19593: 21e3.*
+ 19594: 3215.*
+ 19595: 20eb.*
+ 19596: 3216.*
+ 19597: 21eb.*
+ 19598: 3217.*
+ 19599: 20f3.*
+ 1959a: 3218.*
+ 1959b: 21f3.*
+ 1959c: 3219.*
+ 1959d: 20f8.*
+ 1959e: 321a.*
+ 1959f: 21f8.*
+ 195a0: 321b.*
+ 195a1: 2084.*
+ 195a2: 2184.*
+ 195a3: 208c.*
+ 195a4: 218c.*
+ 195a5: 2094.*
+ 195a6: 2194.*
+ 195a7: 20a4.*
+ 195a8: 21a4.*
+ 195a9: 20ac.*
+ 195aa: 21ac.*
+ 195ab: 20b4.*
+ 195ac: 21b4.*
+ 195ad: 20bc.*
+ 195ae: 21bc.*
+ 195af: 20c4.*
+ 195b0: 21c4.*
+ 195b1: 20cc.*
+ 195b2: 21cc.*
+ 195b3: 20d4.*
+ 195b4: 21d4.*
+ 195b5: 20dc.*
+ 195b6: 21dc.*
+ 195b7: 20e4.*
+ 195b8: 321c.*
+ 195b9: 21e4.*
+ 195ba: 321d.*
+ 195bb: 20ec.*
+ 195bc: 321e.*
+ 195bd: 21ec.*
+ 195be: 321f.*
+ 195bf: 20f4.*
+ 195c0: 3220.*
+ 195c1: 21f4.*
+ 195c2: 3221.*
+ 195c3: 20f8.*
+ 195c4: 3222.*
+ 195c5: 21f8.*
+ 195c6: 3223.*
+ 195c7: 2085.*
+ 195c8: 2185.*
+ 195c9: 208d.*
+ 195ca: 218d.*
+ 195cb: 2095.*
+ 195cc: 2195.*
+ 195cd: 20a5.*
+ 195ce: 21a5.*
+ 195cf: 20ad.*
+ 195d0: 21ad.*
+ 195d1: 20b5.*
+ 195d2: 21b5.*
+ 195d3: 20bd.*
+ 195d4: 21bd.*
+ 195d5: 20c5.*
+ 195d6: 21c5.*
+ 195d7: 20cd.*
+ 195d8: 21cd.*
+ 195d9: 20d5.*
+ 195da: 21d5.*
+ 195db: 20dd.*
+ 195dc: 21dd.*
+ 195dd: 20e5.*
+ 195de: 3224.*
+ 195df: 21e5.*
+ 195e0: 3225.*
+ 195e1: 20ed.*
+ 195e2: 3226.*
+ 195e3: 21ed.*
+ 195e4: 3227.*
+ 195e5: 20f5.*
+ 195e6: 3228.*
+ 195e7: 21f5.*
+ 195e8: 3229.*
+ 195e9: 20f8.*
+ 195ea: 322a.*
+ 195eb: 21f8.*
+ 195ec: 322b.*
+ 195ed: 2086.*
+ 195ee: 2186.*
+ 195ef: 208e.*
+ 195f0: 218e.*
+ 195f1: 2096.*
+ 195f2: 2196.*
+ 195f3: 20a6.*
+ 195f4: 21a6.*
+ 195f5: 20ae.*
+ 195f6: 21ae.*
+ 195f7: 20b6.*
+ 195f8: 21b6.*
+ 195f9: 20be.*
+ 195fa: 21be.*
+ 195fb: 20c6.*
+ 195fc: 21c6.*
+ 195fd: 20ce.*
+ 195fe: 21ce.*
+ 195ff: 20d6.*
+ 19600: 21d6.*
+ 19601: 20de.*
+ 19602: 21de.*
+ 19603: 20e6.*
+ 19604: 322c.*
+ 19605: 21e6.*
+ 19606: 322d.*
+ 19607: 20ee.*
+ 19608: 322e.*
+ 19609: 21ee.*
+ 1960a: 322f.*
+ 1960b: 20f6.*
+ 1960c: 3230.*
+ 1960d: 21f6.*
+ 1960e: 3231.*
+ 1960f: 20f8.*
+ 19610: 3232.*
+ 19611: 21f8.*
+ 19612: 3233.*
+ 19613: 2087.*
+ 19614: 2187.*
+ 19615: 208f.*
+ 19616: 218f.*
+ 19617: 2097.*
+ 19618: 2197.*
+ 19619: 20a7.*
+ 1961a: 21a7.*
+ 1961b: 20af.*
+ 1961c: 21af.*
+ 1961d: 20b7.*
+ 1961e: 21b7.*
+ 1961f: 20bf.*
+ 19620: 21bf.*
+ 19621: 20c7.*
+ 19622: 21c7.*
+ 19623: 20cf.*
+ 19624: 21cf.*
+ 19625: 20d7.*
+ 19626: 21d7.*
+ 19627: 20df.*
+ 19628: 21df.*
+ 19629: 20e7.*
+ 1962a: 3234.*
+ 1962b: 21e7.*
+ 1962c: 3235.*
+ 1962d: 20ef.*
+ 1962e: 3236.*
+ 1962f: 21ef.*
+ 19630: 3237.*
+ 19631: 20f7.*
+ 19632: 3238.*
+ 19633: 21f7.*
+ 19634: 3239.*
+ 19635: 20f8.*
+ 19636: 323a.*
+ 19637: 21f8.*
+ 19638: 323b.*
+ 19639: f066.*
+ 1963a: 323c.*
+ 1963b: f166.*
+ 1963c: 323d.*
+ 1963d: 6264.*
+ 1963e: 323e.*
+ 1963f: 6365.*
+ 19640: 323f.*
+ 19641: 6280.*
+ 19642: 3240.*
+ 19643: 6380.*
+ 19644: 3241.*
+ 19645: 6288.*
+ 19646: 3242.*
+ 19647: 6388.*
+ 19648: 3243.*
+ 19649: 6290.*
+ 1964a: 3244.*
+ 1964b: 6390.*
+ 1964c: 3245.*
+ 1964d: 62a0.*
+ 1964e: 3246.*
+ 1964f: 63a0.*
+ 19650: 3247.*
+ 19651: 62a8.*
+ 19652: 3248.*
+ 19653: 63a8.*
+ 19654: 3249.*
+ 19655: 62b0.*
+ 19656: 324a.*
+ 19657: 63b0.*
+ 19658: 324b.*
+ 19659: 62b8.*
+ 1965a: 324c.*
+ 1965b: 63b8.*
+ 1965c: 324d.*
+ 1965d: 62c0.*
+ 1965e: 324e.*
+ 1965f: 63c0.*
+ 19660: 324f.*
+ 19661: 62c8.*
+ 19662: 3250.*
+ 19663: 63c8.*
+ 19664: 3251.*
+ 19665: 62d0.*
+ 19666: 3252.*
+ 19667: 63d0.*
+ 19668: 3253.*
+ 19669: 62d8.*
+ 1966a: 3254.*
+ 1966b: 63d8.*
+ 1966c: 3255.*
+ 1966d: 62e0.*
+ 1966e: 3256.*
+ 1966f: 3257.*
+ 19670: 63e0.*
+ 19671: 3258.*
+ 19672: 3259.*
+ 19673: 62e8.*
+ 19674: 325a.*
+ 19675: 325b.*
+ 19676: 63e8.*
+ 19677: 325c.*
+ 19678: 325d.*
+ 19679: 62f0.*
+ 1967a: 325e.*
+ 1967b: 325f.*
+ 1967c: 63f0.*
+ 1967d: 3260.*
+ 1967e: 3261.*
+ 1967f: 62f8.*
+ 19680: 3262.*
+ 19681: 3263.*
+ 19682: 63f8.*
+ 19683: 3264.*
+ 19684: 3265.*
+ 19685: 6281.*
+ 19686: 3266.*
+ 19687: 6381.*
+ 19688: 3267.*
+ 19689: 6289.*
+ 1968a: 3268.*
+ 1968b: 6389.*
+ 1968c: 3269.*
+ 1968d: 6291.*
+ 1968e: 326a.*
+ 1968f: 6391.*
+ 19690: 326b.*
+ 19691: 62a1.*
+ 19692: 326c.*
+ 19693: 63a1.*
+ 19694: 326d.*
+ 19695: 62a9.*
+ 19696: 326e.*
+ 19697: 63a9.*
+ 19698: 326f.*
+ 19699: 62b1.*
+ 1969a: 3270.*
+ 1969b: 63b1.*
+ 1969c: 3271.*
+ 1969d: 62b9.*
+ 1969e: 3272.*
+ 1969f: 63b9.*
+ 196a0: 3273.*
+ 196a1: 62c1.*
+ 196a2: 3274.*
+ 196a3: 63c1.*
+ 196a4: 3275.*
+ 196a5: 62c9.*
+ 196a6: 3276.*
+ 196a7: 63c9.*
+ 196a8: 3277.*
+ 196a9: 62d1.*
+ 196aa: 3278.*
+ 196ab: 63d1.*
+ 196ac: 3279.*
+ 196ad: 62d9.*
+ 196ae: 327a.*
+ 196af: 63d9.*
+ 196b0: 327b.*
+ 196b1: 62e1.*
+ 196b2: 327c.*
+ 196b3: 327d.*
+ 196b4: 63e1.*
+ 196b5: 327e.*
+ 196b6: 327f.*
+ 196b7: 62e9.*
+ 196b8: 3280.*
+ 196b9: 3281.*
+ 196ba: 63e9.*
+ 196bb: 3282.*
+ 196bc: 3283.*
+ 196bd: 62f1.*
+ 196be: 3284.*
+ 196bf: 3285.*
+ 196c0: 63f1.*
+ 196c1: 3286.*
+ 196c2: 3287.*
+ 196c3: 62f8.*
+ 196c4: 3288.*
+ 196c5: 3289.*
+ 196c6: 63f8.*
+ 196c7: 328a.*
+ 196c8: 328b.*
+ 196c9: 6282.*
+ 196ca: 328c.*
+ 196cb: 6382.*
+ 196cc: 328d.*
+ 196cd: 628a.*
+ 196ce: 328e.*
+ 196cf: 638a.*
+ 196d0: 328f.*
+ 196d1: 6292.*
+ 196d2: 3290.*
+ 196d3: 6392.*
+ 196d4: 3291.*
+ 196d5: 62a2.*
+ 196d6: 3292.*
+ 196d7: 63a2.*
+ 196d8: 3293.*
+ 196d9: 62aa.*
+ 196da: 3294.*
+ 196db: 63aa.*
+ 196dc: 3295.*
+ 196dd: 62b2.*
+ 196de: 3296.*
+ 196df: 63b2.*
+ 196e0: 3297.*
+ 196e1: 62ba.*
+ 196e2: 3298.*
+ 196e3: 63ba.*
+ 196e4: 3299.*
+ 196e5: 62c2.*
+ 196e6: 329a.*
+ 196e7: 63c2.*
+ 196e8: 329b.*
+ 196e9: 62ca.*
+ 196ea: 329c.*
+ 196eb: 63ca.*
+ 196ec: 329d.*
+ 196ed: 62d2.*
+ 196ee: 329e.*
+ 196ef: 63d2.*
+ 196f0: 329f.*
+ 196f1: 62da.*
+ 196f2: 32a0.*
+ 196f3: 63da.*
+ 196f4: 32a1.*
+ 196f5: 62e2.*
+ 196f6: 32a2.*
+ 196f7: 32a3.*
+ 196f8: 63e2.*
+ 196f9: 32a4.*
+ 196fa: 32a5.*
+ 196fb: 62ea.*
+ 196fc: 32a6.*
+ 196fd: 32a7.*
+ 196fe: 63ea.*
+ 196ff: 32a8.*
+ 19700: 32a9.*
+ 19701: 62f2.*
+ 19702: 32aa.*
+ 19703: 32ab.*
+ 19704: 63f2.*
+ 19705: 32ac.*
+ 19706: 32ad.*
+ 19707: 62f8.*
+ 19708: 32ae.*
+ 19709: 32af.*
+ 1970a: 63f8.*
+ 1970b: 32b0.*
+ 1970c: 32b1.*
+ 1970d: 6283.*
+ 1970e: 32b2.*
+ 1970f: 6383.*
+ 19710: 32b3.*
+ 19711: 628b.*
+ 19712: 32b4.*
+ 19713: 638b.*
+ 19714: 32b5.*
+ 19715: 6293.*
+ 19716: 32b6.*
+ 19717: 6393.*
+ 19718: 32b7.*
+ 19719: 62a3.*
+ 1971a: 32b8.*
+ 1971b: 63a3.*
+ 1971c: 32b9.*
+ 1971d: 62ab.*
+ 1971e: 32ba.*
+ 1971f: 63ab.*
+ 19720: 32bb.*
+ 19721: 62b3.*
+ 19722: 32bc.*
+ 19723: 63b3.*
+ 19724: 32bd.*
+ 19725: 62bb.*
+ 19726: 32be.*
+ 19727: 63bb.*
+ 19728: 32bf.*
+ 19729: 62c3.*
+ 1972a: 32c0.*
+ 1972b: 63c3.*
+ 1972c: 32c1.*
+ 1972d: 62cb.*
+ 1972e: 32c2.*
+ 1972f: 63cb.*
+ 19730: 32c3.*
+ 19731: 62d3.*
+ 19732: 32c4.*
+ 19733: 63d3.*
+ 19734: 32c5.*
+ 19735: 62db.*
+ 19736: 32c6.*
+ 19737: 63db.*
+ 19738: 32c7.*
+ 19739: 62e3.*
+ 1973a: 32c8.*
+ 1973b: 32c9.*
+ 1973c: 63e3.*
+ 1973d: 32ca.*
+ 1973e: 32cb.*
+ 1973f: 62eb.*
+ 19740: 32cc.*
+ 19741: 32cd.*
+ 19742: 63eb.*
+ 19743: 32ce.*
+ 19744: 32cf.*
+ 19745: 62f3.*
+ 19746: 32d0.*
+ 19747: 32d1.*
+ 19748: 63f3.*
+ 19749: 32d2.*
+ 1974a: 32d3.*
+ 1974b: 62f8.*
+ 1974c: 32d4.*
+ 1974d: 32d5.*
+ 1974e: 63f8.*
+ 1974f: 32d6.*
+ 19750: 32d7.*
+ 19751: 6284.*
+ 19752: 32d8.*
+ 19753: 6384.*
+ 19754: 32d9.*
+ 19755: 628c.*
+ 19756: 32da.*
+ 19757: 638c.*
+ 19758: 32db.*
+ 19759: 6294.*
+ 1975a: 32dc.*
+ 1975b: 6394.*
+ 1975c: 32dd.*
+ 1975d: 62a4.*
+ 1975e: 32de.*
+ 1975f: 63a4.*
+ 19760: 32df.*
+ 19761: 62ac.*
+ 19762: 32e0.*
+ 19763: 63ac.*
+ 19764: 32e1.*
+ 19765: 62b4.*
+ 19766: 32e2.*
+ 19767: 63b4.*
+ 19768: 32e3.*
+ 19769: 62bc.*
+ 1976a: 32e4.*
+ 1976b: 63bc.*
+ 1976c: 32e5.*
+ 1976d: 62c4.*
+ 1976e: 32e6.*
+ 1976f: 63c4.*
+ 19770: 32e7.*
+ 19771: 62cc.*
+ 19772: 32e8.*
+ 19773: 63cc.*
+ 19774: 32e9.*
+ 19775: 62d4.*
+ 19776: 32ea.*
+ 19777: 63d4.*
+ 19778: 32eb.*
+ 19779: 62dc.*
+ 1977a: 32ec.*
+ 1977b: 63dc.*
+ 1977c: 32ed.*
+ 1977d: 62e4.*
+ 1977e: 32ee.*
+ 1977f: 32ef.*
+ 19780: 63e4.*
+ 19781: 32f0.*
+ 19782: 32f1.*
+ 19783: 62ec.*
+ 19784: 32f2.*
+ 19785: 32f3.*
+ 19786: 63ec.*
+ 19787: 32f4.*
+ 19788: 32f5.*
+ 19789: 62f4.*
+ 1978a: 32f6.*
+ 1978b: 32f7.*
+ 1978c: 63f4.*
+ 1978d: 32f8.*
+ 1978e: 32f9.*
+ 1978f: 62f8.*
+ 19790: 32fa.*
+ 19791: 32fb.*
+ 19792: 63f8.*
+ 19793: 32fc.*
+ 19794: 32fd.*
+ 19795: 6285.*
+ 19796: 32fe.*
+ 19797: 6385.*
+ 19798: 32ff.*
+ 19799: 628d.*
+ 1979a: 3300.*
+ 1979b: 638d.*
+ 1979c: 3301.*
+ 1979d: 6295.*
+ 1979e: 3302.*
+ 1979f: 6395.*
+ 197a0: 3303.*
+ 197a1: 62a5.*
+ 197a2: 3304.*
+ 197a3: 63a5.*
+ 197a4: 3305.*
+ 197a5: 62ad.*
+ 197a6: 3306.*
+ 197a7: 63ad.*
+ 197a8: 3307.*
+ 197a9: 62b5.*
+ 197aa: 3308.*
+ 197ab: 63b5.*
+ 197ac: 3309.*
+ 197ad: 62bd.*
+ 197ae: 330a.*
+ 197af: 63bd.*
+ 197b0: 330b.*
+ 197b1: 62c5.*
+ 197b2: 330c.*
+ 197b3: 63c5.*
+ 197b4: 330d.*
+ 197b5: 62cd.*
+ 197b6: 330e.*
+ 197b7: 63cd.*
+ 197b8: 330f.*
+ 197b9: 62d5.*
+ 197ba: 3310.*
+ 197bb: 63d5.*
+ 197bc: 3311.*
+ 197bd: 62dd.*
+ 197be: 3312.*
+ 197bf: 63dd.*
+ 197c0: 3313.*
+ 197c1: 62e5.*
+ 197c2: 3314.*
+ 197c3: 3315.*
+ 197c4: 63e5.*
+ 197c5: 3316.*
+ 197c6: 3317.*
+ 197c7: 62ed.*
+ 197c8: 3318.*
+ 197c9: 3319.*
+ 197ca: 63ed.*
+ 197cb: 331a.*
+ 197cc: 331b.*
+ 197cd: 62f5.*
+ 197ce: 331c.*
+ 197cf: 331d.*
+ 197d0: 63f5.*
+ 197d1: 331e.*
+ 197d2: 331f.*
+ 197d3: 62f8.*
+ 197d4: 3320.*
+ 197d5: 3321.*
+ 197d6: 63f8.*
+ 197d7: 3322.*
+ 197d8: 3323.*
+ 197d9: 6286.*
+ 197da: 3324.*
+ 197db: 6386.*
+ 197dc: 3325.*
+ 197dd: 628e.*
+ 197de: 3326.*
+ 197df: 638e.*
+ 197e0: 3327.*
+ 197e1: 6296.*
+ 197e2: 3328.*
+ 197e3: 6396.*
+ 197e4: 3329.*
+ 197e5: 62a6.*
+ 197e6: 332a.*
+ 197e7: 63a6.*
+ 197e8: 332b.*
+ 197e9: 62ae.*
+ 197ea: 332c.*
+ 197eb: 63ae.*
+ 197ec: 332d.*
+ 197ed: 62b6.*
+ 197ee: 332e.*
+ 197ef: 63b6.*
+ 197f0: 332f.*
+ 197f1: 62be.*
+ 197f2: 3330.*
+ 197f3: 63be.*
+ 197f4: 3331.*
+ 197f5: 62c6.*
+ 197f6: 3332.*
+ 197f7: 63c6.*
+ 197f8: 3333.*
+ 197f9: 62ce.*
+ 197fa: 3334.*
+ 197fb: 63ce.*
+ 197fc: 3335.*
+ 197fd: 62d6.*
+ 197fe: 3336.*
+ 197ff: 63d6.*
+ 19800: 3337.*
+ 19801: 62de.*
+ 19802: 3338.*
+ 19803: 63de.*
+ 19804: 3339.*
+ 19805: 62e6.*
+ 19806: 333a.*
+ 19807: 333b.*
+ 19808: 63e6.*
+ 19809: 333c.*
+ 1980a: 333d.*
+ 1980b: 62ee.*
+ 1980c: 333e.*
+ 1980d: 333f.*
+ 1980e: 63ee.*
+ 1980f: 3340.*
+ 19810: 3341.*
+ 19811: 62f6.*
+ 19812: 3342.*
+ 19813: 3343.*
+ 19814: 63f6.*
+ 19815: 3344.*
+ 19816: 3345.*
+ 19817: 62f8.*
+ 19818: 3346.*
+ 19819: 3347.*
+ 1981a: 63f8.*
+ 1981b: 3348.*
+ 1981c: 3349.*
+ 1981d: 6287.*
+ 1981e: 334a.*
+ 1981f: 6387.*
+ 19820: 334b.*
+ 19821: 628f.*
+ 19822: 334c.*
+ 19823: 638f.*
+ 19824: 334d.*
+ 19825: 6297.*
+ 19826: 334e.*
+ 19827: 6397.*
+ 19828: 334f.*
+ 19829: 62a7.*
+ 1982a: 3350.*
+ 1982b: 63a7.*
+ 1982c: 3351.*
+ 1982d: 62af.*
+ 1982e: 3352.*
+ 1982f: 63af.*
+ 19830: 3353.*
+ 19831: 62b7.*
+ 19832: 3354.*
+ 19833: 63b7.*
+ 19834: 3355.*
+ 19835: 62bf.*
+ 19836: 3356.*
+ 19837: 63bf.*
+ 19838: 3357.*
+ 19839: 62c7.*
+ 1983a: 3358.*
+ 1983b: 63c7.*
+ 1983c: 3359.*
+ 1983d: 62cf.*
+ 1983e: 335a.*
+ 1983f: 63cf.*
+ 19840: 335b.*
+ 19841: 62d7.*
+ 19842: 335c.*
+ 19843: 63d7.*
+ 19844: 335d.*
+ 19845: 62df.*
+ 19846: 335e.*
+ 19847: 63df.*
+ 19848: 335f.*
+ 19849: 62e7.*
+ 1984a: 3360.*
+ 1984b: 3361.*
+ 1984c: 63e7.*
+ 1984d: 3362.*
+ 1984e: 3363.*
+ 1984f: 62ef.*
+ 19850: 3364.*
+ 19851: 3365.*
+ 19852: 63ef.*
+ 19853: 3366.*
+ 19854: 3367.*
+ 19855: 62f7.*
+ 19856: 3368.*
+ 19857: 3369.*
+ 19858: 63f7.*
+ 19859: 336a.*
+ 1985a: 336b.*
+ 1985b: 62f8.*
+ 1985c: 336c.*
+ 1985d: 336d.*
+ 1985e: 63f8.*
+ 1985f: 336e.*
+ 19860: 336f.*
+ 19861: a400.*
+ 19862: a500.*
+ 19863: a404.*
+ 19864: a504.*
+ 19865: a408.*
+ 19866: a508.*
+ 19867: a40c.*
+ 19868: a50c.*
+ 19869: a401.*
+ 1986a: a501.*
+ 1986b: a405.*
+ 1986c: a505.*
+ 1986d: a409.*
+ 1986e: a509.*
+ 1986f: a40d.*
+ 19870: a50d.*
+ 19871: a402.*
+ 19872: a502.*
+ 19873: a406.*
+ 19874: a506.*
+ 19875: a40a.*
+ 19876: a50a.*
+ 19877: a40e.*
+ 19878: a50e.*
+ 19879: a403.*
+ 1987a: a503.*
+ 1987b: a407.*
+ 1987c: a507.*
+ 1987d: a40b.*
+ 1987e: a50b.*
+ 1987f: a40f.*
+ 19880: a50f.*
+ 19881: a440.*
+ 19882: a540.*
+ 19883: a444.*
+ 19884: a544.*
+ 19885: a448.*
+ 19886: a548.*
+ 19887: a44c.*
+ 19888: a54c.*
+ 19889: a441.*
+ 1988a: a541.*
+ 1988b: a445.*
+ 1988c: a545.*
+ 1988d: a449.*
+ 1988e: a549.*
+ 1988f: a44d.*
+ 19890: a54d.*
+ 19891: a442.*
+ 19892: a542.*
+ 19893: a446.*
+ 19894: a546.*
+ 19895: a44a.*
+ 19896: a54a.*
+ 19897: a44e.*
+ 19898: a54e.*
+ 19899: a443.*
+ 1989a: a543.*
+ 1989b: a447.*
+ 1989c: a547.*
+ 1989d: a44b.*
+ 1989e: a54b.*
+ 1989f: a44f.*
+ 198a0: a54f.*
+ 198a1: a480.*
+ 198a2: a580.*
+ 198a3: a484.*
+ 198a4: a584.*
+ 198a5: a488.*
+ 198a6: a588.*
+ 198a7: a48c.*
+ 198a8: a58c.*
+ 198a9: a481.*
+ 198aa: a581.*
+ 198ab: a485.*
+ 198ac: a585.*
+ 198ad: a489.*
+ 198ae: a589.*
+ 198af: a48d.*
+ 198b0: a58d.*
+ 198b1: a482.*
+ 198b2: a582.*
+ 198b3: a486.*
+ 198b4: a586.*
+ 198b5: a48a.*
+ 198b6: a58a.*
+ 198b7: a48e.*
+ 198b8: a58e.*
+ 198b9: a483.*
+ 198ba: a583.*
+ 198bb: a487.*
+ 198bc: a587.*
+ 198bd: a48b.*
+ 198be: a58b.*
+ 198bf: a48f.*
+ 198c0: a58f.*
+ 198c1: a4c0.*
+ 198c2: a5c0.*
+ 198c3: a4c4.*
+ 198c4: a5c4.*
+ 198c5: a4c8.*
+ 198c6: a5c8.*
+ 198c7: a4cc.*
+ 198c8: a5cc.*
+ 198c9: a4c1.*
+ 198ca: a5c1.*
+ 198cb: a4c5.*
+ 198cc: a5c5.*
+ 198cd: a4c9.*
+ 198ce: a5c9.*
+ 198cf: a4cd.*
+ 198d0: a5cd.*
+ 198d1: a4c2.*
+ 198d2: a5c2.*
+ 198d3: a4c6.*
+ 198d4: a5c6.*
+ 198d5: a4ca.*
+ 198d6: a5ca.*
+ 198d7: a4ce.*
+ 198d8: a5ce.*
+ 198d9: a4c3.*
+ 198da: a5c3.*
+ 198db: a4c7.*
+ 198dc: a5c7.*
+ 198dd: a4cb.*
+ 198de: a5cb.*
+ 198df: a4cf.*
+ 198e0: a5cf.*
+ 198e1: a410.*
+ 198e2: a510.*
+ 198e3: a414.*
+ 198e4: a514.*
+ 198e5: a418.*
+ 198e6: a518.*
+ 198e7: a41c.*
+ 198e8: a51c.*
+ 198e9: a411.*
+ 198ea: a511.*
+ 198eb: a415.*
+ 198ec: a515.*
+ 198ed: a419.*
+ 198ee: a519.*
+ 198ef: a41d.*
+ 198f0: a51d.*
+ 198f1: a412.*
+ 198f2: a512.*
+ 198f3: a416.*
+ 198f4: a516.*
+ 198f5: a41a.*
+ 198f6: a51a.*
+ 198f7: a41e.*
+ 198f8: a51e.*
+ 198f9: a413.*
+ 198fa: a513.*
+ 198fb: a417.*
+ 198fc: a517.*
+ 198fd: a41b.*
+ 198fe: a51b.*
+ 198ff: a41f.*
+ 19900: a51f.*
+ 19901: a450.*
+ 19902: a550.*
+ 19903: a454.*
+ 19904: a554.*
+ 19905: a458.*
+ 19906: a558.*
+ 19907: a45c.*
+ 19908: a55c.*
+ 19909: a451.*
+ 1990a: a551.*
+ 1990b: a455.*
+ 1990c: a555.*
+ 1990d: a459.*
+ 1990e: a559.*
+ 1990f: a45d.*
+ 19910: a55d.*
+ 19911: a452.*
+ 19912: a552.*
+ 19913: a456.*
+ 19914: a556.*
+ 19915: a45a.*
+ 19916: a55a.*
+ 19917: a45e.*
+ 19918: a55e.*
+ 19919: a453.*
+ 1991a: a553.*
+ 1991b: a457.*
+ 1991c: a557.*
+ 1991d: a45b.*
+ 1991e: a55b.*
+ 1991f: a45f.*
+ 19920: a55f.*
+ 19921: a490.*
+ 19922: a590.*
+ 19923: a494.*
+ 19924: a594.*
+ 19925: a498.*
+ 19926: a598.*
+ 19927: a49c.*
+ 19928: a59c.*
+ 19929: a491.*
+ 1992a: a591.*
+ 1992b: a495.*
+ 1992c: a595.*
+ 1992d: a499.*
+ 1992e: a599.*
+ 1992f: a49d.*
+ 19930: a59d.*
+ 19931: a492.*
+ 19932: a592.*
+ 19933: a496.*
+ 19934: a596.*
+ 19935: a49a.*
+ 19936: a59a.*
+ 19937: a49e.*
+ 19938: a59e.*
+ 19939: a493.*
+ 1993a: a593.*
+ 1993b: a497.*
+ 1993c: a597.*
+ 1993d: a49b.*
+ 1993e: a59b.*
+ 1993f: a49f.*
+ 19940: a59f.*
+ 19941: a4d0.*
+ 19942: a5d0.*
+ 19943: a4d4.*
+ 19944: a5d4.*
+ 19945: a4d8.*
+ 19946: a5d8.*
+ 19947: a4dc.*
+ 19948: a5dc.*
+ 19949: a4d1.*
+ 1994a: a5d1.*
+ 1994b: a4d5.*
+ 1994c: a5d5.*
+ 1994d: a4d9.*
+ 1994e: a5d9.*
+ 1994f: a4dd.*
+ 19950: a5dd.*
+ 19951: a4d2.*
+ 19952: a5d2.*
+ 19953: a4d6.*
+ 19954: a5d6.*
+ 19955: a4da.*
+ 19956: a5da.*
+ 19957: a4de.*
+ 19958: a5de.*
+ 19959: a4d3.*
+ 1995a: a5d3.*
+ 1995b: a4d7.*
+ 1995c: a5d7.*
+ 1995d: a4db.*
+ 1995e: a5db.*
+ 1995f: a4df.*
+ 19960: a5df.*
+ 19961: a420.*
+ 19962: a520.*
+ 19963: a424.*
+ 19964: a524.*
+ 19965: a428.*
+ 19966: a528.*
+ 19967: a42c.*
+ 19968: a52c.*
+ 19969: a421.*
+ 1996a: a521.*
+ 1996b: a425.*
+ 1996c: a525.*
+ 1996d: a429.*
+ 1996e: a529.*
+ 1996f: a42d.*
+ 19970: a52d.*
+ 19971: a422.*
+ 19972: a522.*
+ 19973: a426.*
+ 19974: a526.*
+ 19975: a42a.*
+ 19976: a52a.*
+ 19977: a42e.*
+ 19978: a52e.*
+ 19979: a423.*
+ 1997a: a523.*
+ 1997b: a427.*
+ 1997c: a527.*
+ 1997d: a42b.*
+ 1997e: a52b.*
+ 1997f: a42f.*
+ 19980: a52f.*
+ 19981: a460.*
+ 19982: a560.*
+ 19983: a464.*
+ 19984: a564.*
+ 19985: a468.*
+ 19986: a568.*
+ 19987: a46c.*
+ 19988: a56c.*
+ 19989: a461.*
+ 1998a: a561.*
+ 1998b: a465.*
+ 1998c: a565.*
+ 1998d: a469.*
+ 1998e: a569.*
+ 1998f: a46d.*
+ 19990: a56d.*
+ 19991: a462.*
+ 19992: a562.*
+ 19993: a466.*
+ 19994: a566.*
+ 19995: a46a.*
+ 19996: a56a.*
+ 19997: a46e.*
+ 19998: a56e.*
+ 19999: a463.*
+ 1999a: a563.*
+ 1999b: a467.*
+ 1999c: a567.*
+ 1999d: a46b.*
+ 1999e: a56b.*
+ 1999f: a46f.*
+ 199a0: a56f.*
+ 199a1: a4a0.*
+ 199a2: a5a0.*
+ 199a3: a4a4.*
+ 199a4: a5a4.*
+ 199a5: a4a8.*
+ 199a6: a5a8.*
+ 199a7: a4ac.*
+ 199a8: a5ac.*
+ 199a9: a4a1.*
+ 199aa: a5a1.*
+ 199ab: a4a5.*
+ 199ac: a5a5.*
+ 199ad: a4a9.*
+ 199ae: a5a9.*
+ 199af: a4ad.*
+ 199b0: a5ad.*
+ 199b1: a4a2.*
+ 199b2: a5a2.*
+ 199b3: a4a6.*
+ 199b4: a5a6.*
+ 199b5: a4aa.*
+ 199b6: a5aa.*
+ 199b7: a4ae.*
+ 199b8: a5ae.*
+ 199b9: a4a3.*
+ 199ba: a5a3.*
+ 199bb: a4a7.*
+ 199bc: a5a7.*
+ 199bd: a4ab.*
+ 199be: a5ab.*
+ 199bf: a4af.*
+ 199c0: a5af.*
+ 199c1: a4e0.*
+ 199c2: a5e0.*
+ 199c3: a4e4.*
+ 199c4: a5e4.*
+ 199c5: a4e8.*
+ 199c6: a5e8.*
+ 199c7: a4ec.*
+ 199c8: a5ec.*
+ 199c9: a4e1.*
+ 199ca: a5e1.*
+ 199cb: a4e5.*
+ 199cc: a5e5.*
+ 199cd: a4e9.*
+ 199ce: a5e9.*
+ 199cf: a4ed.*
+ 199d0: a5ed.*
+ 199d1: a4e2.*
+ 199d2: a5e2.*
+ 199d3: a4e6.*
+ 199d4: a5e6.*
+ 199d5: a4ea.*
+ 199d6: a5ea.*
+ 199d7: a4ee.*
+ 199d8: a5ee.*
+ 199d9: a4e3.*
+ 199da: a5e3.*
+ 199db: a4e7.*
+ 199dc: a5e7.*
+ 199dd: a4eb.*
+ 199de: a5eb.*
+ 199df: a4ef.*
+ 199e0: a5ef.*
+ 199e1: a430.*
+ 199e2: a530.*
+ 199e3: a434.*
+ 199e4: a534.*
+ 199e5: a438.*
+ 199e6: a538.*
+ 199e7: a43c.*
+ 199e8: a53c.*
+ 199e9: a431.*
+ 199ea: a531.*
+ 199eb: a435.*
+ 199ec: a535.*
+ 199ed: a439.*
+ 199ee: a539.*
+ 199ef: a43d.*
+ 199f0: a53d.*
+ 199f1: a432.*
+ 199f2: a532.*
+ 199f3: a436.*
+ 199f4: a536.*
+ 199f5: a43a.*
+ 199f6: a53a.*
+ 199f7: a43e.*
+ 199f8: a53e.*
+ 199f9: a433.*
+ 199fa: a533.*
+ 199fb: a437.*
+ 199fc: a537.*
+ 199fd: a43b.*
+ 199fe: a53b.*
+ 199ff: a43f.*
+ 19a00: a53f.*
+ 19a01: a470.*
+ 19a02: a570.*
+ 19a03: a474.*
+ 19a04: a574.*
+ 19a05: a478.*
+ 19a06: a578.*
+ 19a07: a47c.*
+ 19a08: a57c.*
+ 19a09: a471.*
+ 19a0a: a571.*
+ 19a0b: a475.*
+ 19a0c: a575.*
+ 19a0d: a479.*
+ 19a0e: a579.*
+ 19a0f: a47d.*
+ 19a10: a57d.*
+ 19a11: a472.*
+ 19a12: a572.*
+ 19a13: a476.*
+ 19a14: a576.*
+ 19a15: a47a.*
+ 19a16: a57a.*
+ 19a17: a47e.*
+ 19a18: a57e.*
+ 19a19: a473.*
+ 19a1a: a573.*
+ 19a1b: a477.*
+ 19a1c: a577.*
+ 19a1d: a47b.*
+ 19a1e: a57b.*
+ 19a1f: a47f.*
+ 19a20: a57f.*
+ 19a21: a4b0.*
+ 19a22: a5b0.*
+ 19a23: a4b4.*
+ 19a24: a5b4.*
+ 19a25: a4b8.*
+ 19a26: a5b8.*
+ 19a27: a4bc.*
+ 19a28: a5bc.*
+ 19a29: a4b1.*
+ 19a2a: a5b1.*
+ 19a2b: a4b5.*
+ 19a2c: a5b5.*
+ 19a2d: a4b9.*
+ 19a2e: a5b9.*
+ 19a2f: a4bd.*
+ 19a30: a5bd.*
+ 19a31: a4b2.*
+ 19a32: a5b2.*
+ 19a33: a4b6.*
+ 19a34: a5b6.*
+ 19a35: a4ba.*
+ 19a36: a5ba.*
+ 19a37: a4be.*
+ 19a38: a5be.*
+ 19a39: a4b3.*
+ 19a3a: a5b3.*
+ 19a3b: a4b7.*
+ 19a3c: a5b7.*
+ 19a3d: a4bb.*
+ 19a3e: a5bb.*
+ 19a3f: a4bf.*
+ 19a40: a5bf.*
+ 19a41: a4f0.*
+ 19a42: a5f0.*
+ 19a43: a4f4.*
+ 19a44: a5f4.*
+ 19a45: a4f8.*
+ 19a46: a5f8.*
+ 19a47: a4fc.*
+ 19a48: a5fc.*
+ 19a49: a4f1.*
+ 19a4a: a5f1.*
+ 19a4b: a4f5.*
+ 19a4c: a5f5.*
+ 19a4d: a4f9.*
+ 19a4e: a5f9.*
+ 19a4f: a4fd.*
+ 19a50: a5fd.*
+ 19a51: a4f2.*
+ 19a52: a5f2.*
+ 19a53: a4f6.*
+ 19a54: a5f6.*
+ 19a55: a4fa.*
+ 19a56: a5fa.*
+ 19a57: a4fe.*
+ 19a58: a5fe.*
+ 19a59: a4f3.*
+ 19a5a: a5f3.*
+ 19a5b: a4f7.*
+ 19a5c: a5f7.*
+ 19a5d: a4fb.*
+ 19a5e: a5fb.*
+ 19a5f: a4ff.*
+ 19a60: a5ff.*
+ 19a61: e500.*
+ 19a62: e504.*
+ 19a63: e508.*
+ 19a64: e50c.*
+ 19a65: e501.*
+ 19a66: e505.*
+ 19a67: e509.*
+ 19a68: e50d.*
+ 19a69: e502.*
+ 19a6a: e506.*
+ 19a6b: e50a.*
+ 19a6c: e50e.*
+ 19a6d: e503.*
+ 19a6e: e507.*
+ 19a6f: e50b.*
+ 19a70: e50f.*
+ 19a71: e540.*
+ 19a72: e544.*
+ 19a73: e548.*
+ 19a74: e54c.*
+ 19a75: e541.*
+ 19a76: e545.*
+ 19a77: e549.*
+ 19a78: e54d.*
+ 19a79: e542.*
+ 19a7a: e546.*
+ 19a7b: e54a.*
+ 19a7c: e54e.*
+ 19a7d: e543.*
+ 19a7e: e547.*
+ 19a7f: e54b.*
+ 19a80: e54f.*
+ 19a81: e580.*
+ 19a82: e584.*
+ 19a83: e588.*
+ 19a84: e58c.*
+ 19a85: e581.*
+ 19a86: e585.*
+ 19a87: e589.*
+ 19a88: e58d.*
+ 19a89: e582.*
+ 19a8a: e586.*
+ 19a8b: e58a.*
+ 19a8c: e58e.*
+ 19a8d: e583.*
+ 19a8e: e587.*
+ 19a8f: e58b.*
+ 19a90: e58f.*
+ 19a91: e5c0.*
+ 19a92: e5c4.*
+ 19a93: e5c8.*
+ 19a94: e5cc.*
+ 19a95: e5c1.*
+ 19a96: e5c5.*
+ 19a97: e5c9.*
+ 19a98: e5cd.*
+ 19a99: e5c2.*
+ 19a9a: e5c6.*
+ 19a9b: e5ca.*
+ 19a9c: e5ce.*
+ 19a9d: e5c3.*
+ 19a9e: e5c7.*
+ 19a9f: e5cb.*
+ 19aa0: e5cf.*
+ 19aa1: e510.*
+ 19aa2: e514.*
+ 19aa3: e518.*
+ 19aa4: e51c.*
+ 19aa5: e511.*
+ 19aa6: e515.*
+ 19aa7: e519.*
+ 19aa8: e51d.*
+ 19aa9: e512.*
+ 19aaa: e516.*
+ 19aab: e51a.*
+ 19aac: e51e.*
+ 19aad: e513.*
+ 19aae: e517.*
+ 19aaf: e51b.*
+ 19ab0: e51f.*
+ 19ab1: e550.*
+ 19ab2: e554.*
+ 19ab3: e558.*
+ 19ab4: e55c.*
+ 19ab5: e551.*
+ 19ab6: e555.*
+ 19ab7: e559.*
+ 19ab8: e55d.*
+ 19ab9: e552.*
+ 19aba: e556.*
+ 19abb: e55a.*
+ 19abc: e55e.*
+ 19abd: e553.*
+ 19abe: e557.*
+ 19abf: e55b.*
+ 19ac0: e55f.*
+ 19ac1: e590.*
+ 19ac2: e594.*
+ 19ac3: e598.*
+ 19ac4: e59c.*
+ 19ac5: e591.*
+ 19ac6: e595.*
+ 19ac7: e599.*
+ 19ac8: e59d.*
+ 19ac9: e592.*
+ 19aca: e596.*
+ 19acb: e59a.*
+ 19acc: e59e.*
+ 19acd: e593.*
+ 19ace: e597.*
+ 19acf: e59b.*
+ 19ad0: e59f.*
+ 19ad1: e5d0.*
+ 19ad2: e5d4.*
+ 19ad3: e5d8.*
+ 19ad4: e5dc.*
+ 19ad5: e5d1.*
+ 19ad6: e5d5.*
+ 19ad7: e5d9.*
+ 19ad8: e5dd.*
+ 19ad9: e5d2.*
+ 19ada: e5d6.*
+ 19adb: e5da.*
+ 19adc: e5de.*
+ 19add: e5d3.*
+ 19ade: e5d7.*
+ 19adf: e5db.*
+ 19ae0: e5df.*
+ 19ae1: e520.*
+ 19ae2: e524.*
+ 19ae3: e528.*
+ 19ae4: e52c.*
+ 19ae5: e521.*
+ 19ae6: e525.*
+ 19ae7: e529.*
+ 19ae8: e52d.*
+ 19ae9: e522.*
+ 19aea: e526.*
+ 19aeb: e52a.*
+ 19aec: e52e.*
+ 19aed: e523.*
+ 19aee: e527.*
+ 19aef: e52b.*
+ 19af0: e52f.*
+ 19af1: e560.*
+ 19af2: e564.*
+ 19af3: e568.*
+ 19af4: e56c.*
+ 19af5: e561.*
+ 19af6: e565.*
+ 19af7: e569.*
+ 19af8: e56d.*
+ 19af9: e562.*
+ 19afa: e566.*
+ 19afb: e56a.*
+ 19afc: e56e.*
+ 19afd: e563.*
+ 19afe: e567.*
+ 19aff: e56b.*
+ 19b00: e56f.*
+ 19b01: e5a0.*
+ 19b02: e5a4.*
+ 19b03: e5a8.*
+ 19b04: e5ac.*
+ 19b05: e5a1.*
+ 19b06: e5a5.*
+ 19b07: e5a9.*
+ 19b08: e5ad.*
+ 19b09: e5a2.*
+ 19b0a: e5a6.*
+ 19b0b: e5aa.*
+ 19b0c: e5ae.*
+ 19b0d: e5a3.*
+ 19b0e: e5a7.*
+ 19b0f: e5ab.*
+ 19b10: e5af.*
+ 19b11: e5e0.*
+ 19b12: e5e4.*
+ 19b13: e5e8.*
+ 19b14: e5ec.*
+ 19b15: e5e1.*
+ 19b16: e5e5.*
+ 19b17: e5e9.*
+ 19b18: e5ed.*
+ 19b19: e5e2.*
+ 19b1a: e5e6.*
+ 19b1b: e5ea.*
+ 19b1c: e5ee.*
+ 19b1d: e5e3.*
+ 19b1e: e5e7.*
+ 19b1f: e5eb.*
+ 19b20: e5ef.*
+ 19b21: e530.*
+ 19b22: e534.*
+ 19b23: e538.*
+ 19b24: e53c.*
+ 19b25: e531.*
+ 19b26: e535.*
+ 19b27: e539.*
+ 19b28: e53d.*
+ 19b29: e532.*
+ 19b2a: e536.*
+ 19b2b: e53a.*
+ 19b2c: e53e.*
+ 19b2d: e533.*
+ 19b2e: e537.*
+ 19b2f: e53b.*
+ 19b30: e53f.*
+ 19b31: e570.*
+ 19b32: e574.*
+ 19b33: e578.*
+ 19b34: e57c.*
+ 19b35: e571.*
+ 19b36: e575.*
+ 19b37: e579.*
+ 19b38: e57d.*
+ 19b39: e572.*
+ 19b3a: e576.*
+ 19b3b: e57a.*
+ 19b3c: e57e.*
+ 19b3d: e573.*
+ 19b3e: e577.*
+ 19b3f: e57b.*
+ 19b40: e57f.*
+ 19b41: e5b0.*
+ 19b42: e5b4.*
+ 19b43: e5b8.*
+ 19b44: e5bc.*
+ 19b45: e5b1.*
+ 19b46: e5b5.*
+ 19b47: e5b9.*
+ 19b48: e5bd.*
+ 19b49: e5b2.*
+ 19b4a: e5b6.*
+ 19b4b: e5ba.*
+ 19b4c: e5be.*
+ 19b4d: e5b3.*
+ 19b4e: e5b7.*
+ 19b4f: e5bb.*
+ 19b50: e5bf.*
+ 19b51: e5f0.*
+ 19b52: e5f4.*
+ 19b53: e5f8.*
+ 19b54: e5fc.*
+ 19b55: e5f1.*
+ 19b56: e5f5.*
+ 19b57: e5f9.*
+ 19b58: e5fd.*
+ 19b59: e5f2.*
+ 19b5a: e5f6.*
+ 19b5b: e5fa.*
+ 19b5c: e5fe.*
+ 19b5d: e5f3.*
+ 19b5e: e5f7.*
+ 19b5f: e5fb.*
+ 19b60: e5ff.*
+ 19b61: 7166.*
+ 19b62: 0060.*
+ 19b63: 7180.*
+ 19b64: 0061.*
+ 19b65: 7188.*
+ 19b66: 0062.*
+ 19b67: 7190.*
+ 19b68: 0063.*
+ 19b69: 71a0.*
+ 19b6a: 0064.*
+ 19b6b: 71a8.*
+ 19b6c: 0065.*
+ 19b6d: 71b0.*
+ 19b6e: 0066.*
+ 19b6f: 71b8.*
+ 19b70: 0067.*
+ 19b71: 71c0.*
+ 19b72: 0068.*
+ 19b73: 71c8.*
+ 19b74: 0069.*
+ 19b75: 71d0.*
+ 19b76: 006a.*
+ 19b77: 71d8.*
+ 19b78: 006b.*
+ 19b79: 71e0.*
+ 19b7a: 3370.*
+ 19b7b: 006c.*
+ 19b7c: 71e8.*
+ 19b7d: 3371.*
+ 19b7e: 006d.*
+ 19b7f: 71f0.*
+ 19b80: 3372.*
+ 19b81: 006e.*
+ 19b82: 71f8.*
+ 19b83: 3373.*
+ 19b84: 006f.*
+ 19b85: 7181.*
+ 19b86: 0070.*
+ 19b87: 7189.*
+ 19b88: 0071.*
+ 19b89: 7191.*
+ 19b8a: 0072.*
+ 19b8b: 71a1.*
+ 19b8c: 0073.*
+ 19b8d: 71a9.*
+ 19b8e: 0074.*
+ 19b8f: 71b1.*
+ 19b90: 0075.*
+ 19b91: 71b9.*
+ 19b92: 0076.*
+ 19b93: 71c1.*
+ 19b94: 0077.*
+ 19b95: 71c9.*
+ 19b96: 0078.*
+ 19b97: 71d1.*
+ 19b98: 0079.*
+ 19b99: 71d9.*
+ 19b9a: 007a.*
+ 19b9b: 71e1.*
+ 19b9c: 3374.*
+ 19b9d: 007b.*
+ 19b9e: 71e9.*
+ 19b9f: 3375.*
+ 19ba0: 007c.*
+ 19ba1: 71f1.*
+ 19ba2: 3376.*
+ 19ba3: 007d.*
+ 19ba4: 71f8.*
+ 19ba5: 3377.*
+ 19ba6: 007e.*
+ 19ba7: 7182.*
+ 19ba8: 007f.*
+ 19ba9: 718a.*
+ 19baa: 0080.*
+ 19bab: 7192.*
+ 19bac: 0081.*
+ 19bad: 71a2.*
+ 19bae: 0082.*
+ 19baf: 71aa.*
+ 19bb0: 0083.*
+ 19bb1: 71b2.*
+ 19bb2: 0084.*
+ 19bb3: 71ba.*
+ 19bb4: 0085.*
+ 19bb5: 71c2.*
+ 19bb6: 0086.*
+ 19bb7: 71ca.*
+ 19bb8: 0087.*
+ 19bb9: 71d2.*
+ 19bba: 0088.*
+ 19bbb: 71da.*
+ 19bbc: 0089.*
+ 19bbd: 71e2.*
+ 19bbe: 3378.*
+ 19bbf: 008a.*
+ 19bc0: 71ea.*
+ 19bc1: 3379.*
+ 19bc2: 008b.*
+ 19bc3: 71f2.*
+ 19bc4: 337a.*
+ 19bc5: 008c.*
+ 19bc6: 71f8.*
+ 19bc7: 337b.*
+ 19bc8: 008d.*
+ 19bc9: 7183.*
+ 19bca: 008e.*
+ 19bcb: 718b.*
+ 19bcc: 008f.*
+ 19bcd: 7193.*
+ 19bce: 0090.*
+ 19bcf: 71a3.*
+ 19bd0: 0091.*
+ 19bd1: 71ab.*
+ 19bd2: 0092.*
+ 19bd3: 71b3.*
+ 19bd4: 0093.*
+ 19bd5: 71bb.*
+ 19bd6: 0094.*
+ 19bd7: 71c3.*
+ 19bd8: 0095.*
+ 19bd9: 71cb.*
+ 19bda: 0096.*
+ 19bdb: 71d3.*
+ 19bdc: 0097.*
+ 19bdd: 71db.*
+ 19bde: 0098.*
+ 19bdf: 71e3.*
+ 19be0: 337c.*
+ 19be1: 0099.*
+ 19be2: 71eb.*
+ 19be3: 337d.*
+ 19be4: 009a.*
+ 19be5: 71f3.*
+ 19be6: 337e.*
+ 19be7: 009b.*
+ 19be8: 71f8.*
+ 19be9: 337f.*
+ 19bea: 009c.*
+ 19beb: 7184.*
+ 19bec: 009d.*
+ 19bed: 718c.*
+ 19bee: 009e.*
+ 19bef: 7194.*
+ 19bf0: 009f.*
+ 19bf1: 71a4.*
+ 19bf2: 00a0.*
+ 19bf3: 71ac.*
+ 19bf4: 00a1.*
+ 19bf5: 71b4.*
+ 19bf6: 00a2.*
+ 19bf7: 71bc.*
+ 19bf8: 00a3.*
+ 19bf9: 71c4.*
+ 19bfa: 00a4.*
+ 19bfb: 71cc.*
+ 19bfc: 00a5.*
+ 19bfd: 71d4.*
+ 19bfe: 00a6.*
+ 19bff: 71dc.*
+ 19c00: 00a7.*
+ 19c01: 71e4.*
+ 19c02: 3380.*
+ 19c03: 00a8.*
+ 19c04: 71ec.*
+ 19c05: 3381.*
+ 19c06: 00a9.*
+ 19c07: 71f4.*
+ 19c08: 3382.*
+ 19c09: 00aa.*
+ 19c0a: 71f8.*
+ 19c0b: 3383.*
+ 19c0c: 00ab.*
+ 19c0d: 7185.*
+ 19c0e: 00ac.*
+ 19c0f: 718d.*
+ 19c10: 00ad.*
+ 19c11: 7195.*
+ 19c12: 00ae.*
+ 19c13: 71a5.*
+ 19c14: 00af.*
+ 19c15: 71ad.*
+ 19c16: 00b0.*
+ 19c17: 71b5.*
+ 19c18: 00b1.*
+ 19c19: 71bd.*
+ 19c1a: 00b2.*
+ 19c1b: 71c5.*
+ 19c1c: 00b3.*
+ 19c1d: 71cd.*
+ 19c1e: 00b4.*
+ 19c1f: 71d5.*
+ 19c20: 00b5.*
+ 19c21: 71dd.*
+ 19c22: 00b6.*
+ 19c23: 71e5.*
+ 19c24: 3384.*
+ 19c25: 00b7.*
+ 19c26: 71ed.*
+ 19c27: 3385.*
+ 19c28: 00b8.*
+ 19c29: 71f5.*
+ 19c2a: 3386.*
+ 19c2b: 00b9.*
+ 19c2c: 71f8.*
+ 19c2d: 3387.*
+ 19c2e: 00ba.*
+ 19c2f: 7186.*
+ 19c30: 00bb.*
+ 19c31: 718e.*
+ 19c32: 00bc.*
+ 19c33: 7196.*
+ 19c34: 00bd.*
+ 19c35: 71a6.*
+ 19c36: 00be.*
+ 19c37: 71ae.*
+ 19c38: 00bf.*
+ 19c39: 71b6.*
+ 19c3a: 00c0.*
+ 19c3b: 71be.*
+ 19c3c: 00c1.*
+ 19c3d: 71c6.*
+ 19c3e: 00c2.*
+ 19c3f: 71ce.*
+ 19c40: 00c3.*
+ 19c41: 71d6.*
+ 19c42: 00c4.*
+ 19c43: 71de.*
+ 19c44: 00c5.*
+ 19c45: 71e6.*
+ 19c46: 3388.*
+ 19c47: 00c6.*
+ 19c48: 71ee.*
+ 19c49: 3389.*
+ 19c4a: 00c7.*
+ 19c4b: 71f6.*
+ 19c4c: 338a.*
+ 19c4d: 00c8.*
+ 19c4e: 71f8.*
+ 19c4f: 338b.*
+ 19c50: 00c9.*
+ 19c51: 7187.*
+ 19c52: 00ca.*
+ 19c53: 718f.*
+ 19c54: 00cb.*
+ 19c55: 7197.*
+ 19c56: 00cc.*
+ 19c57: 71a7.*
+ 19c58: 00cd.*
+ 19c59: 71af.*
+ 19c5a: 00ce.*
+ 19c5b: 71b7.*
+ 19c5c: 00cf.*
+ 19c5d: 71bf.*
+ 19c5e: 00d0.*
+ 19c5f: 71c7.*
+ 19c60: 00d1.*
+ 19c61: 71cf.*
+ 19c62: 00d2.*
+ 19c63: 71d7.*
+ 19c64: 00d3.*
+ 19c65: 71df.*
+ 19c66: 00d4.*
+ 19c67: 71e7.*
+ 19c68: 338c.*
+ 19c69: 00d5.*
+ 19c6a: 71ef.*
+ 19c6b: 338d.*
+ 19c6c: 00d6.*
+ 19c6d: 71f7.*
+ 19c6e: 338e.*
+ 19c6f: 00d7.*
+ 19c70: 71f8.*
+ 19c71: 338f.*
+ 19c72: 00d8.*
+ 19c73: 7267.*
+ 19c74: 00d9.*
+ 19c75: 7280.*
+ 19c76: 00da.*
+ 19c77: 7288.*
+ 19c78: 00db.*
+ 19c79: 7290.*
+ 19c7a: 00dc.*
+ 19c7b: 72a0.*
+ 19c7c: 00dd.*
+ 19c7d: 72a8.*
+ 19c7e: 00de.*
+ 19c7f: 72b0.*
+ 19c80: 00df.*
+ 19c81: 72b8.*
+ 19c82: 00e0.*
+ 19c83: 72c0.*
+ 19c84: 00e1.*
+ 19c85: 72c8.*
+ 19c86: 00e2.*
+ 19c87: 72d0.*
+ 19c88: 00e3.*
+ 19c89: 72d8.*
+ 19c8a: 00e4.*
+ 19c8b: 7281.*
+ 19c8c: 00e5.*
+ 19c8d: 7289.*
+ 19c8e: 00e6.*
+ 19c8f: 7291.*
+ 19c90: 00e7.*
+ 19c91: 72a1.*
+ 19c92: 00e8.*
+ 19c93: 72a9.*
+ 19c94: 00e9.*
+ 19c95: 72b1.*
+ 19c96: 00ea.*
+ 19c97: 72b9.*
+ 19c98: 00eb.*
+ 19c99: 72c1.*
+ 19c9a: 00ec.*
+ 19c9b: 72c9.*
+ 19c9c: 00ed.*
+ 19c9d: 72d1.*
+ 19c9e: 00ee.*
+ 19c9f: 72d9.*
+ 19ca0: 00ef.*
+ 19ca1: 7282.*
+ 19ca2: 00f0.*
+ 19ca3: 728a.*
+ 19ca4: 00f1.*
+ 19ca5: 7292.*
+ 19ca6: 00f2.*
+ 19ca7: 72a2.*
+ 19ca8: 00f3.*
+ 19ca9: 72aa.*
+ 19caa: 00f4.*
+ 19cab: 72b2.*
+ 19cac: 00f5.*
+ 19cad: 72ba.*
+ 19cae: 00f6.*
+ 19caf: 72c2.*
+ 19cb0: 00f7.*
+ 19cb1: 72ca.*
+ 19cb2: 00f8.*
+ 19cb3: 72d2.*
+ 19cb4: 00f9.*
+ 19cb5: 72da.*
+ 19cb6: 00fa.*
+ 19cb7: 7283.*
+ 19cb8: 00fb.*
+ 19cb9: 728b.*
+ 19cba: 00fc.*
+ 19cbb: 7293.*
+ 19cbc: 00fd.*
+ 19cbd: 72a3.*
+ 19cbe: 00fe.*
+ 19cbf: 72ab.*
+ 19cc0: 00ff.*
+ 19cc1: 72b3.*
+ 19cc2: 0100.*
+ 19cc3: 72bb.*
+ 19cc4: 0101.*
+ 19cc5: 72c3.*
+ 19cc6: 0102.*
+ 19cc7: 72cb.*
+ 19cc8: 0103.*
+ 19cc9: 72d3.*
+ 19cca: 0104.*
+ 19ccb: 72db.*
+ 19ccc: 0105.*
+ 19ccd: 7284.*
+ 19cce: 0106.*
+ 19ccf: 728c.*
+ 19cd0: 0107.*
+ 19cd1: 7294.*
+ 19cd2: 0108.*
+ 19cd3: 72a4.*
+ 19cd4: 0109.*
+ 19cd5: 72ac.*
+ 19cd6: 010a.*
+ 19cd7: 72b4.*
+ 19cd8: 010b.*
+ 19cd9: 72bc.*
+ 19cda: 010c.*
+ 19cdb: 72c4.*
+ 19cdc: 010d.*
+ 19cdd: 72cc.*
+ 19cde: 010e.*
+ 19cdf: 72d4.*
+ 19ce0: 010f.*
+ 19ce1: 72dc.*
+ 19ce2: 0110.*
+ 19ce3: 7285.*
+ 19ce4: 0111.*
+ 19ce5: 728d.*
+ 19ce6: 0112.*
+ 19ce7: 7295.*
+ 19ce8: 0113.*
+ 19ce9: 72a5.*
+ 19cea: 0114.*
+ 19ceb: 72ad.*
+ 19cec: 0115.*
+ 19ced: 72b5.*
+ 19cee: 0116.*
+ 19cef: 72bd.*
+ 19cf0: 0117.*
+ 19cf1: 72c5.*
+ 19cf2: 0118.*
+ 19cf3: 72cd.*
+ 19cf4: 0119.*
+ 19cf5: 72d5.*
+ 19cf6: 011a.*
+ 19cf7: 72dd.*
+ 19cf8: 011b.*
+ 19cf9: 7286.*
+ 19cfa: 011c.*
+ 19cfb: 728e.*
+ 19cfc: 011d.*
+ 19cfd: 7296.*
+ 19cfe: 011e.*
+ 19cff: 72a6.*
+ 19d00: 011f.*
+ 19d01: 72ae.*
+ 19d02: 0120.*
+ 19d03: 72b6.*
+ 19d04: 0121.*
+ 19d05: 72be.*
+ 19d06: 0122.*
+ 19d07: 72c6.*
+ 19d08: 0123.*
+ 19d09: 72ce.*
+ 19d0a: 0124.*
+ 19d0b: 72d6.*
+ 19d0c: 0125.*
+ 19d0d: 72de.*
+ 19d0e: 0126.*
+ 19d0f: 7287.*
+ 19d10: 0127.*
+ 19d11: 728f.*
+ 19d12: 0128.*
+ 19d13: 7297.*
+ 19d14: 0129.*
+ 19d15: 72a7.*
+ 19d16: 012a.*
+ 19d17: 72af.*
+ 19d18: 012b.*
+ 19d19: 72b7.*
+ 19d1a: 012c.*
+ 19d1b: 72bf.*
+ 19d1c: 012d.*
+ 19d1d: 72c7.*
+ 19d1e: 012e.*
+ 19d1f: 72cf.*
+ 19d20: 012f.*
+ 19d21: 72d7.*
+ 19d22: 0130.*
+ 19d23: 72df.*
+ 19d24: 0131.*
+ 19d25: 7d68.*
+ 19d26: 06b4.*
+ 19d27: 7d80.*
+ 19d28: 06b5.*
+ 19d29: 7d88.*
+ 19d2a: 06b6.*
+ 19d2b: 7d90.*
+ 19d2c: 06b7.*
+ 19d2d: 7da0.*
+ 19d2e: 06b8.*
+ 19d2f: 7da8.*
+ 19d30: 06b9.*
+ 19d31: 7db0.*
+ 19d32: 06ba.*
+ 19d33: 7db8.*
+ 19d34: 06bb.*
+ 19d35: 7dc0.*
+ 19d36: 06bc.*
+ 19d37: 7dc8.*
+ 19d38: 06bd.*
+ 19d39: 7dd0.*
+ 19d3a: 06be.*
+ 19d3b: 7dd8.*
+ 19d3c: 06bf.*
+ 19d3d: 7de0.*
+ 19d3e: 3390.*
+ 19d3f: 06c0.*
+ 19d40: 7de8.*
+ 19d41: 3391.*
+ 19d42: 06c1.*
+ 19d43: 7df0.*
+ 19d44: 3392.*
+ 19d45: 06c2.*
+ 19d46: 7df8.*
+ 19d47: 3393.*
+ 19d48: 06c3.*
+ 19d49: 7d81.*
+ 19d4a: 06c4.*
+ 19d4b: 7d89.*
+ 19d4c: 06c5.*
+ 19d4d: 7d91.*
+ 19d4e: 06c6.*
+ 19d4f: 7da1.*
+ 19d50: 06c7.*
+ 19d51: 7da9.*
+ 19d52: 06c8.*
+ 19d53: 7db1.*
+ 19d54: 06c9.*
+ 19d55: 7db9.*
+ 19d56: 06ca.*
+ 19d57: 7dc1.*
+ 19d58: 06cb.*
+ 19d59: 7dc9.*
+ 19d5a: 06cc.*
+ 19d5b: 7dd1.*
+ 19d5c: 06cd.*
+ 19d5d: 7dd9.*
+ 19d5e: 06ce.*
+ 19d5f: 7de1.*
+ 19d60: 3394.*
+ 19d61: 06cf.*
+ 19d62: 7de9.*
+ 19d63: 3395.*
+ 19d64: 06d0.*
+ 19d65: 7df1.*
+ 19d66: 3396.*
+ 19d67: 06d1.*
+ 19d68: 7df8.*
+ 19d69: 3397.*
+ 19d6a: 06d2.*
+ 19d6b: 7d82.*
+ 19d6c: 06d3.*
+ 19d6d: 7d8a.*
+ 19d6e: 06d4.*
+ 19d6f: 7d92.*
+ 19d70: 06d5.*
+ 19d71: 7da2.*
+ 19d72: 06d6.*
+ 19d73: 7daa.*
+ 19d74: 06d7.*
+ 19d75: 7db2.*
+ 19d76: 06d8.*
+ 19d77: 7dba.*
+ 19d78: 06d9.*
+ 19d79: 7dc2.*
+ 19d7a: 06da.*
+ 19d7b: 7dca.*
+ 19d7c: 06db.*
+ 19d7d: 7dd2.*
+ 19d7e: 06dc.*
+ 19d7f: 7dda.*
+ 19d80: 06dd.*
+ 19d81: 7de2.*
+ 19d82: 3398.*
+ 19d83: 06de.*
+ 19d84: 7dea.*
+ 19d85: 3399.*
+ 19d86: 06df.*
+ 19d87: 7df2.*
+ 19d88: 339a.*
+ 19d89: 06e0.*
+ 19d8a: 7df8.*
+ 19d8b: 339b.*
+ 19d8c: 06e1.*
+ 19d8d: 7d83.*
+ 19d8e: 06e2.*
+ 19d8f: 7d8b.*
+ 19d90: 06e3.*
+ 19d91: 7d93.*
+ 19d92: 06e4.*
+ 19d93: 7da3.*
+ 19d94: 06e5.*
+ 19d95: 7dab.*
+ 19d96: 06e6.*
+ 19d97: 7db3.*
+ 19d98: 06e7.*
+ 19d99: 7dbb.*
+ 19d9a: 06e8.*
+ 19d9b: 7dc3.*
+ 19d9c: 06e9.*
+ 19d9d: 7dcb.*
+ 19d9e: 06ea.*
+ 19d9f: 7dd3.*
+ 19da0: 06eb.*
+ 19da1: 7ddb.*
+ 19da2: 06ec.*
+ 19da3: 7de3.*
+ 19da4: 339c.*
+ 19da5: 06ed.*
+ 19da6: 7deb.*
+ 19da7: 339d.*
+ 19da8: 06ee.*
+ 19da9: 7df3.*
+ 19daa: 339e.*
+ 19dab: 06ef.*
+ 19dac: 7df8.*
+ 19dad: 339f.*
+ 19dae: 06f0.*
+ 19daf: 7d84.*
+ 19db0: 06f1.*
+ 19db1: 7d8c.*
+ 19db2: 06f2.*
+ 19db3: 7d94.*
+ 19db4: 06f3.*
+ 19db5: 7da4.*
+ 19db6: 06f4.*
+ 19db7: 7dac.*
+ 19db8: 06f5.*
+ 19db9: 7db4.*
+ 19dba: 06f6.*
+ 19dbb: 7dbc.*
+ 19dbc: 06f7.*
+ 19dbd: 7dc4.*
+ 19dbe: 06f8.*
+ 19dbf: 7dcc.*
+ 19dc0: 06f9.*
+ 19dc1: 7dd4.*
+ 19dc2: 06fa.*
+ 19dc3: 7ddc.*
+ 19dc4: 06fb.*
+ 19dc5: 7de4.*
+ 19dc6: 33a0.*
+ 19dc7: 06fc.*
+ 19dc8: 7dec.*
+ 19dc9: 33a1.*
+ 19dca: 06fd.*
+ 19dcb: 7df4.*
+ 19dcc: 33a2.*
+ 19dcd: 06fe.*
+ 19dce: 7df8.*
+ 19dcf: 33a3.*
+ 19dd0: 06ff.*
+ 19dd1: 7d85.*
+ 19dd2: 0700.*
+ 19dd3: 7d8d.*
+ 19dd4: 0701.*
+ 19dd5: 7d95.*
+ 19dd6: 0702.*
+ 19dd7: 7da5.*
+ 19dd8: 0703.*
+ 19dd9: 7dad.*
+ 19dda: 0704.*
+ 19ddb: 7db5.*
+ 19ddc: 0705.*
+ 19ddd: 7dbd.*
+ 19dde: 0706.*
+ 19ddf: 7dc5.*
+ 19de0: 0707.*
+ 19de1: 7dcd.*
+ 19de2: 0708.*
+ 19de3: 7dd5.*
+ 19de4: 0709.*
+ 19de5: 7ddd.*
+ 19de6: 070a.*
+ 19de7: 7de5.*
+ 19de8: 33a4.*
+ 19de9: 070b.*
+ 19dea: 7ded.*
+ 19deb: 33a5.*
+ 19dec: 070c.*
+ 19ded: 7df5.*
+ 19dee: 33a6.*
+ 19def: 070d.*
+ 19df0: 7df8.*
+ 19df1: 33a7.*
+ 19df2: 070e.*
+ 19df3: 7d86.*
+ 19df4: 070f.*
+ 19df5: 7d8e.*
+ 19df6: 0710.*
+ 19df7: 7d96.*
+ 19df8: 0711.*
+ 19df9: 7da6.*
+ 19dfa: 0712.*
+ 19dfb: 7dae.*
+ 19dfc: 0713.*
+ 19dfd: 7db6.*
+ 19dfe: 0714.*
+ 19dff: 7dbe.*
+ 19e00: 0715.*
+ 19e01: 7dc6.*
+ 19e02: 0716.*
+ 19e03: 7dce.*
+ 19e04: 0717.*
+ 19e05: 7dd6.*
+ 19e06: 0718.*
+ 19e07: 7dde.*
+ 19e08: 0719.*
+ 19e09: 7de6.*
+ 19e0a: 33a8.*
+ 19e0b: 071a.*
+ 19e0c: 7dee.*
+ 19e0d: 33a9.*
+ 19e0e: 071b.*
+ 19e0f: 7df6.*
+ 19e10: 33aa.*
+ 19e11: 071c.*
+ 19e12: 7df8.*
+ 19e13: 33ab.*
+ 19e14: 071d.*
+ 19e15: 7d87.*
+ 19e16: 071e.*
+ 19e17: 7d8f.*
+ 19e18: 071f.*
+ 19e19: 7d97.*
+ 19e1a: 0720.*
+ 19e1b: 7da7.*
+ 19e1c: 0721.*
+ 19e1d: 7daf.*
+ 19e1e: 0722.*
+ 19e1f: 7db7.*
+ 19e20: 0723.*
+ 19e21: 7dbf.*
+ 19e22: 0724.*
+ 19e23: 7dc7.*
+ 19e24: 0725.*
+ 19e25: 7dcf.*
+ 19e26: 0726.*
+ 19e27: 7dd7.*
+ 19e28: 0727.*
+ 19e29: 7ddf.*
+ 19e2a: 0728.*
+ 19e2b: 7de7.*
+ 19e2c: 33ac.*
+ 19e2d: 0729.*
+ 19e2e: 7def.*
+ 19e2f: 33ad.*
+ 19e30: 072a.*
+ 19e31: 7df7.*
+ 19e32: 33ae.*
+ 19e33: 072b.*
+ 19e34: 7df8.*
+ 19e35: 33af.*
+ 19e36: 072c.*
+ 19e37: 7069.*
+ 19e38: 0132.*
+ 19e39: 7080.*
+ 19e3a: 0133.*
+ 19e3b: 7088.*
+ 19e3c: 0134.*
+ 19e3d: 7090.*
+ 19e3e: 0135.*
+ 19e3f: 7098.*
+ 19e40: 0136.*
+ 19e41: 70a0.*
+ 19e42: 0137.*
+ 19e43: 70a8.*
+ 19e44: 0138.*
+ 19e45: 70b0.*
+ 19e46: 0139.*
+ 19e47: 70b8.*
+ 19e48: 013a.*
+ 19e49: 70c0.*
+ 19e4a: 013b.*
+ 19e4b: 70c8.*
+ 19e4c: 013c.*
+ 19e4d: 70d0.*
+ 19e4e: 013d.*
+ 19e4f: 70d8.*
+ 19e50: 013e.*
+ 19e51: 70e0.*
+ 19e52: 33b0.*
+ 19e53: 013f.*
+ 19e54: 70e8.*
+ 19e55: 33b1.*
+ 19e56: 0140.*
+ 19e57: 70f0.*
+ 19e58: 33b2.*
+ 19e59: 0141.*
+ 19e5a: 70f8.*
+ 19e5b: 33b3.*
+ 19e5c: 0142.*
+ 19e5d: 7081.*
+ 19e5e: 0143.*
+ 19e5f: 7089.*
+ 19e60: 0144.*
+ 19e61: 7091.*
+ 19e62: 0145.*
+ 19e63: 7099.*
+ 19e64: 0146.*
+ 19e65: 70a1.*
+ 19e66: 0147.*
+ 19e67: 70a9.*
+ 19e68: 0148.*
+ 19e69: 70b1.*
+ 19e6a: 0149.*
+ 19e6b: 70b9.*
+ 19e6c: 014a.*
+ 19e6d: 70c1.*
+ 19e6e: 014b.*
+ 19e6f: 70c9.*
+ 19e70: 014c.*
+ 19e71: 70d1.*
+ 19e72: 014d.*
+ 19e73: 70d9.*
+ 19e74: 014e.*
+ 19e75: 70e1.*
+ 19e76: 33b4.*
+ 19e77: 014f.*
+ 19e78: 70e9.*
+ 19e79: 33b5.*
+ 19e7a: 0150.*
+ 19e7b: 70f1.*
+ 19e7c: 33b6.*
+ 19e7d: 0151.*
+ 19e7e: 70f8.*
+ 19e7f: 33b7.*
+ 19e80: 0152.*
+ 19e81: 7082.*
+ 19e82: 0153.*
+ 19e83: 708a.*
+ 19e84: 0154.*
+ 19e85: 7092.*
+ 19e86: 0155.*
+ 19e87: 709a.*
+ 19e88: 0156.*
+ 19e89: 70a2.*
+ 19e8a: 0157.*
+ 19e8b: 70aa.*
+ 19e8c: 0158.*
+ 19e8d: 70b2.*
+ 19e8e: 0159.*
+ 19e8f: 70ba.*
+ 19e90: 015a.*
+ 19e91: 70c2.*
+ 19e92: 015b.*
+ 19e93: 70ca.*
+ 19e94: 015c.*
+ 19e95: 70d2.*
+ 19e96: 015d.*
+ 19e97: 70da.*
+ 19e98: 015e.*
+ 19e99: 70e2.*
+ 19e9a: 33b8.*
+ 19e9b: 015f.*
+ 19e9c: 70ea.*
+ 19e9d: 33b9.*
+ 19e9e: 0160.*
+ 19e9f: 70f2.*
+ 19ea0: 33ba.*
+ 19ea1: 0161.*
+ 19ea2: 70f8.*
+ 19ea3: 33bb.*
+ 19ea4: 0162.*
+ 19ea5: 7083.*
+ 19ea6: 0163.*
+ 19ea7: 708b.*
+ 19ea8: 0164.*
+ 19ea9: 7093.*
+ 19eaa: 0165.*
+ 19eab: 709b.*
+ 19eac: 0166.*
+ 19ead: 70a3.*
+ 19eae: 0167.*
+ 19eaf: 70ab.*
+ 19eb0: 0168.*
+ 19eb1: 70b3.*
+ 19eb2: 0169.*
+ 19eb3: 70bb.*
+ 19eb4: 016a.*
+ 19eb5: 70c3.*
+ 19eb6: 016b.*
+ 19eb7: 70cb.*
+ 19eb8: 016c.*
+ 19eb9: 70d3.*
+ 19eba: 016d.*
+ 19ebb: 70db.*
+ 19ebc: 016e.*
+ 19ebd: 70e3.*
+ 19ebe: 33bc.*
+ 19ebf: 016f.*
+ 19ec0: 70eb.*
+ 19ec1: 33bd.*
+ 19ec2: 0170.*
+ 19ec3: 70f3.*
+ 19ec4: 33be.*
+ 19ec5: 0171.*
+ 19ec6: 70f8.*
+ 19ec7: 33bf.*
+ 19ec8: 0172.*
+ 19ec9: 7084.*
+ 19eca: 0173.*
+ 19ecb: 708c.*
+ 19ecc: 0174.*
+ 19ecd: 7094.*
+ 19ece: 0175.*
+ 19ecf: 709c.*
+ 19ed0: 0176.*
+ 19ed1: 70a4.*
+ 19ed2: 0177.*
+ 19ed3: 70ac.*
+ 19ed4: 0178.*
+ 19ed5: 70b4.*
+ 19ed6: 0179.*
+ 19ed7: 70bc.*
+ 19ed8: 017a.*
+ 19ed9: 70c4.*
+ 19eda: 017b.*
+ 19edb: 70cc.*
+ 19edc: 017c.*
+ 19edd: 70d4.*
+ 19ede: 017d.*
+ 19edf: 70dc.*
+ 19ee0: 017e.*
+ 19ee1: 70e4.*
+ 19ee2: 33c0.*
+ 19ee3: 017f.*
+ 19ee4: 70ec.*
+ 19ee5: 33c1.*
+ 19ee6: 0180.*
+ 19ee7: 70f4.*
+ 19ee8: 33c2.*
+ 19ee9: 0181.*
+ 19eea: 70f8.*
+ 19eeb: 33c3.*
+ 19eec: 0182.*
+ 19eed: 7085.*
+ 19eee: 0183.*
+ 19eef: 708d.*
+ 19ef0: 0184.*
+ 19ef1: 7095.*
+ 19ef2: 0185.*
+ 19ef3: 709d.*
+ 19ef4: 0186.*
+ 19ef5: 70a5.*
+ 19ef6: 0187.*
+ 19ef7: 70ad.*
+ 19ef8: 0188.*
+ 19ef9: 70b5.*
+ 19efa: 0189.*
+ 19efb: 70bd.*
+ 19efc: 018a.*
+ 19efd: 70c5.*
+ 19efe: 018b.*
+ 19eff: 70cd.*
+ 19f00: 018c.*
+ 19f01: 70d5.*
+ 19f02: 018d.*
+ 19f03: 70dd.*
+ 19f04: 018e.*
+ 19f05: 70e5.*
+ 19f06: 33c4.*
+ 19f07: 018f.*
+ 19f08: 70ed.*
+ 19f09: 33c5.*
+ 19f0a: 0190.*
+ 19f0b: 70f5.*
+ 19f0c: 33c6.*
+ 19f0d: 0191.*
+ 19f0e: 70f8.*
+ 19f0f: 33c7.*
+ 19f10: 0192.*
+ 19f11: 7086.*
+ 19f12: 0193.*
+ 19f13: 708e.*
+ 19f14: 0194.*
+ 19f15: 7096.*
+ 19f16: 0195.*
+ 19f17: 709e.*
+ 19f18: 0196.*
+ 19f19: 70a6.*
+ 19f1a: 0197.*
+ 19f1b: 70ae.*
+ 19f1c: 0198.*
+ 19f1d: 70b6.*
+ 19f1e: 0199.*
+ 19f1f: 70be.*
+ 19f20: 019a.*
+ 19f21: 70c6.*
+ 19f22: 019b.*
+ 19f23: 70ce.*
+ 19f24: 019c.*
+ 19f25: 70d6.*
+ 19f26: 019d.*
+ 19f27: 70de.*
+ 19f28: 019e.*
+ 19f29: 70e6.*
+ 19f2a: 33c8.*
+ 19f2b: 019f.*
+ 19f2c: 70ee.*
+ 19f2d: 33c9.*
+ 19f2e: 01a0.*
+ 19f2f: 70f6.*
+ 19f30: 33ca.*
+ 19f31: 01a1.*
+ 19f32: 70f8.*
+ 19f33: 33cb.*
+ 19f34: 01a2.*
+ 19f35: 7087.*
+ 19f36: 01a3.*
+ 19f37: 708f.*
+ 19f38: 01a4.*
+ 19f39: 7097.*
+ 19f3a: 01a5.*
+ 19f3b: 709f.*
+ 19f3c: 01a6.*
+ 19f3d: 70a7.*
+ 19f3e: 01a7.*
+ 19f3f: 70af.*
+ 19f40: 01a8.*
+ 19f41: 70b7.*
+ 19f42: 01a9.*
+ 19f43: 70bf.*
+ 19f44: 01aa.*
+ 19f45: 70c7.*
+ 19f46: 01ab.*
+ 19f47: 70cf.*
+ 19f48: 01ac.*
+ 19f49: 70d7.*
+ 19f4a: 01ad.*
+ 19f4b: 70df.*
+ 19f4c: 01ae.*
+ 19f4d: 70e7.*
+ 19f4e: 33cc.*
+ 19f4f: 01af.*
+ 19f50: 70ef.*
+ 19f51: 33cd.*
+ 19f52: 01b0.*
+ 19f53: 70f7.*
+ 19f54: 33ce.*
+ 19f55: 01b1.*
+ 19f56: 70f8.*
+ 19f57: 33cf.*
+ 19f58: 01b2.*
+ 19f59: 736a.*
+ 19f5a: 01b3.*
+ 19f5b: 7380.*
+ 19f5c: 01b4.*
+ 19f5d: 7388.*
+ 19f5e: 01b5.*
+ 19f5f: 7390.*
+ 19f60: 01b6.*
+ 19f61: 73a0.*
+ 19f62: 01b7.*
+ 19f63: 73a8.*
+ 19f64: 01b8.*
+ 19f65: 73b0.*
+ 19f66: 01b9.*
+ 19f67: 73b8.*
+ 19f68: 01ba.*
+ 19f69: 73c0.*
+ 19f6a: 01bb.*
+ 19f6b: 73c8.*
+ 19f6c: 01bc.*
+ 19f6d: 73d0.*
+ 19f6e: 01bd.*
+ 19f6f: 73d8.*
+ 19f70: 01be.*
+ 19f71: 7381.*
+ 19f72: 01bf.*
+ 19f73: 7389.*
+ 19f74: 01c0.*
+ 19f75: 7391.*
+ 19f76: 01c1.*
+ 19f77: 73a1.*
+ 19f78: 01c2.*
+ 19f79: 73a9.*
+ 19f7a: 01c3.*
+ 19f7b: 73b1.*
+ 19f7c: 01c4.*
+ 19f7d: 73b9.*
+ 19f7e: 01c5.*
+ 19f7f: 73c1.*
+ 19f80: 01c6.*
+ 19f81: 73c9.*
+ 19f82: 01c7.*
+ 19f83: 73d1.*
+ 19f84: 01c8.*
+ 19f85: 73d9.*
+ 19f86: 01c9.*
+ 19f87: 7382.*
+ 19f88: 01ca.*
+ 19f89: 738a.*
+ 19f8a: 01cb.*
+ 19f8b: 7392.*
+ 19f8c: 01cc.*
+ 19f8d: 73a2.*
+ 19f8e: 01cd.*
+ 19f8f: 73aa.*
+ 19f90: 01ce.*
+ 19f91: 73b2.*
+ 19f92: 01cf.*
+ 19f93: 73ba.*
+ 19f94: 01d0.*
+ 19f95: 73c2.*
+ 19f96: 01d1.*
+ 19f97: 73ca.*
+ 19f98: 01d2.*
+ 19f99: 73d2.*
+ 19f9a: 01d3.*
+ 19f9b: 73da.*
+ 19f9c: 01d4.*
+ 19f9d: 7383.*
+ 19f9e: 01d5.*
+ 19f9f: 738b.*
+ 19fa0: 01d6.*
+ 19fa1: 7393.*
+ 19fa2: 01d7.*
+ 19fa3: 73a3.*
+ 19fa4: 01d8.*
+ 19fa5: 73ab.*
+ 19fa6: 01d9.*
+ 19fa7: 73b3.*
+ 19fa8: 01da.*
+ 19fa9: 73bb.*
+ 19faa: 01db.*
+ 19fab: 73c3.*
+ 19fac: 01dc.*
+ 19fad: 73cb.*
+ 19fae: 01dd.*
+ 19faf: 73d3.*
+ 19fb0: 01de.*
+ 19fb1: 73db.*
+ 19fb2: 01df.*
+ 19fb3: 7384.*
+ 19fb4: 01e0.*
+ 19fb5: 738c.*
+ 19fb6: 01e1.*
+ 19fb7: 7394.*
+ 19fb8: 01e2.*
+ 19fb9: 73a4.*
+ 19fba: 01e3.*
+ 19fbb: 73ac.*
+ 19fbc: 01e4.*
+ 19fbd: 73b4.*
+ 19fbe: 01e5.*
+ 19fbf: 73bc.*
+ 19fc0: 01e6.*
+ 19fc1: 73c4.*
+ 19fc2: 01e7.*
+ 19fc3: 73cc.*
+ 19fc4: 01e8.*
+ 19fc5: 73d4.*
+ 19fc6: 01e9.*
+ 19fc7: 73dc.*
+ 19fc8: 01ea.*
+ 19fc9: 7385.*
+ 19fca: 01eb.*
+ 19fcb: 738d.*
+ 19fcc: 01ec.*
+ 19fcd: 7395.*
+ 19fce: 01ed.*
+ 19fcf: 73a5.*
+ 19fd0: 01ee.*
+ 19fd1: 73ad.*
+ 19fd2: 01ef.*
+ 19fd3: 73b5.*
+ 19fd4: 01f0.*
+ 19fd5: 73bd.*
+ 19fd6: 01f1.*
+ 19fd7: 73c5.*
+ 19fd8: 01f2.*
+ 19fd9: 73cd.*
+ 19fda: 01f3.*
+ 19fdb: 73d5.*
+ 19fdc: 01f4.*
+ 19fdd: 73dd.*
+ 19fde: 01f5.*
+ 19fdf: 7386.*
+ 19fe0: 01f6.*
+ 19fe1: 738e.*
+ 19fe2: 01f7.*
+ 19fe3: 7396.*
+ 19fe4: 01f8.*
+ 19fe5: 73a6.*
+ 19fe6: 01f9.*
+ 19fe7: 73ae.*
+ 19fe8: 01fa.*
+ 19fe9: 73b6.*
+ 19fea: 01fb.*
+ 19feb: 73be.*
+ 19fec: 01fc.*
+ 19fed: 73c6.*
+ 19fee: 01fd.*
+ 19fef: 73ce.*
+ 19ff0: 01fe.*
+ 19ff1: 73d6.*
+ 19ff2: 01ff.*
+ 19ff3: 73de.*
+ 19ff4: 0200.*
+ 19ff5: 7387.*
+ 19ff6: 0201.*
+ 19ff7: 738f.*
+ 19ff8: 0202.*
+ 19ff9: 7397.*
+ 19ffa: 0203.*
+ 19ffb: 73a7.*
+ 19ffc: 0204.*
+ 19ffd: 73af.*
+ 19ffe: 0205.*
+ 19fff: 73b7.*
+ 1a000: 0206.*
+ 1a001: 73bf.*
+ 1a002: 0207.*
+ 1a003: 73c7.*
+ 1a004: 0208.*
+ 1a005: 73cf.*
+ 1a006: 0209.*
+ 1a007: 73d7.*
+ 1a008: 020a.*
+ 1a009: 73df.*
+ 1a00a: 020b.*
+ 1a00b: e788.*
+ 1a00c: e780.*
+ 1a00d: e781.*
+ 1a00e: e782.*
+ 1a00f: e783.*
+ 1a010: e784.*
+ 1a011: e785.*
+ 1a012: e786.*
+ 1a013: e787.*
+ 1a014: e708.*
+ 1a015: e700.*
+ 1a016: e701.*
+ 1a017: e702.*
+ 1a018: e703.*
+ 1a019: e704.*
+ 1a01a: e705.*
+ 1a01b: e706.*
+ 1a01c: e707.*
+ 1a01d: e718.*
+ 1a01e: e710.*
+ 1a01f: e711.*
+ 1a020: e712.*
+ 1a021: e713.*
+ 1a022: e714.*
+ 1a023: e715.*
+ 1a024: e716.*
+ 1a025: e717.*
+ 1a026: e728.*
+ 1a027: e720.*
+ 1a028: e721.*
+ 1a029: e722.*
+ 1a02a: e723.*
+ 1a02b: e724.*
+ 1a02c: e725.*
+ 1a02d: e726.*
+ 1a02e: e727.*
+ 1a02f: e738.*
+ 1a030: e730.*
+ 1a031: e731.*
+ 1a032: e732.*
+ 1a033: e733.*
+ 1a034: e734.*
+ 1a035: e735.*
+ 1a036: e736.*
+ 1a037: e737.*
+ 1a038: e748.*
+ 1a039: e740.*
+ 1a03a: e741.*
+ 1a03b: e742.*
+ 1a03c: e743.*
+ 1a03d: e744.*
+ 1a03e: e745.*
+ 1a03f: e746.*
+ 1a040: e747.*
+ 1a041: e758.*
+ 1a042: e750.*
+ 1a043: e751.*
+ 1a044: e752.*
+ 1a045: e753.*
+ 1a046: e754.*
+ 1a047: e755.*
+ 1a048: e756.*
+ 1a049: e757.*
+ 1a04a: e768.*
+ 1a04b: e760.*
+ 1a04c: e761.*
+ 1a04d: e762.*
+ 1a04e: e763.*
+ 1a04f: e764.*
+ 1a050: e765.*
+ 1a051: e766.*
+ 1a052: e767.*
+ 1a053: e778.*
+ 1a054: e770.*
+ 1a055: e771.*
+ 1a056: e772.*
+ 1a057: e773.*
+ 1a058: e774.*
+ 1a059: e775.*
+ 1a05a: e776.*
+ 1a05b: e777.*
+ 1a05c: 7c6b.*
+ 1a05d: 072d.*
+ 1a05e: 7c80.*
+ 1a05f: 072e.*
+ 1a060: 7c88.*
+ 1a061: 072f.*
+ 1a062: 7c90.*
+ 1a063: 0730.*
+ 1a064: 7c98.*
+ 1a065: 0731.*
+ 1a066: 7ca0.*
+ 1a067: 0732.*
+ 1a068: 7ca8.*
+ 1a069: 0733.*
+ 1a06a: 7cb0.*
+ 1a06b: 0734.*
+ 1a06c: 7cb8.*
+ 1a06d: 0735.*
+ 1a06e: 7cc0.*
+ 1a06f: 0736.*
+ 1a070: 7cc8.*
+ 1a071: 0737.*
+ 1a072: 7cd0.*
+ 1a073: 0738.*
+ 1a074: 7cd8.*
+ 1a075: 0739.*
+ 1a076: 7ce0.*
+ 1a077: 33d0.*
+ 1a078: 073a.*
+ 1a079: 7ce8.*
+ 1a07a: 33d1.*
+ 1a07b: 073b.*
+ 1a07c: 7cf0.*
+ 1a07d: 33d2.*
+ 1a07e: 073c.*
+ 1a07f: 7cf8.*
+ 1a080: 33d3.*
+ 1a081: 073d.*
+ 1a082: 7c81.*
+ 1a083: 073e.*
+ 1a084: 7c89.*
+ 1a085: 073f.*
+ 1a086: 7c91.*
+ 1a087: 0740.*
+ 1a088: 7c99.*
+ 1a089: 0741.*
+ 1a08a: 7ca1.*
+ 1a08b: 0742.*
+ 1a08c: 7ca9.*
+ 1a08d: 0743.*
+ 1a08e: 7cb1.*
+ 1a08f: 0744.*
+ 1a090: 7cb9.*
+ 1a091: 0745.*
+ 1a092: 7cc1.*
+ 1a093: 0746.*
+ 1a094: 7cc9.*
+ 1a095: 0747.*
+ 1a096: 7cd1.*
+ 1a097: 0748.*
+ 1a098: 7cd9.*
+ 1a099: 0749.*
+ 1a09a: 7ce1.*
+ 1a09b: 33d4.*
+ 1a09c: 074a.*
+ 1a09d: 7ce9.*
+ 1a09e: 33d5.*
+ 1a09f: 074b.*
+ 1a0a0: 7cf1.*
+ 1a0a1: 33d6.*
+ 1a0a2: 074c.*
+ 1a0a3: 7cf8.*
+ 1a0a4: 33d7.*
+ 1a0a5: 074d.*
+ 1a0a6: 7c82.*
+ 1a0a7: 074e.*
+ 1a0a8: 7c8a.*
+ 1a0a9: 074f.*
+ 1a0aa: 7c92.*
+ 1a0ab: 0750.*
+ 1a0ac: 7c9a.*
+ 1a0ad: 0751.*
+ 1a0ae: 7ca2.*
+ 1a0af: 0752.*
+ 1a0b0: 7caa.*
+ 1a0b1: 0753.*
+ 1a0b2: 7cb2.*
+ 1a0b3: 0754.*
+ 1a0b4: 7cba.*
+ 1a0b5: 0755.*
+ 1a0b6: 7cc2.*
+ 1a0b7: 0756.*
+ 1a0b8: 7cca.*
+ 1a0b9: 0757.*
+ 1a0ba: 7cd2.*
+ 1a0bb: 0758.*
+ 1a0bc: 7cda.*
+ 1a0bd: 0759.*
+ 1a0be: 7ce2.*
+ 1a0bf: 33d8.*
+ 1a0c0: 075a.*
+ 1a0c1: 7cea.*
+ 1a0c2: 33d9.*
+ 1a0c3: 075b.*
+ 1a0c4: 7cf2.*
+ 1a0c5: 33da.*
+ 1a0c6: 075c.*
+ 1a0c7: 7cf8.*
+ 1a0c8: 33db.*
+ 1a0c9: 075d.*
+ 1a0ca: 7c83.*
+ 1a0cb: 075e.*
+ 1a0cc: 7c8b.*
+ 1a0cd: 075f.*
+ 1a0ce: 7c93.*
+ 1a0cf: 0760.*
+ 1a0d0: 7c9b.*
+ 1a0d1: 0761.*
+ 1a0d2: 7ca3.*
+ 1a0d3: 0762.*
+ 1a0d4: 7cab.*
+ 1a0d5: 0763.*
+ 1a0d6: 7cb3.*
+ 1a0d7: 0764.*
+ 1a0d8: 7cbb.*
+ 1a0d9: 0765.*
+ 1a0da: 7cc3.*
+ 1a0db: 0766.*
+ 1a0dc: 7ccb.*
+ 1a0dd: 0767.*
+ 1a0de: 7cd3.*
+ 1a0df: 0768.*
+ 1a0e0: 7cdb.*
+ 1a0e1: 0769.*
+ 1a0e2: 7ce3.*
+ 1a0e3: 33dc.*
+ 1a0e4: 076a.*
+ 1a0e5: 7ceb.*
+ 1a0e6: 33dd.*
+ 1a0e7: 076b.*
+ 1a0e8: 7cf3.*
+ 1a0e9: 33de.*
+ 1a0ea: 076c.*
+ 1a0eb: 7cf8.*
+ 1a0ec: 33df.*
+ 1a0ed: 076d.*
+ 1a0ee: 7c84.*
+ 1a0ef: 076e.*
+ 1a0f0: 7c8c.*
+ 1a0f1: 076f.*
+ 1a0f2: 7c94.*
+ 1a0f3: 0770.*
+ 1a0f4: 7c9c.*
+ 1a0f5: 0771.*
+ 1a0f6: 7ca4.*
+ 1a0f7: 0772.*
+ 1a0f8: 7cac.*
+ 1a0f9: 0773.*
+ 1a0fa: 7cb4.*
+ 1a0fb: 0774.*
+ 1a0fc: 7cbc.*
+ 1a0fd: 0775.*
+ 1a0fe: 7cc4.*
+ 1a0ff: 0776.*
+ 1a100: 7ccc.*
+ 1a101: 0777.*
+ 1a102: 7cd4.*
+ 1a103: 0778.*
+ 1a104: 7cdc.*
+ 1a105: 0779.*
+ 1a106: 7ce4.*
+ 1a107: 33e0.*
+ 1a108: 077a.*
+ 1a109: 7cec.*
+ 1a10a: 33e1.*
+ 1a10b: 077b.*
+ 1a10c: 7cf4.*
+ 1a10d: 33e2.*
+ 1a10e: 077c.*
+ 1a10f: 7cf8.*
+ 1a110: 33e3.*
+ 1a111: 077d.*
+ 1a112: 7c85.*
+ 1a113: 077e.*
+ 1a114: 7c8d.*
+ 1a115: 077f.*
+ 1a116: 7c95.*
+ 1a117: 0780.*
+ 1a118: 7c9d.*
+ 1a119: 0781.*
+ 1a11a: 7ca5.*
+ 1a11b: 0782.*
+ 1a11c: 7cad.*
+ 1a11d: 0783.*
+ 1a11e: 7cb5.*
+ 1a11f: 0784.*
+ 1a120: 7cbd.*
+ 1a121: 0785.*
+ 1a122: 7cc5.*
+ 1a123: 0786.*
+ 1a124: 7ccd.*
+ 1a125: 0787.*
+ 1a126: 7cd5.*
+ 1a127: 0788.*
+ 1a128: 7cdd.*
+ 1a129: 0789.*
+ 1a12a: 7ce5.*
+ 1a12b: 33e4.*
+ 1a12c: 078a.*
+ 1a12d: 7ced.*
+ 1a12e: 33e5.*
+ 1a12f: 078b.*
+ 1a130: 7cf5.*
+ 1a131: 33e6.*
+ 1a132: 078c.*
+ 1a133: 7cf8.*
+ 1a134: 33e7.*
+ 1a135: 078d.*
+ 1a136: 7c86.*
+ 1a137: 078e.*
+ 1a138: 7c8e.*
+ 1a139: 078f.*
+ 1a13a: 7c96.*
+ 1a13b: 0790.*
+ 1a13c: 7c9e.*
+ 1a13d: 0791.*
+ 1a13e: 7ca6.*
+ 1a13f: 0792.*
+ 1a140: 7cae.*
+ 1a141: 0793.*
+ 1a142: 7cb6.*
+ 1a143: 0794.*
+ 1a144: 7cbe.*
+ 1a145: 0795.*
+ 1a146: 7cc6.*
+ 1a147: 0796.*
+ 1a148: 7cce.*
+ 1a149: 0797.*
+ 1a14a: 7cd6.*
+ 1a14b: 0798.*
+ 1a14c: 7cde.*
+ 1a14d: 0799.*
+ 1a14e: 7ce6.*
+ 1a14f: 33e8.*
+ 1a150: 079a.*
+ 1a151: 7cee.*
+ 1a152: 33e9.*
+ 1a153: 079b.*
+ 1a154: 7cf6.*
+ 1a155: 33ea.*
+ 1a156: 079c.*
+ 1a157: 7cf8.*
+ 1a158: 33eb.*
+ 1a159: 079d.*
+ 1a15a: 7c87.*
+ 1a15b: 079e.*
+ 1a15c: 7c8f.*
+ 1a15d: 079f.*
+ 1a15e: 7c97.*
+ 1a15f: 07a0.*
+ 1a160: 7c9f.*
+ 1a161: 07a1.*
+ 1a162: 7ca7.*
+ 1a163: 07a2.*
+ 1a164: 7caf.*
+ 1a165: 07a3.*
+ 1a166: 7cb7.*
+ 1a167: 07a4.*
+ 1a168: 7cbf.*
+ 1a169: 07a5.*
+ 1a16a: 7cc7.*
+ 1a16b: 07a6.*
+ 1a16c: 7ccf.*
+ 1a16d: 07a7.*
+ 1a16e: 7cd7.*
+ 1a16f: 07a8.*
+ 1a170: 7cdf.*
+ 1a171: 07a9.*
+ 1a172: 7ce7.*
+ 1a173: 33ec.*
+ 1a174: 07aa.*
+ 1a175: 7cef.*
+ 1a176: 33ed.*
+ 1a177: 07ab.*
+ 1a178: 7cf7.*
+ 1a179: 33ee.*
+ 1a17a: 07ac.*
+ 1a17b: 7cf8.*
+ 1a17c: 33ef.*
+ 1a17d: 07ad.*
+ 1a17e: f484.*
+ 1a17f: f584.*
+ 1a180: f484.*
+ 1a181: f684.*
+ 1a182: f784.*
+ 1a183: f784.*
+ 1a184: f495.*
+ 1a185: f48f.*
+ 1a186: f58f.*
+ 1a187: f48f.*
+ 1a188: f68f.*
+ 1a189: f78f.*
+ 1a18a: f78f.*
+ 1a18b: f064.*
+ 1a18c: 33f0.*
+ 1a18d: f164.*
+ 1a18e: 33f1.*
+ 1a18f: f064.*
+ 1a190: 33f2.*
+ 1a191: f264.*
+ 1a192: 33f3.*
+ 1a193: f364.*
+ 1a194: 33f4.*
+ 1a195: f364.*
+ 1a196: 33f5.*
+ 1a197: 696c.*
+ 1a198: 33f6.*
+ 1a199: 6980.*
+ 1a19a: 33f7.*
+ 1a19b: 6988.*
+ 1a19c: 33f8.*
+ 1a19d: 6990.*
+ 1a19e: 33f9.*
+ 1a19f: 69a0.*
+ 1a1a0: 33fa.*
+ 1a1a1: 69a8.*
+ 1a1a2: 33fb.*
+ 1a1a3: 69b0.*
+ 1a1a4: 33fc.*
+ 1a1a5: 69b8.*
+ 1a1a6: 33fd.*
+ 1a1a7: 69c0.*
+ 1a1a8: 33fe.*
+ 1a1a9: 69c8.*
+ 1a1aa: 33ff.*
+ 1a1ab: 69d0.*
+ 1a1ac: 3400.*
+ 1a1ad: 69d8.*
+ 1a1ae: 3401.*
+ 1a1af: 69e0.*
+ 1a1b0: 3402.*
+ 1a1b1: 3403.*
+ 1a1b2: 69e8.*
+ 1a1b3: 3404.*
+ 1a1b4: 3405.*
+ 1a1b5: 69f0.*
+ 1a1b6: 3406.*
+ 1a1b7: 3407.*
+ 1a1b8: 69f8.*
+ 1a1b9: 3408.*
+ 1a1ba: 3409.*
+ 1a1bb: 6981.*
+ 1a1bc: 340a.*
+ 1a1bd: 6989.*
+ 1a1be: 340b.*
+ 1a1bf: 6991.*
+ 1a1c0: 340c.*
+ 1a1c1: 69a1.*
+ 1a1c2: 340d.*
+ 1a1c3: 69a9.*
+ 1a1c4: 340e.*
+ 1a1c5: 69b1.*
+ 1a1c6: 340f.*
+ 1a1c7: 69b9.*
+ 1a1c8: 3410.*
+ 1a1c9: 69c1.*
+ 1a1ca: 3411.*
+ 1a1cb: 69c9.*
+ 1a1cc: 3412.*
+ 1a1cd: 69d1.*
+ 1a1ce: 3413.*
+ 1a1cf: 69d9.*
+ 1a1d0: 3414.*
+ 1a1d1: 69e1.*
+ 1a1d2: 3415.*
+ 1a1d3: 3416.*
+ 1a1d4: 69e9.*
+ 1a1d5: 3417.*
+ 1a1d6: 3418.*
+ 1a1d7: 69f1.*
+ 1a1d8: 3419.*
+ 1a1d9: 341a.*
+ 1a1da: 69f8.*
+ 1a1db: 341b.*
+ 1a1dc: 341c.*
+ 1a1dd: 6982.*
+ 1a1de: 341d.*
+ 1a1df: 698a.*
+ 1a1e0: 341e.*
+ 1a1e1: 6992.*
+ 1a1e2: 341f.*
+ 1a1e3: 69a2.*
+ 1a1e4: 3420.*
+ 1a1e5: 69aa.*
+ 1a1e6: 3421.*
+ 1a1e7: 69b2.*
+ 1a1e8: 3422.*
+ 1a1e9: 69ba.*
+ 1a1ea: 3423.*
+ 1a1eb: 69c2.*
+ 1a1ec: 3424.*
+ 1a1ed: 69ca.*
+ 1a1ee: 3425.*
+ 1a1ef: 69d2.*
+ 1a1f0: 3426.*
+ 1a1f1: 69da.*
+ 1a1f2: 3427.*
+ 1a1f3: 69e2.*
+ 1a1f4: 3428.*
+ 1a1f5: 3429.*
+ 1a1f6: 69ea.*
+ 1a1f7: 342a.*
+ 1a1f8: 342b.*
+ 1a1f9: 69f2.*
+ 1a1fa: 342c.*
+ 1a1fb: 342d.*
+ 1a1fc: 69f8.*
+ 1a1fd: 342e.*
+ 1a1fe: 342f.*
+ 1a1ff: 6983.*
+ 1a200: 3430.*
+ 1a201: 698b.*
+ 1a202: 3431.*
+ 1a203: 6993.*
+ 1a204: 3432.*
+ 1a205: 69a3.*
+ 1a206: 3433.*
+ 1a207: 69ab.*
+ 1a208: 3434.*
+ 1a209: 69b3.*
+ 1a20a: 3435.*
+ 1a20b: 69bb.*
+ 1a20c: 3436.*
+ 1a20d: 69c3.*
+ 1a20e: 3437.*
+ 1a20f: 69cb.*
+ 1a210: 3438.*
+ 1a211: 69d3.*
+ 1a212: 3439.*
+ 1a213: 69db.*
+ 1a214: 343a.*
+ 1a215: 69e3.*
+ 1a216: 343b.*
+ 1a217: 343c.*
+ 1a218: 69eb.*
+ 1a219: 343d.*
+ 1a21a: 343e.*
+ 1a21b: 69f3.*
+ 1a21c: 343f.*
+ 1a21d: 3440.*
+ 1a21e: 69f8.*
+ 1a21f: 3441.*
+ 1a220: 3442.*
+ 1a221: 6984.*
+ 1a222: 3443.*
+ 1a223: 698c.*
+ 1a224: 3444.*
+ 1a225: 6994.*
+ 1a226: 3445.*
+ 1a227: 69a4.*
+ 1a228: 3446.*
+ 1a229: 69ac.*
+ 1a22a: 3447.*
+ 1a22b: 69b4.*
+ 1a22c: 3448.*
+ 1a22d: 69bc.*
+ 1a22e: 3449.*
+ 1a22f: 69c4.*
+ 1a230: 344a.*
+ 1a231: 69cc.*
+ 1a232: 344b.*
+ 1a233: 69d4.*
+ 1a234: 344c.*
+ 1a235: 69dc.*
+ 1a236: 344d.*
+ 1a237: 69e4.*
+ 1a238: 344e.*
+ 1a239: 344f.*
+ 1a23a: 69ec.*
+ 1a23b: 3450.*
+ 1a23c: 3451.*
+ 1a23d: 69f4.*
+ 1a23e: 3452.*
+ 1a23f: 3453.*
+ 1a240: 69f8.*
+ 1a241: 3454.*
+ 1a242: 3455.*
+ 1a243: 6985.*
+ 1a244: 3456.*
+ 1a245: 698d.*
+ 1a246: 3457.*
+ 1a247: 6995.*
+ 1a248: 3458.*
+ 1a249: 69a5.*
+ 1a24a: 3459.*
+ 1a24b: 69ad.*
+ 1a24c: 345a.*
+ 1a24d: 69b5.*
+ 1a24e: 345b.*
+ 1a24f: 69bd.*
+ 1a250: 345c.*
+ 1a251: 69c5.*
+ 1a252: 345d.*
+ 1a253: 69cd.*
+ 1a254: 345e.*
+ 1a255: 69d5.*
+ 1a256: 345f.*
+ 1a257: 69dd.*
+ 1a258: 3460.*
+ 1a259: 69e5.*
+ 1a25a: 3461.*
+ 1a25b: 3462.*
+ 1a25c: 69ed.*
+ 1a25d: 3463.*
+ 1a25e: 3464.*
+ 1a25f: 69f5.*
+ 1a260: 3465.*
+ 1a261: 3466.*
+ 1a262: 69f8.*
+ 1a263: 3467.*
+ 1a264: 3468.*
+ 1a265: 6986.*
+ 1a266: 3469.*
+ 1a267: 698e.*
+ 1a268: 346a.*
+ 1a269: 6996.*
+ 1a26a: 346b.*
+ 1a26b: 69a6.*
+ 1a26c: 346c.*
+ 1a26d: 69ae.*
+ 1a26e: 346d.*
+ 1a26f: 69b6.*
+ 1a270: 346e.*
+ 1a271: 69be.*
+ 1a272: 346f.*
+ 1a273: 69c6.*
+ 1a274: 3470.*
+ 1a275: 69ce.*
+ 1a276: 3471.*
+ 1a277: 69d6.*
+ 1a278: 3472.*
+ 1a279: 69de.*
+ 1a27a: 3473.*
+ 1a27b: 69e6.*
+ 1a27c: 3474.*
+ 1a27d: 3475.*
+ 1a27e: 69ee.*
+ 1a27f: 3476.*
+ 1a280: 3477.*
+ 1a281: 69f6.*
+ 1a282: 3478.*
+ 1a283: 3479.*
+ 1a284: 69f8.*
+ 1a285: 347a.*
+ 1a286: 347b.*
+ 1a287: 6987.*
+ 1a288: 347c.*
+ 1a289: 698f.*
+ 1a28a: 347d.*
+ 1a28b: 6997.*
+ 1a28c: 347e.*
+ 1a28d: 69a7.*
+ 1a28e: 347f.*
+ 1a28f: 69af.*
+ 1a290: 3480.*
+ 1a291: 69b7.*
+ 1a292: 3481.*
+ 1a293: 69bf.*
+ 1a294: 3482.*
+ 1a295: 69c7.*
+ 1a296: 3483.*
+ 1a297: 69cf.*
+ 1a298: 3484.*
+ 1a299: 69d7.*
+ 1a29a: 3485.*
+ 1a29b: 69df.*
+ 1a29c: 3486.*
+ 1a29d: 69e7.*
+ 1a29e: 3487.*
+ 1a29f: 3488.*
+ 1a2a0: 69ef.*
+ 1a2a1: 3489.*
+ 1a2a2: 348a.*
+ 1a2a3: 69f7.*
+ 1a2a4: 348b.*
+ 1a2a5: 348c.*
+ 1a2a6: 69f8.*
+ 1a2a7: 348d.*
+ 1a2a8: 348e.*
+ 1a2a9: f040.*
+ 1a2aa: 348f.*
+ 1a2ab: f140.*
+ 1a2ac: 3490.*
+ 1a2ad: f040.*
+ 1a2ae: 3491.*
+ 1a2af: f240.*
+ 1a2b0: 3492.*
+ 1a2b1: f340.*
+ 1a2b2: 3493.*
+ 1a2b3: f340.*
+ 1a2b4: 3494.*
+ 1a2b5: f040.*
+ 1a2b6: 3495.*
+ 1a2b7: f140.*
+ 1a2b8: 3496.*
+ 1a2b9: f040.*
+ 1a2ba: 3497.*
+ 1a2bb: f240.*
+ 1a2bc: 3498.*
+ 1a2bd: f340.*
+ 1a2be: 3499.*
+ 1a2bf: f340.*
+ 1a2c0: 349a.*
+ 1a2c1: f041.*
+ 1a2c2: 349b.*
+ 1a2c3: f141.*
+ 1a2c4: 349c.*
+ 1a2c5: f041.*
+ 1a2c6: 349d.*
+ 1a2c7: f241.*
+ 1a2c8: 349e.*
+ 1a2c9: f341.*
+ 1a2ca: 349f.*
+ 1a2cb: f341.*
+ 1a2cc: 34a0.*
+ 1a2cd: f042.*
+ 1a2ce: 34a1.*
+ 1a2cf: f142.*
+ 1a2d0: 34a2.*
+ 1a2d1: f042.*
+ 1a2d2: 34a3.*
+ 1a2d3: f242.*
+ 1a2d4: 34a4.*
+ 1a2d5: f342.*
+ 1a2d6: 34a5.*
+ 1a2d7: f342.*
+ 1a2d8: 34a6.*
+ 1a2d9: f043.*
+ 1a2da: 34a7.*
+ 1a2db: f143.*
+ 1a2dc: 34a8.*
+ 1a2dd: f043.*
+ 1a2de: 34a9.*
+ 1a2df: f243.*
+ 1a2e0: 34aa.*
+ 1a2e1: f343.*
+ 1a2e2: 34ab.*
+ 1a2e3: f343.*
+ 1a2e4: 34ac.*
+ 1a2e5: f044.*
+ 1a2e6: 34ad.*
+ 1a2e7: f144.*
+ 1a2e8: 34ae.*
+ 1a2e9: f044.*
+ 1a2ea: 34af.*
+ 1a2eb: f244.*
+ 1a2ec: 34b0.*
+ 1a2ed: f344.*
+ 1a2ee: 34b1.*
+ 1a2ef: f344.*
+ 1a2f0: 34b2.*
+ 1a2f1: f045.*
+ 1a2f2: 34b3.*
+ 1a2f3: f145.*
+ 1a2f4: 34b4.*
+ 1a2f5: f045.*
+ 1a2f6: 34b5.*
+ 1a2f7: f245.*
+ 1a2f8: 34b6.*
+ 1a2f9: f345.*
+ 1a2fa: 34b7.*
+ 1a2fb: f345.*
+ 1a2fc: 34b8.*
+ 1a2fd: f046.*
+ 1a2fe: 34b9.*
+ 1a2ff: f146.*
+ 1a300: 34ba.*
+ 1a301: f046.*
+ 1a302: 34bb.*
+ 1a303: f246.*
+ 1a304: 34bc.*
+ 1a305: f346.*
+ 1a306: 34bd.*
+ 1a307: f346.*
+ 1a308: 34be.*
+ 1a309: f047.*
+ 1a30a: 34bf.*
+ 1a30b: f147.*
+ 1a30c: 34c0.*
+ 1a30d: f047.*
+ 1a30e: 34c1.*
+ 1a30f: f247.*
+ 1a310: 34c2.*
+ 1a311: f347.*
+ 1a312: 34c3.*
+ 1a313: f347.*
+ 1a314: 34c4.*
+ 1a315: f048.*
+ 1a316: 34c5.*
+ 1a317: f148.*
+ 1a318: 34c6.*
+ 1a319: f048.*
+ 1a31a: 34c7.*
+ 1a31b: f248.*
+ 1a31c: 34c8.*
+ 1a31d: f348.*
+ 1a31e: 34c9.*
+ 1a31f: f348.*
+ 1a320: 34ca.*
+ 1a321: f049.*
+ 1a322: 34cb.*
+ 1a323: f149.*
+ 1a324: 34cc.*
+ 1a325: f049.*
+ 1a326: 34cd.*
+ 1a327: f249.*
+ 1a328: 34ce.*
+ 1a329: f349.*
+ 1a32a: 34cf.*
+ 1a32b: f349.*
+ 1a32c: 34d0.*
+ 1a32d: f04a.*
+ 1a32e: 34d1.*
+ 1a32f: f14a.*
+ 1a330: 34d2.*
+ 1a331: f04a.*
+ 1a332: 34d3.*
+ 1a333: f24a.*
+ 1a334: 34d4.*
+ 1a335: f34a.*
+ 1a336: 34d5.*
+ 1a337: f34a.*
+ 1a338: 34d6.*
+ 1a339: f04b.*
+ 1a33a: 34d7.*
+ 1a33b: f14b.*
+ 1a33c: 34d8.*
+ 1a33d: f04b.*
+ 1a33e: 34d9.*
+ 1a33f: f24b.*
+ 1a340: 34da.*
+ 1a341: f34b.*
+ 1a342: 34db.*
+ 1a343: f34b.*
+ 1a344: 34dc.*
+ 1a345: f04c.*
+ 1a346: 34dd.*
+ 1a347: f14c.*
+ 1a348: 34de.*
+ 1a349: f04c.*
+ 1a34a: 34df.*
+ 1a34b: f24c.*
+ 1a34c: 34e0.*
+ 1a34d: f34c.*
+ 1a34e: 34e1.*
+ 1a34f: f34c.*
+ 1a350: 34e2.*
+ 1a351: f04d.*
+ 1a352: 34e3.*
+ 1a353: f14d.*
+ 1a354: 34e4.*
+ 1a355: f04d.*
+ 1a356: 34e5.*
+ 1a357: f24d.*
+ 1a358: 34e6.*
+ 1a359: f34d.*
+ 1a35a: 34e7.*
+ 1a35b: f34d.*
+ 1a35c: 34e8.*
+ 1a35d: f04e.*
+ 1a35e: 34e9.*
+ 1a35f: f14e.*
+ 1a360: 34ea.*
+ 1a361: f04e.*
+ 1a362: 34eb.*
+ 1a363: f24e.*
+ 1a364: 34ec.*
+ 1a365: f34e.*
+ 1a366: 34ed.*
+ 1a367: f34e.*
+ 1a368: 34ee.*
+ 1a369: f04f.*
+ 1a36a: 34ef.*
+ 1a36b: f14f.*
+ 1a36c: 34f0.*
+ 1a36d: f04f.*
+ 1a36e: 34f1.*
+ 1a36f: f24f.*
+ 1a370: 34f2.*
+ 1a371: f34f.*
+ 1a372: 34f3.*
+ 1a373: f34f.*
+ 1a374: 34f4.*
+ 1a375: f0a0.*
+ 1a376: f1a0.*
+ 1a377: f0a0.*
+ 1a378: f0b0.*
+ 1a379: f1b0.*
+ 1a37a: f0b0.*
+ 1a37b: f0b1.*
+ 1a37c: f1b1.*
+ 1a37d: f0b1.*
+ 1a37e: f0b2.*
+ 1a37f: f1b2.*
+ 1a380: f0b2.*
+ 1a381: f0b3.*
+ 1a382: f1b3.*
+ 1a383: f0b3.*
+ 1a384: f0b4.*
+ 1a385: f1b4.*
+ 1a386: f0b4.*
+ 1a387: f0b5.*
+ 1a388: f1b5.*
+ 1a389: f0b5.*
+ 1a38a: f0b6.*
+ 1a38b: f1b6.*
+ 1a38c: f0b6.*
+ 1a38d: f0b7.*
+ 1a38e: f1b7.*
+ 1a38f: f0b7.*
+ 1a390: f0b8.*
+ 1a391: f1b8.*
+ 1a392: f0b8.*
+ 1a393: f0b9.*
+ 1a394: f1b9.*
+ 1a395: f0b9.*
+ 1a396: f0ba.*
+ 1a397: f1ba.*
+ 1a398: f0ba.*
+ 1a399: f0bb.*
+ 1a39a: f1bb.*
+ 1a39b: f0bb.*
+ 1a39c: f0bc.*
+ 1a39d: f1bc.*
+ 1a39e: f0bc.*
+ 1a39f: f0bd.*
+ 1a3a0: f1bd.*
+ 1a3a1: f0bd.*
+ 1a3a2: f0be.*
+ 1a3a3: f1be.*
+ 1a3a4: f0be.*
+ 1a3a5: f0bf.*
+ 1a3a6: f1bf.*
+ 1a3a7: f0bf.*
+ 1a3a8: f0a0.*
+ 1a3a9: f1a0.*
+ 1a3aa: f0a0.*
+ 1a3ab: f0a1.*
+ 1a3ac: f1a1.*
+ 1a3ad: f0a1.*
+ 1a3ae: f0a2.*
+ 1a3af: f1a2.*
+ 1a3b0: f0a2.*
+ 1a3b1: f0a3.*
+ 1a3b2: f1a3.*
+ 1a3b3: f0a3.*
+ 1a3b4: f0a4.*
+ 1a3b5: f1a4.*
+ 1a3b6: f0a4.*
+ 1a3b7: f0a5.*
+ 1a3b8: f1a5.*
+ 1a3b9: f0a5.*
+ 1a3ba: f0a6.*
+ 1a3bb: f1a6.*
+ 1a3bc: f0a6.*
+ 1a3bd: f0a7.*
+ 1a3be: f1a7.*
+ 1a3bf: f0a7.*
+ 1a3c0: f0a8.*
+ 1a3c1: f1a8.*
+ 1a3c2: f0a8.*
+ 1a3c3: f0a9.*
+ 1a3c4: f1a9.*
+ 1a3c5: f0a9.*
+ 1a3c6: f0aa.*
+ 1a3c7: f1aa.*
+ 1a3c8: f0aa.*
+ 1a3c9: f0ab.*
+ 1a3ca: f1ab.*
+ 1a3cb: f0ab.*
+ 1a3cc: f0ac.*
+ 1a3cd: f1ac.*
+ 1a3ce: f0ac.*
+ 1a3cf: f0ad.*
+ 1a3d0: f1ad.*
+ 1a3d1: f0ad.*
+ 1a3d2: f0ae.*
+ 1a3d3: f1ae.*
+ 1a3d4: f0ae.*
+ 1a3d5: f0af.*
+ 1a3d6: f1af.*
+ 1a3d7: f0af.*
+ 1a3d8: f2a0.*
+ 1a3d9: f3a0.*
+ 1a3da: f3a0.*
+ 1a3db: f2b0.*
+ 1a3dc: f3b0.*
+ 1a3dd: f3b0.*
+ 1a3de: f2b1.*
+ 1a3df: f3b1.*
+ 1a3e0: f3b1.*
+ 1a3e1: f2b2.*
+ 1a3e2: f3b2.*
+ 1a3e3: f3b2.*
+ 1a3e4: f2b3.*
+ 1a3e5: f3b3.*
+ 1a3e6: f3b3.*
+ 1a3e7: f2b4.*
+ 1a3e8: f3b4.*
+ 1a3e9: f3b4.*
+ 1a3ea: f2b5.*
+ 1a3eb: f3b5.*
+ 1a3ec: f3b5.*
+ 1a3ed: f2b6.*
+ 1a3ee: f3b6.*
+ 1a3ef: f3b6.*
+ 1a3f0: f2b7.*
+ 1a3f1: f3b7.*
+ 1a3f2: f3b7.*
+ 1a3f3: f2b8.*
+ 1a3f4: f3b8.*
+ 1a3f5: f3b8.*
+ 1a3f6: f2b9.*
+ 1a3f7: f3b9.*
+ 1a3f8: f3b9.*
+ 1a3f9: f2ba.*
+ 1a3fa: f3ba.*
+ 1a3fb: f3ba.*
+ 1a3fc: f2bb.*
+ 1a3fd: f3bb.*
+ 1a3fe: f3bb.*
+ 1a3ff: f2bc.*
+ 1a400: f3bc.*
+ 1a401: f3bc.*
+ 1a402: f2bd.*
+ 1a403: f3bd.*
+ 1a404: f3bd.*
+ 1a405: f2be.*
+ 1a406: f3be.*
+ 1a407: f3be.*
+ 1a408: f2bf.*
+ 1a409: f3bf.*
+ 1a40a: f3bf.*
+ 1a40b: f2a0.*
+ 1a40c: f3a0.*
+ 1a40d: f3a0.*
+ 1a40e: f2a1.*
+ 1a40f: f3a1.*
+ 1a410: f3a1.*
+ 1a411: f2a2.*
+ 1a412: f3a2.*
+ 1a413: f3a2.*
+ 1a414: f2a3.*
+ 1a415: f3a3.*
+ 1a416: f3a3.*
+ 1a417: f2a4.*
+ 1a418: f3a4.*
+ 1a419: f3a4.*
+ 1a41a: f2a5.*
+ 1a41b: f3a5.*
+ 1a41c: f3a5.*
+ 1a41d: f2a6.*
+ 1a41e: f3a6.*
+ 1a41f: f3a6.*
+ 1a420: f2a7.*
+ 1a421: f3a7.*
+ 1a422: f3a7.*
+ 1a423: f2a8.*
+ 1a424: f3a8.*
+ 1a425: f3a8.*
+ 1a426: f2a9.*
+ 1a427: f3a9.*
+ 1a428: f3a9.*
+ 1a429: f2aa.*
+ 1a42a: f3aa.*
+ 1a42b: f3aa.*
+ 1a42c: f2ab.*
+ 1a42d: f3ab.*
+ 1a42e: f3ab.*
+ 1a42f: f2ac.*
+ 1a430: f3ac.*
+ 1a431: f3ac.*
+ 1a432: f2ad.*
+ 1a433: f3ad.*
+ 1a434: f3ad.*
+ 1a435: f2ae.*
+ 1a436: f3ae.*
+ 1a437: f3ae.*
+ 1a438: f2af.*
+ 1a439: f3af.*
+ 1a43a: f3af.*
+ 1a43b: 1a6d.*
+ 1a43c: 1b6e.*
+ 1a43d: 1a80.*
+ 1a43e: 1b80.*
+ 1a43f: 1a88.*
+ 1a440: 1b88.*
+ 1a441: 1a90.*
+ 1a442: 1b90.*
+ 1a443: 1aa0.*
+ 1a444: 1ba0.*
+ 1a445: 1aa8.*
+ 1a446: 1ba8.*
+ 1a447: 1ab0.*
+ 1a448: 1bb0.*
+ 1a449: 1ab8.*
+ 1a44a: 1bb8.*
+ 1a44b: 1ac0.*
+ 1a44c: 1bc0.*
+ 1a44d: 1ac8.*
+ 1a44e: 1bc8.*
+ 1a44f: 1ad0.*
+ 1a450: 1bd0.*
+ 1a451: 1ad8.*
+ 1a452: 1bd8.*
+ 1a453: 1ae0.*
+ 1a454: 34f5.*
+ 1a455: 1be0.*
+ 1a456: 34f6.*
+ 1a457: 1ae8.*
+ 1a458: 34f7.*
+ 1a459: 1be8.*
+ 1a45a: 34f8.*
+ 1a45b: 1af0.*
+ 1a45c: 34f9.*
+ 1a45d: 1bf0.*
+ 1a45e: 34fa.*
+ 1a45f: 1af8.*
+ 1a460: 34fb.*
+ 1a461: 1bf8.*
+ 1a462: 34fc.*
+ 1a463: 1a81.*
+ 1a464: 1b81.*
+ 1a465: 1a89.*
+ 1a466: 1b89.*
+ 1a467: 1a91.*
+ 1a468: 1b91.*
+ 1a469: 1aa1.*
+ 1a46a: 1ba1.*
+ 1a46b: 1aa9.*
+ 1a46c: 1ba9.*
+ 1a46d: 1ab1.*
+ 1a46e: 1bb1.*
+ 1a46f: 1ab9.*
+ 1a470: 1bb9.*
+ 1a471: 1ac1.*
+ 1a472: 1bc1.*
+ 1a473: 1ac9.*
+ 1a474: 1bc9.*
+ 1a475: 1ad1.*
+ 1a476: 1bd1.*
+ 1a477: 1ad9.*
+ 1a478: 1bd9.*
+ 1a479: 1ae1.*
+ 1a47a: 34fd.*
+ 1a47b: 1be1.*
+ 1a47c: 34fe.*
+ 1a47d: 1ae9.*
+ 1a47e: 34ff.*
+ 1a47f: 1be9.*
+ 1a480: 3500.*
+ 1a481: 1af1.*
+ 1a482: 3501.*
+ 1a483: 1bf1.*
+ 1a484: 3502.*
+ 1a485: 1af8.*
+ 1a486: 3503.*
+ 1a487: 1bf8.*
+ 1a488: 3504.*
+ 1a489: 1a82.*
+ 1a48a: 1b82.*
+ 1a48b: 1a8a.*
+ 1a48c: 1b8a.*
+ 1a48d: 1a92.*
+ 1a48e: 1b92.*
+ 1a48f: 1aa2.*
+ 1a490: 1ba2.*
+ 1a491: 1aaa.*
+ 1a492: 1baa.*
+ 1a493: 1ab2.*
+ 1a494: 1bb2.*
+ 1a495: 1aba.*
+ 1a496: 1bba.*
+ 1a497: 1ac2.*
+ 1a498: 1bc2.*
+ 1a499: 1aca.*
+ 1a49a: 1bca.*
+ 1a49b: 1ad2.*
+ 1a49c: 1bd2.*
+ 1a49d: 1ada.*
+ 1a49e: 1bda.*
+ 1a49f: 1ae2.*
+ 1a4a0: 3505.*
+ 1a4a1: 1be2.*
+ 1a4a2: 3506.*
+ 1a4a3: 1aea.*
+ 1a4a4: 3507.*
+ 1a4a5: 1bea.*
+ 1a4a6: 3508.*
+ 1a4a7: 1af2.*
+ 1a4a8: 3509.*
+ 1a4a9: 1bf2.*
+ 1a4aa: 350a.*
+ 1a4ab: 1af8.*
+ 1a4ac: 350b.*
+ 1a4ad: 1bf8.*
+ 1a4ae: 350c.*
+ 1a4af: 1a83.*
+ 1a4b0: 1b83.*
+ 1a4b1: 1a8b.*
+ 1a4b2: 1b8b.*
+ 1a4b3: 1a93.*
+ 1a4b4: 1b93.*
+ 1a4b5: 1aa3.*
+ 1a4b6: 1ba3.*
+ 1a4b7: 1aab.*
+ 1a4b8: 1bab.*
+ 1a4b9: 1ab3.*
+ 1a4ba: 1bb3.*
+ 1a4bb: 1abb.*
+ 1a4bc: 1bbb.*
+ 1a4bd: 1ac3.*
+ 1a4be: 1bc3.*
+ 1a4bf: 1acb.*
+ 1a4c0: 1bcb.*
+ 1a4c1: 1ad3.*
+ 1a4c2: 1bd3.*
+ 1a4c3: 1adb.*
+ 1a4c4: 1bdb.*
+ 1a4c5: 1ae3.*
+ 1a4c6: 350d.*
+ 1a4c7: 1be3.*
+ 1a4c8: 350e.*
+ 1a4c9: 1aeb.*
+ 1a4ca: 350f.*
+ 1a4cb: 1beb.*
+ 1a4cc: 3510.*
+ 1a4cd: 1af3.*
+ 1a4ce: 3511.*
+ 1a4cf: 1bf3.*
+ 1a4d0: 3512.*
+ 1a4d1: 1af8.*
+ 1a4d2: 3513.*
+ 1a4d3: 1bf8.*
+ 1a4d4: 3514.*
+ 1a4d5: 1a84.*
+ 1a4d6: 1b84.*
+ 1a4d7: 1a8c.*
+ 1a4d8: 1b8c.*
+ 1a4d9: 1a94.*
+ 1a4da: 1b94.*
+ 1a4db: 1aa4.*
+ 1a4dc: 1ba4.*
+ 1a4dd: 1aac.*
+ 1a4de: 1bac.*
+ 1a4df: 1ab4.*
+ 1a4e0: 1bb4.*
+ 1a4e1: 1abc.*
+ 1a4e2: 1bbc.*
+ 1a4e3: 1ac4.*
+ 1a4e4: 1bc4.*
+ 1a4e5: 1acc.*
+ 1a4e6: 1bcc.*
+ 1a4e7: 1ad4.*
+ 1a4e8: 1bd4.*
+ 1a4e9: 1adc.*
+ 1a4ea: 1bdc.*
+ 1a4eb: 1ae4.*
+ 1a4ec: 3515.*
+ 1a4ed: 1be4.*
+ 1a4ee: 3516.*
+ 1a4ef: 1aec.*
+ 1a4f0: 3517.*
+ 1a4f1: 1bec.*
+ 1a4f2: 3518.*
+ 1a4f3: 1af4.*
+ 1a4f4: 3519.*
+ 1a4f5: 1bf4.*
+ 1a4f6: 351a.*
+ 1a4f7: 1af8.*
+ 1a4f8: 351b.*
+ 1a4f9: 1bf8.*
+ 1a4fa: 351c.*
+ 1a4fb: 1a85.*
+ 1a4fc: 1b85.*
+ 1a4fd: 1a8d.*
+ 1a4fe: 1b8d.*
+ 1a4ff: 1a95.*
+ 1a500: 1b95.*
+ 1a501: 1aa5.*
+ 1a502: 1ba5.*
+ 1a503: 1aad.*
+ 1a504: 1bad.*
+ 1a505: 1ab5.*
+ 1a506: 1bb5.*
+ 1a507: 1abd.*
+ 1a508: 1bbd.*
+ 1a509: 1ac5.*
+ 1a50a: 1bc5.*
+ 1a50b: 1acd.*
+ 1a50c: 1bcd.*
+ 1a50d: 1ad5.*
+ 1a50e: 1bd5.*
+ 1a50f: 1add.*
+ 1a510: 1bdd.*
+ 1a511: 1ae5.*
+ 1a512: 351d.*
+ 1a513: 1be5.*
+ 1a514: 351e.*
+ 1a515: 1aed.*
+ 1a516: 351f.*
+ 1a517: 1bed.*
+ 1a518: 3520.*
+ 1a519: 1af5.*
+ 1a51a: 3521.*
+ 1a51b: 1bf5.*
+ 1a51c: 3522.*
+ 1a51d: 1af8.*
+ 1a51e: 3523.*
+ 1a51f: 1bf8.*
+ 1a520: 3524.*
+ 1a521: 1a86.*
+ 1a522: 1b86.*
+ 1a523: 1a8e.*
+ 1a524: 1b8e.*
+ 1a525: 1a96.*
+ 1a526: 1b96.*
+ 1a527: 1aa6.*
+ 1a528: 1ba6.*
+ 1a529: 1aae.*
+ 1a52a: 1bae.*
+ 1a52b: 1ab6.*
+ 1a52c: 1bb6.*
+ 1a52d: 1abe.*
+ 1a52e: 1bbe.*
+ 1a52f: 1ac6.*
+ 1a530: 1bc6.*
+ 1a531: 1ace.*
+ 1a532: 1bce.*
+ 1a533: 1ad6.*
+ 1a534: 1bd6.*
+ 1a535: 1ade.*
+ 1a536: 1bde.*
+ 1a537: 1ae6.*
+ 1a538: 3525.*
+ 1a539: 1be6.*
+ 1a53a: 3526.*
+ 1a53b: 1aee.*
+ 1a53c: 3527.*
+ 1a53d: 1bee.*
+ 1a53e: 3528.*
+ 1a53f: 1af6.*
+ 1a540: 3529.*
+ 1a541: 1bf6.*
+ 1a542: 352a.*
+ 1a543: 1af8.*
+ 1a544: 352b.*
+ 1a545: 1bf8.*
+ 1a546: 352c.*
+ 1a547: 1a87.*
+ 1a548: 1b87.*
+ 1a549: 1a8f.*
+ 1a54a: 1b8f.*
+ 1a54b: 1a97.*
+ 1a54c: 1b97.*
+ 1a54d: 1aa7.*
+ 1a54e: 1ba7.*
+ 1a54f: 1aaf.*
+ 1a550: 1baf.*
+ 1a551: 1ab7.*
+ 1a552: 1bb7.*
+ 1a553: 1abf.*
+ 1a554: 1bbf.*
+ 1a555: 1ac7.*
+ 1a556: 1bc7.*
+ 1a557: 1acf.*
+ 1a558: 1bcf.*
+ 1a559: 1ad7.*
+ 1a55a: 1bd7.*
+ 1a55b: 1adf.*
+ 1a55c: 1bdf.*
+ 1a55d: 1ae7.*
+ 1a55e: 352d.*
+ 1a55f: 1be7.*
+ 1a560: 352e.*
+ 1a561: 1aef.*
+ 1a562: 352f.*
+ 1a563: 1bef.*
+ 1a564: 3530.*
+ 1a565: 1af7.*
+ 1a566: 3531.*
+ 1a567: 1bf7.*
+ 1a568: 3532.*
+ 1a569: 1af8.*
+ 1a56a: 3533.*
+ 1a56b: 1bf8.*
+ 1a56c: 3534.*
+ 1a56d: 366f.*
+ 1a56e: 3680.*
+ 1a56f: 3688.*
+ 1a570: 3690.*
+ 1a571: 36a0.*
+ 1a572: 36a8.*
+ 1a573: 36b0.*
+ 1a574: 36b8.*
+ 1a575: 36c0.*
+ 1a576: 36c8.*
+ 1a577: 36d0.*
+ 1a578: 36d8.*
+ 1a579: 36e0.*
+ 1a57a: 3535.*
+ 1a57b: 36e8.*
+ 1a57c: 3536.*
+ 1a57d: 36f0.*
+ 1a57e: 3537.*
+ 1a57f: 36f8.*
+ 1a580: 3538.*
+ 1a581: 3681.*
+ 1a582: 3689.*
+ 1a583: 3691.*
+ 1a584: 36a1.*
+ 1a585: 36a9.*
+ 1a586: 36b1.*
+ 1a587: 36b9.*
+ 1a588: 36c1.*
+ 1a589: 36c9.*
+ 1a58a: 36d1.*
+ 1a58b: 36d9.*
+ 1a58c: 36e1.*
+ 1a58d: 3539.*
+ 1a58e: 36e9.*
+ 1a58f: 353a.*
+ 1a590: 36f1.*
+ 1a591: 353b.*
+ 1a592: 36f8.*
+ 1a593: 353c.*
+ 1a594: 3682.*
+ 1a595: 368a.*
+ 1a596: 3692.*
+ 1a597: 36a2.*
+ 1a598: 36aa.*
+ 1a599: 36b2.*
+ 1a59a: 36ba.*
+ 1a59b: 36c2.*
+ 1a59c: 36ca.*
+ 1a59d: 36d2.*
+ 1a59e: 36da.*
+ 1a59f: 36e2.*
+ 1a5a0: 353d.*
+ 1a5a1: 36ea.*
+ 1a5a2: 353e.*
+ 1a5a3: 36f2.*
+ 1a5a4: 353f.*
+ 1a5a5: 36f8.*
+ 1a5a6: 3540.*
+ 1a5a7: 3683.*
+ 1a5a8: 368b.*
+ 1a5a9: 3693.*
+ 1a5aa: 36a3.*
+ 1a5ab: 36ab.*
+ 1a5ac: 36b3.*
+ 1a5ad: 36bb.*
+ 1a5ae: 36c3.*
+ 1a5af: 36cb.*
+ 1a5b0: 36d3.*
+ 1a5b1: 36db.*
+ 1a5b2: 36e3.*
+ 1a5b3: 3541.*
+ 1a5b4: 36eb.*
+ 1a5b5: 3542.*
+ 1a5b6: 36f3.*
+ 1a5b7: 3543.*
+ 1a5b8: 36f8.*
+ 1a5b9: 3544.*
+ 1a5ba: 3684.*
+ 1a5bb: 368c.*
+ 1a5bc: 3694.*
+ 1a5bd: 36a4.*
+ 1a5be: 36ac.*
+ 1a5bf: 36b4.*
+ 1a5c0: 36bc.*
+ 1a5c1: 36c4.*
+ 1a5c2: 36cc.*
+ 1a5c3: 36d4.*
+ 1a5c4: 36dc.*
+ 1a5c5: 36e4.*
+ 1a5c6: 3545.*
+ 1a5c7: 36ec.*
+ 1a5c8: 3546.*
+ 1a5c9: 36f4.*
+ 1a5ca: 3547.*
+ 1a5cb: 36f8.*
+ 1a5cc: 3548.*
+ 1a5cd: 3685.*
+ 1a5ce: 368d.*
+ 1a5cf: 3695.*
+ 1a5d0: 36a5.*
+ 1a5d1: 36ad.*
+ 1a5d2: 36b5.*
+ 1a5d3: 36bd.*
+ 1a5d4: 36c5.*
+ 1a5d5: 36cd.*
+ 1a5d6: 36d5.*
+ 1a5d7: 36dd.*
+ 1a5d8: 36e5.*
+ 1a5d9: 3549.*
+ 1a5da: 36ed.*
+ 1a5db: 354a.*
+ 1a5dc: 36f5.*
+ 1a5dd: 354b.*
+ 1a5de: 36f8.*
+ 1a5df: 354c.*
+ 1a5e0: 3686.*
+ 1a5e1: 368e.*
+ 1a5e2: 3696.*
+ 1a5e3: 36a6.*
+ 1a5e4: 36ae.*
+ 1a5e5: 36b6.*
+ 1a5e6: 36be.*
+ 1a5e7: 36c6.*
+ 1a5e8: 36ce.*
+ 1a5e9: 36d6.*
+ 1a5ea: 36de.*
+ 1a5eb: 36e6.*
+ 1a5ec: 354d.*
+ 1a5ed: 36ee.*
+ 1a5ee: 354e.*
+ 1a5ef: 36f6.*
+ 1a5f0: 354f.*
+ 1a5f1: 36f8.*
+ 1a5f2: 3550.*
+ 1a5f3: 3687.*
+ 1a5f4: 368f.*
+ 1a5f5: 3697.*
+ 1a5f6: 36a7.*
+ 1a5f7: 36af.*
+ 1a5f8: 36b7.*
+ 1a5f9: 36bf.*
+ 1a5fa: 36c7.*
+ 1a5fb: 36cf.*
+ 1a5fc: 36d7.*
+ 1a5fd: 36df.*
+ 1a5fe: 36e7.*
+ 1a5ff: 3551.*
+ 1a600: 36ef.*
+ 1a601: 3552.*
+ 1a602: 36f7.*
+ 1a603: 3553.*
+ 1a604: 36f8.*
+ 1a605: 3554.*
+ 1a606: 8b70.*
+ 1a607: 8b80.*
+ 1a608: 8b88.*
+ 1a609: 8b90.*
+ 1a60a: 8b98.*
+ 1a60b: 8ba0.*
+ 1a60c: 8ba8.*
+ 1a60d: 8bb0.*
+ 1a60e: 8bb8.*
+ 1a60f: 8bc0.*
+ 1a610: 8bc8.*
+ 1a611: 8bd0.*
+ 1a612: 8bd8.*
+ 1a613: 8be0.*
+ 1a614: 3555.*
+ 1a615: 8be8.*
+ 1a616: 3556.*
+ 1a617: 8bf0.*
+ 1a618: 3557.*
+ 1a619: 8bf8.*
+ 1a61a: 3558.*
+ 1a61b: 8b81.*
+ 1a61c: 8b89.*
+ 1a61d: 8b91.*
+ 1a61e: 8b99.*
+ 1a61f: 8ba1.*
+ 1a620: 8ba9.*
+ 1a621: 8bb1.*
+ 1a622: 8bb9.*
+ 1a623: 8bc1.*
+ 1a624: 8bc9.*
+ 1a625: 8bd1.*
+ 1a626: 8bd9.*
+ 1a627: 8be1.*
+ 1a628: 3559.*
+ 1a629: 8be9.*
+ 1a62a: 355a.*
+ 1a62b: 8bf1.*
+ 1a62c: 355b.*
+ 1a62d: 8bf8.*
+ 1a62e: 355c.*
+ 1a62f: 8b82.*
+ 1a630: 8b8a.*
+ 1a631: 8b92.*
+ 1a632: 8b9a.*
+ 1a633: 8ba2.*
+ 1a634: 8baa.*
+ 1a635: 8bb2.*
+ 1a636: 8bba.*
+ 1a637: 8bc2.*
+ 1a638: 8bca.*
+ 1a639: 8bd2.*
+ 1a63a: 8bda.*
+ 1a63b: 8be2.*
+ 1a63c: 355d.*
+ 1a63d: 8bea.*
+ 1a63e: 355e.*
+ 1a63f: 8bf2.*
+ 1a640: 355f.*
+ 1a641: 8bf8.*
+ 1a642: 3560.*
+ 1a643: 8b83.*
+ 1a644: 8b8b.*
+ 1a645: 8b93.*
+ 1a646: 8b9b.*
+ 1a647: 8ba3.*
+ 1a648: 8bab.*
+ 1a649: 8bb3.*
+ 1a64a: 8bbb.*
+ 1a64b: 8bc3.*
+ 1a64c: 8bcb.*
+ 1a64d: 8bd3.*
+ 1a64e: 8bdb.*
+ 1a64f: 8be3.*
+ 1a650: 3561.*
+ 1a651: 8beb.*
+ 1a652: 3562.*
+ 1a653: 8bf3.*
+ 1a654: 3563.*
+ 1a655: 8bf8.*
+ 1a656: 3564.*
+ 1a657: 8b84.*
+ 1a658: 8b8c.*
+ 1a659: 8b94.*
+ 1a65a: 8b9c.*
+ 1a65b: 8ba4.*
+ 1a65c: 8bac.*
+ 1a65d: 8bb4.*
+ 1a65e: 8bbc.*
+ 1a65f: 8bc4.*
+ 1a660: 8bcc.*
+ 1a661: 8bd4.*
+ 1a662: 8bdc.*
+ 1a663: 8be4.*
+ 1a664: 3565.*
+ 1a665: 8bec.*
+ 1a666: 3566.*
+ 1a667: 8bf4.*
+ 1a668: 3567.*
+ 1a669: 8bf8.*
+ 1a66a: 3568.*
+ 1a66b: 8b85.*
+ 1a66c: 8b8d.*
+ 1a66d: 8b95.*
+ 1a66e: 8b9d.*
+ 1a66f: 8ba5.*
+ 1a670: 8bad.*
+ 1a671: 8bb5.*
+ 1a672: 8bbd.*
+ 1a673: 8bc5.*
+ 1a674: 8bcd.*
+ 1a675: 8bd5.*
+ 1a676: 8bdd.*
+ 1a677: 8be5.*
+ 1a678: 3569.*
+ 1a679: 8bed.*
+ 1a67a: 356a.*
+ 1a67b: 8bf5.*
+ 1a67c: 356b.*
+ 1a67d: 8bf8.*
+ 1a67e: 356c.*
+ 1a67f: 8b86.*
+ 1a680: 8b8e.*
+ 1a681: 8b96.*
+ 1a682: 8b9e.*
+ 1a683: 8ba6.*
+ 1a684: 8bae.*
+ 1a685: 8bb6.*
+ 1a686: 8bbe.*
+ 1a687: 8bc6.*
+ 1a688: 8bce.*
+ 1a689: 8bd6.*
+ 1a68a: 8bde.*
+ 1a68b: 8be6.*
+ 1a68c: 356d.*
+ 1a68d: 8bee.*
+ 1a68e: 356e.*
+ 1a68f: 8bf6.*
+ 1a690: 356f.*
+ 1a691: 8bf8.*
+ 1a692: 3570.*
+ 1a693: 8b87.*
+ 1a694: 8b8f.*
+ 1a695: 8b97.*
+ 1a696: 8b9f.*
+ 1a697: 8ba7.*
+ 1a698: 8baf.*
+ 1a699: 8bb7.*
+ 1a69a: 8bbf.*
+ 1a69b: 8bc7.*
+ 1a69c: 8bcf.*
+ 1a69d: 8bd7.*
+ 1a69e: 8bdf.*
+ 1a69f: 8be7.*
+ 1a6a0: 3571.*
+ 1a6a1: 8bef.*
+ 1a6a2: 3572.*
+ 1a6a3: 8bf7.*
+ 1a6a4: 3573.*
+ 1a6a5: 8bf8.*
+ 1a6a6: 3574.*
+ 1a6a7: 8a71.*
+ 1a6a8: 8a80.*
+ 1a6a9: 8a88.*
+ 1a6aa: 8a90.*
+ 1a6ab: 8a98.*
+ 1a6ac: 8aa0.*
+ 1a6ad: 8aa8.*
+ 1a6ae: 8ab0.*
+ 1a6af: 8ab8.*
+ 1a6b0: 8ac0.*
+ 1a6b1: 8ac8.*
+ 1a6b2: 8ad0.*
+ 1a6b3: 8ad8.*
+ 1a6b4: 8a81.*
+ 1a6b5: 8a89.*
+ 1a6b6: 8a91.*
+ 1a6b7: 8a99.*
+ 1a6b8: 8aa1.*
+ 1a6b9: 8aa9.*
+ 1a6ba: 8ab1.*
+ 1a6bb: 8ab9.*
+ 1a6bc: 8ac1.*
+ 1a6bd: 8ac9.*
+ 1a6be: 8ad1.*
+ 1a6bf: 8ad9.*
+ 1a6c0: 8a82.*
+ 1a6c1: 8a8a.*
+ 1a6c2: 8a92.*
+ 1a6c3: 8a9a.*
+ 1a6c4: 8aa2.*
+ 1a6c5: 8aaa.*
+ 1a6c6: 8ab2.*
+ 1a6c7: 8aba.*
+ 1a6c8: 8ac2.*
+ 1a6c9: 8aca.*
+ 1a6ca: 8ad2.*
+ 1a6cb: 8ada.*
+ 1a6cc: 8a83.*
+ 1a6cd: 8a8b.*
+ 1a6ce: 8a93.*
+ 1a6cf: 8a9b.*
+ 1a6d0: 8aa3.*
+ 1a6d1: 8aab.*
+ 1a6d2: 8ab3.*
+ 1a6d3: 8abb.*
+ 1a6d4: 8ac3.*
+ 1a6d5: 8acb.*
+ 1a6d6: 8ad3.*
+ 1a6d7: 8adb.*
+ 1a6d8: 8a84.*
+ 1a6d9: 8a8c.*
+ 1a6da: 8a94.*
+ 1a6db: 8a9c.*
+ 1a6dc: 8aa4.*
+ 1a6dd: 8aac.*
+ 1a6de: 8ab4.*
+ 1a6df: 8abc.*
+ 1a6e0: 8ac4.*
+ 1a6e1: 8acc.*
+ 1a6e2: 8ad4.*
+ 1a6e3: 8adc.*
+ 1a6e4: 8a85.*
+ 1a6e5: 8a8d.*
+ 1a6e6: 8a95.*
+ 1a6e7: 8a9d.*
+ 1a6e8: 8aa5.*
+ 1a6e9: 8aad.*
+ 1a6ea: 8ab5.*
+ 1a6eb: 8abd.*
+ 1a6ec: 8ac5.*
+ 1a6ed: 8acd.*
+ 1a6ee: 8ad5.*
+ 1a6ef: 8add.*
+ 1a6f0: 8a86.*
+ 1a6f1: 8a8e.*
+ 1a6f2: 8a96.*
+ 1a6f3: 8a9e.*
+ 1a6f4: 8aa6.*
+ 1a6f5: 8aae.*
+ 1a6f6: 8ab6.*
+ 1a6f7: 8abe.*
+ 1a6f8: 8ac6.*
+ 1a6f9: 8ace.*
+ 1a6fa: 8ad6.*
+ 1a6fb: 8ade.*
+ 1a6fc: 8a87.*
+ 1a6fd: 8a8f.*
+ 1a6fe: 8a97.*
+ 1a6ff: 8a9f.*
+ 1a700: 8aa7.*
+ 1a701: 8aaf.*
+ 1a702: 8ab7.*
+ 1a703: 8abf.*
+ 1a704: 8ac7.*
+ 1a705: 8acf.*
+ 1a706: 8ad7.*
+ 1a707: 8adf.*
+ 1a708: 7472.*
+ 1a709: 0000.*
+ 1a70a: 7480.*
+ 1a70b: 0001.*
+ 1a70c: 7488.*
+ 1a70d: 0002.*
+ 1a70e: 7490.*
+ 1a70f: 0003.*
+ 1a710: 7498.*
+ 1a711: 0004.*
+ 1a712: 74a0.*
+ 1a713: 0005.*
+ 1a714: 74a8.*
+ 1a715: 0006.*
+ 1a716: 74b0.*
+ 1a717: 0007.*
+ 1a718: 74b8.*
+ 1a719: 0008.*
+ 1a71a: 74c0.*
+ 1a71b: 0009.*
+ 1a71c: 74c8.*
+ 1a71d: 000a.*
+ 1a71e: 74d0.*
+ 1a71f: 000b.*
+ 1a720: 74d8.*
+ 1a721: 000c.*
+ 1a722: 74e0.*
+ 1a723: 3575.*
+ 1a724: 000d.*
+ 1a725: 74e8.*
+ 1a726: 3576.*
+ 1a727: 000e.*
+ 1a728: 74f0.*
+ 1a729: 3577.*
+ 1a72a: 000f.*
+ 1a72b: 74f8.*
+ 1a72c: 3578.*
+ 1a72d: 0010.*
+ 1a72e: 7481.*
+ 1a72f: 0011.*
+ 1a730: 7489.*
+ 1a731: 0012.*
+ 1a732: 7491.*
+ 1a733: 0013.*
+ 1a734: 7499.*
+ 1a735: 0014.*
+ 1a736: 74a1.*
+ 1a737: 0015.*
+ 1a738: 74a9.*
+ 1a739: 0016.*
+ 1a73a: 74b1.*
+ 1a73b: 0017.*
+ 1a73c: 74b9.*
+ 1a73d: 0018.*
+ 1a73e: 74c1.*
+ 1a73f: 0019.*
+ 1a740: 74c9.*
+ 1a741: 001a.*
+ 1a742: 74d1.*
+ 1a743: 001b.*
+ 1a744: 74d9.*
+ 1a745: 001c.*
+ 1a746: 74e1.*
+ 1a747: 3579.*
+ 1a748: 001d.*
+ 1a749: 74e9.*
+ 1a74a: 357a.*
+ 1a74b: 001e.*
+ 1a74c: 74f1.*
+ 1a74d: 357b.*
+ 1a74e: 001f.*
+ 1a74f: 74f8.*
+ 1a750: 357c.*
+ 1a751: 0020.*
+ 1a752: 7482.*
+ 1a753: 0021.*
+ 1a754: 748a.*
+ 1a755: 0022.*
+ 1a756: 7492.*
+ 1a757: 0023.*
+ 1a758: 749a.*
+ 1a759: 0024.*
+ 1a75a: 74a2.*
+ 1a75b: 0025.*
+ 1a75c: 74aa.*
+ 1a75d: 0026.*
+ 1a75e: 74b2.*
+ 1a75f: 0027.*
+ 1a760: 74ba.*
+ 1a761: 0028.*
+ 1a762: 74c2.*
+ 1a763: 0029.*
+ 1a764: 74ca.*
+ 1a765: 002a.*
+ 1a766: 74d2.*
+ 1a767: 002b.*
+ 1a768: 74da.*
+ 1a769: 002c.*
+ 1a76a: 74e2.*
+ 1a76b: 357d.*
+ 1a76c: 002d.*
+ 1a76d: 74ea.*
+ 1a76e: 357e.*
+ 1a76f: 002e.*
+ 1a770: 74f2.*
+ 1a771: 357f.*
+ 1a772: 002f.*
+ 1a773: 74f8.*
+ 1a774: 3580.*
+ 1a775: 0030.*
+ 1a776: 7483.*
+ 1a777: 0031.*
+ 1a778: 748b.*
+ 1a779: 0032.*
+ 1a77a: 7493.*
+ 1a77b: 0033.*
+ 1a77c: 749b.*
+ 1a77d: 0034.*
+ 1a77e: 74a3.*
+ 1a77f: 0035.*
+ 1a780: 74ab.*
+ 1a781: 0036.*
+ 1a782: 74b3.*
+ 1a783: 0037.*
+ 1a784: 74bb.*
+ 1a785: 0038.*
+ 1a786: 74c3.*
+ 1a787: 0039.*
+ 1a788: 74cb.*
+ 1a789: 003a.*
+ 1a78a: 74d3.*
+ 1a78b: 003b.*
+ 1a78c: 74db.*
+ 1a78d: 003c.*
+ 1a78e: 74e3.*
+ 1a78f: 3581.*
+ 1a790: 003d.*
+ 1a791: 74eb.*
+ 1a792: 3582.*
+ 1a793: 003e.*
+ 1a794: 74f3.*
+ 1a795: 3583.*
+ 1a796: 003f.*
+ 1a797: 74f8.*
+ 1a798: 3584.*
+ 1a799: 0040.*
+ 1a79a: 7484.*
+ 1a79b: 0041.*
+ 1a79c: 748c.*
+ 1a79d: 0042.*
+ 1a79e: 7494.*
+ 1a79f: 0043.*
+ 1a7a0: 749c.*
+ 1a7a1: 0044.*
+ 1a7a2: 74a4.*
+ 1a7a3: 0045.*
+ 1a7a4: 74ac.*
+ 1a7a5: 0046.*
+ 1a7a6: 74b4.*
+ 1a7a7: 0047.*
+ 1a7a8: 74bc.*
+ 1a7a9: 0048.*
+ 1a7aa: 74c4.*
+ 1a7ab: 0049.*
+ 1a7ac: 74cc.*
+ 1a7ad: 004a.*
+ 1a7ae: 74d4.*
+ 1a7af: 004b.*
+ 1a7b0: 74dc.*
+ 1a7b1: 004c.*
+ 1a7b2: 74e4.*
+ 1a7b3: 3585.*
+ 1a7b4: 004d.*
+ 1a7b5: 74ec.*
+ 1a7b6: 3586.*
+ 1a7b7: 004e.*
+ 1a7b8: 74f4.*
+ 1a7b9: 3587.*
+ 1a7ba: 004f.*
+ 1a7bb: 74f8.*
+ 1a7bc: 3588.*
+ 1a7bd: 0050.*
+ 1a7be: 7485.*
+ 1a7bf: 0051.*
+ 1a7c0: 748d.*
+ 1a7c1: 0052.*
+ 1a7c2: 7495.*
+ 1a7c3: 0053.*
+ 1a7c4: 749d.*
+ 1a7c5: 0054.*
+ 1a7c6: 74a5.*
+ 1a7c7: 0055.*
+ 1a7c8: 74ad.*
+ 1a7c9: 0056.*
+ 1a7ca: 74b5.*
+ 1a7cb: 0057.*
+ 1a7cc: 74bd.*
+ 1a7cd: 0058.*
+ 1a7ce: 74c5.*
+ 1a7cf: 0059.*
+ 1a7d0: 74cd.*
+ 1a7d1: 005a.*
+ 1a7d2: 74d5.*
+ 1a7d3: 005b.*
+ 1a7d4: 74dd.*
+ 1a7d5: 005c.*
+ 1a7d6: 74e5.*
+ 1a7d7: 3589.*
+ 1a7d8: 005d.*
+ 1a7d9: 74ed.*
+ 1a7da: 358a.*
+ 1a7db: 005e.*
+ 1a7dc: 74f5.*
+ 1a7dd: 358b.*
+ 1a7de: 005f.*
+ 1a7df: 74f8.*
+ 1a7e0: 358c.*
+ 1a7e1: 0060.*
+ 1a7e2: 7486.*
+ 1a7e3: 0061.*
+ 1a7e4: 748e.*
+ 1a7e5: 0062.*
+ 1a7e6: 7496.*
+ 1a7e7: 0063.*
+ 1a7e8: 749e.*
+ 1a7e9: 0064.*
+ 1a7ea: 74a6.*
+ 1a7eb: 0065.*
+ 1a7ec: 74ae.*
+ 1a7ed: 0066.*
+ 1a7ee: 74b6.*
+ 1a7ef: 0067.*
+ 1a7f0: 74be.*
+ 1a7f1: 0068.*
+ 1a7f2: 74c6.*
+ 1a7f3: 0069.*
+ 1a7f4: 74ce.*
+ 1a7f5: 006a.*
+ 1a7f6: 74d6.*
+ 1a7f7: 006b.*
+ 1a7f8: 74de.*
+ 1a7f9: 006c.*
+ 1a7fa: 74e6.*
+ 1a7fb: 358d.*
+ 1a7fc: 006d.*
+ 1a7fd: 74ee.*
+ 1a7fe: 358e.*
+ 1a7ff: 006e.*
+ 1a800: 74f6.*
+ 1a801: 358f.*
+ 1a802: 006f.*
+ 1a803: 74f8.*
+ 1a804: 3590.*
+ 1a805: 0070.*
+ 1a806: 7487.*
+ 1a807: 0071.*
+ 1a808: 748f.*
+ 1a809: 0072.*
+ 1a80a: 7497.*
+ 1a80b: 0073.*
+ 1a80c: 749f.*
+ 1a80d: 0074.*
+ 1a80e: 74a7.*
+ 1a80f: 0075.*
+ 1a810: 74af.*
+ 1a811: 0076.*
+ 1a812: 74b7.*
+ 1a813: 0077.*
+ 1a814: 74bf.*
+ 1a815: 0078.*
+ 1a816: 74c7.*
+ 1a817: 0079.*
+ 1a818: 74cf.*
+ 1a819: 007a.*
+ 1a81a: 74d7.*
+ 1a81b: 007b.*
+ 1a81c: 74df.*
+ 1a81d: 007c.*
+ 1a81e: 74e7.*
+ 1a81f: 3591.*
+ 1a820: 007d.*
+ 1a821: 74ef.*
+ 1a822: 3592.*
+ 1a823: 007e.*
+ 1a824: 74f7.*
+ 1a825: 3593.*
+ 1a826: 007f.*
+ 1a827: 74f8.*
+ 1a828: 3594.*
+ 1a829: 0080.*
+ 1a82a: 7573.*
+ 1a82b: 0081.*
+ 1a82c: 7580.*
+ 1a82d: 0082.*
+ 1a82e: 7588.*
+ 1a82f: 0083.*
+ 1a830: 7590.*
+ 1a831: 0084.*
+ 1a832: 75a0.*
+ 1a833: 0085.*
+ 1a834: 75a8.*
+ 1a835: 0086.*
+ 1a836: 75b0.*
+ 1a837: 0087.*
+ 1a838: 75b8.*
+ 1a839: 0088.*
+ 1a83a: 75c0.*
+ 1a83b: 0089.*
+ 1a83c: 75c8.*
+ 1a83d: 008a.*
+ 1a83e: 75d0.*
+ 1a83f: 008b.*
+ 1a840: 75d8.*
+ 1a841: 008c.*
+ 1a842: 75e0.*
+ 1a843: 3595.*
+ 1a844: 008d.*
+ 1a845: 75e8.*
+ 1a846: 3596.*
+ 1a847: 008e.*
+ 1a848: 75f0.*
+ 1a849: 3597.*
+ 1a84a: 008f.*
+ 1a84b: 75f8.*
+ 1a84c: 3598.*
+ 1a84d: 0090.*
+ 1a84e: 7581.*
+ 1a84f: 0091.*
+ 1a850: 7589.*
+ 1a851: 0092.*
+ 1a852: 7591.*
+ 1a853: 0093.*
+ 1a854: 75a1.*
+ 1a855: 0094.*
+ 1a856: 75a9.*
+ 1a857: 0095.*
+ 1a858: 75b1.*
+ 1a859: 0096.*
+ 1a85a: 75b9.*
+ 1a85b: 0097.*
+ 1a85c: 75c1.*
+ 1a85d: 0098.*
+ 1a85e: 75c9.*
+ 1a85f: 0099.*
+ 1a860: 75d1.*
+ 1a861: 009a.*
+ 1a862: 75d9.*
+ 1a863: 009b.*
+ 1a864: 75e1.*
+ 1a865: 3599.*
+ 1a866: 009c.*
+ 1a867: 75e9.*
+ 1a868: 359a.*
+ 1a869: 009d.*
+ 1a86a: 75f1.*
+ 1a86b: 359b.*
+ 1a86c: 009e.*
+ 1a86d: 75f8.*
+ 1a86e: 359c.*
+ 1a86f: 009f.*
+ 1a870: 7582.*
+ 1a871: 00a0.*
+ 1a872: 758a.*
+ 1a873: 00a1.*
+ 1a874: 7592.*
+ 1a875: 00a2.*
+ 1a876: 75a2.*
+ 1a877: 00a3.*
+ 1a878: 75aa.*
+ 1a879: 00a4.*
+ 1a87a: 75b2.*
+ 1a87b: 00a5.*
+ 1a87c: 75ba.*
+ 1a87d: 00a6.*
+ 1a87e: 75c2.*
+ 1a87f: 00a7.*
+ 1a880: 75ca.*
+ 1a881: 00a8.*
+ 1a882: 75d2.*
+ 1a883: 00a9.*
+ 1a884: 75da.*
+ 1a885: 00aa.*
+ 1a886: 75e2.*
+ 1a887: 359d.*
+ 1a888: 00ab.*
+ 1a889: 75ea.*
+ 1a88a: 359e.*
+ 1a88b: 00ac.*
+ 1a88c: 75f2.*
+ 1a88d: 359f.*
+ 1a88e: 00ad.*
+ 1a88f: 75f8.*
+ 1a890: 35a0.*
+ 1a891: 00ae.*
+ 1a892: 7583.*
+ 1a893: 00af.*
+ 1a894: 758b.*
+ 1a895: 00b0.*
+ 1a896: 7593.*
+ 1a897: 00b1.*
+ 1a898: 75a3.*
+ 1a899: 00b2.*
+ 1a89a: 75ab.*
+ 1a89b: 00b3.*
+ 1a89c: 75b3.*
+ 1a89d: 00b4.*
+ 1a89e: 75bb.*
+ 1a89f: 00b5.*
+ 1a8a0: 75c3.*
+ 1a8a1: 00b6.*
+ 1a8a2: 75cb.*
+ 1a8a3: 00b7.*
+ 1a8a4: 75d3.*
+ 1a8a5: 00b8.*
+ 1a8a6: 75db.*
+ 1a8a7: 00b9.*
+ 1a8a8: 75e3.*
+ 1a8a9: 35a1.*
+ 1a8aa: 00ba.*
+ 1a8ab: 75eb.*
+ 1a8ac: 35a2.*
+ 1a8ad: 00bb.*
+ 1a8ae: 75f3.*
+ 1a8af: 35a3.*
+ 1a8b0: 00bc.*
+ 1a8b1: 75f8.*
+ 1a8b2: 35a4.*
+ 1a8b3: 00bd.*
+ 1a8b4: 7584.*
+ 1a8b5: 00be.*
+ 1a8b6: 758c.*
+ 1a8b7: 00bf.*
+ 1a8b8: 7594.*
+ 1a8b9: 00c0.*
+ 1a8ba: 75a4.*
+ 1a8bb: 00c1.*
+ 1a8bc: 75ac.*
+ 1a8bd: 00c2.*
+ 1a8be: 75b4.*
+ 1a8bf: 00c3.*
+ 1a8c0: 75bc.*
+ 1a8c1: 00c4.*
+ 1a8c2: 75c4.*
+ 1a8c3: 00c5.*
+ 1a8c4: 75cc.*
+ 1a8c5: 00c6.*
+ 1a8c6: 75d4.*
+ 1a8c7: 00c7.*
+ 1a8c8: 75dc.*
+ 1a8c9: 00c8.*
+ 1a8ca: 75e4.*
+ 1a8cb: 35a5.*
+ 1a8cc: 00c9.*
+ 1a8cd: 75ec.*
+ 1a8ce: 35a6.*
+ 1a8cf: 00ca.*
+ 1a8d0: 75f4.*
+ 1a8d1: 35a7.*
+ 1a8d2: 00cb.*
+ 1a8d3: 75f8.*
+ 1a8d4: 35a8.*
+ 1a8d5: 00cc.*
+ 1a8d6: 7585.*
+ 1a8d7: 00cd.*
+ 1a8d8: 758d.*
+ 1a8d9: 00ce.*
+ 1a8da: 7595.*
+ 1a8db: 00cf.*
+ 1a8dc: 75a5.*
+ 1a8dd: 00d0.*
+ 1a8de: 75ad.*
+ 1a8df: 00d1.*
+ 1a8e0: 75b5.*
+ 1a8e1: 00d2.*
+ 1a8e2: 75bd.*
+ 1a8e3: 00d3.*
+ 1a8e4: 75c5.*
+ 1a8e5: 00d4.*
+ 1a8e6: 75cd.*
+ 1a8e7: 00d5.*
+ 1a8e8: 75d5.*
+ 1a8e9: 00d6.*
+ 1a8ea: 75dd.*
+ 1a8eb: 00d7.*
+ 1a8ec: 75e5.*
+ 1a8ed: 35a9.*
+ 1a8ee: 00d8.*
+ 1a8ef: 75ed.*
+ 1a8f0: 35aa.*
+ 1a8f1: 00d9.*
+ 1a8f2: 75f5.*
+ 1a8f3: 35ab.*
+ 1a8f4: 00da.*
+ 1a8f5: 75f8.*
+ 1a8f6: 35ac.*
+ 1a8f7: 00db.*
+ 1a8f8: 7586.*
+ 1a8f9: 00dc.*
+ 1a8fa: 758e.*
+ 1a8fb: 00dd.*
+ 1a8fc: 7596.*
+ 1a8fd: 00de.*
+ 1a8fe: 75a6.*
+ 1a8ff: 00df.*
+ 1a900: 75ae.*
+ 1a901: 00e0.*
+ 1a902: 75b6.*
+ 1a903: 00e1.*
+ 1a904: 75be.*
+ 1a905: 00e2.*
+ 1a906: 75c6.*
+ 1a907: 00e3.*
+ 1a908: 75ce.*
+ 1a909: 00e4.*
+ 1a90a: 75d6.*
+ 1a90b: 00e5.*
+ 1a90c: 75de.*
+ 1a90d: 00e6.*
+ 1a90e: 75e6.*
+ 1a90f: 35ad.*
+ 1a910: 00e7.*
+ 1a911: 75ee.*
+ 1a912: 35ae.*
+ 1a913: 00e8.*
+ 1a914: 75f6.*
+ 1a915: 35af.*
+ 1a916: 00e9.*
+ 1a917: 75f8.*
+ 1a918: 35b0.*
+ 1a919: 00ea.*
+ 1a91a: 7587.*
+ 1a91b: 00eb.*
+ 1a91c: 758f.*
+ 1a91d: 00ec.*
+ 1a91e: 7597.*
+ 1a91f: 00ed.*
+ 1a920: 75a7.*
+ 1a921: 00ee.*
+ 1a922: 75af.*
+ 1a923: 00ef.*
+ 1a924: 75b7.*
+ 1a925: 00f0.*
+ 1a926: 75bf.*
+ 1a927: 00f1.*
+ 1a928: 75c7.*
+ 1a929: 00f2.*
+ 1a92a: 75cf.*
+ 1a92b: 00f3.*
+ 1a92c: 75d7.*
+ 1a92d: 00f4.*
+ 1a92e: 75df.*
+ 1a92f: 00f5.*
+ 1a930: 75e7.*
+ 1a931: 35b1.*
+ 1a932: 00f6.*
+ 1a933: 75ef.*
+ 1a934: 35b2.*
+ 1a935: 00f7.*
+ 1a936: 75f7.*
+ 1a937: 35b3.*
+ 1a938: 00f8.*
+ 1a939: 75f8.*
+ 1a93a: 35b4.*
+ 1a93b: 00f9.*
+ 1a93c: 4b74.*
+ 1a93d: 4b80.*
+ 1a93e: 4b88.*
+ 1a93f: 4b90.*
+ 1a940: 4ba0.*
+ 1a941: 4ba8.*
+ 1a942: 4bb0.*
+ 1a943: 4bb8.*
+ 1a944: 4bc0.*
+ 1a945: 4bc8.*
+ 1a946: 4bd0.*
+ 1a947: 4bd8.*
+ 1a948: 4be0.*
+ 1a949: 35b5.*
+ 1a94a: 4be8.*
+ 1a94b: 35b6.*
+ 1a94c: 4bf0.*
+ 1a94d: 35b7.*
+ 1a94e: 4bf8.*
+ 1a94f: 35b8.*
+ 1a950: 4b81.*
+ 1a951: 4b89.*
+ 1a952: 4b91.*
+ 1a953: 4ba1.*
+ 1a954: 4ba9.*
+ 1a955: 4bb1.*
+ 1a956: 4bb9.*
+ 1a957: 4bc1.*
+ 1a958: 4bc9.*
+ 1a959: 4bd1.*
+ 1a95a: 4bd9.*
+ 1a95b: 4be1.*
+ 1a95c: 35b9.*
+ 1a95d: 4be9.*
+ 1a95e: 35ba.*
+ 1a95f: 4bf1.*
+ 1a960: 35bb.*
+ 1a961: 4bf8.*
+ 1a962: 35bc.*
+ 1a963: 4b82.*
+ 1a964: 4b8a.*
+ 1a965: 4b92.*
+ 1a966: 4ba2.*
+ 1a967: 4baa.*
+ 1a968: 4bb2.*
+ 1a969: 4bba.*
+ 1a96a: 4bc2.*
+ 1a96b: 4bca.*
+ 1a96c: 4bd2.*
+ 1a96d: 4bda.*
+ 1a96e: 4be2.*
+ 1a96f: 35bd.*
+ 1a970: 4bea.*
+ 1a971: 35be.*
+ 1a972: 4bf2.*
+ 1a973: 35bf.*
+ 1a974: 4bf8.*
+ 1a975: 35c0.*
+ 1a976: 4b83.*
+ 1a977: 4b8b.*
+ 1a978: 4b93.*
+ 1a979: 4ba3.*
+ 1a97a: 4bab.*
+ 1a97b: 4bb3.*
+ 1a97c: 4bbb.*
+ 1a97d: 4bc3.*
+ 1a97e: 4bcb.*
+ 1a97f: 4bd3.*
+ 1a980: 4bdb.*
+ 1a981: 4be3.*
+ 1a982: 35c1.*
+ 1a983: 4beb.*
+ 1a984: 35c2.*
+ 1a985: 4bf3.*
+ 1a986: 35c3.*
+ 1a987: 4bf8.*
+ 1a988: 35c4.*
+ 1a989: 4b84.*
+ 1a98a: 4b8c.*
+ 1a98b: 4b94.*
+ 1a98c: 4ba4.*
+ 1a98d: 4bac.*
+ 1a98e: 4bb4.*
+ 1a98f: 4bbc.*
+ 1a990: 4bc4.*
+ 1a991: 4bcc.*
+ 1a992: 4bd4.*
+ 1a993: 4bdc.*
+ 1a994: 4be4.*
+ 1a995: 35c5.*
+ 1a996: 4bec.*
+ 1a997: 35c6.*
+ 1a998: 4bf4.*
+ 1a999: 35c7.*
+ 1a99a: 4bf8.*
+ 1a99b: 35c8.*
+ 1a99c: 4b85.*
+ 1a99d: 4b8d.*
+ 1a99e: 4b95.*
+ 1a99f: 4ba5.*
+ 1a9a0: 4bad.*
+ 1a9a1: 4bb5.*
+ 1a9a2: 4bbd.*
+ 1a9a3: 4bc5.*
+ 1a9a4: 4bcd.*
+ 1a9a5: 4bd5.*
+ 1a9a6: 4bdd.*
+ 1a9a7: 4be5.*
+ 1a9a8: 35c9.*
+ 1a9a9: 4bed.*
+ 1a9aa: 35ca.*
+ 1a9ab: 4bf5.*
+ 1a9ac: 35cb.*
+ 1a9ad: 4bf8.*
+ 1a9ae: 35cc.*
+ 1a9af: 4b86.*
+ 1a9b0: 4b8e.*
+ 1a9b1: 4b96.*
+ 1a9b2: 4ba6.*
+ 1a9b3: 4bae.*
+ 1a9b4: 4bb6.*
+ 1a9b5: 4bbe.*
+ 1a9b6: 4bc6.*
+ 1a9b7: 4bce.*
+ 1a9b8: 4bd6.*
+ 1a9b9: 4bde.*
+ 1a9ba: 4be6.*
+ 1a9bb: 35cd.*
+ 1a9bc: 4bee.*
+ 1a9bd: 35ce.*
+ 1a9be: 4bf6.*
+ 1a9bf: 35cf.*
+ 1a9c0: 4bf8.*
+ 1a9c1: 35d0.*
+ 1a9c2: 4b87.*
+ 1a9c3: 4b8f.*
+ 1a9c4: 4b97.*
+ 1a9c5: 4ba7.*
+ 1a9c6: 4baf.*
+ 1a9c7: 4bb7.*
+ 1a9c8: 4bbf.*
+ 1a9c9: 4bc7.*
+ 1a9ca: 4bcf.*
+ 1a9cb: 4bd7.*
+ 1a9cc: 4bdf.*
+ 1a9cd: 4be7.*
+ 1a9ce: 35d1.*
+ 1a9cf: 4bef.*
+ 1a9d0: 35d2.*
+ 1a9d1: 4bf7.*
+ 1a9d2: 35d3.*
+ 1a9d3: 4bf8.*
+ 1a9d4: 35d4.*
+ 1a9d5: 4a75.*
+ 1a9d6: 4a80.*
+ 1a9d7: 4a88.*
+ 1a9d8: 4a90.*
+ 1a9d9: 4aa0.*
+ 1a9da: 4aa8.*
+ 1a9db: 4ab0.*
+ 1a9dc: 4ab8.*
+ 1a9dd: 4ac0.*
+ 1a9de: 4ac8.*
+ 1a9df: 4ad0.*
+ 1a9e0: 4ad8.*
+ 1a9e1: 4a81.*
+ 1a9e2: 4a89.*
+ 1a9e3: 4a91.*
+ 1a9e4: 4aa1.*
+ 1a9e5: 4aa9.*
+ 1a9e6: 4ab1.*
+ 1a9e7: 4ab9.*
+ 1a9e8: 4ac1.*
+ 1a9e9: 4ac9.*
+ 1a9ea: 4ad1.*
+ 1a9eb: 4ad9.*
+ 1a9ec: 4a82.*
+ 1a9ed: 4a8a.*
+ 1a9ee: 4a92.*
+ 1a9ef: 4aa2.*
+ 1a9f0: 4aaa.*
+ 1a9f1: 4ab2.*
+ 1a9f2: 4aba.*
+ 1a9f3: 4ac2.*
+ 1a9f4: 4aca.*
+ 1a9f5: 4ad2.*
+ 1a9f6: 4ada.*
+ 1a9f7: 4a83.*
+ 1a9f8: 4a8b.*
+ 1a9f9: 4a93.*
+ 1a9fa: 4aa3.*
+ 1a9fb: 4aab.*
+ 1a9fc: 4ab3.*
+ 1a9fd: 4abb.*
+ 1a9fe: 4ac3.*
+ 1a9ff: 4acb.*
+ 1aa00: 4ad3.*
+ 1aa01: 4adb.*
+ 1aa02: 4a84.*
+ 1aa03: 4a8c.*
+ 1aa04: 4a94.*
+ 1aa05: 4aa4.*
+ 1aa06: 4aac.*
+ 1aa07: 4ab4.*
+ 1aa08: 4abc.*
+ 1aa09: 4ac4.*
+ 1aa0a: 4acc.*
+ 1aa0b: 4ad4.*
+ 1aa0c: 4adc.*
+ 1aa0d: 4a85.*
+ 1aa0e: 4a8d.*
+ 1aa0f: 4a95.*
+ 1aa10: 4aa5.*
+ 1aa11: 4aad.*
+ 1aa12: 4ab5.*
+ 1aa13: 4abd.*
+ 1aa14: 4ac5.*
+ 1aa15: 4acd.*
+ 1aa16: 4ad5.*
+ 1aa17: 4add.*
+ 1aa18: 4a86.*
+ 1aa19: 4a8e.*
+ 1aa1a: 4a96.*
+ 1aa1b: 4aa6.*
+ 1aa1c: 4aae.*
+ 1aa1d: 4ab6.*
+ 1aa1e: 4abe.*
+ 1aa1f: 4ac6.*
+ 1aa20: 4ace.*
+ 1aa21: 4ad6.*
+ 1aa22: 4ade.*
+ 1aa23: 4a87.*
+ 1aa24: 4a8f.*
+ 1aa25: 4a97.*
+ 1aa26: 4aa7.*
+ 1aa27: 4aaf.*
+ 1aa28: 4ab7.*
+ 1aa29: 4abf.*
+ 1aa2a: 4ac7.*
+ 1aa2b: 4acf.*
+ 1aa2c: 4ad7.*
+ 1aa2d: 4adf.*
+ 1aa2e: fc00.*
+ 1aa2f: fc43.*
+ 1aa30: fc4b.*
+ 1aa31: fc47.*
+ 1aa32: fc4f.*
+ 1aa33: fc46.*
+ 1aa34: fc4e.*
+ 1aa35: fc44.*
+ 1aa36: fc4c.*
+ 1aa37: fc42.*
+ 1aa38: fc4a.*
+ 1aa39: fc45.*
+ 1aa3a: fc4d.*
+ 1aa3b: fc60.*
+ 1aa3c: fc68.*
+ 1aa3d: fc70.*
+ 1aa3e: fc78.*
+ 1aa3f: fc20.*
+ 1aa40: fc30.*
+ 1aa41: fc08.*
+ 1aa42: fc0c.*
+ 1aa43: fc02.*
+ 1aa44: fc03.*
+ 1aa45: fc63.*
+ 1aa46: fc63.*
+ 1aa47: fc6b.*
+ 1aa48: fc6b.*
+ 1aa49: fc73.*
+ 1aa4a: fc73.*
+ 1aa4b: fc7b.*
+ 1aa4c: fc7b.*
+ 1aa4d: fc67.*
+ 1aa4e: fc67.*
+ 1aa4f: fc6f.*
+ 1aa50: fc6f.*
+ 1aa51: fc77.*
+ 1aa52: fc77.*
+ 1aa53: fc7f.*
+ 1aa54: fc7f.*
+ 1aa55: fc66.*
+ 1aa56: fc66.*
+ 1aa57: fc6e.*
+ 1aa58: fc6e.*
+ 1aa59: fc76.*
+ 1aa5a: fc76.*
+ 1aa5b: fc7e.*
+ 1aa5c: fc7e.*
+ 1aa5d: fc64.*
+ 1aa5e: fc64.*
+ 1aa5f: fc6c.*
+ 1aa60: fc6c.*
+ 1aa61: fc74.*
+ 1aa62: fc74.*
+ 1aa63: fc7c.*
+ 1aa64: fc7c.*
+ 1aa65: fc62.*
+ 1aa66: fc62.*
+ 1aa67: fc6a.*
+ 1aa68: fc6a.*
+ 1aa69: fc72.*
+ 1aa6a: fc72.*
+ 1aa6b: fc7a.*
+ 1aa6c: fc7a.*
+ 1aa6d: fc65.*
+ 1aa6e: fc65.*
+ 1aa6f: fc6d.*
+ 1aa70: fc6d.*
+ 1aa71: fc75.*
+ 1aa72: fc75.*
+ 1aa73: fc7d.*
+ 1aa74: fc7d.*
+ 1aa75: fc2a.*
+ 1aa76: fc2a.*
+ 1aa77: fc2a.*
+ 1aa78: fc2a.*
+ 1aa79: fc2a.*
+ 1aa7a: fc2a.*
+ 1aa7b: fc28.*
+ 1aa7c: fc22.*
+ 1aa7d: fc22.*
+ 1aa7e: fc0a.*
+ 1aa7f: fc0a.*
+ 1aa80: fc2b.*
+ 1aa81: fc2b.*
+ 1aa82: fc2b.*
+ 1aa83: fc2b.*
+ 1aa84: fc2b.*
+ 1aa85: fc2b.*
+ 1aa86: fc28.*
+ 1aa87: fc23.*
+ 1aa88: fc23.*
+ 1aa89: fc0b.*
+ 1aa8a: fc0b.*
+ 1aa8b: fc2e.*
+ 1aa8c: fc2e.*
+ 1aa8d: fc2e.*
+ 1aa8e: fc2e.*
+ 1aa8f: fc2e.*
+ 1aa90: fc2e.*
+ 1aa91: fc2c.*
+ 1aa92: fc22.*
+ 1aa93: fc22.*
+ 1aa94: fc0e.*
+ 1aa95: fc0e.*
+ 1aa96: fc2f.*
+ 1aa97: fc2f.*
+ 1aa98: fc2f.*
+ 1aa99: fc2f.*
+ 1aa9a: fc2f.*
+ 1aa9b: fc2f.*
+ 1aa9c: fc2c.*
+ 1aa9d: fc23.*
+ 1aa9e: fc23.*
+ 1aa9f: fc0f.*
+ 1aaa0: fc0f.*
+ 1aaa1: fc3a.*
+ 1aaa2: fc3a.*
+ 1aaa3: fc3a.*
+ 1aaa4: fc3a.*
+ 1aaa5: fc3a.*
+ 1aaa6: fc3a.*
+ 1aaa7: fc38.*
+ 1aaa8: fc32.*
+ 1aaa9: fc32.*
+ 1aaaa: fc0a.*
+ 1aaab: fc0a.*
+ 1aaac: fc3b.*
+ 1aaad: fc3b.*
+ 1aaae: fc3b.*
+ 1aaaf: fc3b.*
+ 1aab0: fc3b.*
+ 1aab1: fc3b.*
+ 1aab2: fc38.*
+ 1aab3: fc33.*
+ 1aab4: fc33.*
+ 1aab5: fc0b.*
+ 1aab6: fc0b.*
+ 1aab7: fc3e.*
+ 1aab8: fc3e.*
+ 1aab9: fc3e.*
+ 1aaba: fc3e.*
+ 1aabb: fc3e.*
+ 1aabc: fc3e.*
+ 1aabd: fc3c.*
+ 1aabe: fc32.*
+ 1aabf: fc32.*
+ 1aac0: fc0e.*
+ 1aac1: fc0e.*
+ 1aac2: fc3f.*
+ 1aac3: fc3f.*
+ 1aac4: fc3f.*
+ 1aac5: fc3f.*
+ 1aac6: fc3f.*
+ 1aac7: fc3f.*
+ 1aac8: fc3c.*
+ 1aac9: fc33.*
+ 1aaca: fc33.*
+ 1aacb: fc0f.*
+ 1aacc: fc0f.*
+ 1aacd: fe00.*
+ 1aace: f495.*
+ 1aacf: f495.*
+ 1aad0: fe43.*
+ 1aad1: f495.*
+ 1aad2: f495.*
+ 1aad3: fe4b.*
+ 1aad4: f495.*
+ 1aad5: f495.*
+ 1aad6: fe47.*
+ 1aad7: f495.*
+ 1aad8: f495.*
+ 1aad9: fe4f.*
+ 1aada: f495.*
+ 1aadb: f495.*
+ 1aadc: fe46.*
+ 1aadd: f495.*
+ 1aade: f495.*
+ 1aadf: fe4e.*
+ 1aae0: f495.*
+ 1aae1: f495.*
+ 1aae2: fe44.*
+ 1aae3: f495.*
+ 1aae4: f495.*
+ 1aae5: fe4c.*
+ 1aae6: f495.*
+ 1aae7: f495.*
+ 1aae8: fe42.*
+ 1aae9: f495.*
+ 1aaea: f495.*
+ 1aaeb: fe4a.*
+ 1aaec: f495.*
+ 1aaed: f495.*
+ 1aaee: fe45.*
+ 1aaef: f495.*
+ 1aaf0: f495.*
+ 1aaf1: fe4d.*
+ 1aaf2: f495.*
+ 1aaf3: f495.*
+ 1aaf4: fe60.*
+ 1aaf5: f495.*
+ 1aaf6: f495.*
+ 1aaf7: fe68.*
+ 1aaf8: f495.*
+ 1aaf9: f495.*
+ 1aafa: fe70.*
+ 1aafb: f495.*
+ 1aafc: f495.*
+ 1aafd: fe78.*
+ 1aafe: f495.*
+ 1aaff: f495.*
+ 1ab00: fe20.*
+ 1ab01: f495.*
+ 1ab02: f495.*
+ 1ab03: fe30.*
+ 1ab04: f495.*
+ 1ab05: f495.*
+ 1ab06: fe08.*
+ 1ab07: f495.*
+ 1ab08: f495.*
+ 1ab09: fe0c.*
+ 1ab0a: f495.*
+ 1ab0b: f495.*
+ 1ab0c: fe02.*
+ 1ab0d: f495.*
+ 1ab0e: f495.*
+ 1ab0f: fe03.*
+ 1ab10: f495.*
+ 1ab11: f495.*
+ 1ab12: fe63.*
+ 1ab13: f495.*
+ 1ab14: f495.*
+ 1ab15: fe63.*
+ 1ab16: f495.*
+ 1ab17: f495.*
+ 1ab18: fe6b.*
+ 1ab19: f495.*
+ 1ab1a: f495.*
+ 1ab1b: fe6b.*
+ 1ab1c: f495.*
+ 1ab1d: f495.*
+ 1ab1e: fe73.*
+ 1ab1f: f495.*
+ 1ab20: f495.*
+ 1ab21: fe73.*
+ 1ab22: f495.*
+ 1ab23: f495.*
+ 1ab24: fe7b.*
+ 1ab25: f495.*
+ 1ab26: f495.*
+ 1ab27: fe7b.*
+ 1ab28: f495.*
+ 1ab29: f495.*
+ 1ab2a: fe67.*
+ 1ab2b: f495.*
+ 1ab2c: f495.*
+ 1ab2d: fe67.*
+ 1ab2e: f495.*
+ 1ab2f: f495.*
+ 1ab30: fe6f.*
+ 1ab31: f495.*
+ 1ab32: f495.*
+ 1ab33: fe6f.*
+ 1ab34: f495.*
+ 1ab35: f495.*
+ 1ab36: fe77.*
+ 1ab37: f495.*
+ 1ab38: f495.*
+ 1ab39: fe77.*
+ 1ab3a: f495.*
+ 1ab3b: f495.*
+ 1ab3c: fe7f.*
+ 1ab3d: f495.*
+ 1ab3e: f495.*
+ 1ab3f: fe7f.*
+ 1ab40: f495.*
+ 1ab41: f495.*
+ 1ab42: fe66.*
+ 1ab43: f495.*
+ 1ab44: f495.*
+ 1ab45: fe66.*
+ 1ab46: f495.*
+ 1ab47: f495.*
+ 1ab48: fe6e.*
+ 1ab49: f495.*
+ 1ab4a: f495.*
+ 1ab4b: fe6e.*
+ 1ab4c: f495.*
+ 1ab4d: f495.*
+ 1ab4e: fe76.*
+ 1ab4f: f495.*
+ 1ab50: f495.*
+ 1ab51: fe76.*
+ 1ab52: f495.*
+ 1ab53: f495.*
+ 1ab54: fe7e.*
+ 1ab55: f495.*
+ 1ab56: f495.*
+ 1ab57: fe7e.*
+ 1ab58: f495.*
+ 1ab59: f495.*
+ 1ab5a: fe64.*
+ 1ab5b: f495.*
+ 1ab5c: f495.*
+ 1ab5d: fe64.*
+ 1ab5e: f495.*
+ 1ab5f: f495.*
+ 1ab60: fe6c.*
+ 1ab61: f495.*
+ 1ab62: f495.*
+ 1ab63: fe6c.*
+ 1ab64: f495.*
+ 1ab65: f495.*
+ 1ab66: fe74.*
+ 1ab67: f495.*
+ 1ab68: f495.*
+ 1ab69: fe74.*
+ 1ab6a: f495.*
+ 1ab6b: f495.*
+ 1ab6c: fe7c.*
+ 1ab6d: f495.*
+ 1ab6e: f495.*
+ 1ab6f: fe7c.*
+ 1ab70: f495.*
+ 1ab71: f495.*
+ 1ab72: fe62.*
+ 1ab73: f495.*
+ 1ab74: f495.*
+ 1ab75: fe62.*
+ 1ab76: f495.*
+ 1ab77: f495.*
+ 1ab78: fe6a.*
+ 1ab79: f495.*
+ 1ab7a: f495.*
+ 1ab7b: fe6a.*
+ 1ab7c: f495.*
+ 1ab7d: f495.*
+ 1ab7e: fe72.*
+ 1ab7f: f495.*
+ 1ab80: f495.*
+ 1ab81: fe72.*
+ 1ab82: f495.*
+ 1ab83: f495.*
+ 1ab84: fe7a.*
+ 1ab85: f495.*
+ 1ab86: f495.*
+ 1ab87: fe7a.*
+ 1ab88: f495.*
+ 1ab89: f495.*
+ 1ab8a: fe65.*
+ 1ab8b: f495.*
+ 1ab8c: f495.*
+ 1ab8d: fe65.*
+ 1ab8e: f495.*
+ 1ab8f: f495.*
+ 1ab90: fe6d.*
+ 1ab91: f495.*
+ 1ab92: f495.*
+ 1ab93: fe6d.*
+ 1ab94: f495.*
+ 1ab95: f495.*
+ 1ab96: fe75.*
+ 1ab97: f495.*
+ 1ab98: f495.*
+ 1ab99: fe75.*
+ 1ab9a: f495.*
+ 1ab9b: f495.*
+ 1ab9c: fe7d.*
+ 1ab9d: f495.*
+ 1ab9e: f495.*
+ 1ab9f: fe7d.*
+ 1aba0: f495.*
+ 1aba1: f495.*
+ 1aba2: fe2a.*
+ 1aba3: f495.*
+ 1aba4: f495.*
+ 1aba5: fe2a.*
+ 1aba6: f495.*
+ 1aba7: f495.*
+ 1aba8: fe2a.*
+ 1aba9: f495.*
+ 1abaa: f495.*
+ 1abab: fe2a.*
+ 1abac: f495.*
+ 1abad: f495.*
+ 1abae: fe2a.*
+ 1abaf: f495.*
+ 1abb0: f495.*
+ 1abb1: fe2a.*
+ 1abb2: f495.*
+ 1abb3: f495.*
+ 1abb4: fe28.*
+ 1abb5: f495.*
+ 1abb6: f495.*
+ 1abb7: fe22.*
+ 1abb8: f495.*
+ 1abb9: f495.*
+ 1abba: fe22.*
+ 1abbb: f495.*
+ 1abbc: f495.*
+ 1abbd: fe0a.*
+ 1abbe: f495.*
+ 1abbf: f495.*
+ 1abc0: fe0a.*
+ 1abc1: f495.*
+ 1abc2: f495.*
+ 1abc3: fe2b.*
+ 1abc4: f495.*
+ 1abc5: f495.*
+ 1abc6: fe2b.*
+ 1abc7: f495.*
+ 1abc8: f495.*
+ 1abc9: fe2b.*
+ 1abca: f495.*
+ 1abcb: f495.*
+ 1abcc: fe2b.*
+ 1abcd: f495.*
+ 1abce: f495.*
+ 1abcf: fe2b.*
+ 1abd0: f495.*
+ 1abd1: f495.*
+ 1abd2: fe2b.*
+ 1abd3: f495.*
+ 1abd4: f495.*
+ 1abd5: fe28.*
+ 1abd6: f495.*
+ 1abd7: f495.*
+ 1abd8: fe23.*
+ 1abd9: f495.*
+ 1abda: f495.*
+ 1abdb: fe23.*
+ 1abdc: f495.*
+ 1abdd: f495.*
+ 1abde: fe0b.*
+ 1abdf: f495.*
+ 1abe0: f495.*
+ 1abe1: fe0b.*
+ 1abe2: f495.*
+ 1abe3: f495.*
+ 1abe4: fe2e.*
+ 1abe5: f495.*
+ 1abe6: f495.*
+ 1abe7: fe2e.*
+ 1abe8: f495.*
+ 1abe9: f495.*
+ 1abea: fe2e.*
+ 1abeb: f495.*
+ 1abec: f495.*
+ 1abed: fe2e.*
+ 1abee: f495.*
+ 1abef: f495.*
+ 1abf0: fe2e.*
+ 1abf1: f495.*
+ 1abf2: f495.*
+ 1abf3: fe2e.*
+ 1abf4: f495.*
+ 1abf5: f495.*
+ 1abf6: fe2c.*
+ 1abf7: f495.*
+ 1abf8: f495.*
+ 1abf9: fe22.*
+ 1abfa: f495.*
+ 1abfb: f495.*
+ 1abfc: fe22.*
+ 1abfd: f495.*
+ 1abfe: f495.*
+ 1abff: fe0e.*
+ 1ac00: f495.*
+ 1ac01: f495.*
+ 1ac02: fe0e.*
+ 1ac03: f495.*
+ 1ac04: f495.*
+ 1ac05: fe2f.*
+ 1ac06: f495.*
+ 1ac07: f495.*
+ 1ac08: fe2f.*
+ 1ac09: f495.*
+ 1ac0a: f495.*
+ 1ac0b: fe2f.*
+ 1ac0c: f495.*
+ 1ac0d: f495.*
+ 1ac0e: fe2f.*
+ 1ac0f: f495.*
+ 1ac10: f495.*
+ 1ac11: fe2f.*
+ 1ac12: f495.*
+ 1ac13: f495.*
+ 1ac14: fe2f.*
+ 1ac15: f495.*
+ 1ac16: f495.*
+ 1ac17: fe2c.*
+ 1ac18: f495.*
+ 1ac19: f495.*
+ 1ac1a: fe23.*
+ 1ac1b: f495.*
+ 1ac1c: f495.*
+ 1ac1d: fe23.*
+ 1ac1e: f495.*
+ 1ac1f: f495.*
+ 1ac20: fe0f.*
+ 1ac21: f495.*
+ 1ac22: f495.*
+ 1ac23: fe0f.*
+ 1ac24: f495.*
+ 1ac25: f495.*
+ 1ac26: fe3a.*
+ 1ac27: f495.*
+ 1ac28: f495.*
+ 1ac29: fe3a.*
+ 1ac2a: f495.*
+ 1ac2b: f495.*
+ 1ac2c: fe3a.*
+ 1ac2d: f495.*
+ 1ac2e: f495.*
+ 1ac2f: fe3a.*
+ 1ac30: f495.*
+ 1ac31: f495.*
+ 1ac32: fe3a.*
+ 1ac33: f495.*
+ 1ac34: f495.*
+ 1ac35: fe3a.*
+ 1ac36: f495.*
+ 1ac37: f495.*
+ 1ac38: fe38.*
+ 1ac39: f495.*
+ 1ac3a: f495.*
+ 1ac3b: fe32.*
+ 1ac3c: f495.*
+ 1ac3d: f495.*
+ 1ac3e: fe32.*
+ 1ac3f: f495.*
+ 1ac40: f495.*
+ 1ac41: fe0a.*
+ 1ac42: f495.*
+ 1ac43: f495.*
+ 1ac44: fe0a.*
+ 1ac45: f495.*
+ 1ac46: f495.*
+ 1ac47: fe3b.*
+ 1ac48: f495.*
+ 1ac49: f495.*
+ 1ac4a: fe3b.*
+ 1ac4b: f495.*
+ 1ac4c: f495.*
+ 1ac4d: fe3b.*
+ 1ac4e: f495.*
+ 1ac4f: f495.*
+ 1ac50: fe3b.*
+ 1ac51: f495.*
+ 1ac52: f495.*
+ 1ac53: fe3b.*
+ 1ac54: f495.*
+ 1ac55: f495.*
+ 1ac56: fe3b.*
+ 1ac57: f495.*
+ 1ac58: f495.*
+ 1ac59: fe38.*
+ 1ac5a: f495.*
+ 1ac5b: f495.*
+ 1ac5c: fe33.*
+ 1ac5d: f495.*
+ 1ac5e: f495.*
+ 1ac5f: fe33.*
+ 1ac60: f495.*
+ 1ac61: f495.*
+ 1ac62: fe0b.*
+ 1ac63: f495.*
+ 1ac64: f495.*
+ 1ac65: fe0b.*
+ 1ac66: f495.*
+ 1ac67: f495.*
+ 1ac68: fe3e.*
+ 1ac69: f495.*
+ 1ac6a: f495.*
+ 1ac6b: fe3e.*
+ 1ac6c: f495.*
+ 1ac6d: f495.*
+ 1ac6e: fe3e.*
+ 1ac6f: f495.*
+ 1ac70: f495.*
+ 1ac71: fe3e.*
+ 1ac72: f495.*
+ 1ac73: f495.*
+ 1ac74: fe3e.*
+ 1ac75: f495.*
+ 1ac76: f495.*
+ 1ac77: fe3e.*
+ 1ac78: f495.*
+ 1ac79: f495.*
+ 1ac7a: fe3c.*
+ 1ac7b: f495.*
+ 1ac7c: f495.*
+ 1ac7d: fe32.*
+ 1ac7e: f495.*
+ 1ac7f: f495.*
+ 1ac80: fe32.*
+ 1ac81: f495.*
+ 1ac82: f495.*
+ 1ac83: fe0e.*
+ 1ac84: f495.*
+ 1ac85: f495.*
+ 1ac86: fe0e.*
+ 1ac87: f495.*
+ 1ac88: f495.*
+ 1ac89: fe3f.*
+ 1ac8a: f495.*
+ 1ac8b: f495.*
+ 1ac8c: fe3f.*
+ 1ac8d: f495.*
+ 1ac8e: f495.*
+ 1ac8f: fe3f.*
+ 1ac90: f495.*
+ 1ac91: f495.*
+ 1ac92: fe3f.*
+ 1ac93: f495.*
+ 1ac94: f495.*
+ 1ac95: fe3f.*
+ 1ac96: f495.*
+ 1ac97: f495.*
+ 1ac98: fe3f.*
+ 1ac99: f495.*
+ 1ac9a: f495.*
+ 1ac9b: fe3c.*
+ 1ac9c: f495.*
+ 1ac9d: f495.*
+ 1ac9e: fe33.*
+ 1ac9f: f495.*
+ 1aca0: f495.*
+ 1aca1: fe33.*
+ 1aca2: f495.*
+ 1aca3: f495.*
+ 1aca4: fe0f.*
+ 1aca5: f495.*
+ 1aca6: f495.*
+ 1aca7: fe0f.*
+ 1aca8: f495.*
+ 1aca9: f495.*
+ 1acaa: 7e76.*
+ 1acab: 7e80.*
+ 1acac: 7e88.*
+ 1acad: 7e90.*
+ 1acae: 7e98.*
+ 1acaf: 7ea0.*
+ 1acb0: 7ea8.*
+ 1acb1: 7eb0.*
+ 1acb2: 7eb8.*
+ 1acb3: 7ec0.*
+ 1acb4: 7ec8.*
+ 1acb5: 7ed0.*
+ 1acb6: 7ed8.*
+ 1acb7: 7ee0.*
+ 1acb8: 35d5.*
+ 1acb9: 7ee8.*
+ 1acba: 35d6.*
+ 1acbb: 7ef0.*
+ 1acbc: 35d7.*
+ 1acbd: 7ef8.*
+ 1acbe: 35d8.*
+ 1acbf: 7e81.*
+ 1acc0: 7e89.*
+ 1acc1: 7e91.*
+ 1acc2: 7e99.*
+ 1acc3: 7ea1.*
+ 1acc4: 7ea9.*
+ 1acc5: 7eb1.*
+ 1acc6: 7eb9.*
+ 1acc7: 7ec1.*
+ 1acc8: 7ec9.*
+ 1acc9: 7ed1.*
+ 1acca: 7ed9.*
+ 1accb: 7ee1.*
+ 1accc: 35d9.*
+ 1accd: 7ee9.*
+ 1acce: 35da.*
+ 1accf: 7ef1.*
+ 1acd0: 35db.*
+ 1acd1: 7ef8.*
+ 1acd2: 35dc.*
+ 1acd3: 7e82.*
+ 1acd4: 7e8a.*
+ 1acd5: 7e92.*
+ 1acd6: 7e9a.*
+ 1acd7: 7ea2.*
+ 1acd8: 7eaa.*
+ 1acd9: 7eb2.*
+ 1acda: 7eba.*
+ 1acdb: 7ec2.*
+ 1acdc: 7eca.*
+ 1acdd: 7ed2.*
+ 1acde: 7eda.*
+ 1acdf: 7ee2.*
+ 1ace0: 35dd.*
+ 1ace1: 7eea.*
+ 1ace2: 35de.*
+ 1ace3: 7ef2.*
+ 1ace4: 35df.*
+ 1ace5: 7ef8.*
+ 1ace6: 35e0.*
+ 1ace7: 7e83.*
+ 1ace8: 7e8b.*
+ 1ace9: 7e93.*
+ 1acea: 7e9b.*
+ 1aceb: 7ea3.*
+ 1acec: 7eab.*
+ 1aced: 7eb3.*
+ 1acee: 7ebb.*
+ 1acef: 7ec3.*
+ 1acf0: 7ecb.*
+ 1acf1: 7ed3.*
+ 1acf2: 7edb.*
+ 1acf3: 7ee3.*
+ 1acf4: 35e1.*
+ 1acf5: 7eeb.*
+ 1acf6: 35e2.*
+ 1acf7: 7ef3.*
+ 1acf8: 35e3.*
+ 1acf9: 7ef8.*
+ 1acfa: 35e4.*
+ 1acfb: 7e84.*
+ 1acfc: 7e8c.*
+ 1acfd: 7e94.*
+ 1acfe: 7e9c.*
+ 1acff: 7ea4.*
+ 1ad00: 7eac.*
+ 1ad01: 7eb4.*
+ 1ad02: 7ebc.*
+ 1ad03: 7ec4.*
+ 1ad04: 7ecc.*
+ 1ad05: 7ed4.*
+ 1ad06: 7edc.*
+ 1ad07: 7ee4.*
+ 1ad08: 35e5.*
+ 1ad09: 7eec.*
+ 1ad0a: 35e6.*
+ 1ad0b: 7ef4.*
+ 1ad0c: 35e7.*
+ 1ad0d: 7ef8.*
+ 1ad0e: 35e8.*
+ 1ad0f: 7e85.*
+ 1ad10: 7e8d.*
+ 1ad11: 7e95.*
+ 1ad12: 7e9d.*
+ 1ad13: 7ea5.*
+ 1ad14: 7ead.*
+ 1ad15: 7eb5.*
+ 1ad16: 7ebd.*
+ 1ad17: 7ec5.*
+ 1ad18: 7ecd.*
+ 1ad19: 7ed5.*
+ 1ad1a: 7edd.*
+ 1ad1b: 7ee5.*
+ 1ad1c: 35e9.*
+ 1ad1d: 7eed.*
+ 1ad1e: 35ea.*
+ 1ad1f: 7ef5.*
+ 1ad20: 35eb.*
+ 1ad21: 7ef8.*
+ 1ad22: 35ec.*
+ 1ad23: 7e86.*
+ 1ad24: 7e8e.*
+ 1ad25: 7e96.*
+ 1ad26: 7e9e.*
+ 1ad27: 7ea6.*
+ 1ad28: 7eae.*
+ 1ad29: 7eb6.*
+ 1ad2a: 7ebe.*
+ 1ad2b: 7ec6.*
+ 1ad2c: 7ece.*
+ 1ad2d: 7ed6.*
+ 1ad2e: 7ede.*
+ 1ad2f: 7ee6.*
+ 1ad30: 35ed.*
+ 1ad31: 7eee.*
+ 1ad32: 35ee.*
+ 1ad33: 7ef6.*
+ 1ad34: 35ef.*
+ 1ad35: 7ef8.*
+ 1ad36: 35f0.*
+ 1ad37: 7e87.*
+ 1ad38: 7e8f.*
+ 1ad39: 7e97.*
+ 1ad3a: 7e9f.*
+ 1ad3b: 7ea7.*
+ 1ad3c: 7eaf.*
+ 1ad3d: 7eb7.*
+ 1ad3e: 7ebf.*
+ 1ad3f: 7ec7.*
+ 1ad40: 7ecf.*
+ 1ad41: 7ed7.*
+ 1ad42: 7edf.*
+ 1ad43: 7ee7.*
+ 1ad44: 35f1.*
+ 1ad45: 7eef.*
+ 1ad46: 35f2.*
+ 1ad47: 7ef7.*
+ 1ad48: 35f3.*
+ 1ad49: 7ef8.*
+ 1ad4a: 35f4.*
+ 1ad4b: f7e0.*
+ 1ad4c: fc00.*
+ 1ad4d: fe00.*
+ 1ad4e: f495.*
+ 1ad4f: f495.*
+ 1ad50: f4eb.*
+ 1ad51: f6eb.*
+ 1ad52: f495.*
+ 1ad53: f495.*
+ 1ad54: f49b.*
+ 1ad55: f69b.*
+ 1ad56: f495.*
+ 1ad57: f495.*
+ 1ad58: f49f.*
+ 1ad59: f59f.*
+ 1ad5a: f49f.*
+ 1ad5b: f69f.*
+ 1ad5c: f79f.*
+ 1ad5d: f79f.*
+ 1ad5e: f491.*
+ 1ad5f: f591.*
+ 1ad60: f492.*
+ 1ad61: f592.*
+ 1ad62: f490.*
+ 1ad63: f590.*
+ 1ad64: f072.*
+ 1ad65: 07ae.*
+ 1ad66: f272.*
+ 1ad67: 07af.*
+ 1ad68: f495.*
+ 1ad69: f495.*
+ 1ad6a: f071.*
+ 1ad6b: 35f5.*
+ 1ad6c: f495.*
+ 1ad6d: f171.*
+ 1ad6e: 35f6.*
+ 1ad6f: f495.*
+ 1ad70: ec00.*
+ 1ad71: f495.*
+ 1ad72: ec01.*
+ 1ad73: f495.*
+ 1ad74: ec02.*
+ 1ad75: f495.*
+ 1ad76: ec03.*
+ 1ad77: f495.*
+ 1ad78: ec04.*
+ 1ad79: f495.*
+ 1ad7a: ec05.*
+ 1ad7b: f495.*
+ 1ad7c: ec06.*
+ 1ad7d: f495.*
+ 1ad7e: ec07.*
+ 1ad7f: f495.*
+ 1ad80: ec08.*
+ 1ad81: f495.*
+ 1ad82: ec09.*
+ 1ad83: f495.*
+ 1ad84: ec0a.*
+ 1ad85: f495.*
+ 1ad86: ec0b.*
+ 1ad87: f495.*
+ 1ad88: ec0c.*
+ 1ad89: f495.*
+ 1ad8a: ec0d.*
+ 1ad8b: f495.*
+ 1ad8c: ec0e.*
+ 1ad8d: f495.*
+ 1ad8e: ec0f.*
+ 1ad8f: f495.*
+ 1ad90: ec10.*
+ 1ad91: f495.*
+ 1ad92: ec11.*
+ 1ad93: f495.*
+ 1ad94: ec12.*
+ 1ad95: f495.*
+ 1ad96: ec13.*
+ 1ad97: f495.*
+ 1ad98: ec14.*
+ 1ad99: f495.*
+ 1ad9a: ec15.*
+ 1ad9b: f495.*
+ 1ad9c: ec16.*
+ 1ad9d: f495.*
+ 1ad9e: ec17.*
+ 1ad9f: f495.*
+ 1ada0: ec18.*
+ 1ada1: f495.*
+ 1ada2: ec19.*
+ 1ada3: f495.*
+ 1ada4: ec1a.*
+ 1ada5: f495.*
+ 1ada6: ec1b.*
+ 1ada7: f495.*
+ 1ada8: ec1c.*
+ 1ada9: f495.*
+ 1adaa: ec1d.*
+ 1adab: f495.*
+ 1adac: ec1e.*
+ 1adad: f495.*
+ 1adae: ec1f.*
+ 1adaf: f495.*
+ 1adb0: ec20.*
+ 1adb1: f495.*
+ 1adb2: ec21.*
+ 1adb3: f495.*
+ 1adb4: ec22.*
+ 1adb5: f495.*
+ 1adb6: ec23.*
+ 1adb7: f495.*
+ 1adb8: ec24.*
+ 1adb9: f495.*
+ 1adba: ec25.*
+ 1adbb: f495.*
+ 1adbc: ec26.*
+ 1adbd: f495.*
+ 1adbe: ec27.*
+ 1adbf: f495.*
+ 1adc0: ec28.*
+ 1adc1: f495.*
+ 1adc2: ec29.*
+ 1adc3: f495.*
+ 1adc4: ec2a.*
+ 1adc5: f495.*
+ 1adc6: ec2b.*
+ 1adc7: f495.*
+ 1adc8: ec2c.*
+ 1adc9: f495.*
+ 1adca: ec2d.*
+ 1adcb: f495.*
+ 1adcc: ec2e.*
+ 1adcd: f495.*
+ 1adce: ec2f.*
+ 1adcf: f495.*
+ 1add0: ec30.*
+ 1add1: f495.*
+ 1add2: ec31.*
+ 1add3: f495.*
+ 1add4: ec32.*
+ 1add5: f495.*
+ 1add6: ec33.*
+ 1add7: f495.*
+ 1add8: ec34.*
+ 1add9: f495.*
+ 1adda: ec35.*
+ 1addb: f495.*
+ 1addc: ec36.*
+ 1addd: f495.*
+ 1adde: ec37.*
+ 1addf: f495.*
+ 1ade0: ec38.*
+ 1ade1: f495.*
+ 1ade2: ec39.*
+ 1ade3: f495.*
+ 1ade4: ec3a.*
+ 1ade5: f495.*
+ 1ade6: ec3b.*
+ 1ade7: f495.*
+ 1ade8: ec3c.*
+ 1ade9: f495.*
+ 1adea: ec3d.*
+ 1adeb: f495.*
+ 1adec: ec3e.*
+ 1aded: f495.*
+ 1adee: ec3f.*
+ 1adef: f495.*
+ 1adf0: ec40.*
+ 1adf1: f495.*
+ 1adf2: ec41.*
+ 1adf3: f495.*
+ 1adf4: ec42.*
+ 1adf5: f495.*
+ 1adf6: ec43.*
+ 1adf7: f495.*
+ 1adf8: ec44.*
+ 1adf9: f495.*
+ 1adfa: ec45.*
+ 1adfb: f495.*
+ 1adfc: ec46.*
+ 1adfd: f495.*
+ 1adfe: ec47.*
+ 1adff: f495.*
+ 1ae00: ec48.*
+ 1ae01: f495.*
+ 1ae02: ec49.*
+ 1ae03: f495.*
+ 1ae04: ec4a.*
+ 1ae05: f495.*
+ 1ae06: ec4b.*
+ 1ae07: f495.*
+ 1ae08: ec4c.*
+ 1ae09: f495.*
+ 1ae0a: ec4d.*
+ 1ae0b: f495.*
+ 1ae0c: ec4e.*
+ 1ae0d: f495.*
+ 1ae0e: ec4f.*
+ 1ae0f: f495.*
+ 1ae10: ec50.*
+ 1ae11: f495.*
+ 1ae12: ec51.*
+ 1ae13: f495.*
+ 1ae14: ec52.*
+ 1ae15: f495.*
+ 1ae16: ec53.*
+ 1ae17: f495.*
+ 1ae18: ec54.*
+ 1ae19: f495.*
+ 1ae1a: ec55.*
+ 1ae1b: f495.*
+ 1ae1c: ec56.*
+ 1ae1d: f495.*
+ 1ae1e: ec57.*
+ 1ae1f: f495.*
+ 1ae20: ec58.*
+ 1ae21: f495.*
+ 1ae22: ec59.*
+ 1ae23: f495.*
+ 1ae24: ec5a.*
+ 1ae25: f495.*
+ 1ae26: ec5b.*
+ 1ae27: f495.*
+ 1ae28: ec5c.*
+ 1ae29: f495.*
+ 1ae2a: ec5d.*
+ 1ae2b: f495.*
+ 1ae2c: ec5e.*
+ 1ae2d: f495.*
+ 1ae2e: ec5f.*
+ 1ae2f: f495.*
+ 1ae30: ec60.*
+ 1ae31: f495.*
+ 1ae32: ec61.*
+ 1ae33: f495.*
+ 1ae34: ec62.*
+ 1ae35: f495.*
+ 1ae36: ec63.*
+ 1ae37: f495.*
+ 1ae38: ec64.*
+ 1ae39: f495.*
+ 1ae3a: ec65.*
+ 1ae3b: f495.*
+ 1ae3c: ec66.*
+ 1ae3d: f495.*
+ 1ae3e: ec67.*
+ 1ae3f: f495.*
+ 1ae40: ec68.*
+ 1ae41: f495.*
+ 1ae42: ec69.*
+ 1ae43: f495.*
+ 1ae44: ec6a.*
+ 1ae45: f495.*
+ 1ae46: ec6b.*
+ 1ae47: f495.*
+ 1ae48: ec6c.*
+ 1ae49: f495.*
+ 1ae4a: ec6d.*
+ 1ae4b: f495.*
+ 1ae4c: ec6e.*
+ 1ae4d: f495.*
+ 1ae4e: ec6f.*
+ 1ae4f: f495.*
+ 1ae50: ec70.*
+ 1ae51: f495.*
+ 1ae52: ec71.*
+ 1ae53: f495.*
+ 1ae54: ec72.*
+ 1ae55: f495.*
+ 1ae56: ec73.*
+ 1ae57: f495.*
+ 1ae58: ec74.*
+ 1ae59: f495.*
+ 1ae5a: ec75.*
+ 1ae5b: f495.*
+ 1ae5c: ec76.*
+ 1ae5d: f495.*
+ 1ae5e: ec77.*
+ 1ae5f: f495.*
+ 1ae60: ec78.*
+ 1ae61: f495.*
+ 1ae62: ec79.*
+ 1ae63: f495.*
+ 1ae64: ec7a.*
+ 1ae65: f495.*
+ 1ae66: ec7b.*
+ 1ae67: f495.*
+ 1ae68: ec7c.*
+ 1ae69: f495.*
+ 1ae6a: ec7d.*
+ 1ae6b: f495.*
+ 1ae6c: ec7e.*
+ 1ae6d: f495.*
+ 1ae6e: ec7f.*
+ 1ae6f: f495.*
+ 1ae70: ec80.*
+ 1ae71: f495.*
+ 1ae72: ec81.*
+ 1ae73: f495.*
+ 1ae74: ec82.*
+ 1ae75: f495.*
+ 1ae76: ec83.*
+ 1ae77: f495.*
+ 1ae78: ec84.*
+ 1ae79: f495.*
+ 1ae7a: ec85.*
+ 1ae7b: f495.*
+ 1ae7c: ec86.*
+ 1ae7d: f495.*
+ 1ae7e: ec87.*
+ 1ae7f: f495.*
+ 1ae80: ec88.*
+ 1ae81: f495.*
+ 1ae82: ec89.*
+ 1ae83: f495.*
+ 1ae84: ec8a.*
+ 1ae85: f495.*
+ 1ae86: ec8b.*
+ 1ae87: f495.*
+ 1ae88: ec8c.*
+ 1ae89: f495.*
+ 1ae8a: ec8d.*
+ 1ae8b: f495.*
+ 1ae8c: ec8e.*
+ 1ae8d: f495.*
+ 1ae8e: ec8f.*
+ 1ae8f: f495.*
+ 1ae90: ec90.*
+ 1ae91: f495.*
+ 1ae92: ec91.*
+ 1ae93: f495.*
+ 1ae94: ec92.*
+ 1ae95: f495.*
+ 1ae96: ec93.*
+ 1ae97: f495.*
+ 1ae98: ec94.*
+ 1ae99: f495.*
+ 1ae9a: ec95.*
+ 1ae9b: f495.*
+ 1ae9c: ec96.*
+ 1ae9d: f495.*
+ 1ae9e: ec97.*
+ 1ae9f: f495.*
+ 1aea0: ec98.*
+ 1aea1: f495.*
+ 1aea2: ec99.*
+ 1aea3: f495.*
+ 1aea4: ec9a.*
+ 1aea5: f495.*
+ 1aea6: ec9b.*
+ 1aea7: f495.*
+ 1aea8: ec9c.*
+ 1aea9: f495.*
+ 1aeaa: ec9d.*
+ 1aeab: f495.*
+ 1aeac: ec9e.*
+ 1aead: f495.*
+ 1aeae: ec9f.*
+ 1aeaf: f495.*
+ 1aeb0: eca0.*
+ 1aeb1: f495.*
+ 1aeb2: eca1.*
+ 1aeb3: f495.*
+ 1aeb4: eca2.*
+ 1aeb5: f495.*
+ 1aeb6: eca3.*
+ 1aeb7: f495.*
+ 1aeb8: eca4.*
+ 1aeb9: f495.*
+ 1aeba: eca5.*
+ 1aebb: f495.*
+ 1aebc: eca6.*
+ 1aebd: f495.*
+ 1aebe: eca7.*
+ 1aebf: f495.*
+ 1aec0: eca8.*
+ 1aec1: f495.*
+ 1aec2: eca9.*
+ 1aec3: f495.*
+ 1aec4: ecaa.*
+ 1aec5: f495.*
+ 1aec6: ecab.*
+ 1aec7: f495.*
+ 1aec8: ecac.*
+ 1aec9: f495.*
+ 1aeca: ecad.*
+ 1aecb: f495.*
+ 1aecc: ecae.*
+ 1aecd: f495.*
+ 1aece: ecaf.*
+ 1aecf: f495.*
+ 1aed0: ecb0.*
+ 1aed1: f495.*
+ 1aed2: ecb1.*
+ 1aed3: f495.*
+ 1aed4: ecb2.*
+ 1aed5: f495.*
+ 1aed6: ecb3.*
+ 1aed7: f495.*
+ 1aed8: ecb4.*
+ 1aed9: f495.*
+ 1aeda: ecb5.*
+ 1aedb: f495.*
+ 1aedc: ecb6.*
+ 1aedd: f495.*
+ 1aede: ecb7.*
+ 1aedf: f495.*
+ 1aee0: ecb8.*
+ 1aee1: f495.*
+ 1aee2: ecb9.*
+ 1aee3: f495.*
+ 1aee4: ecba.*
+ 1aee5: f495.*
+ 1aee6: ecbb.*
+ 1aee7: f495.*
+ 1aee8: ecbc.*
+ 1aee9: f495.*
+ 1aeea: ecbd.*
+ 1aeeb: f495.*
+ 1aeec: ecbe.*
+ 1aeed: f495.*
+ 1aeee: ecbf.*
+ 1aeef: f495.*
+ 1aef0: ecc0.*
+ 1aef1: f495.*
+ 1aef2: ecc1.*
+ 1aef3: f495.*
+ 1aef4: ecc2.*
+ 1aef5: f495.*
+ 1aef6: ecc3.*
+ 1aef7: f495.*
+ 1aef8: ecc4.*
+ 1aef9: f495.*
+ 1aefa: ecc5.*
+ 1aefb: f495.*
+ 1aefc: ecc6.*
+ 1aefd: f495.*
+ 1aefe: ecc7.*
+ 1aeff: f495.*
+ 1af00: ecc8.*
+ 1af01: f495.*
+ 1af02: ecc9.*
+ 1af03: f495.*
+ 1af04: ecca.*
+ 1af05: f495.*
+ 1af06: eccb.*
+ 1af07: f495.*
+ 1af08: eccc.*
+ 1af09: f495.*
+ 1af0a: eccd.*
+ 1af0b: f495.*
+ 1af0c: ecce.*
+ 1af0d: f495.*
+ 1af0e: eccf.*
+ 1af0f: f495.*
+ 1af10: ecd0.*
+ 1af11: f495.*
+ 1af12: ecd1.*
+ 1af13: f495.*
+ 1af14: ecd2.*
+ 1af15: f495.*
+ 1af16: ecd3.*
+ 1af17: f495.*
+ 1af18: ecd4.*
+ 1af19: f495.*
+ 1af1a: ecd5.*
+ 1af1b: f495.*
+ 1af1c: ecd6.*
+ 1af1d: f495.*
+ 1af1e: ecd7.*
+ 1af1f: f495.*
+ 1af20: ecd8.*
+ 1af21: f495.*
+ 1af22: ecd9.*
+ 1af23: f495.*
+ 1af24: ecda.*
+ 1af25: f495.*
+ 1af26: ecdb.*
+ 1af27: f495.*
+ 1af28: ecdc.*
+ 1af29: f495.*
+ 1af2a: ecdd.*
+ 1af2b: f495.*
+ 1af2c: ecde.*
+ 1af2d: f495.*
+ 1af2e: ecdf.*
+ 1af2f: f495.*
+ 1af30: ece0.*
+ 1af31: f495.*
+ 1af32: ece1.*
+ 1af33: f495.*
+ 1af34: ece2.*
+ 1af35: f495.*
+ 1af36: ece3.*
+ 1af37: f495.*
+ 1af38: ece4.*
+ 1af39: f495.*
+ 1af3a: ece5.*
+ 1af3b: f495.*
+ 1af3c: ece6.*
+ 1af3d: f495.*
+ 1af3e: ece7.*
+ 1af3f: f495.*
+ 1af40: ece8.*
+ 1af41: f495.*
+ 1af42: ece9.*
+ 1af43: f495.*
+ 1af44: ecea.*
+ 1af45: f495.*
+ 1af46: eceb.*
+ 1af47: f495.*
+ 1af48: ecec.*
+ 1af49: f495.*
+ 1af4a: eced.*
+ 1af4b: f495.*
+ 1af4c: ecee.*
+ 1af4d: f495.*
+ 1af4e: ecef.*
+ 1af4f: f495.*
+ 1af50: ecf0.*
+ 1af51: f495.*
+ 1af52: ecf1.*
+ 1af53: f495.*
+ 1af54: ecf2.*
+ 1af55: f495.*
+ 1af56: ecf3.*
+ 1af57: f495.*
+ 1af58: ecf4.*
+ 1af59: f495.*
+ 1af5a: ecf5.*
+ 1af5b: f495.*
+ 1af5c: ecf6.*
+ 1af5d: f495.*
+ 1af5e: ecf7.*
+ 1af5f: f495.*
+ 1af60: ecf8.*
+ 1af61: f495.*
+ 1af62: ecf9.*
+ 1af63: f495.*
+ 1af64: ecfa.*
+ 1af65: f495.*
+ 1af66: ecfb.*
+ 1af67: f495.*
+ 1af68: ecfc.*
+ 1af69: f495.*
+ 1af6a: ecfd.*
+ 1af6b: f495.*
+ 1af6c: ecfe.*
+ 1af6d: f495.*
+ 1af6e: ecff.*
+ 1af6f: f495.*
+ 1af70: f070.*
+ 1af71: 35f7.*
+ 1af72: f495.*
+ 1af73: 4777.*
+ 1af74: f495.*
+ 1af75: 4780.*
+ 1af76: f495.*
+ 1af77: 4788.*
+ 1af78: f495.*
+ 1af79: 4790.*
+ 1af7a: f495.*
+ 1af7b: 47a0.*
+ 1af7c: f495.*
+ 1af7d: 47a8.*
+ 1af7e: f495.*
+ 1af7f: 47b0.*
+ 1af80: f495.*
+ 1af81: 47b8.*
+ 1af82: f495.*
+ 1af83: 47c0.*
+ 1af84: f495.*
+ 1af85: 47c8.*
+ 1af86: f495.*
+ 1af87: 47d0.*
+ 1af88: f495.*
+ 1af89: 47d8.*
+ 1af8a: f495.*
+ 1af8b: 47e0.*
+ 1af8c: 35f8.*
+ 1af8d: f495.*
+ 1af8e: 47e8.*
+ 1af8f: 35f9.*
+ 1af90: f495.*
+ 1af91: 47f0.*
+ 1af92: 35fa.*
+ 1af93: f495.*
+ 1af94: 47f8.*
+ 1af95: 35fb.*
+ 1af96: f495.*
+ 1af97: 4781.*
+ 1af98: f495.*
+ 1af99: 4789.*
+ 1af9a: f495.*
+ 1af9b: 4791.*
+ 1af9c: f495.*
+ 1af9d: 47a1.*
+ 1af9e: f495.*
+ 1af9f: 47a9.*
+ 1afa0: f495.*
+ 1afa1: 47b1.*
+ 1afa2: f495.*
+ 1afa3: 47b9.*
+ 1afa4: f495.*
+ 1afa5: 47c1.*
+ 1afa6: f495.*
+ 1afa7: 47c9.*
+ 1afa8: f495.*
+ 1afa9: 47d1.*
+ 1afaa: f495.*
+ 1afab: 47d9.*
+ 1afac: f495.*
+ 1afad: 47e1.*
+ 1afae: 35fc.*
+ 1afaf: f495.*
+ 1afb0: 47e9.*
+ 1afb1: 35fd.*
+ 1afb2: f495.*
+ 1afb3: 47f1.*
+ 1afb4: 35fe.*
+ 1afb5: f495.*
+ 1afb6: 47f8.*
+ 1afb7: 35ff.*
+ 1afb8: f495.*
+ 1afb9: 4782.*
+ 1afba: f495.*
+ 1afbb: 478a.*
+ 1afbc: f495.*
+ 1afbd: 4792.*
+ 1afbe: f495.*
+ 1afbf: 47a2.*
+ 1afc0: f495.*
+ 1afc1: 47aa.*
+ 1afc2: f495.*
+ 1afc3: 47b2.*
+ 1afc4: f495.*
+ 1afc5: 47ba.*
+ 1afc6: f495.*
+ 1afc7: 47c2.*
+ 1afc8: f495.*
+ 1afc9: 47ca.*
+ 1afca: f495.*
+ 1afcb: 47d2.*
+ 1afcc: f495.*
+ 1afcd: 47da.*
+ 1afce: f495.*
+ 1afcf: 47e2.*
+ 1afd0: 3600.*
+ 1afd1: f495.*
+ 1afd2: 47ea.*
+ 1afd3: 3601.*
+ 1afd4: f495.*
+ 1afd5: 47f2.*
+ 1afd6: 3602.*
+ 1afd7: f495.*
+ 1afd8: 47f8.*
+ 1afd9: 3603.*
+ 1afda: f495.*
+ 1afdb: 4783.*
+ 1afdc: f495.*
+ 1afdd: 478b.*
+ 1afde: f495.*
+ 1afdf: 4793.*
+ 1afe0: f495.*
+ 1afe1: 47a3.*
+ 1afe2: f495.*
+ 1afe3: 47ab.*
+ 1afe4: f495.*
+ 1afe5: 47b3.*
+ 1afe6: f495.*
+ 1afe7: 47bb.*
+ 1afe8: f495.*
+ 1afe9: 47c3.*
+ 1afea: f495.*
+ 1afeb: 47cb.*
+ 1afec: f495.*
+ 1afed: 47d3.*
+ 1afee: f495.*
+ 1afef: 47db.*
+ 1aff0: f495.*
+ 1aff1: 47e3.*
+ 1aff2: 3604.*
+ 1aff3: f495.*
+ 1aff4: 47eb.*
+ 1aff5: 3605.*
+ 1aff6: f495.*
+ 1aff7: 47f3.*
+ 1aff8: 3606.*
+ 1aff9: f495.*
+ 1affa: 47f8.*
+ 1affb: 3607.*
+ 1affc: f495.*
+ 1affd: 4784.*
+ 1affe: f495.*
+ 1afff: 478c.*
+ 1b000: f495.*
+ 1b001: 4794.*
+ 1b002: f495.*
+ 1b003: 47a4.*
+ 1b004: f495.*
+ 1b005: 47ac.*
+ 1b006: f495.*
+ 1b007: 47b4.*
+ 1b008: f495.*
+ 1b009: 47bc.*
+ 1b00a: f495.*
+ 1b00b: 47c4.*
+ 1b00c: f495.*
+ 1b00d: 47cc.*
+ 1b00e: f495.*
+ 1b00f: 47d4.*
+ 1b010: f495.*
+ 1b011: 47dc.*
+ 1b012: f495.*
+ 1b013: 47e4.*
+ 1b014: 3608.*
+ 1b015: f495.*
+ 1b016: 47ec.*
+ 1b017: 3609.*
+ 1b018: f495.*
+ 1b019: 47f4.*
+ 1b01a: 360a.*
+ 1b01b: f495.*
+ 1b01c: 47f8.*
+ 1b01d: 360b.*
+ 1b01e: f495.*
+ 1b01f: 4785.*
+ 1b020: f495.*
+ 1b021: 478d.*
+ 1b022: f495.*
+ 1b023: 4795.*
+ 1b024: f495.*
+ 1b025: 47a5.*
+ 1b026: f495.*
+ 1b027: 47ad.*
+ 1b028: f495.*
+ 1b029: 47b5.*
+ 1b02a: f495.*
+ 1b02b: 47bd.*
+ 1b02c: f495.*
+ 1b02d: 47c5.*
+ 1b02e: f495.*
+ 1b02f: 47cd.*
+ 1b030: f495.*
+ 1b031: 47d5.*
+ 1b032: f495.*
+ 1b033: 47dd.*
+ 1b034: f495.*
+ 1b035: 47e5.*
+ 1b036: 360c.*
+ 1b037: f495.*
+ 1b038: 47ed.*
+ 1b039: 360d.*
+ 1b03a: f495.*
+ 1b03b: 47f5.*
+ 1b03c: 360e.*
+ 1b03d: f495.*
+ 1b03e: 47f8.*
+ 1b03f: 360f.*
+ 1b040: f495.*
+ 1b041: 4786.*
+ 1b042: f495.*
+ 1b043: 478e.*
+ 1b044: f495.*
+ 1b045: 4796.*
+ 1b046: f495.*
+ 1b047: 47a6.*
+ 1b048: f495.*
+ 1b049: 47ae.*
+ 1b04a: f495.*
+ 1b04b: 47b6.*
+ 1b04c: f495.*
+ 1b04d: 47be.*
+ 1b04e: f495.*
+ 1b04f: 47c6.*
+ 1b050: f495.*
+ 1b051: 47ce.*
+ 1b052: f495.*
+ 1b053: 47d6.*
+ 1b054: f495.*
+ 1b055: 47de.*
+ 1b056: f495.*
+ 1b057: 47e6.*
+ 1b058: 3610.*
+ 1b059: f495.*
+ 1b05a: 47ee.*
+ 1b05b: 3611.*
+ 1b05c: f495.*
+ 1b05d: 47f6.*
+ 1b05e: 3612.*
+ 1b05f: f495.*
+ 1b060: 47f8.*
+ 1b061: 3613.*
+ 1b062: f495.*
+ 1b063: 4787.*
+ 1b064: f495.*
+ 1b065: 478f.*
+ 1b066: f495.*
+ 1b067: 4797.*
+ 1b068: f495.*
+ 1b069: 47a7.*
+ 1b06a: f495.*
+ 1b06b: 47af.*
+ 1b06c: f495.*
+ 1b06d: 47b7.*
+ 1b06e: f495.*
+ 1b06f: 47bf.*
+ 1b070: f495.*
+ 1b071: 47c7.*
+ 1b072: f495.*
+ 1b073: 47cf.*
+ 1b074: f495.*
+ 1b075: 47d7.*
+ 1b076: f495.*
+ 1b077: 47df.*
+ 1b078: f495.*
+ 1b079: 47e7.*
+ 1b07a: 3614.*
+ 1b07b: f495.*
+ 1b07c: 47ef.*
+ 1b07d: 3615.*
+ 1b07e: f495.*
+ 1b07f: 47f7.*
+ 1b080: 3616.*
+ 1b081: f495.*
+ 1b082: 47f8.*
+ 1b083: 3617.*
+ 1b084: f495.*
+ 1b085: f4b0.*
+ 1b086: f4b1.*
+ 1b087: f4b2.*
+ 1b088: f4b3.*
+ 1b089: f4b4.*
+ 1b08a: f4b5.*
+ 1b08b: f4b6.*
+ 1b08c: f4b7.*
+ 1b08d: f4b8.*
+ 1b08e: f4b9.*
+ 1b08f: f4ba.*
+ 1b090: f4bb.*
+ 1b091: f4bc.*
+ 1b092: f4bd.*
+ 1b093: f4be.*
+ 1b094: f4bf.*
+ 1b095: f6b0.*
+ 1b096: f6b1.*
+ 1b097: f6b2.*
+ 1b098: f6b3.*
+ 1b099: f6b4.*
+ 1b09a: f6b5.*
+ 1b09b: f6b6.*
+ 1b09c: f6b7.*
+ 1b09d: f6b8.*
+ 1b09e: f6b9.*
+ 1b09f: f6ba.*
+ 1b0a0: f6bb.*
+ 1b0a1: f6bc.*
+ 1b0a2: f6bd.*
+ 1b0a3: f6be.*
+ 1b0a4: f6bf.*
+ 1b0a5: 9e03.*
+ 1b0a6: 9e0b.*
+ 1b0a7: 9e07.*
+ 1b0a8: 9e0f.*
+ 1b0a9: 9e06.*
+ 1b0aa: 9e0e.*
+ 1b0ab: 9e04.*
+ 1b0ac: 9e0c.*
+ 1b0ad: 9e02.*
+ 1b0ae: 9e0a.*
+ 1b0af: 9e05.*
+ 1b0b0: 9e0d.*
+ 1b0b1: 9e43.*
+ 1b0b2: 9e4b.*
+ 1b0b3: 9e47.*
+ 1b0b4: 9e4f.*
+ 1b0b5: 9e46.*
+ 1b0b6: 9e4e.*
+ 1b0b7: 9e44.*
+ 1b0b8: 9e4c.*
+ 1b0b9: 9e42.*
+ 1b0ba: 9e4a.*
+ 1b0bb: 9e45.*
+ 1b0bc: 9e4d.*
+ 1b0bd: 9e83.*
+ 1b0be: 9e8b.*
+ 1b0bf: 9e87.*
+ 1b0c0: 9e8f.*
+ 1b0c1: 9e86.*
+ 1b0c2: 9e8e.*
+ 1b0c3: 9e84.*
+ 1b0c4: 9e8c.*
+ 1b0c5: 9e82.*
+ 1b0c6: 9e8a.*
+ 1b0c7: 9e85.*
+ 1b0c8: 9e8d.*
+ 1b0c9: 9ec3.*
+ 1b0ca: 9ecb.*
+ 1b0cb: 9ec7.*
+ 1b0cc: 9ecf.*
+ 1b0cd: 9ec6.*
+ 1b0ce: 9ece.*
+ 1b0cf: 9ec4.*
+ 1b0d0: 9ecc.*
+ 1b0d1: 9ec2.*
+ 1b0d2: 9eca.*
+ 1b0d3: 9ec5.*
+ 1b0d4: 9ecd.*
+ 1b0d5: 9e13.*
+ 1b0d6: 9e1b.*
+ 1b0d7: 9e17.*
+ 1b0d8: 9e1f.*
+ 1b0d9: 9e16.*
+ 1b0da: 9e1e.*
+ 1b0db: 9e14.*
+ 1b0dc: 9e1c.*
+ 1b0dd: 9e12.*
+ 1b0de: 9e1a.*
+ 1b0df: 9e15.*
+ 1b0e0: 9e1d.*
+ 1b0e1: 9e53.*
+ 1b0e2: 9e5b.*
+ 1b0e3: 9e57.*
+ 1b0e4: 9e5f.*
+ 1b0e5: 9e56.*
+ 1b0e6: 9e5e.*
+ 1b0e7: 9e54.*
+ 1b0e8: 9e5c.*
+ 1b0e9: 9e52.*
+ 1b0ea: 9e5a.*
+ 1b0eb: 9e55.*
+ 1b0ec: 9e5d.*
+ 1b0ed: 9e93.*
+ 1b0ee: 9e9b.*
+ 1b0ef: 9e97.*
+ 1b0f0: 9e9f.*
+ 1b0f1: 9e96.*
+ 1b0f2: 9e9e.*
+ 1b0f3: 9e94.*
+ 1b0f4: 9e9c.*
+ 1b0f5: 9e92.*
+ 1b0f6: 9e9a.*
+ 1b0f7: 9e95.*
+ 1b0f8: 9e9d.*
+ 1b0f9: 9ed3.*
+ 1b0fa: 9edb.*
+ 1b0fb: 9ed7.*
+ 1b0fc: 9edf.*
+ 1b0fd: 9ed6.*
+ 1b0fe: 9ede.*
+ 1b0ff: 9ed4.*
+ 1b100: 9edc.*
+ 1b101: 9ed2.*
+ 1b102: 9eda.*
+ 1b103: 9ed5.*
+ 1b104: 9edd.*
+ 1b105: 9e23.*
+ 1b106: 9e2b.*
+ 1b107: 9e27.*
+ 1b108: 9e2f.*
+ 1b109: 9e26.*
+ 1b10a: 9e2e.*
+ 1b10b: 9e24.*
+ 1b10c: 9e2c.*
+ 1b10d: 9e22.*
+ 1b10e: 9e2a.*
+ 1b10f: 9e25.*
+ 1b110: 9e2d.*
+ 1b111: 9e63.*
+ 1b112: 9e6b.*
+ 1b113: 9e67.*
+ 1b114: 9e6f.*
+ 1b115: 9e66.*
+ 1b116: 9e6e.*
+ 1b117: 9e64.*
+ 1b118: 9e6c.*
+ 1b119: 9e62.*
+ 1b11a: 9e6a.*
+ 1b11b: 9e65.*
+ 1b11c: 9e6d.*
+ 1b11d: 9ea3.*
+ 1b11e: 9eab.*
+ 1b11f: 9ea7.*
+ 1b120: 9eaf.*
+ 1b121: 9ea6.*
+ 1b122: 9eae.*
+ 1b123: 9ea4.*
+ 1b124: 9eac.*
+ 1b125: 9ea2.*
+ 1b126: 9eaa.*
+ 1b127: 9ea5.*
+ 1b128: 9ead.*
+ 1b129: 9ee3.*
+ 1b12a: 9eeb.*
+ 1b12b: 9ee7.*
+ 1b12c: 9eef.*
+ 1b12d: 9ee6.*
+ 1b12e: 9eee.*
+ 1b12f: 9ee4.*
+ 1b130: 9eec.*
+ 1b131: 9ee2.*
+ 1b132: 9eea.*
+ 1b133: 9ee5.*
+ 1b134: 9eed.*
+ 1b135: 9e33.*
+ 1b136: 9e3b.*
+ 1b137: 9e37.*
+ 1b138: 9e3f.*
+ 1b139: 9e36.*
+ 1b13a: 9e3e.*
+ 1b13b: 9e34.*
+ 1b13c: 9e3c.*
+ 1b13d: 9e32.*
+ 1b13e: 9e3a.*
+ 1b13f: 9e35.*
+ 1b140: 9e3d.*
+ 1b141: 9e73.*
+ 1b142: 9e7b.*
+ 1b143: 9e77.*
+ 1b144: 9e7f.*
+ 1b145: 9e76.*
+ 1b146: 9e7e.*
+ 1b147: 9e74.*
+ 1b148: 9e7c.*
+ 1b149: 9e72.*
+ 1b14a: 9e7a.*
+ 1b14b: 9e75.*
+ 1b14c: 9e7d.*
+ 1b14d: 9eb3.*
+ 1b14e: 9ebb.*
+ 1b14f: 9eb7.*
+ 1b150: 9ebf.*
+ 1b151: 9eb6.*
+ 1b152: 9ebe.*
+ 1b153: 9eb4.*
+ 1b154: 9ebc.*
+ 1b155: 9eb2.*
+ 1b156: 9eba.*
+ 1b157: 9eb5.*
+ 1b158: 9ebd.*
+ 1b159: 9ef3.*
+ 1b15a: 9efb.*
+ 1b15b: 9ef7.*
+ 1b15c: 9eff.*
+ 1b15d: 9ef6.*
+ 1b15e: 9efe.*
+ 1b15f: 9ef4.*
+ 1b160: 9efc.*
+ 1b161: 9ef2.*
+ 1b162: 9efa.*
+ 1b163: 9ef5.*
+ 1b164: 9efd.*
+ 1b165: 9f03.*
+ 1b166: 9f0b.*
+ 1b167: 9f07.*
+ 1b168: 9f0f.*
+ 1b169: 9f06.*
+ 1b16a: 9f0e.*
+ 1b16b: 9f04.*
+ 1b16c: 9f0c.*
+ 1b16d: 9f02.*
+ 1b16e: 9f0a.*
+ 1b16f: 9f05.*
+ 1b170: 9f0d.*
+ 1b171: 9f43.*
+ 1b172: 9f4b.*
+ 1b173: 9f47.*
+ 1b174: 9f4f.*
+ 1b175: 9f46.*
+ 1b176: 9f4e.*
+ 1b177: 9f44.*
+ 1b178: 9f4c.*
+ 1b179: 9f42.*
+ 1b17a: 9f4a.*
+ 1b17b: 9f45.*
+ 1b17c: 9f4d.*
+ 1b17d: 9f83.*
+ 1b17e: 9f8b.*
+ 1b17f: 9f87.*
+ 1b180: 9f8f.*
+ 1b181: 9f86.*
+ 1b182: 9f8e.*
+ 1b183: 9f84.*
+ 1b184: 9f8c.*
+ 1b185: 9f82.*
+ 1b186: 9f8a.*
+ 1b187: 9f85.*
+ 1b188: 9f8d.*
+ 1b189: 9fc3.*
+ 1b18a: 9fcb.*
+ 1b18b: 9fc7.*
+ 1b18c: 9fcf.*
+ 1b18d: 9fc6.*
+ 1b18e: 9fce.*
+ 1b18f: 9fc4.*
+ 1b190: 9fcc.*
+ 1b191: 9fc2.*
+ 1b192: 9fca.*
+ 1b193: 9fc5.*
+ 1b194: 9fcd.*
+ 1b195: 9f13.*
+ 1b196: 9f1b.*
+ 1b197: 9f17.*
+ 1b198: 9f1f.*
+ 1b199: 9f16.*
+ 1b19a: 9f1e.*
+ 1b19b: 9f14.*
+ 1b19c: 9f1c.*
+ 1b19d: 9f12.*
+ 1b19e: 9f1a.*
+ 1b19f: 9f15.*
+ 1b1a0: 9f1d.*
+ 1b1a1: 9f53.*
+ 1b1a2: 9f5b.*
+ 1b1a3: 9f57.*
+ 1b1a4: 9f5f.*
+ 1b1a5: 9f56.*
+ 1b1a6: 9f5e.*
+ 1b1a7: 9f54.*
+ 1b1a8: 9f5c.*
+ 1b1a9: 9f52.*
+ 1b1aa: 9f5a.*
+ 1b1ab: 9f55.*
+ 1b1ac: 9f5d.*
+ 1b1ad: 9f93.*
+ 1b1ae: 9f9b.*
+ 1b1af: 9f97.*
+ 1b1b0: 9f9f.*
+ 1b1b1: 9f96.*
+ 1b1b2: 9f9e.*
+ 1b1b3: 9f94.*
+ 1b1b4: 9f9c.*
+ 1b1b5: 9f92.*
+ 1b1b6: 9f9a.*
+ 1b1b7: 9f95.*
+ 1b1b8: 9f9d.*
+ 1b1b9: 9fd3.*
+ 1b1ba: 9fdb.*
+ 1b1bb: 9fd7.*
+ 1b1bc: 9fdf.*
+ 1b1bd: 9fd6.*
+ 1b1be: 9fde.*
+ 1b1bf: 9fd4.*
+ 1b1c0: 9fdc.*
+ 1b1c1: 9fd2.*
+ 1b1c2: 9fda.*
+ 1b1c3: 9fd5.*
+ 1b1c4: 9fdd.*
+ 1b1c5: 9f23.*
+ 1b1c6: 9f2b.*
+ 1b1c7: 9f27.*
+ 1b1c8: 9f2f.*
+ 1b1c9: 9f26.*
+ 1b1ca: 9f2e.*
+ 1b1cb: 9f24.*
+ 1b1cc: 9f2c.*
+ 1b1cd: 9f22.*
+ 1b1ce: 9f2a.*
+ 1b1cf: 9f25.*
+ 1b1d0: 9f2d.*
+ 1b1d1: 9f63.*
+ 1b1d2: 9f6b.*
+ 1b1d3: 9f67.*
+ 1b1d4: 9f6f.*
+ 1b1d5: 9f66.*
+ 1b1d6: 9f6e.*
+ 1b1d7: 9f64.*
+ 1b1d8: 9f6c.*
+ 1b1d9: 9f62.*
+ 1b1da: 9f6a.*
+ 1b1db: 9f65.*
+ 1b1dc: 9f6d.*
+ 1b1dd: 9fa3.*
+ 1b1de: 9fab.*
+ 1b1df: 9fa7.*
+ 1b1e0: 9faf.*
+ 1b1e1: 9fa6.*
+ 1b1e2: 9fae.*
+ 1b1e3: 9fa4.*
+ 1b1e4: 9fac.*
+ 1b1e5: 9fa2.*
+ 1b1e6: 9faa.*
+ 1b1e7: 9fa5.*
+ 1b1e8: 9fad.*
+ 1b1e9: 9fe3.*
+ 1b1ea: 9feb.*
+ 1b1eb: 9fe7.*
+ 1b1ec: 9fef.*
+ 1b1ed: 9fe6.*
+ 1b1ee: 9fee.*
+ 1b1ef: 9fe4.*
+ 1b1f0: 9fec.*
+ 1b1f1: 9fe2.*
+ 1b1f2: 9fea.*
+ 1b1f3: 9fe5.*
+ 1b1f4: 9fed.*
+ 1b1f5: 9f33.*
+ 1b1f6: 9f3b.*
+ 1b1f7: 9f37.*
+ 1b1f8: 9f3f.*
+ 1b1f9: 9f36.*
+ 1b1fa: 9f3e.*
+ 1b1fb: 9f34.*
+ 1b1fc: 9f3c.*
+ 1b1fd: 9f32.*
+ 1b1fe: 9f3a.*
+ 1b1ff: 9f35.*
+ 1b200: 9f3d.*
+ 1b201: 9f73.*
+ 1b202: 9f7b.*
+ 1b203: 9f77.*
+ 1b204: 9f7f.*
+ 1b205: 9f76.*
+ 1b206: 9f7e.*
+ 1b207: 9f74.*
+ 1b208: 9f7c.*
+ 1b209: 9f72.*
+ 1b20a: 9f7a.*
+ 1b20b: 9f75.*
+ 1b20c: 9f7d.*
+ 1b20d: 9fb3.*
+ 1b20e: 9fbb.*
+ 1b20f: 9fb7.*
+ 1b210: 9fbf.*
+ 1b211: 9fb6.*
+ 1b212: 9fbe.*
+ 1b213: 9fb4.*
+ 1b214: 9fbc.*
+ 1b215: 9fb2.*
+ 1b216: 9fba.*
+ 1b217: 9fb5.*
+ 1b218: 9fbd.*
+ 1b219: 9ff3.*
+ 1b21a: 9ffb.*
+ 1b21b: 9ff7.*
+ 1b21c: 9fff.*
+ 1b21d: 9ff6.*
+ 1b21e: 9ffe.*
+ 1b21f: 9ff4.*
+ 1b220: 9ffc.*
+ 1b221: 9ff2.*
+ 1b222: 9ffa.*
+ 1b223: 9ff5.*
+ 1b224: 9ffd.*
+ 1b225: f483.*
+ 1b226: f583.*
+ 1b227: f470.*
+ 1b228: f570.*
+ 1b229: f470.*
+ 1b22a: f471.*
+ 1b22b: f571.*
+ 1b22c: f471.*
+ 1b22d: f472.*
+ 1b22e: f572.*
+ 1b22f: f472.*
+ 1b230: f473.*
+ 1b231: f573.*
+ 1b232: f473.*
+ 1b233: f474.*
+ 1b234: f574.*
+ 1b235: f474.*
+ 1b236: f475.*
+ 1b237: f575.*
+ 1b238: f475.*
+ 1b239: f476.*
+ 1b23a: f576.*
+ 1b23b: f476.*
+ 1b23c: f477.*
+ 1b23d: f577.*
+ 1b23e: f477.*
+ 1b23f: f478.*
+ 1b240: f578.*
+ 1b241: f478.*
+ 1b242: f479.*
+ 1b243: f579.*
+ 1b244: f479.*
+ 1b245: f47a.*
+ 1b246: f57a.*
+ 1b247: f47a.*
+ 1b248: f47b.*
+ 1b249: f57b.*
+ 1b24a: f47b.*
+ 1b24b: f47c.*
+ 1b24c: f57c.*
+ 1b24d: f47c.*
+ 1b24e: f47d.*
+ 1b24f: f57d.*
+ 1b250: f47d.*
+ 1b251: f47e.*
+ 1b252: f57e.*
+ 1b253: f47e.*
+ 1b254: f47f.*
+ 1b255: f57f.*
+ 1b256: f47f.*
+ 1b257: f460.*
+ 1b258: f560.*
+ 1b259: f460.*
+ 1b25a: f461.*
+ 1b25b: f561.*
+ 1b25c: f461.*
+ 1b25d: f462.*
+ 1b25e: f562.*
+ 1b25f: f462.*
+ 1b260: f463.*
+ 1b261: f563.*
+ 1b262: f463.*
+ 1b263: f464.*
+ 1b264: f564.*
+ 1b265: f464.*
+ 1b266: f465.*
+ 1b267: f565.*
+ 1b268: f465.*
+ 1b269: f466.*
+ 1b26a: f566.*
+ 1b26b: f466.*
+ 1b26c: f467.*
+ 1b26d: f567.*
+ 1b26e: f467.*
+ 1b26f: f468.*
+ 1b270: f568.*
+ 1b271: f468.*
+ 1b272: f469.*
+ 1b273: f569.*
+ 1b274: f469.*
+ 1b275: f46a.*
+ 1b276: f56a.*
+ 1b277: f46a.*
+ 1b278: f46b.*
+ 1b279: f56b.*
+ 1b27a: f46b.*
+ 1b27b: f46c.*
+ 1b27c: f56c.*
+ 1b27d: f46c.*
+ 1b27e: f46d.*
+ 1b27f: f56d.*
+ 1b280: f46d.*
+ 1b281: f46e.*
+ 1b282: f56e.*
+ 1b283: f46e.*
+ 1b284: f46f.*
+ 1b285: f56f.*
+ 1b286: f46f.*
+ 1b287: f670.*
+ 1b288: f770.*
+ 1b289: f770.*
+ 1b28a: f671.*
+ 1b28b: f771.*
+ 1b28c: f771.*
+ 1b28d: f672.*
+ 1b28e: f772.*
+ 1b28f: f772.*
+ 1b290: f673.*
+ 1b291: f773.*
+ 1b292: f773.*
+ 1b293: f674.*
+ 1b294: f774.*
+ 1b295: f774.*
+ 1b296: f675.*
+ 1b297: f775.*
+ 1b298: f775.*
+ 1b299: f676.*
+ 1b29a: f776.*
+ 1b29b: f776.*
+ 1b29c: f677.*
+ 1b29d: f777.*
+ 1b29e: f777.*
+ 1b29f: f678.*
+ 1b2a0: f778.*
+ 1b2a1: f778.*
+ 1b2a2: f679.*
+ 1b2a3: f779.*
+ 1b2a4: f779.*
+ 1b2a5: f67a.*
+ 1b2a6: f77a.*
+ 1b2a7: f77a.*
+ 1b2a8: f67b.*
+ 1b2a9: f77b.*
+ 1b2aa: f77b.*
+ 1b2ab: f67c.*
+ 1b2ac: f77c.*
+ 1b2ad: f77c.*
+ 1b2ae: f67d.*
+ 1b2af: f77d.*
+ 1b2b0: f77d.*
+ 1b2b1: f67e.*
+ 1b2b2: f77e.*
+ 1b2b3: f77e.*
+ 1b2b4: f67f.*
+ 1b2b5: f77f.*
+ 1b2b6: f77f.*
+ 1b2b7: f660.*
+ 1b2b8: f760.*
+ 1b2b9: f760.*
+ 1b2ba: f661.*
+ 1b2bb: f761.*
+ 1b2bc: f761.*
+ 1b2bd: f662.*
+ 1b2be: f762.*
+ 1b2bf: f762.*
+ 1b2c0: f663.*
+ 1b2c1: f763.*
+ 1b2c2: f763.*
+ 1b2c3: f664.*
+ 1b2c4: f764.*
+ 1b2c5: f764.*
+ 1b2c6: f665.*
+ 1b2c7: f765.*
+ 1b2c8: f765.*
+ 1b2c9: f666.*
+ 1b2ca: f766.*
+ 1b2cb: f766.*
+ 1b2cc: f667.*
+ 1b2cd: f767.*
+ 1b2ce: f767.*
+ 1b2cf: f668.*
+ 1b2d0: f768.*
+ 1b2d1: f768.*
+ 1b2d2: f669.*
+ 1b2d3: f769.*
+ 1b2d4: f769.*
+ 1b2d5: f66a.*
+ 1b2d6: f76a.*
+ 1b2d7: f76a.*
+ 1b2d8: f66b.*
+ 1b2d9: f76b.*
+ 1b2da: f76b.*
+ 1b2db: f66c.*
+ 1b2dc: f76c.*
+ 1b2dd: f76c.*
+ 1b2de: f66d.*
+ 1b2df: f76d.*
+ 1b2e0: f76d.*
+ 1b2e1: f66e.*
+ 1b2e2: f76e.*
+ 1b2e3: f76e.*
+ 1b2e4: f66f.*
+ 1b2e5: f76f.*
+ 1b2e6: f76f.*
+ 1b2e7: f494.*
+ 1b2e8: f594.*
+ 1b2e9: f0f0.*
+ 1b2ea: f1f0.*
+ 1b2eb: f0f0.*
+ 1b2ec: f0f1.*
+ 1b2ed: f1f1.*
+ 1b2ee: f0f1.*
+ 1b2ef: f0f2.*
+ 1b2f0: f1f2.*
+ 1b2f1: f0f2.*
+ 1b2f2: f0f3.*
+ 1b2f3: f1f3.*
+ 1b2f4: f0f3.*
+ 1b2f5: f0f4.*
+ 1b2f6: f1f4.*
+ 1b2f7: f0f4.*
+ 1b2f8: f0f5.*
+ 1b2f9: f1f5.*
+ 1b2fa: f0f5.*
+ 1b2fb: f0f6.*
+ 1b2fc: f1f6.*
+ 1b2fd: f0f6.*
+ 1b2fe: f0f7.*
+ 1b2ff: f1f7.*
+ 1b300: f0f7.*
+ 1b301: f0f8.*
+ 1b302: f1f8.*
+ 1b303: f0f8.*
+ 1b304: f0f9.*
+ 1b305: f1f9.*
+ 1b306: f0f9.*
+ 1b307: f0fa.*
+ 1b308: f1fa.*
+ 1b309: f0fa.*
+ 1b30a: f0fb.*
+ 1b30b: f1fb.*
+ 1b30c: f0fb.*
+ 1b30d: f0fc.*
+ 1b30e: f1fc.*
+ 1b30f: f0fc.*
+ 1b310: f0fd.*
+ 1b311: f1fd.*
+ 1b312: f0fd.*
+ 1b313: f0fe.*
+ 1b314: f1fe.*
+ 1b315: f0fe.*
+ 1b316: f0ff.*
+ 1b317: f1ff.*
+ 1b318: f0ff.*
+ 1b319: f0e0.*
+ 1b31a: f1e0.*
+ 1b31b: f0e0.*
+ 1b31c: f0e1.*
+ 1b31d: f1e1.*
+ 1b31e: f0e1.*
+ 1b31f: f0e2.*
+ 1b320: f1e2.*
+ 1b321: f0e2.*
+ 1b322: f0e3.*
+ 1b323: f1e3.*
+ 1b324: f0e3.*
+ 1b325: f0e4.*
+ 1b326: f1e4.*
+ 1b327: f0e4.*
+ 1b328: f0e5.*
+ 1b329: f1e5.*
+ 1b32a: f0e5.*
+ 1b32b: f0e6.*
+ 1b32c: f1e6.*
+ 1b32d: f0e6.*
+ 1b32e: f0e7.*
+ 1b32f: f1e7.*
+ 1b330: f0e7.*
+ 1b331: f0e8.*
+ 1b332: f1e8.*
+ 1b333: f0e8.*
+ 1b334: f0e9.*
+ 1b335: f1e9.*
+ 1b336: f0e9.*
+ 1b337: f0ea.*
+ 1b338: f1ea.*
+ 1b339: f0ea.*
+ 1b33a: f0eb.*
+ 1b33b: f1eb.*
+ 1b33c: f0eb.*
+ 1b33d: f0ec.*
+ 1b33e: f1ec.*
+ 1b33f: f0ec.*
+ 1b340: f0ed.*
+ 1b341: f1ed.*
+ 1b342: f0ed.*
+ 1b343: f0ee.*
+ 1b344: f1ee.*
+ 1b345: f0ee.*
+ 1b346: f0ef.*
+ 1b347: f1ef.*
+ 1b348: f0ef.*
+ 1b349: f2f0.*
+ 1b34a: f3f0.*
+ 1b34b: f3f0.*
+ 1b34c: f2f1.*
+ 1b34d: f3f1.*
+ 1b34e: f3f1.*
+ 1b34f: f2f2.*
+ 1b350: f3f2.*
+ 1b351: f3f2.*
+ 1b352: f2f3.*
+ 1b353: f3f3.*
+ 1b354: f3f3.*
+ 1b355: f2f4.*
+ 1b356: f3f4.*
+ 1b357: f3f4.*
+ 1b358: f2f5.*
+ 1b359: f3f5.*
+ 1b35a: f3f5.*
+ 1b35b: f2f6.*
+ 1b35c: f3f6.*
+ 1b35d: f3f6.*
+ 1b35e: f2f7.*
+ 1b35f: f3f7.*
+ 1b360: f3f7.*
+ 1b361: f2f8.*
+ 1b362: f3f8.*
+ 1b363: f3f8.*
+ 1b364: f2f9.*
+ 1b365: f3f9.*
+ 1b366: f3f9.*
+ 1b367: f2fa.*
+ 1b368: f3fa.*
+ 1b369: f3fa.*
+ 1b36a: f2fb.*
+ 1b36b: f3fb.*
+ 1b36c: f3fb.*
+ 1b36d: f2fc.*
+ 1b36e: f3fc.*
+ 1b36f: f3fc.*
+ 1b370: f2fd.*
+ 1b371: f3fd.*
+ 1b372: f3fd.*
+ 1b373: f2fe.*
+ 1b374: f3fe.*
+ 1b375: f3fe.*
+ 1b376: f2ff.*
+ 1b377: f3ff.*
+ 1b378: f3ff.*
+ 1b379: f2e0.*
+ 1b37a: f3e0.*
+ 1b37b: f3e0.*
+ 1b37c: f2e1.*
+ 1b37d: f3e1.*
+ 1b37e: f3e1.*
+ 1b37f: f2e2.*
+ 1b380: f3e2.*
+ 1b381: f3e2.*
+ 1b382: f2e3.*
+ 1b383: f3e3.*
+ 1b384: f3e3.*
+ 1b385: f2e4.*
+ 1b386: f3e4.*
+ 1b387: f3e4.*
+ 1b388: f2e5.*
+ 1b389: f3e5.*
+ 1b38a: f3e5.*
+ 1b38b: f2e6.*
+ 1b38c: f3e6.*
+ 1b38d: f3e6.*
+ 1b38e: f2e7.*
+ 1b38f: f3e7.*
+ 1b390: f3e7.*
+ 1b391: f2e8.*
+ 1b392: f3e8.*
+ 1b393: f3e8.*
+ 1b394: f2e9.*
+ 1b395: f3e9.*
+ 1b396: f3e9.*
+ 1b397: f2ea.*
+ 1b398: f3ea.*
+ 1b399: f3ea.*
+ 1b39a: f2eb.*
+ 1b39b: f3eb.*
+ 1b39c: f3eb.*
+ 1b39d: f2ec.*
+ 1b39e: f3ec.*
+ 1b39f: f3ec.*
+ 1b3a0: f2ed.*
+ 1b3a1: f3ed.*
+ 1b3a2: f3ed.*
+ 1b3a3: f2ee.*
+ 1b3a4: f3ee.*
+ 1b3a5: f3ee.*
+ 1b3a6: f2ef.*
+ 1b3a7: f3ef.*
+ 1b3a8: f3ef.*
+ 1b3a9: e200.*
+ 1b3aa: e204.*
+ 1b3ab: e208.*
+ 1b3ac: e20c.*
+ 1b3ad: e201.*
+ 1b3ae: e205.*
+ 1b3af: e209.*
+ 1b3b0: e20d.*
+ 1b3b1: e202.*
+ 1b3b2: e206.*
+ 1b3b3: e20a.*
+ 1b3b4: e20e.*
+ 1b3b5: e203.*
+ 1b3b6: e207.*
+ 1b3b7: e20b.*
+ 1b3b8: e20f.*
+ 1b3b9: e240.*
+ 1b3ba: e244.*
+ 1b3bb: e248.*
+ 1b3bc: e24c.*
+ 1b3bd: e241.*
+ 1b3be: e245.*
+ 1b3bf: e249.*
+ 1b3c0: e24d.*
+ 1b3c1: e242.*
+ 1b3c2: e246.*
+ 1b3c3: e24a.*
+ 1b3c4: e24e.*
+ 1b3c5: e243.*
+ 1b3c6: e247.*
+ 1b3c7: e24b.*
+ 1b3c8: e24f.*
+ 1b3c9: e280.*
+ 1b3ca: e284.*
+ 1b3cb: e288.*
+ 1b3cc: e28c.*
+ 1b3cd: e281.*
+ 1b3ce: e285.*
+ 1b3cf: e289.*
+ 1b3d0: e28d.*
+ 1b3d1: e282.*
+ 1b3d2: e286.*
+ 1b3d3: e28a.*
+ 1b3d4: e28e.*
+ 1b3d5: e283.*
+ 1b3d6: e287.*
+ 1b3d7: e28b.*
+ 1b3d8: e28f.*
+ 1b3d9: e2c0.*
+ 1b3da: e2c4.*
+ 1b3db: e2c8.*
+ 1b3dc: e2cc.*
+ 1b3dd: e2c1.*
+ 1b3de: e2c5.*
+ 1b3df: e2c9.*
+ 1b3e0: e2cd.*
+ 1b3e1: e2c2.*
+ 1b3e2: e2c6.*
+ 1b3e3: e2ca.*
+ 1b3e4: e2ce.*
+ 1b3e5: e2c3.*
+ 1b3e6: e2c7.*
+ 1b3e7: e2cb.*
+ 1b3e8: e2cf.*
+ 1b3e9: e210.*
+ 1b3ea: e214.*
+ 1b3eb: e218.*
+ 1b3ec: e21c.*
+ 1b3ed: e211.*
+ 1b3ee: e215.*
+ 1b3ef: e219.*
+ 1b3f0: e21d.*
+ 1b3f1: e212.*
+ 1b3f2: e216.*
+ 1b3f3: e21a.*
+ 1b3f4: e21e.*
+ 1b3f5: e213.*
+ 1b3f6: e217.*
+ 1b3f7: e21b.*
+ 1b3f8: e21f.*
+ 1b3f9: e250.*
+ 1b3fa: e254.*
+ 1b3fb: e258.*
+ 1b3fc: e25c.*
+ 1b3fd: e251.*
+ 1b3fe: e255.*
+ 1b3ff: e259.*
+ 1b400: e25d.*
+ 1b401: e252.*
+ 1b402: e256.*
+ 1b403: e25a.*
+ 1b404: e25e.*
+ 1b405: e253.*
+ 1b406: e257.*
+ 1b407: e25b.*
+ 1b408: e25f.*
+ 1b409: e290.*
+ 1b40a: e294.*
+ 1b40b: e298.*
+ 1b40c: e29c.*
+ 1b40d: e291.*
+ 1b40e: e295.*
+ 1b40f: e299.*
+ 1b410: e29d.*
+ 1b411: e292.*
+ 1b412: e296.*
+ 1b413: e29a.*
+ 1b414: e29e.*
+ 1b415: e293.*
+ 1b416: e297.*
+ 1b417: e29b.*
+ 1b418: e29f.*
+ 1b419: e2d0.*
+ 1b41a: e2d4.*
+ 1b41b: e2d8.*
+ 1b41c: e2dc.*
+ 1b41d: e2d1.*
+ 1b41e: e2d5.*
+ 1b41f: e2d9.*
+ 1b420: e2dd.*
+ 1b421: e2d2.*
+ 1b422: e2d6.*
+ 1b423: e2da.*
+ 1b424: e2de.*
+ 1b425: e2d3.*
+ 1b426: e2d7.*
+ 1b427: e2db.*
+ 1b428: e2df.*
+ 1b429: e220.*
+ 1b42a: e224.*
+ 1b42b: e228.*
+ 1b42c: e22c.*
+ 1b42d: e221.*
+ 1b42e: e225.*
+ 1b42f: e229.*
+ 1b430: e22d.*
+ 1b431: e222.*
+ 1b432: e226.*
+ 1b433: e22a.*
+ 1b434: e22e.*
+ 1b435: e223.*
+ 1b436: e227.*
+ 1b437: e22b.*
+ 1b438: e22f.*
+ 1b439: e260.*
+ 1b43a: e264.*
+ 1b43b: e268.*
+ 1b43c: e26c.*
+ 1b43d: e261.*
+ 1b43e: e265.*
+ 1b43f: e269.*
+ 1b440: e26d.*
+ 1b441: e262.*
+ 1b442: e266.*
+ 1b443: e26a.*
+ 1b444: e26e.*
+ 1b445: e263.*
+ 1b446: e267.*
+ 1b447: e26b.*
+ 1b448: e26f.*
+ 1b449: e2a0.*
+ 1b44a: e2a4.*
+ 1b44b: e2a8.*
+ 1b44c: e2ac.*
+ 1b44d: e2a1.*
+ 1b44e: e2a5.*
+ 1b44f: e2a9.*
+ 1b450: e2ad.*
+ 1b451: e2a2.*
+ 1b452: e2a6.*
+ 1b453: e2aa.*
+ 1b454: e2ae.*
+ 1b455: e2a3.*
+ 1b456: e2a7.*
+ 1b457: e2ab.*
+ 1b458: e2af.*
+ 1b459: e2e0.*
+ 1b45a: e2e4.*
+ 1b45b: e2e8.*
+ 1b45c: e2ec.*
+ 1b45d: e2e1.*
+ 1b45e: e2e5.*
+ 1b45f: e2e9.*
+ 1b460: e2ed.*
+ 1b461: e2e2.*
+ 1b462: e2e6.*
+ 1b463: e2ea.*
+ 1b464: e2ee.*
+ 1b465: e2e3.*
+ 1b466: e2e7.*
+ 1b467: e2eb.*
+ 1b468: e2ef.*
+ 1b469: e230.*
+ 1b46a: e234.*
+ 1b46b: e238.*
+ 1b46c: e23c.*
+ 1b46d: e231.*
+ 1b46e: e235.*
+ 1b46f: e239.*
+ 1b470: e23d.*
+ 1b471: e232.*
+ 1b472: e236.*
+ 1b473: e23a.*
+ 1b474: e23e.*
+ 1b475: e233.*
+ 1b476: e237.*
+ 1b477: e23b.*
+ 1b478: e23f.*
+ 1b479: e270.*
+ 1b47a: e274.*
+ 1b47b: e278.*
+ 1b47c: e27c.*
+ 1b47d: e271.*
+ 1b47e: e275.*
+ 1b47f: e279.*
+ 1b480: e27d.*
+ 1b481: e272.*
+ 1b482: e276.*
+ 1b483: e27a.*
+ 1b484: e27e.*
+ 1b485: e273.*
+ 1b486: e277.*
+ 1b487: e27b.*
+ 1b488: e27f.*
+ 1b489: e2b0.*
+ 1b48a: e2b4.*
+ 1b48b: e2b8.*
+ 1b48c: e2bc.*
+ 1b48d: e2b1.*
+ 1b48e: e2b5.*
+ 1b48f: e2b9.*
+ 1b490: e2bd.*
+ 1b491: e2b2.*
+ 1b492: e2b6.*
+ 1b493: e2ba.*
+ 1b494: e2be.*
+ 1b495: e2b3.*
+ 1b496: e2b7.*
+ 1b497: e2bb.*
+ 1b498: e2bf.*
+ 1b499: e2f0.*
+ 1b49a: e2f4.*
+ 1b49b: e2f8.*
+ 1b49c: e2fc.*
+ 1b49d: e2f1.*
+ 1b49e: e2f5.*
+ 1b49f: e2f9.*
+ 1b4a0: e2fd.*
+ 1b4a1: e2f2.*
+ 1b4a2: e2f6.*
+ 1b4a3: e2fa.*
+ 1b4a4: e2fe.*
+ 1b4a5: e2f3.*
+ 1b4a6: e2f7.*
+ 1b4a7: e2fb.*
+ 1b4a8: e2ff.*
+ 1b4a9: 3878.*
+ 1b4aa: 3979.*
+ 1b4ab: 3880.*
+ 1b4ac: 3980.*
+ 1b4ad: 3888.*
+ 1b4ae: 3988.*
+ 1b4af: 3890.*
+ 1b4b0: 3990.*
+ 1b4b1: 38a0.*
+ 1b4b2: 39a0.*
+ 1b4b3: 38a8.*
+ 1b4b4: 39a8.*
+ 1b4b5: 38b0.*
+ 1b4b6: 39b0.*
+ 1b4b7: 38b8.*
+ 1b4b8: 39b8.*
+ 1b4b9: 38c0.*
+ 1b4ba: 39c0.*
+ 1b4bb: 38c8.*
+ 1b4bc: 39c8.*
+ 1b4bd: 38d0.*
+ 1b4be: 39d0.*
+ 1b4bf: 38d8.*
+ 1b4c0: 39d8.*
+ 1b4c1: 38e0.*
+ 1b4c2: 3618.*
+ 1b4c3: 39e0.*
+ 1b4c4: 3619.*
+ 1b4c5: 38e8.*
+ 1b4c6: 361a.*
+ 1b4c7: 39e8.*
+ 1b4c8: 361b.*
+ 1b4c9: 38f0.*
+ 1b4ca: 361c.*
+ 1b4cb: 39f0.*
+ 1b4cc: 361d.*
+ 1b4cd: 38f8.*
+ 1b4ce: 361e.*
+ 1b4cf: 39f8.*
+ 1b4d0: 361f.*
+ 1b4d1: 3881.*
+ 1b4d2: 3981.*
+ 1b4d3: 3889.*
+ 1b4d4: 3989.*
+ 1b4d5: 3891.*
+ 1b4d6: 3991.*
+ 1b4d7: 38a1.*
+ 1b4d8: 39a1.*
+ 1b4d9: 38a9.*
+ 1b4da: 39a9.*
+ 1b4db: 38b1.*
+ 1b4dc: 39b1.*
+ 1b4dd: 38b9.*
+ 1b4de: 39b9.*
+ 1b4df: 38c1.*
+ 1b4e0: 39c1.*
+ 1b4e1: 38c9.*
+ 1b4e2: 39c9.*
+ 1b4e3: 38d1.*
+ 1b4e4: 39d1.*
+ 1b4e5: 38d9.*
+ 1b4e6: 39d9.*
+ 1b4e7: 38e1.*
+ 1b4e8: 3620.*
+ 1b4e9: 39e1.*
+ 1b4ea: 3621.*
+ 1b4eb: 38e9.*
+ 1b4ec: 3622.*
+ 1b4ed: 39e9.*
+ 1b4ee: 3623.*
+ 1b4ef: 38f1.*
+ 1b4f0: 3624.*
+ 1b4f1: 39f1.*
+ 1b4f2: 3625.*
+ 1b4f3: 38f8.*
+ 1b4f4: 3626.*
+ 1b4f5: 39f8.*
+ 1b4f6: 3627.*
+ 1b4f7: 3882.*
+ 1b4f8: 3982.*
+ 1b4f9: 388a.*
+ 1b4fa: 398a.*
+ 1b4fb: 3892.*
+ 1b4fc: 3992.*
+ 1b4fd: 38a2.*
+ 1b4fe: 39a2.*
+ 1b4ff: 38aa.*
+ 1b500: 39aa.*
+ 1b501: 38b2.*
+ 1b502: 39b2.*
+ 1b503: 38ba.*
+ 1b504: 39ba.*
+ 1b505: 38c2.*
+ 1b506: 39c2.*
+ 1b507: 38ca.*
+ 1b508: 39ca.*
+ 1b509: 38d2.*
+ 1b50a: 39d2.*
+ 1b50b: 38da.*
+ 1b50c: 39da.*
+ 1b50d: 38e2.*
+ 1b50e: 3628.*
+ 1b50f: 39e2.*
+ 1b510: 3629.*
+ 1b511: 38ea.*
+ 1b512: 362a.*
+ 1b513: 39ea.*
+ 1b514: 362b.*
+ 1b515: 38f2.*
+ 1b516: 362c.*
+ 1b517: 39f2.*
+ 1b518: 362d.*
+ 1b519: 38f8.*
+ 1b51a: 362e.*
+ 1b51b: 39f8.*
+ 1b51c: 362f.*
+ 1b51d: 3883.*
+ 1b51e: 3983.*
+ 1b51f: 388b.*
+ 1b520: 398b.*
+ 1b521: 3893.*
+ 1b522: 3993.*
+ 1b523: 38a3.*
+ 1b524: 39a3.*
+ 1b525: 38ab.*
+ 1b526: 39ab.*
+ 1b527: 38b3.*
+ 1b528: 39b3.*
+ 1b529: 38bb.*
+ 1b52a: 39bb.*
+ 1b52b: 38c3.*
+ 1b52c: 39c3.*
+ 1b52d: 38cb.*
+ 1b52e: 39cb.*
+ 1b52f: 38d3.*
+ 1b530: 39d3.*
+ 1b531: 38db.*
+ 1b532: 39db.*
+ 1b533: 38e3.*
+ 1b534: 3630.*
+ 1b535: 39e3.*
+ 1b536: 3631.*
+ 1b537: 38eb.*
+ 1b538: 3632.*
+ 1b539: 39eb.*
+ 1b53a: 3633.*
+ 1b53b: 38f3.*
+ 1b53c: 3634.*
+ 1b53d: 39f3.*
+ 1b53e: 3635.*
+ 1b53f: 38f8.*
+ 1b540: 3636.*
+ 1b541: 39f8.*
+ 1b542: 3637.*
+ 1b543: 3884.*
+ 1b544: 3984.*
+ 1b545: 388c.*
+ 1b546: 398c.*
+ 1b547: 3894.*
+ 1b548: 3994.*
+ 1b549: 38a4.*
+ 1b54a: 39a4.*
+ 1b54b: 38ac.*
+ 1b54c: 39ac.*
+ 1b54d: 38b4.*
+ 1b54e: 39b4.*
+ 1b54f: 38bc.*
+ 1b550: 39bc.*
+ 1b551: 38c4.*
+ 1b552: 39c4.*
+ 1b553: 38cc.*
+ 1b554: 39cc.*
+ 1b555: 38d4.*
+ 1b556: 39d4.*
+ 1b557: 38dc.*
+ 1b558: 39dc.*
+ 1b559: 38e4.*
+ 1b55a: 3638.*
+ 1b55b: 39e4.*
+ 1b55c: 3639.*
+ 1b55d: 38ec.*
+ 1b55e: 363a.*
+ 1b55f: 39ec.*
+ 1b560: 363b.*
+ 1b561: 38f4.*
+ 1b562: 363c.*
+ 1b563: 39f4.*
+ 1b564: 363d.*
+ 1b565: 38f8.*
+ 1b566: 363e.*
+ 1b567: 39f8.*
+ 1b568: 363f.*
+ 1b569: 3885.*
+ 1b56a: 3985.*
+ 1b56b: 388d.*
+ 1b56c: 398d.*
+ 1b56d: 3895.*
+ 1b56e: 3995.*
+ 1b56f: 38a5.*
+ 1b570: 39a5.*
+ 1b571: 38ad.*
+ 1b572: 39ad.*
+ 1b573: 38b5.*
+ 1b574: 39b5.*
+ 1b575: 38bd.*
+ 1b576: 39bd.*
+ 1b577: 38c5.*
+ 1b578: 39c5.*
+ 1b579: 38cd.*
+ 1b57a: 39cd.*
+ 1b57b: 38d5.*
+ 1b57c: 39d5.*
+ 1b57d: 38dd.*
+ 1b57e: 39dd.*
+ 1b57f: 38e5.*
+ 1b580: 3640.*
+ 1b581: 39e5.*
+ 1b582: 3641.*
+ 1b583: 38ed.*
+ 1b584: 3642.*
+ 1b585: 39ed.*
+ 1b586: 3643.*
+ 1b587: 38f5.*
+ 1b588: 3644.*
+ 1b589: 39f5.*
+ 1b58a: 3645.*
+ 1b58b: 38f8.*
+ 1b58c: 3646.*
+ 1b58d: 39f8.*
+ 1b58e: 3647.*
+ 1b58f: 3886.*
+ 1b590: 3986.*
+ 1b591: 388e.*
+ 1b592: 398e.*
+ 1b593: 3896.*
+ 1b594: 3996.*
+ 1b595: 38a6.*
+ 1b596: 39a6.*
+ 1b597: 38ae.*
+ 1b598: 39ae.*
+ 1b599: 38b6.*
+ 1b59a: 39b6.*
+ 1b59b: 38be.*
+ 1b59c: 39be.*
+ 1b59d: 38c6.*
+ 1b59e: 39c6.*
+ 1b59f: 38ce.*
+ 1b5a0: 39ce.*
+ 1b5a1: 38d6.*
+ 1b5a2: 39d6.*
+ 1b5a3: 38de.*
+ 1b5a4: 39de.*
+ 1b5a5: 38e6.*
+ 1b5a6: 3648.*
+ 1b5a7: 39e6.*
+ 1b5a8: 3649.*
+ 1b5a9: 38ee.*
+ 1b5aa: 364a.*
+ 1b5ab: 39ee.*
+ 1b5ac: 364b.*
+ 1b5ad: 38f6.*
+ 1b5ae: 364c.*
+ 1b5af: 39f6.*
+ 1b5b0: 364d.*
+ 1b5b1: 38f8.*
+ 1b5b2: 364e.*
+ 1b5b3: 39f8.*
+ 1b5b4: 364f.*
+ 1b5b5: 3887.*
+ 1b5b6: 3987.*
+ 1b5b7: 388f.*
+ 1b5b8: 398f.*
+ 1b5b9: 3897.*
+ 1b5ba: 3997.*
+ 1b5bb: 38a7.*
+ 1b5bc: 39a7.*
+ 1b5bd: 38af.*
+ 1b5be: 39af.*
+ 1b5bf: 38b7.*
+ 1b5c0: 39b7.*
+ 1b5c1: 38bf.*
+ 1b5c2: 39bf.*
+ 1b5c3: 38c7.*
+ 1b5c4: 39c7.*
+ 1b5c5: 38cf.*
+ 1b5c6: 39cf.*
+ 1b5c7: 38d7.*
+ 1b5c8: 39d7.*
+ 1b5c9: 38df.*
+ 1b5ca: 39df.*
+ 1b5cb: 38e7.*
+ 1b5cc: 3650.*
+ 1b5cd: 39e7.*
+ 1b5ce: 3651.*
+ 1b5cf: 38ef.*
+ 1b5d0: 3652.*
+ 1b5d1: 39ef.*
+ 1b5d2: 3653.*
+ 1b5d3: 38f7.*
+ 1b5d4: 3654.*
+ 1b5d5: 39f7.*
+ 1b5d6: 3655.*
+ 1b5d7: 38f8.*
+ 1b5d8: 3656.*
+ 1b5d9: 39f8.*
+ 1b5da: 3657.*
+ 1b5db: 3a7a.*
+ 1b5dc: 3b7b.*
+ 1b5dd: 3a80.*
+ 1b5de: 3b80.*
+ 1b5df: 3a88.*
+ 1b5e0: 3b88.*
+ 1b5e1: 3a90.*
+ 1b5e2: 3b90.*
+ 1b5e3: 3aa0.*
+ 1b5e4: 3ba0.*
+ 1b5e5: 3aa8.*
+ 1b5e6: 3ba8.*
+ 1b5e7: 3ab0.*
+ 1b5e8: 3bb0.*
+ 1b5e9: 3ab8.*
+ 1b5ea: 3bb8.*
+ 1b5eb: 3ac0.*
+ 1b5ec: 3bc0.*
+ 1b5ed: 3ac8.*
+ 1b5ee: 3bc8.*
+ 1b5ef: 3ad0.*
+ 1b5f0: 3bd0.*
+ 1b5f1: 3ad8.*
+ 1b5f2: 3bd8.*
+ 1b5f3: 3ae0.*
+ 1b5f4: 3658.*
+ 1b5f5: 3be0.*
+ 1b5f6: 3659.*
+ 1b5f7: 3ae8.*
+ 1b5f8: 365a.*
+ 1b5f9: 3be8.*
+ 1b5fa: 365b.*
+ 1b5fb: 3af0.*
+ 1b5fc: 365c.*
+ 1b5fd: 3bf0.*
+ 1b5fe: 365d.*
+ 1b5ff: 3af8.*
+ 1b600: 365e.*
+ 1b601: 3bf8.*
+ 1b602: 365f.*
+ 1b603: 3a81.*
+ 1b604: 3b81.*
+ 1b605: 3a89.*
+ 1b606: 3b89.*
+ 1b607: 3a91.*
+ 1b608: 3b91.*
+ 1b609: 3aa1.*
+ 1b60a: 3ba1.*
+ 1b60b: 3aa9.*
+ 1b60c: 3ba9.*
+ 1b60d: 3ab1.*
+ 1b60e: 3bb1.*
+ 1b60f: 3ab9.*
+ 1b610: 3bb9.*
+ 1b611: 3ac1.*
+ 1b612: 3bc1.*
+ 1b613: 3ac9.*
+ 1b614: 3bc9.*
+ 1b615: 3ad1.*
+ 1b616: 3bd1.*
+ 1b617: 3ad9.*
+ 1b618: 3bd9.*
+ 1b619: 3ae1.*
+ 1b61a: 3660.*
+ 1b61b: 3be1.*
+ 1b61c: 3661.*
+ 1b61d: 3ae9.*
+ 1b61e: 3662.*
+ 1b61f: 3be9.*
+ 1b620: 3663.*
+ 1b621: 3af1.*
+ 1b622: 3664.*
+ 1b623: 3bf1.*
+ 1b624: 3665.*
+ 1b625: 3af8.*
+ 1b626: 3666.*
+ 1b627: 3bf8.*
+ 1b628: 3667.*
+ 1b629: 3a82.*
+ 1b62a: 3b82.*
+ 1b62b: 3a8a.*
+ 1b62c: 3b8a.*
+ 1b62d: 3a92.*
+ 1b62e: 3b92.*
+ 1b62f: 3aa2.*
+ 1b630: 3ba2.*
+ 1b631: 3aaa.*
+ 1b632: 3baa.*
+ 1b633: 3ab2.*
+ 1b634: 3bb2.*
+ 1b635: 3aba.*
+ 1b636: 3bba.*
+ 1b637: 3ac2.*
+ 1b638: 3bc2.*
+ 1b639: 3aca.*
+ 1b63a: 3bca.*
+ 1b63b: 3ad2.*
+ 1b63c: 3bd2.*
+ 1b63d: 3ada.*
+ 1b63e: 3bda.*
+ 1b63f: 3ae2.*
+ 1b640: 3668.*
+ 1b641: 3be2.*
+ 1b642: 3669.*
+ 1b643: 3aea.*
+ 1b644: 366a.*
+ 1b645: 3bea.*
+ 1b646: 366b.*
+ 1b647: 3af2.*
+ 1b648: 366c.*
+ 1b649: 3bf2.*
+ 1b64a: 366d.*
+ 1b64b: 3af8.*
+ 1b64c: 366e.*
+ 1b64d: 3bf8.*
+ 1b64e: 366f.*
+ 1b64f: 3a83.*
+ 1b650: 3b83.*
+ 1b651: 3a8b.*
+ 1b652: 3b8b.*
+ 1b653: 3a93.*
+ 1b654: 3b93.*
+ 1b655: 3aa3.*
+ 1b656: 3ba3.*
+ 1b657: 3aab.*
+ 1b658: 3bab.*
+ 1b659: 3ab3.*
+ 1b65a: 3bb3.*
+ 1b65b: 3abb.*
+ 1b65c: 3bbb.*
+ 1b65d: 3ac3.*
+ 1b65e: 3bc3.*
+ 1b65f: 3acb.*
+ 1b660: 3bcb.*
+ 1b661: 3ad3.*
+ 1b662: 3bd3.*
+ 1b663: 3adb.*
+ 1b664: 3bdb.*
+ 1b665: 3ae3.*
+ 1b666: 3670.*
+ 1b667: 3be3.*
+ 1b668: 3671.*
+ 1b669: 3aeb.*
+ 1b66a: 3672.*
+ 1b66b: 3beb.*
+ 1b66c: 3673.*
+ 1b66d: 3af3.*
+ 1b66e: 3674.*
+ 1b66f: 3bf3.*
+ 1b670: 3675.*
+ 1b671: 3af8.*
+ 1b672: 3676.*
+ 1b673: 3bf8.*
+ 1b674: 3677.*
+ 1b675: 3a84.*
+ 1b676: 3b84.*
+ 1b677: 3a8c.*
+ 1b678: 3b8c.*
+ 1b679: 3a94.*
+ 1b67a: 3b94.*
+ 1b67b: 3aa4.*
+ 1b67c: 3ba4.*
+ 1b67d: 3aac.*
+ 1b67e: 3bac.*
+ 1b67f: 3ab4.*
+ 1b680: 3bb4.*
+ 1b681: 3abc.*
+ 1b682: 3bbc.*
+ 1b683: 3ac4.*
+ 1b684: 3bc4.*
+ 1b685: 3acc.*
+ 1b686: 3bcc.*
+ 1b687: 3ad4.*
+ 1b688: 3bd4.*
+ 1b689: 3adc.*
+ 1b68a: 3bdc.*
+ 1b68b: 3ae4.*
+ 1b68c: 3678.*
+ 1b68d: 3be4.*
+ 1b68e: 3679.*
+ 1b68f: 3aec.*
+ 1b690: 367a.*
+ 1b691: 3bec.*
+ 1b692: 367b.*
+ 1b693: 3af4.*
+ 1b694: 367c.*
+ 1b695: 3bf4.*
+ 1b696: 367d.*
+ 1b697: 3af8.*
+ 1b698: 367e.*
+ 1b699: 3bf8.*
+ 1b69a: 367f.*
+ 1b69b: 3a85.*
+ 1b69c: 3b85.*
+ 1b69d: 3a8d.*
+ 1b69e: 3b8d.*
+ 1b69f: 3a95.*
+ 1b6a0: 3b95.*
+ 1b6a1: 3aa5.*
+ 1b6a2: 3ba5.*
+ 1b6a3: 3aad.*
+ 1b6a4: 3bad.*
+ 1b6a5: 3ab5.*
+ 1b6a6: 3bb5.*
+ 1b6a7: 3abd.*
+ 1b6a8: 3bbd.*
+ 1b6a9: 3ac5.*
+ 1b6aa: 3bc5.*
+ 1b6ab: 3acd.*
+ 1b6ac: 3bcd.*
+ 1b6ad: 3ad5.*
+ 1b6ae: 3bd5.*
+ 1b6af: 3add.*
+ 1b6b0: 3bdd.*
+ 1b6b1: 3ae5.*
+ 1b6b2: 3680.*
+ 1b6b3: 3be5.*
+ 1b6b4: 3681.*
+ 1b6b5: 3aed.*
+ 1b6b6: 3682.*
+ 1b6b7: 3bed.*
+ 1b6b8: 3683.*
+ 1b6b9: 3af5.*
+ 1b6ba: 3684.*
+ 1b6bb: 3bf5.*
+ 1b6bc: 3685.*
+ 1b6bd: 3af8.*
+ 1b6be: 3686.*
+ 1b6bf: 3bf8.*
+ 1b6c0: 3687.*
+ 1b6c1: 3a86.*
+ 1b6c2: 3b86.*
+ 1b6c3: 3a8e.*
+ 1b6c4: 3b8e.*
+ 1b6c5: 3a96.*
+ 1b6c6: 3b96.*
+ 1b6c7: 3aa6.*
+ 1b6c8: 3ba6.*
+ 1b6c9: 3aae.*
+ 1b6ca: 3bae.*
+ 1b6cb: 3ab6.*
+ 1b6cc: 3bb6.*
+ 1b6cd: 3abe.*
+ 1b6ce: 3bbe.*
+ 1b6cf: 3ac6.*
+ 1b6d0: 3bc6.*
+ 1b6d1: 3ace.*
+ 1b6d2: 3bce.*
+ 1b6d3: 3ad6.*
+ 1b6d4: 3bd6.*
+ 1b6d5: 3ade.*
+ 1b6d6: 3bde.*
+ 1b6d7: 3ae6.*
+ 1b6d8: 3688.*
+ 1b6d9: 3be6.*
+ 1b6da: 3689.*
+ 1b6db: 3aee.*
+ 1b6dc: 368a.*
+ 1b6dd: 3bee.*
+ 1b6de: 368b.*
+ 1b6df: 3af6.*
+ 1b6e0: 368c.*
+ 1b6e1: 3bf6.*
+ 1b6e2: 368d.*
+ 1b6e3: 3af8.*
+ 1b6e4: 368e.*
+ 1b6e5: 3bf8.*
+ 1b6e6: 368f.*
+ 1b6e7: 3a87.*
+ 1b6e8: 3b87.*
+ 1b6e9: 3a8f.*
+ 1b6ea: 3b8f.*
+ 1b6eb: 3a97.*
+ 1b6ec: 3b97.*
+ 1b6ed: 3aa7.*
+ 1b6ee: 3ba7.*
+ 1b6ef: 3aaf.*
+ 1b6f0: 3baf.*
+ 1b6f1: 3ab7.*
+ 1b6f2: 3bb7.*
+ 1b6f3: 3abf.*
+ 1b6f4: 3bbf.*
+ 1b6f5: 3ac7.*
+ 1b6f6: 3bc7.*
+ 1b6f7: 3acf.*
+ 1b6f8: 3bcf.*
+ 1b6f9: 3ad7.*
+ 1b6fa: 3bd7.*
+ 1b6fb: 3adf.*
+ 1b6fc: 3bdf.*
+ 1b6fd: 3ae7.*
+ 1b6fe: 3690.*
+ 1b6ff: 3be7.*
+ 1b700: 3691.*
+ 1b701: 3aef.*
+ 1b702: 3692.*
+ 1b703: 3bef.*
+ 1b704: 3693.*
+ 1b705: 3af7.*
+ 1b706: 3694.*
+ 1b707: 3bf7.*
+ 1b708: 3695.*
+ 1b709: 3af8.*
+ 1b70a: 3696.*
+ 1b70b: 3bf8.*
+ 1b70c: 3697.*
+ 1b70d: f48d.*
+ 1b70e: f58d.*
+ 1b70f: 267c.*
+ 1b710: 277d.*
+ 1b711: 2680.*
+ 1b712: 2780.*
+ 1b713: 2688.*
+ 1b714: 2788.*
+ 1b715: 2690.*
+ 1b716: 2790.*
+ 1b717: 26a0.*
+ 1b718: 27a0.*
+ 1b719: 26a8.*
+ 1b71a: 27a8.*
+ 1b71b: 26b0.*
+ 1b71c: 27b0.*
+ 1b71d: 26b8.*
+ 1b71e: 27b8.*
+ 1b71f: 26c0.*
+ 1b720: 27c0.*
+ 1b721: 26c8.*
+ 1b722: 27c8.*
+ 1b723: 26d0.*
+ 1b724: 27d0.*
+ 1b725: 26d8.*
+ 1b726: 27d8.*
+ 1b727: 26e0.*
+ 1b728: 3698.*
+ 1b729: 27e0.*
+ 1b72a: 3699.*
+ 1b72b: 26e8.*
+ 1b72c: 369a.*
+ 1b72d: 27e8.*
+ 1b72e: 369b.*
+ 1b72f: 26f0.*
+ 1b730: 369c.*
+ 1b731: 27f0.*
+ 1b732: 369d.*
+ 1b733: 26f8.*
+ 1b734: 369e.*
+ 1b735: 27f8.*
+ 1b736: 369f.*
+ 1b737: 2681.*
+ 1b738: 2781.*
+ 1b739: 2689.*
+ 1b73a: 2789.*
+ 1b73b: 2691.*
+ 1b73c: 2791.*
+ 1b73d: 26a1.*
+ 1b73e: 27a1.*
+ 1b73f: 26a9.*
+ 1b740: 27a9.*
+ 1b741: 26b1.*
+ 1b742: 27b1.*
+ 1b743: 26b9.*
+ 1b744: 27b9.*
+ 1b745: 26c1.*
+ 1b746: 27c1.*
+ 1b747: 26c9.*
+ 1b748: 27c9.*
+ 1b749: 26d1.*
+ 1b74a: 27d1.*
+ 1b74b: 26d9.*
+ 1b74c: 27d9.*
+ 1b74d: 26e1.*
+ 1b74e: 36a0.*
+ 1b74f: 27e1.*
+ 1b750: 36a1.*
+ 1b751: 26e9.*
+ 1b752: 36a2.*
+ 1b753: 27e9.*
+ 1b754: 36a3.*
+ 1b755: 26f1.*
+ 1b756: 36a4.*
+ 1b757: 27f1.*
+ 1b758: 36a5.*
+ 1b759: 26f8.*
+ 1b75a: 36a6.*
+ 1b75b: 27f8.*
+ 1b75c: 36a7.*
+ 1b75d: 2682.*
+ 1b75e: 2782.*
+ 1b75f: 268a.*
+ 1b760: 278a.*
+ 1b761: 2692.*
+ 1b762: 2792.*
+ 1b763: 26a2.*
+ 1b764: 27a2.*
+ 1b765: 26aa.*
+ 1b766: 27aa.*
+ 1b767: 26b2.*
+ 1b768: 27b2.*
+ 1b769: 26ba.*
+ 1b76a: 27ba.*
+ 1b76b: 26c2.*
+ 1b76c: 27c2.*
+ 1b76d: 26ca.*
+ 1b76e: 27ca.*
+ 1b76f: 26d2.*
+ 1b770: 27d2.*
+ 1b771: 26da.*
+ 1b772: 27da.*
+ 1b773: 26e2.*
+ 1b774: 36a8.*
+ 1b775: 27e2.*
+ 1b776: 36a9.*
+ 1b777: 26ea.*
+ 1b778: 36aa.*
+ 1b779: 27ea.*
+ 1b77a: 36ab.*
+ 1b77b: 26f2.*
+ 1b77c: 36ac.*
+ 1b77d: 27f2.*
+ 1b77e: 36ad.*
+ 1b77f: 26f8.*
+ 1b780: 36ae.*
+ 1b781: 27f8.*
+ 1b782: 36af.*
+ 1b783: 2683.*
+ 1b784: 2783.*
+ 1b785: 268b.*
+ 1b786: 278b.*
+ 1b787: 2693.*
+ 1b788: 2793.*
+ 1b789: 26a3.*
+ 1b78a: 27a3.*
+ 1b78b: 26ab.*
+ 1b78c: 27ab.*
+ 1b78d: 26b3.*
+ 1b78e: 27b3.*
+ 1b78f: 26bb.*
+ 1b790: 27bb.*
+ 1b791: 26c3.*
+ 1b792: 27c3.*
+ 1b793: 26cb.*
+ 1b794: 27cb.*
+ 1b795: 26d3.*
+ 1b796: 27d3.*
+ 1b797: 26db.*
+ 1b798: 27db.*
+ 1b799: 26e3.*
+ 1b79a: 36b0.*
+ 1b79b: 27e3.*
+ 1b79c: 36b1.*
+ 1b79d: 26eb.*
+ 1b79e: 36b2.*
+ 1b79f: 27eb.*
+ 1b7a0: 36b3.*
+ 1b7a1: 26f3.*
+ 1b7a2: 36b4.*
+ 1b7a3: 27f3.*
+ 1b7a4: 36b5.*
+ 1b7a5: 26f8.*
+ 1b7a6: 36b6.*
+ 1b7a7: 27f8.*
+ 1b7a8: 36b7.*
+ 1b7a9: 2684.*
+ 1b7aa: 2784.*
+ 1b7ab: 268c.*
+ 1b7ac: 278c.*
+ 1b7ad: 2694.*
+ 1b7ae: 2794.*
+ 1b7af: 26a4.*
+ 1b7b0: 27a4.*
+ 1b7b1: 26ac.*
+ 1b7b2: 27ac.*
+ 1b7b3: 26b4.*
+ 1b7b4: 27b4.*
+ 1b7b5: 26bc.*
+ 1b7b6: 27bc.*
+ 1b7b7: 26c4.*
+ 1b7b8: 27c4.*
+ 1b7b9: 26cc.*
+ 1b7ba: 27cc.*
+ 1b7bb: 26d4.*
+ 1b7bc: 27d4.*
+ 1b7bd: 26dc.*
+ 1b7be: 27dc.*
+ 1b7bf: 26e4.*
+ 1b7c0: 36b8.*
+ 1b7c1: 27e4.*
+ 1b7c2: 36b9.*
+ 1b7c3: 26ec.*
+ 1b7c4: 36ba.*
+ 1b7c5: 27ec.*
+ 1b7c6: 36bb.*
+ 1b7c7: 26f4.*
+ 1b7c8: 36bc.*
+ 1b7c9: 27f4.*
+ 1b7ca: 36bd.*
+ 1b7cb: 26f8.*
+ 1b7cc: 36be.*
+ 1b7cd: 27f8.*
+ 1b7ce: 36bf.*
+ 1b7cf: 2685.*
+ 1b7d0: 2785.*
+ 1b7d1: 268d.*
+ 1b7d2: 278d.*
+ 1b7d3: 2695.*
+ 1b7d4: 2795.*
+ 1b7d5: 26a5.*
+ 1b7d6: 27a5.*
+ 1b7d7: 26ad.*
+ 1b7d8: 27ad.*
+ 1b7d9: 26b5.*
+ 1b7da: 27b5.*
+ 1b7db: 26bd.*
+ 1b7dc: 27bd.*
+ 1b7dd: 26c5.*
+ 1b7de: 27c5.*
+ 1b7df: 26cd.*
+ 1b7e0: 27cd.*
+ 1b7e1: 26d5.*
+ 1b7e2: 27d5.*
+ 1b7e3: 26dd.*
+ 1b7e4: 27dd.*
+ 1b7e5: 26e5.*
+ 1b7e6: 36c0.*
+ 1b7e7: 27e5.*
+ 1b7e8: 36c1.*
+ 1b7e9: 26ed.*
+ 1b7ea: 36c2.*
+ 1b7eb: 27ed.*
+ 1b7ec: 36c3.*
+ 1b7ed: 26f5.*
+ 1b7ee: 36c4.*
+ 1b7ef: 27f5.*
+ 1b7f0: 36c5.*
+ 1b7f1: 26f8.*
+ 1b7f2: 36c6.*
+ 1b7f3: 27f8.*
+ 1b7f4: 36c7.*
+ 1b7f5: 2686.*
+ 1b7f6: 2786.*
+ 1b7f7: 268e.*
+ 1b7f8: 278e.*
+ 1b7f9: 2696.*
+ 1b7fa: 2796.*
+ 1b7fb: 26a6.*
+ 1b7fc: 27a6.*
+ 1b7fd: 26ae.*
+ 1b7fe: 27ae.*
+ 1b7ff: 26b6.*
+ 1b800: 27b6.*
+ 1b801: 26be.*
+ 1b802: 27be.*
+ 1b803: 26c6.*
+ 1b804: 27c6.*
+ 1b805: 26ce.*
+ 1b806: 27ce.*
+ 1b807: 26d6.*
+ 1b808: 27d6.*
+ 1b809: 26de.*
+ 1b80a: 27de.*
+ 1b80b: 26e6.*
+ 1b80c: 36c8.*
+ 1b80d: 27e6.*
+ 1b80e: 36c9.*
+ 1b80f: 26ee.*
+ 1b810: 36ca.*
+ 1b811: 27ee.*
+ 1b812: 36cb.*
+ 1b813: 26f6.*
+ 1b814: 36cc.*
+ 1b815: 27f6.*
+ 1b816: 36cd.*
+ 1b817: 26f8.*
+ 1b818: 36ce.*
+ 1b819: 27f8.*
+ 1b81a: 36cf.*
+ 1b81b: 2687.*
+ 1b81c: 2787.*
+ 1b81d: 268f.*
+ 1b81e: 278f.*
+ 1b81f: 2697.*
+ 1b820: 2797.*
+ 1b821: 26a7.*
+ 1b822: 27a7.*
+ 1b823: 26af.*
+ 1b824: 27af.*
+ 1b825: 26b7.*
+ 1b826: 27b7.*
+ 1b827: 26bf.*
+ 1b828: 27bf.*
+ 1b829: 26c7.*
+ 1b82a: 27c7.*
+ 1b82b: 26cf.*
+ 1b82c: 27cf.*
+ 1b82d: 26d7.*
+ 1b82e: 27d7.*
+ 1b82f: 26df.*
+ 1b830: 27df.*
+ 1b831: 26e7.*
+ 1b832: 36d0.*
+ 1b833: 27e7.*
+ 1b834: 36d1.*
+ 1b835: 26ef.*
+ 1b836: 36d2.*
+ 1b837: 27ef.*
+ 1b838: 36d3.*
+ 1b839: 26f7.*
+ 1b83a: 36d4.*
+ 1b83b: 27f7.*
+ 1b83c: 36d5.*
+ 1b83d: 26f8.*
+ 1b83e: 36d6.*
+ 1b83f: 27f8.*
+ 1b840: 36d7.*
+ 1b841: 9d03.*
+ 1b842: 9d0b.*
+ 1b843: 9d07.*
+ 1b844: 9d0f.*
+ 1b845: 9d06.*
+ 1b846: 9d0e.*
+ 1b847: 9d04.*
+ 1b848: 9d0c.*
+ 1b849: 9d02.*
+ 1b84a: 9d0a.*
+ 1b84b: 9d05.*
+ 1b84c: 9d0d.*
+ 1b84d: 9d43.*
+ 1b84e: 9d4b.*
+ 1b84f: 9d47.*
+ 1b850: 9d4f.*
+ 1b851: 9d46.*
+ 1b852: 9d4e.*
+ 1b853: 9d44.*
+ 1b854: 9d4c.*
+ 1b855: 9d42.*
+ 1b856: 9d4a.*
+ 1b857: 9d45.*
+ 1b858: 9d4d.*
+ 1b859: 9d83.*
+ 1b85a: 9d8b.*
+ 1b85b: 9d87.*
+ 1b85c: 9d8f.*
+ 1b85d: 9d86.*
+ 1b85e: 9d8e.*
+ 1b85f: 9d84.*
+ 1b860: 9d8c.*
+ 1b861: 9d82.*
+ 1b862: 9d8a.*
+ 1b863: 9d85.*
+ 1b864: 9d8d.*
+ 1b865: 9dc3.*
+ 1b866: 9dcb.*
+ 1b867: 9dc7.*
+ 1b868: 9dcf.*
+ 1b869: 9dc6.*
+ 1b86a: 9dce.*
+ 1b86b: 9dc4.*
+ 1b86c: 9dcc.*
+ 1b86d: 9dc2.*
+ 1b86e: 9dca.*
+ 1b86f: 9dc5.*
+ 1b870: 9dcd.*
+ 1b871: 9d13.*
+ 1b872: 9d1b.*
+ 1b873: 9d17.*
+ 1b874: 9d1f.*
+ 1b875: 9d16.*
+ 1b876: 9d1e.*
+ 1b877: 9d14.*
+ 1b878: 9d1c.*
+ 1b879: 9d12.*
+ 1b87a: 9d1a.*
+ 1b87b: 9d15.*
+ 1b87c: 9d1d.*
+ 1b87d: 9d53.*
+ 1b87e: 9d5b.*
+ 1b87f: 9d57.*
+ 1b880: 9d5f.*
+ 1b881: 9d56.*
+ 1b882: 9d5e.*
+ 1b883: 9d54.*
+ 1b884: 9d5c.*
+ 1b885: 9d52.*
+ 1b886: 9d5a.*
+ 1b887: 9d55.*
+ 1b888: 9d5d.*
+ 1b889: 9d93.*
+ 1b88a: 9d9b.*
+ 1b88b: 9d97.*
+ 1b88c: 9d9f.*
+ 1b88d: 9d96.*
+ 1b88e: 9d9e.*
+ 1b88f: 9d94.*
+ 1b890: 9d9c.*
+ 1b891: 9d92.*
+ 1b892: 9d9a.*
+ 1b893: 9d95.*
+ 1b894: 9d9d.*
+ 1b895: 9dd3.*
+ 1b896: 9ddb.*
+ 1b897: 9dd7.*
+ 1b898: 9ddf.*
+ 1b899: 9dd6.*
+ 1b89a: 9dde.*
+ 1b89b: 9dd4.*
+ 1b89c: 9ddc.*
+ 1b89d: 9dd2.*
+ 1b89e: 9dda.*
+ 1b89f: 9dd5.*
+ 1b8a0: 9ddd.*
+ 1b8a1: 9d23.*
+ 1b8a2: 9d2b.*
+ 1b8a3: 9d27.*
+ 1b8a4: 9d2f.*
+ 1b8a5: 9d26.*
+ 1b8a6: 9d2e.*
+ 1b8a7: 9d24.*
+ 1b8a8: 9d2c.*
+ 1b8a9: 9d22.*
+ 1b8aa: 9d2a.*
+ 1b8ab: 9d25.*
+ 1b8ac: 9d2d.*
+ 1b8ad: 9d63.*
+ 1b8ae: 9d6b.*
+ 1b8af: 9d67.*
+ 1b8b0: 9d6f.*
+ 1b8b1: 9d66.*
+ 1b8b2: 9d6e.*
+ 1b8b3: 9d64.*
+ 1b8b4: 9d6c.*
+ 1b8b5: 9d62.*
+ 1b8b6: 9d6a.*
+ 1b8b7: 9d65.*
+ 1b8b8: 9d6d.*
+ 1b8b9: 9da3.*
+ 1b8ba: 9dab.*
+ 1b8bb: 9da7.*
+ 1b8bc: 9daf.*
+ 1b8bd: 9da6.*
+ 1b8be: 9dae.*
+ 1b8bf: 9da4.*
+ 1b8c0: 9dac.*
+ 1b8c1: 9da2.*
+ 1b8c2: 9daa.*
+ 1b8c3: 9da5.*
+ 1b8c4: 9dad.*
+ 1b8c5: 9de3.*
+ 1b8c6: 9deb.*
+ 1b8c7: 9de7.*
+ 1b8c8: 9def.*
+ 1b8c9: 9de6.*
+ 1b8ca: 9dee.*
+ 1b8cb: 9de4.*
+ 1b8cc: 9dec.*
+ 1b8cd: 9de2.*
+ 1b8ce: 9dea.*
+ 1b8cf: 9de5.*
+ 1b8d0: 9ded.*
+ 1b8d1: 9d33.*
+ 1b8d2: 9d3b.*
+ 1b8d3: 9d37.*
+ 1b8d4: 9d3f.*
+ 1b8d5: 9d36.*
+ 1b8d6: 9d3e.*
+ 1b8d7: 9d34.*
+ 1b8d8: 9d3c.*
+ 1b8d9: 9d32.*
+ 1b8da: 9d3a.*
+ 1b8db: 9d35.*
+ 1b8dc: 9d3d.*
+ 1b8dd: 9d73.*
+ 1b8de: 9d7b.*
+ 1b8df: 9d77.*
+ 1b8e0: 9d7f.*
+ 1b8e1: 9d76.*
+ 1b8e2: 9d7e.*
+ 1b8e3: 9d74.*
+ 1b8e4: 9d7c.*
+ 1b8e5: 9d72.*
+ 1b8e6: 9d7a.*
+ 1b8e7: 9d75.*
+ 1b8e8: 9d7d.*
+ 1b8e9: 9db3.*
+ 1b8ea: 9dbb.*
+ 1b8eb: 9db7.*
+ 1b8ec: 9dbf.*
+ 1b8ed: 9db6.*
+ 1b8ee: 9dbe.*
+ 1b8ef: 9db4.*
+ 1b8f0: 9dbc.*
+ 1b8f1: 9db2.*
+ 1b8f2: 9dba.*
+ 1b8f3: 9db5.*
+ 1b8f4: 9dbd.*
+ 1b8f5: 9df3.*
+ 1b8f6: 9dfb.*
+ 1b8f7: 9df7.*
+ 1b8f8: 9dff.*
+ 1b8f9: 9df6.*
+ 1b8fa: 9dfe.*
+ 1b8fb: 9df4.*
+ 1b8fc: 9dfc.*
+ 1b8fd: 9df2.*
+ 1b8fe: 9dfa.*
+ 1b8ff: 9df5.*
+ 1b900: 9dfd.*
+ 1b901: f5b0.*
+ 1b902: f5b1.*
+ 1b903: f5b2.*
+ 1b904: f5b3.*
+ 1b905: f5b4.*
+ 1b906: f5b5.*
+ 1b907: f5b6.*
+ 1b908: f5b7.*
+ 1b909: f5b8.*
+ 1b90a: f5b9.*
+ 1b90b: f5ba.*
+ 1b90c: f5bb.*
+ 1b90d: f5bc.*
+ 1b90e: f5bd.*
+ 1b90f: f5be.*
+ 1b910: f5bf.*
+ 1b911: f7b0.*
+ 1b912: f7b1.*
+ 1b913: f7b2.*
+ 1b914: f7b3.*
+ 1b915: f7b4.*
+ 1b916: f7b5.*
+ 1b917: f7b6.*
+ 1b918: f7b7.*
+ 1b919: f7b8.*
+ 1b91a: f7b9.*
+ 1b91b: f7ba.*
+ 1b91c: f7bb.*
+ 1b91d: f7bc.*
+ 1b91e: f7bd.*
+ 1b91f: f7be.*
+ 1b920: f7bf.*
+ 1b921: 867e.*
+ 1b922: 877f.*
+ 1b923: 8680.*
+ 1b924: 8688.*
+ 1b925: 8690.*
+ 1b926: 8698.*
+ 1b927: 86a0.*
+ 1b928: 86a8.*
+ 1b929: 86b0.*
+ 1b92a: 86b8.*
+ 1b92b: 86c0.*
+ 1b92c: 86c8.*
+ 1b92d: 86d0.*
+ 1b92e: 86d8.*
+ 1b92f: 86e0.*
+ 1b930: 36d8.*
+ 1b931: 86e8.*
+ 1b932: 36d9.*
+ 1b933: 86f0.*
+ 1b934: 36da.*
+ 1b935: 86f8.*
+ 1b936: 36db.*
+ 1b937: 8681.*
+ 1b938: 8689.*
+ 1b939: 8691.*
+ 1b93a: 8699.*
+ 1b93b: 86a1.*
+ 1b93c: 86a9.*
+ 1b93d: 86b1.*
+ 1b93e: 86b9.*
+ 1b93f: 86c1.*
+ 1b940: 86c9.*
+ 1b941: 86d1.*
+ 1b942: 86d9.*
+ 1b943: 86e1.*
+ 1b944: 36dc.*
+ 1b945: 86e9.*
+ 1b946: 36dd.*
+ 1b947: 86f1.*
+ 1b948: 36de.*
+ 1b949: 86f8.*
+ 1b94a: 36df.*
+ 1b94b: 8682.*
+ 1b94c: 868a.*
+ 1b94d: 8692.*
+ 1b94e: 869a.*
+ 1b94f: 86a2.*
+ 1b950: 86aa.*
+ 1b951: 86b2.*
+ 1b952: 86ba.*
+ 1b953: 86c2.*
+ 1b954: 86ca.*
+ 1b955: 86d2.*
+ 1b956: 86da.*
+ 1b957: 86e2.*
+ 1b958: 36e0.*
+ 1b959: 86ea.*
+ 1b95a: 36e1.*
+ 1b95b: 86f2.*
+ 1b95c: 36e2.*
+ 1b95d: 86f8.*
+ 1b95e: 36e3.*
+ 1b95f: 8683.*
+ 1b960: 868b.*
+ 1b961: 8693.*
+ 1b962: 869b.*
+ 1b963: 86a3.*
+ 1b964: 86ab.*
+ 1b965: 86b3.*
+ 1b966: 86bb.*
+ 1b967: 86c3.*
+ 1b968: 86cb.*
+ 1b969: 86d3.*
+ 1b96a: 86db.*
+ 1b96b: 86e3.*
+ 1b96c: 36e4.*
+ 1b96d: 86eb.*
+ 1b96e: 36e5.*
+ 1b96f: 86f3.*
+ 1b970: 36e6.*
+ 1b971: 86f8.*
+ 1b972: 36e7.*
+ 1b973: 8684.*
+ 1b974: 868c.*
+ 1b975: 8694.*
+ 1b976: 869c.*
+ 1b977: 86a4.*
+ 1b978: 86ac.*
+ 1b979: 86b4.*
+ 1b97a: 86bc.*
+ 1b97b: 86c4.*
+ 1b97c: 86cc.*
+ 1b97d: 86d4.*
+ 1b97e: 86dc.*
+ 1b97f: 86e4.*
+ 1b980: 36e8.*
+ 1b981: 86ec.*
+ 1b982: 36e9.*
+ 1b983: 86f4.*
+ 1b984: 36ea.*
+ 1b985: 86f8.*
+ 1b986: 36eb.*
+ 1b987: 8685.*
+ 1b988: 868d.*
+ 1b989: 8695.*
+ 1b98a: 869d.*
+ 1b98b: 86a5.*
+ 1b98c: 86ad.*
+ 1b98d: 86b5.*
+ 1b98e: 86bd.*
+ 1b98f: 86c5.*
+ 1b990: 86cd.*
+ 1b991: 86d5.*
+ 1b992: 86dd.*
+ 1b993: 86e5.*
+ 1b994: 36ec.*
+ 1b995: 86ed.*
+ 1b996: 36ed.*
+ 1b997: 86f5.*
+ 1b998: 36ee.*
+ 1b999: 86f8.*
+ 1b99a: 36ef.*
+ 1b99b: 8686.*
+ 1b99c: 868e.*
+ 1b99d: 8696.*
+ 1b99e: 869e.*
+ 1b99f: 86a6.*
+ 1b9a0: 86ae.*
+ 1b9a1: 86b6.*
+ 1b9a2: 86be.*
+ 1b9a3: 86c6.*
+ 1b9a4: 86ce.*
+ 1b9a5: 86d6.*
+ 1b9a6: 86de.*
+ 1b9a7: 86e6.*
+ 1b9a8: 36f0.*
+ 1b9a9: 86ee.*
+ 1b9aa: 36f1.*
+ 1b9ab: 86f6.*
+ 1b9ac: 36f2.*
+ 1b9ad: 86f8.*
+ 1b9ae: 36f3.*
+ 1b9af: 8687.*
+ 1b9b0: 868f.*
+ 1b9b1: 8697.*
+ 1b9b2: 869f.*
+ 1b9b3: 86a7.*
+ 1b9b4: 86af.*
+ 1b9b5: 86b7.*
+ 1b9b6: 86bf.*
+ 1b9b7: 86c7.*
+ 1b9b8: 86cf.*
+ 1b9b9: 86d7.*
+ 1b9ba: 86df.*
+ 1b9bb: 86e7.*
+ 1b9bc: 36f4.*
+ 1b9bd: 86ef.*
+ 1b9be: 36f5.*
+ 1b9bf: 86f7.*
+ 1b9c0: 36f6.*
+ 1b9c1: 86f8.*
+ 1b9c2: 36f7.*
+ 1b9c3: 8780.*
+ 1b9c4: 8788.*
+ 1b9c5: 8790.*
+ 1b9c6: 8798.*
+ 1b9c7: 87a0.*
+ 1b9c8: 87a8.*
+ 1b9c9: 87b0.*
+ 1b9ca: 87b8.*
+ 1b9cb: 87c0.*
+ 1b9cc: 87c8.*
+ 1b9cd: 87d0.*
+ 1b9ce: 87d8.*
+ 1b9cf: 87e0.*
+ 1b9d0: 36f8.*
+ 1b9d1: 87e8.*
+ 1b9d2: 36f9.*
+ 1b9d3: 87f0.*
+ 1b9d4: 36fa.*
+ 1b9d5: 87f8.*
+ 1b9d6: 36fb.*
+ 1b9d7: 8781.*
+ 1b9d8: 8789.*
+ 1b9d9: 8791.*
+ 1b9da: 8799.*
+ 1b9db: 87a1.*
+ 1b9dc: 87a9.*
+ 1b9dd: 87b1.*
+ 1b9de: 87b9.*
+ 1b9df: 87c1.*
+ 1b9e0: 87c9.*
+ 1b9e1: 87d1.*
+ 1b9e2: 87d9.*
+ 1b9e3: 87e1.*
+ 1b9e4: 36fc.*
+ 1b9e5: 87e9.*
+ 1b9e6: 36fd.*
+ 1b9e7: 87f1.*
+ 1b9e8: 36fe.*
+ 1b9e9: 87f8.*
+ 1b9ea: 36ff.*
+ 1b9eb: 8782.*
+ 1b9ec: 878a.*
+ 1b9ed: 8792.*
+ 1b9ee: 879a.*
+ 1b9ef: 87a2.*
+ 1b9f0: 87aa.*
+ 1b9f1: 87b2.*
+ 1b9f2: 87ba.*
+ 1b9f3: 87c2.*
+ 1b9f4: 87ca.*
+ 1b9f5: 87d2.*
+ 1b9f6: 87da.*
+ 1b9f7: 87e2.*
+ 1b9f8: 3700.*
+ 1b9f9: 87ea.*
+ 1b9fa: 3701.*
+ 1b9fb: 87f2.*
+ 1b9fc: 3702.*
+ 1b9fd: 87f8.*
+ 1b9fe: 3703.*
+ 1b9ff: 8783.*
+ 1ba00: 878b.*
+ 1ba01: 8793.*
+ 1ba02: 879b.*
+ 1ba03: 87a3.*
+ 1ba04: 87ab.*
+ 1ba05: 87b3.*
+ 1ba06: 87bb.*
+ 1ba07: 87c3.*
+ 1ba08: 87cb.*
+ 1ba09: 87d3.*
+ 1ba0a: 87db.*
+ 1ba0b: 87e3.*
+ 1ba0c: 3704.*
+ 1ba0d: 87eb.*
+ 1ba0e: 3705.*
+ 1ba0f: 87f3.*
+ 1ba10: 3706.*
+ 1ba11: 87f8.*
+ 1ba12: 3707.*
+ 1ba13: 8784.*
+ 1ba14: 878c.*
+ 1ba15: 8794.*
+ 1ba16: 879c.*
+ 1ba17: 87a4.*
+ 1ba18: 87ac.*
+ 1ba19: 87b4.*
+ 1ba1a: 87bc.*
+ 1ba1b: 87c4.*
+ 1ba1c: 87cc.*
+ 1ba1d: 87d4.*
+ 1ba1e: 87dc.*
+ 1ba1f: 87e4.*
+ 1ba20: 3708.*
+ 1ba21: 87ec.*
+ 1ba22: 3709.*
+ 1ba23: 87f4.*
+ 1ba24: 370a.*
+ 1ba25: 87f8.*
+ 1ba26: 370b.*
+ 1ba27: 8785.*
+ 1ba28: 878d.*
+ 1ba29: 8795.*
+ 1ba2a: 879d.*
+ 1ba2b: 87a5.*
+ 1ba2c: 87ad.*
+ 1ba2d: 87b5.*
+ 1ba2e: 87bd.*
+ 1ba2f: 87c5.*
+ 1ba30: 87cd.*
+ 1ba31: 87d5.*
+ 1ba32: 87dd.*
+ 1ba33: 87e5.*
+ 1ba34: 370c.*
+ 1ba35: 87ed.*
+ 1ba36: 370d.*
+ 1ba37: 87f5.*
+ 1ba38: 370e.*
+ 1ba39: 87f8.*
+ 1ba3a: 370f.*
+ 1ba3b: 8786.*
+ 1ba3c: 878e.*
+ 1ba3d: 8796.*
+ 1ba3e: 879e.*
+ 1ba3f: 87a6.*
+ 1ba40: 87ae.*
+ 1ba41: 87b6.*
+ 1ba42: 87be.*
+ 1ba43: 87c6.*
+ 1ba44: 87ce.*
+ 1ba45: 87d6.*
+ 1ba46: 87de.*
+ 1ba47: 87e6.*
+ 1ba48: 3710.*
+ 1ba49: 87ee.*
+ 1ba4a: 3711.*
+ 1ba4b: 87f6.*
+ 1ba4c: 3712.*
+ 1ba4d: 87f8.*
+ 1ba4e: 3713.*
+ 1ba4f: 8787.*
+ 1ba50: 878f.*
+ 1ba51: 8797.*
+ 1ba52: 879f.*
+ 1ba53: 87a7.*
+ 1ba54: 87af.*
+ 1ba55: 87b7.*
+ 1ba56: 87bf.*
+ 1ba57: 87c7.*
+ 1ba58: 87cf.*
+ 1ba59: 87d7.*
+ 1ba5a: 87df.*
+ 1ba5b: 87e7.*
+ 1ba5c: 3714.*
+ 1ba5d: 87ef.*
+ 1ba5e: 3715.*
+ 1ba5f: 87f7.*
+ 1ba60: 3716.*
+ 1ba61: 87f8.*
+ 1ba62: 3717.*
+ 1ba63: 8200.*
+ 1ba64: 8301.*
+ 1ba65: 8202.*
+ 1ba66: 6f03.*
+ 1ba67: 0c70.*
+ 1ba68: 6f04.*
+ 1ba69: 0c71.*
+ 1ba6a: 6f05.*
+ 1ba6b: 0c72.*
+ 1ba6c: 6f06.*
+ 1ba6d: 0c73.*
+ 1ba6e: 6f07.*
+ 1ba6f: 0c74.*
+ 1ba70: 6f08.*
+ 1ba71: 0c75.*
+ 1ba72: 6f09.*
+ 1ba73: 0c76.*
+ 1ba74: 6f0a.*
+ 1ba75: 0c77.*
+ 1ba76: 6f0b.*
+ 1ba77: 0c78.*
+ 1ba78: 6f0c.*
+ 1ba79: 0c79.*
+ 1ba7a: 6f0d.*
+ 1ba7b: 0c7a.*
+ 1ba7c: 6f0e.*
+ 1ba7d: 0c7b.*
+ 1ba7e: 6f0f.*
+ 1ba7f: 0c7c.*
+ 1ba80: 6f10.*
+ 1ba81: 0c7d.*
+ 1ba82: 6f11.*
+ 1ba83: 0c7e.*
+ 1ba84: 6f12.*
+ 1ba85: 0c7f.*
+ 1ba86: 8213.*
+ 1ba87: 6f14.*
+ 1ba88: 0c61.*
+ 1ba89: 6f15.*
+ 1ba8a: 0c62.*
+ 1ba8b: 6f16.*
+ 1ba8c: 0c63.*
+ 1ba8d: 6f17.*
+ 1ba8e: 0c64.*
+ 1ba8f: 6f18.*
+ 1ba90: 0c65.*
+ 1ba91: 6f19.*
+ 1ba92: 0c66.*
+ 1ba93: 6f1a.*
+ 1ba94: 0c67.*
+ 1ba95: 6f1b.*
+ 1ba96: 0c68.*
+ 1ba97: 6f1c.*
+ 1ba98: 0c69.*
+ 1ba99: 6f1d.*
+ 1ba9a: 0c6a.*
+ 1ba9b: 6f1e.*
+ 1ba9c: 0c6b.*
+ 1ba9d: 6f1f.*
+ 1ba9e: 0c6c.*
+ 1ba9f: 6f20.*
+ 1baa0: 0c6d.*
+ 1baa1: 6f21.*
+ 1baa2: 0c6e.*
+ 1baa3: 6f22.*
+ 1baa4: 0c6f.*
+ 1baa5: 8323.*
+ 1baa6: 6f24.*
+ 1baa7: 0d70.*
+ 1baa8: 6f25.*
+ 1baa9: 0d71.*
+ 1baaa: 6f26.*
+ 1baab: 0d72.*
+ 1baac: 6f27.*
+ 1baad: 0d73.*
+ 1baae: 6f28.*
+ 1baaf: 0d74.*
+ 1bab0: 6f29.*
+ 1bab1: 0d75.*
+ 1bab2: 6f2a.*
+ 1bab3: 0d76.*
+ 1bab4: 6f2b.*
+ 1bab5: 0d77.*
+ 1bab6: 6f2c.*
+ 1bab7: 0d78.*
+ 1bab8: 6f2d.*
+ 1bab9: 0d79.*
+ 1baba: 6f2e.*
+ 1babb: 0d7a.*
+ 1babc: 6f2f.*
+ 1babd: 0d7b.*
+ 1babe: 6f30.*
+ 1babf: 0d7c.*
+ 1bac0: 6f31.*
+ 1bac1: 0d7d.*
+ 1bac2: 6f32.*
+ 1bac3: 0d7e.*
+ 1bac4: 6f33.*
+ 1bac5: 0d7f.*
+ 1bac6: 8334.*
+ 1bac7: 6f35.*
+ 1bac8: 0d61.*
+ 1bac9: 6f36.*
+ 1baca: 0d62.*
+ 1bacb: 6f37.*
+ 1bacc: 0d63.*
+ 1bacd: 6f38.*
+ 1bace: 0d64.*
+ 1bacf: 6f39.*
+ 1bad0: 0d65.*
+ 1bad1: 6f3a.*
+ 1bad2: 0d66.*
+ 1bad3: 6f3b.*
+ 1bad4: 0d67.*
+ 1bad5: 6f3c.*
+ 1bad6: 0d68.*
+ 1bad7: 6f3d.*
+ 1bad8: 0d69.*
+ 1bad9: 6f3e.*
+ 1bada: 0d6a.*
+ 1badb: 6f3f.*
+ 1badc: 0d6b.*
+ 1badd: 6f40.*
+ 1bade: 0d6c.*
+ 1badf: 6f41.*
+ 1bae0: 0d6d.*
+ 1bae1: 6f42.*
+ 1bae2: 0d6e.*
+ 1bae3: 6f43.*
+ 1bae4: 0d6f.*
+ 1bae5: 8280.*
+ 1bae6: 8288.*
+ 1bae7: 8290.*
+ 1bae8: 8298.*
+ 1bae9: 82a0.*
+ 1baea: 82a8.*
+ 1baeb: 82b0.*
+ 1baec: 82b8.*
+ 1baed: 82c0.*
+ 1baee: 82c8.*
+ 1baef: 82d0.*
+ 1baf0: 82d8.*
+ 1baf1: 82e0.*
+ 1baf2: 3718.*
+ 1baf3: 82e8.*
+ 1baf4: 3719.*
+ 1baf5: 82f0.*
+ 1baf6: 371a.*
+ 1baf7: 82f8.*
+ 1baf8: 371b.*
+ 1baf9: 8281.*
+ 1bafa: 8289.*
+ 1bafb: 8291.*
+ 1bafc: 8299.*
+ 1bafd: 82a1.*
+ 1bafe: 82a9.*
+ 1baff: 82b1.*
+ 1bb00: 82b9.*
+ 1bb01: 82c1.*
+ 1bb02: 82c9.*
+ 1bb03: 82d1.*
+ 1bb04: 82d9.*
+ 1bb05: 82e1.*
+ 1bb06: 371c.*
+ 1bb07: 82e9.*
+ 1bb08: 371d.*
+ 1bb09: 82f1.*
+ 1bb0a: 371e.*
+ 1bb0b: 82f8.*
+ 1bb0c: 371f.*
+ 1bb0d: 8282.*
+ 1bb0e: 828a.*
+ 1bb0f: 8292.*
+ 1bb10: 829a.*
+ 1bb11: 82a2.*
+ 1bb12: 82aa.*
+ 1bb13: 82b2.*
+ 1bb14: 82ba.*
+ 1bb15: 82c2.*
+ 1bb16: 82ca.*
+ 1bb17: 82d2.*
+ 1bb18: 82da.*
+ 1bb19: 82e2.*
+ 1bb1a: 3720.*
+ 1bb1b: 82ea.*
+ 1bb1c: 3721.*
+ 1bb1d: 82f2.*
+ 1bb1e: 3722.*
+ 1bb1f: 82f8.*
+ 1bb20: 3723.*
+ 1bb21: 8283.*
+ 1bb22: 828b.*
+ 1bb23: 8293.*
+ 1bb24: 829b.*
+ 1bb25: 82a3.*
+ 1bb26: 82ab.*
+ 1bb27: 82b3.*
+ 1bb28: 82bb.*
+ 1bb29: 82c3.*
+ 1bb2a: 82cb.*
+ 1bb2b: 82d3.*
+ 1bb2c: 82db.*
+ 1bb2d: 82e3.*
+ 1bb2e: 3724.*
+ 1bb2f: 82eb.*
+ 1bb30: 3725.*
+ 1bb31: 82f3.*
+ 1bb32: 3726.*
+ 1bb33: 82f8.*
+ 1bb34: 3727.*
+ 1bb35: 8284.*
+ 1bb36: 828c.*
+ 1bb37: 8294.*
+ 1bb38: 829c.*
+ 1bb39: 82a4.*
+ 1bb3a: 82ac.*
+ 1bb3b: 82b4.*
+ 1bb3c: 82bc.*
+ 1bb3d: 82c4.*
+ 1bb3e: 82cc.*
+ 1bb3f: 82d4.*
+ 1bb40: 82dc.*
+ 1bb41: 82e4.*
+ 1bb42: 3728.*
+ 1bb43: 82ec.*
+ 1bb44: 3729.*
+ 1bb45: 82f4.*
+ 1bb46: 372a.*
+ 1bb47: 82f8.*
+ 1bb48: 372b.*
+ 1bb49: 8285.*
+ 1bb4a: 828d.*
+ 1bb4b: 8295.*
+ 1bb4c: 829d.*
+ 1bb4d: 82a5.*
+ 1bb4e: 82ad.*
+ 1bb4f: 82b5.*
+ 1bb50: 82bd.*
+ 1bb51: 82c5.*
+ 1bb52: 82cd.*
+ 1bb53: 82d5.*
+ 1bb54: 82dd.*
+ 1bb55: 82e5.*
+ 1bb56: 372c.*
+ 1bb57: 82ed.*
+ 1bb58: 372d.*
+ 1bb59: 82f5.*
+ 1bb5a: 372e.*
+ 1bb5b: 82f8.*
+ 1bb5c: 372f.*
+ 1bb5d: 8286.*
+ 1bb5e: 828e.*
+ 1bb5f: 8296.*
+ 1bb60: 829e.*
+ 1bb61: 82a6.*
+ 1bb62: 82ae.*
+ 1bb63: 82b6.*
+ 1bb64: 82be.*
+ 1bb65: 82c6.*
+ 1bb66: 82ce.*
+ 1bb67: 82d6.*
+ 1bb68: 82de.*
+ 1bb69: 82e6.*
+ 1bb6a: 3730.*
+ 1bb6b: 82ee.*
+ 1bb6c: 3731.*
+ 1bb6d: 82f6.*
+ 1bb6e: 3732.*
+ 1bb6f: 82f8.*
+ 1bb70: 3733.*
+ 1bb71: 8287.*
+ 1bb72: 828f.*
+ 1bb73: 8297.*
+ 1bb74: 829f.*
+ 1bb75: 82a7.*
+ 1bb76: 82af.*
+ 1bb77: 82b7.*
+ 1bb78: 82bf.*
+ 1bb79: 82c7.*
+ 1bb7a: 82cf.*
+ 1bb7b: 82d7.*
+ 1bb7c: 82df.*
+ 1bb7d: 82e7.*
+ 1bb7e: 3734.*
+ 1bb7f: 82ef.*
+ 1bb80: 3735.*
+ 1bb81: 82f7.*
+ 1bb82: 3736.*
+ 1bb83: 82f8.*
+ 1bb84: 3737.*
+ 1bb85: 6f80.*
+ 1bb86: 0c70.*
+ 1bb87: 6f88.*
+ 1bb88: 0c70.*
+ 1bb89: 6f90.*
+ 1bb8a: 0c70.*
+ 1bb8b: 6f98.*
+ 1bb8c: 0c70.*
+ 1bb8d: 6fa0.*
+ 1bb8e: 0c70.*
+ 1bb8f: 6fa8.*
+ 1bb90: 0c70.*
+ 1bb91: 6fb0.*
+ 1bb92: 0c70.*
+ 1bb93: 6fb8.*
+ 1bb94: 0c70.*
+ 1bb95: 6fc0.*
+ 1bb96: 0c70.*
+ 1bb97: 6fc8.*
+ 1bb98: 0c70.*
+ 1bb99: 6fd0.*
+ 1bb9a: 0c70.*
+ 1bb9b: 6fd8.*
+ 1bb9c: 0c70.*
+ 1bb9d: 6fe0.*
+ 1bb9e: 3738.*
+ 1bb9f: 0c70.*
+ 1bba0: 6fe8.*
+ 1bba1: 3739.*
+ 1bba2: 0c70.*
+ 1bba3: 6ff0.*
+ 1bba4: 373a.*
+ 1bba5: 0c70.*
+ 1bba6: 6ff8.*
+ 1bba7: 373b.*
+ 1bba8: 0c70.*
+ 1bba9: 6f81.*
+ 1bbaa: 0c70.*
+ 1bbab: 6f89.*
+ 1bbac: 0c70.*
+ 1bbad: 6f91.*
+ 1bbae: 0c70.*
+ 1bbaf: 6f99.*
+ 1bbb0: 0c70.*
+ 1bbb1: 6fa1.*
+ 1bbb2: 0c70.*
+ 1bbb3: 6fa9.*
+ 1bbb4: 0c70.*
+ 1bbb5: 6fb1.*
+ 1bbb6: 0c70.*
+ 1bbb7: 6fb9.*
+ 1bbb8: 0c70.*
+ 1bbb9: 6fc1.*
+ 1bbba: 0c70.*
+ 1bbbb: 6fc9.*
+ 1bbbc: 0c70.*
+ 1bbbd: 6fd1.*
+ 1bbbe: 0c70.*
+ 1bbbf: 6fd9.*
+ 1bbc0: 0c70.*
+ 1bbc1: 6fe1.*
+ 1bbc2: 373c.*
+ 1bbc3: 0c70.*
+ 1bbc4: 6fe9.*
+ 1bbc5: 373d.*
+ 1bbc6: 0c70.*
+ 1bbc7: 6ff1.*
+ 1bbc8: 373e.*
+ 1bbc9: 0c70.*
+ 1bbca: 6ff8.*
+ 1bbcb: 373f.*
+ 1bbcc: 0c70.*
+ 1bbcd: 6f82.*
+ 1bbce: 0c70.*
+ 1bbcf: 6f8a.*
+ 1bbd0: 0c70.*
+ 1bbd1: 6f92.*
+ 1bbd2: 0c70.*
+ 1bbd3: 6f9a.*
+ 1bbd4: 0c70.*
+ 1bbd5: 6fa2.*
+ 1bbd6: 0c70.*
+ 1bbd7: 6faa.*
+ 1bbd8: 0c70.*
+ 1bbd9: 6fb2.*
+ 1bbda: 0c70.*
+ 1bbdb: 6fba.*
+ 1bbdc: 0c70.*
+ 1bbdd: 6fc2.*
+ 1bbde: 0c70.*
+ 1bbdf: 6fca.*
+ 1bbe0: 0c70.*
+ 1bbe1: 6fd2.*
+ 1bbe2: 0c70.*
+ 1bbe3: 6fda.*
+ 1bbe4: 0c70.*
+ 1bbe5: 6fe2.*
+ 1bbe6: 3740.*
+ 1bbe7: 0c70.*
+ 1bbe8: 6fea.*
+ 1bbe9: 3741.*
+ 1bbea: 0c70.*
+ 1bbeb: 6ff2.*
+ 1bbec: 3742.*
+ 1bbed: 0c70.*
+ 1bbee: 6ff8.*
+ 1bbef: 3743.*
+ 1bbf0: 0c70.*
+ 1bbf1: 6f83.*
+ 1bbf2: 0c70.*
+ 1bbf3: 6f8b.*
+ 1bbf4: 0c70.*
+ 1bbf5: 6f93.*
+ 1bbf6: 0c70.*
+ 1bbf7: 6f9b.*
+ 1bbf8: 0c70.*
+ 1bbf9: 6fa3.*
+ 1bbfa: 0c70.*
+ 1bbfb: 6fab.*
+ 1bbfc: 0c70.*
+ 1bbfd: 6fb3.*
+ 1bbfe: 0c70.*
+ 1bbff: 6fbb.*
+ 1bc00: 0c70.*
+ 1bc01: 6fc3.*
+ 1bc02: 0c70.*
+ 1bc03: 6fcb.*
+ 1bc04: 0c70.*
+ 1bc05: 6fd3.*
+ 1bc06: 0c70.*
+ 1bc07: 6fdb.*
+ 1bc08: 0c70.*
+ 1bc09: 6fe3.*
+ 1bc0a: 3744.*
+ 1bc0b: 0c70.*
+ 1bc0c: 6feb.*
+ 1bc0d: 3745.*
+ 1bc0e: 0c70.*
+ 1bc0f: 6ff3.*
+ 1bc10: 3746.*
+ 1bc11: 0c70.*
+ 1bc12: 6ff8.*
+ 1bc13: 3747.*
+ 1bc14: 0c70.*
+ 1bc15: 6f84.*
+ 1bc16: 0c70.*
+ 1bc17: 6f8c.*
+ 1bc18: 0c70.*
+ 1bc19: 6f94.*
+ 1bc1a: 0c70.*
+ 1bc1b: 6f9c.*
+ 1bc1c: 0c70.*
+ 1bc1d: 6fa4.*
+ 1bc1e: 0c70.*
+ 1bc1f: 6fac.*
+ 1bc20: 0c70.*
+ 1bc21: 6fb4.*
+ 1bc22: 0c70.*
+ 1bc23: 6fbc.*
+ 1bc24: 0c70.*
+ 1bc25: 6fc4.*
+ 1bc26: 0c70.*
+ 1bc27: 6fcc.*
+ 1bc28: 0c70.*
+ 1bc29: 6fd4.*
+ 1bc2a: 0c70.*
+ 1bc2b: 6fdc.*
+ 1bc2c: 0c70.*
+ 1bc2d: 6fe4.*
+ 1bc2e: 3748.*
+ 1bc2f: 0c70.*
+ 1bc30: 6fec.*
+ 1bc31: 3749.*
+ 1bc32: 0c70.*
+ 1bc33: 6ff4.*
+ 1bc34: 374a.*
+ 1bc35: 0c70.*
+ 1bc36: 6ff8.*
+ 1bc37: 374b.*
+ 1bc38: 0c70.*
+ 1bc39: 6f85.*
+ 1bc3a: 0c70.*
+ 1bc3b: 6f8d.*
+ 1bc3c: 0c70.*
+ 1bc3d: 6f95.*
+ 1bc3e: 0c70.*
+ 1bc3f: 6f9d.*
+ 1bc40: 0c70.*
+ 1bc41: 6fa5.*
+ 1bc42: 0c70.*
+ 1bc43: 6fad.*
+ 1bc44: 0c70.*
+ 1bc45: 6fb5.*
+ 1bc46: 0c70.*
+ 1bc47: 6fbd.*
+ 1bc48: 0c70.*
+ 1bc49: 6fc5.*
+ 1bc4a: 0c70.*
+ 1bc4b: 6fcd.*
+ 1bc4c: 0c70.*
+ 1bc4d: 6fd5.*
+ 1bc4e: 0c70.*
+ 1bc4f: 6fdd.*
+ 1bc50: 0c70.*
+ 1bc51: 6fe5.*
+ 1bc52: 374c.*
+ 1bc53: 0c70.*
+ 1bc54: 6fed.*
+ 1bc55: 374d.*
+ 1bc56: 0c70.*
+ 1bc57: 6ff5.*
+ 1bc58: 374e.*
+ 1bc59: 0c70.*
+ 1bc5a: 6ff8.*
+ 1bc5b: 374f.*
+ 1bc5c: 0c70.*
+ 1bc5d: 6f86.*
+ 1bc5e: 0c70.*
+ 1bc5f: 6f8e.*
+ 1bc60: 0c70.*
+ 1bc61: 6f96.*
+ 1bc62: 0c70.*
+ 1bc63: 6f9e.*
+ 1bc64: 0c70.*
+ 1bc65: 6fa6.*
+ 1bc66: 0c70.*
+ 1bc67: 6fae.*
+ 1bc68: 0c70.*
+ 1bc69: 6fb6.*
+ 1bc6a: 0c70.*
+ 1bc6b: 6fbe.*
+ 1bc6c: 0c70.*
+ 1bc6d: 6fc6.*
+ 1bc6e: 0c70.*
+ 1bc6f: 6fce.*
+ 1bc70: 0c70.*
+ 1bc71: 6fd6.*
+ 1bc72: 0c70.*
+ 1bc73: 6fde.*
+ 1bc74: 0c70.*
+ 1bc75: 6fe6.*
+ 1bc76: 3750.*
+ 1bc77: 0c70.*
+ 1bc78: 6fee.*
+ 1bc79: 3751.*
+ 1bc7a: 0c70.*
+ 1bc7b: 6ff6.*
+ 1bc7c: 3752.*
+ 1bc7d: 0c70.*
+ 1bc7e: 6ff8.*
+ 1bc7f: 3753.*
+ 1bc80: 0c70.*
+ 1bc81: 6f87.*
+ 1bc82: 0c70.*
+ 1bc83: 6f8f.*
+ 1bc84: 0c70.*
+ 1bc85: 6f97.*
+ 1bc86: 0c70.*
+ 1bc87: 6f9f.*
+ 1bc88: 0c70.*
+ 1bc89: 6fa7.*
+ 1bc8a: 0c70.*
+ 1bc8b: 6faf.*
+ 1bc8c: 0c70.*
+ 1bc8d: 6fb7.*
+ 1bc8e: 0c70.*
+ 1bc8f: 6fbf.*
+ 1bc90: 0c70.*
+ 1bc91: 6fc7.*
+ 1bc92: 0c70.*
+ 1bc93: 6fcf.*
+ 1bc94: 0c70.*
+ 1bc95: 6fd7.*
+ 1bc96: 0c70.*
+ 1bc97: 6fdf.*
+ 1bc98: 0c70.*
+ 1bc99: 6fe7.*
+ 1bc9a: 3754.*
+ 1bc9b: 0c70.*
+ 1bc9c: 6fef.*
+ 1bc9d: 3755.*
+ 1bc9e: 0c70.*
+ 1bc9f: 6ff7.*
+ 1bca0: 3756.*
+ 1bca1: 0c70.*
+ 1bca2: 6ff8.*
+ 1bca3: 3757.*
+ 1bca4: 0c70.*
+ 1bca5: 6f80.*
+ 1bca6: 0c71.*
+ 1bca7: 6f88.*
+ 1bca8: 0c71.*
+ 1bca9: 6f90.*
+ 1bcaa: 0c71.*
+ 1bcab: 6f98.*
+ 1bcac: 0c71.*
+ 1bcad: 6fa0.*
+ 1bcae: 0c71.*
+ 1bcaf: 6fa8.*
+ 1bcb0: 0c71.*
+ 1bcb1: 6fb0.*
+ 1bcb2: 0c71.*
+ 1bcb3: 6fb8.*
+ 1bcb4: 0c71.*
+ 1bcb5: 6fc0.*
+ 1bcb6: 0c71.*
+ 1bcb7: 6fc8.*
+ 1bcb8: 0c71.*
+ 1bcb9: 6fd0.*
+ 1bcba: 0c71.*
+ 1bcbb: 6fd8.*
+ 1bcbc: 0c71.*
+ 1bcbd: 6fe0.*
+ 1bcbe: 3758.*
+ 1bcbf: 0c71.*
+ 1bcc0: 6fe8.*
+ 1bcc1: 3759.*
+ 1bcc2: 0c71.*
+ 1bcc3: 6ff0.*
+ 1bcc4: 375a.*
+ 1bcc5: 0c71.*
+ 1bcc6: 6ff8.*
+ 1bcc7: 375b.*
+ 1bcc8: 0c71.*
+ 1bcc9: 6f81.*
+ 1bcca: 0c71.*
+ 1bccb: 6f89.*
+ 1bccc: 0c71.*
+ 1bccd: 6f91.*
+ 1bcce: 0c71.*
+ 1bccf: 6f99.*
+ 1bcd0: 0c71.*
+ 1bcd1: 6fa1.*
+ 1bcd2: 0c71.*
+ 1bcd3: 6fa9.*
+ 1bcd4: 0c71.*
+ 1bcd5: 6fb1.*
+ 1bcd6: 0c71.*
+ 1bcd7: 6fb9.*
+ 1bcd8: 0c71.*
+ 1bcd9: 6fc1.*
+ 1bcda: 0c71.*
+ 1bcdb: 6fc9.*
+ 1bcdc: 0c71.*
+ 1bcdd: 6fd1.*
+ 1bcde: 0c71.*
+ 1bcdf: 6fd9.*
+ 1bce0: 0c71.*
+ 1bce1: 6fe1.*
+ 1bce2: 375c.*
+ 1bce3: 0c71.*
+ 1bce4: 6fe9.*
+ 1bce5: 375d.*
+ 1bce6: 0c71.*
+ 1bce7: 6ff1.*
+ 1bce8: 375e.*
+ 1bce9: 0c71.*
+ 1bcea: 6ff8.*
+ 1bceb: 375f.*
+ 1bcec: 0c71.*
+ 1bced: 6f82.*
+ 1bcee: 0c71.*
+ 1bcef: 6f8a.*
+ 1bcf0: 0c71.*
+ 1bcf1: 6f92.*
+ 1bcf2: 0c71.*
+ 1bcf3: 6f9a.*
+ 1bcf4: 0c71.*
+ 1bcf5: 6fa2.*
+ 1bcf6: 0c71.*
+ 1bcf7: 6faa.*
+ 1bcf8: 0c71.*
+ 1bcf9: 6fb2.*
+ 1bcfa: 0c71.*
+ 1bcfb: 6fba.*
+ 1bcfc: 0c71.*
+ 1bcfd: 6fc2.*
+ 1bcfe: 0c71.*
+ 1bcff: 6fca.*
+ 1bd00: 0c71.*
+ 1bd01: 6fd2.*
+ 1bd02: 0c71.*
+ 1bd03: 6fda.*
+ 1bd04: 0c71.*
+ 1bd05: 6fe2.*
+ 1bd06: 3760.*
+ 1bd07: 0c71.*
+ 1bd08: 6fea.*
+ 1bd09: 3761.*
+ 1bd0a: 0c71.*
+ 1bd0b: 6ff2.*
+ 1bd0c: 3762.*
+ 1bd0d: 0c71.*
+ 1bd0e: 6ff8.*
+ 1bd0f: 3763.*
+ 1bd10: 0c71.*
+ 1bd11: 6f83.*
+ 1bd12: 0c71.*
+ 1bd13: 6f8b.*
+ 1bd14: 0c71.*
+ 1bd15: 6f93.*
+ 1bd16: 0c71.*
+ 1bd17: 6f9b.*
+ 1bd18: 0c71.*
+ 1bd19: 6fa3.*
+ 1bd1a: 0c71.*
+ 1bd1b: 6fab.*
+ 1bd1c: 0c71.*
+ 1bd1d: 6fb3.*
+ 1bd1e: 0c71.*
+ 1bd1f: 6fbb.*
+ 1bd20: 0c71.*
+ 1bd21: 6fc3.*
+ 1bd22: 0c71.*
+ 1bd23: 6fcb.*
+ 1bd24: 0c71.*
+ 1bd25: 6fd3.*
+ 1bd26: 0c71.*
+ 1bd27: 6fdb.*
+ 1bd28: 0c71.*
+ 1bd29: 6fe3.*
+ 1bd2a: 3764.*
+ 1bd2b: 0c71.*
+ 1bd2c: 6feb.*
+ 1bd2d: 3765.*
+ 1bd2e: 0c71.*
+ 1bd2f: 6ff3.*
+ 1bd30: 3766.*
+ 1bd31: 0c71.*
+ 1bd32: 6ff8.*
+ 1bd33: 3767.*
+ 1bd34: 0c71.*
+ 1bd35: 6f84.*
+ 1bd36: 0c71.*
+ 1bd37: 6f8c.*
+ 1bd38: 0c71.*
+ 1bd39: 6f94.*
+ 1bd3a: 0c71.*
+ 1bd3b: 6f9c.*
+ 1bd3c: 0c71.*
+ 1bd3d: 6fa4.*
+ 1bd3e: 0c71.*
+ 1bd3f: 6fac.*
+ 1bd40: 0c71.*
+ 1bd41: 6fb4.*
+ 1bd42: 0c71.*
+ 1bd43: 6fbc.*
+ 1bd44: 0c71.*
+ 1bd45: 6fc4.*
+ 1bd46: 0c71.*
+ 1bd47: 6fcc.*
+ 1bd48: 0c71.*
+ 1bd49: 6fd4.*
+ 1bd4a: 0c71.*
+ 1bd4b: 6fdc.*
+ 1bd4c: 0c71.*
+ 1bd4d: 6fe4.*
+ 1bd4e: 3768.*
+ 1bd4f: 0c71.*
+ 1bd50: 6fec.*
+ 1bd51: 3769.*
+ 1bd52: 0c71.*
+ 1bd53: 6ff4.*
+ 1bd54: 376a.*
+ 1bd55: 0c71.*
+ 1bd56: 6ff8.*
+ 1bd57: 376b.*
+ 1bd58: 0c71.*
+ 1bd59: 6f85.*
+ 1bd5a: 0c71.*
+ 1bd5b: 6f8d.*
+ 1bd5c: 0c71.*
+ 1bd5d: 6f95.*
+ 1bd5e: 0c71.*
+ 1bd5f: 6f9d.*
+ 1bd60: 0c71.*
+ 1bd61: 6fa5.*
+ 1bd62: 0c71.*
+ 1bd63: 6fad.*
+ 1bd64: 0c71.*
+ 1bd65: 6fb5.*
+ 1bd66: 0c71.*
+ 1bd67: 6fbd.*
+ 1bd68: 0c71.*
+ 1bd69: 6fc5.*
+ 1bd6a: 0c71.*
+ 1bd6b: 6fcd.*
+ 1bd6c: 0c71.*
+ 1bd6d: 6fd5.*
+ 1bd6e: 0c71.*
+ 1bd6f: 6fdd.*
+ 1bd70: 0c71.*
+ 1bd71: 6fe5.*
+ 1bd72: 376c.*
+ 1bd73: 0c71.*
+ 1bd74: 6fed.*
+ 1bd75: 376d.*
+ 1bd76: 0c71.*
+ 1bd77: 6ff5.*
+ 1bd78: 376e.*
+ 1bd79: 0c71.*
+ 1bd7a: 6ff8.*
+ 1bd7b: 376f.*
+ 1bd7c: 0c71.*
+ 1bd7d: 6f86.*
+ 1bd7e: 0c71.*
+ 1bd7f: 6f8e.*
+ 1bd80: 0c71.*
+ 1bd81: 6f96.*
+ 1bd82: 0c71.*
+ 1bd83: 6f9e.*
+ 1bd84: 0c71.*
+ 1bd85: 6fa6.*
+ 1bd86: 0c71.*
+ 1bd87: 6fae.*
+ 1bd88: 0c71.*
+ 1bd89: 6fb6.*
+ 1bd8a: 0c71.*
+ 1bd8b: 6fbe.*
+ 1bd8c: 0c71.*
+ 1bd8d: 6fc6.*
+ 1bd8e: 0c71.*
+ 1bd8f: 6fce.*
+ 1bd90: 0c71.*
+ 1bd91: 6fd6.*
+ 1bd92: 0c71.*
+ 1bd93: 6fde.*
+ 1bd94: 0c71.*
+ 1bd95: 6fe6.*
+ 1bd96: 3770.*
+ 1bd97: 0c71.*
+ 1bd98: 6fee.*
+ 1bd99: 3771.*
+ 1bd9a: 0c71.*
+ 1bd9b: 6ff6.*
+ 1bd9c: 3772.*
+ 1bd9d: 0c71.*
+ 1bd9e: 6ff8.*
+ 1bd9f: 3773.*
+ 1bda0: 0c71.*
+ 1bda1: 6f87.*
+ 1bda2: 0c71.*
+ 1bda3: 6f8f.*
+ 1bda4: 0c71.*
+ 1bda5: 6f97.*
+ 1bda6: 0c71.*
+ 1bda7: 6f9f.*
+ 1bda8: 0c71.*
+ 1bda9: 6fa7.*
+ 1bdaa: 0c71.*
+ 1bdab: 6faf.*
+ 1bdac: 0c71.*
+ 1bdad: 6fb7.*
+ 1bdae: 0c71.*
+ 1bdaf: 6fbf.*
+ 1bdb0: 0c71.*
+ 1bdb1: 6fc7.*
+ 1bdb2: 0c71.*
+ 1bdb3: 6fcf.*
+ 1bdb4: 0c71.*
+ 1bdb5: 6fd7.*
+ 1bdb6: 0c71.*
+ 1bdb7: 6fdf.*
+ 1bdb8: 0c71.*
+ 1bdb9: 6fe7.*
+ 1bdba: 3774.*
+ 1bdbb: 0c71.*
+ 1bdbc: 6fef.*
+ 1bdbd: 3775.*
+ 1bdbe: 0c71.*
+ 1bdbf: 6ff7.*
+ 1bdc0: 3776.*
+ 1bdc1: 0c71.*
+ 1bdc2: 6ff8.*
+ 1bdc3: 3777.*
+ 1bdc4: 0c71.*
+ 1bdc5: 6f80.*
+ 1bdc6: 0c72.*
+ 1bdc7: 6f88.*
+ 1bdc8: 0c72.*
+ 1bdc9: 6f90.*
+ 1bdca: 0c72.*
+ 1bdcb: 6f98.*
+ 1bdcc: 0c72.*
+ 1bdcd: 6fa0.*
+ 1bdce: 0c72.*
+ 1bdcf: 6fa8.*
+ 1bdd0: 0c72.*
+ 1bdd1: 6fb0.*
+ 1bdd2: 0c72.*
+ 1bdd3: 6fb8.*
+ 1bdd4: 0c72.*
+ 1bdd5: 6fc0.*
+ 1bdd6: 0c72.*
+ 1bdd7: 6fc8.*
+ 1bdd8: 0c72.*
+ 1bdd9: 6fd0.*
+ 1bdda: 0c72.*
+ 1bddb: 6fd8.*
+ 1bddc: 0c72.*
+ 1bddd: 6fe0.*
+ 1bdde: 3778.*
+ 1bddf: 0c72.*
+ 1bde0: 6fe8.*
+ 1bde1: 3779.*
+ 1bde2: 0c72.*
+ 1bde3: 6ff0.*
+ 1bde4: 377a.*
+ 1bde5: 0c72.*
+ 1bde6: 6ff8.*
+ 1bde7: 377b.*
+ 1bde8: 0c72.*
+ 1bde9: 6f81.*
+ 1bdea: 0c72.*
+ 1bdeb: 6f89.*
+ 1bdec: 0c72.*
+ 1bded: 6f91.*
+ 1bdee: 0c72.*
+ 1bdef: 6f99.*
+ 1bdf0: 0c72.*
+ 1bdf1: 6fa1.*
+ 1bdf2: 0c72.*
+ 1bdf3: 6fa9.*
+ 1bdf4: 0c72.*
+ 1bdf5: 6fb1.*
+ 1bdf6: 0c72.*
+ 1bdf7: 6fb9.*
+ 1bdf8: 0c72.*
+ 1bdf9: 6fc1.*
+ 1bdfa: 0c72.*
+ 1bdfb: 6fc9.*
+ 1bdfc: 0c72.*
+ 1bdfd: 6fd1.*
+ 1bdfe: 0c72.*
+ 1bdff: 6fd9.*
+ 1be00: 0c72.*
+ 1be01: 6fe1.*
+ 1be02: 377c.*
+ 1be03: 0c72.*
+ 1be04: 6fe9.*
+ 1be05: 377d.*
+ 1be06: 0c72.*
+ 1be07: 6ff1.*
+ 1be08: 377e.*
+ 1be09: 0c72.*
+ 1be0a: 6ff8.*
+ 1be0b: 377f.*
+ 1be0c: 0c72.*
+ 1be0d: 6f82.*
+ 1be0e: 0c72.*
+ 1be0f: 6f8a.*
+ 1be10: 0c72.*
+ 1be11: 6f92.*
+ 1be12: 0c72.*
+ 1be13: 6f9a.*
+ 1be14: 0c72.*
+ 1be15: 6fa2.*
+ 1be16: 0c72.*
+ 1be17: 6faa.*
+ 1be18: 0c72.*
+ 1be19: 6fb2.*
+ 1be1a: 0c72.*
+ 1be1b: 6fba.*
+ 1be1c: 0c72.*
+ 1be1d: 6fc2.*
+ 1be1e: 0c72.*
+ 1be1f: 6fca.*
+ 1be20: 0c72.*
+ 1be21: 6fd2.*
+ 1be22: 0c72.*
+ 1be23: 6fda.*
+ 1be24: 0c72.*
+ 1be25: 6fe2.*
+ 1be26: 3780.*
+ 1be27: 0c72.*
+ 1be28: 6fea.*
+ 1be29: 3781.*
+ 1be2a: 0c72.*
+ 1be2b: 6ff2.*
+ 1be2c: 3782.*
+ 1be2d: 0c72.*
+ 1be2e: 6ff8.*
+ 1be2f: 3783.*
+ 1be30: 0c72.*
+ 1be31: 6f83.*
+ 1be32: 0c72.*
+ 1be33: 6f8b.*
+ 1be34: 0c72.*
+ 1be35: 6f93.*
+ 1be36: 0c72.*
+ 1be37: 6f9b.*
+ 1be38: 0c72.*
+ 1be39: 6fa3.*
+ 1be3a: 0c72.*
+ 1be3b: 6fab.*
+ 1be3c: 0c72.*
+ 1be3d: 6fb3.*
+ 1be3e: 0c72.*
+ 1be3f: 6fbb.*
+ 1be40: 0c72.*
+ 1be41: 6fc3.*
+ 1be42: 0c72.*
+ 1be43: 6fcb.*
+ 1be44: 0c72.*
+ 1be45: 6fd3.*
+ 1be46: 0c72.*
+ 1be47: 6fdb.*
+ 1be48: 0c72.*
+ 1be49: 6fe3.*
+ 1be4a: 3784.*
+ 1be4b: 0c72.*
+ 1be4c: 6feb.*
+ 1be4d: 3785.*
+ 1be4e: 0c72.*
+ 1be4f: 6ff3.*
+ 1be50: 3786.*
+ 1be51: 0c72.*
+ 1be52: 6ff8.*
+ 1be53: 3787.*
+ 1be54: 0c72.*
+ 1be55: 6f84.*
+ 1be56: 0c72.*
+ 1be57: 6f8c.*
+ 1be58: 0c72.*
+ 1be59: 6f94.*
+ 1be5a: 0c72.*
+ 1be5b: 6f9c.*
+ 1be5c: 0c72.*
+ 1be5d: 6fa4.*
+ 1be5e: 0c72.*
+ 1be5f: 6fac.*
+ 1be60: 0c72.*
+ 1be61: 6fb4.*
+ 1be62: 0c72.*
+ 1be63: 6fbc.*
+ 1be64: 0c72.*
+ 1be65: 6fc4.*
+ 1be66: 0c72.*
+ 1be67: 6fcc.*
+ 1be68: 0c72.*
+ 1be69: 6fd4.*
+ 1be6a: 0c72.*
+ 1be6b: 6fdc.*
+ 1be6c: 0c72.*
+ 1be6d: 6fe4.*
+ 1be6e: 3788.*
+ 1be6f: 0c72.*
+ 1be70: 6fec.*
+ 1be71: 3789.*
+ 1be72: 0c72.*
+ 1be73: 6ff4.*
+ 1be74: 378a.*
+ 1be75: 0c72.*
+ 1be76: 6ff8.*
+ 1be77: 378b.*
+ 1be78: 0c72.*
+ 1be79: 6f85.*
+ 1be7a: 0c72.*
+ 1be7b: 6f8d.*
+ 1be7c: 0c72.*
+ 1be7d: 6f95.*
+ 1be7e: 0c72.*
+ 1be7f: 6f9d.*
+ 1be80: 0c72.*
+ 1be81: 6fa5.*
+ 1be82: 0c72.*
+ 1be83: 6fad.*
+ 1be84: 0c72.*
+ 1be85: 6fb5.*
+ 1be86: 0c72.*
+ 1be87: 6fbd.*
+ 1be88: 0c72.*
+ 1be89: 6fc5.*
+ 1be8a: 0c72.*
+ 1be8b: 6fcd.*
+ 1be8c: 0c72.*
+ 1be8d: 6fd5.*
+ 1be8e: 0c72.*
+ 1be8f: 6fdd.*
+ 1be90: 0c72.*
+ 1be91: 6fe5.*
+ 1be92: 378c.*
+ 1be93: 0c72.*
+ 1be94: 6fed.*
+ 1be95: 378d.*
+ 1be96: 0c72.*
+ 1be97: 6ff5.*
+ 1be98: 378e.*
+ 1be99: 0c72.*
+ 1be9a: 6ff8.*
+ 1be9b: 378f.*
+ 1be9c: 0c72.*
+ 1be9d: 6f86.*
+ 1be9e: 0c72.*
+ 1be9f: 6f8e.*
+ 1bea0: 0c72.*
+ 1bea1: 6f96.*
+ 1bea2: 0c72.*
+ 1bea3: 6f9e.*
+ 1bea4: 0c72.*
+ 1bea5: 6fa6.*
+ 1bea6: 0c72.*
+ 1bea7: 6fae.*
+ 1bea8: 0c72.*
+ 1bea9: 6fb6.*
+ 1beaa: 0c72.*
+ 1beab: 6fbe.*
+ 1beac: 0c72.*
+ 1bead: 6fc6.*
+ 1beae: 0c72.*
+ 1beaf: 6fce.*
+ 1beb0: 0c72.*
+ 1beb1: 6fd6.*
+ 1beb2: 0c72.*
+ 1beb3: 6fde.*
+ 1beb4: 0c72.*
+ 1beb5: 6fe6.*
+ 1beb6: 3790.*
+ 1beb7: 0c72.*
+ 1beb8: 6fee.*
+ 1beb9: 3791.*
+ 1beba: 0c72.*
+ 1bebb: 6ff6.*
+ 1bebc: 3792.*
+ 1bebd: 0c72.*
+ 1bebe: 6ff8.*
+ 1bebf: 3793.*
+ 1bec0: 0c72.*
+ 1bec1: 6f87.*
+ 1bec2: 0c72.*
+ 1bec3: 6f8f.*
+ 1bec4: 0c72.*
+ 1bec5: 6f97.*
+ 1bec6: 0c72.*
+ 1bec7: 6f9f.*
+ 1bec8: 0c72.*
+ 1bec9: 6fa7.*
+ 1beca: 0c72.*
+ 1becb: 6faf.*
+ 1becc: 0c72.*
+ 1becd: 6fb7.*
+ 1bece: 0c72.*
+ 1becf: 6fbf.*
+ 1bed0: 0c72.*
+ 1bed1: 6fc7.*
+ 1bed2: 0c72.*
+ 1bed3: 6fcf.*
+ 1bed4: 0c72.*
+ 1bed5: 6fd7.*
+ 1bed6: 0c72.*
+ 1bed7: 6fdf.*
+ 1bed8: 0c72.*
+ 1bed9: 6fe7.*
+ 1beda: 3794.*
+ 1bedb: 0c72.*
+ 1bedc: 6fef.*
+ 1bedd: 3795.*
+ 1bede: 0c72.*
+ 1bedf: 6ff7.*
+ 1bee0: 3796.*
+ 1bee1: 0c72.*
+ 1bee2: 6ff8.*
+ 1bee3: 3797.*
+ 1bee4: 0c72.*
+ 1bee5: 6f80.*
+ 1bee6: 0c73.*
+ 1bee7: 6f88.*
+ 1bee8: 0c73.*
+ 1bee9: 6f90.*
+ 1beea: 0c73.*
+ 1beeb: 6f98.*
+ 1beec: 0c73.*
+ 1beed: 6fa0.*
+ 1beee: 0c73.*
+ 1beef: 6fa8.*
+ 1bef0: 0c73.*
+ 1bef1: 6fb0.*
+ 1bef2: 0c73.*
+ 1bef3: 6fb8.*
+ 1bef4: 0c73.*
+ 1bef5: 6fc0.*
+ 1bef6: 0c73.*
+ 1bef7: 6fc8.*
+ 1bef8: 0c73.*
+ 1bef9: 6fd0.*
+ 1befa: 0c73.*
+ 1befb: 6fd8.*
+ 1befc: 0c73.*
+ 1befd: 6fe0.*
+ 1befe: 3798.*
+ 1beff: 0c73.*
+ 1bf00: 6fe8.*
+ 1bf01: 3799.*
+ 1bf02: 0c73.*
+ 1bf03: 6ff0.*
+ 1bf04: 379a.*
+ 1bf05: 0c73.*
+ 1bf06: 6ff8.*
+ 1bf07: 379b.*
+ 1bf08: 0c73.*
+ 1bf09: 6f81.*
+ 1bf0a: 0c73.*
+ 1bf0b: 6f89.*
+ 1bf0c: 0c73.*
+ 1bf0d: 6f91.*
+ 1bf0e: 0c73.*
+ 1bf0f: 6f99.*
+ 1bf10: 0c73.*
+ 1bf11: 6fa1.*
+ 1bf12: 0c73.*
+ 1bf13: 6fa9.*
+ 1bf14: 0c73.*
+ 1bf15: 6fb1.*
+ 1bf16: 0c73.*
+ 1bf17: 6fb9.*
+ 1bf18: 0c73.*
+ 1bf19: 6fc1.*
+ 1bf1a: 0c73.*
+ 1bf1b: 6fc9.*
+ 1bf1c: 0c73.*
+ 1bf1d: 6fd1.*
+ 1bf1e: 0c73.*
+ 1bf1f: 6fd9.*
+ 1bf20: 0c73.*
+ 1bf21: 6fe1.*
+ 1bf22: 379c.*
+ 1bf23: 0c73.*
+ 1bf24: 6fe9.*
+ 1bf25: 379d.*
+ 1bf26: 0c73.*
+ 1bf27: 6ff1.*
+ 1bf28: 379e.*
+ 1bf29: 0c73.*
+ 1bf2a: 6ff8.*
+ 1bf2b: 379f.*
+ 1bf2c: 0c73.*
+ 1bf2d: 6f82.*
+ 1bf2e: 0c73.*
+ 1bf2f: 6f8a.*
+ 1bf30: 0c73.*
+ 1bf31: 6f92.*
+ 1bf32: 0c73.*
+ 1bf33: 6f9a.*
+ 1bf34: 0c73.*
+ 1bf35: 6fa2.*
+ 1bf36: 0c73.*
+ 1bf37: 6faa.*
+ 1bf38: 0c73.*
+ 1bf39: 6fb2.*
+ 1bf3a: 0c73.*
+ 1bf3b: 6fba.*
+ 1bf3c: 0c73.*
+ 1bf3d: 6fc2.*
+ 1bf3e: 0c73.*
+ 1bf3f: 6fca.*
+ 1bf40: 0c73.*
+ 1bf41: 6fd2.*
+ 1bf42: 0c73.*
+ 1bf43: 6fda.*
+ 1bf44: 0c73.*
+ 1bf45: 6fe2.*
+ 1bf46: 37a0.*
+ 1bf47: 0c73.*
+ 1bf48: 6fea.*
+ 1bf49: 37a1.*
+ 1bf4a: 0c73.*
+ 1bf4b: 6ff2.*
+ 1bf4c: 37a2.*
+ 1bf4d: 0c73.*
+ 1bf4e: 6ff8.*
+ 1bf4f: 37a3.*
+ 1bf50: 0c73.*
+ 1bf51: 6f83.*
+ 1bf52: 0c73.*
+ 1bf53: 6f8b.*
+ 1bf54: 0c73.*
+ 1bf55: 6f93.*
+ 1bf56: 0c73.*
+ 1bf57: 6f9b.*
+ 1bf58: 0c73.*
+ 1bf59: 6fa3.*
+ 1bf5a: 0c73.*
+ 1bf5b: 6fab.*
+ 1bf5c: 0c73.*
+ 1bf5d: 6fb3.*
+ 1bf5e: 0c73.*
+ 1bf5f: 6fbb.*
+ 1bf60: 0c73.*
+ 1bf61: 6fc3.*
+ 1bf62: 0c73.*
+ 1bf63: 6fcb.*
+ 1bf64: 0c73.*
+ 1bf65: 6fd3.*
+ 1bf66: 0c73.*
+ 1bf67: 6fdb.*
+ 1bf68: 0c73.*
+ 1bf69: 6fe3.*
+ 1bf6a: 37a4.*
+ 1bf6b: 0c73.*
+ 1bf6c: 6feb.*
+ 1bf6d: 37a5.*
+ 1bf6e: 0c73.*
+ 1bf6f: 6ff3.*
+ 1bf70: 37a6.*
+ 1bf71: 0c73.*
+ 1bf72: 6ff8.*
+ 1bf73: 37a7.*
+ 1bf74: 0c73.*
+ 1bf75: 6f84.*
+ 1bf76: 0c73.*
+ 1bf77: 6f8c.*
+ 1bf78: 0c73.*
+ 1bf79: 6f94.*
+ 1bf7a: 0c73.*
+ 1bf7b: 6f9c.*
+ 1bf7c: 0c73.*
+ 1bf7d: 6fa4.*
+ 1bf7e: 0c73.*
+ 1bf7f: 6fac.*
+ 1bf80: 0c73.*
+ 1bf81: 6fb4.*
+ 1bf82: 0c73.*
+ 1bf83: 6fbc.*
+ 1bf84: 0c73.*
+ 1bf85: 6fc4.*
+ 1bf86: 0c73.*
+ 1bf87: 6fcc.*
+ 1bf88: 0c73.*
+ 1bf89: 6fd4.*
+ 1bf8a: 0c73.*
+ 1bf8b: 6fdc.*
+ 1bf8c: 0c73.*
+ 1bf8d: 6fe4.*
+ 1bf8e: 37a8.*
+ 1bf8f: 0c73.*
+ 1bf90: 6fec.*
+ 1bf91: 37a9.*
+ 1bf92: 0c73.*
+ 1bf93: 6ff4.*
+ 1bf94: 37aa.*
+ 1bf95: 0c73.*
+ 1bf96: 6ff8.*
+ 1bf97: 37ab.*
+ 1bf98: 0c73.*
+ 1bf99: 6f85.*
+ 1bf9a: 0c73.*
+ 1bf9b: 6f8d.*
+ 1bf9c: 0c73.*
+ 1bf9d: 6f95.*
+ 1bf9e: 0c73.*
+ 1bf9f: 6f9d.*
+ 1bfa0: 0c73.*
+ 1bfa1: 6fa5.*
+ 1bfa2: 0c73.*
+ 1bfa3: 6fad.*
+ 1bfa4: 0c73.*
+ 1bfa5: 6fb5.*
+ 1bfa6: 0c73.*
+ 1bfa7: 6fbd.*
+ 1bfa8: 0c73.*
+ 1bfa9: 6fc5.*
+ 1bfaa: 0c73.*
+ 1bfab: 6fcd.*
+ 1bfac: 0c73.*
+ 1bfad: 6fd5.*
+ 1bfae: 0c73.*
+ 1bfaf: 6fdd.*
+ 1bfb0: 0c73.*
+ 1bfb1: 6fe5.*
+ 1bfb2: 37ac.*
+ 1bfb3: 0c73.*
+ 1bfb4: 6fed.*
+ 1bfb5: 37ad.*
+ 1bfb6: 0c73.*
+ 1bfb7: 6ff5.*
+ 1bfb8: 37ae.*
+ 1bfb9: 0c73.*
+ 1bfba: 6ff8.*
+ 1bfbb: 37af.*
+ 1bfbc: 0c73.*
+ 1bfbd: 6f86.*
+ 1bfbe: 0c73.*
+ 1bfbf: 6f8e.*
+ 1bfc0: 0c73.*
+ 1bfc1: 6f96.*
+ 1bfc2: 0c73.*
+ 1bfc3: 6f9e.*
+ 1bfc4: 0c73.*
+ 1bfc5: 6fa6.*
+ 1bfc6: 0c73.*
+ 1bfc7: 6fae.*
+ 1bfc8: 0c73.*
+ 1bfc9: 6fb6.*
+ 1bfca: 0c73.*
+ 1bfcb: 6fbe.*
+ 1bfcc: 0c73.*
+ 1bfcd: 6fc6.*
+ 1bfce: 0c73.*
+ 1bfcf: 6fce.*
+ 1bfd0: 0c73.*
+ 1bfd1: 6fd6.*
+ 1bfd2: 0c73.*
+ 1bfd3: 6fde.*
+ 1bfd4: 0c73.*
+ 1bfd5: 6fe6.*
+ 1bfd6: 37b0.*
+ 1bfd7: 0c73.*
+ 1bfd8: 6fee.*
+ 1bfd9: 37b1.*
+ 1bfda: 0c73.*
+ 1bfdb: 6ff6.*
+ 1bfdc: 37b2.*
+ 1bfdd: 0c73.*
+ 1bfde: 6ff8.*
+ 1bfdf: 37b3.*
+ 1bfe0: 0c73.*
+ 1bfe1: 6f87.*
+ 1bfe2: 0c73.*
+ 1bfe3: 6f8f.*
+ 1bfe4: 0c73.*
+ 1bfe5: 6f97.*
+ 1bfe6: 0c73.*
+ 1bfe7: 6f9f.*
+ 1bfe8: 0c73.*
+ 1bfe9: 6fa7.*
+ 1bfea: 0c73.*
+ 1bfeb: 6faf.*
+ 1bfec: 0c73.*
+ 1bfed: 6fb7.*
+ 1bfee: 0c73.*
+ 1bfef: 6fbf.*
+ 1bff0: 0c73.*
+ 1bff1: 6fc7.*
+ 1bff2: 0c73.*
+ 1bff3: 6fcf.*
+ 1bff4: 0c73.*
+ 1bff5: 6fd7.*
+ 1bff6: 0c73.*
+ 1bff7: 6fdf.*
+ 1bff8: 0c73.*
+ 1bff9: 6fe7.*
+ 1bffa: 37b4.*
+ 1bffb: 0c73.*
+ 1bffc: 6fef.*
+ 1bffd: 37b5.*
+ 1bffe: 0c73.*
+ 1bfff: 6ff7.*
+ 1c000: 37b6.*
+ 1c001: 0c73.*
+ 1c002: 6ff8.*
+ 1c003: 37b7.*
+ 1c004: 0c73.*
+ 1c005: 6f80.*
+ 1c006: 0c74.*
+ 1c007: 6f88.*
+ 1c008: 0c74.*
+ 1c009: 6f90.*
+ 1c00a: 0c74.*
+ 1c00b: 6f98.*
+ 1c00c: 0c74.*
+ 1c00d: 6fa0.*
+ 1c00e: 0c74.*
+ 1c00f: 6fa8.*
+ 1c010: 0c74.*
+ 1c011: 6fb0.*
+ 1c012: 0c74.*
+ 1c013: 6fb8.*
+ 1c014: 0c74.*
+ 1c015: 6fc0.*
+ 1c016: 0c74.*
+ 1c017: 6fc8.*
+ 1c018: 0c74.*
+ 1c019: 6fd0.*
+ 1c01a: 0c74.*
+ 1c01b: 6fd8.*
+ 1c01c: 0c74.*
+ 1c01d: 6fe0.*
+ 1c01e: 37b8.*
+ 1c01f: 0c74.*
+ 1c020: 6fe8.*
+ 1c021: 37b9.*
+ 1c022: 0c74.*
+ 1c023: 6ff0.*
+ 1c024: 37ba.*
+ 1c025: 0c74.*
+ 1c026: 6ff8.*
+ 1c027: 37bb.*
+ 1c028: 0c74.*
+ 1c029: 6f81.*
+ 1c02a: 0c74.*
+ 1c02b: 6f89.*
+ 1c02c: 0c74.*
+ 1c02d: 6f91.*
+ 1c02e: 0c74.*
+ 1c02f: 6f99.*
+ 1c030: 0c74.*
+ 1c031: 6fa1.*
+ 1c032: 0c74.*
+ 1c033: 6fa9.*
+ 1c034: 0c74.*
+ 1c035: 6fb1.*
+ 1c036: 0c74.*
+ 1c037: 6fb9.*
+ 1c038: 0c74.*
+ 1c039: 6fc1.*
+ 1c03a: 0c74.*
+ 1c03b: 6fc9.*
+ 1c03c: 0c74.*
+ 1c03d: 6fd1.*
+ 1c03e: 0c74.*
+ 1c03f: 6fd9.*
+ 1c040: 0c74.*
+ 1c041: 6fe1.*
+ 1c042: 37bc.*
+ 1c043: 0c74.*
+ 1c044: 6fe9.*
+ 1c045: 37bd.*
+ 1c046: 0c74.*
+ 1c047: 6ff1.*
+ 1c048: 37be.*
+ 1c049: 0c74.*
+ 1c04a: 6ff8.*
+ 1c04b: 37bf.*
+ 1c04c: 0c74.*
+ 1c04d: 6f82.*
+ 1c04e: 0c74.*
+ 1c04f: 6f8a.*
+ 1c050: 0c74.*
+ 1c051: 6f92.*
+ 1c052: 0c74.*
+ 1c053: 6f9a.*
+ 1c054: 0c74.*
+ 1c055: 6fa2.*
+ 1c056: 0c74.*
+ 1c057: 6faa.*
+ 1c058: 0c74.*
+ 1c059: 6fb2.*
+ 1c05a: 0c74.*
+ 1c05b: 6fba.*
+ 1c05c: 0c74.*
+ 1c05d: 6fc2.*
+ 1c05e: 0c74.*
+ 1c05f: 6fca.*
+ 1c060: 0c74.*
+ 1c061: 6fd2.*
+ 1c062: 0c74.*
+ 1c063: 6fda.*
+ 1c064: 0c74.*
+ 1c065: 6fe2.*
+ 1c066: 37c0.*
+ 1c067: 0c74.*
+ 1c068: 6fea.*
+ 1c069: 37c1.*
+ 1c06a: 0c74.*
+ 1c06b: 6ff2.*
+ 1c06c: 37c2.*
+ 1c06d: 0c74.*
+ 1c06e: 6ff8.*
+ 1c06f: 37c3.*
+ 1c070: 0c74.*
+ 1c071: 6f83.*
+ 1c072: 0c74.*
+ 1c073: 6f8b.*
+ 1c074: 0c74.*
+ 1c075: 6f93.*
+ 1c076: 0c74.*
+ 1c077: 6f9b.*
+ 1c078: 0c74.*
+ 1c079: 6fa3.*
+ 1c07a: 0c74.*
+ 1c07b: 6fab.*
+ 1c07c: 0c74.*
+ 1c07d: 6fb3.*
+ 1c07e: 0c74.*
+ 1c07f: 6fbb.*
+ 1c080: 0c74.*
+ 1c081: 6fc3.*
+ 1c082: 0c74.*
+ 1c083: 6fcb.*
+ 1c084: 0c74.*
+ 1c085: 6fd3.*
+ 1c086: 0c74.*
+ 1c087: 6fdb.*
+ 1c088: 0c74.*
+ 1c089: 6fe3.*
+ 1c08a: 37c4.*
+ 1c08b: 0c74.*
+ 1c08c: 6feb.*
+ 1c08d: 37c5.*
+ 1c08e: 0c74.*
+ 1c08f: 6ff3.*
+ 1c090: 37c6.*
+ 1c091: 0c74.*
+ 1c092: 6ff8.*
+ 1c093: 37c7.*
+ 1c094: 0c74.*
+ 1c095: 6f84.*
+ 1c096: 0c74.*
+ 1c097: 6f8c.*
+ 1c098: 0c74.*
+ 1c099: 6f94.*
+ 1c09a: 0c74.*
+ 1c09b: 6f9c.*
+ 1c09c: 0c74.*
+ 1c09d: 6fa4.*
+ 1c09e: 0c74.*
+ 1c09f: 6fac.*
+ 1c0a0: 0c74.*
+ 1c0a1: 6fb4.*
+ 1c0a2: 0c74.*
+ 1c0a3: 6fbc.*
+ 1c0a4: 0c74.*
+ 1c0a5: 6fc4.*
+ 1c0a6: 0c74.*
+ 1c0a7: 6fcc.*
+ 1c0a8: 0c74.*
+ 1c0a9: 6fd4.*
+ 1c0aa: 0c74.*
+ 1c0ab: 6fdc.*
+ 1c0ac: 0c74.*
+ 1c0ad: 6fe4.*
+ 1c0ae: 37c8.*
+ 1c0af: 0c74.*
+ 1c0b0: 6fec.*
+ 1c0b1: 37c9.*
+ 1c0b2: 0c74.*
+ 1c0b3: 6ff4.*
+ 1c0b4: 37ca.*
+ 1c0b5: 0c74.*
+ 1c0b6: 6ff8.*
+ 1c0b7: 37cb.*
+ 1c0b8: 0c74.*
+ 1c0b9: 6f85.*
+ 1c0ba: 0c74.*
+ 1c0bb: 6f8d.*
+ 1c0bc: 0c74.*
+ 1c0bd: 6f95.*
+ 1c0be: 0c74.*
+ 1c0bf: 6f9d.*
+ 1c0c0: 0c74.*
+ 1c0c1: 6fa5.*
+ 1c0c2: 0c74.*
+ 1c0c3: 6fad.*
+ 1c0c4: 0c74.*
+ 1c0c5: 6fb5.*
+ 1c0c6: 0c74.*
+ 1c0c7: 6fbd.*
+ 1c0c8: 0c74.*
+ 1c0c9: 6fc5.*
+ 1c0ca: 0c74.*
+ 1c0cb: 6fcd.*
+ 1c0cc: 0c74.*
+ 1c0cd: 6fd5.*
+ 1c0ce: 0c74.*
+ 1c0cf: 6fdd.*
+ 1c0d0: 0c74.*
+ 1c0d1: 6fe5.*
+ 1c0d2: 37cc.*
+ 1c0d3: 0c74.*
+ 1c0d4: 6fed.*
+ 1c0d5: 37cd.*
+ 1c0d6: 0c74.*
+ 1c0d7: 6ff5.*
+ 1c0d8: 37ce.*
+ 1c0d9: 0c74.*
+ 1c0da: 6ff8.*
+ 1c0db: 37cf.*
+ 1c0dc: 0c74.*
+ 1c0dd: 6f86.*
+ 1c0de: 0c74.*
+ 1c0df: 6f8e.*
+ 1c0e0: 0c74.*
+ 1c0e1: 6f96.*
+ 1c0e2: 0c74.*
+ 1c0e3: 6f9e.*
+ 1c0e4: 0c74.*
+ 1c0e5: 6fa6.*
+ 1c0e6: 0c74.*
+ 1c0e7: 6fae.*
+ 1c0e8: 0c74.*
+ 1c0e9: 6fb6.*
+ 1c0ea: 0c74.*
+ 1c0eb: 6fbe.*
+ 1c0ec: 0c74.*
+ 1c0ed: 6fc6.*
+ 1c0ee: 0c74.*
+ 1c0ef: 6fce.*
+ 1c0f0: 0c74.*
+ 1c0f1: 6fd6.*
+ 1c0f2: 0c74.*
+ 1c0f3: 6fde.*
+ 1c0f4: 0c74.*
+ 1c0f5: 6fe6.*
+ 1c0f6: 37d0.*
+ 1c0f7: 0c74.*
+ 1c0f8: 6fee.*
+ 1c0f9: 37d1.*
+ 1c0fa: 0c74.*
+ 1c0fb: 6ff6.*
+ 1c0fc: 37d2.*
+ 1c0fd: 0c74.*
+ 1c0fe: 6ff8.*
+ 1c0ff: 37d3.*
+ 1c100: 0c74.*
+ 1c101: 6f87.*
+ 1c102: 0c74.*
+ 1c103: 6f8f.*
+ 1c104: 0c74.*
+ 1c105: 6f97.*
+ 1c106: 0c74.*
+ 1c107: 6f9f.*
+ 1c108: 0c74.*
+ 1c109: 6fa7.*
+ 1c10a: 0c74.*
+ 1c10b: 6faf.*
+ 1c10c: 0c74.*
+ 1c10d: 6fb7.*
+ 1c10e: 0c74.*
+ 1c10f: 6fbf.*
+ 1c110: 0c74.*
+ 1c111: 6fc7.*
+ 1c112: 0c74.*
+ 1c113: 6fcf.*
+ 1c114: 0c74.*
+ 1c115: 6fd7.*
+ 1c116: 0c74.*
+ 1c117: 6fdf.*
+ 1c118: 0c74.*
+ 1c119: 6fe7.*
+ 1c11a: 37d4.*
+ 1c11b: 0c74.*
+ 1c11c: 6fef.*
+ 1c11d: 37d5.*
+ 1c11e: 0c74.*
+ 1c11f: 6ff7.*
+ 1c120: 37d6.*
+ 1c121: 0c74.*
+ 1c122: 6ff8.*
+ 1c123: 37d7.*
+ 1c124: 0c74.*
+ 1c125: 6f80.*
+ 1c126: 0c75.*
+ 1c127: 6f88.*
+ 1c128: 0c75.*
+ 1c129: 6f90.*
+ 1c12a: 0c75.*
+ 1c12b: 6f98.*
+ 1c12c: 0c75.*
+ 1c12d: 6fa0.*
+ 1c12e: 0c75.*
+ 1c12f: 6fa8.*
+ 1c130: 0c75.*
+ 1c131: 6fb0.*
+ 1c132: 0c75.*
+ 1c133: 6fb8.*
+ 1c134: 0c75.*
+ 1c135: 6fc0.*
+ 1c136: 0c75.*
+ 1c137: 6fc8.*
+ 1c138: 0c75.*
+ 1c139: 6fd0.*
+ 1c13a: 0c75.*
+ 1c13b: 6fd8.*
+ 1c13c: 0c75.*
+ 1c13d: 6fe0.*
+ 1c13e: 37d8.*
+ 1c13f: 0c75.*
+ 1c140: 6fe8.*
+ 1c141: 37d9.*
+ 1c142: 0c75.*
+ 1c143: 6ff0.*
+ 1c144: 37da.*
+ 1c145: 0c75.*
+ 1c146: 6ff8.*
+ 1c147: 37db.*
+ 1c148: 0c75.*
+ 1c149: 6f81.*
+ 1c14a: 0c75.*
+ 1c14b: 6f89.*
+ 1c14c: 0c75.*
+ 1c14d: 6f91.*
+ 1c14e: 0c75.*
+ 1c14f: 6f99.*
+ 1c150: 0c75.*
+ 1c151: 6fa1.*
+ 1c152: 0c75.*
+ 1c153: 6fa9.*
+ 1c154: 0c75.*
+ 1c155: 6fb1.*
+ 1c156: 0c75.*
+ 1c157: 6fb9.*
+ 1c158: 0c75.*
+ 1c159: 6fc1.*
+ 1c15a: 0c75.*
+ 1c15b: 6fc9.*
+ 1c15c: 0c75.*
+ 1c15d: 6fd1.*
+ 1c15e: 0c75.*
+ 1c15f: 6fd9.*
+ 1c160: 0c75.*
+ 1c161: 6fe1.*
+ 1c162: 37dc.*
+ 1c163: 0c75.*
+ 1c164: 6fe9.*
+ 1c165: 37dd.*
+ 1c166: 0c75.*
+ 1c167: 6ff1.*
+ 1c168: 37de.*
+ 1c169: 0c75.*
+ 1c16a: 6ff8.*
+ 1c16b: 37df.*
+ 1c16c: 0c75.*
+ 1c16d: 6f82.*
+ 1c16e: 0c75.*
+ 1c16f: 6f8a.*
+ 1c170: 0c75.*
+ 1c171: 6f92.*
+ 1c172: 0c75.*
+ 1c173: 6f9a.*
+ 1c174: 0c75.*
+ 1c175: 6fa2.*
+ 1c176: 0c75.*
+ 1c177: 6faa.*
+ 1c178: 0c75.*
+ 1c179: 6fb2.*
+ 1c17a: 0c75.*
+ 1c17b: 6fba.*
+ 1c17c: 0c75.*
+ 1c17d: 6fc2.*
+ 1c17e: 0c75.*
+ 1c17f: 6fca.*
+ 1c180: 0c75.*
+ 1c181: 6fd2.*
+ 1c182: 0c75.*
+ 1c183: 6fda.*
+ 1c184: 0c75.*
+ 1c185: 6fe2.*
+ 1c186: 37e0.*
+ 1c187: 0c75.*
+ 1c188: 6fea.*
+ 1c189: 37e1.*
+ 1c18a: 0c75.*
+ 1c18b: 6ff2.*
+ 1c18c: 37e2.*
+ 1c18d: 0c75.*
+ 1c18e: 6ff8.*
+ 1c18f: 37e3.*
+ 1c190: 0c75.*
+ 1c191: 6f83.*
+ 1c192: 0c75.*
+ 1c193: 6f8b.*
+ 1c194: 0c75.*
+ 1c195: 6f93.*
+ 1c196: 0c75.*
+ 1c197: 6f9b.*
+ 1c198: 0c75.*
+ 1c199: 6fa3.*
+ 1c19a: 0c75.*
+ 1c19b: 6fab.*
+ 1c19c: 0c75.*
+ 1c19d: 6fb3.*
+ 1c19e: 0c75.*
+ 1c19f: 6fbb.*
+ 1c1a0: 0c75.*
+ 1c1a1: 6fc3.*
+ 1c1a2: 0c75.*
+ 1c1a3: 6fcb.*
+ 1c1a4: 0c75.*
+ 1c1a5: 6fd3.*
+ 1c1a6: 0c75.*
+ 1c1a7: 6fdb.*
+ 1c1a8: 0c75.*
+ 1c1a9: 6fe3.*
+ 1c1aa: 37e4.*
+ 1c1ab: 0c75.*
+ 1c1ac: 6feb.*
+ 1c1ad: 37e5.*
+ 1c1ae: 0c75.*
+ 1c1af: 6ff3.*
+ 1c1b0: 37e6.*
+ 1c1b1: 0c75.*
+ 1c1b2: 6ff8.*
+ 1c1b3: 37e7.*
+ 1c1b4: 0c75.*
+ 1c1b5: 6f84.*
+ 1c1b6: 0c75.*
+ 1c1b7: 6f8c.*
+ 1c1b8: 0c75.*
+ 1c1b9: 6f94.*
+ 1c1ba: 0c75.*
+ 1c1bb: 6f9c.*
+ 1c1bc: 0c75.*
+ 1c1bd: 6fa4.*
+ 1c1be: 0c75.*
+ 1c1bf: 6fac.*
+ 1c1c0: 0c75.*
+ 1c1c1: 6fb4.*
+ 1c1c2: 0c75.*
+ 1c1c3: 6fbc.*
+ 1c1c4: 0c75.*
+ 1c1c5: 6fc4.*
+ 1c1c6: 0c75.*
+ 1c1c7: 6fcc.*
+ 1c1c8: 0c75.*
+ 1c1c9: 6fd4.*
+ 1c1ca: 0c75.*
+ 1c1cb: 6fdc.*
+ 1c1cc: 0c75.*
+ 1c1cd: 6fe4.*
+ 1c1ce: 37e8.*
+ 1c1cf: 0c75.*
+ 1c1d0: 6fec.*
+ 1c1d1: 37e9.*
+ 1c1d2: 0c75.*
+ 1c1d3: 6ff4.*
+ 1c1d4: 37ea.*
+ 1c1d5: 0c75.*
+ 1c1d6: 6ff8.*
+ 1c1d7: 37eb.*
+ 1c1d8: 0c75.*
+ 1c1d9: 6f85.*
+ 1c1da: 0c75.*
+ 1c1db: 6f8d.*
+ 1c1dc: 0c75.*
+ 1c1dd: 6f95.*
+ 1c1de: 0c75.*
+ 1c1df: 6f9d.*
+ 1c1e0: 0c75.*
+ 1c1e1: 6fa5.*
+ 1c1e2: 0c75.*
+ 1c1e3: 6fad.*
+ 1c1e4: 0c75.*
+ 1c1e5: 6fb5.*
+ 1c1e6: 0c75.*
+ 1c1e7: 6fbd.*
+ 1c1e8: 0c75.*
+ 1c1e9: 6fc5.*
+ 1c1ea: 0c75.*
+ 1c1eb: 6fcd.*
+ 1c1ec: 0c75.*
+ 1c1ed: 6fd5.*
+ 1c1ee: 0c75.*
+ 1c1ef: 6fdd.*
+ 1c1f0: 0c75.*
+ 1c1f1: 6fe5.*
+ 1c1f2: 37ec.*
+ 1c1f3: 0c75.*
+ 1c1f4: 6fed.*
+ 1c1f5: 37ed.*
+ 1c1f6: 0c75.*
+ 1c1f7: 6ff5.*
+ 1c1f8: 37ee.*
+ 1c1f9: 0c75.*
+ 1c1fa: 6ff8.*
+ 1c1fb: 37ef.*
+ 1c1fc: 0c75.*
+ 1c1fd: 6f86.*
+ 1c1fe: 0c75.*
+ 1c1ff: 6f8e.*
+ 1c200: 0c75.*
+ 1c201: 6f96.*
+ 1c202: 0c75.*
+ 1c203: 6f9e.*
+ 1c204: 0c75.*
+ 1c205: 6fa6.*
+ 1c206: 0c75.*
+ 1c207: 6fae.*
+ 1c208: 0c75.*
+ 1c209: 6fb6.*
+ 1c20a: 0c75.*
+ 1c20b: 6fbe.*
+ 1c20c: 0c75.*
+ 1c20d: 6fc6.*
+ 1c20e: 0c75.*
+ 1c20f: 6fce.*
+ 1c210: 0c75.*
+ 1c211: 6fd6.*
+ 1c212: 0c75.*
+ 1c213: 6fde.*
+ 1c214: 0c75.*
+ 1c215: 6fe6.*
+ 1c216: 37f0.*
+ 1c217: 0c75.*
+ 1c218: 6fee.*
+ 1c219: 37f1.*
+ 1c21a: 0c75.*
+ 1c21b: 6ff6.*
+ 1c21c: 37f2.*
+ 1c21d: 0c75.*
+ 1c21e: 6ff8.*
+ 1c21f: 37f3.*
+ 1c220: 0c75.*
+ 1c221: 6f87.*
+ 1c222: 0c75.*
+ 1c223: 6f8f.*
+ 1c224: 0c75.*
+ 1c225: 6f97.*
+ 1c226: 0c75.*
+ 1c227: 6f9f.*
+ 1c228: 0c75.*
+ 1c229: 6fa7.*
+ 1c22a: 0c75.*
+ 1c22b: 6faf.*
+ 1c22c: 0c75.*
+ 1c22d: 6fb7.*
+ 1c22e: 0c75.*
+ 1c22f: 6fbf.*
+ 1c230: 0c75.*
+ 1c231: 6fc7.*
+ 1c232: 0c75.*
+ 1c233: 6fcf.*
+ 1c234: 0c75.*
+ 1c235: 6fd7.*
+ 1c236: 0c75.*
+ 1c237: 6fdf.*
+ 1c238: 0c75.*
+ 1c239: 6fe7.*
+ 1c23a: 37f4.*
+ 1c23b: 0c75.*
+ 1c23c: 6fef.*
+ 1c23d: 37f5.*
+ 1c23e: 0c75.*
+ 1c23f: 6ff7.*
+ 1c240: 37f6.*
+ 1c241: 0c75.*
+ 1c242: 6ff8.*
+ 1c243: 37f7.*
+ 1c244: 0c75.*
+ 1c245: 6f80.*
+ 1c246: 0c76.*
+ 1c247: 6f88.*
+ 1c248: 0c76.*
+ 1c249: 6f90.*
+ 1c24a: 0c76.*
+ 1c24b: 6f98.*
+ 1c24c: 0c76.*
+ 1c24d: 6fa0.*
+ 1c24e: 0c76.*
+ 1c24f: 6fa8.*
+ 1c250: 0c76.*
+ 1c251: 6fb0.*
+ 1c252: 0c76.*
+ 1c253: 6fb8.*
+ 1c254: 0c76.*
+ 1c255: 6fc0.*
+ 1c256: 0c76.*
+ 1c257: 6fc8.*
+ 1c258: 0c76.*
+ 1c259: 6fd0.*
+ 1c25a: 0c76.*
+ 1c25b: 6fd8.*
+ 1c25c: 0c76.*
+ 1c25d: 6fe0.*
+ 1c25e: 37f8.*
+ 1c25f: 0c76.*
+ 1c260: 6fe8.*
+ 1c261: 37f9.*
+ 1c262: 0c76.*
+ 1c263: 6ff0.*
+ 1c264: 37fa.*
+ 1c265: 0c76.*
+ 1c266: 6ff8.*
+ 1c267: 37fb.*
+ 1c268: 0c76.*
+ 1c269: 6f81.*
+ 1c26a: 0c76.*
+ 1c26b: 6f89.*
+ 1c26c: 0c76.*
+ 1c26d: 6f91.*
+ 1c26e: 0c76.*
+ 1c26f: 6f99.*
+ 1c270: 0c76.*
+ 1c271: 6fa1.*
+ 1c272: 0c76.*
+ 1c273: 6fa9.*
+ 1c274: 0c76.*
+ 1c275: 6fb1.*
+ 1c276: 0c76.*
+ 1c277: 6fb9.*
+ 1c278: 0c76.*
+ 1c279: 6fc1.*
+ 1c27a: 0c76.*
+ 1c27b: 6fc9.*
+ 1c27c: 0c76.*
+ 1c27d: 6fd1.*
+ 1c27e: 0c76.*
+ 1c27f: 6fd9.*
+ 1c280: 0c76.*
+ 1c281: 6fe1.*
+ 1c282: 37fc.*
+ 1c283: 0c76.*
+ 1c284: 6fe9.*
+ 1c285: 37fd.*
+ 1c286: 0c76.*
+ 1c287: 6ff1.*
+ 1c288: 37fe.*
+ 1c289: 0c76.*
+ 1c28a: 6ff8.*
+ 1c28b: 37ff.*
+ 1c28c: 0c76.*
+ 1c28d: 6f82.*
+ 1c28e: 0c76.*
+ 1c28f: 6f8a.*
+ 1c290: 0c76.*
+ 1c291: 6f92.*
+ 1c292: 0c76.*
+ 1c293: 6f9a.*
+ 1c294: 0c76.*
+ 1c295: 6fa2.*
+ 1c296: 0c76.*
+ 1c297: 6faa.*
+ 1c298: 0c76.*
+ 1c299: 6fb2.*
+ 1c29a: 0c76.*
+ 1c29b: 6fba.*
+ 1c29c: 0c76.*
+ 1c29d: 6fc2.*
+ 1c29e: 0c76.*
+ 1c29f: 6fca.*
+ 1c2a0: 0c76.*
+ 1c2a1: 6fd2.*
+ 1c2a2: 0c76.*
+ 1c2a3: 6fda.*
+ 1c2a4: 0c76.*
+ 1c2a5: 6fe2.*
+ 1c2a6: 3800.*
+ 1c2a7: 0c76.*
+ 1c2a8: 6fea.*
+ 1c2a9: 3801.*
+ 1c2aa: 0c76.*
+ 1c2ab: 6ff2.*
+ 1c2ac: 3802.*
+ 1c2ad: 0c76.*
+ 1c2ae: 6ff8.*
+ 1c2af: 3803.*
+ 1c2b0: 0c76.*
+ 1c2b1: 6f83.*
+ 1c2b2: 0c76.*
+ 1c2b3: 6f8b.*
+ 1c2b4: 0c76.*
+ 1c2b5: 6f93.*
+ 1c2b6: 0c76.*
+ 1c2b7: 6f9b.*
+ 1c2b8: 0c76.*
+ 1c2b9: 6fa3.*
+ 1c2ba: 0c76.*
+ 1c2bb: 6fab.*
+ 1c2bc: 0c76.*
+ 1c2bd: 6fb3.*
+ 1c2be: 0c76.*
+ 1c2bf: 6fbb.*
+ 1c2c0: 0c76.*
+ 1c2c1: 6fc3.*
+ 1c2c2: 0c76.*
+ 1c2c3: 6fcb.*
+ 1c2c4: 0c76.*
+ 1c2c5: 6fd3.*
+ 1c2c6: 0c76.*
+ 1c2c7: 6fdb.*
+ 1c2c8: 0c76.*
+ 1c2c9: 6fe3.*
+ 1c2ca: 3804.*
+ 1c2cb: 0c76.*
+ 1c2cc: 6feb.*
+ 1c2cd: 3805.*
+ 1c2ce: 0c76.*
+ 1c2cf: 6ff3.*
+ 1c2d0: 3806.*
+ 1c2d1: 0c76.*
+ 1c2d2: 6ff8.*
+ 1c2d3: 3807.*
+ 1c2d4: 0c76.*
+ 1c2d5: 6f84.*
+ 1c2d6: 0c76.*
+ 1c2d7: 6f8c.*
+ 1c2d8: 0c76.*
+ 1c2d9: 6f94.*
+ 1c2da: 0c76.*
+ 1c2db: 6f9c.*
+ 1c2dc: 0c76.*
+ 1c2dd: 6fa4.*
+ 1c2de: 0c76.*
+ 1c2df: 6fac.*
+ 1c2e0: 0c76.*
+ 1c2e1: 6fb4.*
+ 1c2e2: 0c76.*
+ 1c2e3: 6fbc.*
+ 1c2e4: 0c76.*
+ 1c2e5: 6fc4.*
+ 1c2e6: 0c76.*
+ 1c2e7: 6fcc.*
+ 1c2e8: 0c76.*
+ 1c2e9: 6fd4.*
+ 1c2ea: 0c76.*
+ 1c2eb: 6fdc.*
+ 1c2ec: 0c76.*
+ 1c2ed: 6fe4.*
+ 1c2ee: 3808.*
+ 1c2ef: 0c76.*
+ 1c2f0: 6fec.*
+ 1c2f1: 3809.*
+ 1c2f2: 0c76.*
+ 1c2f3: 6ff4.*
+ 1c2f4: 380a.*
+ 1c2f5: 0c76.*
+ 1c2f6: 6ff8.*
+ 1c2f7: 380b.*
+ 1c2f8: 0c76.*
+ 1c2f9: 6f85.*
+ 1c2fa: 0c76.*
+ 1c2fb: 6f8d.*
+ 1c2fc: 0c76.*
+ 1c2fd: 6f95.*
+ 1c2fe: 0c76.*
+ 1c2ff: 6f9d.*
+ 1c300: 0c76.*
+ 1c301: 6fa5.*
+ 1c302: 0c76.*
+ 1c303: 6fad.*
+ 1c304: 0c76.*
+ 1c305: 6fb5.*
+ 1c306: 0c76.*
+ 1c307: 6fbd.*
+ 1c308: 0c76.*
+ 1c309: 6fc5.*
+ 1c30a: 0c76.*
+ 1c30b: 6fcd.*
+ 1c30c: 0c76.*
+ 1c30d: 6fd5.*
+ 1c30e: 0c76.*
+ 1c30f: 6fdd.*
+ 1c310: 0c76.*
+ 1c311: 6fe5.*
+ 1c312: 380c.*
+ 1c313: 0c76.*
+ 1c314: 6fed.*
+ 1c315: 380d.*
+ 1c316: 0c76.*
+ 1c317: 6ff5.*
+ 1c318: 380e.*
+ 1c319: 0c76.*
+ 1c31a: 6ff8.*
+ 1c31b: 380f.*
+ 1c31c: 0c76.*
+ 1c31d: 6f86.*
+ 1c31e: 0c76.*
+ 1c31f: 6f8e.*
+ 1c320: 0c76.*
+ 1c321: 6f96.*
+ 1c322: 0c76.*
+ 1c323: 6f9e.*
+ 1c324: 0c76.*
+ 1c325: 6fa6.*
+ 1c326: 0c76.*
+ 1c327: 6fae.*
+ 1c328: 0c76.*
+ 1c329: 6fb6.*
+ 1c32a: 0c76.*
+ 1c32b: 6fbe.*
+ 1c32c: 0c76.*
+ 1c32d: 6fc6.*
+ 1c32e: 0c76.*
+ 1c32f: 6fce.*
+ 1c330: 0c76.*
+ 1c331: 6fd6.*
+ 1c332: 0c76.*
+ 1c333: 6fde.*
+ 1c334: 0c76.*
+ 1c335: 6fe6.*
+ 1c336: 3810.*
+ 1c337: 0c76.*
+ 1c338: 6fee.*
+ 1c339: 3811.*
+ 1c33a: 0c76.*
+ 1c33b: 6ff6.*
+ 1c33c: 3812.*
+ 1c33d: 0c76.*
+ 1c33e: 6ff8.*
+ 1c33f: 3813.*
+ 1c340: 0c76.*
+ 1c341: 6f87.*
+ 1c342: 0c76.*
+ 1c343: 6f8f.*
+ 1c344: 0c76.*
+ 1c345: 6f97.*
+ 1c346: 0c76.*
+ 1c347: 6f9f.*
+ 1c348: 0c76.*
+ 1c349: 6fa7.*
+ 1c34a: 0c76.*
+ 1c34b: 6faf.*
+ 1c34c: 0c76.*
+ 1c34d: 6fb7.*
+ 1c34e: 0c76.*
+ 1c34f: 6fbf.*
+ 1c350: 0c76.*
+ 1c351: 6fc7.*
+ 1c352: 0c76.*
+ 1c353: 6fcf.*
+ 1c354: 0c76.*
+ 1c355: 6fd7.*
+ 1c356: 0c76.*
+ 1c357: 6fdf.*
+ 1c358: 0c76.*
+ 1c359: 6fe7.*
+ 1c35a: 3814.*
+ 1c35b: 0c76.*
+ 1c35c: 6fef.*
+ 1c35d: 3815.*
+ 1c35e: 0c76.*
+ 1c35f: 6ff7.*
+ 1c360: 3816.*
+ 1c361: 0c76.*
+ 1c362: 6ff8.*
+ 1c363: 3817.*
+ 1c364: 0c76.*
+ 1c365: 6f80.*
+ 1c366: 0c77.*
+ 1c367: 6f88.*
+ 1c368: 0c77.*
+ 1c369: 6f90.*
+ 1c36a: 0c77.*
+ 1c36b: 6f98.*
+ 1c36c: 0c77.*
+ 1c36d: 6fa0.*
+ 1c36e: 0c77.*
+ 1c36f: 6fa8.*
+ 1c370: 0c77.*
+ 1c371: 6fb0.*
+ 1c372: 0c77.*
+ 1c373: 6fb8.*
+ 1c374: 0c77.*
+ 1c375: 6fc0.*
+ 1c376: 0c77.*
+ 1c377: 6fc8.*
+ 1c378: 0c77.*
+ 1c379: 6fd0.*
+ 1c37a: 0c77.*
+ 1c37b: 6fd8.*
+ 1c37c: 0c77.*
+ 1c37d: 6fe0.*
+ 1c37e: 3818.*
+ 1c37f: 0c77.*
+ 1c380: 6fe8.*
+ 1c381: 3819.*
+ 1c382: 0c77.*
+ 1c383: 6ff0.*
+ 1c384: 381a.*
+ 1c385: 0c77.*
+ 1c386: 6ff8.*
+ 1c387: 381b.*
+ 1c388: 0c77.*
+ 1c389: 6f81.*
+ 1c38a: 0c77.*
+ 1c38b: 6f89.*
+ 1c38c: 0c77.*
+ 1c38d: 6f91.*
+ 1c38e: 0c77.*
+ 1c38f: 6f99.*
+ 1c390: 0c77.*
+ 1c391: 6fa1.*
+ 1c392: 0c77.*
+ 1c393: 6fa9.*
+ 1c394: 0c77.*
+ 1c395: 6fb1.*
+ 1c396: 0c77.*
+ 1c397: 6fb9.*
+ 1c398: 0c77.*
+ 1c399: 6fc1.*
+ 1c39a: 0c77.*
+ 1c39b: 6fc9.*
+ 1c39c: 0c77.*
+ 1c39d: 6fd1.*
+ 1c39e: 0c77.*
+ 1c39f: 6fd9.*
+ 1c3a0: 0c77.*
+ 1c3a1: 6fe1.*
+ 1c3a2: 381c.*
+ 1c3a3: 0c77.*
+ 1c3a4: 6fe9.*
+ 1c3a5: 381d.*
+ 1c3a6: 0c77.*
+ 1c3a7: 6ff1.*
+ 1c3a8: 381e.*
+ 1c3a9: 0c77.*
+ 1c3aa: 6ff8.*
+ 1c3ab: 381f.*
+ 1c3ac: 0c77.*
+ 1c3ad: 6f82.*
+ 1c3ae: 0c77.*
+ 1c3af: 6f8a.*
+ 1c3b0: 0c77.*
+ 1c3b1: 6f92.*
+ 1c3b2: 0c77.*
+ 1c3b3: 6f9a.*
+ 1c3b4: 0c77.*
+ 1c3b5: 6fa2.*
+ 1c3b6: 0c77.*
+ 1c3b7: 6faa.*
+ 1c3b8: 0c77.*
+ 1c3b9: 6fb2.*
+ 1c3ba: 0c77.*
+ 1c3bb: 6fba.*
+ 1c3bc: 0c77.*
+ 1c3bd: 6fc2.*
+ 1c3be: 0c77.*
+ 1c3bf: 6fca.*
+ 1c3c0: 0c77.*
+ 1c3c1: 6fd2.*
+ 1c3c2: 0c77.*
+ 1c3c3: 6fda.*
+ 1c3c4: 0c77.*
+ 1c3c5: 6fe2.*
+ 1c3c6: 3820.*
+ 1c3c7: 0c77.*
+ 1c3c8: 6fea.*
+ 1c3c9: 3821.*
+ 1c3ca: 0c77.*
+ 1c3cb: 6ff2.*
+ 1c3cc: 3822.*
+ 1c3cd: 0c77.*
+ 1c3ce: 6ff8.*
+ 1c3cf: 3823.*
+ 1c3d0: 0c77.*
+ 1c3d1: 6f83.*
+ 1c3d2: 0c77.*
+ 1c3d3: 6f8b.*
+ 1c3d4: 0c77.*
+ 1c3d5: 6f93.*
+ 1c3d6: 0c77.*
+ 1c3d7: 6f9b.*
+ 1c3d8: 0c77.*
+ 1c3d9: 6fa3.*
+ 1c3da: 0c77.*
+ 1c3db: 6fab.*
+ 1c3dc: 0c77.*
+ 1c3dd: 6fb3.*
+ 1c3de: 0c77.*
+ 1c3df: 6fbb.*
+ 1c3e0: 0c77.*
+ 1c3e1: 6fc3.*
+ 1c3e2: 0c77.*
+ 1c3e3: 6fcb.*
+ 1c3e4: 0c77.*
+ 1c3e5: 6fd3.*
+ 1c3e6: 0c77.*
+ 1c3e7: 6fdb.*
+ 1c3e8: 0c77.*
+ 1c3e9: 6fe3.*
+ 1c3ea: 3824.*
+ 1c3eb: 0c77.*
+ 1c3ec: 6feb.*
+ 1c3ed: 3825.*
+ 1c3ee: 0c77.*
+ 1c3ef: 6ff3.*
+ 1c3f0: 3826.*
+ 1c3f1: 0c77.*
+ 1c3f2: 6ff8.*
+ 1c3f3: 3827.*
+ 1c3f4: 0c77.*
+ 1c3f5: 6f84.*
+ 1c3f6: 0c77.*
+ 1c3f7: 6f8c.*
+ 1c3f8: 0c77.*
+ 1c3f9: 6f94.*
+ 1c3fa: 0c77.*
+ 1c3fb: 6f9c.*
+ 1c3fc: 0c77.*
+ 1c3fd: 6fa4.*
+ 1c3fe: 0c77.*
+ 1c3ff: 6fac.*
+ 1c400: 0c77.*
+ 1c401: 6fb4.*
+ 1c402: 0c77.*
+ 1c403: 6fbc.*
+ 1c404: 0c77.*
+ 1c405: 6fc4.*
+ 1c406: 0c77.*
+ 1c407: 6fcc.*
+ 1c408: 0c77.*
+ 1c409: 6fd4.*
+ 1c40a: 0c77.*
+ 1c40b: 6fdc.*
+ 1c40c: 0c77.*
+ 1c40d: 6fe4.*
+ 1c40e: 3828.*
+ 1c40f: 0c77.*
+ 1c410: 6fec.*
+ 1c411: 3829.*
+ 1c412: 0c77.*
+ 1c413: 6ff4.*
+ 1c414: 382a.*
+ 1c415: 0c77.*
+ 1c416: 6ff8.*
+ 1c417: 382b.*
+ 1c418: 0c77.*
+ 1c419: 6f85.*
+ 1c41a: 0c77.*
+ 1c41b: 6f8d.*
+ 1c41c: 0c77.*
+ 1c41d: 6f95.*
+ 1c41e: 0c77.*
+ 1c41f: 6f9d.*
+ 1c420: 0c77.*
+ 1c421: 6fa5.*
+ 1c422: 0c77.*
+ 1c423: 6fad.*
+ 1c424: 0c77.*
+ 1c425: 6fb5.*
+ 1c426: 0c77.*
+ 1c427: 6fbd.*
+ 1c428: 0c77.*
+ 1c429: 6fc5.*
+ 1c42a: 0c77.*
+ 1c42b: 6fcd.*
+ 1c42c: 0c77.*
+ 1c42d: 6fd5.*
+ 1c42e: 0c77.*
+ 1c42f: 6fdd.*
+ 1c430: 0c77.*
+ 1c431: 6fe5.*
+ 1c432: 382c.*
+ 1c433: 0c77.*
+ 1c434: 6fed.*
+ 1c435: 382d.*
+ 1c436: 0c77.*
+ 1c437: 6ff5.*
+ 1c438: 382e.*
+ 1c439: 0c77.*
+ 1c43a: 6ff8.*
+ 1c43b: 382f.*
+ 1c43c: 0c77.*
+ 1c43d: 6f86.*
+ 1c43e: 0c77.*
+ 1c43f: 6f8e.*
+ 1c440: 0c77.*
+ 1c441: 6f96.*
+ 1c442: 0c77.*
+ 1c443: 6f9e.*
+ 1c444: 0c77.*
+ 1c445: 6fa6.*
+ 1c446: 0c77.*
+ 1c447: 6fae.*
+ 1c448: 0c77.*
+ 1c449: 6fb6.*
+ 1c44a: 0c77.*
+ 1c44b: 6fbe.*
+ 1c44c: 0c77.*
+ 1c44d: 6fc6.*
+ 1c44e: 0c77.*
+ 1c44f: 6fce.*
+ 1c450: 0c77.*
+ 1c451: 6fd6.*
+ 1c452: 0c77.*
+ 1c453: 6fde.*
+ 1c454: 0c77.*
+ 1c455: 6fe6.*
+ 1c456: 3830.*
+ 1c457: 0c77.*
+ 1c458: 6fee.*
+ 1c459: 3831.*
+ 1c45a: 0c77.*
+ 1c45b: 6ff6.*
+ 1c45c: 3832.*
+ 1c45d: 0c77.*
+ 1c45e: 6ff8.*
+ 1c45f: 3833.*
+ 1c460: 0c77.*
+ 1c461: 6f87.*
+ 1c462: 0c77.*
+ 1c463: 6f8f.*
+ 1c464: 0c77.*
+ 1c465: 6f97.*
+ 1c466: 0c77.*
+ 1c467: 6f9f.*
+ 1c468: 0c77.*
+ 1c469: 6fa7.*
+ 1c46a: 0c77.*
+ 1c46b: 6faf.*
+ 1c46c: 0c77.*
+ 1c46d: 6fb7.*
+ 1c46e: 0c77.*
+ 1c46f: 6fbf.*
+ 1c470: 0c77.*
+ 1c471: 6fc7.*
+ 1c472: 0c77.*
+ 1c473: 6fcf.*
+ 1c474: 0c77.*
+ 1c475: 6fd7.*
+ 1c476: 0c77.*
+ 1c477: 6fdf.*
+ 1c478: 0c77.*
+ 1c479: 6fe7.*
+ 1c47a: 3834.*
+ 1c47b: 0c77.*
+ 1c47c: 6fef.*
+ 1c47d: 3835.*
+ 1c47e: 0c77.*
+ 1c47f: 6ff7.*
+ 1c480: 3836.*
+ 1c481: 0c77.*
+ 1c482: 6ff8.*
+ 1c483: 3837.*
+ 1c484: 0c77.*
+ 1c485: 6f80.*
+ 1c486: 0c78.*
+ 1c487: 6f88.*
+ 1c488: 0c78.*
+ 1c489: 6f90.*
+ 1c48a: 0c78.*
+ 1c48b: 6f98.*
+ 1c48c: 0c78.*
+ 1c48d: 6fa0.*
+ 1c48e: 0c78.*
+ 1c48f: 6fa8.*
+ 1c490: 0c78.*
+ 1c491: 6fb0.*
+ 1c492: 0c78.*
+ 1c493: 6fb8.*
+ 1c494: 0c78.*
+ 1c495: 6fc0.*
+ 1c496: 0c78.*
+ 1c497: 6fc8.*
+ 1c498: 0c78.*
+ 1c499: 6fd0.*
+ 1c49a: 0c78.*
+ 1c49b: 6fd8.*
+ 1c49c: 0c78.*
+ 1c49d: 6fe0.*
+ 1c49e: 3838.*
+ 1c49f: 0c78.*
+ 1c4a0: 6fe8.*
+ 1c4a1: 3839.*
+ 1c4a2: 0c78.*
+ 1c4a3: 6ff0.*
+ 1c4a4: 383a.*
+ 1c4a5: 0c78.*
+ 1c4a6: 6ff8.*
+ 1c4a7: 383b.*
+ 1c4a8: 0c78.*
+ 1c4a9: 6f81.*
+ 1c4aa: 0c78.*
+ 1c4ab: 6f89.*
+ 1c4ac: 0c78.*
+ 1c4ad: 6f91.*
+ 1c4ae: 0c78.*
+ 1c4af: 6f99.*
+ 1c4b0: 0c78.*
+ 1c4b1: 6fa1.*
+ 1c4b2: 0c78.*
+ 1c4b3: 6fa9.*
+ 1c4b4: 0c78.*
+ 1c4b5: 6fb1.*
+ 1c4b6: 0c78.*
+ 1c4b7: 6fb9.*
+ 1c4b8: 0c78.*
+ 1c4b9: 6fc1.*
+ 1c4ba: 0c78.*
+ 1c4bb: 6fc9.*
+ 1c4bc: 0c78.*
+ 1c4bd: 6fd1.*
+ 1c4be: 0c78.*
+ 1c4bf: 6fd9.*
+ 1c4c0: 0c78.*
+ 1c4c1: 6fe1.*
+ 1c4c2: 383c.*
+ 1c4c3: 0c78.*
+ 1c4c4: 6fe9.*
+ 1c4c5: 383d.*
+ 1c4c6: 0c78.*
+ 1c4c7: 6ff1.*
+ 1c4c8: 383e.*
+ 1c4c9: 0c78.*
+ 1c4ca: 6ff8.*
+ 1c4cb: 383f.*
+ 1c4cc: 0c78.*
+ 1c4cd: 6f82.*
+ 1c4ce: 0c78.*
+ 1c4cf: 6f8a.*
+ 1c4d0: 0c78.*
+ 1c4d1: 6f92.*
+ 1c4d2: 0c78.*
+ 1c4d3: 6f9a.*
+ 1c4d4: 0c78.*
+ 1c4d5: 6fa2.*
+ 1c4d6: 0c78.*
+ 1c4d7: 6faa.*
+ 1c4d8: 0c78.*
+ 1c4d9: 6fb2.*
+ 1c4da: 0c78.*
+ 1c4db: 6fba.*
+ 1c4dc: 0c78.*
+ 1c4dd: 6fc2.*
+ 1c4de: 0c78.*
+ 1c4df: 6fca.*
+ 1c4e0: 0c78.*
+ 1c4e1: 6fd2.*
+ 1c4e2: 0c78.*
+ 1c4e3: 6fda.*
+ 1c4e4: 0c78.*
+ 1c4e5: 6fe2.*
+ 1c4e6: 3840.*
+ 1c4e7: 0c78.*
+ 1c4e8: 6fea.*
+ 1c4e9: 3841.*
+ 1c4ea: 0c78.*
+ 1c4eb: 6ff2.*
+ 1c4ec: 3842.*
+ 1c4ed: 0c78.*
+ 1c4ee: 6ff8.*
+ 1c4ef: 3843.*
+ 1c4f0: 0c78.*
+ 1c4f1: 6f83.*
+ 1c4f2: 0c78.*
+ 1c4f3: 6f8b.*
+ 1c4f4: 0c78.*
+ 1c4f5: 6f93.*
+ 1c4f6: 0c78.*
+ 1c4f7: 6f9b.*
+ 1c4f8: 0c78.*
+ 1c4f9: 6fa3.*
+ 1c4fa: 0c78.*
+ 1c4fb: 6fab.*
+ 1c4fc: 0c78.*
+ 1c4fd: 6fb3.*
+ 1c4fe: 0c78.*
+ 1c4ff: 6fbb.*
+ 1c500: 0c78.*
+ 1c501: 6fc3.*
+ 1c502: 0c78.*
+ 1c503: 6fcb.*
+ 1c504: 0c78.*
+ 1c505: 6fd3.*
+ 1c506: 0c78.*
+ 1c507: 6fdb.*
+ 1c508: 0c78.*
+ 1c509: 6fe3.*
+ 1c50a: 3844.*
+ 1c50b: 0c78.*
+ 1c50c: 6feb.*
+ 1c50d: 3845.*
+ 1c50e: 0c78.*
+ 1c50f: 6ff3.*
+ 1c510: 3846.*
+ 1c511: 0c78.*
+ 1c512: 6ff8.*
+ 1c513: 3847.*
+ 1c514: 0c78.*
+ 1c515: 6f84.*
+ 1c516: 0c78.*
+ 1c517: 6f8c.*
+ 1c518: 0c78.*
+ 1c519: 6f94.*
+ 1c51a: 0c78.*
+ 1c51b: 6f9c.*
+ 1c51c: 0c78.*
+ 1c51d: 6fa4.*
+ 1c51e: 0c78.*
+ 1c51f: 6fac.*
+ 1c520: 0c78.*
+ 1c521: 6fb4.*
+ 1c522: 0c78.*
+ 1c523: 6fbc.*
+ 1c524: 0c78.*
+ 1c525: 6fc4.*
+ 1c526: 0c78.*
+ 1c527: 6fcc.*
+ 1c528: 0c78.*
+ 1c529: 6fd4.*
+ 1c52a: 0c78.*
+ 1c52b: 6fdc.*
+ 1c52c: 0c78.*
+ 1c52d: 6fe4.*
+ 1c52e: 3848.*
+ 1c52f: 0c78.*
+ 1c530: 6fec.*
+ 1c531: 3849.*
+ 1c532: 0c78.*
+ 1c533: 6ff4.*
+ 1c534: 384a.*
+ 1c535: 0c78.*
+ 1c536: 6ff8.*
+ 1c537: 384b.*
+ 1c538: 0c78.*
+ 1c539: 6f85.*
+ 1c53a: 0c78.*
+ 1c53b: 6f8d.*
+ 1c53c: 0c78.*
+ 1c53d: 6f95.*
+ 1c53e: 0c78.*
+ 1c53f: 6f9d.*
+ 1c540: 0c78.*
+ 1c541: 6fa5.*
+ 1c542: 0c78.*
+ 1c543: 6fad.*
+ 1c544: 0c78.*
+ 1c545: 6fb5.*
+ 1c546: 0c78.*
+ 1c547: 6fbd.*
+ 1c548: 0c78.*
+ 1c549: 6fc5.*
+ 1c54a: 0c78.*
+ 1c54b: 6fcd.*
+ 1c54c: 0c78.*
+ 1c54d: 6fd5.*
+ 1c54e: 0c78.*
+ 1c54f: 6fdd.*
+ 1c550: 0c78.*
+ 1c551: 6fe5.*
+ 1c552: 384c.*
+ 1c553: 0c78.*
+ 1c554: 6fed.*
+ 1c555: 384d.*
+ 1c556: 0c78.*
+ 1c557: 6ff5.*
+ 1c558: 384e.*
+ 1c559: 0c78.*
+ 1c55a: 6ff8.*
+ 1c55b: 384f.*
+ 1c55c: 0c78.*
+ 1c55d: 6f86.*
+ 1c55e: 0c78.*
+ 1c55f: 6f8e.*
+ 1c560: 0c78.*
+ 1c561: 6f96.*
+ 1c562: 0c78.*
+ 1c563: 6f9e.*
+ 1c564: 0c78.*
+ 1c565: 6fa6.*
+ 1c566: 0c78.*
+ 1c567: 6fae.*
+ 1c568: 0c78.*
+ 1c569: 6fb6.*
+ 1c56a: 0c78.*
+ 1c56b: 6fbe.*
+ 1c56c: 0c78.*
+ 1c56d: 6fc6.*
+ 1c56e: 0c78.*
+ 1c56f: 6fce.*
+ 1c570: 0c78.*
+ 1c571: 6fd6.*
+ 1c572: 0c78.*
+ 1c573: 6fde.*
+ 1c574: 0c78.*
+ 1c575: 6fe6.*
+ 1c576: 3850.*
+ 1c577: 0c78.*
+ 1c578: 6fee.*
+ 1c579: 3851.*
+ 1c57a: 0c78.*
+ 1c57b: 6ff6.*
+ 1c57c: 3852.*
+ 1c57d: 0c78.*
+ 1c57e: 6ff8.*
+ 1c57f: 3853.*
+ 1c580: 0c78.*
+ 1c581: 6f87.*
+ 1c582: 0c78.*
+ 1c583: 6f8f.*
+ 1c584: 0c78.*
+ 1c585: 6f97.*
+ 1c586: 0c78.*
+ 1c587: 6f9f.*
+ 1c588: 0c78.*
+ 1c589: 6fa7.*
+ 1c58a: 0c78.*
+ 1c58b: 6faf.*
+ 1c58c: 0c78.*
+ 1c58d: 6fb7.*
+ 1c58e: 0c78.*
+ 1c58f: 6fbf.*
+ 1c590: 0c78.*
+ 1c591: 6fc7.*
+ 1c592: 0c78.*
+ 1c593: 6fcf.*
+ 1c594: 0c78.*
+ 1c595: 6fd7.*
+ 1c596: 0c78.*
+ 1c597: 6fdf.*
+ 1c598: 0c78.*
+ 1c599: 6fe7.*
+ 1c59a: 3854.*
+ 1c59b: 0c78.*
+ 1c59c: 6fef.*
+ 1c59d: 3855.*
+ 1c59e: 0c78.*
+ 1c59f: 6ff7.*
+ 1c5a0: 3856.*
+ 1c5a1: 0c78.*
+ 1c5a2: 6ff8.*
+ 1c5a3: 3857.*
+ 1c5a4: 0c78.*
+ 1c5a5: 6f80.*
+ 1c5a6: 0c79.*
+ 1c5a7: 6f88.*
+ 1c5a8: 0c79.*
+ 1c5a9: 6f90.*
+ 1c5aa: 0c79.*
+ 1c5ab: 6f98.*
+ 1c5ac: 0c79.*
+ 1c5ad: 6fa0.*
+ 1c5ae: 0c79.*
+ 1c5af: 6fa8.*
+ 1c5b0: 0c79.*
+ 1c5b1: 6fb0.*
+ 1c5b2: 0c79.*
+ 1c5b3: 6fb8.*
+ 1c5b4: 0c79.*
+ 1c5b5: 6fc0.*
+ 1c5b6: 0c79.*
+ 1c5b7: 6fc8.*
+ 1c5b8: 0c79.*
+ 1c5b9: 6fd0.*
+ 1c5ba: 0c79.*
+ 1c5bb: 6fd8.*
+ 1c5bc: 0c79.*
+ 1c5bd: 6fe0.*
+ 1c5be: 3858.*
+ 1c5bf: 0c79.*
+ 1c5c0: 6fe8.*
+ 1c5c1: 3859.*
+ 1c5c2: 0c79.*
+ 1c5c3: 6ff0.*
+ 1c5c4: 385a.*
+ 1c5c5: 0c79.*
+ 1c5c6: 6ff8.*
+ 1c5c7: 385b.*
+ 1c5c8: 0c79.*
+ 1c5c9: 6f81.*
+ 1c5ca: 0c79.*
+ 1c5cb: 6f89.*
+ 1c5cc: 0c79.*
+ 1c5cd: 6f91.*
+ 1c5ce: 0c79.*
+ 1c5cf: 6f99.*
+ 1c5d0: 0c79.*
+ 1c5d1: 6fa1.*
+ 1c5d2: 0c79.*
+ 1c5d3: 6fa9.*
+ 1c5d4: 0c79.*
+ 1c5d5: 6fb1.*
+ 1c5d6: 0c79.*
+ 1c5d7: 6fb9.*
+ 1c5d8: 0c79.*
+ 1c5d9: 6fc1.*
+ 1c5da: 0c79.*
+ 1c5db: 6fc9.*
+ 1c5dc: 0c79.*
+ 1c5dd: 6fd1.*
+ 1c5de: 0c79.*
+ 1c5df: 6fd9.*
+ 1c5e0: 0c79.*
+ 1c5e1: 6fe1.*
+ 1c5e2: 385c.*
+ 1c5e3: 0c79.*
+ 1c5e4: 6fe9.*
+ 1c5e5: 385d.*
+ 1c5e6: 0c79.*
+ 1c5e7: 6ff1.*
+ 1c5e8: 385e.*
+ 1c5e9: 0c79.*
+ 1c5ea: 6ff8.*
+ 1c5eb: 385f.*
+ 1c5ec: 0c79.*
+ 1c5ed: 6f82.*
+ 1c5ee: 0c79.*
+ 1c5ef: 6f8a.*
+ 1c5f0: 0c79.*
+ 1c5f1: 6f92.*
+ 1c5f2: 0c79.*
+ 1c5f3: 6f9a.*
+ 1c5f4: 0c79.*
+ 1c5f5: 6fa2.*
+ 1c5f6: 0c79.*
+ 1c5f7: 6faa.*
+ 1c5f8: 0c79.*
+ 1c5f9: 6fb2.*
+ 1c5fa: 0c79.*
+ 1c5fb: 6fba.*
+ 1c5fc: 0c79.*
+ 1c5fd: 6fc2.*
+ 1c5fe: 0c79.*
+ 1c5ff: 6fca.*
+ 1c600: 0c79.*
+ 1c601: 6fd2.*
+ 1c602: 0c79.*
+ 1c603: 6fda.*
+ 1c604: 0c79.*
+ 1c605: 6fe2.*
+ 1c606: 3860.*
+ 1c607: 0c79.*
+ 1c608: 6fea.*
+ 1c609: 3861.*
+ 1c60a: 0c79.*
+ 1c60b: 6ff2.*
+ 1c60c: 3862.*
+ 1c60d: 0c79.*
+ 1c60e: 6ff8.*
+ 1c60f: 3863.*
+ 1c610: 0c79.*
+ 1c611: 6f83.*
+ 1c612: 0c79.*
+ 1c613: 6f8b.*
+ 1c614: 0c79.*
+ 1c615: 6f93.*
+ 1c616: 0c79.*
+ 1c617: 6f9b.*
+ 1c618: 0c79.*
+ 1c619: 6fa3.*
+ 1c61a: 0c79.*
+ 1c61b: 6fab.*
+ 1c61c: 0c79.*
+ 1c61d: 6fb3.*
+ 1c61e: 0c79.*
+ 1c61f: 6fbb.*
+ 1c620: 0c79.*
+ 1c621: 6fc3.*
+ 1c622: 0c79.*
+ 1c623: 6fcb.*
+ 1c624: 0c79.*
+ 1c625: 6fd3.*
+ 1c626: 0c79.*
+ 1c627: 6fdb.*
+ 1c628: 0c79.*
+ 1c629: 6fe3.*
+ 1c62a: 3864.*
+ 1c62b: 0c79.*
+ 1c62c: 6feb.*
+ 1c62d: 3865.*
+ 1c62e: 0c79.*
+ 1c62f: 6ff3.*
+ 1c630: 3866.*
+ 1c631: 0c79.*
+ 1c632: 6ff8.*
+ 1c633: 3867.*
+ 1c634: 0c79.*
+ 1c635: 6f84.*
+ 1c636: 0c79.*
+ 1c637: 6f8c.*
+ 1c638: 0c79.*
+ 1c639: 6f94.*
+ 1c63a: 0c79.*
+ 1c63b: 6f9c.*
+ 1c63c: 0c79.*
+ 1c63d: 6fa4.*
+ 1c63e: 0c79.*
+ 1c63f: 6fac.*
+ 1c640: 0c79.*
+ 1c641: 6fb4.*
+ 1c642: 0c79.*
+ 1c643: 6fbc.*
+ 1c644: 0c79.*
+ 1c645: 6fc4.*
+ 1c646: 0c79.*
+ 1c647: 6fcc.*
+ 1c648: 0c79.*
+ 1c649: 6fd4.*
+ 1c64a: 0c79.*
+ 1c64b: 6fdc.*
+ 1c64c: 0c79.*
+ 1c64d: 6fe4.*
+ 1c64e: 3868.*
+ 1c64f: 0c79.*
+ 1c650: 6fec.*
+ 1c651: 3869.*
+ 1c652: 0c79.*
+ 1c653: 6ff4.*
+ 1c654: 386a.*
+ 1c655: 0c79.*
+ 1c656: 6ff8.*
+ 1c657: 386b.*
+ 1c658: 0c79.*
+ 1c659: 6f85.*
+ 1c65a: 0c79.*
+ 1c65b: 6f8d.*
+ 1c65c: 0c79.*
+ 1c65d: 6f95.*
+ 1c65e: 0c79.*
+ 1c65f: 6f9d.*
+ 1c660: 0c79.*
+ 1c661: 6fa5.*
+ 1c662: 0c79.*
+ 1c663: 6fad.*
+ 1c664: 0c79.*
+ 1c665: 6fb5.*
+ 1c666: 0c79.*
+ 1c667: 6fbd.*
+ 1c668: 0c79.*
+ 1c669: 6fc5.*
+ 1c66a: 0c79.*
+ 1c66b: 6fcd.*
+ 1c66c: 0c79.*
+ 1c66d: 6fd5.*
+ 1c66e: 0c79.*
+ 1c66f: 6fdd.*
+ 1c670: 0c79.*
+ 1c671: 6fe5.*
+ 1c672: 386c.*
+ 1c673: 0c79.*
+ 1c674: 6fed.*
+ 1c675: 386d.*
+ 1c676: 0c79.*
+ 1c677: 6ff5.*
+ 1c678: 386e.*
+ 1c679: 0c79.*
+ 1c67a: 6ff8.*
+ 1c67b: 386f.*
+ 1c67c: 0c79.*
+ 1c67d: 6f86.*
+ 1c67e: 0c79.*
+ 1c67f: 6f8e.*
+ 1c680: 0c79.*
+ 1c681: 6f96.*
+ 1c682: 0c79.*
+ 1c683: 6f9e.*
+ 1c684: 0c79.*
+ 1c685: 6fa6.*
+ 1c686: 0c79.*
+ 1c687: 6fae.*
+ 1c688: 0c79.*
+ 1c689: 6fb6.*
+ 1c68a: 0c79.*
+ 1c68b: 6fbe.*
+ 1c68c: 0c79.*
+ 1c68d: 6fc6.*
+ 1c68e: 0c79.*
+ 1c68f: 6fce.*
+ 1c690: 0c79.*
+ 1c691: 6fd6.*
+ 1c692: 0c79.*
+ 1c693: 6fde.*
+ 1c694: 0c79.*
+ 1c695: 6fe6.*
+ 1c696: 3870.*
+ 1c697: 0c79.*
+ 1c698: 6fee.*
+ 1c699: 3871.*
+ 1c69a: 0c79.*
+ 1c69b: 6ff6.*
+ 1c69c: 3872.*
+ 1c69d: 0c79.*
+ 1c69e: 6ff8.*
+ 1c69f: 3873.*
+ 1c6a0: 0c79.*
+ 1c6a1: 6f87.*
+ 1c6a2: 0c79.*
+ 1c6a3: 6f8f.*
+ 1c6a4: 0c79.*
+ 1c6a5: 6f97.*
+ 1c6a6: 0c79.*
+ 1c6a7: 6f9f.*
+ 1c6a8: 0c79.*
+ 1c6a9: 6fa7.*
+ 1c6aa: 0c79.*
+ 1c6ab: 6faf.*
+ 1c6ac: 0c79.*
+ 1c6ad: 6fb7.*
+ 1c6ae: 0c79.*
+ 1c6af: 6fbf.*
+ 1c6b0: 0c79.*
+ 1c6b1: 6fc7.*
+ 1c6b2: 0c79.*
+ 1c6b3: 6fcf.*
+ 1c6b4: 0c79.*
+ 1c6b5: 6fd7.*
+ 1c6b6: 0c79.*
+ 1c6b7: 6fdf.*
+ 1c6b8: 0c79.*
+ 1c6b9: 6fe7.*
+ 1c6ba: 3874.*
+ 1c6bb: 0c79.*
+ 1c6bc: 6fef.*
+ 1c6bd: 3875.*
+ 1c6be: 0c79.*
+ 1c6bf: 6ff7.*
+ 1c6c0: 3876.*
+ 1c6c1: 0c79.*
+ 1c6c2: 6ff8.*
+ 1c6c3: 3877.*
+ 1c6c4: 0c79.*
+ 1c6c5: 6f80.*
+ 1c6c6: 0c7a.*
+ 1c6c7: 6f88.*
+ 1c6c8: 0c7a.*
+ 1c6c9: 6f90.*
+ 1c6ca: 0c7a.*
+ 1c6cb: 6f98.*
+ 1c6cc: 0c7a.*
+ 1c6cd: 6fa0.*
+ 1c6ce: 0c7a.*
+ 1c6cf: 6fa8.*
+ 1c6d0: 0c7a.*
+ 1c6d1: 6fb0.*
+ 1c6d2: 0c7a.*
+ 1c6d3: 6fb8.*
+ 1c6d4: 0c7a.*
+ 1c6d5: 6fc0.*
+ 1c6d6: 0c7a.*
+ 1c6d7: 6fc8.*
+ 1c6d8: 0c7a.*
+ 1c6d9: 6fd0.*
+ 1c6da: 0c7a.*
+ 1c6db: 6fd8.*
+ 1c6dc: 0c7a.*
+ 1c6dd: 6fe0.*
+ 1c6de: 3878.*
+ 1c6df: 0c7a.*
+ 1c6e0: 6fe8.*
+ 1c6e1: 3879.*
+ 1c6e2: 0c7a.*
+ 1c6e3: 6ff0.*
+ 1c6e4: 387a.*
+ 1c6e5: 0c7a.*
+ 1c6e6: 6ff8.*
+ 1c6e7: 387b.*
+ 1c6e8: 0c7a.*
+ 1c6e9: 6f81.*
+ 1c6ea: 0c7a.*
+ 1c6eb: 6f89.*
+ 1c6ec: 0c7a.*
+ 1c6ed: 6f91.*
+ 1c6ee: 0c7a.*
+ 1c6ef: 6f99.*
+ 1c6f0: 0c7a.*
+ 1c6f1: 6fa1.*
+ 1c6f2: 0c7a.*
+ 1c6f3: 6fa9.*
+ 1c6f4: 0c7a.*
+ 1c6f5: 6fb1.*
+ 1c6f6: 0c7a.*
+ 1c6f7: 6fb9.*
+ 1c6f8: 0c7a.*
+ 1c6f9: 6fc1.*
+ 1c6fa: 0c7a.*
+ 1c6fb: 6fc9.*
+ 1c6fc: 0c7a.*
+ 1c6fd: 6fd1.*
+ 1c6fe: 0c7a.*
+ 1c6ff: 6fd9.*
+ 1c700: 0c7a.*
+ 1c701: 6fe1.*
+ 1c702: 387c.*
+ 1c703: 0c7a.*
+ 1c704: 6fe9.*
+ 1c705: 387d.*
+ 1c706: 0c7a.*
+ 1c707: 6ff1.*
+ 1c708: 387e.*
+ 1c709: 0c7a.*
+ 1c70a: 6ff8.*
+ 1c70b: 387f.*
+ 1c70c: 0c7a.*
+ 1c70d: 6f82.*
+ 1c70e: 0c7a.*
+ 1c70f: 6f8a.*
+ 1c710: 0c7a.*
+ 1c711: 6f92.*
+ 1c712: 0c7a.*
+ 1c713: 6f9a.*
+ 1c714: 0c7a.*
+ 1c715: 6fa2.*
+ 1c716: 0c7a.*
+ 1c717: 6faa.*
+ 1c718: 0c7a.*
+ 1c719: 6fb2.*
+ 1c71a: 0c7a.*
+ 1c71b: 6fba.*
+ 1c71c: 0c7a.*
+ 1c71d: 6fc2.*
+ 1c71e: 0c7a.*
+ 1c71f: 6fca.*
+ 1c720: 0c7a.*
+ 1c721: 6fd2.*
+ 1c722: 0c7a.*
+ 1c723: 6fda.*
+ 1c724: 0c7a.*
+ 1c725: 6fe2.*
+ 1c726: 3880.*
+ 1c727: 0c7a.*
+ 1c728: 6fea.*
+ 1c729: 3881.*
+ 1c72a: 0c7a.*
+ 1c72b: 6ff2.*
+ 1c72c: 3882.*
+ 1c72d: 0c7a.*
+ 1c72e: 6ff8.*
+ 1c72f: 3883.*
+ 1c730: 0c7a.*
+ 1c731: 6f83.*
+ 1c732: 0c7a.*
+ 1c733: 6f8b.*
+ 1c734: 0c7a.*
+ 1c735: 6f93.*
+ 1c736: 0c7a.*
+ 1c737: 6f9b.*
+ 1c738: 0c7a.*
+ 1c739: 6fa3.*
+ 1c73a: 0c7a.*
+ 1c73b: 6fab.*
+ 1c73c: 0c7a.*
+ 1c73d: 6fb3.*
+ 1c73e: 0c7a.*
+ 1c73f: 6fbb.*
+ 1c740: 0c7a.*
+ 1c741: 6fc3.*
+ 1c742: 0c7a.*
+ 1c743: 6fcb.*
+ 1c744: 0c7a.*
+ 1c745: 6fd3.*
+ 1c746: 0c7a.*
+ 1c747: 6fdb.*
+ 1c748: 0c7a.*
+ 1c749: 6fe3.*
+ 1c74a: 3884.*
+ 1c74b: 0c7a.*
+ 1c74c: 6feb.*
+ 1c74d: 3885.*
+ 1c74e: 0c7a.*
+ 1c74f: 6ff3.*
+ 1c750: 3886.*
+ 1c751: 0c7a.*
+ 1c752: 6ff8.*
+ 1c753: 3887.*
+ 1c754: 0c7a.*
+ 1c755: 6f84.*
+ 1c756: 0c7a.*
+ 1c757: 6f8c.*
+ 1c758: 0c7a.*
+ 1c759: 6f94.*
+ 1c75a: 0c7a.*
+ 1c75b: 6f9c.*
+ 1c75c: 0c7a.*
+ 1c75d: 6fa4.*
+ 1c75e: 0c7a.*
+ 1c75f: 6fac.*
+ 1c760: 0c7a.*
+ 1c761: 6fb4.*
+ 1c762: 0c7a.*
+ 1c763: 6fbc.*
+ 1c764: 0c7a.*
+ 1c765: 6fc4.*
+ 1c766: 0c7a.*
+ 1c767: 6fcc.*
+ 1c768: 0c7a.*
+ 1c769: 6fd4.*
+ 1c76a: 0c7a.*
+ 1c76b: 6fdc.*
+ 1c76c: 0c7a.*
+ 1c76d: 6fe4.*
+ 1c76e: 3888.*
+ 1c76f: 0c7a.*
+ 1c770: 6fec.*
+ 1c771: 3889.*
+ 1c772: 0c7a.*
+ 1c773: 6ff4.*
+ 1c774: 388a.*
+ 1c775: 0c7a.*
+ 1c776: 6ff8.*
+ 1c777: 388b.*
+ 1c778: 0c7a.*
+ 1c779: 6f85.*
+ 1c77a: 0c7a.*
+ 1c77b: 6f8d.*
+ 1c77c: 0c7a.*
+ 1c77d: 6f95.*
+ 1c77e: 0c7a.*
+ 1c77f: 6f9d.*
+ 1c780: 0c7a.*
+ 1c781: 6fa5.*
+ 1c782: 0c7a.*
+ 1c783: 6fad.*
+ 1c784: 0c7a.*
+ 1c785: 6fb5.*
+ 1c786: 0c7a.*
+ 1c787: 6fbd.*
+ 1c788: 0c7a.*
+ 1c789: 6fc5.*
+ 1c78a: 0c7a.*
+ 1c78b: 6fcd.*
+ 1c78c: 0c7a.*
+ 1c78d: 6fd5.*
+ 1c78e: 0c7a.*
+ 1c78f: 6fdd.*
+ 1c790: 0c7a.*
+ 1c791: 6fe5.*
+ 1c792: 388c.*
+ 1c793: 0c7a.*
+ 1c794: 6fed.*
+ 1c795: 388d.*
+ 1c796: 0c7a.*
+ 1c797: 6ff5.*
+ 1c798: 388e.*
+ 1c799: 0c7a.*
+ 1c79a: 6ff8.*
+ 1c79b: 388f.*
+ 1c79c: 0c7a.*
+ 1c79d: 6f86.*
+ 1c79e: 0c7a.*
+ 1c79f: 6f8e.*
+ 1c7a0: 0c7a.*
+ 1c7a1: 6f96.*
+ 1c7a2: 0c7a.*
+ 1c7a3: 6f9e.*
+ 1c7a4: 0c7a.*
+ 1c7a5: 6fa6.*
+ 1c7a6: 0c7a.*
+ 1c7a7: 6fae.*
+ 1c7a8: 0c7a.*
+ 1c7a9: 6fb6.*
+ 1c7aa: 0c7a.*
+ 1c7ab: 6fbe.*
+ 1c7ac: 0c7a.*
+ 1c7ad: 6fc6.*
+ 1c7ae: 0c7a.*
+ 1c7af: 6fce.*
+ 1c7b0: 0c7a.*
+ 1c7b1: 6fd6.*
+ 1c7b2: 0c7a.*
+ 1c7b3: 6fde.*
+ 1c7b4: 0c7a.*
+ 1c7b5: 6fe6.*
+ 1c7b6: 3890.*
+ 1c7b7: 0c7a.*
+ 1c7b8: 6fee.*
+ 1c7b9: 3891.*
+ 1c7ba: 0c7a.*
+ 1c7bb: 6ff6.*
+ 1c7bc: 3892.*
+ 1c7bd: 0c7a.*
+ 1c7be: 6ff8.*
+ 1c7bf: 3893.*
+ 1c7c0: 0c7a.*
+ 1c7c1: 6f87.*
+ 1c7c2: 0c7a.*
+ 1c7c3: 6f8f.*
+ 1c7c4: 0c7a.*
+ 1c7c5: 6f97.*
+ 1c7c6: 0c7a.*
+ 1c7c7: 6f9f.*
+ 1c7c8: 0c7a.*
+ 1c7c9: 6fa7.*
+ 1c7ca: 0c7a.*
+ 1c7cb: 6faf.*
+ 1c7cc: 0c7a.*
+ 1c7cd: 6fb7.*
+ 1c7ce: 0c7a.*
+ 1c7cf: 6fbf.*
+ 1c7d0: 0c7a.*
+ 1c7d1: 6fc7.*
+ 1c7d2: 0c7a.*
+ 1c7d3: 6fcf.*
+ 1c7d4: 0c7a.*
+ 1c7d5: 6fd7.*
+ 1c7d6: 0c7a.*
+ 1c7d7: 6fdf.*
+ 1c7d8: 0c7a.*
+ 1c7d9: 6fe7.*
+ 1c7da: 3894.*
+ 1c7db: 0c7a.*
+ 1c7dc: 6fef.*
+ 1c7dd: 3895.*
+ 1c7de: 0c7a.*
+ 1c7df: 6ff7.*
+ 1c7e0: 3896.*
+ 1c7e1: 0c7a.*
+ 1c7e2: 6ff8.*
+ 1c7e3: 3897.*
+ 1c7e4: 0c7a.*
+ 1c7e5: 6f80.*
+ 1c7e6: 0c7b.*
+ 1c7e7: 6f88.*
+ 1c7e8: 0c7b.*
+ 1c7e9: 6f90.*
+ 1c7ea: 0c7b.*
+ 1c7eb: 6f98.*
+ 1c7ec: 0c7b.*
+ 1c7ed: 6fa0.*
+ 1c7ee: 0c7b.*
+ 1c7ef: 6fa8.*
+ 1c7f0: 0c7b.*
+ 1c7f1: 6fb0.*
+ 1c7f2: 0c7b.*
+ 1c7f3: 6fb8.*
+ 1c7f4: 0c7b.*
+ 1c7f5: 6fc0.*
+ 1c7f6: 0c7b.*
+ 1c7f7: 6fc8.*
+ 1c7f8: 0c7b.*
+ 1c7f9: 6fd0.*
+ 1c7fa: 0c7b.*
+ 1c7fb: 6fd8.*
+ 1c7fc: 0c7b.*
+ 1c7fd: 6fe0.*
+ 1c7fe: 3898.*
+ 1c7ff: 0c7b.*
+ 1c800: 6fe8.*
+ 1c801: 3899.*
+ 1c802: 0c7b.*
+ 1c803: 6ff0.*
+ 1c804: 389a.*
+ 1c805: 0c7b.*
+ 1c806: 6ff8.*
+ 1c807: 389b.*
+ 1c808: 0c7b.*
+ 1c809: 6f81.*
+ 1c80a: 0c7b.*
+ 1c80b: 6f89.*
+ 1c80c: 0c7b.*
+ 1c80d: 6f91.*
+ 1c80e: 0c7b.*
+ 1c80f: 6f99.*
+ 1c810: 0c7b.*
+ 1c811: 6fa1.*
+ 1c812: 0c7b.*
+ 1c813: 6fa9.*
+ 1c814: 0c7b.*
+ 1c815: 6fb1.*
+ 1c816: 0c7b.*
+ 1c817: 6fb9.*
+ 1c818: 0c7b.*
+ 1c819: 6fc1.*
+ 1c81a: 0c7b.*
+ 1c81b: 6fc9.*
+ 1c81c: 0c7b.*
+ 1c81d: 6fd1.*
+ 1c81e: 0c7b.*
+ 1c81f: 6fd9.*
+ 1c820: 0c7b.*
+ 1c821: 6fe1.*
+ 1c822: 389c.*
+ 1c823: 0c7b.*
+ 1c824: 6fe9.*
+ 1c825: 389d.*
+ 1c826: 0c7b.*
+ 1c827: 6ff1.*
+ 1c828: 389e.*
+ 1c829: 0c7b.*
+ 1c82a: 6ff8.*
+ 1c82b: 389f.*
+ 1c82c: 0c7b.*
+ 1c82d: 6f82.*
+ 1c82e: 0c7b.*
+ 1c82f: 6f8a.*
+ 1c830: 0c7b.*
+ 1c831: 6f92.*
+ 1c832: 0c7b.*
+ 1c833: 6f9a.*
+ 1c834: 0c7b.*
+ 1c835: 6fa2.*
+ 1c836: 0c7b.*
+ 1c837: 6faa.*
+ 1c838: 0c7b.*
+ 1c839: 6fb2.*
+ 1c83a: 0c7b.*
+ 1c83b: 6fba.*
+ 1c83c: 0c7b.*
+ 1c83d: 6fc2.*
+ 1c83e: 0c7b.*
+ 1c83f: 6fca.*
+ 1c840: 0c7b.*
+ 1c841: 6fd2.*
+ 1c842: 0c7b.*
+ 1c843: 6fda.*
+ 1c844: 0c7b.*
+ 1c845: 6fe2.*
+ 1c846: 38a0.*
+ 1c847: 0c7b.*
+ 1c848: 6fea.*
+ 1c849: 38a1.*
+ 1c84a: 0c7b.*
+ 1c84b: 6ff2.*
+ 1c84c: 38a2.*
+ 1c84d: 0c7b.*
+ 1c84e: 6ff8.*
+ 1c84f: 38a3.*
+ 1c850: 0c7b.*
+ 1c851: 6f83.*
+ 1c852: 0c7b.*
+ 1c853: 6f8b.*
+ 1c854: 0c7b.*
+ 1c855: 6f93.*
+ 1c856: 0c7b.*
+ 1c857: 6f9b.*
+ 1c858: 0c7b.*
+ 1c859: 6fa3.*
+ 1c85a: 0c7b.*
+ 1c85b: 6fab.*
+ 1c85c: 0c7b.*
+ 1c85d: 6fb3.*
+ 1c85e: 0c7b.*
+ 1c85f: 6fbb.*
+ 1c860: 0c7b.*
+ 1c861: 6fc3.*
+ 1c862: 0c7b.*
+ 1c863: 6fcb.*
+ 1c864: 0c7b.*
+ 1c865: 6fd3.*
+ 1c866: 0c7b.*
+ 1c867: 6fdb.*
+ 1c868: 0c7b.*
+ 1c869: 6fe3.*
+ 1c86a: 38a4.*
+ 1c86b: 0c7b.*
+ 1c86c: 6feb.*
+ 1c86d: 38a5.*
+ 1c86e: 0c7b.*
+ 1c86f: 6ff3.*
+ 1c870: 38a6.*
+ 1c871: 0c7b.*
+ 1c872: 6ff8.*
+ 1c873: 38a7.*
+ 1c874: 0c7b.*
+ 1c875: 6f84.*
+ 1c876: 0c7b.*
+ 1c877: 6f8c.*
+ 1c878: 0c7b.*
+ 1c879: 6f94.*
+ 1c87a: 0c7b.*
+ 1c87b: 6f9c.*
+ 1c87c: 0c7b.*
+ 1c87d: 6fa4.*
+ 1c87e: 0c7b.*
+ 1c87f: 6fac.*
+ 1c880: 0c7b.*
+ 1c881: 6fb4.*
+ 1c882: 0c7b.*
+ 1c883: 6fbc.*
+ 1c884: 0c7b.*
+ 1c885: 6fc4.*
+ 1c886: 0c7b.*
+ 1c887: 6fcc.*
+ 1c888: 0c7b.*
+ 1c889: 6fd4.*
+ 1c88a: 0c7b.*
+ 1c88b: 6fdc.*
+ 1c88c: 0c7b.*
+ 1c88d: 6fe4.*
+ 1c88e: 38a8.*
+ 1c88f: 0c7b.*
+ 1c890: 6fec.*
+ 1c891: 38a9.*
+ 1c892: 0c7b.*
+ 1c893: 6ff4.*
+ 1c894: 38aa.*
+ 1c895: 0c7b.*
+ 1c896: 6ff8.*
+ 1c897: 38ab.*
+ 1c898: 0c7b.*
+ 1c899: 6f85.*
+ 1c89a: 0c7b.*
+ 1c89b: 6f8d.*
+ 1c89c: 0c7b.*
+ 1c89d: 6f95.*
+ 1c89e: 0c7b.*
+ 1c89f: 6f9d.*
+ 1c8a0: 0c7b.*
+ 1c8a1: 6fa5.*
+ 1c8a2: 0c7b.*
+ 1c8a3: 6fad.*
+ 1c8a4: 0c7b.*
+ 1c8a5: 6fb5.*
+ 1c8a6: 0c7b.*
+ 1c8a7: 6fbd.*
+ 1c8a8: 0c7b.*
+ 1c8a9: 6fc5.*
+ 1c8aa: 0c7b.*
+ 1c8ab: 6fcd.*
+ 1c8ac: 0c7b.*
+ 1c8ad: 6fd5.*
+ 1c8ae: 0c7b.*
+ 1c8af: 6fdd.*
+ 1c8b0: 0c7b.*
+ 1c8b1: 6fe5.*
+ 1c8b2: 38ac.*
+ 1c8b3: 0c7b.*
+ 1c8b4: 6fed.*
+ 1c8b5: 38ad.*
+ 1c8b6: 0c7b.*
+ 1c8b7: 6ff5.*
+ 1c8b8: 38ae.*
+ 1c8b9: 0c7b.*
+ 1c8ba: 6ff8.*
+ 1c8bb: 38af.*
+ 1c8bc: 0c7b.*
+ 1c8bd: 6f86.*
+ 1c8be: 0c7b.*
+ 1c8bf: 6f8e.*
+ 1c8c0: 0c7b.*
+ 1c8c1: 6f96.*
+ 1c8c2: 0c7b.*
+ 1c8c3: 6f9e.*
+ 1c8c4: 0c7b.*
+ 1c8c5: 6fa6.*
+ 1c8c6: 0c7b.*
+ 1c8c7: 6fae.*
+ 1c8c8: 0c7b.*
+ 1c8c9: 6fb6.*
+ 1c8ca: 0c7b.*
+ 1c8cb: 6fbe.*
+ 1c8cc: 0c7b.*
+ 1c8cd: 6fc6.*
+ 1c8ce: 0c7b.*
+ 1c8cf: 6fce.*
+ 1c8d0: 0c7b.*
+ 1c8d1: 6fd6.*
+ 1c8d2: 0c7b.*
+ 1c8d3: 6fde.*
+ 1c8d4: 0c7b.*
+ 1c8d5: 6fe6.*
+ 1c8d6: 38b0.*
+ 1c8d7: 0c7b.*
+ 1c8d8: 6fee.*
+ 1c8d9: 38b1.*
+ 1c8da: 0c7b.*
+ 1c8db: 6ff6.*
+ 1c8dc: 38b2.*
+ 1c8dd: 0c7b.*
+ 1c8de: 6ff8.*
+ 1c8df: 38b3.*
+ 1c8e0: 0c7b.*
+ 1c8e1: 6f87.*
+ 1c8e2: 0c7b.*
+ 1c8e3: 6f8f.*
+ 1c8e4: 0c7b.*
+ 1c8e5: 6f97.*
+ 1c8e6: 0c7b.*
+ 1c8e7: 6f9f.*
+ 1c8e8: 0c7b.*
+ 1c8e9: 6fa7.*
+ 1c8ea: 0c7b.*
+ 1c8eb: 6faf.*
+ 1c8ec: 0c7b.*
+ 1c8ed: 6fb7.*
+ 1c8ee: 0c7b.*
+ 1c8ef: 6fbf.*
+ 1c8f0: 0c7b.*
+ 1c8f1: 6fc7.*
+ 1c8f2: 0c7b.*
+ 1c8f3: 6fcf.*
+ 1c8f4: 0c7b.*
+ 1c8f5: 6fd7.*
+ 1c8f6: 0c7b.*
+ 1c8f7: 6fdf.*
+ 1c8f8: 0c7b.*
+ 1c8f9: 6fe7.*
+ 1c8fa: 38b4.*
+ 1c8fb: 0c7b.*
+ 1c8fc: 6fef.*
+ 1c8fd: 38b5.*
+ 1c8fe: 0c7b.*
+ 1c8ff: 6ff7.*
+ 1c900: 38b6.*
+ 1c901: 0c7b.*
+ 1c902: 6ff8.*
+ 1c903: 38b7.*
+ 1c904: 0c7b.*
+ 1c905: 6f80.*
+ 1c906: 0c7c.*
+ 1c907: 6f88.*
+ 1c908: 0c7c.*
+ 1c909: 6f90.*
+ 1c90a: 0c7c.*
+ 1c90b: 6f98.*
+ 1c90c: 0c7c.*
+ 1c90d: 6fa0.*
+ 1c90e: 0c7c.*
+ 1c90f: 6fa8.*
+ 1c910: 0c7c.*
+ 1c911: 6fb0.*
+ 1c912: 0c7c.*
+ 1c913: 6fb8.*
+ 1c914: 0c7c.*
+ 1c915: 6fc0.*
+ 1c916: 0c7c.*
+ 1c917: 6fc8.*
+ 1c918: 0c7c.*
+ 1c919: 6fd0.*
+ 1c91a: 0c7c.*
+ 1c91b: 6fd8.*
+ 1c91c: 0c7c.*
+ 1c91d: 6fe0.*
+ 1c91e: 38b8.*
+ 1c91f: 0c7c.*
+ 1c920: 6fe8.*
+ 1c921: 38b9.*
+ 1c922: 0c7c.*
+ 1c923: 6ff0.*
+ 1c924: 38ba.*
+ 1c925: 0c7c.*
+ 1c926: 6ff8.*
+ 1c927: 38bb.*
+ 1c928: 0c7c.*
+ 1c929: 6f81.*
+ 1c92a: 0c7c.*
+ 1c92b: 6f89.*
+ 1c92c: 0c7c.*
+ 1c92d: 6f91.*
+ 1c92e: 0c7c.*
+ 1c92f: 6f99.*
+ 1c930: 0c7c.*
+ 1c931: 6fa1.*
+ 1c932: 0c7c.*
+ 1c933: 6fa9.*
+ 1c934: 0c7c.*
+ 1c935: 6fb1.*
+ 1c936: 0c7c.*
+ 1c937: 6fb9.*
+ 1c938: 0c7c.*
+ 1c939: 6fc1.*
+ 1c93a: 0c7c.*
+ 1c93b: 6fc9.*
+ 1c93c: 0c7c.*
+ 1c93d: 6fd1.*
+ 1c93e: 0c7c.*
+ 1c93f: 6fd9.*
+ 1c940: 0c7c.*
+ 1c941: 6fe1.*
+ 1c942: 38bc.*
+ 1c943: 0c7c.*
+ 1c944: 6fe9.*
+ 1c945: 38bd.*
+ 1c946: 0c7c.*
+ 1c947: 6ff1.*
+ 1c948: 38be.*
+ 1c949: 0c7c.*
+ 1c94a: 6ff8.*
+ 1c94b: 38bf.*
+ 1c94c: 0c7c.*
+ 1c94d: 6f82.*
+ 1c94e: 0c7c.*
+ 1c94f: 6f8a.*
+ 1c950: 0c7c.*
+ 1c951: 6f92.*
+ 1c952: 0c7c.*
+ 1c953: 6f9a.*
+ 1c954: 0c7c.*
+ 1c955: 6fa2.*
+ 1c956: 0c7c.*
+ 1c957: 6faa.*
+ 1c958: 0c7c.*
+ 1c959: 6fb2.*
+ 1c95a: 0c7c.*
+ 1c95b: 6fba.*
+ 1c95c: 0c7c.*
+ 1c95d: 6fc2.*
+ 1c95e: 0c7c.*
+ 1c95f: 6fca.*
+ 1c960: 0c7c.*
+ 1c961: 6fd2.*
+ 1c962: 0c7c.*
+ 1c963: 6fda.*
+ 1c964: 0c7c.*
+ 1c965: 6fe2.*
+ 1c966: 38c0.*
+ 1c967: 0c7c.*
+ 1c968: 6fea.*
+ 1c969: 38c1.*
+ 1c96a: 0c7c.*
+ 1c96b: 6ff2.*
+ 1c96c: 38c2.*
+ 1c96d: 0c7c.*
+ 1c96e: 6ff8.*
+ 1c96f: 38c3.*
+ 1c970: 0c7c.*
+ 1c971: 6f83.*
+ 1c972: 0c7c.*
+ 1c973: 6f8b.*
+ 1c974: 0c7c.*
+ 1c975: 6f93.*
+ 1c976: 0c7c.*
+ 1c977: 6f9b.*
+ 1c978: 0c7c.*
+ 1c979: 6fa3.*
+ 1c97a: 0c7c.*
+ 1c97b: 6fab.*
+ 1c97c: 0c7c.*
+ 1c97d: 6fb3.*
+ 1c97e: 0c7c.*
+ 1c97f: 6fbb.*
+ 1c980: 0c7c.*
+ 1c981: 6fc3.*
+ 1c982: 0c7c.*
+ 1c983: 6fcb.*
+ 1c984: 0c7c.*
+ 1c985: 6fd3.*
+ 1c986: 0c7c.*
+ 1c987: 6fdb.*
+ 1c988: 0c7c.*
+ 1c989: 6fe3.*
+ 1c98a: 38c4.*
+ 1c98b: 0c7c.*
+ 1c98c: 6feb.*
+ 1c98d: 38c5.*
+ 1c98e: 0c7c.*
+ 1c98f: 6ff3.*
+ 1c990: 38c6.*
+ 1c991: 0c7c.*
+ 1c992: 6ff8.*
+ 1c993: 38c7.*
+ 1c994: 0c7c.*
+ 1c995: 6f84.*
+ 1c996: 0c7c.*
+ 1c997: 6f8c.*
+ 1c998: 0c7c.*
+ 1c999: 6f94.*
+ 1c99a: 0c7c.*
+ 1c99b: 6f9c.*
+ 1c99c: 0c7c.*
+ 1c99d: 6fa4.*
+ 1c99e: 0c7c.*
+ 1c99f: 6fac.*
+ 1c9a0: 0c7c.*
+ 1c9a1: 6fb4.*
+ 1c9a2: 0c7c.*
+ 1c9a3: 6fbc.*
+ 1c9a4: 0c7c.*
+ 1c9a5: 6fc4.*
+ 1c9a6: 0c7c.*
+ 1c9a7: 6fcc.*
+ 1c9a8: 0c7c.*
+ 1c9a9: 6fd4.*
+ 1c9aa: 0c7c.*
+ 1c9ab: 6fdc.*
+ 1c9ac: 0c7c.*
+ 1c9ad: 6fe4.*
+ 1c9ae: 38c8.*
+ 1c9af: 0c7c.*
+ 1c9b0: 6fec.*
+ 1c9b1: 38c9.*
+ 1c9b2: 0c7c.*
+ 1c9b3: 6ff4.*
+ 1c9b4: 38ca.*
+ 1c9b5: 0c7c.*
+ 1c9b6: 6ff8.*
+ 1c9b7: 38cb.*
+ 1c9b8: 0c7c.*
+ 1c9b9: 6f85.*
+ 1c9ba: 0c7c.*
+ 1c9bb: 6f8d.*
+ 1c9bc: 0c7c.*
+ 1c9bd: 6f95.*
+ 1c9be: 0c7c.*
+ 1c9bf: 6f9d.*
+ 1c9c0: 0c7c.*
+ 1c9c1: 6fa5.*
+ 1c9c2: 0c7c.*
+ 1c9c3: 6fad.*
+ 1c9c4: 0c7c.*
+ 1c9c5: 6fb5.*
+ 1c9c6: 0c7c.*
+ 1c9c7: 6fbd.*
+ 1c9c8: 0c7c.*
+ 1c9c9: 6fc5.*
+ 1c9ca: 0c7c.*
+ 1c9cb: 6fcd.*
+ 1c9cc: 0c7c.*
+ 1c9cd: 6fd5.*
+ 1c9ce: 0c7c.*
+ 1c9cf: 6fdd.*
+ 1c9d0: 0c7c.*
+ 1c9d1: 6fe5.*
+ 1c9d2: 38cc.*
+ 1c9d3: 0c7c.*
+ 1c9d4: 6fed.*
+ 1c9d5: 38cd.*
+ 1c9d6: 0c7c.*
+ 1c9d7: 6ff5.*
+ 1c9d8: 38ce.*
+ 1c9d9: 0c7c.*
+ 1c9da: 6ff8.*
+ 1c9db: 38cf.*
+ 1c9dc: 0c7c.*
+ 1c9dd: 6f86.*
+ 1c9de: 0c7c.*
+ 1c9df: 6f8e.*
+ 1c9e0: 0c7c.*
+ 1c9e1: 6f96.*
+ 1c9e2: 0c7c.*
+ 1c9e3: 6f9e.*
+ 1c9e4: 0c7c.*
+ 1c9e5: 6fa6.*
+ 1c9e6: 0c7c.*
+ 1c9e7: 6fae.*
+ 1c9e8: 0c7c.*
+ 1c9e9: 6fb6.*
+ 1c9ea: 0c7c.*
+ 1c9eb: 6fbe.*
+ 1c9ec: 0c7c.*
+ 1c9ed: 6fc6.*
+ 1c9ee: 0c7c.*
+ 1c9ef: 6fce.*
+ 1c9f0: 0c7c.*
+ 1c9f1: 6fd6.*
+ 1c9f2: 0c7c.*
+ 1c9f3: 6fde.*
+ 1c9f4: 0c7c.*
+ 1c9f5: 6fe6.*
+ 1c9f6: 38d0.*
+ 1c9f7: 0c7c.*
+ 1c9f8: 6fee.*
+ 1c9f9: 38d1.*
+ 1c9fa: 0c7c.*
+ 1c9fb: 6ff6.*
+ 1c9fc: 38d2.*
+ 1c9fd: 0c7c.*
+ 1c9fe: 6ff8.*
+ 1c9ff: 38d3.*
+ 1ca00: 0c7c.*
+ 1ca01: 6f87.*
+ 1ca02: 0c7c.*
+ 1ca03: 6f8f.*
+ 1ca04: 0c7c.*
+ 1ca05: 6f97.*
+ 1ca06: 0c7c.*
+ 1ca07: 6f9f.*
+ 1ca08: 0c7c.*
+ 1ca09: 6fa7.*
+ 1ca0a: 0c7c.*
+ 1ca0b: 6faf.*
+ 1ca0c: 0c7c.*
+ 1ca0d: 6fb7.*
+ 1ca0e: 0c7c.*
+ 1ca0f: 6fbf.*
+ 1ca10: 0c7c.*
+ 1ca11: 6fc7.*
+ 1ca12: 0c7c.*
+ 1ca13: 6fcf.*
+ 1ca14: 0c7c.*
+ 1ca15: 6fd7.*
+ 1ca16: 0c7c.*
+ 1ca17: 6fdf.*
+ 1ca18: 0c7c.*
+ 1ca19: 6fe7.*
+ 1ca1a: 38d4.*
+ 1ca1b: 0c7c.*
+ 1ca1c: 6fef.*
+ 1ca1d: 38d5.*
+ 1ca1e: 0c7c.*
+ 1ca1f: 6ff7.*
+ 1ca20: 38d6.*
+ 1ca21: 0c7c.*
+ 1ca22: 6ff8.*
+ 1ca23: 38d7.*
+ 1ca24: 0c7c.*
+ 1ca25: 6f80.*
+ 1ca26: 0c7d.*
+ 1ca27: 6f88.*
+ 1ca28: 0c7d.*
+ 1ca29: 6f90.*
+ 1ca2a: 0c7d.*
+ 1ca2b: 6f98.*
+ 1ca2c: 0c7d.*
+ 1ca2d: 6fa0.*
+ 1ca2e: 0c7d.*
+ 1ca2f: 6fa8.*
+ 1ca30: 0c7d.*
+ 1ca31: 6fb0.*
+ 1ca32: 0c7d.*
+ 1ca33: 6fb8.*
+ 1ca34: 0c7d.*
+ 1ca35: 6fc0.*
+ 1ca36: 0c7d.*
+ 1ca37: 6fc8.*
+ 1ca38: 0c7d.*
+ 1ca39: 6fd0.*
+ 1ca3a: 0c7d.*
+ 1ca3b: 6fd8.*
+ 1ca3c: 0c7d.*
+ 1ca3d: 6fe0.*
+ 1ca3e: 38d8.*
+ 1ca3f: 0c7d.*
+ 1ca40: 6fe8.*
+ 1ca41: 38d9.*
+ 1ca42: 0c7d.*
+ 1ca43: 6ff0.*
+ 1ca44: 38da.*
+ 1ca45: 0c7d.*
+ 1ca46: 6ff8.*
+ 1ca47: 38db.*
+ 1ca48: 0c7d.*
+ 1ca49: 6f81.*
+ 1ca4a: 0c7d.*
+ 1ca4b: 6f89.*
+ 1ca4c: 0c7d.*
+ 1ca4d: 6f91.*
+ 1ca4e: 0c7d.*
+ 1ca4f: 6f99.*
+ 1ca50: 0c7d.*
+ 1ca51: 6fa1.*
+ 1ca52: 0c7d.*
+ 1ca53: 6fa9.*
+ 1ca54: 0c7d.*
+ 1ca55: 6fb1.*
+ 1ca56: 0c7d.*
+ 1ca57: 6fb9.*
+ 1ca58: 0c7d.*
+ 1ca59: 6fc1.*
+ 1ca5a: 0c7d.*
+ 1ca5b: 6fc9.*
+ 1ca5c: 0c7d.*
+ 1ca5d: 6fd1.*
+ 1ca5e: 0c7d.*
+ 1ca5f: 6fd9.*
+ 1ca60: 0c7d.*
+ 1ca61: 6fe1.*
+ 1ca62: 38dc.*
+ 1ca63: 0c7d.*
+ 1ca64: 6fe9.*
+ 1ca65: 38dd.*
+ 1ca66: 0c7d.*
+ 1ca67: 6ff1.*
+ 1ca68: 38de.*
+ 1ca69: 0c7d.*
+ 1ca6a: 6ff8.*
+ 1ca6b: 38df.*
+ 1ca6c: 0c7d.*
+ 1ca6d: 6f82.*
+ 1ca6e: 0c7d.*
+ 1ca6f: 6f8a.*
+ 1ca70: 0c7d.*
+ 1ca71: 6f92.*
+ 1ca72: 0c7d.*
+ 1ca73: 6f9a.*
+ 1ca74: 0c7d.*
+ 1ca75: 6fa2.*
+ 1ca76: 0c7d.*
+ 1ca77: 6faa.*
+ 1ca78: 0c7d.*
+ 1ca79: 6fb2.*
+ 1ca7a: 0c7d.*
+ 1ca7b: 6fba.*
+ 1ca7c: 0c7d.*
+ 1ca7d: 6fc2.*
+ 1ca7e: 0c7d.*
+ 1ca7f: 6fca.*
+ 1ca80: 0c7d.*
+ 1ca81: 6fd2.*
+ 1ca82: 0c7d.*
+ 1ca83: 6fda.*
+ 1ca84: 0c7d.*
+ 1ca85: 6fe2.*
+ 1ca86: 38e0.*
+ 1ca87: 0c7d.*
+ 1ca88: 6fea.*
+ 1ca89: 38e1.*
+ 1ca8a: 0c7d.*
+ 1ca8b: 6ff2.*
+ 1ca8c: 38e2.*
+ 1ca8d: 0c7d.*
+ 1ca8e: 6ff8.*
+ 1ca8f: 38e3.*
+ 1ca90: 0c7d.*
+ 1ca91: 6f83.*
+ 1ca92: 0c7d.*
+ 1ca93: 6f8b.*
+ 1ca94: 0c7d.*
+ 1ca95: 6f93.*
+ 1ca96: 0c7d.*
+ 1ca97: 6f9b.*
+ 1ca98: 0c7d.*
+ 1ca99: 6fa3.*
+ 1ca9a: 0c7d.*
+ 1ca9b: 6fab.*
+ 1ca9c: 0c7d.*
+ 1ca9d: 6fb3.*
+ 1ca9e: 0c7d.*
+ 1ca9f: 6fbb.*
+ 1caa0: 0c7d.*
+ 1caa1: 6fc3.*
+ 1caa2: 0c7d.*
+ 1caa3: 6fcb.*
+ 1caa4: 0c7d.*
+ 1caa5: 6fd3.*
+ 1caa6: 0c7d.*
+ 1caa7: 6fdb.*
+ 1caa8: 0c7d.*
+ 1caa9: 6fe3.*
+ 1caaa: 38e4.*
+ 1caab: 0c7d.*
+ 1caac: 6feb.*
+ 1caad: 38e5.*
+ 1caae: 0c7d.*
+ 1caaf: 6ff3.*
+ 1cab0: 38e6.*
+ 1cab1: 0c7d.*
+ 1cab2: 6ff8.*
+ 1cab3: 38e7.*
+ 1cab4: 0c7d.*
+ 1cab5: 6f84.*
+ 1cab6: 0c7d.*
+ 1cab7: 6f8c.*
+ 1cab8: 0c7d.*
+ 1cab9: 6f94.*
+ 1caba: 0c7d.*
+ 1cabb: 6f9c.*
+ 1cabc: 0c7d.*
+ 1cabd: 6fa4.*
+ 1cabe: 0c7d.*
+ 1cabf: 6fac.*
+ 1cac0: 0c7d.*
+ 1cac1: 6fb4.*
+ 1cac2: 0c7d.*
+ 1cac3: 6fbc.*
+ 1cac4: 0c7d.*
+ 1cac5: 6fc4.*
+ 1cac6: 0c7d.*
+ 1cac7: 6fcc.*
+ 1cac8: 0c7d.*
+ 1cac9: 6fd4.*
+ 1caca: 0c7d.*
+ 1cacb: 6fdc.*
+ 1cacc: 0c7d.*
+ 1cacd: 6fe4.*
+ 1cace: 38e8.*
+ 1cacf: 0c7d.*
+ 1cad0: 6fec.*
+ 1cad1: 38e9.*
+ 1cad2: 0c7d.*
+ 1cad3: 6ff4.*
+ 1cad4: 38ea.*
+ 1cad5: 0c7d.*
+ 1cad6: 6ff8.*
+ 1cad7: 38eb.*
+ 1cad8: 0c7d.*
+ 1cad9: 6f85.*
+ 1cada: 0c7d.*
+ 1cadb: 6f8d.*
+ 1cadc: 0c7d.*
+ 1cadd: 6f95.*
+ 1cade: 0c7d.*
+ 1cadf: 6f9d.*
+ 1cae0: 0c7d.*
+ 1cae1: 6fa5.*
+ 1cae2: 0c7d.*
+ 1cae3: 6fad.*
+ 1cae4: 0c7d.*
+ 1cae5: 6fb5.*
+ 1cae6: 0c7d.*
+ 1cae7: 6fbd.*
+ 1cae8: 0c7d.*
+ 1cae9: 6fc5.*
+ 1caea: 0c7d.*
+ 1caeb: 6fcd.*
+ 1caec: 0c7d.*
+ 1caed: 6fd5.*
+ 1caee: 0c7d.*
+ 1caef: 6fdd.*
+ 1caf0: 0c7d.*
+ 1caf1: 6fe5.*
+ 1caf2: 38ec.*
+ 1caf3: 0c7d.*
+ 1caf4: 6fed.*
+ 1caf5: 38ed.*
+ 1caf6: 0c7d.*
+ 1caf7: 6ff5.*
+ 1caf8: 38ee.*
+ 1caf9: 0c7d.*
+ 1cafa: 6ff8.*
+ 1cafb: 38ef.*
+ 1cafc: 0c7d.*
+ 1cafd: 6f86.*
+ 1cafe: 0c7d.*
+ 1caff: 6f8e.*
+ 1cb00: 0c7d.*
+ 1cb01: 6f96.*
+ 1cb02: 0c7d.*
+ 1cb03: 6f9e.*
+ 1cb04: 0c7d.*
+ 1cb05: 6fa6.*
+ 1cb06: 0c7d.*
+ 1cb07: 6fae.*
+ 1cb08: 0c7d.*
+ 1cb09: 6fb6.*
+ 1cb0a: 0c7d.*
+ 1cb0b: 6fbe.*
+ 1cb0c: 0c7d.*
+ 1cb0d: 6fc6.*
+ 1cb0e: 0c7d.*
+ 1cb0f: 6fce.*
+ 1cb10: 0c7d.*
+ 1cb11: 6fd6.*
+ 1cb12: 0c7d.*
+ 1cb13: 6fde.*
+ 1cb14: 0c7d.*
+ 1cb15: 6fe6.*
+ 1cb16: 38f0.*
+ 1cb17: 0c7d.*
+ 1cb18: 6fee.*
+ 1cb19: 38f1.*
+ 1cb1a: 0c7d.*
+ 1cb1b: 6ff6.*
+ 1cb1c: 38f2.*
+ 1cb1d: 0c7d.*
+ 1cb1e: 6ff8.*
+ 1cb1f: 38f3.*
+ 1cb20: 0c7d.*
+ 1cb21: 6f87.*
+ 1cb22: 0c7d.*
+ 1cb23: 6f8f.*
+ 1cb24: 0c7d.*
+ 1cb25: 6f97.*
+ 1cb26: 0c7d.*
+ 1cb27: 6f9f.*
+ 1cb28: 0c7d.*
+ 1cb29: 6fa7.*
+ 1cb2a: 0c7d.*
+ 1cb2b: 6faf.*
+ 1cb2c: 0c7d.*
+ 1cb2d: 6fb7.*
+ 1cb2e: 0c7d.*
+ 1cb2f: 6fbf.*
+ 1cb30: 0c7d.*
+ 1cb31: 6fc7.*
+ 1cb32: 0c7d.*
+ 1cb33: 6fcf.*
+ 1cb34: 0c7d.*
+ 1cb35: 6fd7.*
+ 1cb36: 0c7d.*
+ 1cb37: 6fdf.*
+ 1cb38: 0c7d.*
+ 1cb39: 6fe7.*
+ 1cb3a: 38f4.*
+ 1cb3b: 0c7d.*
+ 1cb3c: 6fef.*
+ 1cb3d: 38f5.*
+ 1cb3e: 0c7d.*
+ 1cb3f: 6ff7.*
+ 1cb40: 38f6.*
+ 1cb41: 0c7d.*
+ 1cb42: 6ff8.*
+ 1cb43: 38f7.*
+ 1cb44: 0c7d.*
+ 1cb45: 6f80.*
+ 1cb46: 0c7e.*
+ 1cb47: 6f88.*
+ 1cb48: 0c7e.*
+ 1cb49: 6f90.*
+ 1cb4a: 0c7e.*
+ 1cb4b: 6f98.*
+ 1cb4c: 0c7e.*
+ 1cb4d: 6fa0.*
+ 1cb4e: 0c7e.*
+ 1cb4f: 6fa8.*
+ 1cb50: 0c7e.*
+ 1cb51: 6fb0.*
+ 1cb52: 0c7e.*
+ 1cb53: 6fb8.*
+ 1cb54: 0c7e.*
+ 1cb55: 6fc0.*
+ 1cb56: 0c7e.*
+ 1cb57: 6fc8.*
+ 1cb58: 0c7e.*
+ 1cb59: 6fd0.*
+ 1cb5a: 0c7e.*
+ 1cb5b: 6fd8.*
+ 1cb5c: 0c7e.*
+ 1cb5d: 6fe0.*
+ 1cb5e: 38f8.*
+ 1cb5f: 0c7e.*
+ 1cb60: 6fe8.*
+ 1cb61: 38f9.*
+ 1cb62: 0c7e.*
+ 1cb63: 6ff0.*
+ 1cb64: 38fa.*
+ 1cb65: 0c7e.*
+ 1cb66: 6ff8.*
+ 1cb67: 38fb.*
+ 1cb68: 0c7e.*
+ 1cb69: 6f81.*
+ 1cb6a: 0c7e.*
+ 1cb6b: 6f89.*
+ 1cb6c: 0c7e.*
+ 1cb6d: 6f91.*
+ 1cb6e: 0c7e.*
+ 1cb6f: 6f99.*
+ 1cb70: 0c7e.*
+ 1cb71: 6fa1.*
+ 1cb72: 0c7e.*
+ 1cb73: 6fa9.*
+ 1cb74: 0c7e.*
+ 1cb75: 6fb1.*
+ 1cb76: 0c7e.*
+ 1cb77: 6fb9.*
+ 1cb78: 0c7e.*
+ 1cb79: 6fc1.*
+ 1cb7a: 0c7e.*
+ 1cb7b: 6fc9.*
+ 1cb7c: 0c7e.*
+ 1cb7d: 6fd1.*
+ 1cb7e: 0c7e.*
+ 1cb7f: 6fd9.*
+ 1cb80: 0c7e.*
+ 1cb81: 6fe1.*
+ 1cb82: 38fc.*
+ 1cb83: 0c7e.*
+ 1cb84: 6fe9.*
+ 1cb85: 38fd.*
+ 1cb86: 0c7e.*
+ 1cb87: 6ff1.*
+ 1cb88: 38fe.*
+ 1cb89: 0c7e.*
+ 1cb8a: 6ff8.*
+ 1cb8b: 38ff.*
+ 1cb8c: 0c7e.*
+ 1cb8d: 6f82.*
+ 1cb8e: 0c7e.*
+ 1cb8f: 6f8a.*
+ 1cb90: 0c7e.*
+ 1cb91: 6f92.*
+ 1cb92: 0c7e.*
+ 1cb93: 6f9a.*
+ 1cb94: 0c7e.*
+ 1cb95: 6fa2.*
+ 1cb96: 0c7e.*
+ 1cb97: 6faa.*
+ 1cb98: 0c7e.*
+ 1cb99: 6fb2.*
+ 1cb9a: 0c7e.*
+ 1cb9b: 6fba.*
+ 1cb9c: 0c7e.*
+ 1cb9d: 6fc2.*
+ 1cb9e: 0c7e.*
+ 1cb9f: 6fca.*
+ 1cba0: 0c7e.*
+ 1cba1: 6fd2.*
+ 1cba2: 0c7e.*
+ 1cba3: 6fda.*
+ 1cba4: 0c7e.*
+ 1cba5: 6fe2.*
+ 1cba6: 3900.*
+ 1cba7: 0c7e.*
+ 1cba8: 6fea.*
+ 1cba9: 3901.*
+ 1cbaa: 0c7e.*
+ 1cbab: 6ff2.*
+ 1cbac: 3902.*
+ 1cbad: 0c7e.*
+ 1cbae: 6ff8.*
+ 1cbaf: 3903.*
+ 1cbb0: 0c7e.*
+ 1cbb1: 6f83.*
+ 1cbb2: 0c7e.*
+ 1cbb3: 6f8b.*
+ 1cbb4: 0c7e.*
+ 1cbb5: 6f93.*
+ 1cbb6: 0c7e.*
+ 1cbb7: 6f9b.*
+ 1cbb8: 0c7e.*
+ 1cbb9: 6fa3.*
+ 1cbba: 0c7e.*
+ 1cbbb: 6fab.*
+ 1cbbc: 0c7e.*
+ 1cbbd: 6fb3.*
+ 1cbbe: 0c7e.*
+ 1cbbf: 6fbb.*
+ 1cbc0: 0c7e.*
+ 1cbc1: 6fc3.*
+ 1cbc2: 0c7e.*
+ 1cbc3: 6fcb.*
+ 1cbc4: 0c7e.*
+ 1cbc5: 6fd3.*
+ 1cbc6: 0c7e.*
+ 1cbc7: 6fdb.*
+ 1cbc8: 0c7e.*
+ 1cbc9: 6fe3.*
+ 1cbca: 3904.*
+ 1cbcb: 0c7e.*
+ 1cbcc: 6feb.*
+ 1cbcd: 3905.*
+ 1cbce: 0c7e.*
+ 1cbcf: 6ff3.*
+ 1cbd0: 3906.*
+ 1cbd1: 0c7e.*
+ 1cbd2: 6ff8.*
+ 1cbd3: 3907.*
+ 1cbd4: 0c7e.*
+ 1cbd5: 6f84.*
+ 1cbd6: 0c7e.*
+ 1cbd7: 6f8c.*
+ 1cbd8: 0c7e.*
+ 1cbd9: 6f94.*
+ 1cbda: 0c7e.*
+ 1cbdb: 6f9c.*
+ 1cbdc: 0c7e.*
+ 1cbdd: 6fa4.*
+ 1cbde: 0c7e.*
+ 1cbdf: 6fac.*
+ 1cbe0: 0c7e.*
+ 1cbe1: 6fb4.*
+ 1cbe2: 0c7e.*
+ 1cbe3: 6fbc.*
+ 1cbe4: 0c7e.*
+ 1cbe5: 6fc4.*
+ 1cbe6: 0c7e.*
+ 1cbe7: 6fcc.*
+ 1cbe8: 0c7e.*
+ 1cbe9: 6fd4.*
+ 1cbea: 0c7e.*
+ 1cbeb: 6fdc.*
+ 1cbec: 0c7e.*
+ 1cbed: 6fe4.*
+ 1cbee: 3908.*
+ 1cbef: 0c7e.*
+ 1cbf0: 6fec.*
+ 1cbf1: 3909.*
+ 1cbf2: 0c7e.*
+ 1cbf3: 6ff4.*
+ 1cbf4: 390a.*
+ 1cbf5: 0c7e.*
+ 1cbf6: 6ff8.*
+ 1cbf7: 390b.*
+ 1cbf8: 0c7e.*
+ 1cbf9: 6f85.*
+ 1cbfa: 0c7e.*
+ 1cbfb: 6f8d.*
+ 1cbfc: 0c7e.*
+ 1cbfd: 6f95.*
+ 1cbfe: 0c7e.*
+ 1cbff: 6f9d.*
+ 1cc00: 0c7e.*
+ 1cc01: 6fa5.*
+ 1cc02: 0c7e.*
+ 1cc03: 6fad.*
+ 1cc04: 0c7e.*
+ 1cc05: 6fb5.*
+ 1cc06: 0c7e.*
+ 1cc07: 6fbd.*
+ 1cc08: 0c7e.*
+ 1cc09: 6fc5.*
+ 1cc0a: 0c7e.*
+ 1cc0b: 6fcd.*
+ 1cc0c: 0c7e.*
+ 1cc0d: 6fd5.*
+ 1cc0e: 0c7e.*
+ 1cc0f: 6fdd.*
+ 1cc10: 0c7e.*
+ 1cc11: 6fe5.*
+ 1cc12: 390c.*
+ 1cc13: 0c7e.*
+ 1cc14: 6fed.*
+ 1cc15: 390d.*
+ 1cc16: 0c7e.*
+ 1cc17: 6ff5.*
+ 1cc18: 390e.*
+ 1cc19: 0c7e.*
+ 1cc1a: 6ff8.*
+ 1cc1b: 390f.*
+ 1cc1c: 0c7e.*
+ 1cc1d: 6f86.*
+ 1cc1e: 0c7e.*
+ 1cc1f: 6f8e.*
+ 1cc20: 0c7e.*
+ 1cc21: 6f96.*
+ 1cc22: 0c7e.*
+ 1cc23: 6f9e.*
+ 1cc24: 0c7e.*
+ 1cc25: 6fa6.*
+ 1cc26: 0c7e.*
+ 1cc27: 6fae.*
+ 1cc28: 0c7e.*
+ 1cc29: 6fb6.*
+ 1cc2a: 0c7e.*
+ 1cc2b: 6fbe.*
+ 1cc2c: 0c7e.*
+ 1cc2d: 6fc6.*
+ 1cc2e: 0c7e.*
+ 1cc2f: 6fce.*
+ 1cc30: 0c7e.*
+ 1cc31: 6fd6.*
+ 1cc32: 0c7e.*
+ 1cc33: 6fde.*
+ 1cc34: 0c7e.*
+ 1cc35: 6fe6.*
+ 1cc36: 3910.*
+ 1cc37: 0c7e.*
+ 1cc38: 6fee.*
+ 1cc39: 3911.*
+ 1cc3a: 0c7e.*
+ 1cc3b: 6ff6.*
+ 1cc3c: 3912.*
+ 1cc3d: 0c7e.*
+ 1cc3e: 6ff8.*
+ 1cc3f: 3913.*
+ 1cc40: 0c7e.*
+ 1cc41: 6f87.*
+ 1cc42: 0c7e.*
+ 1cc43: 6f8f.*
+ 1cc44: 0c7e.*
+ 1cc45: 6f97.*
+ 1cc46: 0c7e.*
+ 1cc47: 6f9f.*
+ 1cc48: 0c7e.*
+ 1cc49: 6fa7.*
+ 1cc4a: 0c7e.*
+ 1cc4b: 6faf.*
+ 1cc4c: 0c7e.*
+ 1cc4d: 6fb7.*
+ 1cc4e: 0c7e.*
+ 1cc4f: 6fbf.*
+ 1cc50: 0c7e.*
+ 1cc51: 6fc7.*
+ 1cc52: 0c7e.*
+ 1cc53: 6fcf.*
+ 1cc54: 0c7e.*
+ 1cc55: 6fd7.*
+ 1cc56: 0c7e.*
+ 1cc57: 6fdf.*
+ 1cc58: 0c7e.*
+ 1cc59: 6fe7.*
+ 1cc5a: 3914.*
+ 1cc5b: 0c7e.*
+ 1cc5c: 6fef.*
+ 1cc5d: 3915.*
+ 1cc5e: 0c7e.*
+ 1cc5f: 6ff7.*
+ 1cc60: 3916.*
+ 1cc61: 0c7e.*
+ 1cc62: 6ff8.*
+ 1cc63: 3917.*
+ 1cc64: 0c7e.*
+ 1cc65: 6f80.*
+ 1cc66: 0c7f.*
+ 1cc67: 6f88.*
+ 1cc68: 0c7f.*
+ 1cc69: 6f90.*
+ 1cc6a: 0c7f.*
+ 1cc6b: 6f98.*
+ 1cc6c: 0c7f.*
+ 1cc6d: 6fa0.*
+ 1cc6e: 0c7f.*
+ 1cc6f: 6fa8.*
+ 1cc70: 0c7f.*
+ 1cc71: 6fb0.*
+ 1cc72: 0c7f.*
+ 1cc73: 6fb8.*
+ 1cc74: 0c7f.*
+ 1cc75: 6fc0.*
+ 1cc76: 0c7f.*
+ 1cc77: 6fc8.*
+ 1cc78: 0c7f.*
+ 1cc79: 6fd0.*
+ 1cc7a: 0c7f.*
+ 1cc7b: 6fd8.*
+ 1cc7c: 0c7f.*
+ 1cc7d: 6fe0.*
+ 1cc7e: 3918.*
+ 1cc7f: 0c7f.*
+ 1cc80: 6fe8.*
+ 1cc81: 3919.*
+ 1cc82: 0c7f.*
+ 1cc83: 6ff0.*
+ 1cc84: 391a.*
+ 1cc85: 0c7f.*
+ 1cc86: 6ff8.*
+ 1cc87: 391b.*
+ 1cc88: 0c7f.*
+ 1cc89: 6f81.*
+ 1cc8a: 0c7f.*
+ 1cc8b: 6f89.*
+ 1cc8c: 0c7f.*
+ 1cc8d: 6f91.*
+ 1cc8e: 0c7f.*
+ 1cc8f: 6f99.*
+ 1cc90: 0c7f.*
+ 1cc91: 6fa1.*
+ 1cc92: 0c7f.*
+ 1cc93: 6fa9.*
+ 1cc94: 0c7f.*
+ 1cc95: 6fb1.*
+ 1cc96: 0c7f.*
+ 1cc97: 6fb9.*
+ 1cc98: 0c7f.*
+ 1cc99: 6fc1.*
+ 1cc9a: 0c7f.*
+ 1cc9b: 6fc9.*
+ 1cc9c: 0c7f.*
+ 1cc9d: 6fd1.*
+ 1cc9e: 0c7f.*
+ 1cc9f: 6fd9.*
+ 1cca0: 0c7f.*
+ 1cca1: 6fe1.*
+ 1cca2: 391c.*
+ 1cca3: 0c7f.*
+ 1cca4: 6fe9.*
+ 1cca5: 391d.*
+ 1cca6: 0c7f.*
+ 1cca7: 6ff1.*
+ 1cca8: 391e.*
+ 1cca9: 0c7f.*
+ 1ccaa: 6ff8.*
+ 1ccab: 391f.*
+ 1ccac: 0c7f.*
+ 1ccad: 6f82.*
+ 1ccae: 0c7f.*
+ 1ccaf: 6f8a.*
+ 1ccb0: 0c7f.*
+ 1ccb1: 6f92.*
+ 1ccb2: 0c7f.*
+ 1ccb3: 6f9a.*
+ 1ccb4: 0c7f.*
+ 1ccb5: 6fa2.*
+ 1ccb6: 0c7f.*
+ 1ccb7: 6faa.*
+ 1ccb8: 0c7f.*
+ 1ccb9: 6fb2.*
+ 1ccba: 0c7f.*
+ 1ccbb: 6fba.*
+ 1ccbc: 0c7f.*
+ 1ccbd: 6fc2.*
+ 1ccbe: 0c7f.*
+ 1ccbf: 6fca.*
+ 1ccc0: 0c7f.*
+ 1ccc1: 6fd2.*
+ 1ccc2: 0c7f.*
+ 1ccc3: 6fda.*
+ 1ccc4: 0c7f.*
+ 1ccc5: 6fe2.*
+ 1ccc6: 3920.*
+ 1ccc7: 0c7f.*
+ 1ccc8: 6fea.*
+ 1ccc9: 3921.*
+ 1ccca: 0c7f.*
+ 1cccb: 6ff2.*
+ 1cccc: 3922.*
+ 1cccd: 0c7f.*
+ 1ccce: 6ff8.*
+ 1cccf: 3923.*
+ 1ccd0: 0c7f.*
+ 1ccd1: 6f83.*
+ 1ccd2: 0c7f.*
+ 1ccd3: 6f8b.*
+ 1ccd4: 0c7f.*
+ 1ccd5: 6f93.*
+ 1ccd6: 0c7f.*
+ 1ccd7: 6f9b.*
+ 1ccd8: 0c7f.*
+ 1ccd9: 6fa3.*
+ 1ccda: 0c7f.*
+ 1ccdb: 6fab.*
+ 1ccdc: 0c7f.*
+ 1ccdd: 6fb3.*
+ 1ccde: 0c7f.*
+ 1ccdf: 6fbb.*
+ 1cce0: 0c7f.*
+ 1cce1: 6fc3.*
+ 1cce2: 0c7f.*
+ 1cce3: 6fcb.*
+ 1cce4: 0c7f.*
+ 1cce5: 6fd3.*
+ 1cce6: 0c7f.*
+ 1cce7: 6fdb.*
+ 1cce8: 0c7f.*
+ 1cce9: 6fe3.*
+ 1ccea: 3924.*
+ 1cceb: 0c7f.*
+ 1ccec: 6feb.*
+ 1cced: 3925.*
+ 1ccee: 0c7f.*
+ 1ccef: 6ff3.*
+ 1ccf0: 3926.*
+ 1ccf1: 0c7f.*
+ 1ccf2: 6ff8.*
+ 1ccf3: 3927.*
+ 1ccf4: 0c7f.*
+ 1ccf5: 6f84.*
+ 1ccf6: 0c7f.*
+ 1ccf7: 6f8c.*
+ 1ccf8: 0c7f.*
+ 1ccf9: 6f94.*
+ 1ccfa: 0c7f.*
+ 1ccfb: 6f9c.*
+ 1ccfc: 0c7f.*
+ 1ccfd: 6fa4.*
+ 1ccfe: 0c7f.*
+ 1ccff: 6fac.*
+ 1cd00: 0c7f.*
+ 1cd01: 6fb4.*
+ 1cd02: 0c7f.*
+ 1cd03: 6fbc.*
+ 1cd04: 0c7f.*
+ 1cd05: 6fc4.*
+ 1cd06: 0c7f.*
+ 1cd07: 6fcc.*
+ 1cd08: 0c7f.*
+ 1cd09: 6fd4.*
+ 1cd0a: 0c7f.*
+ 1cd0b: 6fdc.*
+ 1cd0c: 0c7f.*
+ 1cd0d: 6fe4.*
+ 1cd0e: 3928.*
+ 1cd0f: 0c7f.*
+ 1cd10: 6fec.*
+ 1cd11: 3929.*
+ 1cd12: 0c7f.*
+ 1cd13: 6ff4.*
+ 1cd14: 392a.*
+ 1cd15: 0c7f.*
+ 1cd16: 6ff8.*
+ 1cd17: 392b.*
+ 1cd18: 0c7f.*
+ 1cd19: 6f85.*
+ 1cd1a: 0c7f.*
+ 1cd1b: 6f8d.*
+ 1cd1c: 0c7f.*
+ 1cd1d: 6f95.*
+ 1cd1e: 0c7f.*
+ 1cd1f: 6f9d.*
+ 1cd20: 0c7f.*
+ 1cd21: 6fa5.*
+ 1cd22: 0c7f.*
+ 1cd23: 6fad.*
+ 1cd24: 0c7f.*
+ 1cd25: 6fb5.*
+ 1cd26: 0c7f.*
+ 1cd27: 6fbd.*
+ 1cd28: 0c7f.*
+ 1cd29: 6fc5.*
+ 1cd2a: 0c7f.*
+ 1cd2b: 6fcd.*
+ 1cd2c: 0c7f.*
+ 1cd2d: 6fd5.*
+ 1cd2e: 0c7f.*
+ 1cd2f: 6fdd.*
+ 1cd30: 0c7f.*
+ 1cd31: 6fe5.*
+ 1cd32: 392c.*
+ 1cd33: 0c7f.*
+ 1cd34: 6fed.*
+ 1cd35: 392d.*
+ 1cd36: 0c7f.*
+ 1cd37: 6ff5.*
+ 1cd38: 392e.*
+ 1cd39: 0c7f.*
+ 1cd3a: 6ff8.*
+ 1cd3b: 392f.*
+ 1cd3c: 0c7f.*
+ 1cd3d: 6f86.*
+ 1cd3e: 0c7f.*
+ 1cd3f: 6f8e.*
+ 1cd40: 0c7f.*
+ 1cd41: 6f96.*
+ 1cd42: 0c7f.*
+ 1cd43: 6f9e.*
+ 1cd44: 0c7f.*
+ 1cd45: 6fa6.*
+ 1cd46: 0c7f.*
+ 1cd47: 6fae.*
+ 1cd48: 0c7f.*
+ 1cd49: 6fb6.*
+ 1cd4a: 0c7f.*
+ 1cd4b: 6fbe.*
+ 1cd4c: 0c7f.*
+ 1cd4d: 6fc6.*
+ 1cd4e: 0c7f.*
+ 1cd4f: 6fce.*
+ 1cd50: 0c7f.*
+ 1cd51: 6fd6.*
+ 1cd52: 0c7f.*
+ 1cd53: 6fde.*
+ 1cd54: 0c7f.*
+ 1cd55: 6fe6.*
+ 1cd56: 3930.*
+ 1cd57: 0c7f.*
+ 1cd58: 6fee.*
+ 1cd59: 3931.*
+ 1cd5a: 0c7f.*
+ 1cd5b: 6ff6.*
+ 1cd5c: 3932.*
+ 1cd5d: 0c7f.*
+ 1cd5e: 6ff8.*
+ 1cd5f: 3933.*
+ 1cd60: 0c7f.*
+ 1cd61: 6f87.*
+ 1cd62: 0c7f.*
+ 1cd63: 6f8f.*
+ 1cd64: 0c7f.*
+ 1cd65: 6f97.*
+ 1cd66: 0c7f.*
+ 1cd67: 6f9f.*
+ 1cd68: 0c7f.*
+ 1cd69: 6fa7.*
+ 1cd6a: 0c7f.*
+ 1cd6b: 6faf.*
+ 1cd6c: 0c7f.*
+ 1cd6d: 6fb7.*
+ 1cd6e: 0c7f.*
+ 1cd6f: 6fbf.*
+ 1cd70: 0c7f.*
+ 1cd71: 6fc7.*
+ 1cd72: 0c7f.*
+ 1cd73: 6fcf.*
+ 1cd74: 0c7f.*
+ 1cd75: 6fd7.*
+ 1cd76: 0c7f.*
+ 1cd77: 6fdf.*
+ 1cd78: 0c7f.*
+ 1cd79: 6fe7.*
+ 1cd7a: 3934.*
+ 1cd7b: 0c7f.*
+ 1cd7c: 6fef.*
+ 1cd7d: 3935.*
+ 1cd7e: 0c7f.*
+ 1cd7f: 6ff7.*
+ 1cd80: 3936.*
+ 1cd81: 0c7f.*
+ 1cd82: 6ff8.*
+ 1cd83: 3937.*
+ 1cd84: 0c7f.*
+ 1cd85: 8280.*
+ 1cd86: 8288.*
+ 1cd87: 8290.*
+ 1cd88: 8298.*
+ 1cd89: 82a0.*
+ 1cd8a: 82a8.*
+ 1cd8b: 82b0.*
+ 1cd8c: 82b8.*
+ 1cd8d: 82c0.*
+ 1cd8e: 82c8.*
+ 1cd8f: 82d0.*
+ 1cd90: 82d8.*
+ 1cd91: 82e0.*
+ 1cd92: 3938.*
+ 1cd93: 82e8.*
+ 1cd94: 3939.*
+ 1cd95: 82f0.*
+ 1cd96: 393a.*
+ 1cd97: 82f8.*
+ 1cd98: 393b.*
+ 1cd99: 8281.*
+ 1cd9a: 8289.*
+ 1cd9b: 8291.*
+ 1cd9c: 8299.*
+ 1cd9d: 82a1.*
+ 1cd9e: 82a9.*
+ 1cd9f: 82b1.*
+ 1cda0: 82b9.*
+ 1cda1: 82c1.*
+ 1cda2: 82c9.*
+ 1cda3: 82d1.*
+ 1cda4: 82d9.*
+ 1cda5: 82e1.*
+ 1cda6: 393c.*
+ 1cda7: 82e9.*
+ 1cda8: 393d.*
+ 1cda9: 82f1.*
+ 1cdaa: 393e.*
+ 1cdab: 82f8.*
+ 1cdac: 393f.*
+ 1cdad: 8282.*
+ 1cdae: 828a.*
+ 1cdaf: 8292.*
+ 1cdb0: 829a.*
+ 1cdb1: 82a2.*
+ 1cdb2: 82aa.*
+ 1cdb3: 82b2.*
+ 1cdb4: 82ba.*
+ 1cdb5: 82c2.*
+ 1cdb6: 82ca.*
+ 1cdb7: 82d2.*
+ 1cdb8: 82da.*
+ 1cdb9: 82e2.*
+ 1cdba: 3940.*
+ 1cdbb: 82ea.*
+ 1cdbc: 3941.*
+ 1cdbd: 82f2.*
+ 1cdbe: 3942.*
+ 1cdbf: 82f8.*
+ 1cdc0: 3943.*
+ 1cdc1: 8283.*
+ 1cdc2: 828b.*
+ 1cdc3: 8293.*
+ 1cdc4: 829b.*
+ 1cdc5: 82a3.*
+ 1cdc6: 82ab.*
+ 1cdc7: 82b3.*
+ 1cdc8: 82bb.*
+ 1cdc9: 82c3.*
+ 1cdca: 82cb.*
+ 1cdcb: 82d3.*
+ 1cdcc: 82db.*
+ 1cdcd: 82e3.*
+ 1cdce: 3944.*
+ 1cdcf: 82eb.*
+ 1cdd0: 3945.*
+ 1cdd1: 82f3.*
+ 1cdd2: 3946.*
+ 1cdd3: 82f8.*
+ 1cdd4: 3947.*
+ 1cdd5: 8284.*
+ 1cdd6: 828c.*
+ 1cdd7: 8294.*
+ 1cdd8: 829c.*
+ 1cdd9: 82a4.*
+ 1cdda: 82ac.*
+ 1cddb: 82b4.*
+ 1cddc: 82bc.*
+ 1cddd: 82c4.*
+ 1cdde: 82cc.*
+ 1cddf: 82d4.*
+ 1cde0: 82dc.*
+ 1cde1: 82e4.*
+ 1cde2: 3948.*
+ 1cde3: 82ec.*
+ 1cde4: 3949.*
+ 1cde5: 82f4.*
+ 1cde6: 394a.*
+ 1cde7: 82f8.*
+ 1cde8: 394b.*
+ 1cde9: 8285.*
+ 1cdea: 828d.*
+ 1cdeb: 8295.*
+ 1cdec: 829d.*
+ 1cded: 82a5.*
+ 1cdee: 82ad.*
+ 1cdef: 82b5.*
+ 1cdf0: 82bd.*
+ 1cdf1: 82c5.*
+ 1cdf2: 82cd.*
+ 1cdf3: 82d5.*
+ 1cdf4: 82dd.*
+ 1cdf5: 82e5.*
+ 1cdf6: 394c.*
+ 1cdf7: 82ed.*
+ 1cdf8: 394d.*
+ 1cdf9: 82f5.*
+ 1cdfa: 394e.*
+ 1cdfb: 82f8.*
+ 1cdfc: 394f.*
+ 1cdfd: 8286.*
+ 1cdfe: 828e.*
+ 1cdff: 8296.*
+ 1ce00: 829e.*
+ 1ce01: 82a6.*
+ 1ce02: 82ae.*
+ 1ce03: 82b6.*
+ 1ce04: 82be.*
+ 1ce05: 82c6.*
+ 1ce06: 82ce.*
+ 1ce07: 82d6.*
+ 1ce08: 82de.*
+ 1ce09: 82e6.*
+ 1ce0a: 3950.*
+ 1ce0b: 82ee.*
+ 1ce0c: 3951.*
+ 1ce0d: 82f6.*
+ 1ce0e: 3952.*
+ 1ce0f: 82f8.*
+ 1ce10: 3953.*
+ 1ce11: 8287.*
+ 1ce12: 828f.*
+ 1ce13: 8297.*
+ 1ce14: 829f.*
+ 1ce15: 82a7.*
+ 1ce16: 82af.*
+ 1ce17: 82b7.*
+ 1ce18: 82bf.*
+ 1ce19: 82c7.*
+ 1ce1a: 82cf.*
+ 1ce1b: 82d7.*
+ 1ce1c: 82df.*
+ 1ce1d: 82e7.*
+ 1ce1e: 3954.*
+ 1ce1f: 82ef.*
+ 1ce20: 3955.*
+ 1ce21: 82f7.*
+ 1ce22: 3956.*
+ 1ce23: 82f8.*
+ 1ce24: 3957.*
+ 1ce25: 6f80.*
+ 1ce26: 0c61.*
+ 1ce27: 6f88.*
+ 1ce28: 0c61.*
+ 1ce29: 6f90.*
+ 1ce2a: 0c61.*
+ 1ce2b: 6f98.*
+ 1ce2c: 0c61.*
+ 1ce2d: 6fa0.*
+ 1ce2e: 0c61.*
+ 1ce2f: 6fa8.*
+ 1ce30: 0c61.*
+ 1ce31: 6fb0.*
+ 1ce32: 0c61.*
+ 1ce33: 6fb8.*
+ 1ce34: 0c61.*
+ 1ce35: 6fc0.*
+ 1ce36: 0c61.*
+ 1ce37: 6fc8.*
+ 1ce38: 0c61.*
+ 1ce39: 6fd0.*
+ 1ce3a: 0c61.*
+ 1ce3b: 6fd8.*
+ 1ce3c: 0c61.*
+ 1ce3d: 6fe0.*
+ 1ce3e: 3958.*
+ 1ce3f: 0c61.*
+ 1ce40: 6fe8.*
+ 1ce41: 3959.*
+ 1ce42: 0c61.*
+ 1ce43: 6ff0.*
+ 1ce44: 395a.*
+ 1ce45: 0c61.*
+ 1ce46: 6ff8.*
+ 1ce47: 395b.*
+ 1ce48: 0c61.*
+ 1ce49: 6f81.*
+ 1ce4a: 0c61.*
+ 1ce4b: 6f89.*
+ 1ce4c: 0c61.*
+ 1ce4d: 6f91.*
+ 1ce4e: 0c61.*
+ 1ce4f: 6f99.*
+ 1ce50: 0c61.*
+ 1ce51: 6fa1.*
+ 1ce52: 0c61.*
+ 1ce53: 6fa9.*
+ 1ce54: 0c61.*
+ 1ce55: 6fb1.*
+ 1ce56: 0c61.*
+ 1ce57: 6fb9.*
+ 1ce58: 0c61.*
+ 1ce59: 6fc1.*
+ 1ce5a: 0c61.*
+ 1ce5b: 6fc9.*
+ 1ce5c: 0c61.*
+ 1ce5d: 6fd1.*
+ 1ce5e: 0c61.*
+ 1ce5f: 6fd9.*
+ 1ce60: 0c61.*
+ 1ce61: 6fe1.*
+ 1ce62: 395c.*
+ 1ce63: 0c61.*
+ 1ce64: 6fe9.*
+ 1ce65: 395d.*
+ 1ce66: 0c61.*
+ 1ce67: 6ff1.*
+ 1ce68: 395e.*
+ 1ce69: 0c61.*
+ 1ce6a: 6ff8.*
+ 1ce6b: 395f.*
+ 1ce6c: 0c61.*
+ 1ce6d: 9a01.*
+ 1ce6e: 9a41.*
+ 1ce6f: 9a81.*
+ 1ce70: 6f9a.*
+ 1ce71: 0c61.*
+ 1ce72: 6fa2.*
+ 1ce73: 0c61.*
+ 1ce74: 6faa.*
+ 1ce75: 0c61.*
+ 1ce76: 6fb2.*
+ 1ce77: 0c61.*
+ 1ce78: 6fba.*
+ 1ce79: 0c61.*
+ 1ce7a: 6fc2.*
+ 1ce7b: 0c61.*
+ 1ce7c: 6fca.*
+ 1ce7d: 0c61.*
+ 1ce7e: 6fd2.*
+ 1ce7f: 0c61.*
+ 1ce80: 9ac1.*
+ 1ce81: 6fe2.*
+ 1ce82: 3960.*
+ 1ce83: 0c61.*
+ 1ce84: 6fea.*
+ 1ce85: 3961.*
+ 1ce86: 0c61.*
+ 1ce87: 6ff2.*
+ 1ce88: 3962.*
+ 1ce89: 0c61.*
+ 1ce8a: 6ff8.*
+ 1ce8b: 3963.*
+ 1ce8c: 0c61.*
+ 1ce8d: 9a11.*
+ 1ce8e: 9a51.*
+ 1ce8f: 9a91.*
+ 1ce90: 6f9b.*
+ 1ce91: 0c61.*
+ 1ce92: 6fa3.*
+ 1ce93: 0c61.*
+ 1ce94: 6fab.*
+ 1ce95: 0c61.*
+ 1ce96: 6fb3.*
+ 1ce97: 0c61.*
+ 1ce98: 6fbb.*
+ 1ce99: 0c61.*
+ 1ce9a: 6fc3.*
+ 1ce9b: 0c61.*
+ 1ce9c: 6fcb.*
+ 1ce9d: 0c61.*
+ 1ce9e: 6fd3.*
+ 1ce9f: 0c61.*
+ 1cea0: 9ad1.*
+ 1cea1: 6fe3.*
+ 1cea2: 3964.*
+ 1cea3: 0c61.*
+ 1cea4: 6feb.*
+ 1cea5: 3965.*
+ 1cea6: 0c61.*
+ 1cea7: 6ff3.*
+ 1cea8: 3966.*
+ 1cea9: 0c61.*
+ 1ceaa: 6ff8.*
+ 1ceab: 3967.*
+ 1ceac: 0c61.*
+ 1cead: 9a21.*
+ 1ceae: 9a61.*
+ 1ceaf: 9aa1.*
+ 1ceb0: 6f9c.*
+ 1ceb1: 0c61.*
+ 1ceb2: 6fa4.*
+ 1ceb3: 0c61.*
+ 1ceb4: 6fac.*
+ 1ceb5: 0c61.*
+ 1ceb6: 6fb4.*
+ 1ceb7: 0c61.*
+ 1ceb8: 6fbc.*
+ 1ceb9: 0c61.*
+ 1ceba: 6fc4.*
+ 1cebb: 0c61.*
+ 1cebc: 6fcc.*
+ 1cebd: 0c61.*
+ 1cebe: 6fd4.*
+ 1cebf: 0c61.*
+ 1cec0: 9ae1.*
+ 1cec1: 6fe4.*
+ 1cec2: 3968.*
+ 1cec3: 0c61.*
+ 1cec4: 6fec.*
+ 1cec5: 3969.*
+ 1cec6: 0c61.*
+ 1cec7: 6ff4.*
+ 1cec8: 396a.*
+ 1cec9: 0c61.*
+ 1ceca: 6ff8.*
+ 1cecb: 396b.*
+ 1cecc: 0c61.*
+ 1cecd: 9a31.*
+ 1cece: 9a71.*
+ 1cecf: 9ab1.*
+ 1ced0: 6f9d.*
+ 1ced1: 0c61.*
+ 1ced2: 6fa5.*
+ 1ced3: 0c61.*
+ 1ced4: 6fad.*
+ 1ced5: 0c61.*
+ 1ced6: 6fb5.*
+ 1ced7: 0c61.*
+ 1ced8: 6fbd.*
+ 1ced9: 0c61.*
+ 1ceda: 6fc5.*
+ 1cedb: 0c61.*
+ 1cedc: 6fcd.*
+ 1cedd: 0c61.*
+ 1cede: 6fd5.*
+ 1cedf: 0c61.*
+ 1cee0: 9af1.*
+ 1cee1: 6fe5.*
+ 1cee2: 396c.*
+ 1cee3: 0c61.*
+ 1cee4: 6fed.*
+ 1cee5: 396d.*
+ 1cee6: 0c61.*
+ 1cee7: 6ff5.*
+ 1cee8: 396e.*
+ 1cee9: 0c61.*
+ 1ceea: 6ff8.*
+ 1ceeb: 396f.*
+ 1ceec: 0c61.*
+ 1ceed: 6f86.*
+ 1ceee: 0c61.*
+ 1ceef: 6f8e.*
+ 1cef0: 0c61.*
+ 1cef1: 6f96.*
+ 1cef2: 0c61.*
+ 1cef3: 6f9e.*
+ 1cef4: 0c61.*
+ 1cef5: 6fa6.*
+ 1cef6: 0c61.*
+ 1cef7: 6fae.*
+ 1cef8: 0c61.*
+ 1cef9: 6fb6.*
+ 1cefa: 0c61.*
+ 1cefb: 6fbe.*
+ 1cefc: 0c61.*
+ 1cefd: 6fc6.*
+ 1cefe: 0c61.*
+ 1ceff: 6fce.*
+ 1cf00: 0c61.*
+ 1cf01: 6fd6.*
+ 1cf02: 0c61.*
+ 1cf03: 6fde.*
+ 1cf04: 0c61.*
+ 1cf05: 6fe6.*
+ 1cf06: 3970.*
+ 1cf07: 0c61.*
+ 1cf08: 6fee.*
+ 1cf09: 3971.*
+ 1cf0a: 0c61.*
+ 1cf0b: 6ff6.*
+ 1cf0c: 3972.*
+ 1cf0d: 0c61.*
+ 1cf0e: 6ff8.*
+ 1cf0f: 3973.*
+ 1cf10: 0c61.*
+ 1cf11: 6f87.*
+ 1cf12: 0c61.*
+ 1cf13: 6f8f.*
+ 1cf14: 0c61.*
+ 1cf15: 6f97.*
+ 1cf16: 0c61.*
+ 1cf17: 6f9f.*
+ 1cf18: 0c61.*
+ 1cf19: 6fa7.*
+ 1cf1a: 0c61.*
+ 1cf1b: 6faf.*
+ 1cf1c: 0c61.*
+ 1cf1d: 6fb7.*
+ 1cf1e: 0c61.*
+ 1cf1f: 6fbf.*
+ 1cf20: 0c61.*
+ 1cf21: 6fc7.*
+ 1cf22: 0c61.*
+ 1cf23: 6fcf.*
+ 1cf24: 0c61.*
+ 1cf25: 6fd7.*
+ 1cf26: 0c61.*
+ 1cf27: 6fdf.*
+ 1cf28: 0c61.*
+ 1cf29: 6fe7.*
+ 1cf2a: 3974.*
+ 1cf2b: 0c61.*
+ 1cf2c: 6fef.*
+ 1cf2d: 3975.*
+ 1cf2e: 0c61.*
+ 1cf2f: 6ff7.*
+ 1cf30: 3976.*
+ 1cf31: 0c61.*
+ 1cf32: 6ff8.*
+ 1cf33: 3977.*
+ 1cf34: 0c61.*
+ 1cf35: 6f80.*
+ 1cf36: 0c62.*
+ 1cf37: 6f88.*
+ 1cf38: 0c62.*
+ 1cf39: 6f90.*
+ 1cf3a: 0c62.*
+ 1cf3b: 6f98.*
+ 1cf3c: 0c62.*
+ 1cf3d: 6fa0.*
+ 1cf3e: 0c62.*
+ 1cf3f: 6fa8.*
+ 1cf40: 0c62.*
+ 1cf41: 6fb0.*
+ 1cf42: 0c62.*
+ 1cf43: 6fb8.*
+ 1cf44: 0c62.*
+ 1cf45: 6fc0.*
+ 1cf46: 0c62.*
+ 1cf47: 6fc8.*
+ 1cf48: 0c62.*
+ 1cf49: 6fd0.*
+ 1cf4a: 0c62.*
+ 1cf4b: 6fd8.*
+ 1cf4c: 0c62.*
+ 1cf4d: 6fe0.*
+ 1cf4e: 3978.*
+ 1cf4f: 0c62.*
+ 1cf50: 6fe8.*
+ 1cf51: 3979.*
+ 1cf52: 0c62.*
+ 1cf53: 6ff0.*
+ 1cf54: 397a.*
+ 1cf55: 0c62.*
+ 1cf56: 6ff8.*
+ 1cf57: 397b.*
+ 1cf58: 0c62.*
+ 1cf59: 6f81.*
+ 1cf5a: 0c62.*
+ 1cf5b: 6f89.*
+ 1cf5c: 0c62.*
+ 1cf5d: 6f91.*
+ 1cf5e: 0c62.*
+ 1cf5f: 6f99.*
+ 1cf60: 0c62.*
+ 1cf61: 6fa1.*
+ 1cf62: 0c62.*
+ 1cf63: 6fa9.*
+ 1cf64: 0c62.*
+ 1cf65: 6fb1.*
+ 1cf66: 0c62.*
+ 1cf67: 6fb9.*
+ 1cf68: 0c62.*
+ 1cf69: 6fc1.*
+ 1cf6a: 0c62.*
+ 1cf6b: 6fc9.*
+ 1cf6c: 0c62.*
+ 1cf6d: 6fd1.*
+ 1cf6e: 0c62.*
+ 1cf6f: 6fd9.*
+ 1cf70: 0c62.*
+ 1cf71: 6fe1.*
+ 1cf72: 397c.*
+ 1cf73: 0c62.*
+ 1cf74: 6fe9.*
+ 1cf75: 397d.*
+ 1cf76: 0c62.*
+ 1cf77: 6ff1.*
+ 1cf78: 397e.*
+ 1cf79: 0c62.*
+ 1cf7a: 6ff8.*
+ 1cf7b: 397f.*
+ 1cf7c: 0c62.*
+ 1cf7d: 9a02.*
+ 1cf7e: 9a42.*
+ 1cf7f: 9a82.*
+ 1cf80: 6f9a.*
+ 1cf81: 0c62.*
+ 1cf82: 6fa2.*
+ 1cf83: 0c62.*
+ 1cf84: 6faa.*
+ 1cf85: 0c62.*
+ 1cf86: 6fb2.*
+ 1cf87: 0c62.*
+ 1cf88: 6fba.*
+ 1cf89: 0c62.*
+ 1cf8a: 6fc2.*
+ 1cf8b: 0c62.*
+ 1cf8c: 6fca.*
+ 1cf8d: 0c62.*
+ 1cf8e: 6fd2.*
+ 1cf8f: 0c62.*
+ 1cf90: 9ac2.*
+ 1cf91: 6fe2.*
+ 1cf92: 3980.*
+ 1cf93: 0c62.*
+ 1cf94: 6fea.*
+ 1cf95: 3981.*
+ 1cf96: 0c62.*
+ 1cf97: 6ff2.*
+ 1cf98: 3982.*
+ 1cf99: 0c62.*
+ 1cf9a: 6ff8.*
+ 1cf9b: 3983.*
+ 1cf9c: 0c62.*
+ 1cf9d: 9a12.*
+ 1cf9e: 9a52.*
+ 1cf9f: 9a92.*
+ 1cfa0: 6f9b.*
+ 1cfa1: 0c62.*
+ 1cfa2: 6fa3.*
+ 1cfa3: 0c62.*
+ 1cfa4: 6fab.*
+ 1cfa5: 0c62.*
+ 1cfa6: 6fb3.*
+ 1cfa7: 0c62.*
+ 1cfa8: 6fbb.*
+ 1cfa9: 0c62.*
+ 1cfaa: 6fc3.*
+ 1cfab: 0c62.*
+ 1cfac: 6fcb.*
+ 1cfad: 0c62.*
+ 1cfae: 6fd3.*
+ 1cfaf: 0c62.*
+ 1cfb0: 9ad2.*
+ 1cfb1: 6fe3.*
+ 1cfb2: 3984.*
+ 1cfb3: 0c62.*
+ 1cfb4: 6feb.*
+ 1cfb5: 3985.*
+ 1cfb6: 0c62.*
+ 1cfb7: 6ff3.*
+ 1cfb8: 3986.*
+ 1cfb9: 0c62.*
+ 1cfba: 6ff8.*
+ 1cfbb: 3987.*
+ 1cfbc: 0c62.*
+ 1cfbd: 9a22.*
+ 1cfbe: 9a62.*
+ 1cfbf: 9aa2.*
+ 1cfc0: 6f9c.*
+ 1cfc1: 0c62.*
+ 1cfc2: 6fa4.*
+ 1cfc3: 0c62.*
+ 1cfc4: 6fac.*
+ 1cfc5: 0c62.*
+ 1cfc6: 6fb4.*
+ 1cfc7: 0c62.*
+ 1cfc8: 6fbc.*
+ 1cfc9: 0c62.*
+ 1cfca: 6fc4.*
+ 1cfcb: 0c62.*
+ 1cfcc: 6fcc.*
+ 1cfcd: 0c62.*
+ 1cfce: 6fd4.*
+ 1cfcf: 0c62.*
+ 1cfd0: 9ae2.*
+ 1cfd1: 6fe4.*
+ 1cfd2: 3988.*
+ 1cfd3: 0c62.*
+ 1cfd4: 6fec.*
+ 1cfd5: 3989.*
+ 1cfd6: 0c62.*
+ 1cfd7: 6ff4.*
+ 1cfd8: 398a.*
+ 1cfd9: 0c62.*
+ 1cfda: 6ff8.*
+ 1cfdb: 398b.*
+ 1cfdc: 0c62.*
+ 1cfdd: 9a32.*
+ 1cfde: 9a72.*
+ 1cfdf: 9ab2.*
+ 1cfe0: 6f9d.*
+ 1cfe1: 0c62.*
+ 1cfe2: 6fa5.*
+ 1cfe3: 0c62.*
+ 1cfe4: 6fad.*
+ 1cfe5: 0c62.*
+ 1cfe6: 6fb5.*
+ 1cfe7: 0c62.*
+ 1cfe8: 6fbd.*
+ 1cfe9: 0c62.*
+ 1cfea: 6fc5.*
+ 1cfeb: 0c62.*
+ 1cfec: 6fcd.*
+ 1cfed: 0c62.*
+ 1cfee: 6fd5.*
+ 1cfef: 0c62.*
+ 1cff0: 9af2.*
+ 1cff1: 6fe5.*
+ 1cff2: 398c.*
+ 1cff3: 0c62.*
+ 1cff4: 6fed.*
+ 1cff5: 398d.*
+ 1cff6: 0c62.*
+ 1cff7: 6ff5.*
+ 1cff8: 398e.*
+ 1cff9: 0c62.*
+ 1cffa: 6ff8.*
+ 1cffb: 398f.*
+ 1cffc: 0c62.*
+ 1cffd: 6f86.*
+ 1cffe: 0c62.*
+ 1cfff: 6f8e.*
+ 1d000: 0c62.*
+ 1d001: 6f96.*
+ 1d002: 0c62.*
+ 1d003: 6f9e.*
+ 1d004: 0c62.*
+ 1d005: 6fa6.*
+ 1d006: 0c62.*
+ 1d007: 6fae.*
+ 1d008: 0c62.*
+ 1d009: 6fb6.*
+ 1d00a: 0c62.*
+ 1d00b: 6fbe.*
+ 1d00c: 0c62.*
+ 1d00d: 6fc6.*
+ 1d00e: 0c62.*
+ 1d00f: 6fce.*
+ 1d010: 0c62.*
+ 1d011: 6fd6.*
+ 1d012: 0c62.*
+ 1d013: 6fde.*
+ 1d014: 0c62.*
+ 1d015: 6fe6.*
+ 1d016: 3990.*
+ 1d017: 0c62.*
+ 1d018: 6fee.*
+ 1d019: 3991.*
+ 1d01a: 0c62.*
+ 1d01b: 6ff6.*
+ 1d01c: 3992.*
+ 1d01d: 0c62.*
+ 1d01e: 6ff8.*
+ 1d01f: 3993.*
+ 1d020: 0c62.*
+ 1d021: 6f87.*
+ 1d022: 0c62.*
+ 1d023: 6f8f.*
+ 1d024: 0c62.*
+ 1d025: 6f97.*
+ 1d026: 0c62.*
+ 1d027: 6f9f.*
+ 1d028: 0c62.*
+ 1d029: 6fa7.*
+ 1d02a: 0c62.*
+ 1d02b: 6faf.*
+ 1d02c: 0c62.*
+ 1d02d: 6fb7.*
+ 1d02e: 0c62.*
+ 1d02f: 6fbf.*
+ 1d030: 0c62.*
+ 1d031: 6fc7.*
+ 1d032: 0c62.*
+ 1d033: 6fcf.*
+ 1d034: 0c62.*
+ 1d035: 6fd7.*
+ 1d036: 0c62.*
+ 1d037: 6fdf.*
+ 1d038: 0c62.*
+ 1d039: 6fe7.*
+ 1d03a: 3994.*
+ 1d03b: 0c62.*
+ 1d03c: 6fef.*
+ 1d03d: 3995.*
+ 1d03e: 0c62.*
+ 1d03f: 6ff7.*
+ 1d040: 3996.*
+ 1d041: 0c62.*
+ 1d042: 6ff8.*
+ 1d043: 3997.*
+ 1d044: 0c62.*
+ 1d045: 6f80.*
+ 1d046: 0c63.*
+ 1d047: 6f88.*
+ 1d048: 0c63.*
+ 1d049: 6f90.*
+ 1d04a: 0c63.*
+ 1d04b: 6f98.*
+ 1d04c: 0c63.*
+ 1d04d: 6fa0.*
+ 1d04e: 0c63.*
+ 1d04f: 6fa8.*
+ 1d050: 0c63.*
+ 1d051: 6fb0.*
+ 1d052: 0c63.*
+ 1d053: 6fb8.*
+ 1d054: 0c63.*
+ 1d055: 6fc0.*
+ 1d056: 0c63.*
+ 1d057: 6fc8.*
+ 1d058: 0c63.*
+ 1d059: 6fd0.*
+ 1d05a: 0c63.*
+ 1d05b: 6fd8.*
+ 1d05c: 0c63.*
+ 1d05d: 6fe0.*
+ 1d05e: 3998.*
+ 1d05f: 0c63.*
+ 1d060: 6fe8.*
+ 1d061: 3999.*
+ 1d062: 0c63.*
+ 1d063: 6ff0.*
+ 1d064: 399a.*
+ 1d065: 0c63.*
+ 1d066: 6ff8.*
+ 1d067: 399b.*
+ 1d068: 0c63.*
+ 1d069: 6f81.*
+ 1d06a: 0c63.*
+ 1d06b: 6f89.*
+ 1d06c: 0c63.*
+ 1d06d: 6f91.*
+ 1d06e: 0c63.*
+ 1d06f: 6f99.*
+ 1d070: 0c63.*
+ 1d071: 6fa1.*
+ 1d072: 0c63.*
+ 1d073: 6fa9.*
+ 1d074: 0c63.*
+ 1d075: 6fb1.*
+ 1d076: 0c63.*
+ 1d077: 6fb9.*
+ 1d078: 0c63.*
+ 1d079: 6fc1.*
+ 1d07a: 0c63.*
+ 1d07b: 6fc9.*
+ 1d07c: 0c63.*
+ 1d07d: 6fd1.*
+ 1d07e: 0c63.*
+ 1d07f: 6fd9.*
+ 1d080: 0c63.*
+ 1d081: 6fe1.*
+ 1d082: 399c.*
+ 1d083: 0c63.*
+ 1d084: 6fe9.*
+ 1d085: 399d.*
+ 1d086: 0c63.*
+ 1d087: 6ff1.*
+ 1d088: 399e.*
+ 1d089: 0c63.*
+ 1d08a: 6ff8.*
+ 1d08b: 399f.*
+ 1d08c: 0c63.*
+ 1d08d: 9a03.*
+ 1d08e: 9a43.*
+ 1d08f: 9a83.*
+ 1d090: 6f9a.*
+ 1d091: 0c63.*
+ 1d092: 6fa2.*
+ 1d093: 0c63.*
+ 1d094: 6faa.*
+ 1d095: 0c63.*
+ 1d096: 6fb2.*
+ 1d097: 0c63.*
+ 1d098: 6fba.*
+ 1d099: 0c63.*
+ 1d09a: 6fc2.*
+ 1d09b: 0c63.*
+ 1d09c: 6fca.*
+ 1d09d: 0c63.*
+ 1d09e: 6fd2.*
+ 1d09f: 0c63.*
+ 1d0a0: 9ac3.*
+ 1d0a1: 6fe2.*
+ 1d0a2: 39a0.*
+ 1d0a3: 0c63.*
+ 1d0a4: 6fea.*
+ 1d0a5: 39a1.*
+ 1d0a6: 0c63.*
+ 1d0a7: 6ff2.*
+ 1d0a8: 39a2.*
+ 1d0a9: 0c63.*
+ 1d0aa: 6ff8.*
+ 1d0ab: 39a3.*
+ 1d0ac: 0c63.*
+ 1d0ad: 9a13.*
+ 1d0ae: 9a53.*
+ 1d0af: 9a93.*
+ 1d0b0: 6f9b.*
+ 1d0b1: 0c63.*
+ 1d0b2: 6fa3.*
+ 1d0b3: 0c63.*
+ 1d0b4: 6fab.*
+ 1d0b5: 0c63.*
+ 1d0b6: 6fb3.*
+ 1d0b7: 0c63.*
+ 1d0b8: 6fbb.*
+ 1d0b9: 0c63.*
+ 1d0ba: 6fc3.*
+ 1d0bb: 0c63.*
+ 1d0bc: 6fcb.*
+ 1d0bd: 0c63.*
+ 1d0be: 6fd3.*
+ 1d0bf: 0c63.*
+ 1d0c0: 9ad3.*
+ 1d0c1: 6fe3.*
+ 1d0c2: 39a4.*
+ 1d0c3: 0c63.*
+ 1d0c4: 6feb.*
+ 1d0c5: 39a5.*
+ 1d0c6: 0c63.*
+ 1d0c7: 6ff3.*
+ 1d0c8: 39a6.*
+ 1d0c9: 0c63.*
+ 1d0ca: 6ff8.*
+ 1d0cb: 39a7.*
+ 1d0cc: 0c63.*
+ 1d0cd: 9a23.*
+ 1d0ce: 9a63.*
+ 1d0cf: 9aa3.*
+ 1d0d0: 6f9c.*
+ 1d0d1: 0c63.*
+ 1d0d2: 6fa4.*
+ 1d0d3: 0c63.*
+ 1d0d4: 6fac.*
+ 1d0d5: 0c63.*
+ 1d0d6: 6fb4.*
+ 1d0d7: 0c63.*
+ 1d0d8: 6fbc.*
+ 1d0d9: 0c63.*
+ 1d0da: 6fc4.*
+ 1d0db: 0c63.*
+ 1d0dc: 6fcc.*
+ 1d0dd: 0c63.*
+ 1d0de: 6fd4.*
+ 1d0df: 0c63.*
+ 1d0e0: 9ae3.*
+ 1d0e1: 6fe4.*
+ 1d0e2: 39a8.*
+ 1d0e3: 0c63.*
+ 1d0e4: 6fec.*
+ 1d0e5: 39a9.*
+ 1d0e6: 0c63.*
+ 1d0e7: 6ff4.*
+ 1d0e8: 39aa.*
+ 1d0e9: 0c63.*
+ 1d0ea: 6ff8.*
+ 1d0eb: 39ab.*
+ 1d0ec: 0c63.*
+ 1d0ed: 9a33.*
+ 1d0ee: 9a73.*
+ 1d0ef: 9ab3.*
+ 1d0f0: 6f9d.*
+ 1d0f1: 0c63.*
+ 1d0f2: 6fa5.*
+ 1d0f3: 0c63.*
+ 1d0f4: 6fad.*
+ 1d0f5: 0c63.*
+ 1d0f6: 6fb5.*
+ 1d0f7: 0c63.*
+ 1d0f8: 6fbd.*
+ 1d0f9: 0c63.*
+ 1d0fa: 6fc5.*
+ 1d0fb: 0c63.*
+ 1d0fc: 6fcd.*
+ 1d0fd: 0c63.*
+ 1d0fe: 6fd5.*
+ 1d0ff: 0c63.*
+ 1d100: 9af3.*
+ 1d101: 6fe5.*
+ 1d102: 39ac.*
+ 1d103: 0c63.*
+ 1d104: 6fed.*
+ 1d105: 39ad.*
+ 1d106: 0c63.*
+ 1d107: 6ff5.*
+ 1d108: 39ae.*
+ 1d109: 0c63.*
+ 1d10a: 6ff8.*
+ 1d10b: 39af.*
+ 1d10c: 0c63.*
+ 1d10d: 6f86.*
+ 1d10e: 0c63.*
+ 1d10f: 6f8e.*
+ 1d110: 0c63.*
+ 1d111: 6f96.*
+ 1d112: 0c63.*
+ 1d113: 6f9e.*
+ 1d114: 0c63.*
+ 1d115: 6fa6.*
+ 1d116: 0c63.*
+ 1d117: 6fae.*
+ 1d118: 0c63.*
+ 1d119: 6fb6.*
+ 1d11a: 0c63.*
+ 1d11b: 6fbe.*
+ 1d11c: 0c63.*
+ 1d11d: 6fc6.*
+ 1d11e: 0c63.*
+ 1d11f: 6fce.*
+ 1d120: 0c63.*
+ 1d121: 6fd6.*
+ 1d122: 0c63.*
+ 1d123: 6fde.*
+ 1d124: 0c63.*
+ 1d125: 6fe6.*
+ 1d126: 39b0.*
+ 1d127: 0c63.*
+ 1d128: 6fee.*
+ 1d129: 39b1.*
+ 1d12a: 0c63.*
+ 1d12b: 6ff6.*
+ 1d12c: 39b2.*
+ 1d12d: 0c63.*
+ 1d12e: 6ff8.*
+ 1d12f: 39b3.*
+ 1d130: 0c63.*
+ 1d131: 6f87.*
+ 1d132: 0c63.*
+ 1d133: 6f8f.*
+ 1d134: 0c63.*
+ 1d135: 6f97.*
+ 1d136: 0c63.*
+ 1d137: 6f9f.*
+ 1d138: 0c63.*
+ 1d139: 6fa7.*
+ 1d13a: 0c63.*
+ 1d13b: 6faf.*
+ 1d13c: 0c63.*
+ 1d13d: 6fb7.*
+ 1d13e: 0c63.*
+ 1d13f: 6fbf.*
+ 1d140: 0c63.*
+ 1d141: 6fc7.*
+ 1d142: 0c63.*
+ 1d143: 6fcf.*
+ 1d144: 0c63.*
+ 1d145: 6fd7.*
+ 1d146: 0c63.*
+ 1d147: 6fdf.*
+ 1d148: 0c63.*
+ 1d149: 6fe7.*
+ 1d14a: 39b4.*
+ 1d14b: 0c63.*
+ 1d14c: 6fef.*
+ 1d14d: 39b5.*
+ 1d14e: 0c63.*
+ 1d14f: 6ff7.*
+ 1d150: 39b6.*
+ 1d151: 0c63.*
+ 1d152: 6ff8.*
+ 1d153: 39b7.*
+ 1d154: 0c63.*
+ 1d155: 6f80.*
+ 1d156: 0c64.*
+ 1d157: 6f88.*
+ 1d158: 0c64.*
+ 1d159: 6f90.*
+ 1d15a: 0c64.*
+ 1d15b: 6f98.*
+ 1d15c: 0c64.*
+ 1d15d: 6fa0.*
+ 1d15e: 0c64.*
+ 1d15f: 6fa8.*
+ 1d160: 0c64.*
+ 1d161: 6fb0.*
+ 1d162: 0c64.*
+ 1d163: 6fb8.*
+ 1d164: 0c64.*
+ 1d165: 6fc0.*
+ 1d166: 0c64.*
+ 1d167: 6fc8.*
+ 1d168: 0c64.*
+ 1d169: 6fd0.*
+ 1d16a: 0c64.*
+ 1d16b: 6fd8.*
+ 1d16c: 0c64.*
+ 1d16d: 6fe0.*
+ 1d16e: 39b8.*
+ 1d16f: 0c64.*
+ 1d170: 6fe8.*
+ 1d171: 39b9.*
+ 1d172: 0c64.*
+ 1d173: 6ff0.*
+ 1d174: 39ba.*
+ 1d175: 0c64.*
+ 1d176: 6ff8.*
+ 1d177: 39bb.*
+ 1d178: 0c64.*
+ 1d179: 6f81.*
+ 1d17a: 0c64.*
+ 1d17b: 6f89.*
+ 1d17c: 0c64.*
+ 1d17d: 6f91.*
+ 1d17e: 0c64.*
+ 1d17f: 6f99.*
+ 1d180: 0c64.*
+ 1d181: 6fa1.*
+ 1d182: 0c64.*
+ 1d183: 6fa9.*
+ 1d184: 0c64.*
+ 1d185: 6fb1.*
+ 1d186: 0c64.*
+ 1d187: 6fb9.*
+ 1d188: 0c64.*
+ 1d189: 6fc1.*
+ 1d18a: 0c64.*
+ 1d18b: 6fc9.*
+ 1d18c: 0c64.*
+ 1d18d: 6fd1.*
+ 1d18e: 0c64.*
+ 1d18f: 6fd9.*
+ 1d190: 0c64.*
+ 1d191: 6fe1.*
+ 1d192: 39bc.*
+ 1d193: 0c64.*
+ 1d194: 6fe9.*
+ 1d195: 39bd.*
+ 1d196: 0c64.*
+ 1d197: 6ff1.*
+ 1d198: 39be.*
+ 1d199: 0c64.*
+ 1d19a: 6ff8.*
+ 1d19b: 39bf.*
+ 1d19c: 0c64.*
+ 1d19d: 9a04.*
+ 1d19e: 9a44.*
+ 1d19f: 9a84.*
+ 1d1a0: 6f9a.*
+ 1d1a1: 0c64.*
+ 1d1a2: 6fa2.*
+ 1d1a3: 0c64.*
+ 1d1a4: 6faa.*
+ 1d1a5: 0c64.*
+ 1d1a6: 6fb2.*
+ 1d1a7: 0c64.*
+ 1d1a8: 6fba.*
+ 1d1a9: 0c64.*
+ 1d1aa: 6fc2.*
+ 1d1ab: 0c64.*
+ 1d1ac: 6fca.*
+ 1d1ad: 0c64.*
+ 1d1ae: 6fd2.*
+ 1d1af: 0c64.*
+ 1d1b0: 9ac4.*
+ 1d1b1: 6fe2.*
+ 1d1b2: 39c0.*
+ 1d1b3: 0c64.*
+ 1d1b4: 6fea.*
+ 1d1b5: 39c1.*
+ 1d1b6: 0c64.*
+ 1d1b7: 6ff2.*
+ 1d1b8: 39c2.*
+ 1d1b9: 0c64.*
+ 1d1ba: 6ff8.*
+ 1d1bb: 39c3.*
+ 1d1bc: 0c64.*
+ 1d1bd: 9a14.*
+ 1d1be: 9a54.*
+ 1d1bf: 9a94.*
+ 1d1c0: 6f9b.*
+ 1d1c1: 0c64.*
+ 1d1c2: 6fa3.*
+ 1d1c3: 0c64.*
+ 1d1c4: 6fab.*
+ 1d1c5: 0c64.*
+ 1d1c6: 6fb3.*
+ 1d1c7: 0c64.*
+ 1d1c8: 6fbb.*
+ 1d1c9: 0c64.*
+ 1d1ca: 6fc3.*
+ 1d1cb: 0c64.*
+ 1d1cc: 6fcb.*
+ 1d1cd: 0c64.*
+ 1d1ce: 6fd3.*
+ 1d1cf: 0c64.*
+ 1d1d0: 9ad4.*
+ 1d1d1: 6fe3.*
+ 1d1d2: 39c4.*
+ 1d1d3: 0c64.*
+ 1d1d4: 6feb.*
+ 1d1d5: 39c5.*
+ 1d1d6: 0c64.*
+ 1d1d7: 6ff3.*
+ 1d1d8: 39c6.*
+ 1d1d9: 0c64.*
+ 1d1da: 6ff8.*
+ 1d1db: 39c7.*
+ 1d1dc: 0c64.*
+ 1d1dd: 9a24.*
+ 1d1de: 9a64.*
+ 1d1df: 9aa4.*
+ 1d1e0: 6f9c.*
+ 1d1e1: 0c64.*
+ 1d1e2: 6fa4.*
+ 1d1e3: 0c64.*
+ 1d1e4: 6fac.*
+ 1d1e5: 0c64.*
+ 1d1e6: 6fb4.*
+ 1d1e7: 0c64.*
+ 1d1e8: 6fbc.*
+ 1d1e9: 0c64.*
+ 1d1ea: 6fc4.*
+ 1d1eb: 0c64.*
+ 1d1ec: 6fcc.*
+ 1d1ed: 0c64.*
+ 1d1ee: 6fd4.*
+ 1d1ef: 0c64.*
+ 1d1f0: 9ae4.*
+ 1d1f1: 6fe4.*
+ 1d1f2: 39c8.*
+ 1d1f3: 0c64.*
+ 1d1f4: 6fec.*
+ 1d1f5: 39c9.*
+ 1d1f6: 0c64.*
+ 1d1f7: 6ff4.*
+ 1d1f8: 39ca.*
+ 1d1f9: 0c64.*
+ 1d1fa: 6ff8.*
+ 1d1fb: 39cb.*
+ 1d1fc: 0c64.*
+ 1d1fd: 9a34.*
+ 1d1fe: 9a74.*
+ 1d1ff: 9ab4.*
+ 1d200: 6f9d.*
+ 1d201: 0c64.*
+ 1d202: 6fa5.*
+ 1d203: 0c64.*
+ 1d204: 6fad.*
+ 1d205: 0c64.*
+ 1d206: 6fb5.*
+ 1d207: 0c64.*
+ 1d208: 6fbd.*
+ 1d209: 0c64.*
+ 1d20a: 6fc5.*
+ 1d20b: 0c64.*
+ 1d20c: 6fcd.*
+ 1d20d: 0c64.*
+ 1d20e: 6fd5.*
+ 1d20f: 0c64.*
+ 1d210: 9af4.*
+ 1d211: 6fe5.*
+ 1d212: 39cc.*
+ 1d213: 0c64.*
+ 1d214: 6fed.*
+ 1d215: 39cd.*
+ 1d216: 0c64.*
+ 1d217: 6ff5.*
+ 1d218: 39ce.*
+ 1d219: 0c64.*
+ 1d21a: 6ff8.*
+ 1d21b: 39cf.*
+ 1d21c: 0c64.*
+ 1d21d: 6f86.*
+ 1d21e: 0c64.*
+ 1d21f: 6f8e.*
+ 1d220: 0c64.*
+ 1d221: 6f96.*
+ 1d222: 0c64.*
+ 1d223: 6f9e.*
+ 1d224: 0c64.*
+ 1d225: 6fa6.*
+ 1d226: 0c64.*
+ 1d227: 6fae.*
+ 1d228: 0c64.*
+ 1d229: 6fb6.*
+ 1d22a: 0c64.*
+ 1d22b: 6fbe.*
+ 1d22c: 0c64.*
+ 1d22d: 6fc6.*
+ 1d22e: 0c64.*
+ 1d22f: 6fce.*
+ 1d230: 0c64.*
+ 1d231: 6fd6.*
+ 1d232: 0c64.*
+ 1d233: 6fde.*
+ 1d234: 0c64.*
+ 1d235: 6fe6.*
+ 1d236: 39d0.*
+ 1d237: 0c64.*
+ 1d238: 6fee.*
+ 1d239: 39d1.*
+ 1d23a: 0c64.*
+ 1d23b: 6ff6.*
+ 1d23c: 39d2.*
+ 1d23d: 0c64.*
+ 1d23e: 6ff8.*
+ 1d23f: 39d3.*
+ 1d240: 0c64.*
+ 1d241: 6f87.*
+ 1d242: 0c64.*
+ 1d243: 6f8f.*
+ 1d244: 0c64.*
+ 1d245: 6f97.*
+ 1d246: 0c64.*
+ 1d247: 6f9f.*
+ 1d248: 0c64.*
+ 1d249: 6fa7.*
+ 1d24a: 0c64.*
+ 1d24b: 6faf.*
+ 1d24c: 0c64.*
+ 1d24d: 6fb7.*
+ 1d24e: 0c64.*
+ 1d24f: 6fbf.*
+ 1d250: 0c64.*
+ 1d251: 6fc7.*
+ 1d252: 0c64.*
+ 1d253: 6fcf.*
+ 1d254: 0c64.*
+ 1d255: 6fd7.*
+ 1d256: 0c64.*
+ 1d257: 6fdf.*
+ 1d258: 0c64.*
+ 1d259: 6fe7.*
+ 1d25a: 39d4.*
+ 1d25b: 0c64.*
+ 1d25c: 6fef.*
+ 1d25d: 39d5.*
+ 1d25e: 0c64.*
+ 1d25f: 6ff7.*
+ 1d260: 39d6.*
+ 1d261: 0c64.*
+ 1d262: 6ff8.*
+ 1d263: 39d7.*
+ 1d264: 0c64.*
+ 1d265: 6f80.*
+ 1d266: 0c65.*
+ 1d267: 6f88.*
+ 1d268: 0c65.*
+ 1d269: 6f90.*
+ 1d26a: 0c65.*
+ 1d26b: 6f98.*
+ 1d26c: 0c65.*
+ 1d26d: 6fa0.*
+ 1d26e: 0c65.*
+ 1d26f: 6fa8.*
+ 1d270: 0c65.*
+ 1d271: 6fb0.*
+ 1d272: 0c65.*
+ 1d273: 6fb8.*
+ 1d274: 0c65.*
+ 1d275: 6fc0.*
+ 1d276: 0c65.*
+ 1d277: 6fc8.*
+ 1d278: 0c65.*
+ 1d279: 6fd0.*
+ 1d27a: 0c65.*
+ 1d27b: 6fd8.*
+ 1d27c: 0c65.*
+ 1d27d: 6fe0.*
+ 1d27e: 39d8.*
+ 1d27f: 0c65.*
+ 1d280: 6fe8.*
+ 1d281: 39d9.*
+ 1d282: 0c65.*
+ 1d283: 6ff0.*
+ 1d284: 39da.*
+ 1d285: 0c65.*
+ 1d286: 6ff8.*
+ 1d287: 39db.*
+ 1d288: 0c65.*
+ 1d289: 6f81.*
+ 1d28a: 0c65.*
+ 1d28b: 6f89.*
+ 1d28c: 0c65.*
+ 1d28d: 6f91.*
+ 1d28e: 0c65.*
+ 1d28f: 6f99.*
+ 1d290: 0c65.*
+ 1d291: 6fa1.*
+ 1d292: 0c65.*
+ 1d293: 6fa9.*
+ 1d294: 0c65.*
+ 1d295: 6fb1.*
+ 1d296: 0c65.*
+ 1d297: 6fb9.*
+ 1d298: 0c65.*
+ 1d299: 6fc1.*
+ 1d29a: 0c65.*
+ 1d29b: 6fc9.*
+ 1d29c: 0c65.*
+ 1d29d: 6fd1.*
+ 1d29e: 0c65.*
+ 1d29f: 6fd9.*
+ 1d2a0: 0c65.*
+ 1d2a1: 6fe1.*
+ 1d2a2: 39dc.*
+ 1d2a3: 0c65.*
+ 1d2a4: 6fe9.*
+ 1d2a5: 39dd.*
+ 1d2a6: 0c65.*
+ 1d2a7: 6ff1.*
+ 1d2a8: 39de.*
+ 1d2a9: 0c65.*
+ 1d2aa: 6ff8.*
+ 1d2ab: 39df.*
+ 1d2ac: 0c65.*
+ 1d2ad: 9a05.*
+ 1d2ae: 9a45.*
+ 1d2af: 9a85.*
+ 1d2b0: 6f9a.*
+ 1d2b1: 0c65.*
+ 1d2b2: 6fa2.*
+ 1d2b3: 0c65.*
+ 1d2b4: 6faa.*
+ 1d2b5: 0c65.*
+ 1d2b6: 6fb2.*
+ 1d2b7: 0c65.*
+ 1d2b8: 6fba.*
+ 1d2b9: 0c65.*
+ 1d2ba: 6fc2.*
+ 1d2bb: 0c65.*
+ 1d2bc: 6fca.*
+ 1d2bd: 0c65.*
+ 1d2be: 6fd2.*
+ 1d2bf: 0c65.*
+ 1d2c0: 9ac5.*
+ 1d2c1: 6fe2.*
+ 1d2c2: 39e0.*
+ 1d2c3: 0c65.*
+ 1d2c4: 6fea.*
+ 1d2c5: 39e1.*
+ 1d2c6: 0c65.*
+ 1d2c7: 6ff2.*
+ 1d2c8: 39e2.*
+ 1d2c9: 0c65.*
+ 1d2ca: 6ff8.*
+ 1d2cb: 39e3.*
+ 1d2cc: 0c65.*
+ 1d2cd: 9a15.*
+ 1d2ce: 9a55.*
+ 1d2cf: 9a95.*
+ 1d2d0: 6f9b.*
+ 1d2d1: 0c65.*
+ 1d2d2: 6fa3.*
+ 1d2d3: 0c65.*
+ 1d2d4: 6fab.*
+ 1d2d5: 0c65.*
+ 1d2d6: 6fb3.*
+ 1d2d7: 0c65.*
+ 1d2d8: 6fbb.*
+ 1d2d9: 0c65.*
+ 1d2da: 6fc3.*
+ 1d2db: 0c65.*
+ 1d2dc: 6fcb.*
+ 1d2dd: 0c65.*
+ 1d2de: 6fd3.*
+ 1d2df: 0c65.*
+ 1d2e0: 9ad5.*
+ 1d2e1: 6fe3.*
+ 1d2e2: 39e4.*
+ 1d2e3: 0c65.*
+ 1d2e4: 6feb.*
+ 1d2e5: 39e5.*
+ 1d2e6: 0c65.*
+ 1d2e7: 6ff3.*
+ 1d2e8: 39e6.*
+ 1d2e9: 0c65.*
+ 1d2ea: 6ff8.*
+ 1d2eb: 39e7.*
+ 1d2ec: 0c65.*
+ 1d2ed: 9a25.*
+ 1d2ee: 9a65.*
+ 1d2ef: 9aa5.*
+ 1d2f0: 6f9c.*
+ 1d2f1: 0c65.*
+ 1d2f2: 6fa4.*
+ 1d2f3: 0c65.*
+ 1d2f4: 6fac.*
+ 1d2f5: 0c65.*
+ 1d2f6: 6fb4.*
+ 1d2f7: 0c65.*
+ 1d2f8: 6fbc.*
+ 1d2f9: 0c65.*
+ 1d2fa: 6fc4.*
+ 1d2fb: 0c65.*
+ 1d2fc: 6fcc.*
+ 1d2fd: 0c65.*
+ 1d2fe: 6fd4.*
+ 1d2ff: 0c65.*
+ 1d300: 9ae5.*
+ 1d301: 6fe4.*
+ 1d302: 39e8.*
+ 1d303: 0c65.*
+ 1d304: 6fec.*
+ 1d305: 39e9.*
+ 1d306: 0c65.*
+ 1d307: 6ff4.*
+ 1d308: 39ea.*
+ 1d309: 0c65.*
+ 1d30a: 6ff8.*
+ 1d30b: 39eb.*
+ 1d30c: 0c65.*
+ 1d30d: 9a35.*
+ 1d30e: 9a75.*
+ 1d30f: 9ab5.*
+ 1d310: 6f9d.*
+ 1d311: 0c65.*
+ 1d312: 6fa5.*
+ 1d313: 0c65.*
+ 1d314: 6fad.*
+ 1d315: 0c65.*
+ 1d316: 6fb5.*
+ 1d317: 0c65.*
+ 1d318: 6fbd.*
+ 1d319: 0c65.*
+ 1d31a: 6fc5.*
+ 1d31b: 0c65.*
+ 1d31c: 6fcd.*
+ 1d31d: 0c65.*
+ 1d31e: 6fd5.*
+ 1d31f: 0c65.*
+ 1d320: 9af5.*
+ 1d321: 6fe5.*
+ 1d322: 39ec.*
+ 1d323: 0c65.*
+ 1d324: 6fed.*
+ 1d325: 39ed.*
+ 1d326: 0c65.*
+ 1d327: 6ff5.*
+ 1d328: 39ee.*
+ 1d329: 0c65.*
+ 1d32a: 6ff8.*
+ 1d32b: 39ef.*
+ 1d32c: 0c65.*
+ 1d32d: 6f86.*
+ 1d32e: 0c65.*
+ 1d32f: 6f8e.*
+ 1d330: 0c65.*
+ 1d331: 6f96.*
+ 1d332: 0c65.*
+ 1d333: 6f9e.*
+ 1d334: 0c65.*
+ 1d335: 6fa6.*
+ 1d336: 0c65.*
+ 1d337: 6fae.*
+ 1d338: 0c65.*
+ 1d339: 6fb6.*
+ 1d33a: 0c65.*
+ 1d33b: 6fbe.*
+ 1d33c: 0c65.*
+ 1d33d: 6fc6.*
+ 1d33e: 0c65.*
+ 1d33f: 6fce.*
+ 1d340: 0c65.*
+ 1d341: 6fd6.*
+ 1d342: 0c65.*
+ 1d343: 6fde.*
+ 1d344: 0c65.*
+ 1d345: 6fe6.*
+ 1d346: 39f0.*
+ 1d347: 0c65.*
+ 1d348: 6fee.*
+ 1d349: 39f1.*
+ 1d34a: 0c65.*
+ 1d34b: 6ff6.*
+ 1d34c: 39f2.*
+ 1d34d: 0c65.*
+ 1d34e: 6ff8.*
+ 1d34f: 39f3.*
+ 1d350: 0c65.*
+ 1d351: 6f87.*
+ 1d352: 0c65.*
+ 1d353: 6f8f.*
+ 1d354: 0c65.*
+ 1d355: 6f97.*
+ 1d356: 0c65.*
+ 1d357: 6f9f.*
+ 1d358: 0c65.*
+ 1d359: 6fa7.*
+ 1d35a: 0c65.*
+ 1d35b: 6faf.*
+ 1d35c: 0c65.*
+ 1d35d: 6fb7.*
+ 1d35e: 0c65.*
+ 1d35f: 6fbf.*
+ 1d360: 0c65.*
+ 1d361: 6fc7.*
+ 1d362: 0c65.*
+ 1d363: 6fcf.*
+ 1d364: 0c65.*
+ 1d365: 6fd7.*
+ 1d366: 0c65.*
+ 1d367: 6fdf.*
+ 1d368: 0c65.*
+ 1d369: 6fe7.*
+ 1d36a: 39f4.*
+ 1d36b: 0c65.*
+ 1d36c: 6fef.*
+ 1d36d: 39f5.*
+ 1d36e: 0c65.*
+ 1d36f: 6ff7.*
+ 1d370: 39f6.*
+ 1d371: 0c65.*
+ 1d372: 6ff8.*
+ 1d373: 39f7.*
+ 1d374: 0c65.*
+ 1d375: 6f80.*
+ 1d376: 0c66.*
+ 1d377: 6f88.*
+ 1d378: 0c66.*
+ 1d379: 6f90.*
+ 1d37a: 0c66.*
+ 1d37b: 6f98.*
+ 1d37c: 0c66.*
+ 1d37d: 6fa0.*
+ 1d37e: 0c66.*
+ 1d37f: 6fa8.*
+ 1d380: 0c66.*
+ 1d381: 6fb0.*
+ 1d382: 0c66.*
+ 1d383: 6fb8.*
+ 1d384: 0c66.*
+ 1d385: 6fc0.*
+ 1d386: 0c66.*
+ 1d387: 6fc8.*
+ 1d388: 0c66.*
+ 1d389: 6fd0.*
+ 1d38a: 0c66.*
+ 1d38b: 6fd8.*
+ 1d38c: 0c66.*
+ 1d38d: 6fe0.*
+ 1d38e: 39f8.*
+ 1d38f: 0c66.*
+ 1d390: 6fe8.*
+ 1d391: 39f9.*
+ 1d392: 0c66.*
+ 1d393: 6ff0.*
+ 1d394: 39fa.*
+ 1d395: 0c66.*
+ 1d396: 6ff8.*
+ 1d397: 39fb.*
+ 1d398: 0c66.*
+ 1d399: 6f81.*
+ 1d39a: 0c66.*
+ 1d39b: 6f89.*
+ 1d39c: 0c66.*
+ 1d39d: 6f91.*
+ 1d39e: 0c66.*
+ 1d39f: 6f99.*
+ 1d3a0: 0c66.*
+ 1d3a1: 6fa1.*
+ 1d3a2: 0c66.*
+ 1d3a3: 6fa9.*
+ 1d3a4: 0c66.*
+ 1d3a5: 6fb1.*
+ 1d3a6: 0c66.*
+ 1d3a7: 6fb9.*
+ 1d3a8: 0c66.*
+ 1d3a9: 6fc1.*
+ 1d3aa: 0c66.*
+ 1d3ab: 6fc9.*
+ 1d3ac: 0c66.*
+ 1d3ad: 6fd1.*
+ 1d3ae: 0c66.*
+ 1d3af: 6fd9.*
+ 1d3b0: 0c66.*
+ 1d3b1: 6fe1.*
+ 1d3b2: 39fc.*
+ 1d3b3: 0c66.*
+ 1d3b4: 6fe9.*
+ 1d3b5: 39fd.*
+ 1d3b6: 0c66.*
+ 1d3b7: 6ff1.*
+ 1d3b8: 39fe.*
+ 1d3b9: 0c66.*
+ 1d3ba: 6ff8.*
+ 1d3bb: 39ff.*
+ 1d3bc: 0c66.*
+ 1d3bd: 9a06.*
+ 1d3be: 9a46.*
+ 1d3bf: 9a86.*
+ 1d3c0: 6f9a.*
+ 1d3c1: 0c66.*
+ 1d3c2: 6fa2.*
+ 1d3c3: 0c66.*
+ 1d3c4: 6faa.*
+ 1d3c5: 0c66.*
+ 1d3c6: 6fb2.*
+ 1d3c7: 0c66.*
+ 1d3c8: 6fba.*
+ 1d3c9: 0c66.*
+ 1d3ca: 6fc2.*
+ 1d3cb: 0c66.*
+ 1d3cc: 6fca.*
+ 1d3cd: 0c66.*
+ 1d3ce: 6fd2.*
+ 1d3cf: 0c66.*
+ 1d3d0: 9ac6.*
+ 1d3d1: 6fe2.*
+ 1d3d2: 3a00.*
+ 1d3d3: 0c66.*
+ 1d3d4: 6fea.*
+ 1d3d5: 3a01.*
+ 1d3d6: 0c66.*
+ 1d3d7: 6ff2.*
+ 1d3d8: 3a02.*
+ 1d3d9: 0c66.*
+ 1d3da: 6ff8.*
+ 1d3db: 3a03.*
+ 1d3dc: 0c66.*
+ 1d3dd: 9a16.*
+ 1d3de: 9a56.*
+ 1d3df: 9a96.*
+ 1d3e0: 6f9b.*
+ 1d3e1: 0c66.*
+ 1d3e2: 6fa3.*
+ 1d3e3: 0c66.*
+ 1d3e4: 6fab.*
+ 1d3e5: 0c66.*
+ 1d3e6: 6fb3.*
+ 1d3e7: 0c66.*
+ 1d3e8: 6fbb.*
+ 1d3e9: 0c66.*
+ 1d3ea: 6fc3.*
+ 1d3eb: 0c66.*
+ 1d3ec: 6fcb.*
+ 1d3ed: 0c66.*
+ 1d3ee: 6fd3.*
+ 1d3ef: 0c66.*
+ 1d3f0: 9ad6.*
+ 1d3f1: 6fe3.*
+ 1d3f2: 3a04.*
+ 1d3f3: 0c66.*
+ 1d3f4: 6feb.*
+ 1d3f5: 3a05.*
+ 1d3f6: 0c66.*
+ 1d3f7: 6ff3.*
+ 1d3f8: 3a06.*
+ 1d3f9: 0c66.*
+ 1d3fa: 6ff8.*
+ 1d3fb: 3a07.*
+ 1d3fc: 0c66.*
+ 1d3fd: 9a26.*
+ 1d3fe: 9a66.*
+ 1d3ff: 9aa6.*
+ 1d400: 6f9c.*
+ 1d401: 0c66.*
+ 1d402: 6fa4.*
+ 1d403: 0c66.*
+ 1d404: 6fac.*
+ 1d405: 0c66.*
+ 1d406: 6fb4.*
+ 1d407: 0c66.*
+ 1d408: 6fbc.*
+ 1d409: 0c66.*
+ 1d40a: 6fc4.*
+ 1d40b: 0c66.*
+ 1d40c: 6fcc.*
+ 1d40d: 0c66.*
+ 1d40e: 6fd4.*
+ 1d40f: 0c66.*
+ 1d410: 9ae6.*
+ 1d411: 6fe4.*
+ 1d412: 3a08.*
+ 1d413: 0c66.*
+ 1d414: 6fec.*
+ 1d415: 3a09.*
+ 1d416: 0c66.*
+ 1d417: 6ff4.*
+ 1d418: 3a0a.*
+ 1d419: 0c66.*
+ 1d41a: 6ff8.*
+ 1d41b: 3a0b.*
+ 1d41c: 0c66.*
+ 1d41d: 9a36.*
+ 1d41e: 9a76.*
+ 1d41f: 9ab6.*
+ 1d420: 6f9d.*
+ 1d421: 0c66.*
+ 1d422: 6fa5.*
+ 1d423: 0c66.*
+ 1d424: 6fad.*
+ 1d425: 0c66.*
+ 1d426: 6fb5.*
+ 1d427: 0c66.*
+ 1d428: 6fbd.*
+ 1d429: 0c66.*
+ 1d42a: 6fc5.*
+ 1d42b: 0c66.*
+ 1d42c: 6fcd.*
+ 1d42d: 0c66.*
+ 1d42e: 6fd5.*
+ 1d42f: 0c66.*
+ 1d430: 9af6.*
+ 1d431: 6fe5.*
+ 1d432: 3a0c.*
+ 1d433: 0c66.*
+ 1d434: 6fed.*
+ 1d435: 3a0d.*
+ 1d436: 0c66.*
+ 1d437: 6ff5.*
+ 1d438: 3a0e.*
+ 1d439: 0c66.*
+ 1d43a: 6ff8.*
+ 1d43b: 3a0f.*
+ 1d43c: 0c66.*
+ 1d43d: 6f86.*
+ 1d43e: 0c66.*
+ 1d43f: 6f8e.*
+ 1d440: 0c66.*
+ 1d441: 6f96.*
+ 1d442: 0c66.*
+ 1d443: 6f9e.*
+ 1d444: 0c66.*
+ 1d445: 6fa6.*
+ 1d446: 0c66.*
+ 1d447: 6fae.*
+ 1d448: 0c66.*
+ 1d449: 6fb6.*
+ 1d44a: 0c66.*
+ 1d44b: 6fbe.*
+ 1d44c: 0c66.*
+ 1d44d: 6fc6.*
+ 1d44e: 0c66.*
+ 1d44f: 6fce.*
+ 1d450: 0c66.*
+ 1d451: 6fd6.*
+ 1d452: 0c66.*
+ 1d453: 6fde.*
+ 1d454: 0c66.*
+ 1d455: 6fe6.*
+ 1d456: 3a10.*
+ 1d457: 0c66.*
+ 1d458: 6fee.*
+ 1d459: 3a11.*
+ 1d45a: 0c66.*
+ 1d45b: 6ff6.*
+ 1d45c: 3a12.*
+ 1d45d: 0c66.*
+ 1d45e: 6ff8.*
+ 1d45f: 3a13.*
+ 1d460: 0c66.*
+ 1d461: 6f87.*
+ 1d462: 0c66.*
+ 1d463: 6f8f.*
+ 1d464: 0c66.*
+ 1d465: 6f97.*
+ 1d466: 0c66.*
+ 1d467: 6f9f.*
+ 1d468: 0c66.*
+ 1d469: 6fa7.*
+ 1d46a: 0c66.*
+ 1d46b: 6faf.*
+ 1d46c: 0c66.*
+ 1d46d: 6fb7.*
+ 1d46e: 0c66.*
+ 1d46f: 6fbf.*
+ 1d470: 0c66.*
+ 1d471: 6fc7.*
+ 1d472: 0c66.*
+ 1d473: 6fcf.*
+ 1d474: 0c66.*
+ 1d475: 6fd7.*
+ 1d476: 0c66.*
+ 1d477: 6fdf.*
+ 1d478: 0c66.*
+ 1d479: 6fe7.*
+ 1d47a: 3a14.*
+ 1d47b: 0c66.*
+ 1d47c: 6fef.*
+ 1d47d: 3a15.*
+ 1d47e: 0c66.*
+ 1d47f: 6ff7.*
+ 1d480: 3a16.*
+ 1d481: 0c66.*
+ 1d482: 6ff8.*
+ 1d483: 3a17.*
+ 1d484: 0c66.*
+ 1d485: 6f80.*
+ 1d486: 0c67.*
+ 1d487: 6f88.*
+ 1d488: 0c67.*
+ 1d489: 6f90.*
+ 1d48a: 0c67.*
+ 1d48b: 6f98.*
+ 1d48c: 0c67.*
+ 1d48d: 6fa0.*
+ 1d48e: 0c67.*
+ 1d48f: 6fa8.*
+ 1d490: 0c67.*
+ 1d491: 6fb0.*
+ 1d492: 0c67.*
+ 1d493: 6fb8.*
+ 1d494: 0c67.*
+ 1d495: 6fc0.*
+ 1d496: 0c67.*
+ 1d497: 6fc8.*
+ 1d498: 0c67.*
+ 1d499: 6fd0.*
+ 1d49a: 0c67.*
+ 1d49b: 6fd8.*
+ 1d49c: 0c67.*
+ 1d49d: 6fe0.*
+ 1d49e: 3a18.*
+ 1d49f: 0c67.*
+ 1d4a0: 6fe8.*
+ 1d4a1: 3a19.*
+ 1d4a2: 0c67.*
+ 1d4a3: 6ff0.*
+ 1d4a4: 3a1a.*
+ 1d4a5: 0c67.*
+ 1d4a6: 6ff8.*
+ 1d4a7: 3a1b.*
+ 1d4a8: 0c67.*
+ 1d4a9: 6f81.*
+ 1d4aa: 0c67.*
+ 1d4ab: 6f89.*
+ 1d4ac: 0c67.*
+ 1d4ad: 6f91.*
+ 1d4ae: 0c67.*
+ 1d4af: 6f99.*
+ 1d4b0: 0c67.*
+ 1d4b1: 6fa1.*
+ 1d4b2: 0c67.*
+ 1d4b3: 6fa9.*
+ 1d4b4: 0c67.*
+ 1d4b5: 6fb1.*
+ 1d4b6: 0c67.*
+ 1d4b7: 6fb9.*
+ 1d4b8: 0c67.*
+ 1d4b9: 6fc1.*
+ 1d4ba: 0c67.*
+ 1d4bb: 6fc9.*
+ 1d4bc: 0c67.*
+ 1d4bd: 6fd1.*
+ 1d4be: 0c67.*
+ 1d4bf: 6fd9.*
+ 1d4c0: 0c67.*
+ 1d4c1: 6fe1.*
+ 1d4c2: 3a1c.*
+ 1d4c3: 0c67.*
+ 1d4c4: 6fe9.*
+ 1d4c5: 3a1d.*
+ 1d4c6: 0c67.*
+ 1d4c7: 6ff1.*
+ 1d4c8: 3a1e.*
+ 1d4c9: 0c67.*
+ 1d4ca: 6ff8.*
+ 1d4cb: 3a1f.*
+ 1d4cc: 0c67.*
+ 1d4cd: 9a07.*
+ 1d4ce: 9a47.*
+ 1d4cf: 9a87.*
+ 1d4d0: 6f9a.*
+ 1d4d1: 0c67.*
+ 1d4d2: 6fa2.*
+ 1d4d3: 0c67.*
+ 1d4d4: 6faa.*
+ 1d4d5: 0c67.*
+ 1d4d6: 6fb2.*
+ 1d4d7: 0c67.*
+ 1d4d8: 6fba.*
+ 1d4d9: 0c67.*
+ 1d4da: 6fc2.*
+ 1d4db: 0c67.*
+ 1d4dc: 6fca.*
+ 1d4dd: 0c67.*
+ 1d4de: 6fd2.*
+ 1d4df: 0c67.*
+ 1d4e0: 9ac7.*
+ 1d4e1: 6fe2.*
+ 1d4e2: 3a20.*
+ 1d4e3: 0c67.*
+ 1d4e4: 6fea.*
+ 1d4e5: 3a21.*
+ 1d4e6: 0c67.*
+ 1d4e7: 6ff2.*
+ 1d4e8: 3a22.*
+ 1d4e9: 0c67.*
+ 1d4ea: 6ff8.*
+ 1d4eb: 3a23.*
+ 1d4ec: 0c67.*
+ 1d4ed: 9a17.*
+ 1d4ee: 9a57.*
+ 1d4ef: 9a97.*
+ 1d4f0: 6f9b.*
+ 1d4f1: 0c67.*
+ 1d4f2: 6fa3.*
+ 1d4f3: 0c67.*
+ 1d4f4: 6fab.*
+ 1d4f5: 0c67.*
+ 1d4f6: 6fb3.*
+ 1d4f7: 0c67.*
+ 1d4f8: 6fbb.*
+ 1d4f9: 0c67.*
+ 1d4fa: 6fc3.*
+ 1d4fb: 0c67.*
+ 1d4fc: 6fcb.*
+ 1d4fd: 0c67.*
+ 1d4fe: 6fd3.*
+ 1d4ff: 0c67.*
+ 1d500: 9ad7.*
+ 1d501: 6fe3.*
+ 1d502: 3a24.*
+ 1d503: 0c67.*
+ 1d504: 6feb.*
+ 1d505: 3a25.*
+ 1d506: 0c67.*
+ 1d507: 6ff3.*
+ 1d508: 3a26.*
+ 1d509: 0c67.*
+ 1d50a: 6ff8.*
+ 1d50b: 3a27.*
+ 1d50c: 0c67.*
+ 1d50d: 9a27.*
+ 1d50e: 9a67.*
+ 1d50f: 9aa7.*
+ 1d510: 6f9c.*
+ 1d511: 0c67.*
+ 1d512: 6fa4.*
+ 1d513: 0c67.*
+ 1d514: 6fac.*
+ 1d515: 0c67.*
+ 1d516: 6fb4.*
+ 1d517: 0c67.*
+ 1d518: 6fbc.*
+ 1d519: 0c67.*
+ 1d51a: 6fc4.*
+ 1d51b: 0c67.*
+ 1d51c: 6fcc.*
+ 1d51d: 0c67.*
+ 1d51e: 6fd4.*
+ 1d51f: 0c67.*
+ 1d520: 9ae7.*
+ 1d521: 6fe4.*
+ 1d522: 3a28.*
+ 1d523: 0c67.*
+ 1d524: 6fec.*
+ 1d525: 3a29.*
+ 1d526: 0c67.*
+ 1d527: 6ff4.*
+ 1d528: 3a2a.*
+ 1d529: 0c67.*
+ 1d52a: 6ff8.*
+ 1d52b: 3a2b.*
+ 1d52c: 0c67.*
+ 1d52d: 9a37.*
+ 1d52e: 9a77.*
+ 1d52f: 9ab7.*
+ 1d530: 6f9d.*
+ 1d531: 0c67.*
+ 1d532: 6fa5.*
+ 1d533: 0c67.*
+ 1d534: 6fad.*
+ 1d535: 0c67.*
+ 1d536: 6fb5.*
+ 1d537: 0c67.*
+ 1d538: 6fbd.*
+ 1d539: 0c67.*
+ 1d53a: 6fc5.*
+ 1d53b: 0c67.*
+ 1d53c: 6fcd.*
+ 1d53d: 0c67.*
+ 1d53e: 6fd5.*
+ 1d53f: 0c67.*
+ 1d540: 9af7.*
+ 1d541: 6fe5.*
+ 1d542: 3a2c.*
+ 1d543: 0c67.*
+ 1d544: 6fed.*
+ 1d545: 3a2d.*
+ 1d546: 0c67.*
+ 1d547: 6ff5.*
+ 1d548: 3a2e.*
+ 1d549: 0c67.*
+ 1d54a: 6ff8.*
+ 1d54b: 3a2f.*
+ 1d54c: 0c67.*
+ 1d54d: 6f86.*
+ 1d54e: 0c67.*
+ 1d54f: 6f8e.*
+ 1d550: 0c67.*
+ 1d551: 6f96.*
+ 1d552: 0c67.*
+ 1d553: 6f9e.*
+ 1d554: 0c67.*
+ 1d555: 6fa6.*
+ 1d556: 0c67.*
+ 1d557: 6fae.*
+ 1d558: 0c67.*
+ 1d559: 6fb6.*
+ 1d55a: 0c67.*
+ 1d55b: 6fbe.*
+ 1d55c: 0c67.*
+ 1d55d: 6fc6.*
+ 1d55e: 0c67.*
+ 1d55f: 6fce.*
+ 1d560: 0c67.*
+ 1d561: 6fd6.*
+ 1d562: 0c67.*
+ 1d563: 6fde.*
+ 1d564: 0c67.*
+ 1d565: 6fe6.*
+ 1d566: 3a30.*
+ 1d567: 0c67.*
+ 1d568: 6fee.*
+ 1d569: 3a31.*
+ 1d56a: 0c67.*
+ 1d56b: 6ff6.*
+ 1d56c: 3a32.*
+ 1d56d: 0c67.*
+ 1d56e: 6ff8.*
+ 1d56f: 3a33.*
+ 1d570: 0c67.*
+ 1d571: 6f87.*
+ 1d572: 0c67.*
+ 1d573: 6f8f.*
+ 1d574: 0c67.*
+ 1d575: 6f97.*
+ 1d576: 0c67.*
+ 1d577: 6f9f.*
+ 1d578: 0c67.*
+ 1d579: 6fa7.*
+ 1d57a: 0c67.*
+ 1d57b: 6faf.*
+ 1d57c: 0c67.*
+ 1d57d: 6fb7.*
+ 1d57e: 0c67.*
+ 1d57f: 6fbf.*
+ 1d580: 0c67.*
+ 1d581: 6fc7.*
+ 1d582: 0c67.*
+ 1d583: 6fcf.*
+ 1d584: 0c67.*
+ 1d585: 6fd7.*
+ 1d586: 0c67.*
+ 1d587: 6fdf.*
+ 1d588: 0c67.*
+ 1d589: 6fe7.*
+ 1d58a: 3a34.*
+ 1d58b: 0c67.*
+ 1d58c: 6fef.*
+ 1d58d: 3a35.*
+ 1d58e: 0c67.*
+ 1d58f: 6ff7.*
+ 1d590: 3a36.*
+ 1d591: 0c67.*
+ 1d592: 6ff8.*
+ 1d593: 3a37.*
+ 1d594: 0c67.*
+ 1d595: 6f80.*
+ 1d596: 0c68.*
+ 1d597: 6f88.*
+ 1d598: 0c68.*
+ 1d599: 6f90.*
+ 1d59a: 0c68.*
+ 1d59b: 6f98.*
+ 1d59c: 0c68.*
+ 1d59d: 6fa0.*
+ 1d59e: 0c68.*
+ 1d59f: 6fa8.*
+ 1d5a0: 0c68.*
+ 1d5a1: 6fb0.*
+ 1d5a2: 0c68.*
+ 1d5a3: 6fb8.*
+ 1d5a4: 0c68.*
+ 1d5a5: 6fc0.*
+ 1d5a6: 0c68.*
+ 1d5a7: 6fc8.*
+ 1d5a8: 0c68.*
+ 1d5a9: 6fd0.*
+ 1d5aa: 0c68.*
+ 1d5ab: 6fd8.*
+ 1d5ac: 0c68.*
+ 1d5ad: 6fe0.*
+ 1d5ae: 3a38.*
+ 1d5af: 0c68.*
+ 1d5b0: 6fe8.*
+ 1d5b1: 3a39.*
+ 1d5b2: 0c68.*
+ 1d5b3: 6ff0.*
+ 1d5b4: 3a3a.*
+ 1d5b5: 0c68.*
+ 1d5b6: 6ff8.*
+ 1d5b7: 3a3b.*
+ 1d5b8: 0c68.*
+ 1d5b9: 6f81.*
+ 1d5ba: 0c68.*
+ 1d5bb: 6f89.*
+ 1d5bc: 0c68.*
+ 1d5bd: 6f91.*
+ 1d5be: 0c68.*
+ 1d5bf: 6f99.*
+ 1d5c0: 0c68.*
+ 1d5c1: 6fa1.*
+ 1d5c2: 0c68.*
+ 1d5c3: 6fa9.*
+ 1d5c4: 0c68.*
+ 1d5c5: 6fb1.*
+ 1d5c6: 0c68.*
+ 1d5c7: 6fb9.*
+ 1d5c8: 0c68.*
+ 1d5c9: 6fc1.*
+ 1d5ca: 0c68.*
+ 1d5cb: 6fc9.*
+ 1d5cc: 0c68.*
+ 1d5cd: 6fd1.*
+ 1d5ce: 0c68.*
+ 1d5cf: 6fd9.*
+ 1d5d0: 0c68.*
+ 1d5d1: 6fe1.*
+ 1d5d2: 3a3c.*
+ 1d5d3: 0c68.*
+ 1d5d4: 6fe9.*
+ 1d5d5: 3a3d.*
+ 1d5d6: 0c68.*
+ 1d5d7: 6ff1.*
+ 1d5d8: 3a3e.*
+ 1d5d9: 0c68.*
+ 1d5da: 6ff8.*
+ 1d5db: 3a3f.*
+ 1d5dc: 0c68.*
+ 1d5dd: 9a08.*
+ 1d5de: 9a48.*
+ 1d5df: 9a88.*
+ 1d5e0: 6f9a.*
+ 1d5e1: 0c68.*
+ 1d5e2: 6fa2.*
+ 1d5e3: 0c68.*
+ 1d5e4: 6faa.*
+ 1d5e5: 0c68.*
+ 1d5e6: 6fb2.*
+ 1d5e7: 0c68.*
+ 1d5e8: 6fba.*
+ 1d5e9: 0c68.*
+ 1d5ea: 6fc2.*
+ 1d5eb: 0c68.*
+ 1d5ec: 6fca.*
+ 1d5ed: 0c68.*
+ 1d5ee: 6fd2.*
+ 1d5ef: 0c68.*
+ 1d5f0: 9ac8.*
+ 1d5f1: 6fe2.*
+ 1d5f2: 3a40.*
+ 1d5f3: 0c68.*
+ 1d5f4: 6fea.*
+ 1d5f5: 3a41.*
+ 1d5f6: 0c68.*
+ 1d5f7: 6ff2.*
+ 1d5f8: 3a42.*
+ 1d5f9: 0c68.*
+ 1d5fa: 6ff8.*
+ 1d5fb: 3a43.*
+ 1d5fc: 0c68.*
+ 1d5fd: 9a18.*
+ 1d5fe: 9a58.*
+ 1d5ff: 9a98.*
+ 1d600: 6f9b.*
+ 1d601: 0c68.*
+ 1d602: 6fa3.*
+ 1d603: 0c68.*
+ 1d604: 6fab.*
+ 1d605: 0c68.*
+ 1d606: 6fb3.*
+ 1d607: 0c68.*
+ 1d608: 6fbb.*
+ 1d609: 0c68.*
+ 1d60a: 6fc3.*
+ 1d60b: 0c68.*
+ 1d60c: 6fcb.*
+ 1d60d: 0c68.*
+ 1d60e: 6fd3.*
+ 1d60f: 0c68.*
+ 1d610: 9ad8.*
+ 1d611: 6fe3.*
+ 1d612: 3a44.*
+ 1d613: 0c68.*
+ 1d614: 6feb.*
+ 1d615: 3a45.*
+ 1d616: 0c68.*
+ 1d617: 6ff3.*
+ 1d618: 3a46.*
+ 1d619: 0c68.*
+ 1d61a: 6ff8.*
+ 1d61b: 3a47.*
+ 1d61c: 0c68.*
+ 1d61d: 9a28.*
+ 1d61e: 9a68.*
+ 1d61f: 9aa8.*
+ 1d620: 6f9c.*
+ 1d621: 0c68.*
+ 1d622: 6fa4.*
+ 1d623: 0c68.*
+ 1d624: 6fac.*
+ 1d625: 0c68.*
+ 1d626: 6fb4.*
+ 1d627: 0c68.*
+ 1d628: 6fbc.*
+ 1d629: 0c68.*
+ 1d62a: 6fc4.*
+ 1d62b: 0c68.*
+ 1d62c: 6fcc.*
+ 1d62d: 0c68.*
+ 1d62e: 6fd4.*
+ 1d62f: 0c68.*
+ 1d630: 9ae8.*
+ 1d631: 6fe4.*
+ 1d632: 3a48.*
+ 1d633: 0c68.*
+ 1d634: 6fec.*
+ 1d635: 3a49.*
+ 1d636: 0c68.*
+ 1d637: 6ff4.*
+ 1d638: 3a4a.*
+ 1d639: 0c68.*
+ 1d63a: 6ff8.*
+ 1d63b: 3a4b.*
+ 1d63c: 0c68.*
+ 1d63d: 9a38.*
+ 1d63e: 9a78.*
+ 1d63f: 9ab8.*
+ 1d640: 6f9d.*
+ 1d641: 0c68.*
+ 1d642: 6fa5.*
+ 1d643: 0c68.*
+ 1d644: 6fad.*
+ 1d645: 0c68.*
+ 1d646: 6fb5.*
+ 1d647: 0c68.*
+ 1d648: 6fbd.*
+ 1d649: 0c68.*
+ 1d64a: 6fc5.*
+ 1d64b: 0c68.*
+ 1d64c: 6fcd.*
+ 1d64d: 0c68.*
+ 1d64e: 6fd5.*
+ 1d64f: 0c68.*
+ 1d650: 9af8.*
+ 1d651: 6fe5.*
+ 1d652: 3a4c.*
+ 1d653: 0c68.*
+ 1d654: 6fed.*
+ 1d655: 3a4d.*
+ 1d656: 0c68.*
+ 1d657: 6ff5.*
+ 1d658: 3a4e.*
+ 1d659: 0c68.*
+ 1d65a: 6ff8.*
+ 1d65b: 3a4f.*
+ 1d65c: 0c68.*
+ 1d65d: 6f86.*
+ 1d65e: 0c68.*
+ 1d65f: 6f8e.*
+ 1d660: 0c68.*
+ 1d661: 6f96.*
+ 1d662: 0c68.*
+ 1d663: 6f9e.*
+ 1d664: 0c68.*
+ 1d665: 6fa6.*
+ 1d666: 0c68.*
+ 1d667: 6fae.*
+ 1d668: 0c68.*
+ 1d669: 6fb6.*
+ 1d66a: 0c68.*
+ 1d66b: 6fbe.*
+ 1d66c: 0c68.*
+ 1d66d: 6fc6.*
+ 1d66e: 0c68.*
+ 1d66f: 6fce.*
+ 1d670: 0c68.*
+ 1d671: 6fd6.*
+ 1d672: 0c68.*
+ 1d673: 6fde.*
+ 1d674: 0c68.*
+ 1d675: 6fe6.*
+ 1d676: 3a50.*
+ 1d677: 0c68.*
+ 1d678: 6fee.*
+ 1d679: 3a51.*
+ 1d67a: 0c68.*
+ 1d67b: 6ff6.*
+ 1d67c: 3a52.*
+ 1d67d: 0c68.*
+ 1d67e: 6ff8.*
+ 1d67f: 3a53.*
+ 1d680: 0c68.*
+ 1d681: 6f87.*
+ 1d682: 0c68.*
+ 1d683: 6f8f.*
+ 1d684: 0c68.*
+ 1d685: 6f97.*
+ 1d686: 0c68.*
+ 1d687: 6f9f.*
+ 1d688: 0c68.*
+ 1d689: 6fa7.*
+ 1d68a: 0c68.*
+ 1d68b: 6faf.*
+ 1d68c: 0c68.*
+ 1d68d: 6fb7.*
+ 1d68e: 0c68.*
+ 1d68f: 6fbf.*
+ 1d690: 0c68.*
+ 1d691: 6fc7.*
+ 1d692: 0c68.*
+ 1d693: 6fcf.*
+ 1d694: 0c68.*
+ 1d695: 6fd7.*
+ 1d696: 0c68.*
+ 1d697: 6fdf.*
+ 1d698: 0c68.*
+ 1d699: 6fe7.*
+ 1d69a: 3a54.*
+ 1d69b: 0c68.*
+ 1d69c: 6fef.*
+ 1d69d: 3a55.*
+ 1d69e: 0c68.*
+ 1d69f: 6ff7.*
+ 1d6a0: 3a56.*
+ 1d6a1: 0c68.*
+ 1d6a2: 6ff8.*
+ 1d6a3: 3a57.*
+ 1d6a4: 0c68.*
+ 1d6a5: 6f80.*
+ 1d6a6: 0c69.*
+ 1d6a7: 6f88.*
+ 1d6a8: 0c69.*
+ 1d6a9: 6f90.*
+ 1d6aa: 0c69.*
+ 1d6ab: 6f98.*
+ 1d6ac: 0c69.*
+ 1d6ad: 6fa0.*
+ 1d6ae: 0c69.*
+ 1d6af: 6fa8.*
+ 1d6b0: 0c69.*
+ 1d6b1: 6fb0.*
+ 1d6b2: 0c69.*
+ 1d6b3: 6fb8.*
+ 1d6b4: 0c69.*
+ 1d6b5: 6fc0.*
+ 1d6b6: 0c69.*
+ 1d6b7: 6fc8.*
+ 1d6b8: 0c69.*
+ 1d6b9: 6fd0.*
+ 1d6ba: 0c69.*
+ 1d6bb: 6fd8.*
+ 1d6bc: 0c69.*
+ 1d6bd: 6fe0.*
+ 1d6be: 3a58.*
+ 1d6bf: 0c69.*
+ 1d6c0: 6fe8.*
+ 1d6c1: 3a59.*
+ 1d6c2: 0c69.*
+ 1d6c3: 6ff0.*
+ 1d6c4: 3a5a.*
+ 1d6c5: 0c69.*
+ 1d6c6: 6ff8.*
+ 1d6c7: 3a5b.*
+ 1d6c8: 0c69.*
+ 1d6c9: 6f81.*
+ 1d6ca: 0c69.*
+ 1d6cb: 6f89.*
+ 1d6cc: 0c69.*
+ 1d6cd: 6f91.*
+ 1d6ce: 0c69.*
+ 1d6cf: 6f99.*
+ 1d6d0: 0c69.*
+ 1d6d1: 6fa1.*
+ 1d6d2: 0c69.*
+ 1d6d3: 6fa9.*
+ 1d6d4: 0c69.*
+ 1d6d5: 6fb1.*
+ 1d6d6: 0c69.*
+ 1d6d7: 6fb9.*
+ 1d6d8: 0c69.*
+ 1d6d9: 6fc1.*
+ 1d6da: 0c69.*
+ 1d6db: 6fc9.*
+ 1d6dc: 0c69.*
+ 1d6dd: 6fd1.*
+ 1d6de: 0c69.*
+ 1d6df: 6fd9.*
+ 1d6e0: 0c69.*
+ 1d6e1: 6fe1.*
+ 1d6e2: 3a5c.*
+ 1d6e3: 0c69.*
+ 1d6e4: 6fe9.*
+ 1d6e5: 3a5d.*
+ 1d6e6: 0c69.*
+ 1d6e7: 6ff1.*
+ 1d6e8: 3a5e.*
+ 1d6e9: 0c69.*
+ 1d6ea: 6ff8.*
+ 1d6eb: 3a5f.*
+ 1d6ec: 0c69.*
+ 1d6ed: 9a09.*
+ 1d6ee: 9a49.*
+ 1d6ef: 9a89.*
+ 1d6f0: 6f9a.*
+ 1d6f1: 0c69.*
+ 1d6f2: 6fa2.*
+ 1d6f3: 0c69.*
+ 1d6f4: 6faa.*
+ 1d6f5: 0c69.*
+ 1d6f6: 6fb2.*
+ 1d6f7: 0c69.*
+ 1d6f8: 6fba.*
+ 1d6f9: 0c69.*
+ 1d6fa: 6fc2.*
+ 1d6fb: 0c69.*
+ 1d6fc: 6fca.*
+ 1d6fd: 0c69.*
+ 1d6fe: 6fd2.*
+ 1d6ff: 0c69.*
+ 1d700: 9ac9.*
+ 1d701: 6fe2.*
+ 1d702: 3a60.*
+ 1d703: 0c69.*
+ 1d704: 6fea.*
+ 1d705: 3a61.*
+ 1d706: 0c69.*
+ 1d707: 6ff2.*
+ 1d708: 3a62.*
+ 1d709: 0c69.*
+ 1d70a: 6ff8.*
+ 1d70b: 3a63.*
+ 1d70c: 0c69.*
+ 1d70d: 9a19.*
+ 1d70e: 9a59.*
+ 1d70f: 9a99.*
+ 1d710: 6f9b.*
+ 1d711: 0c69.*
+ 1d712: 6fa3.*
+ 1d713: 0c69.*
+ 1d714: 6fab.*
+ 1d715: 0c69.*
+ 1d716: 6fb3.*
+ 1d717: 0c69.*
+ 1d718: 6fbb.*
+ 1d719: 0c69.*
+ 1d71a: 6fc3.*
+ 1d71b: 0c69.*
+ 1d71c: 6fcb.*
+ 1d71d: 0c69.*
+ 1d71e: 6fd3.*
+ 1d71f: 0c69.*
+ 1d720: 9ad9.*
+ 1d721: 6fe3.*
+ 1d722: 3a64.*
+ 1d723: 0c69.*
+ 1d724: 6feb.*
+ 1d725: 3a65.*
+ 1d726: 0c69.*
+ 1d727: 6ff3.*
+ 1d728: 3a66.*
+ 1d729: 0c69.*
+ 1d72a: 6ff8.*
+ 1d72b: 3a67.*
+ 1d72c: 0c69.*
+ 1d72d: 9a29.*
+ 1d72e: 9a69.*
+ 1d72f: 9aa9.*
+ 1d730: 6f9c.*
+ 1d731: 0c69.*
+ 1d732: 6fa4.*
+ 1d733: 0c69.*
+ 1d734: 6fac.*
+ 1d735: 0c69.*
+ 1d736: 6fb4.*
+ 1d737: 0c69.*
+ 1d738: 6fbc.*
+ 1d739: 0c69.*
+ 1d73a: 6fc4.*
+ 1d73b: 0c69.*
+ 1d73c: 6fcc.*
+ 1d73d: 0c69.*
+ 1d73e: 6fd4.*
+ 1d73f: 0c69.*
+ 1d740: 9ae9.*
+ 1d741: 6fe4.*
+ 1d742: 3a68.*
+ 1d743: 0c69.*
+ 1d744: 6fec.*
+ 1d745: 3a69.*
+ 1d746: 0c69.*
+ 1d747: 6ff4.*
+ 1d748: 3a6a.*
+ 1d749: 0c69.*
+ 1d74a: 6ff8.*
+ 1d74b: 3a6b.*
+ 1d74c: 0c69.*
+ 1d74d: 9a39.*
+ 1d74e: 9a79.*
+ 1d74f: 9ab9.*
+ 1d750: 6f9d.*
+ 1d751: 0c69.*
+ 1d752: 6fa5.*
+ 1d753: 0c69.*
+ 1d754: 6fad.*
+ 1d755: 0c69.*
+ 1d756: 6fb5.*
+ 1d757: 0c69.*
+ 1d758: 6fbd.*
+ 1d759: 0c69.*
+ 1d75a: 6fc5.*
+ 1d75b: 0c69.*
+ 1d75c: 6fcd.*
+ 1d75d: 0c69.*
+ 1d75e: 6fd5.*
+ 1d75f: 0c69.*
+ 1d760: 9af9.*
+ 1d761: 6fe5.*
+ 1d762: 3a6c.*
+ 1d763: 0c69.*
+ 1d764: 6fed.*
+ 1d765: 3a6d.*
+ 1d766: 0c69.*
+ 1d767: 6ff5.*
+ 1d768: 3a6e.*
+ 1d769: 0c69.*
+ 1d76a: 6ff8.*
+ 1d76b: 3a6f.*
+ 1d76c: 0c69.*
+ 1d76d: 6f86.*
+ 1d76e: 0c69.*
+ 1d76f: 6f8e.*
+ 1d770: 0c69.*
+ 1d771: 6f96.*
+ 1d772: 0c69.*
+ 1d773: 6f9e.*
+ 1d774: 0c69.*
+ 1d775: 6fa6.*
+ 1d776: 0c69.*
+ 1d777: 6fae.*
+ 1d778: 0c69.*
+ 1d779: 6fb6.*
+ 1d77a: 0c69.*
+ 1d77b: 6fbe.*
+ 1d77c: 0c69.*
+ 1d77d: 6fc6.*
+ 1d77e: 0c69.*
+ 1d77f: 6fce.*
+ 1d780: 0c69.*
+ 1d781: 6fd6.*
+ 1d782: 0c69.*
+ 1d783: 6fde.*
+ 1d784: 0c69.*
+ 1d785: 6fe6.*
+ 1d786: 3a70.*
+ 1d787: 0c69.*
+ 1d788: 6fee.*
+ 1d789: 3a71.*
+ 1d78a: 0c69.*
+ 1d78b: 6ff6.*
+ 1d78c: 3a72.*
+ 1d78d: 0c69.*
+ 1d78e: 6ff8.*
+ 1d78f: 3a73.*
+ 1d790: 0c69.*
+ 1d791: 6f87.*
+ 1d792: 0c69.*
+ 1d793: 6f8f.*
+ 1d794: 0c69.*
+ 1d795: 6f97.*
+ 1d796: 0c69.*
+ 1d797: 6f9f.*
+ 1d798: 0c69.*
+ 1d799: 6fa7.*
+ 1d79a: 0c69.*
+ 1d79b: 6faf.*
+ 1d79c: 0c69.*
+ 1d79d: 6fb7.*
+ 1d79e: 0c69.*
+ 1d79f: 6fbf.*
+ 1d7a0: 0c69.*
+ 1d7a1: 6fc7.*
+ 1d7a2: 0c69.*
+ 1d7a3: 6fcf.*
+ 1d7a4: 0c69.*
+ 1d7a5: 6fd7.*
+ 1d7a6: 0c69.*
+ 1d7a7: 6fdf.*
+ 1d7a8: 0c69.*
+ 1d7a9: 6fe7.*
+ 1d7aa: 3a74.*
+ 1d7ab: 0c69.*
+ 1d7ac: 6fef.*
+ 1d7ad: 3a75.*
+ 1d7ae: 0c69.*
+ 1d7af: 6ff7.*
+ 1d7b0: 3a76.*
+ 1d7b1: 0c69.*
+ 1d7b2: 6ff8.*
+ 1d7b3: 3a77.*
+ 1d7b4: 0c69.*
+ 1d7b5: 6f80.*
+ 1d7b6: 0c6a.*
+ 1d7b7: 6f88.*
+ 1d7b8: 0c6a.*
+ 1d7b9: 6f90.*
+ 1d7ba: 0c6a.*
+ 1d7bb: 6f98.*
+ 1d7bc: 0c6a.*
+ 1d7bd: 6fa0.*
+ 1d7be: 0c6a.*
+ 1d7bf: 6fa8.*
+ 1d7c0: 0c6a.*
+ 1d7c1: 6fb0.*
+ 1d7c2: 0c6a.*
+ 1d7c3: 6fb8.*
+ 1d7c4: 0c6a.*
+ 1d7c5: 6fc0.*
+ 1d7c6: 0c6a.*
+ 1d7c7: 6fc8.*
+ 1d7c8: 0c6a.*
+ 1d7c9: 6fd0.*
+ 1d7ca: 0c6a.*
+ 1d7cb: 6fd8.*
+ 1d7cc: 0c6a.*
+ 1d7cd: 6fe0.*
+ 1d7ce: 3a78.*
+ 1d7cf: 0c6a.*
+ 1d7d0: 6fe8.*
+ 1d7d1: 3a79.*
+ 1d7d2: 0c6a.*
+ 1d7d3: 6ff0.*
+ 1d7d4: 3a7a.*
+ 1d7d5: 0c6a.*
+ 1d7d6: 6ff8.*
+ 1d7d7: 3a7b.*
+ 1d7d8: 0c6a.*
+ 1d7d9: 6f81.*
+ 1d7da: 0c6a.*
+ 1d7db: 6f89.*
+ 1d7dc: 0c6a.*
+ 1d7dd: 6f91.*
+ 1d7de: 0c6a.*
+ 1d7df: 6f99.*
+ 1d7e0: 0c6a.*
+ 1d7e1: 6fa1.*
+ 1d7e2: 0c6a.*
+ 1d7e3: 6fa9.*
+ 1d7e4: 0c6a.*
+ 1d7e5: 6fb1.*
+ 1d7e6: 0c6a.*
+ 1d7e7: 6fb9.*
+ 1d7e8: 0c6a.*
+ 1d7e9: 6fc1.*
+ 1d7ea: 0c6a.*
+ 1d7eb: 6fc9.*
+ 1d7ec: 0c6a.*
+ 1d7ed: 6fd1.*
+ 1d7ee: 0c6a.*
+ 1d7ef: 6fd9.*
+ 1d7f0: 0c6a.*
+ 1d7f1: 6fe1.*
+ 1d7f2: 3a7c.*
+ 1d7f3: 0c6a.*
+ 1d7f4: 6fe9.*
+ 1d7f5: 3a7d.*
+ 1d7f6: 0c6a.*
+ 1d7f7: 6ff1.*
+ 1d7f8: 3a7e.*
+ 1d7f9: 0c6a.*
+ 1d7fa: 6ff8.*
+ 1d7fb: 3a7f.*
+ 1d7fc: 0c6a.*
+ 1d7fd: 9a0a.*
+ 1d7fe: 9a4a.*
+ 1d7ff: 9a8a.*
+ 1d800: 6f9a.*
+ 1d801: 0c6a.*
+ 1d802: 6fa2.*
+ 1d803: 0c6a.*
+ 1d804: 6faa.*
+ 1d805: 0c6a.*
+ 1d806: 6fb2.*
+ 1d807: 0c6a.*
+ 1d808: 6fba.*
+ 1d809: 0c6a.*
+ 1d80a: 6fc2.*
+ 1d80b: 0c6a.*
+ 1d80c: 6fca.*
+ 1d80d: 0c6a.*
+ 1d80e: 6fd2.*
+ 1d80f: 0c6a.*
+ 1d810: 9aca.*
+ 1d811: 6fe2.*
+ 1d812: 3a80.*
+ 1d813: 0c6a.*
+ 1d814: 6fea.*
+ 1d815: 3a81.*
+ 1d816: 0c6a.*
+ 1d817: 6ff2.*
+ 1d818: 3a82.*
+ 1d819: 0c6a.*
+ 1d81a: 6ff8.*
+ 1d81b: 3a83.*
+ 1d81c: 0c6a.*
+ 1d81d: 9a1a.*
+ 1d81e: 9a5a.*
+ 1d81f: 9a9a.*
+ 1d820: 6f9b.*
+ 1d821: 0c6a.*
+ 1d822: 6fa3.*
+ 1d823: 0c6a.*
+ 1d824: 6fab.*
+ 1d825: 0c6a.*
+ 1d826: 6fb3.*
+ 1d827: 0c6a.*
+ 1d828: 6fbb.*
+ 1d829: 0c6a.*
+ 1d82a: 6fc3.*
+ 1d82b: 0c6a.*
+ 1d82c: 6fcb.*
+ 1d82d: 0c6a.*
+ 1d82e: 6fd3.*
+ 1d82f: 0c6a.*
+ 1d830: 9ada.*
+ 1d831: 6fe3.*
+ 1d832: 3a84.*
+ 1d833: 0c6a.*
+ 1d834: 6feb.*
+ 1d835: 3a85.*
+ 1d836: 0c6a.*
+ 1d837: 6ff3.*
+ 1d838: 3a86.*
+ 1d839: 0c6a.*
+ 1d83a: 6ff8.*
+ 1d83b: 3a87.*
+ 1d83c: 0c6a.*
+ 1d83d: 9a2a.*
+ 1d83e: 9a6a.*
+ 1d83f: 9aaa.*
+ 1d840: 6f9c.*
+ 1d841: 0c6a.*
+ 1d842: 6fa4.*
+ 1d843: 0c6a.*
+ 1d844: 6fac.*
+ 1d845: 0c6a.*
+ 1d846: 6fb4.*
+ 1d847: 0c6a.*
+ 1d848: 6fbc.*
+ 1d849: 0c6a.*
+ 1d84a: 6fc4.*
+ 1d84b: 0c6a.*
+ 1d84c: 6fcc.*
+ 1d84d: 0c6a.*
+ 1d84e: 6fd4.*
+ 1d84f: 0c6a.*
+ 1d850: 9aea.*
+ 1d851: 6fe4.*
+ 1d852: 3a88.*
+ 1d853: 0c6a.*
+ 1d854: 6fec.*
+ 1d855: 3a89.*
+ 1d856: 0c6a.*
+ 1d857: 6ff4.*
+ 1d858: 3a8a.*
+ 1d859: 0c6a.*
+ 1d85a: 6ff8.*
+ 1d85b: 3a8b.*
+ 1d85c: 0c6a.*
+ 1d85d: 9a3a.*
+ 1d85e: 9a7a.*
+ 1d85f: 9aba.*
+ 1d860: 6f9d.*
+ 1d861: 0c6a.*
+ 1d862: 6fa5.*
+ 1d863: 0c6a.*
+ 1d864: 6fad.*
+ 1d865: 0c6a.*
+ 1d866: 6fb5.*
+ 1d867: 0c6a.*
+ 1d868: 6fbd.*
+ 1d869: 0c6a.*
+ 1d86a: 6fc5.*
+ 1d86b: 0c6a.*
+ 1d86c: 6fcd.*
+ 1d86d: 0c6a.*
+ 1d86e: 6fd5.*
+ 1d86f: 0c6a.*
+ 1d870: 9afa.*
+ 1d871: 6fe5.*
+ 1d872: 3a8c.*
+ 1d873: 0c6a.*
+ 1d874: 6fed.*
+ 1d875: 3a8d.*
+ 1d876: 0c6a.*
+ 1d877: 6ff5.*
+ 1d878: 3a8e.*
+ 1d879: 0c6a.*
+ 1d87a: 6ff8.*
+ 1d87b: 3a8f.*
+ 1d87c: 0c6a.*
+ 1d87d: 6f86.*
+ 1d87e: 0c6a.*
+ 1d87f: 6f8e.*
+ 1d880: 0c6a.*
+ 1d881: 6f96.*
+ 1d882: 0c6a.*
+ 1d883: 6f9e.*
+ 1d884: 0c6a.*
+ 1d885: 6fa6.*
+ 1d886: 0c6a.*
+ 1d887: 6fae.*
+ 1d888: 0c6a.*
+ 1d889: 6fb6.*
+ 1d88a: 0c6a.*
+ 1d88b: 6fbe.*
+ 1d88c: 0c6a.*
+ 1d88d: 6fc6.*
+ 1d88e: 0c6a.*
+ 1d88f: 6fce.*
+ 1d890: 0c6a.*
+ 1d891: 6fd6.*
+ 1d892: 0c6a.*
+ 1d893: 6fde.*
+ 1d894: 0c6a.*
+ 1d895: 6fe6.*
+ 1d896: 3a90.*
+ 1d897: 0c6a.*
+ 1d898: 6fee.*
+ 1d899: 3a91.*
+ 1d89a: 0c6a.*
+ 1d89b: 6ff6.*
+ 1d89c: 3a92.*
+ 1d89d: 0c6a.*
+ 1d89e: 6ff8.*
+ 1d89f: 3a93.*
+ 1d8a0: 0c6a.*
+ 1d8a1: 6f87.*
+ 1d8a2: 0c6a.*
+ 1d8a3: 6f8f.*
+ 1d8a4: 0c6a.*
+ 1d8a5: 6f97.*
+ 1d8a6: 0c6a.*
+ 1d8a7: 6f9f.*
+ 1d8a8: 0c6a.*
+ 1d8a9: 6fa7.*
+ 1d8aa: 0c6a.*
+ 1d8ab: 6faf.*
+ 1d8ac: 0c6a.*
+ 1d8ad: 6fb7.*
+ 1d8ae: 0c6a.*
+ 1d8af: 6fbf.*
+ 1d8b0: 0c6a.*
+ 1d8b1: 6fc7.*
+ 1d8b2: 0c6a.*
+ 1d8b3: 6fcf.*
+ 1d8b4: 0c6a.*
+ 1d8b5: 6fd7.*
+ 1d8b6: 0c6a.*
+ 1d8b7: 6fdf.*
+ 1d8b8: 0c6a.*
+ 1d8b9: 6fe7.*
+ 1d8ba: 3a94.*
+ 1d8bb: 0c6a.*
+ 1d8bc: 6fef.*
+ 1d8bd: 3a95.*
+ 1d8be: 0c6a.*
+ 1d8bf: 6ff7.*
+ 1d8c0: 3a96.*
+ 1d8c1: 0c6a.*
+ 1d8c2: 6ff8.*
+ 1d8c3: 3a97.*
+ 1d8c4: 0c6a.*
+ 1d8c5: 6f80.*
+ 1d8c6: 0c6b.*
+ 1d8c7: 6f88.*
+ 1d8c8: 0c6b.*
+ 1d8c9: 6f90.*
+ 1d8ca: 0c6b.*
+ 1d8cb: 6f98.*
+ 1d8cc: 0c6b.*
+ 1d8cd: 6fa0.*
+ 1d8ce: 0c6b.*
+ 1d8cf: 6fa8.*
+ 1d8d0: 0c6b.*
+ 1d8d1: 6fb0.*
+ 1d8d2: 0c6b.*
+ 1d8d3: 6fb8.*
+ 1d8d4: 0c6b.*
+ 1d8d5: 6fc0.*
+ 1d8d6: 0c6b.*
+ 1d8d7: 6fc8.*
+ 1d8d8: 0c6b.*
+ 1d8d9: 6fd0.*
+ 1d8da: 0c6b.*
+ 1d8db: 6fd8.*
+ 1d8dc: 0c6b.*
+ 1d8dd: 6fe0.*
+ 1d8de: 3a98.*
+ 1d8df: 0c6b.*
+ 1d8e0: 6fe8.*
+ 1d8e1: 3a99.*
+ 1d8e2: 0c6b.*
+ 1d8e3: 6ff0.*
+ 1d8e4: 3a9a.*
+ 1d8e5: 0c6b.*
+ 1d8e6: 6ff8.*
+ 1d8e7: 3a9b.*
+ 1d8e8: 0c6b.*
+ 1d8e9: 6f81.*
+ 1d8ea: 0c6b.*
+ 1d8eb: 6f89.*
+ 1d8ec: 0c6b.*
+ 1d8ed: 6f91.*
+ 1d8ee: 0c6b.*
+ 1d8ef: 6f99.*
+ 1d8f0: 0c6b.*
+ 1d8f1: 6fa1.*
+ 1d8f2: 0c6b.*
+ 1d8f3: 6fa9.*
+ 1d8f4: 0c6b.*
+ 1d8f5: 6fb1.*
+ 1d8f6: 0c6b.*
+ 1d8f7: 6fb9.*
+ 1d8f8: 0c6b.*
+ 1d8f9: 6fc1.*
+ 1d8fa: 0c6b.*
+ 1d8fb: 6fc9.*
+ 1d8fc: 0c6b.*
+ 1d8fd: 6fd1.*
+ 1d8fe: 0c6b.*
+ 1d8ff: 6fd9.*
+ 1d900: 0c6b.*
+ 1d901: 6fe1.*
+ 1d902: 3a9c.*
+ 1d903: 0c6b.*
+ 1d904: 6fe9.*
+ 1d905: 3a9d.*
+ 1d906: 0c6b.*
+ 1d907: 6ff1.*
+ 1d908: 3a9e.*
+ 1d909: 0c6b.*
+ 1d90a: 6ff8.*
+ 1d90b: 3a9f.*
+ 1d90c: 0c6b.*
+ 1d90d: 9a0b.*
+ 1d90e: 9a4b.*
+ 1d90f: 9a8b.*
+ 1d910: 6f9a.*
+ 1d911: 0c6b.*
+ 1d912: 6fa2.*
+ 1d913: 0c6b.*
+ 1d914: 6faa.*
+ 1d915: 0c6b.*
+ 1d916: 6fb2.*
+ 1d917: 0c6b.*
+ 1d918: 6fba.*
+ 1d919: 0c6b.*
+ 1d91a: 6fc2.*
+ 1d91b: 0c6b.*
+ 1d91c: 6fca.*
+ 1d91d: 0c6b.*
+ 1d91e: 6fd2.*
+ 1d91f: 0c6b.*
+ 1d920: 9acb.*
+ 1d921: 6fe2.*
+ 1d922: 3aa0.*
+ 1d923: 0c6b.*
+ 1d924: 6fea.*
+ 1d925: 3aa1.*
+ 1d926: 0c6b.*
+ 1d927: 6ff2.*
+ 1d928: 3aa2.*
+ 1d929: 0c6b.*
+ 1d92a: 6ff8.*
+ 1d92b: 3aa3.*
+ 1d92c: 0c6b.*
+ 1d92d: 9a1b.*
+ 1d92e: 9a5b.*
+ 1d92f: 9a9b.*
+ 1d930: 6f9b.*
+ 1d931: 0c6b.*
+ 1d932: 6fa3.*
+ 1d933: 0c6b.*
+ 1d934: 6fab.*
+ 1d935: 0c6b.*
+ 1d936: 6fb3.*
+ 1d937: 0c6b.*
+ 1d938: 6fbb.*
+ 1d939: 0c6b.*
+ 1d93a: 6fc3.*
+ 1d93b: 0c6b.*
+ 1d93c: 6fcb.*
+ 1d93d: 0c6b.*
+ 1d93e: 6fd3.*
+ 1d93f: 0c6b.*
+ 1d940: 9adb.*
+ 1d941: 6fe3.*
+ 1d942: 3aa4.*
+ 1d943: 0c6b.*
+ 1d944: 6feb.*
+ 1d945: 3aa5.*
+ 1d946: 0c6b.*
+ 1d947: 6ff3.*
+ 1d948: 3aa6.*
+ 1d949: 0c6b.*
+ 1d94a: 6ff8.*
+ 1d94b: 3aa7.*
+ 1d94c: 0c6b.*
+ 1d94d: 9a2b.*
+ 1d94e: 9a6b.*
+ 1d94f: 9aab.*
+ 1d950: 6f9c.*
+ 1d951: 0c6b.*
+ 1d952: 6fa4.*
+ 1d953: 0c6b.*
+ 1d954: 6fac.*
+ 1d955: 0c6b.*
+ 1d956: 6fb4.*
+ 1d957: 0c6b.*
+ 1d958: 6fbc.*
+ 1d959: 0c6b.*
+ 1d95a: 6fc4.*
+ 1d95b: 0c6b.*
+ 1d95c: 6fcc.*
+ 1d95d: 0c6b.*
+ 1d95e: 6fd4.*
+ 1d95f: 0c6b.*
+ 1d960: 9aeb.*
+ 1d961: 6fe4.*
+ 1d962: 3aa8.*
+ 1d963: 0c6b.*
+ 1d964: 6fec.*
+ 1d965: 3aa9.*
+ 1d966: 0c6b.*
+ 1d967: 6ff4.*
+ 1d968: 3aaa.*
+ 1d969: 0c6b.*
+ 1d96a: 6ff8.*
+ 1d96b: 3aab.*
+ 1d96c: 0c6b.*
+ 1d96d: 9a3b.*
+ 1d96e: 9a7b.*
+ 1d96f: 9abb.*
+ 1d970: 6f9d.*
+ 1d971: 0c6b.*
+ 1d972: 6fa5.*
+ 1d973: 0c6b.*
+ 1d974: 6fad.*
+ 1d975: 0c6b.*
+ 1d976: 6fb5.*
+ 1d977: 0c6b.*
+ 1d978: 6fbd.*
+ 1d979: 0c6b.*
+ 1d97a: 6fc5.*
+ 1d97b: 0c6b.*
+ 1d97c: 6fcd.*
+ 1d97d: 0c6b.*
+ 1d97e: 6fd5.*
+ 1d97f: 0c6b.*
+ 1d980: 9afb.*
+ 1d981: 6fe5.*
+ 1d982: 3aac.*
+ 1d983: 0c6b.*
+ 1d984: 6fed.*
+ 1d985: 3aad.*
+ 1d986: 0c6b.*
+ 1d987: 6ff5.*
+ 1d988: 3aae.*
+ 1d989: 0c6b.*
+ 1d98a: 6ff8.*
+ 1d98b: 3aaf.*
+ 1d98c: 0c6b.*
+ 1d98d: 6f86.*
+ 1d98e: 0c6b.*
+ 1d98f: 6f8e.*
+ 1d990: 0c6b.*
+ 1d991: 6f96.*
+ 1d992: 0c6b.*
+ 1d993: 6f9e.*
+ 1d994: 0c6b.*
+ 1d995: 6fa6.*
+ 1d996: 0c6b.*
+ 1d997: 6fae.*
+ 1d998: 0c6b.*
+ 1d999: 6fb6.*
+ 1d99a: 0c6b.*
+ 1d99b: 6fbe.*
+ 1d99c: 0c6b.*
+ 1d99d: 6fc6.*
+ 1d99e: 0c6b.*
+ 1d99f: 6fce.*
+ 1d9a0: 0c6b.*
+ 1d9a1: 6fd6.*
+ 1d9a2: 0c6b.*
+ 1d9a3: 6fde.*
+ 1d9a4: 0c6b.*
+ 1d9a5: 6fe6.*
+ 1d9a6: 3ab0.*
+ 1d9a7: 0c6b.*
+ 1d9a8: 6fee.*
+ 1d9a9: 3ab1.*
+ 1d9aa: 0c6b.*
+ 1d9ab: 6ff6.*
+ 1d9ac: 3ab2.*
+ 1d9ad: 0c6b.*
+ 1d9ae: 6ff8.*
+ 1d9af: 3ab3.*
+ 1d9b0: 0c6b.*
+ 1d9b1: 6f87.*
+ 1d9b2: 0c6b.*
+ 1d9b3: 6f8f.*
+ 1d9b4: 0c6b.*
+ 1d9b5: 6f97.*
+ 1d9b6: 0c6b.*
+ 1d9b7: 6f9f.*
+ 1d9b8: 0c6b.*
+ 1d9b9: 6fa7.*
+ 1d9ba: 0c6b.*
+ 1d9bb: 6faf.*
+ 1d9bc: 0c6b.*
+ 1d9bd: 6fb7.*
+ 1d9be: 0c6b.*
+ 1d9bf: 6fbf.*
+ 1d9c0: 0c6b.*
+ 1d9c1: 6fc7.*
+ 1d9c2: 0c6b.*
+ 1d9c3: 6fcf.*
+ 1d9c4: 0c6b.*
+ 1d9c5: 6fd7.*
+ 1d9c6: 0c6b.*
+ 1d9c7: 6fdf.*
+ 1d9c8: 0c6b.*
+ 1d9c9: 6fe7.*
+ 1d9ca: 3ab4.*
+ 1d9cb: 0c6b.*
+ 1d9cc: 6fef.*
+ 1d9cd: 3ab5.*
+ 1d9ce: 0c6b.*
+ 1d9cf: 6ff7.*
+ 1d9d0: 3ab6.*
+ 1d9d1: 0c6b.*
+ 1d9d2: 6ff8.*
+ 1d9d3: 3ab7.*
+ 1d9d4: 0c6b.*
+ 1d9d5: 6f80.*
+ 1d9d6: 0c6c.*
+ 1d9d7: 6f88.*
+ 1d9d8: 0c6c.*
+ 1d9d9: 6f90.*
+ 1d9da: 0c6c.*
+ 1d9db: 6f98.*
+ 1d9dc: 0c6c.*
+ 1d9dd: 6fa0.*
+ 1d9de: 0c6c.*
+ 1d9df: 6fa8.*
+ 1d9e0: 0c6c.*
+ 1d9e1: 6fb0.*
+ 1d9e2: 0c6c.*
+ 1d9e3: 6fb8.*
+ 1d9e4: 0c6c.*
+ 1d9e5: 6fc0.*
+ 1d9e6: 0c6c.*
+ 1d9e7: 6fc8.*
+ 1d9e8: 0c6c.*
+ 1d9e9: 6fd0.*
+ 1d9ea: 0c6c.*
+ 1d9eb: 6fd8.*
+ 1d9ec: 0c6c.*
+ 1d9ed: 6fe0.*
+ 1d9ee: 3ab8.*
+ 1d9ef: 0c6c.*
+ 1d9f0: 6fe8.*
+ 1d9f1: 3ab9.*
+ 1d9f2: 0c6c.*
+ 1d9f3: 6ff0.*
+ 1d9f4: 3aba.*
+ 1d9f5: 0c6c.*
+ 1d9f6: 6ff8.*
+ 1d9f7: 3abb.*
+ 1d9f8: 0c6c.*
+ 1d9f9: 6f81.*
+ 1d9fa: 0c6c.*
+ 1d9fb: 6f89.*
+ 1d9fc: 0c6c.*
+ 1d9fd: 6f91.*
+ 1d9fe: 0c6c.*
+ 1d9ff: 6f99.*
+ 1da00: 0c6c.*
+ 1da01: 6fa1.*
+ 1da02: 0c6c.*
+ 1da03: 6fa9.*
+ 1da04: 0c6c.*
+ 1da05: 6fb1.*
+ 1da06: 0c6c.*
+ 1da07: 6fb9.*
+ 1da08: 0c6c.*
+ 1da09: 6fc1.*
+ 1da0a: 0c6c.*
+ 1da0b: 6fc9.*
+ 1da0c: 0c6c.*
+ 1da0d: 6fd1.*
+ 1da0e: 0c6c.*
+ 1da0f: 6fd9.*
+ 1da10: 0c6c.*
+ 1da11: 6fe1.*
+ 1da12: 3abc.*
+ 1da13: 0c6c.*
+ 1da14: 6fe9.*
+ 1da15: 3abd.*
+ 1da16: 0c6c.*
+ 1da17: 6ff1.*
+ 1da18: 3abe.*
+ 1da19: 0c6c.*
+ 1da1a: 6ff8.*
+ 1da1b: 3abf.*
+ 1da1c: 0c6c.*
+ 1da1d: 9a0c.*
+ 1da1e: 9a4c.*
+ 1da1f: 9a8c.*
+ 1da20: 6f9a.*
+ 1da21: 0c6c.*
+ 1da22: 6fa2.*
+ 1da23: 0c6c.*
+ 1da24: 6faa.*
+ 1da25: 0c6c.*
+ 1da26: 6fb2.*
+ 1da27: 0c6c.*
+ 1da28: 6fba.*
+ 1da29: 0c6c.*
+ 1da2a: 6fc2.*
+ 1da2b: 0c6c.*
+ 1da2c: 6fca.*
+ 1da2d: 0c6c.*
+ 1da2e: 6fd2.*
+ 1da2f: 0c6c.*
+ 1da30: 9acc.*
+ 1da31: 6fe2.*
+ 1da32: 3ac0.*
+ 1da33: 0c6c.*
+ 1da34: 6fea.*
+ 1da35: 3ac1.*
+ 1da36: 0c6c.*
+ 1da37: 6ff2.*
+ 1da38: 3ac2.*
+ 1da39: 0c6c.*
+ 1da3a: 6ff8.*
+ 1da3b: 3ac3.*
+ 1da3c: 0c6c.*
+ 1da3d: 9a1c.*
+ 1da3e: 9a5c.*
+ 1da3f: 9a9c.*
+ 1da40: 6f9b.*
+ 1da41: 0c6c.*
+ 1da42: 6fa3.*
+ 1da43: 0c6c.*
+ 1da44: 6fab.*
+ 1da45: 0c6c.*
+ 1da46: 6fb3.*
+ 1da47: 0c6c.*
+ 1da48: 6fbb.*
+ 1da49: 0c6c.*
+ 1da4a: 6fc3.*
+ 1da4b: 0c6c.*
+ 1da4c: 6fcb.*
+ 1da4d: 0c6c.*
+ 1da4e: 6fd3.*
+ 1da4f: 0c6c.*
+ 1da50: 9adc.*
+ 1da51: 6fe3.*
+ 1da52: 3ac4.*
+ 1da53: 0c6c.*
+ 1da54: 6feb.*
+ 1da55: 3ac5.*
+ 1da56: 0c6c.*
+ 1da57: 6ff3.*
+ 1da58: 3ac6.*
+ 1da59: 0c6c.*
+ 1da5a: 6ff8.*
+ 1da5b: 3ac7.*
+ 1da5c: 0c6c.*
+ 1da5d: 9a2c.*
+ 1da5e: 9a6c.*
+ 1da5f: 9aac.*
+ 1da60: 6f9c.*
+ 1da61: 0c6c.*
+ 1da62: 6fa4.*
+ 1da63: 0c6c.*
+ 1da64: 6fac.*
+ 1da65: 0c6c.*
+ 1da66: 6fb4.*
+ 1da67: 0c6c.*
+ 1da68: 6fbc.*
+ 1da69: 0c6c.*
+ 1da6a: 6fc4.*
+ 1da6b: 0c6c.*
+ 1da6c: 6fcc.*
+ 1da6d: 0c6c.*
+ 1da6e: 6fd4.*
+ 1da6f: 0c6c.*
+ 1da70: 9aec.*
+ 1da71: 6fe4.*
+ 1da72: 3ac8.*
+ 1da73: 0c6c.*
+ 1da74: 6fec.*
+ 1da75: 3ac9.*
+ 1da76: 0c6c.*
+ 1da77: 6ff4.*
+ 1da78: 3aca.*
+ 1da79: 0c6c.*
+ 1da7a: 6ff8.*
+ 1da7b: 3acb.*
+ 1da7c: 0c6c.*
+ 1da7d: 9a3c.*
+ 1da7e: 9a7c.*
+ 1da7f: 9abc.*
+ 1da80: 6f9d.*
+ 1da81: 0c6c.*
+ 1da82: 6fa5.*
+ 1da83: 0c6c.*
+ 1da84: 6fad.*
+ 1da85: 0c6c.*
+ 1da86: 6fb5.*
+ 1da87: 0c6c.*
+ 1da88: 6fbd.*
+ 1da89: 0c6c.*
+ 1da8a: 6fc5.*
+ 1da8b: 0c6c.*
+ 1da8c: 6fcd.*
+ 1da8d: 0c6c.*
+ 1da8e: 6fd5.*
+ 1da8f: 0c6c.*
+ 1da90: 9afc.*
+ 1da91: 6fe5.*
+ 1da92: 3acc.*
+ 1da93: 0c6c.*
+ 1da94: 6fed.*
+ 1da95: 3acd.*
+ 1da96: 0c6c.*
+ 1da97: 6ff5.*
+ 1da98: 3ace.*
+ 1da99: 0c6c.*
+ 1da9a: 6ff8.*
+ 1da9b: 3acf.*
+ 1da9c: 0c6c.*
+ 1da9d: 6f86.*
+ 1da9e: 0c6c.*
+ 1da9f: 6f8e.*
+ 1daa0: 0c6c.*
+ 1daa1: 6f96.*
+ 1daa2: 0c6c.*
+ 1daa3: 6f9e.*
+ 1daa4: 0c6c.*
+ 1daa5: 6fa6.*
+ 1daa6: 0c6c.*
+ 1daa7: 6fae.*
+ 1daa8: 0c6c.*
+ 1daa9: 6fb6.*
+ 1daaa: 0c6c.*
+ 1daab: 6fbe.*
+ 1daac: 0c6c.*
+ 1daad: 6fc6.*
+ 1daae: 0c6c.*
+ 1daaf: 6fce.*
+ 1dab0: 0c6c.*
+ 1dab1: 6fd6.*
+ 1dab2: 0c6c.*
+ 1dab3: 6fde.*
+ 1dab4: 0c6c.*
+ 1dab5: 6fe6.*
+ 1dab6: 3ad0.*
+ 1dab7: 0c6c.*
+ 1dab8: 6fee.*
+ 1dab9: 3ad1.*
+ 1daba: 0c6c.*
+ 1dabb: 6ff6.*
+ 1dabc: 3ad2.*
+ 1dabd: 0c6c.*
+ 1dabe: 6ff8.*
+ 1dabf: 3ad3.*
+ 1dac0: 0c6c.*
+ 1dac1: 6f87.*
+ 1dac2: 0c6c.*
+ 1dac3: 6f8f.*
+ 1dac4: 0c6c.*
+ 1dac5: 6f97.*
+ 1dac6: 0c6c.*
+ 1dac7: 6f9f.*
+ 1dac8: 0c6c.*
+ 1dac9: 6fa7.*
+ 1daca: 0c6c.*
+ 1dacb: 6faf.*
+ 1dacc: 0c6c.*
+ 1dacd: 6fb7.*
+ 1dace: 0c6c.*
+ 1dacf: 6fbf.*
+ 1dad0: 0c6c.*
+ 1dad1: 6fc7.*
+ 1dad2: 0c6c.*
+ 1dad3: 6fcf.*
+ 1dad4: 0c6c.*
+ 1dad5: 6fd7.*
+ 1dad6: 0c6c.*
+ 1dad7: 6fdf.*
+ 1dad8: 0c6c.*
+ 1dad9: 6fe7.*
+ 1dada: 3ad4.*
+ 1dadb: 0c6c.*
+ 1dadc: 6fef.*
+ 1dadd: 3ad5.*
+ 1dade: 0c6c.*
+ 1dadf: 6ff7.*
+ 1dae0: 3ad6.*
+ 1dae1: 0c6c.*
+ 1dae2: 6ff8.*
+ 1dae3: 3ad7.*
+ 1dae4: 0c6c.*
+ 1dae5: 6f80.*
+ 1dae6: 0c6d.*
+ 1dae7: 6f88.*
+ 1dae8: 0c6d.*
+ 1dae9: 6f90.*
+ 1daea: 0c6d.*
+ 1daeb: 6f98.*
+ 1daec: 0c6d.*
+ 1daed: 6fa0.*
+ 1daee: 0c6d.*
+ 1daef: 6fa8.*
+ 1daf0: 0c6d.*
+ 1daf1: 6fb0.*
+ 1daf2: 0c6d.*
+ 1daf3: 6fb8.*
+ 1daf4: 0c6d.*
+ 1daf5: 6fc0.*
+ 1daf6: 0c6d.*
+ 1daf7: 6fc8.*
+ 1daf8: 0c6d.*
+ 1daf9: 6fd0.*
+ 1dafa: 0c6d.*
+ 1dafb: 6fd8.*
+ 1dafc: 0c6d.*
+ 1dafd: 6fe0.*
+ 1dafe: 3ad8.*
+ 1daff: 0c6d.*
+ 1db00: 6fe8.*
+ 1db01: 3ad9.*
+ 1db02: 0c6d.*
+ 1db03: 6ff0.*
+ 1db04: 3ada.*
+ 1db05: 0c6d.*
+ 1db06: 6ff8.*
+ 1db07: 3adb.*
+ 1db08: 0c6d.*
+ 1db09: 6f81.*
+ 1db0a: 0c6d.*
+ 1db0b: 6f89.*
+ 1db0c: 0c6d.*
+ 1db0d: 6f91.*
+ 1db0e: 0c6d.*
+ 1db0f: 6f99.*
+ 1db10: 0c6d.*
+ 1db11: 6fa1.*
+ 1db12: 0c6d.*
+ 1db13: 6fa9.*
+ 1db14: 0c6d.*
+ 1db15: 6fb1.*
+ 1db16: 0c6d.*
+ 1db17: 6fb9.*
+ 1db18: 0c6d.*
+ 1db19: 6fc1.*
+ 1db1a: 0c6d.*
+ 1db1b: 6fc9.*
+ 1db1c: 0c6d.*
+ 1db1d: 6fd1.*
+ 1db1e: 0c6d.*
+ 1db1f: 6fd9.*
+ 1db20: 0c6d.*
+ 1db21: 6fe1.*
+ 1db22: 3adc.*
+ 1db23: 0c6d.*
+ 1db24: 6fe9.*
+ 1db25: 3add.*
+ 1db26: 0c6d.*
+ 1db27: 6ff1.*
+ 1db28: 3ade.*
+ 1db29: 0c6d.*
+ 1db2a: 6ff8.*
+ 1db2b: 3adf.*
+ 1db2c: 0c6d.*
+ 1db2d: 9a0d.*
+ 1db2e: 9a4d.*
+ 1db2f: 9a8d.*
+ 1db30: 6f9a.*
+ 1db31: 0c6d.*
+ 1db32: 6fa2.*
+ 1db33: 0c6d.*
+ 1db34: 6faa.*
+ 1db35: 0c6d.*
+ 1db36: 6fb2.*
+ 1db37: 0c6d.*
+ 1db38: 6fba.*
+ 1db39: 0c6d.*
+ 1db3a: 6fc2.*
+ 1db3b: 0c6d.*
+ 1db3c: 6fca.*
+ 1db3d: 0c6d.*
+ 1db3e: 6fd2.*
+ 1db3f: 0c6d.*
+ 1db40: 9acd.*
+ 1db41: 6fe2.*
+ 1db42: 3ae0.*
+ 1db43: 0c6d.*
+ 1db44: 6fea.*
+ 1db45: 3ae1.*
+ 1db46: 0c6d.*
+ 1db47: 6ff2.*
+ 1db48: 3ae2.*
+ 1db49: 0c6d.*
+ 1db4a: 6ff8.*
+ 1db4b: 3ae3.*
+ 1db4c: 0c6d.*
+ 1db4d: 9a1d.*
+ 1db4e: 9a5d.*
+ 1db4f: 9a9d.*
+ 1db50: 6f9b.*
+ 1db51: 0c6d.*
+ 1db52: 6fa3.*
+ 1db53: 0c6d.*
+ 1db54: 6fab.*
+ 1db55: 0c6d.*
+ 1db56: 6fb3.*
+ 1db57: 0c6d.*
+ 1db58: 6fbb.*
+ 1db59: 0c6d.*
+ 1db5a: 6fc3.*
+ 1db5b: 0c6d.*
+ 1db5c: 6fcb.*
+ 1db5d: 0c6d.*
+ 1db5e: 6fd3.*
+ 1db5f: 0c6d.*
+ 1db60: 9add.*
+ 1db61: 6fe3.*
+ 1db62: 3ae4.*
+ 1db63: 0c6d.*
+ 1db64: 6feb.*
+ 1db65: 3ae5.*
+ 1db66: 0c6d.*
+ 1db67: 6ff3.*
+ 1db68: 3ae6.*
+ 1db69: 0c6d.*
+ 1db6a: 6ff8.*
+ 1db6b: 3ae7.*
+ 1db6c: 0c6d.*
+ 1db6d: 9a2d.*
+ 1db6e: 9a6d.*
+ 1db6f: 9aad.*
+ 1db70: 6f9c.*
+ 1db71: 0c6d.*
+ 1db72: 6fa4.*
+ 1db73: 0c6d.*
+ 1db74: 6fac.*
+ 1db75: 0c6d.*
+ 1db76: 6fb4.*
+ 1db77: 0c6d.*
+ 1db78: 6fbc.*
+ 1db79: 0c6d.*
+ 1db7a: 6fc4.*
+ 1db7b: 0c6d.*
+ 1db7c: 6fcc.*
+ 1db7d: 0c6d.*
+ 1db7e: 6fd4.*
+ 1db7f: 0c6d.*
+ 1db80: 9aed.*
+ 1db81: 6fe4.*
+ 1db82: 3ae8.*
+ 1db83: 0c6d.*
+ 1db84: 6fec.*
+ 1db85: 3ae9.*
+ 1db86: 0c6d.*
+ 1db87: 6ff4.*
+ 1db88: 3aea.*
+ 1db89: 0c6d.*
+ 1db8a: 6ff8.*
+ 1db8b: 3aeb.*
+ 1db8c: 0c6d.*
+ 1db8d: 9a3d.*
+ 1db8e: 9a7d.*
+ 1db8f: 9abd.*
+ 1db90: 6f9d.*
+ 1db91: 0c6d.*
+ 1db92: 6fa5.*
+ 1db93: 0c6d.*
+ 1db94: 6fad.*
+ 1db95: 0c6d.*
+ 1db96: 6fb5.*
+ 1db97: 0c6d.*
+ 1db98: 6fbd.*
+ 1db99: 0c6d.*
+ 1db9a: 6fc5.*
+ 1db9b: 0c6d.*
+ 1db9c: 6fcd.*
+ 1db9d: 0c6d.*
+ 1db9e: 6fd5.*
+ 1db9f: 0c6d.*
+ 1dba0: 9afd.*
+ 1dba1: 6fe5.*
+ 1dba2: 3aec.*
+ 1dba3: 0c6d.*
+ 1dba4: 6fed.*
+ 1dba5: 3aed.*
+ 1dba6: 0c6d.*
+ 1dba7: 6ff5.*
+ 1dba8: 3aee.*
+ 1dba9: 0c6d.*
+ 1dbaa: 6ff8.*
+ 1dbab: 3aef.*
+ 1dbac: 0c6d.*
+ 1dbad: 6f86.*
+ 1dbae: 0c6d.*
+ 1dbaf: 6f8e.*
+ 1dbb0: 0c6d.*
+ 1dbb1: 6f96.*
+ 1dbb2: 0c6d.*
+ 1dbb3: 6f9e.*
+ 1dbb4: 0c6d.*
+ 1dbb5: 6fa6.*
+ 1dbb6: 0c6d.*
+ 1dbb7: 6fae.*
+ 1dbb8: 0c6d.*
+ 1dbb9: 6fb6.*
+ 1dbba: 0c6d.*
+ 1dbbb: 6fbe.*
+ 1dbbc: 0c6d.*
+ 1dbbd: 6fc6.*
+ 1dbbe: 0c6d.*
+ 1dbbf: 6fce.*
+ 1dbc0: 0c6d.*
+ 1dbc1: 6fd6.*
+ 1dbc2: 0c6d.*
+ 1dbc3: 6fde.*
+ 1dbc4: 0c6d.*
+ 1dbc5: 6fe6.*
+ 1dbc6: 3af0.*
+ 1dbc7: 0c6d.*
+ 1dbc8: 6fee.*
+ 1dbc9: 3af1.*
+ 1dbca: 0c6d.*
+ 1dbcb: 6ff6.*
+ 1dbcc: 3af2.*
+ 1dbcd: 0c6d.*
+ 1dbce: 6ff8.*
+ 1dbcf: 3af3.*
+ 1dbd0: 0c6d.*
+ 1dbd1: 6f87.*
+ 1dbd2: 0c6d.*
+ 1dbd3: 6f8f.*
+ 1dbd4: 0c6d.*
+ 1dbd5: 6f97.*
+ 1dbd6: 0c6d.*
+ 1dbd7: 6f9f.*
+ 1dbd8: 0c6d.*
+ 1dbd9: 6fa7.*
+ 1dbda: 0c6d.*
+ 1dbdb: 6faf.*
+ 1dbdc: 0c6d.*
+ 1dbdd: 6fb7.*
+ 1dbde: 0c6d.*
+ 1dbdf: 6fbf.*
+ 1dbe0: 0c6d.*
+ 1dbe1: 6fc7.*
+ 1dbe2: 0c6d.*
+ 1dbe3: 6fcf.*
+ 1dbe4: 0c6d.*
+ 1dbe5: 6fd7.*
+ 1dbe6: 0c6d.*
+ 1dbe7: 6fdf.*
+ 1dbe8: 0c6d.*
+ 1dbe9: 6fe7.*
+ 1dbea: 3af4.*
+ 1dbeb: 0c6d.*
+ 1dbec: 6fef.*
+ 1dbed: 3af5.*
+ 1dbee: 0c6d.*
+ 1dbef: 6ff7.*
+ 1dbf0: 3af6.*
+ 1dbf1: 0c6d.*
+ 1dbf2: 6ff8.*
+ 1dbf3: 3af7.*
+ 1dbf4: 0c6d.*
+ 1dbf5: 6f80.*
+ 1dbf6: 0c6e.*
+ 1dbf7: 6f88.*
+ 1dbf8: 0c6e.*
+ 1dbf9: 6f90.*
+ 1dbfa: 0c6e.*
+ 1dbfb: 6f98.*
+ 1dbfc: 0c6e.*
+ 1dbfd: 6fa0.*
+ 1dbfe: 0c6e.*
+ 1dbff: 6fa8.*
+ 1dc00: 0c6e.*
+ 1dc01: 6fb0.*
+ 1dc02: 0c6e.*
+ 1dc03: 6fb8.*
+ 1dc04: 0c6e.*
+ 1dc05: 6fc0.*
+ 1dc06: 0c6e.*
+ 1dc07: 6fc8.*
+ 1dc08: 0c6e.*
+ 1dc09: 6fd0.*
+ 1dc0a: 0c6e.*
+ 1dc0b: 6fd8.*
+ 1dc0c: 0c6e.*
+ 1dc0d: 6fe0.*
+ 1dc0e: 3af8.*
+ 1dc0f: 0c6e.*
+ 1dc10: 6fe8.*
+ 1dc11: 3af9.*
+ 1dc12: 0c6e.*
+ 1dc13: 6ff0.*
+ 1dc14: 3afa.*
+ 1dc15: 0c6e.*
+ 1dc16: 6ff8.*
+ 1dc17: 3afb.*
+ 1dc18: 0c6e.*
+ 1dc19: 6f81.*
+ 1dc1a: 0c6e.*
+ 1dc1b: 6f89.*
+ 1dc1c: 0c6e.*
+ 1dc1d: 6f91.*
+ 1dc1e: 0c6e.*
+ 1dc1f: 6f99.*
+ 1dc20: 0c6e.*
+ 1dc21: 6fa1.*
+ 1dc22: 0c6e.*
+ 1dc23: 6fa9.*
+ 1dc24: 0c6e.*
+ 1dc25: 6fb1.*
+ 1dc26: 0c6e.*
+ 1dc27: 6fb9.*
+ 1dc28: 0c6e.*
+ 1dc29: 6fc1.*
+ 1dc2a: 0c6e.*
+ 1dc2b: 6fc9.*
+ 1dc2c: 0c6e.*
+ 1dc2d: 6fd1.*
+ 1dc2e: 0c6e.*
+ 1dc2f: 6fd9.*
+ 1dc30: 0c6e.*
+ 1dc31: 6fe1.*
+ 1dc32: 3afc.*
+ 1dc33: 0c6e.*
+ 1dc34: 6fe9.*
+ 1dc35: 3afd.*
+ 1dc36: 0c6e.*
+ 1dc37: 6ff1.*
+ 1dc38: 3afe.*
+ 1dc39: 0c6e.*
+ 1dc3a: 6ff8.*
+ 1dc3b: 3aff.*
+ 1dc3c: 0c6e.*
+ 1dc3d: 9a0e.*
+ 1dc3e: 9a4e.*
+ 1dc3f: 9a8e.*
+ 1dc40: 6f9a.*
+ 1dc41: 0c6e.*
+ 1dc42: 6fa2.*
+ 1dc43: 0c6e.*
+ 1dc44: 6faa.*
+ 1dc45: 0c6e.*
+ 1dc46: 6fb2.*
+ 1dc47: 0c6e.*
+ 1dc48: 6fba.*
+ 1dc49: 0c6e.*
+ 1dc4a: 6fc2.*
+ 1dc4b: 0c6e.*
+ 1dc4c: 6fca.*
+ 1dc4d: 0c6e.*
+ 1dc4e: 6fd2.*
+ 1dc4f: 0c6e.*
+ 1dc50: 9ace.*
+ 1dc51: 6fe2.*
+ 1dc52: 3b00.*
+ 1dc53: 0c6e.*
+ 1dc54: 6fea.*
+ 1dc55: 3b01.*
+ 1dc56: 0c6e.*
+ 1dc57: 6ff2.*
+ 1dc58: 3b02.*
+ 1dc59: 0c6e.*
+ 1dc5a: 6ff8.*
+ 1dc5b: 3b03.*
+ 1dc5c: 0c6e.*
+ 1dc5d: 9a1e.*
+ 1dc5e: 9a5e.*
+ 1dc5f: 9a9e.*
+ 1dc60: 6f9b.*
+ 1dc61: 0c6e.*
+ 1dc62: 6fa3.*
+ 1dc63: 0c6e.*
+ 1dc64: 6fab.*
+ 1dc65: 0c6e.*
+ 1dc66: 6fb3.*
+ 1dc67: 0c6e.*
+ 1dc68: 6fbb.*
+ 1dc69: 0c6e.*
+ 1dc6a: 6fc3.*
+ 1dc6b: 0c6e.*
+ 1dc6c: 6fcb.*
+ 1dc6d: 0c6e.*
+ 1dc6e: 6fd3.*
+ 1dc6f: 0c6e.*
+ 1dc70: 9ade.*
+ 1dc71: 6fe3.*
+ 1dc72: 3b04.*
+ 1dc73: 0c6e.*
+ 1dc74: 6feb.*
+ 1dc75: 3b05.*
+ 1dc76: 0c6e.*
+ 1dc77: 6ff3.*
+ 1dc78: 3b06.*
+ 1dc79: 0c6e.*
+ 1dc7a: 6ff8.*
+ 1dc7b: 3b07.*
+ 1dc7c: 0c6e.*
+ 1dc7d: 9a2e.*
+ 1dc7e: 9a6e.*
+ 1dc7f: 9aae.*
+ 1dc80: 6f9c.*
+ 1dc81: 0c6e.*
+ 1dc82: 6fa4.*
+ 1dc83: 0c6e.*
+ 1dc84: 6fac.*
+ 1dc85: 0c6e.*
+ 1dc86: 6fb4.*
+ 1dc87: 0c6e.*
+ 1dc88: 6fbc.*
+ 1dc89: 0c6e.*
+ 1dc8a: 6fc4.*
+ 1dc8b: 0c6e.*
+ 1dc8c: 6fcc.*
+ 1dc8d: 0c6e.*
+ 1dc8e: 6fd4.*
+ 1dc8f: 0c6e.*
+ 1dc90: 9aee.*
+ 1dc91: 6fe4.*
+ 1dc92: 3b08.*
+ 1dc93: 0c6e.*
+ 1dc94: 6fec.*
+ 1dc95: 3b09.*
+ 1dc96: 0c6e.*
+ 1dc97: 6ff4.*
+ 1dc98: 3b0a.*
+ 1dc99: 0c6e.*
+ 1dc9a: 6ff8.*
+ 1dc9b: 3b0b.*
+ 1dc9c: 0c6e.*
+ 1dc9d: 9a3e.*
+ 1dc9e: 9a7e.*
+ 1dc9f: 9abe.*
+ 1dca0: 6f9d.*
+ 1dca1: 0c6e.*
+ 1dca2: 6fa5.*
+ 1dca3: 0c6e.*
+ 1dca4: 6fad.*
+ 1dca5: 0c6e.*
+ 1dca6: 6fb5.*
+ 1dca7: 0c6e.*
+ 1dca8: 6fbd.*
+ 1dca9: 0c6e.*
+ 1dcaa: 6fc5.*
+ 1dcab: 0c6e.*
+ 1dcac: 6fcd.*
+ 1dcad: 0c6e.*
+ 1dcae: 6fd5.*
+ 1dcaf: 0c6e.*
+ 1dcb0: 9afe.*
+ 1dcb1: 6fe5.*
+ 1dcb2: 3b0c.*
+ 1dcb3: 0c6e.*
+ 1dcb4: 6fed.*
+ 1dcb5: 3b0d.*
+ 1dcb6: 0c6e.*
+ 1dcb7: 6ff5.*
+ 1dcb8: 3b0e.*
+ 1dcb9: 0c6e.*
+ 1dcba: 6ff8.*
+ 1dcbb: 3b0f.*
+ 1dcbc: 0c6e.*
+ 1dcbd: 6f86.*
+ 1dcbe: 0c6e.*
+ 1dcbf: 6f8e.*
+ 1dcc0: 0c6e.*
+ 1dcc1: 6f96.*
+ 1dcc2: 0c6e.*
+ 1dcc3: 6f9e.*
+ 1dcc4: 0c6e.*
+ 1dcc5: 6fa6.*
+ 1dcc6: 0c6e.*
+ 1dcc7: 6fae.*
+ 1dcc8: 0c6e.*
+ 1dcc9: 6fb6.*
+ 1dcca: 0c6e.*
+ 1dccb: 6fbe.*
+ 1dccc: 0c6e.*
+ 1dccd: 6fc6.*
+ 1dcce: 0c6e.*
+ 1dccf: 6fce.*
+ 1dcd0: 0c6e.*
+ 1dcd1: 6fd6.*
+ 1dcd2: 0c6e.*
+ 1dcd3: 6fde.*
+ 1dcd4: 0c6e.*
+ 1dcd5: 6fe6.*
+ 1dcd6: 3b10.*
+ 1dcd7: 0c6e.*
+ 1dcd8: 6fee.*
+ 1dcd9: 3b11.*
+ 1dcda: 0c6e.*
+ 1dcdb: 6ff6.*
+ 1dcdc: 3b12.*
+ 1dcdd: 0c6e.*
+ 1dcde: 6ff8.*
+ 1dcdf: 3b13.*
+ 1dce0: 0c6e.*
+ 1dce1: 6f87.*
+ 1dce2: 0c6e.*
+ 1dce3: 6f8f.*
+ 1dce4: 0c6e.*
+ 1dce5: 6f97.*
+ 1dce6: 0c6e.*
+ 1dce7: 6f9f.*
+ 1dce8: 0c6e.*
+ 1dce9: 6fa7.*
+ 1dcea: 0c6e.*
+ 1dceb: 6faf.*
+ 1dcec: 0c6e.*
+ 1dced: 6fb7.*
+ 1dcee: 0c6e.*
+ 1dcef: 6fbf.*
+ 1dcf0: 0c6e.*
+ 1dcf1: 6fc7.*
+ 1dcf2: 0c6e.*
+ 1dcf3: 6fcf.*
+ 1dcf4: 0c6e.*
+ 1dcf5: 6fd7.*
+ 1dcf6: 0c6e.*
+ 1dcf7: 6fdf.*
+ 1dcf8: 0c6e.*
+ 1dcf9: 6fe7.*
+ 1dcfa: 3b14.*
+ 1dcfb: 0c6e.*
+ 1dcfc: 6fef.*
+ 1dcfd: 3b15.*
+ 1dcfe: 0c6e.*
+ 1dcff: 6ff7.*
+ 1dd00: 3b16.*
+ 1dd01: 0c6e.*
+ 1dd02: 6ff8.*
+ 1dd03: 3b17.*
+ 1dd04: 0c6e.*
+ 1dd05: 6f80.*
+ 1dd06: 0c6f.*
+ 1dd07: 6f88.*
+ 1dd08: 0c6f.*
+ 1dd09: 6f90.*
+ 1dd0a: 0c6f.*
+ 1dd0b: 6f98.*
+ 1dd0c: 0c6f.*
+ 1dd0d: 6fa0.*
+ 1dd0e: 0c6f.*
+ 1dd0f: 6fa8.*
+ 1dd10: 0c6f.*
+ 1dd11: 6fb0.*
+ 1dd12: 0c6f.*
+ 1dd13: 6fb8.*
+ 1dd14: 0c6f.*
+ 1dd15: 6fc0.*
+ 1dd16: 0c6f.*
+ 1dd17: 6fc8.*
+ 1dd18: 0c6f.*
+ 1dd19: 6fd0.*
+ 1dd1a: 0c6f.*
+ 1dd1b: 6fd8.*
+ 1dd1c: 0c6f.*
+ 1dd1d: 6fe0.*
+ 1dd1e: 3b18.*
+ 1dd1f: 0c6f.*
+ 1dd20: 6fe8.*
+ 1dd21: 3b19.*
+ 1dd22: 0c6f.*
+ 1dd23: 6ff0.*
+ 1dd24: 3b1a.*
+ 1dd25: 0c6f.*
+ 1dd26: 6ff8.*
+ 1dd27: 3b1b.*
+ 1dd28: 0c6f.*
+ 1dd29: 6f81.*
+ 1dd2a: 0c6f.*
+ 1dd2b: 6f89.*
+ 1dd2c: 0c6f.*
+ 1dd2d: 6f91.*
+ 1dd2e: 0c6f.*
+ 1dd2f: 6f99.*
+ 1dd30: 0c6f.*
+ 1dd31: 6fa1.*
+ 1dd32: 0c6f.*
+ 1dd33: 6fa9.*
+ 1dd34: 0c6f.*
+ 1dd35: 6fb1.*
+ 1dd36: 0c6f.*
+ 1dd37: 6fb9.*
+ 1dd38: 0c6f.*
+ 1dd39: 6fc1.*
+ 1dd3a: 0c6f.*
+ 1dd3b: 6fc9.*
+ 1dd3c: 0c6f.*
+ 1dd3d: 6fd1.*
+ 1dd3e: 0c6f.*
+ 1dd3f: 6fd9.*
+ 1dd40: 0c6f.*
+ 1dd41: 6fe1.*
+ 1dd42: 3b1c.*
+ 1dd43: 0c6f.*
+ 1dd44: 6fe9.*
+ 1dd45: 3b1d.*
+ 1dd46: 0c6f.*
+ 1dd47: 6ff1.*
+ 1dd48: 3b1e.*
+ 1dd49: 0c6f.*
+ 1dd4a: 6ff8.*
+ 1dd4b: 3b1f.*
+ 1dd4c: 0c6f.*
+ 1dd4d: 9a0f.*
+ 1dd4e: 9a4f.*
+ 1dd4f: 9a8f.*
+ 1dd50: 6f9a.*
+ 1dd51: 0c6f.*
+ 1dd52: 6fa2.*
+ 1dd53: 0c6f.*
+ 1dd54: 6faa.*
+ 1dd55: 0c6f.*
+ 1dd56: 6fb2.*
+ 1dd57: 0c6f.*
+ 1dd58: 6fba.*
+ 1dd59: 0c6f.*
+ 1dd5a: 6fc2.*
+ 1dd5b: 0c6f.*
+ 1dd5c: 6fca.*
+ 1dd5d: 0c6f.*
+ 1dd5e: 6fd2.*
+ 1dd5f: 0c6f.*
+ 1dd60: 9acf.*
+ 1dd61: 6fe2.*
+ 1dd62: 3b20.*
+ 1dd63: 0c6f.*
+ 1dd64: 6fea.*
+ 1dd65: 3b21.*
+ 1dd66: 0c6f.*
+ 1dd67: 6ff2.*
+ 1dd68: 3b22.*
+ 1dd69: 0c6f.*
+ 1dd6a: 6ff8.*
+ 1dd6b: 3b23.*
+ 1dd6c: 0c6f.*
+ 1dd6d: 9a1f.*
+ 1dd6e: 9a5f.*
+ 1dd6f: 9a9f.*
+ 1dd70: 6f9b.*
+ 1dd71: 0c6f.*
+ 1dd72: 6fa3.*
+ 1dd73: 0c6f.*
+ 1dd74: 6fab.*
+ 1dd75: 0c6f.*
+ 1dd76: 6fb3.*
+ 1dd77: 0c6f.*
+ 1dd78: 6fbb.*
+ 1dd79: 0c6f.*
+ 1dd7a: 6fc3.*
+ 1dd7b: 0c6f.*
+ 1dd7c: 6fcb.*
+ 1dd7d: 0c6f.*
+ 1dd7e: 6fd3.*
+ 1dd7f: 0c6f.*
+ 1dd80: 9adf.*
+ 1dd81: 6fe3.*
+ 1dd82: 3b24.*
+ 1dd83: 0c6f.*
+ 1dd84: 6feb.*
+ 1dd85: 3b25.*
+ 1dd86: 0c6f.*
+ 1dd87: 6ff3.*
+ 1dd88: 3b26.*
+ 1dd89: 0c6f.*
+ 1dd8a: 6ff8.*
+ 1dd8b: 3b27.*
+ 1dd8c: 0c6f.*
+ 1dd8d: 9a2f.*
+ 1dd8e: 9a6f.*
+ 1dd8f: 9aaf.*
+ 1dd90: 6f9c.*
+ 1dd91: 0c6f.*
+ 1dd92: 6fa4.*
+ 1dd93: 0c6f.*
+ 1dd94: 6fac.*
+ 1dd95: 0c6f.*
+ 1dd96: 6fb4.*
+ 1dd97: 0c6f.*
+ 1dd98: 6fbc.*
+ 1dd99: 0c6f.*
+ 1dd9a: 6fc4.*
+ 1dd9b: 0c6f.*
+ 1dd9c: 6fcc.*
+ 1dd9d: 0c6f.*
+ 1dd9e: 6fd4.*
+ 1dd9f: 0c6f.*
+ 1dda0: 9aef.*
+ 1dda1: 6fe4.*
+ 1dda2: 3b28.*
+ 1dda3: 0c6f.*
+ 1dda4: 6fec.*
+ 1dda5: 3b29.*
+ 1dda6: 0c6f.*
+ 1dda7: 6ff4.*
+ 1dda8: 3b2a.*
+ 1dda9: 0c6f.*
+ 1ddaa: 6ff8.*
+ 1ddab: 3b2b.*
+ 1ddac: 0c6f.*
+ 1ddad: 9a3f.*
+ 1ddae: 9a7f.*
+ 1ddaf: 9abf.*
+ 1ddb0: 6f9d.*
+ 1ddb1: 0c6f.*
+ 1ddb2: 6fa5.*
+ 1ddb3: 0c6f.*
+ 1ddb4: 6fad.*
+ 1ddb5: 0c6f.*
+ 1ddb6: 6fb5.*
+ 1ddb7: 0c6f.*
+ 1ddb8: 6fbd.*
+ 1ddb9: 0c6f.*
+ 1ddba: 6fc5.*
+ 1ddbb: 0c6f.*
+ 1ddbc: 6fcd.*
+ 1ddbd: 0c6f.*
+ 1ddbe: 6fd5.*
+ 1ddbf: 0c6f.*
+ 1ddc0: 9aff.*
+ 1ddc1: 6fe5.*
+ 1ddc2: 3b2c.*
+ 1ddc3: 0c6f.*
+ 1ddc4: 6fed.*
+ 1ddc5: 3b2d.*
+ 1ddc6: 0c6f.*
+ 1ddc7: 6ff5.*
+ 1ddc8: 3b2e.*
+ 1ddc9: 0c6f.*
+ 1ddca: 6ff8.*
+ 1ddcb: 3b2f.*
+ 1ddcc: 0c6f.*
+ 1ddcd: 6f86.*
+ 1ddce: 0c6f.*
+ 1ddcf: 6f8e.*
+ 1ddd0: 0c6f.*
+ 1ddd1: 6f96.*
+ 1ddd2: 0c6f.*
+ 1ddd3: 6f9e.*
+ 1ddd4: 0c6f.*
+ 1ddd5: 6fa6.*
+ 1ddd6: 0c6f.*
+ 1ddd7: 6fae.*
+ 1ddd8: 0c6f.*
+ 1ddd9: 6fb6.*
+ 1ddda: 0c6f.*
+ 1dddb: 6fbe.*
+ 1dddc: 0c6f.*
+ 1dddd: 6fc6.*
+ 1ddde: 0c6f.*
+ 1dddf: 6fce.*
+ 1dde0: 0c6f.*
+ 1dde1: 6fd6.*
+ 1dde2: 0c6f.*
+ 1dde3: 6fde.*
+ 1dde4: 0c6f.*
+ 1dde5: 6fe6.*
+ 1dde6: 3b30.*
+ 1dde7: 0c6f.*
+ 1dde8: 6fee.*
+ 1dde9: 3b31.*
+ 1ddea: 0c6f.*
+ 1ddeb: 6ff6.*
+ 1ddec: 3b32.*
+ 1dded: 0c6f.*
+ 1ddee: 6ff8.*
+ 1ddef: 3b33.*
+ 1ddf0: 0c6f.*
+ 1ddf1: 6f87.*
+ 1ddf2: 0c6f.*
+ 1ddf3: 6f8f.*
+ 1ddf4: 0c6f.*
+ 1ddf5: 6f97.*
+ 1ddf6: 0c6f.*
+ 1ddf7: 6f9f.*
+ 1ddf8: 0c6f.*
+ 1ddf9: 6fa7.*
+ 1ddfa: 0c6f.*
+ 1ddfb: 6faf.*
+ 1ddfc: 0c6f.*
+ 1ddfd: 6fb7.*
+ 1ddfe: 0c6f.*
+ 1ddff: 6fbf.*
+ 1de00: 0c6f.*
+ 1de01: 6fc7.*
+ 1de02: 0c6f.*
+ 1de03: 6fcf.*
+ 1de04: 0c6f.*
+ 1de05: 6fd7.*
+ 1de06: 0c6f.*
+ 1de07: 6fdf.*
+ 1de08: 0c6f.*
+ 1de09: 6fe7.*
+ 1de0a: 3b34.*
+ 1de0b: 0c6f.*
+ 1de0c: 6fef.*
+ 1de0d: 3b35.*
+ 1de0e: 0c6f.*
+ 1de0f: 6ff7.*
+ 1de10: 3b36.*
+ 1de11: 0c6f.*
+ 1de12: 6ff8.*
+ 1de13: 3b37.*
+ 1de14: 0c6f.*
+ 1de15: 8380.*
+ 1de16: 8388.*
+ 1de17: 8390.*
+ 1de18: 8398.*
+ 1de19: 83a0.*
+ 1de1a: 83a8.*
+ 1de1b: 83b0.*
+ 1de1c: 83b8.*
+ 1de1d: 83c0.*
+ 1de1e: 83c8.*
+ 1de1f: 83d0.*
+ 1de20: 83d8.*
+ 1de21: 83e0.*
+ 1de22: 3b38.*
+ 1de23: 83e8.*
+ 1de24: 3b39.*
+ 1de25: 83f0.*
+ 1de26: 3b3a.*
+ 1de27: 83f8.*
+ 1de28: 3b3b.*
+ 1de29: 8381.*
+ 1de2a: 8389.*
+ 1de2b: 8391.*
+ 1de2c: 8399.*
+ 1de2d: 83a1.*
+ 1de2e: 83a9.*
+ 1de2f: 83b1.*
+ 1de30: 83b9.*
+ 1de31: 83c1.*
+ 1de32: 83c9.*
+ 1de33: 83d1.*
+ 1de34: 83d9.*
+ 1de35: 83e1.*
+ 1de36: 3b3c.*
+ 1de37: 83e9.*
+ 1de38: 3b3d.*
+ 1de39: 83f1.*
+ 1de3a: 3b3e.*
+ 1de3b: 83f8.*
+ 1de3c: 3b3f.*
+ 1de3d: 8382.*
+ 1de3e: 838a.*
+ 1de3f: 8392.*
+ 1de40: 839a.*
+ 1de41: 83a2.*
+ 1de42: 83aa.*
+ 1de43: 83b2.*
+ 1de44: 83ba.*
+ 1de45: 83c2.*
+ 1de46: 83ca.*
+ 1de47: 83d2.*
+ 1de48: 83da.*
+ 1de49: 83e2.*
+ 1de4a: 3b40.*
+ 1de4b: 83ea.*
+ 1de4c: 3b41.*
+ 1de4d: 83f2.*
+ 1de4e: 3b42.*
+ 1de4f: 83f8.*
+ 1de50: 3b43.*
+ 1de51: 8383.*
+ 1de52: 838b.*
+ 1de53: 8393.*
+ 1de54: 839b.*
+ 1de55: 83a3.*
+ 1de56: 83ab.*
+ 1de57: 83b3.*
+ 1de58: 83bb.*
+ 1de59: 83c3.*
+ 1de5a: 83cb.*
+ 1de5b: 83d3.*
+ 1de5c: 83db.*
+ 1de5d: 83e3.*
+ 1de5e: 3b44.*
+ 1de5f: 83eb.*
+ 1de60: 3b45.*
+ 1de61: 83f3.*
+ 1de62: 3b46.*
+ 1de63: 83f8.*
+ 1de64: 3b47.*
+ 1de65: 8384.*
+ 1de66: 838c.*
+ 1de67: 8394.*
+ 1de68: 839c.*
+ 1de69: 83a4.*
+ 1de6a: 83ac.*
+ 1de6b: 83b4.*
+ 1de6c: 83bc.*
+ 1de6d: 83c4.*
+ 1de6e: 83cc.*
+ 1de6f: 83d4.*
+ 1de70: 83dc.*
+ 1de71: 83e4.*
+ 1de72: 3b48.*
+ 1de73: 83ec.*
+ 1de74: 3b49.*
+ 1de75: 83f4.*
+ 1de76: 3b4a.*
+ 1de77: 83f8.*
+ 1de78: 3b4b.*
+ 1de79: 8385.*
+ 1de7a: 838d.*
+ 1de7b: 8395.*
+ 1de7c: 839d.*
+ 1de7d: 83a5.*
+ 1de7e: 83ad.*
+ 1de7f: 83b5.*
+ 1de80: 83bd.*
+ 1de81: 83c5.*
+ 1de82: 83cd.*
+ 1de83: 83d5.*
+ 1de84: 83dd.*
+ 1de85: 83e5.*
+ 1de86: 3b4c.*
+ 1de87: 83ed.*
+ 1de88: 3b4d.*
+ 1de89: 83f5.*
+ 1de8a: 3b4e.*
+ 1de8b: 83f8.*
+ 1de8c: 3b4f.*
+ 1de8d: 8386.*
+ 1de8e: 838e.*
+ 1de8f: 8396.*
+ 1de90: 839e.*
+ 1de91: 83a6.*
+ 1de92: 83ae.*
+ 1de93: 83b6.*
+ 1de94: 83be.*
+ 1de95: 83c6.*
+ 1de96: 83ce.*
+ 1de97: 83d6.*
+ 1de98: 83de.*
+ 1de99: 83e6.*
+ 1de9a: 3b50.*
+ 1de9b: 83ee.*
+ 1de9c: 3b51.*
+ 1de9d: 83f6.*
+ 1de9e: 3b52.*
+ 1de9f: 83f8.*
+ 1dea0: 3b53.*
+ 1dea1: 8387.*
+ 1dea2: 838f.*
+ 1dea3: 8397.*
+ 1dea4: 839f.*
+ 1dea5: 83a7.*
+ 1dea6: 83af.*
+ 1dea7: 83b7.*
+ 1dea8: 83bf.*
+ 1dea9: 83c7.*
+ 1deaa: 83cf.*
+ 1deab: 83d7.*
+ 1deac: 83df.*
+ 1dead: 83e7.*
+ 1deae: 3b54.*
+ 1deaf: 83ef.*
+ 1deb0: 3b55.*
+ 1deb1: 83f7.*
+ 1deb2: 3b56.*
+ 1deb3: 83f8.*
+ 1deb4: 3b57.*
+ 1deb5: 6f80.*
+ 1deb6: 0d70.*
+ 1deb7: 6f88.*
+ 1deb8: 0d70.*
+ 1deb9: 6f90.*
+ 1deba: 0d70.*
+ 1debb: 6f98.*
+ 1debc: 0d70.*
+ 1debd: 6fa0.*
+ 1debe: 0d70.*
+ 1debf: 6fa8.*
+ 1dec0: 0d70.*
+ 1dec1: 6fb0.*
+ 1dec2: 0d70.*
+ 1dec3: 6fb8.*
+ 1dec4: 0d70.*
+ 1dec5: 6fc0.*
+ 1dec6: 0d70.*
+ 1dec7: 6fc8.*
+ 1dec8: 0d70.*
+ 1dec9: 6fd0.*
+ 1deca: 0d70.*
+ 1decb: 6fd8.*
+ 1decc: 0d70.*
+ 1decd: 6fe0.*
+ 1dece: 3b58.*
+ 1decf: 0d70.*
+ 1ded0: 6fe8.*
+ 1ded1: 3b59.*
+ 1ded2: 0d70.*
+ 1ded3: 6ff0.*
+ 1ded4: 3b5a.*
+ 1ded5: 0d70.*
+ 1ded6: 6ff8.*
+ 1ded7: 3b5b.*
+ 1ded8: 0d70.*
+ 1ded9: 6f81.*
+ 1deda: 0d70.*
+ 1dedb: 6f89.*
+ 1dedc: 0d70.*
+ 1dedd: 6f91.*
+ 1dede: 0d70.*
+ 1dedf: 6f99.*
+ 1dee0: 0d70.*
+ 1dee1: 6fa1.*
+ 1dee2: 0d70.*
+ 1dee3: 6fa9.*
+ 1dee4: 0d70.*
+ 1dee5: 6fb1.*
+ 1dee6: 0d70.*
+ 1dee7: 6fb9.*
+ 1dee8: 0d70.*
+ 1dee9: 6fc1.*
+ 1deea: 0d70.*
+ 1deeb: 6fc9.*
+ 1deec: 0d70.*
+ 1deed: 6fd1.*
+ 1deee: 0d70.*
+ 1deef: 6fd9.*
+ 1def0: 0d70.*
+ 1def1: 6fe1.*
+ 1def2: 3b5c.*
+ 1def3: 0d70.*
+ 1def4: 6fe9.*
+ 1def5: 3b5d.*
+ 1def6: 0d70.*
+ 1def7: 6ff1.*
+ 1def8: 3b5e.*
+ 1def9: 0d70.*
+ 1defa: 6ff8.*
+ 1defb: 3b5f.*
+ 1defc: 0d70.*
+ 1defd: 6f82.*
+ 1defe: 0d70.*
+ 1deff: 6f8a.*
+ 1df00: 0d70.*
+ 1df01: 6f92.*
+ 1df02: 0d70.*
+ 1df03: 6f9a.*
+ 1df04: 0d70.*
+ 1df05: 6fa2.*
+ 1df06: 0d70.*
+ 1df07: 6faa.*
+ 1df08: 0d70.*
+ 1df09: 6fb2.*
+ 1df0a: 0d70.*
+ 1df0b: 6fba.*
+ 1df0c: 0d70.*
+ 1df0d: 6fc2.*
+ 1df0e: 0d70.*
+ 1df0f: 6fca.*
+ 1df10: 0d70.*
+ 1df11: 6fd2.*
+ 1df12: 0d70.*
+ 1df13: 6fda.*
+ 1df14: 0d70.*
+ 1df15: 6fe2.*
+ 1df16: 3b60.*
+ 1df17: 0d70.*
+ 1df18: 6fea.*
+ 1df19: 3b61.*
+ 1df1a: 0d70.*
+ 1df1b: 6ff2.*
+ 1df1c: 3b62.*
+ 1df1d: 0d70.*
+ 1df1e: 6ff8.*
+ 1df1f: 3b63.*
+ 1df20: 0d70.*
+ 1df21: 6f83.*
+ 1df22: 0d70.*
+ 1df23: 6f8b.*
+ 1df24: 0d70.*
+ 1df25: 6f93.*
+ 1df26: 0d70.*
+ 1df27: 6f9b.*
+ 1df28: 0d70.*
+ 1df29: 6fa3.*
+ 1df2a: 0d70.*
+ 1df2b: 6fab.*
+ 1df2c: 0d70.*
+ 1df2d: 6fb3.*
+ 1df2e: 0d70.*
+ 1df2f: 6fbb.*
+ 1df30: 0d70.*
+ 1df31: 6fc3.*
+ 1df32: 0d70.*
+ 1df33: 6fcb.*
+ 1df34: 0d70.*
+ 1df35: 6fd3.*
+ 1df36: 0d70.*
+ 1df37: 6fdb.*
+ 1df38: 0d70.*
+ 1df39: 6fe3.*
+ 1df3a: 3b64.*
+ 1df3b: 0d70.*
+ 1df3c: 6feb.*
+ 1df3d: 3b65.*
+ 1df3e: 0d70.*
+ 1df3f: 6ff3.*
+ 1df40: 3b66.*
+ 1df41: 0d70.*
+ 1df42: 6ff8.*
+ 1df43: 3b67.*
+ 1df44: 0d70.*
+ 1df45: 6f84.*
+ 1df46: 0d70.*
+ 1df47: 6f8c.*
+ 1df48: 0d70.*
+ 1df49: 6f94.*
+ 1df4a: 0d70.*
+ 1df4b: 6f9c.*
+ 1df4c: 0d70.*
+ 1df4d: 6fa4.*
+ 1df4e: 0d70.*
+ 1df4f: 6fac.*
+ 1df50: 0d70.*
+ 1df51: 6fb4.*
+ 1df52: 0d70.*
+ 1df53: 6fbc.*
+ 1df54: 0d70.*
+ 1df55: 6fc4.*
+ 1df56: 0d70.*
+ 1df57: 6fcc.*
+ 1df58: 0d70.*
+ 1df59: 6fd4.*
+ 1df5a: 0d70.*
+ 1df5b: 6fdc.*
+ 1df5c: 0d70.*
+ 1df5d: 6fe4.*
+ 1df5e: 3b68.*
+ 1df5f: 0d70.*
+ 1df60: 6fec.*
+ 1df61: 3b69.*
+ 1df62: 0d70.*
+ 1df63: 6ff4.*
+ 1df64: 3b6a.*
+ 1df65: 0d70.*
+ 1df66: 6ff8.*
+ 1df67: 3b6b.*
+ 1df68: 0d70.*
+ 1df69: 6f85.*
+ 1df6a: 0d70.*
+ 1df6b: 6f8d.*
+ 1df6c: 0d70.*
+ 1df6d: 6f95.*
+ 1df6e: 0d70.*
+ 1df6f: 6f9d.*
+ 1df70: 0d70.*
+ 1df71: 6fa5.*
+ 1df72: 0d70.*
+ 1df73: 6fad.*
+ 1df74: 0d70.*
+ 1df75: 6fb5.*
+ 1df76: 0d70.*
+ 1df77: 6fbd.*
+ 1df78: 0d70.*
+ 1df79: 6fc5.*
+ 1df7a: 0d70.*
+ 1df7b: 6fcd.*
+ 1df7c: 0d70.*
+ 1df7d: 6fd5.*
+ 1df7e: 0d70.*
+ 1df7f: 6fdd.*
+ 1df80: 0d70.*
+ 1df81: 6fe5.*
+ 1df82: 3b6c.*
+ 1df83: 0d70.*
+ 1df84: 6fed.*
+ 1df85: 3b6d.*
+ 1df86: 0d70.*
+ 1df87: 6ff5.*
+ 1df88: 3b6e.*
+ 1df89: 0d70.*
+ 1df8a: 6ff8.*
+ 1df8b: 3b6f.*
+ 1df8c: 0d70.*
+ 1df8d: 6f86.*
+ 1df8e: 0d70.*
+ 1df8f: 6f8e.*
+ 1df90: 0d70.*
+ 1df91: 6f96.*
+ 1df92: 0d70.*
+ 1df93: 6f9e.*
+ 1df94: 0d70.*
+ 1df95: 6fa6.*
+ 1df96: 0d70.*
+ 1df97: 6fae.*
+ 1df98: 0d70.*
+ 1df99: 6fb6.*
+ 1df9a: 0d70.*
+ 1df9b: 6fbe.*
+ 1df9c: 0d70.*
+ 1df9d: 6fc6.*
+ 1df9e: 0d70.*
+ 1df9f: 6fce.*
+ 1dfa0: 0d70.*
+ 1dfa1: 6fd6.*
+ 1dfa2: 0d70.*
+ 1dfa3: 6fde.*
+ 1dfa4: 0d70.*
+ 1dfa5: 6fe6.*
+ 1dfa6: 3b70.*
+ 1dfa7: 0d70.*
+ 1dfa8: 6fee.*
+ 1dfa9: 3b71.*
+ 1dfaa: 0d70.*
+ 1dfab: 6ff6.*
+ 1dfac: 3b72.*
+ 1dfad: 0d70.*
+ 1dfae: 6ff8.*
+ 1dfaf: 3b73.*
+ 1dfb0: 0d70.*
+ 1dfb1: 6f87.*
+ 1dfb2: 0d70.*
+ 1dfb3: 6f8f.*
+ 1dfb4: 0d70.*
+ 1dfb5: 6f97.*
+ 1dfb6: 0d70.*
+ 1dfb7: 6f9f.*
+ 1dfb8: 0d70.*
+ 1dfb9: 6fa7.*
+ 1dfba: 0d70.*
+ 1dfbb: 6faf.*
+ 1dfbc: 0d70.*
+ 1dfbd: 6fb7.*
+ 1dfbe: 0d70.*
+ 1dfbf: 6fbf.*
+ 1dfc0: 0d70.*
+ 1dfc1: 6fc7.*
+ 1dfc2: 0d70.*
+ 1dfc3: 6fcf.*
+ 1dfc4: 0d70.*
+ 1dfc5: 6fd7.*
+ 1dfc6: 0d70.*
+ 1dfc7: 6fdf.*
+ 1dfc8: 0d70.*
+ 1dfc9: 6fe7.*
+ 1dfca: 3b74.*
+ 1dfcb: 0d70.*
+ 1dfcc: 6fef.*
+ 1dfcd: 3b75.*
+ 1dfce: 0d70.*
+ 1dfcf: 6ff7.*
+ 1dfd0: 3b76.*
+ 1dfd1: 0d70.*
+ 1dfd2: 6ff8.*
+ 1dfd3: 3b77.*
+ 1dfd4: 0d70.*
+ 1dfd5: 6f80.*
+ 1dfd6: 0d71.*
+ 1dfd7: 6f88.*
+ 1dfd8: 0d71.*
+ 1dfd9: 6f90.*
+ 1dfda: 0d71.*
+ 1dfdb: 6f98.*
+ 1dfdc: 0d71.*
+ 1dfdd: 6fa0.*
+ 1dfde: 0d71.*
+ 1dfdf: 6fa8.*
+ 1dfe0: 0d71.*
+ 1dfe1: 6fb0.*
+ 1dfe2: 0d71.*
+ 1dfe3: 6fb8.*
+ 1dfe4: 0d71.*
+ 1dfe5: 6fc0.*
+ 1dfe6: 0d71.*
+ 1dfe7: 6fc8.*
+ 1dfe8: 0d71.*
+ 1dfe9: 6fd0.*
+ 1dfea: 0d71.*
+ 1dfeb: 6fd8.*
+ 1dfec: 0d71.*
+ 1dfed: 6fe0.*
+ 1dfee: 3b78.*
+ 1dfef: 0d71.*
+ 1dff0: 6fe8.*
+ 1dff1: 3b79.*
+ 1dff2: 0d71.*
+ 1dff3: 6ff0.*
+ 1dff4: 3b7a.*
+ 1dff5: 0d71.*
+ 1dff6: 6ff8.*
+ 1dff7: 3b7b.*
+ 1dff8: 0d71.*
+ 1dff9: 6f81.*
+ 1dffa: 0d71.*
+ 1dffb: 6f89.*
+ 1dffc: 0d71.*
+ 1dffd: 6f91.*
+ 1dffe: 0d71.*
+ 1dfff: 6f99.*
+ 1e000: 0d71.*
+ 1e001: 6fa1.*
+ 1e002: 0d71.*
+ 1e003: 6fa9.*
+ 1e004: 0d71.*
+ 1e005: 6fb1.*
+ 1e006: 0d71.*
+ 1e007: 6fb9.*
+ 1e008: 0d71.*
+ 1e009: 6fc1.*
+ 1e00a: 0d71.*
+ 1e00b: 6fc9.*
+ 1e00c: 0d71.*
+ 1e00d: 6fd1.*
+ 1e00e: 0d71.*
+ 1e00f: 6fd9.*
+ 1e010: 0d71.*
+ 1e011: 6fe1.*
+ 1e012: 3b7c.*
+ 1e013: 0d71.*
+ 1e014: 6fe9.*
+ 1e015: 3b7d.*
+ 1e016: 0d71.*
+ 1e017: 6ff1.*
+ 1e018: 3b7e.*
+ 1e019: 0d71.*
+ 1e01a: 6ff8.*
+ 1e01b: 3b7f.*
+ 1e01c: 0d71.*
+ 1e01d: 6f82.*
+ 1e01e: 0d71.*
+ 1e01f: 6f8a.*
+ 1e020: 0d71.*
+ 1e021: 6f92.*
+ 1e022: 0d71.*
+ 1e023: 6f9a.*
+ 1e024: 0d71.*
+ 1e025: 6fa2.*
+ 1e026: 0d71.*
+ 1e027: 6faa.*
+ 1e028: 0d71.*
+ 1e029: 6fb2.*
+ 1e02a: 0d71.*
+ 1e02b: 6fba.*
+ 1e02c: 0d71.*
+ 1e02d: 6fc2.*
+ 1e02e: 0d71.*
+ 1e02f: 6fca.*
+ 1e030: 0d71.*
+ 1e031: 6fd2.*
+ 1e032: 0d71.*
+ 1e033: 6fda.*
+ 1e034: 0d71.*
+ 1e035: 6fe2.*
+ 1e036: 3b80.*
+ 1e037: 0d71.*
+ 1e038: 6fea.*
+ 1e039: 3b81.*
+ 1e03a: 0d71.*
+ 1e03b: 6ff2.*
+ 1e03c: 3b82.*
+ 1e03d: 0d71.*
+ 1e03e: 6ff8.*
+ 1e03f: 3b83.*
+ 1e040: 0d71.*
+ 1e041: 6f83.*
+ 1e042: 0d71.*
+ 1e043: 6f8b.*
+ 1e044: 0d71.*
+ 1e045: 6f93.*
+ 1e046: 0d71.*
+ 1e047: 6f9b.*
+ 1e048: 0d71.*
+ 1e049: 6fa3.*
+ 1e04a: 0d71.*
+ 1e04b: 6fab.*
+ 1e04c: 0d71.*
+ 1e04d: 6fb3.*
+ 1e04e: 0d71.*
+ 1e04f: 6fbb.*
+ 1e050: 0d71.*
+ 1e051: 6fc3.*
+ 1e052: 0d71.*
+ 1e053: 6fcb.*
+ 1e054: 0d71.*
+ 1e055: 6fd3.*
+ 1e056: 0d71.*
+ 1e057: 6fdb.*
+ 1e058: 0d71.*
+ 1e059: 6fe3.*
+ 1e05a: 3b84.*
+ 1e05b: 0d71.*
+ 1e05c: 6feb.*
+ 1e05d: 3b85.*
+ 1e05e: 0d71.*
+ 1e05f: 6ff3.*
+ 1e060: 3b86.*
+ 1e061: 0d71.*
+ 1e062: 6ff8.*
+ 1e063: 3b87.*
+ 1e064: 0d71.*
+ 1e065: 6f84.*
+ 1e066: 0d71.*
+ 1e067: 6f8c.*
+ 1e068: 0d71.*
+ 1e069: 6f94.*
+ 1e06a: 0d71.*
+ 1e06b: 6f9c.*
+ 1e06c: 0d71.*
+ 1e06d: 6fa4.*
+ 1e06e: 0d71.*
+ 1e06f: 6fac.*
+ 1e070: 0d71.*
+ 1e071: 6fb4.*
+ 1e072: 0d71.*
+ 1e073: 6fbc.*
+ 1e074: 0d71.*
+ 1e075: 6fc4.*
+ 1e076: 0d71.*
+ 1e077: 6fcc.*
+ 1e078: 0d71.*
+ 1e079: 6fd4.*
+ 1e07a: 0d71.*
+ 1e07b: 6fdc.*
+ 1e07c: 0d71.*
+ 1e07d: 6fe4.*
+ 1e07e: 3b88.*
+ 1e07f: 0d71.*
+ 1e080: 6fec.*
+ 1e081: 3b89.*
+ 1e082: 0d71.*
+ 1e083: 6ff4.*
+ 1e084: 3b8a.*
+ 1e085: 0d71.*
+ 1e086: 6ff8.*
+ 1e087: 3b8b.*
+ 1e088: 0d71.*
+ 1e089: 6f85.*
+ 1e08a: 0d71.*
+ 1e08b: 6f8d.*
+ 1e08c: 0d71.*
+ 1e08d: 6f95.*
+ 1e08e: 0d71.*
+ 1e08f: 6f9d.*
+ 1e090: 0d71.*
+ 1e091: 6fa5.*
+ 1e092: 0d71.*
+ 1e093: 6fad.*
+ 1e094: 0d71.*
+ 1e095: 6fb5.*
+ 1e096: 0d71.*
+ 1e097: 6fbd.*
+ 1e098: 0d71.*
+ 1e099: 6fc5.*
+ 1e09a: 0d71.*
+ 1e09b: 6fcd.*
+ 1e09c: 0d71.*
+ 1e09d: 6fd5.*
+ 1e09e: 0d71.*
+ 1e09f: 6fdd.*
+ 1e0a0: 0d71.*
+ 1e0a1: 6fe5.*
+ 1e0a2: 3b8c.*
+ 1e0a3: 0d71.*
+ 1e0a4: 6fed.*
+ 1e0a5: 3b8d.*
+ 1e0a6: 0d71.*
+ 1e0a7: 6ff5.*
+ 1e0a8: 3b8e.*
+ 1e0a9: 0d71.*
+ 1e0aa: 6ff8.*
+ 1e0ab: 3b8f.*
+ 1e0ac: 0d71.*
+ 1e0ad: 6f86.*
+ 1e0ae: 0d71.*
+ 1e0af: 6f8e.*
+ 1e0b0: 0d71.*
+ 1e0b1: 6f96.*
+ 1e0b2: 0d71.*
+ 1e0b3: 6f9e.*
+ 1e0b4: 0d71.*
+ 1e0b5: 6fa6.*
+ 1e0b6: 0d71.*
+ 1e0b7: 6fae.*
+ 1e0b8: 0d71.*
+ 1e0b9: 6fb6.*
+ 1e0ba: 0d71.*
+ 1e0bb: 6fbe.*
+ 1e0bc: 0d71.*
+ 1e0bd: 6fc6.*
+ 1e0be: 0d71.*
+ 1e0bf: 6fce.*
+ 1e0c0: 0d71.*
+ 1e0c1: 6fd6.*
+ 1e0c2: 0d71.*
+ 1e0c3: 6fde.*
+ 1e0c4: 0d71.*
+ 1e0c5: 6fe6.*
+ 1e0c6: 3b90.*
+ 1e0c7: 0d71.*
+ 1e0c8: 6fee.*
+ 1e0c9: 3b91.*
+ 1e0ca: 0d71.*
+ 1e0cb: 6ff6.*
+ 1e0cc: 3b92.*
+ 1e0cd: 0d71.*
+ 1e0ce: 6ff8.*
+ 1e0cf: 3b93.*
+ 1e0d0: 0d71.*
+ 1e0d1: 6f87.*
+ 1e0d2: 0d71.*
+ 1e0d3: 6f8f.*
+ 1e0d4: 0d71.*
+ 1e0d5: 6f97.*
+ 1e0d6: 0d71.*
+ 1e0d7: 6f9f.*
+ 1e0d8: 0d71.*
+ 1e0d9: 6fa7.*
+ 1e0da: 0d71.*
+ 1e0db: 6faf.*
+ 1e0dc: 0d71.*
+ 1e0dd: 6fb7.*
+ 1e0de: 0d71.*
+ 1e0df: 6fbf.*
+ 1e0e0: 0d71.*
+ 1e0e1: 6fc7.*
+ 1e0e2: 0d71.*
+ 1e0e3: 6fcf.*
+ 1e0e4: 0d71.*
+ 1e0e5: 6fd7.*
+ 1e0e6: 0d71.*
+ 1e0e7: 6fdf.*
+ 1e0e8: 0d71.*
+ 1e0e9: 6fe7.*
+ 1e0ea: 3b94.*
+ 1e0eb: 0d71.*
+ 1e0ec: 6fef.*
+ 1e0ed: 3b95.*
+ 1e0ee: 0d71.*
+ 1e0ef: 6ff7.*
+ 1e0f0: 3b96.*
+ 1e0f1: 0d71.*
+ 1e0f2: 6ff8.*
+ 1e0f3: 3b97.*
+ 1e0f4: 0d71.*
+ 1e0f5: 6f80.*
+ 1e0f6: 0d72.*
+ 1e0f7: 6f88.*
+ 1e0f8: 0d72.*
+ 1e0f9: 6f90.*
+ 1e0fa: 0d72.*
+ 1e0fb: 6f98.*
+ 1e0fc: 0d72.*
+ 1e0fd: 6fa0.*
+ 1e0fe: 0d72.*
+ 1e0ff: 6fa8.*
+ 1e100: 0d72.*
+ 1e101: 6fb0.*
+ 1e102: 0d72.*
+ 1e103: 6fb8.*
+ 1e104: 0d72.*
+ 1e105: 6fc0.*
+ 1e106: 0d72.*
+ 1e107: 6fc8.*
+ 1e108: 0d72.*
+ 1e109: 6fd0.*
+ 1e10a: 0d72.*
+ 1e10b: 6fd8.*
+ 1e10c: 0d72.*
+ 1e10d: 6fe0.*
+ 1e10e: 3b98.*
+ 1e10f: 0d72.*
+ 1e110: 6fe8.*
+ 1e111: 3b99.*
+ 1e112: 0d72.*
+ 1e113: 6ff0.*
+ 1e114: 3b9a.*
+ 1e115: 0d72.*
+ 1e116: 6ff8.*
+ 1e117: 3b9b.*
+ 1e118: 0d72.*
+ 1e119: 6f81.*
+ 1e11a: 0d72.*
+ 1e11b: 6f89.*
+ 1e11c: 0d72.*
+ 1e11d: 6f91.*
+ 1e11e: 0d72.*
+ 1e11f: 6f99.*
+ 1e120: 0d72.*
+ 1e121: 6fa1.*
+ 1e122: 0d72.*
+ 1e123: 6fa9.*
+ 1e124: 0d72.*
+ 1e125: 6fb1.*
+ 1e126: 0d72.*
+ 1e127: 6fb9.*
+ 1e128: 0d72.*
+ 1e129: 6fc1.*
+ 1e12a: 0d72.*
+ 1e12b: 6fc9.*
+ 1e12c: 0d72.*
+ 1e12d: 6fd1.*
+ 1e12e: 0d72.*
+ 1e12f: 6fd9.*
+ 1e130: 0d72.*
+ 1e131: 6fe1.*
+ 1e132: 3b9c.*
+ 1e133: 0d72.*
+ 1e134: 6fe9.*
+ 1e135: 3b9d.*
+ 1e136: 0d72.*
+ 1e137: 6ff1.*
+ 1e138: 3b9e.*
+ 1e139: 0d72.*
+ 1e13a: 6ff8.*
+ 1e13b: 3b9f.*
+ 1e13c: 0d72.*
+ 1e13d: 6f82.*
+ 1e13e: 0d72.*
+ 1e13f: 6f8a.*
+ 1e140: 0d72.*
+ 1e141: 6f92.*
+ 1e142: 0d72.*
+ 1e143: 6f9a.*
+ 1e144: 0d72.*
+ 1e145: 6fa2.*
+ 1e146: 0d72.*
+ 1e147: 6faa.*
+ 1e148: 0d72.*
+ 1e149: 6fb2.*
+ 1e14a: 0d72.*
+ 1e14b: 6fba.*
+ 1e14c: 0d72.*
+ 1e14d: 6fc2.*
+ 1e14e: 0d72.*
+ 1e14f: 6fca.*
+ 1e150: 0d72.*
+ 1e151: 6fd2.*
+ 1e152: 0d72.*
+ 1e153: 6fda.*
+ 1e154: 0d72.*
+ 1e155: 6fe2.*
+ 1e156: 3ba0.*
+ 1e157: 0d72.*
+ 1e158: 6fea.*
+ 1e159: 3ba1.*
+ 1e15a: 0d72.*
+ 1e15b: 6ff2.*
+ 1e15c: 3ba2.*
+ 1e15d: 0d72.*
+ 1e15e: 6ff8.*
+ 1e15f: 3ba3.*
+ 1e160: 0d72.*
+ 1e161: 6f83.*
+ 1e162: 0d72.*
+ 1e163: 6f8b.*
+ 1e164: 0d72.*
+ 1e165: 6f93.*
+ 1e166: 0d72.*
+ 1e167: 6f9b.*
+ 1e168: 0d72.*
+ 1e169: 6fa3.*
+ 1e16a: 0d72.*
+ 1e16b: 6fab.*
+ 1e16c: 0d72.*
+ 1e16d: 6fb3.*
+ 1e16e: 0d72.*
+ 1e16f: 6fbb.*
+ 1e170: 0d72.*
+ 1e171: 6fc3.*
+ 1e172: 0d72.*
+ 1e173: 6fcb.*
+ 1e174: 0d72.*
+ 1e175: 6fd3.*
+ 1e176: 0d72.*
+ 1e177: 6fdb.*
+ 1e178: 0d72.*
+ 1e179: 6fe3.*
+ 1e17a: 3ba4.*
+ 1e17b: 0d72.*
+ 1e17c: 6feb.*
+ 1e17d: 3ba5.*
+ 1e17e: 0d72.*
+ 1e17f: 6ff3.*
+ 1e180: 3ba6.*
+ 1e181: 0d72.*
+ 1e182: 6ff8.*
+ 1e183: 3ba7.*
+ 1e184: 0d72.*
+ 1e185: 6f84.*
+ 1e186: 0d72.*
+ 1e187: 6f8c.*
+ 1e188: 0d72.*
+ 1e189: 6f94.*
+ 1e18a: 0d72.*
+ 1e18b: 6f9c.*
+ 1e18c: 0d72.*
+ 1e18d: 6fa4.*
+ 1e18e: 0d72.*
+ 1e18f: 6fac.*
+ 1e190: 0d72.*
+ 1e191: 6fb4.*
+ 1e192: 0d72.*
+ 1e193: 6fbc.*
+ 1e194: 0d72.*
+ 1e195: 6fc4.*
+ 1e196: 0d72.*
+ 1e197: 6fcc.*
+ 1e198: 0d72.*
+ 1e199: 6fd4.*
+ 1e19a: 0d72.*
+ 1e19b: 6fdc.*
+ 1e19c: 0d72.*
+ 1e19d: 6fe4.*
+ 1e19e: 3ba8.*
+ 1e19f: 0d72.*
+ 1e1a0: 6fec.*
+ 1e1a1: 3ba9.*
+ 1e1a2: 0d72.*
+ 1e1a3: 6ff4.*
+ 1e1a4: 3baa.*
+ 1e1a5: 0d72.*
+ 1e1a6: 6ff8.*
+ 1e1a7: 3bab.*
+ 1e1a8: 0d72.*
+ 1e1a9: 6f85.*
+ 1e1aa: 0d72.*
+ 1e1ab: 6f8d.*
+ 1e1ac: 0d72.*
+ 1e1ad: 6f95.*
+ 1e1ae: 0d72.*
+ 1e1af: 6f9d.*
+ 1e1b0: 0d72.*
+ 1e1b1: 6fa5.*
+ 1e1b2: 0d72.*
+ 1e1b3: 6fad.*
+ 1e1b4: 0d72.*
+ 1e1b5: 6fb5.*
+ 1e1b6: 0d72.*
+ 1e1b7: 6fbd.*
+ 1e1b8: 0d72.*
+ 1e1b9: 6fc5.*
+ 1e1ba: 0d72.*
+ 1e1bb: 6fcd.*
+ 1e1bc: 0d72.*
+ 1e1bd: 6fd5.*
+ 1e1be: 0d72.*
+ 1e1bf: 6fdd.*
+ 1e1c0: 0d72.*
+ 1e1c1: 6fe5.*
+ 1e1c2: 3bac.*
+ 1e1c3: 0d72.*
+ 1e1c4: 6fed.*
+ 1e1c5: 3bad.*
+ 1e1c6: 0d72.*
+ 1e1c7: 6ff5.*
+ 1e1c8: 3bae.*
+ 1e1c9: 0d72.*
+ 1e1ca: 6ff8.*
+ 1e1cb: 3baf.*
+ 1e1cc: 0d72.*
+ 1e1cd: 6f86.*
+ 1e1ce: 0d72.*
+ 1e1cf: 6f8e.*
+ 1e1d0: 0d72.*
+ 1e1d1: 6f96.*
+ 1e1d2: 0d72.*
+ 1e1d3: 6f9e.*
+ 1e1d4: 0d72.*
+ 1e1d5: 6fa6.*
+ 1e1d6: 0d72.*
+ 1e1d7: 6fae.*
+ 1e1d8: 0d72.*
+ 1e1d9: 6fb6.*
+ 1e1da: 0d72.*
+ 1e1db: 6fbe.*
+ 1e1dc: 0d72.*
+ 1e1dd: 6fc6.*
+ 1e1de: 0d72.*
+ 1e1df: 6fce.*
+ 1e1e0: 0d72.*
+ 1e1e1: 6fd6.*
+ 1e1e2: 0d72.*
+ 1e1e3: 6fde.*
+ 1e1e4: 0d72.*
+ 1e1e5: 6fe6.*
+ 1e1e6: 3bb0.*
+ 1e1e7: 0d72.*
+ 1e1e8: 6fee.*
+ 1e1e9: 3bb1.*
+ 1e1ea: 0d72.*
+ 1e1eb: 6ff6.*
+ 1e1ec: 3bb2.*
+ 1e1ed: 0d72.*
+ 1e1ee: 6ff8.*
+ 1e1ef: 3bb3.*
+ 1e1f0: 0d72.*
+ 1e1f1: 6f87.*
+ 1e1f2: 0d72.*
+ 1e1f3: 6f8f.*
+ 1e1f4: 0d72.*
+ 1e1f5: 6f97.*
+ 1e1f6: 0d72.*
+ 1e1f7: 6f9f.*
+ 1e1f8: 0d72.*
+ 1e1f9: 6fa7.*
+ 1e1fa: 0d72.*
+ 1e1fb: 6faf.*
+ 1e1fc: 0d72.*
+ 1e1fd: 6fb7.*
+ 1e1fe: 0d72.*
+ 1e1ff: 6fbf.*
+ 1e200: 0d72.*
+ 1e201: 6fc7.*
+ 1e202: 0d72.*
+ 1e203: 6fcf.*
+ 1e204: 0d72.*
+ 1e205: 6fd7.*
+ 1e206: 0d72.*
+ 1e207: 6fdf.*
+ 1e208: 0d72.*
+ 1e209: 6fe7.*
+ 1e20a: 3bb4.*
+ 1e20b: 0d72.*
+ 1e20c: 6fef.*
+ 1e20d: 3bb5.*
+ 1e20e: 0d72.*
+ 1e20f: 6ff7.*
+ 1e210: 3bb6.*
+ 1e211: 0d72.*
+ 1e212: 6ff8.*
+ 1e213: 3bb7.*
+ 1e214: 0d72.*
+ 1e215: 6f80.*
+ 1e216: 0d73.*
+ 1e217: 6f88.*
+ 1e218: 0d73.*
+ 1e219: 6f90.*
+ 1e21a: 0d73.*
+ 1e21b: 6f98.*
+ 1e21c: 0d73.*
+ 1e21d: 6fa0.*
+ 1e21e: 0d73.*
+ 1e21f: 6fa8.*
+ 1e220: 0d73.*
+ 1e221: 6fb0.*
+ 1e222: 0d73.*
+ 1e223: 6fb8.*
+ 1e224: 0d73.*
+ 1e225: 6fc0.*
+ 1e226: 0d73.*
+ 1e227: 6fc8.*
+ 1e228: 0d73.*
+ 1e229: 6fd0.*
+ 1e22a: 0d73.*
+ 1e22b: 6fd8.*
+ 1e22c: 0d73.*
+ 1e22d: 6fe0.*
+ 1e22e: 3bb8.*
+ 1e22f: 0d73.*
+ 1e230: 6fe8.*
+ 1e231: 3bb9.*
+ 1e232: 0d73.*
+ 1e233: 6ff0.*
+ 1e234: 3bba.*
+ 1e235: 0d73.*
+ 1e236: 6ff8.*
+ 1e237: 3bbb.*
+ 1e238: 0d73.*
+ 1e239: 6f81.*
+ 1e23a: 0d73.*
+ 1e23b: 6f89.*
+ 1e23c: 0d73.*
+ 1e23d: 6f91.*
+ 1e23e: 0d73.*
+ 1e23f: 6f99.*
+ 1e240: 0d73.*
+ 1e241: 6fa1.*
+ 1e242: 0d73.*
+ 1e243: 6fa9.*
+ 1e244: 0d73.*
+ 1e245: 6fb1.*
+ 1e246: 0d73.*
+ 1e247: 6fb9.*
+ 1e248: 0d73.*
+ 1e249: 6fc1.*
+ 1e24a: 0d73.*
+ 1e24b: 6fc9.*
+ 1e24c: 0d73.*
+ 1e24d: 6fd1.*
+ 1e24e: 0d73.*
+ 1e24f: 6fd9.*
+ 1e250: 0d73.*
+ 1e251: 6fe1.*
+ 1e252: 3bbc.*
+ 1e253: 0d73.*
+ 1e254: 6fe9.*
+ 1e255: 3bbd.*
+ 1e256: 0d73.*
+ 1e257: 6ff1.*
+ 1e258: 3bbe.*
+ 1e259: 0d73.*
+ 1e25a: 6ff8.*
+ 1e25b: 3bbf.*
+ 1e25c: 0d73.*
+ 1e25d: 6f82.*
+ 1e25e: 0d73.*
+ 1e25f: 6f8a.*
+ 1e260: 0d73.*
+ 1e261: 6f92.*
+ 1e262: 0d73.*
+ 1e263: 6f9a.*
+ 1e264: 0d73.*
+ 1e265: 6fa2.*
+ 1e266: 0d73.*
+ 1e267: 6faa.*
+ 1e268: 0d73.*
+ 1e269: 6fb2.*
+ 1e26a: 0d73.*
+ 1e26b: 6fba.*
+ 1e26c: 0d73.*
+ 1e26d: 6fc2.*
+ 1e26e: 0d73.*
+ 1e26f: 6fca.*
+ 1e270: 0d73.*
+ 1e271: 6fd2.*
+ 1e272: 0d73.*
+ 1e273: 6fda.*
+ 1e274: 0d73.*
+ 1e275: 6fe2.*
+ 1e276: 3bc0.*
+ 1e277: 0d73.*
+ 1e278: 6fea.*
+ 1e279: 3bc1.*
+ 1e27a: 0d73.*
+ 1e27b: 6ff2.*
+ 1e27c: 3bc2.*
+ 1e27d: 0d73.*
+ 1e27e: 6ff8.*
+ 1e27f: 3bc3.*
+ 1e280: 0d73.*
+ 1e281: 6f83.*
+ 1e282: 0d73.*
+ 1e283: 6f8b.*
+ 1e284: 0d73.*
+ 1e285: 6f93.*
+ 1e286: 0d73.*
+ 1e287: 6f9b.*
+ 1e288: 0d73.*
+ 1e289: 6fa3.*
+ 1e28a: 0d73.*
+ 1e28b: 6fab.*
+ 1e28c: 0d73.*
+ 1e28d: 6fb3.*
+ 1e28e: 0d73.*
+ 1e28f: 6fbb.*
+ 1e290: 0d73.*
+ 1e291: 6fc3.*
+ 1e292: 0d73.*
+ 1e293: 6fcb.*
+ 1e294: 0d73.*
+ 1e295: 6fd3.*
+ 1e296: 0d73.*
+ 1e297: 6fdb.*
+ 1e298: 0d73.*
+ 1e299: 6fe3.*
+ 1e29a: 3bc4.*
+ 1e29b: 0d73.*
+ 1e29c: 6feb.*
+ 1e29d: 3bc5.*
+ 1e29e: 0d73.*
+ 1e29f: 6ff3.*
+ 1e2a0: 3bc6.*
+ 1e2a1: 0d73.*
+ 1e2a2: 6ff8.*
+ 1e2a3: 3bc7.*
+ 1e2a4: 0d73.*
+ 1e2a5: 6f84.*
+ 1e2a6: 0d73.*
+ 1e2a7: 6f8c.*
+ 1e2a8: 0d73.*
+ 1e2a9: 6f94.*
+ 1e2aa: 0d73.*
+ 1e2ab: 6f9c.*
+ 1e2ac: 0d73.*
+ 1e2ad: 6fa4.*
+ 1e2ae: 0d73.*
+ 1e2af: 6fac.*
+ 1e2b0: 0d73.*
+ 1e2b1: 6fb4.*
+ 1e2b2: 0d73.*
+ 1e2b3: 6fbc.*
+ 1e2b4: 0d73.*
+ 1e2b5: 6fc4.*
+ 1e2b6: 0d73.*
+ 1e2b7: 6fcc.*
+ 1e2b8: 0d73.*
+ 1e2b9: 6fd4.*
+ 1e2ba: 0d73.*
+ 1e2bb: 6fdc.*
+ 1e2bc: 0d73.*
+ 1e2bd: 6fe4.*
+ 1e2be: 3bc8.*
+ 1e2bf: 0d73.*
+ 1e2c0: 6fec.*
+ 1e2c1: 3bc9.*
+ 1e2c2: 0d73.*
+ 1e2c3: 6ff4.*
+ 1e2c4: 3bca.*
+ 1e2c5: 0d73.*
+ 1e2c6: 6ff8.*
+ 1e2c7: 3bcb.*
+ 1e2c8: 0d73.*
+ 1e2c9: 6f85.*
+ 1e2ca: 0d73.*
+ 1e2cb: 6f8d.*
+ 1e2cc: 0d73.*
+ 1e2cd: 6f95.*
+ 1e2ce: 0d73.*
+ 1e2cf: 6f9d.*
+ 1e2d0: 0d73.*
+ 1e2d1: 6fa5.*
+ 1e2d2: 0d73.*
+ 1e2d3: 6fad.*
+ 1e2d4: 0d73.*
+ 1e2d5: 6fb5.*
+ 1e2d6: 0d73.*
+ 1e2d7: 6fbd.*
+ 1e2d8: 0d73.*
+ 1e2d9: 6fc5.*
+ 1e2da: 0d73.*
+ 1e2db: 6fcd.*
+ 1e2dc: 0d73.*
+ 1e2dd: 6fd5.*
+ 1e2de: 0d73.*
+ 1e2df: 6fdd.*
+ 1e2e0: 0d73.*
+ 1e2e1: 6fe5.*
+ 1e2e2: 3bcc.*
+ 1e2e3: 0d73.*
+ 1e2e4: 6fed.*
+ 1e2e5: 3bcd.*
+ 1e2e6: 0d73.*
+ 1e2e7: 6ff5.*
+ 1e2e8: 3bce.*
+ 1e2e9: 0d73.*
+ 1e2ea: 6ff8.*
+ 1e2eb: 3bcf.*
+ 1e2ec: 0d73.*
+ 1e2ed: 6f86.*
+ 1e2ee: 0d73.*
+ 1e2ef: 6f8e.*
+ 1e2f0: 0d73.*
+ 1e2f1: 6f96.*
+ 1e2f2: 0d73.*
+ 1e2f3: 6f9e.*
+ 1e2f4: 0d73.*
+ 1e2f5: 6fa6.*
+ 1e2f6: 0d73.*
+ 1e2f7: 6fae.*
+ 1e2f8: 0d73.*
+ 1e2f9: 6fb6.*
+ 1e2fa: 0d73.*
+ 1e2fb: 6fbe.*
+ 1e2fc: 0d73.*
+ 1e2fd: 6fc6.*
+ 1e2fe: 0d73.*
+ 1e2ff: 6fce.*
+ 1e300: 0d73.*
+ 1e301: 6fd6.*
+ 1e302: 0d73.*
+ 1e303: 6fde.*
+ 1e304: 0d73.*
+ 1e305: 6fe6.*
+ 1e306: 3bd0.*
+ 1e307: 0d73.*
+ 1e308: 6fee.*
+ 1e309: 3bd1.*
+ 1e30a: 0d73.*
+ 1e30b: 6ff6.*
+ 1e30c: 3bd2.*
+ 1e30d: 0d73.*
+ 1e30e: 6ff8.*
+ 1e30f: 3bd3.*
+ 1e310: 0d73.*
+ 1e311: 6f87.*
+ 1e312: 0d73.*
+ 1e313: 6f8f.*
+ 1e314: 0d73.*
+ 1e315: 6f97.*
+ 1e316: 0d73.*
+ 1e317: 6f9f.*
+ 1e318: 0d73.*
+ 1e319: 6fa7.*
+ 1e31a: 0d73.*
+ 1e31b: 6faf.*
+ 1e31c: 0d73.*
+ 1e31d: 6fb7.*
+ 1e31e: 0d73.*
+ 1e31f: 6fbf.*
+ 1e320: 0d73.*
+ 1e321: 6fc7.*
+ 1e322: 0d73.*
+ 1e323: 6fcf.*
+ 1e324: 0d73.*
+ 1e325: 6fd7.*
+ 1e326: 0d73.*
+ 1e327: 6fdf.*
+ 1e328: 0d73.*
+ 1e329: 6fe7.*
+ 1e32a: 3bd4.*
+ 1e32b: 0d73.*
+ 1e32c: 6fef.*
+ 1e32d: 3bd5.*
+ 1e32e: 0d73.*
+ 1e32f: 6ff7.*
+ 1e330: 3bd6.*
+ 1e331: 0d73.*
+ 1e332: 6ff8.*
+ 1e333: 3bd7.*
+ 1e334: 0d73.*
+ 1e335: 6f80.*
+ 1e336: 0d74.*
+ 1e337: 6f88.*
+ 1e338: 0d74.*
+ 1e339: 6f90.*
+ 1e33a: 0d74.*
+ 1e33b: 6f98.*
+ 1e33c: 0d74.*
+ 1e33d: 6fa0.*
+ 1e33e: 0d74.*
+ 1e33f: 6fa8.*
+ 1e340: 0d74.*
+ 1e341: 6fb0.*
+ 1e342: 0d74.*
+ 1e343: 6fb8.*
+ 1e344: 0d74.*
+ 1e345: 6fc0.*
+ 1e346: 0d74.*
+ 1e347: 6fc8.*
+ 1e348: 0d74.*
+ 1e349: 6fd0.*
+ 1e34a: 0d74.*
+ 1e34b: 6fd8.*
+ 1e34c: 0d74.*
+ 1e34d: 6fe0.*
+ 1e34e: 3bd8.*
+ 1e34f: 0d74.*
+ 1e350: 6fe8.*
+ 1e351: 3bd9.*
+ 1e352: 0d74.*
+ 1e353: 6ff0.*
+ 1e354: 3bda.*
+ 1e355: 0d74.*
+ 1e356: 6ff8.*
+ 1e357: 3bdb.*
+ 1e358: 0d74.*
+ 1e359: 6f81.*
+ 1e35a: 0d74.*
+ 1e35b: 6f89.*
+ 1e35c: 0d74.*
+ 1e35d: 6f91.*
+ 1e35e: 0d74.*
+ 1e35f: 6f99.*
+ 1e360: 0d74.*
+ 1e361: 6fa1.*
+ 1e362: 0d74.*
+ 1e363: 6fa9.*
+ 1e364: 0d74.*
+ 1e365: 6fb1.*
+ 1e366: 0d74.*
+ 1e367: 6fb9.*
+ 1e368: 0d74.*
+ 1e369: 6fc1.*
+ 1e36a: 0d74.*
+ 1e36b: 6fc9.*
+ 1e36c: 0d74.*
+ 1e36d: 6fd1.*
+ 1e36e: 0d74.*
+ 1e36f: 6fd9.*
+ 1e370: 0d74.*
+ 1e371: 6fe1.*
+ 1e372: 3bdc.*
+ 1e373: 0d74.*
+ 1e374: 6fe9.*
+ 1e375: 3bdd.*
+ 1e376: 0d74.*
+ 1e377: 6ff1.*
+ 1e378: 3bde.*
+ 1e379: 0d74.*
+ 1e37a: 6ff8.*
+ 1e37b: 3bdf.*
+ 1e37c: 0d74.*
+ 1e37d: 6f82.*
+ 1e37e: 0d74.*
+ 1e37f: 6f8a.*
+ 1e380: 0d74.*
+ 1e381: 6f92.*
+ 1e382: 0d74.*
+ 1e383: 6f9a.*
+ 1e384: 0d74.*
+ 1e385: 6fa2.*
+ 1e386: 0d74.*
+ 1e387: 6faa.*
+ 1e388: 0d74.*
+ 1e389: 6fb2.*
+ 1e38a: 0d74.*
+ 1e38b: 6fba.*
+ 1e38c: 0d74.*
+ 1e38d: 6fc2.*
+ 1e38e: 0d74.*
+ 1e38f: 6fca.*
+ 1e390: 0d74.*
+ 1e391: 6fd2.*
+ 1e392: 0d74.*
+ 1e393: 6fda.*
+ 1e394: 0d74.*
+ 1e395: 6fe2.*
+ 1e396: 3be0.*
+ 1e397: 0d74.*
+ 1e398: 6fea.*
+ 1e399: 3be1.*
+ 1e39a: 0d74.*
+ 1e39b: 6ff2.*
+ 1e39c: 3be2.*
+ 1e39d: 0d74.*
+ 1e39e: 6ff8.*
+ 1e39f: 3be3.*
+ 1e3a0: 0d74.*
+ 1e3a1: 6f83.*
+ 1e3a2: 0d74.*
+ 1e3a3: 6f8b.*
+ 1e3a4: 0d74.*
+ 1e3a5: 6f93.*
+ 1e3a6: 0d74.*
+ 1e3a7: 6f9b.*
+ 1e3a8: 0d74.*
+ 1e3a9: 6fa3.*
+ 1e3aa: 0d74.*
+ 1e3ab: 6fab.*
+ 1e3ac: 0d74.*
+ 1e3ad: 6fb3.*
+ 1e3ae: 0d74.*
+ 1e3af: 6fbb.*
+ 1e3b0: 0d74.*
+ 1e3b1: 6fc3.*
+ 1e3b2: 0d74.*
+ 1e3b3: 6fcb.*
+ 1e3b4: 0d74.*
+ 1e3b5: 6fd3.*
+ 1e3b6: 0d74.*
+ 1e3b7: 6fdb.*
+ 1e3b8: 0d74.*
+ 1e3b9: 6fe3.*
+ 1e3ba: 3be4.*
+ 1e3bb: 0d74.*
+ 1e3bc: 6feb.*
+ 1e3bd: 3be5.*
+ 1e3be: 0d74.*
+ 1e3bf: 6ff3.*
+ 1e3c0: 3be6.*
+ 1e3c1: 0d74.*
+ 1e3c2: 6ff8.*
+ 1e3c3: 3be7.*
+ 1e3c4: 0d74.*
+ 1e3c5: 6f84.*
+ 1e3c6: 0d74.*
+ 1e3c7: 6f8c.*
+ 1e3c8: 0d74.*
+ 1e3c9: 6f94.*
+ 1e3ca: 0d74.*
+ 1e3cb: 6f9c.*
+ 1e3cc: 0d74.*
+ 1e3cd: 6fa4.*
+ 1e3ce: 0d74.*
+ 1e3cf: 6fac.*
+ 1e3d0: 0d74.*
+ 1e3d1: 6fb4.*
+ 1e3d2: 0d74.*
+ 1e3d3: 6fbc.*
+ 1e3d4: 0d74.*
+ 1e3d5: 6fc4.*
+ 1e3d6: 0d74.*
+ 1e3d7: 6fcc.*
+ 1e3d8: 0d74.*
+ 1e3d9: 6fd4.*
+ 1e3da: 0d74.*
+ 1e3db: 6fdc.*
+ 1e3dc: 0d74.*
+ 1e3dd: 6fe4.*
+ 1e3de: 3be8.*
+ 1e3df: 0d74.*
+ 1e3e0: 6fec.*
+ 1e3e1: 3be9.*
+ 1e3e2: 0d74.*
+ 1e3e3: 6ff4.*
+ 1e3e4: 3bea.*
+ 1e3e5: 0d74.*
+ 1e3e6: 6ff8.*
+ 1e3e7: 3beb.*
+ 1e3e8: 0d74.*
+ 1e3e9: 6f85.*
+ 1e3ea: 0d74.*
+ 1e3eb: 6f8d.*
+ 1e3ec: 0d74.*
+ 1e3ed: 6f95.*
+ 1e3ee: 0d74.*
+ 1e3ef: 6f9d.*
+ 1e3f0: 0d74.*
+ 1e3f1: 6fa5.*
+ 1e3f2: 0d74.*
+ 1e3f3: 6fad.*
+ 1e3f4: 0d74.*
+ 1e3f5: 6fb5.*
+ 1e3f6: 0d74.*
+ 1e3f7: 6fbd.*
+ 1e3f8: 0d74.*
+ 1e3f9: 6fc5.*
+ 1e3fa: 0d74.*
+ 1e3fb: 6fcd.*
+ 1e3fc: 0d74.*
+ 1e3fd: 6fd5.*
+ 1e3fe: 0d74.*
+ 1e3ff: 6fdd.*
+ 1e400: 0d74.*
+ 1e401: 6fe5.*
+ 1e402: 3bec.*
+ 1e403: 0d74.*
+ 1e404: 6fed.*
+ 1e405: 3bed.*
+ 1e406: 0d74.*
+ 1e407: 6ff5.*
+ 1e408: 3bee.*
+ 1e409: 0d74.*
+ 1e40a: 6ff8.*
+ 1e40b: 3bef.*
+ 1e40c: 0d74.*
+ 1e40d: 6f86.*
+ 1e40e: 0d74.*
+ 1e40f: 6f8e.*
+ 1e410: 0d74.*
+ 1e411: 6f96.*
+ 1e412: 0d74.*
+ 1e413: 6f9e.*
+ 1e414: 0d74.*
+ 1e415: 6fa6.*
+ 1e416: 0d74.*
+ 1e417: 6fae.*
+ 1e418: 0d74.*
+ 1e419: 6fb6.*
+ 1e41a: 0d74.*
+ 1e41b: 6fbe.*
+ 1e41c: 0d74.*
+ 1e41d: 6fc6.*
+ 1e41e: 0d74.*
+ 1e41f: 6fce.*
+ 1e420: 0d74.*
+ 1e421: 6fd6.*
+ 1e422: 0d74.*
+ 1e423: 6fde.*
+ 1e424: 0d74.*
+ 1e425: 6fe6.*
+ 1e426: 3bf0.*
+ 1e427: 0d74.*
+ 1e428: 6fee.*
+ 1e429: 3bf1.*
+ 1e42a: 0d74.*
+ 1e42b: 6ff6.*
+ 1e42c: 3bf2.*
+ 1e42d: 0d74.*
+ 1e42e: 6ff8.*
+ 1e42f: 3bf3.*
+ 1e430: 0d74.*
+ 1e431: 6f87.*
+ 1e432: 0d74.*
+ 1e433: 6f8f.*
+ 1e434: 0d74.*
+ 1e435: 6f97.*
+ 1e436: 0d74.*
+ 1e437: 6f9f.*
+ 1e438: 0d74.*
+ 1e439: 6fa7.*
+ 1e43a: 0d74.*
+ 1e43b: 6faf.*
+ 1e43c: 0d74.*
+ 1e43d: 6fb7.*
+ 1e43e: 0d74.*
+ 1e43f: 6fbf.*
+ 1e440: 0d74.*
+ 1e441: 6fc7.*
+ 1e442: 0d74.*
+ 1e443: 6fcf.*
+ 1e444: 0d74.*
+ 1e445: 6fd7.*
+ 1e446: 0d74.*
+ 1e447: 6fdf.*
+ 1e448: 0d74.*
+ 1e449: 6fe7.*
+ 1e44a: 3bf4.*
+ 1e44b: 0d74.*
+ 1e44c: 6fef.*
+ 1e44d: 3bf5.*
+ 1e44e: 0d74.*
+ 1e44f: 6ff7.*
+ 1e450: 3bf6.*
+ 1e451: 0d74.*
+ 1e452: 6ff8.*
+ 1e453: 3bf7.*
+ 1e454: 0d74.*
+ 1e455: 6f80.*
+ 1e456: 0d75.*
+ 1e457: 6f88.*
+ 1e458: 0d75.*
+ 1e459: 6f90.*
+ 1e45a: 0d75.*
+ 1e45b: 6f98.*
+ 1e45c: 0d75.*
+ 1e45d: 6fa0.*
+ 1e45e: 0d75.*
+ 1e45f: 6fa8.*
+ 1e460: 0d75.*
+ 1e461: 6fb0.*
+ 1e462: 0d75.*
+ 1e463: 6fb8.*
+ 1e464: 0d75.*
+ 1e465: 6fc0.*
+ 1e466: 0d75.*
+ 1e467: 6fc8.*
+ 1e468: 0d75.*
+ 1e469: 6fd0.*
+ 1e46a: 0d75.*
+ 1e46b: 6fd8.*
+ 1e46c: 0d75.*
+ 1e46d: 6fe0.*
+ 1e46e: 3bf8.*
+ 1e46f: 0d75.*
+ 1e470: 6fe8.*
+ 1e471: 3bf9.*
+ 1e472: 0d75.*
+ 1e473: 6ff0.*
+ 1e474: 3bfa.*
+ 1e475: 0d75.*
+ 1e476: 6ff8.*
+ 1e477: 3bfb.*
+ 1e478: 0d75.*
+ 1e479: 6f81.*
+ 1e47a: 0d75.*
+ 1e47b: 6f89.*
+ 1e47c: 0d75.*
+ 1e47d: 6f91.*
+ 1e47e: 0d75.*
+ 1e47f: 6f99.*
+ 1e480: 0d75.*
+ 1e481: 6fa1.*
+ 1e482: 0d75.*
+ 1e483: 6fa9.*
+ 1e484: 0d75.*
+ 1e485: 6fb1.*
+ 1e486: 0d75.*
+ 1e487: 6fb9.*
+ 1e488: 0d75.*
+ 1e489: 6fc1.*
+ 1e48a: 0d75.*
+ 1e48b: 6fc9.*
+ 1e48c: 0d75.*
+ 1e48d: 6fd1.*
+ 1e48e: 0d75.*
+ 1e48f: 6fd9.*
+ 1e490: 0d75.*
+ 1e491: 6fe1.*
+ 1e492: 3bfc.*
+ 1e493: 0d75.*
+ 1e494: 6fe9.*
+ 1e495: 3bfd.*
+ 1e496: 0d75.*
+ 1e497: 6ff1.*
+ 1e498: 3bfe.*
+ 1e499: 0d75.*
+ 1e49a: 6ff8.*
+ 1e49b: 3bff.*
+ 1e49c: 0d75.*
+ 1e49d: 6f82.*
+ 1e49e: 0d75.*
+ 1e49f: 6f8a.*
+ 1e4a0: 0d75.*
+ 1e4a1: 6f92.*
+ 1e4a2: 0d75.*
+ 1e4a3: 6f9a.*
+ 1e4a4: 0d75.*
+ 1e4a5: 6fa2.*
+ 1e4a6: 0d75.*
+ 1e4a7: 6faa.*
+ 1e4a8: 0d75.*
+ 1e4a9: 6fb2.*
+ 1e4aa: 0d75.*
+ 1e4ab: 6fba.*
+ 1e4ac: 0d75.*
+ 1e4ad: 6fc2.*
+ 1e4ae: 0d75.*
+ 1e4af: 6fca.*
+ 1e4b0: 0d75.*
+ 1e4b1: 6fd2.*
+ 1e4b2: 0d75.*
+ 1e4b3: 6fda.*
+ 1e4b4: 0d75.*
+ 1e4b5: 6fe2.*
+ 1e4b6: 3c00.*
+ 1e4b7: 0d75.*
+ 1e4b8: 6fea.*
+ 1e4b9: 3c01.*
+ 1e4ba: 0d75.*
+ 1e4bb: 6ff2.*
+ 1e4bc: 3c02.*
+ 1e4bd: 0d75.*
+ 1e4be: 6ff8.*
+ 1e4bf: 3c03.*
+ 1e4c0: 0d75.*
+ 1e4c1: 6f83.*
+ 1e4c2: 0d75.*
+ 1e4c3: 6f8b.*
+ 1e4c4: 0d75.*
+ 1e4c5: 6f93.*
+ 1e4c6: 0d75.*
+ 1e4c7: 6f9b.*
+ 1e4c8: 0d75.*
+ 1e4c9: 6fa3.*
+ 1e4ca: 0d75.*
+ 1e4cb: 6fab.*
+ 1e4cc: 0d75.*
+ 1e4cd: 6fb3.*
+ 1e4ce: 0d75.*
+ 1e4cf: 6fbb.*
+ 1e4d0: 0d75.*
+ 1e4d1: 6fc3.*
+ 1e4d2: 0d75.*
+ 1e4d3: 6fcb.*
+ 1e4d4: 0d75.*
+ 1e4d5: 6fd3.*
+ 1e4d6: 0d75.*
+ 1e4d7: 6fdb.*
+ 1e4d8: 0d75.*
+ 1e4d9: 6fe3.*
+ 1e4da: 3c04.*
+ 1e4db: 0d75.*
+ 1e4dc: 6feb.*
+ 1e4dd: 3c05.*
+ 1e4de: 0d75.*
+ 1e4df: 6ff3.*
+ 1e4e0: 3c06.*
+ 1e4e1: 0d75.*
+ 1e4e2: 6ff8.*
+ 1e4e3: 3c07.*
+ 1e4e4: 0d75.*
+ 1e4e5: 6f84.*
+ 1e4e6: 0d75.*
+ 1e4e7: 6f8c.*
+ 1e4e8: 0d75.*
+ 1e4e9: 6f94.*
+ 1e4ea: 0d75.*
+ 1e4eb: 6f9c.*
+ 1e4ec: 0d75.*
+ 1e4ed: 6fa4.*
+ 1e4ee: 0d75.*
+ 1e4ef: 6fac.*
+ 1e4f0: 0d75.*
+ 1e4f1: 6fb4.*
+ 1e4f2: 0d75.*
+ 1e4f3: 6fbc.*
+ 1e4f4: 0d75.*
+ 1e4f5: 6fc4.*
+ 1e4f6: 0d75.*
+ 1e4f7: 6fcc.*
+ 1e4f8: 0d75.*
+ 1e4f9: 6fd4.*
+ 1e4fa: 0d75.*
+ 1e4fb: 6fdc.*
+ 1e4fc: 0d75.*
+ 1e4fd: 6fe4.*
+ 1e4fe: 3c08.*
+ 1e4ff: 0d75.*
+ 1e500: 6fec.*
+ 1e501: 3c09.*
+ 1e502: 0d75.*
+ 1e503: 6ff4.*
+ 1e504: 3c0a.*
+ 1e505: 0d75.*
+ 1e506: 6ff8.*
+ 1e507: 3c0b.*
+ 1e508: 0d75.*
+ 1e509: 6f85.*
+ 1e50a: 0d75.*
+ 1e50b: 6f8d.*
+ 1e50c: 0d75.*
+ 1e50d: 6f95.*
+ 1e50e: 0d75.*
+ 1e50f: 6f9d.*
+ 1e510: 0d75.*
+ 1e511: 6fa5.*
+ 1e512: 0d75.*
+ 1e513: 6fad.*
+ 1e514: 0d75.*
+ 1e515: 6fb5.*
+ 1e516: 0d75.*
+ 1e517: 6fbd.*
+ 1e518: 0d75.*
+ 1e519: 6fc5.*
+ 1e51a: 0d75.*
+ 1e51b: 6fcd.*
+ 1e51c: 0d75.*
+ 1e51d: 6fd5.*
+ 1e51e: 0d75.*
+ 1e51f: 6fdd.*
+ 1e520: 0d75.*
+ 1e521: 6fe5.*
+ 1e522: 3c0c.*
+ 1e523: 0d75.*
+ 1e524: 6fed.*
+ 1e525: 3c0d.*
+ 1e526: 0d75.*
+ 1e527: 6ff5.*
+ 1e528: 3c0e.*
+ 1e529: 0d75.*
+ 1e52a: 6ff8.*
+ 1e52b: 3c0f.*
+ 1e52c: 0d75.*
+ 1e52d: 6f86.*
+ 1e52e: 0d75.*
+ 1e52f: 6f8e.*
+ 1e530: 0d75.*
+ 1e531: 6f96.*
+ 1e532: 0d75.*
+ 1e533: 6f9e.*
+ 1e534: 0d75.*
+ 1e535: 6fa6.*
+ 1e536: 0d75.*
+ 1e537: 6fae.*
+ 1e538: 0d75.*
+ 1e539: 6fb6.*
+ 1e53a: 0d75.*
+ 1e53b: 6fbe.*
+ 1e53c: 0d75.*
+ 1e53d: 6fc6.*
+ 1e53e: 0d75.*
+ 1e53f: 6fce.*
+ 1e540: 0d75.*
+ 1e541: 6fd6.*
+ 1e542: 0d75.*
+ 1e543: 6fde.*
+ 1e544: 0d75.*
+ 1e545: 6fe6.*
+ 1e546: 3c10.*
+ 1e547: 0d75.*
+ 1e548: 6fee.*
+ 1e549: 3c11.*
+ 1e54a: 0d75.*
+ 1e54b: 6ff6.*
+ 1e54c: 3c12.*
+ 1e54d: 0d75.*
+ 1e54e: 6ff8.*
+ 1e54f: 3c13.*
+ 1e550: 0d75.*
+ 1e551: 6f87.*
+ 1e552: 0d75.*
+ 1e553: 6f8f.*
+ 1e554: 0d75.*
+ 1e555: 6f97.*
+ 1e556: 0d75.*
+ 1e557: 6f9f.*
+ 1e558: 0d75.*
+ 1e559: 6fa7.*
+ 1e55a: 0d75.*
+ 1e55b: 6faf.*
+ 1e55c: 0d75.*
+ 1e55d: 6fb7.*
+ 1e55e: 0d75.*
+ 1e55f: 6fbf.*
+ 1e560: 0d75.*
+ 1e561: 6fc7.*
+ 1e562: 0d75.*
+ 1e563: 6fcf.*
+ 1e564: 0d75.*
+ 1e565: 6fd7.*
+ 1e566: 0d75.*
+ 1e567: 6fdf.*
+ 1e568: 0d75.*
+ 1e569: 6fe7.*
+ 1e56a: 3c14.*
+ 1e56b: 0d75.*
+ 1e56c: 6fef.*
+ 1e56d: 3c15.*
+ 1e56e: 0d75.*
+ 1e56f: 6ff7.*
+ 1e570: 3c16.*
+ 1e571: 0d75.*
+ 1e572: 6ff8.*
+ 1e573: 3c17.*
+ 1e574: 0d75.*
+ 1e575: 6f80.*
+ 1e576: 0d76.*
+ 1e577: 6f88.*
+ 1e578: 0d76.*
+ 1e579: 6f90.*
+ 1e57a: 0d76.*
+ 1e57b: 6f98.*
+ 1e57c: 0d76.*
+ 1e57d: 6fa0.*
+ 1e57e: 0d76.*
+ 1e57f: 6fa8.*
+ 1e580: 0d76.*
+ 1e581: 6fb0.*
+ 1e582: 0d76.*
+ 1e583: 6fb8.*
+ 1e584: 0d76.*
+ 1e585: 6fc0.*
+ 1e586: 0d76.*
+ 1e587: 6fc8.*
+ 1e588: 0d76.*
+ 1e589: 6fd0.*
+ 1e58a: 0d76.*
+ 1e58b: 6fd8.*
+ 1e58c: 0d76.*
+ 1e58d: 6fe0.*
+ 1e58e: 3c18.*
+ 1e58f: 0d76.*
+ 1e590: 6fe8.*
+ 1e591: 3c19.*
+ 1e592: 0d76.*
+ 1e593: 6ff0.*
+ 1e594: 3c1a.*
+ 1e595: 0d76.*
+ 1e596: 6ff8.*
+ 1e597: 3c1b.*
+ 1e598: 0d76.*
+ 1e599: 6f81.*
+ 1e59a: 0d76.*
+ 1e59b: 6f89.*
+ 1e59c: 0d76.*
+ 1e59d: 6f91.*
+ 1e59e: 0d76.*
+ 1e59f: 6f99.*
+ 1e5a0: 0d76.*
+ 1e5a1: 6fa1.*
+ 1e5a2: 0d76.*
+ 1e5a3: 6fa9.*
+ 1e5a4: 0d76.*
+ 1e5a5: 6fb1.*
+ 1e5a6: 0d76.*
+ 1e5a7: 6fb9.*
+ 1e5a8: 0d76.*
+ 1e5a9: 6fc1.*
+ 1e5aa: 0d76.*
+ 1e5ab: 6fc9.*
+ 1e5ac: 0d76.*
+ 1e5ad: 6fd1.*
+ 1e5ae: 0d76.*
+ 1e5af: 6fd9.*
+ 1e5b0: 0d76.*
+ 1e5b1: 6fe1.*
+ 1e5b2: 3c1c.*
+ 1e5b3: 0d76.*
+ 1e5b4: 6fe9.*
+ 1e5b5: 3c1d.*
+ 1e5b6: 0d76.*
+ 1e5b7: 6ff1.*
+ 1e5b8: 3c1e.*
+ 1e5b9: 0d76.*
+ 1e5ba: 6ff8.*
+ 1e5bb: 3c1f.*
+ 1e5bc: 0d76.*
+ 1e5bd: 6f82.*
+ 1e5be: 0d76.*
+ 1e5bf: 6f8a.*
+ 1e5c0: 0d76.*
+ 1e5c1: 6f92.*
+ 1e5c2: 0d76.*
+ 1e5c3: 6f9a.*
+ 1e5c4: 0d76.*
+ 1e5c5: 6fa2.*
+ 1e5c6: 0d76.*
+ 1e5c7: 6faa.*
+ 1e5c8: 0d76.*
+ 1e5c9: 6fb2.*
+ 1e5ca: 0d76.*
+ 1e5cb: 6fba.*
+ 1e5cc: 0d76.*
+ 1e5cd: 6fc2.*
+ 1e5ce: 0d76.*
+ 1e5cf: 6fca.*
+ 1e5d0: 0d76.*
+ 1e5d1: 6fd2.*
+ 1e5d2: 0d76.*
+ 1e5d3: 6fda.*
+ 1e5d4: 0d76.*
+ 1e5d5: 6fe2.*
+ 1e5d6: 3c20.*
+ 1e5d7: 0d76.*
+ 1e5d8: 6fea.*
+ 1e5d9: 3c21.*
+ 1e5da: 0d76.*
+ 1e5db: 6ff2.*
+ 1e5dc: 3c22.*
+ 1e5dd: 0d76.*
+ 1e5de: 6ff8.*
+ 1e5df: 3c23.*
+ 1e5e0: 0d76.*
+ 1e5e1: 6f83.*
+ 1e5e2: 0d76.*
+ 1e5e3: 6f8b.*
+ 1e5e4: 0d76.*
+ 1e5e5: 6f93.*
+ 1e5e6: 0d76.*
+ 1e5e7: 6f9b.*
+ 1e5e8: 0d76.*
+ 1e5e9: 6fa3.*
+ 1e5ea: 0d76.*
+ 1e5eb: 6fab.*
+ 1e5ec: 0d76.*
+ 1e5ed: 6fb3.*
+ 1e5ee: 0d76.*
+ 1e5ef: 6fbb.*
+ 1e5f0: 0d76.*
+ 1e5f1: 6fc3.*
+ 1e5f2: 0d76.*
+ 1e5f3: 6fcb.*
+ 1e5f4: 0d76.*
+ 1e5f5: 6fd3.*
+ 1e5f6: 0d76.*
+ 1e5f7: 6fdb.*
+ 1e5f8: 0d76.*
+ 1e5f9: 6fe3.*
+ 1e5fa: 3c24.*
+ 1e5fb: 0d76.*
+ 1e5fc: 6feb.*
+ 1e5fd: 3c25.*
+ 1e5fe: 0d76.*
+ 1e5ff: 6ff3.*
+ 1e600: 3c26.*
+ 1e601: 0d76.*
+ 1e602: 6ff8.*
+ 1e603: 3c27.*
+ 1e604: 0d76.*
+ 1e605: 6f84.*
+ 1e606: 0d76.*
+ 1e607: 6f8c.*
+ 1e608: 0d76.*
+ 1e609: 6f94.*
+ 1e60a: 0d76.*
+ 1e60b: 6f9c.*
+ 1e60c: 0d76.*
+ 1e60d: 6fa4.*
+ 1e60e: 0d76.*
+ 1e60f: 6fac.*
+ 1e610: 0d76.*
+ 1e611: 6fb4.*
+ 1e612: 0d76.*
+ 1e613: 6fbc.*
+ 1e614: 0d76.*
+ 1e615: 6fc4.*
+ 1e616: 0d76.*
+ 1e617: 6fcc.*
+ 1e618: 0d76.*
+ 1e619: 6fd4.*
+ 1e61a: 0d76.*
+ 1e61b: 6fdc.*
+ 1e61c: 0d76.*
+ 1e61d: 6fe4.*
+ 1e61e: 3c28.*
+ 1e61f: 0d76.*
+ 1e620: 6fec.*
+ 1e621: 3c29.*
+ 1e622: 0d76.*
+ 1e623: 6ff4.*
+ 1e624: 3c2a.*
+ 1e625: 0d76.*
+ 1e626: 6ff8.*
+ 1e627: 3c2b.*
+ 1e628: 0d76.*
+ 1e629: 6f85.*
+ 1e62a: 0d76.*
+ 1e62b: 6f8d.*
+ 1e62c: 0d76.*
+ 1e62d: 6f95.*
+ 1e62e: 0d76.*
+ 1e62f: 6f9d.*
+ 1e630: 0d76.*
+ 1e631: 6fa5.*
+ 1e632: 0d76.*
+ 1e633: 6fad.*
+ 1e634: 0d76.*
+ 1e635: 6fb5.*
+ 1e636: 0d76.*
+ 1e637: 6fbd.*
+ 1e638: 0d76.*
+ 1e639: 6fc5.*
+ 1e63a: 0d76.*
+ 1e63b: 6fcd.*
+ 1e63c: 0d76.*
+ 1e63d: 6fd5.*
+ 1e63e: 0d76.*
+ 1e63f: 6fdd.*
+ 1e640: 0d76.*
+ 1e641: 6fe5.*
+ 1e642: 3c2c.*
+ 1e643: 0d76.*
+ 1e644: 6fed.*
+ 1e645: 3c2d.*
+ 1e646: 0d76.*
+ 1e647: 6ff5.*
+ 1e648: 3c2e.*
+ 1e649: 0d76.*
+ 1e64a: 6ff8.*
+ 1e64b: 3c2f.*
+ 1e64c: 0d76.*
+ 1e64d: 6f86.*
+ 1e64e: 0d76.*
+ 1e64f: 6f8e.*
+ 1e650: 0d76.*
+ 1e651: 6f96.*
+ 1e652: 0d76.*
+ 1e653: 6f9e.*
+ 1e654: 0d76.*
+ 1e655: 6fa6.*
+ 1e656: 0d76.*
+ 1e657: 6fae.*
+ 1e658: 0d76.*
+ 1e659: 6fb6.*
+ 1e65a: 0d76.*
+ 1e65b: 6fbe.*
+ 1e65c: 0d76.*
+ 1e65d: 6fc6.*
+ 1e65e: 0d76.*
+ 1e65f: 6fce.*
+ 1e660: 0d76.*
+ 1e661: 6fd6.*
+ 1e662: 0d76.*
+ 1e663: 6fde.*
+ 1e664: 0d76.*
+ 1e665: 6fe6.*
+ 1e666: 3c30.*
+ 1e667: 0d76.*
+ 1e668: 6fee.*
+ 1e669: 3c31.*
+ 1e66a: 0d76.*
+ 1e66b: 6ff6.*
+ 1e66c: 3c32.*
+ 1e66d: 0d76.*
+ 1e66e: 6ff8.*
+ 1e66f: 3c33.*
+ 1e670: 0d76.*
+ 1e671: 6f87.*
+ 1e672: 0d76.*
+ 1e673: 6f8f.*
+ 1e674: 0d76.*
+ 1e675: 6f97.*
+ 1e676: 0d76.*
+ 1e677: 6f9f.*
+ 1e678: 0d76.*
+ 1e679: 6fa7.*
+ 1e67a: 0d76.*
+ 1e67b: 6faf.*
+ 1e67c: 0d76.*
+ 1e67d: 6fb7.*
+ 1e67e: 0d76.*
+ 1e67f: 6fbf.*
+ 1e680: 0d76.*
+ 1e681: 6fc7.*
+ 1e682: 0d76.*
+ 1e683: 6fcf.*
+ 1e684: 0d76.*
+ 1e685: 6fd7.*
+ 1e686: 0d76.*
+ 1e687: 6fdf.*
+ 1e688: 0d76.*
+ 1e689: 6fe7.*
+ 1e68a: 3c34.*
+ 1e68b: 0d76.*
+ 1e68c: 6fef.*
+ 1e68d: 3c35.*
+ 1e68e: 0d76.*
+ 1e68f: 6ff7.*
+ 1e690: 3c36.*
+ 1e691: 0d76.*
+ 1e692: 6ff8.*
+ 1e693: 3c37.*
+ 1e694: 0d76.*
+ 1e695: 6f80.*
+ 1e696: 0d77.*
+ 1e697: 6f88.*
+ 1e698: 0d77.*
+ 1e699: 6f90.*
+ 1e69a: 0d77.*
+ 1e69b: 6f98.*
+ 1e69c: 0d77.*
+ 1e69d: 6fa0.*
+ 1e69e: 0d77.*
+ 1e69f: 6fa8.*
+ 1e6a0: 0d77.*
+ 1e6a1: 6fb0.*
+ 1e6a2: 0d77.*
+ 1e6a3: 6fb8.*
+ 1e6a4: 0d77.*
+ 1e6a5: 6fc0.*
+ 1e6a6: 0d77.*
+ 1e6a7: 6fc8.*
+ 1e6a8: 0d77.*
+ 1e6a9: 6fd0.*
+ 1e6aa: 0d77.*
+ 1e6ab: 6fd8.*
+ 1e6ac: 0d77.*
+ 1e6ad: 6fe0.*
+ 1e6ae: 3c38.*
+ 1e6af: 0d77.*
+ 1e6b0: 6fe8.*
+ 1e6b1: 3c39.*
+ 1e6b2: 0d77.*
+ 1e6b3: 6ff0.*
+ 1e6b4: 3c3a.*
+ 1e6b5: 0d77.*
+ 1e6b6: 6ff8.*
+ 1e6b7: 3c3b.*
+ 1e6b8: 0d77.*
+ 1e6b9: 6f81.*
+ 1e6ba: 0d77.*
+ 1e6bb: 6f89.*
+ 1e6bc: 0d77.*
+ 1e6bd: 6f91.*
+ 1e6be: 0d77.*
+ 1e6bf: 6f99.*
+ 1e6c0: 0d77.*
+ 1e6c1: 6fa1.*
+ 1e6c2: 0d77.*
+ 1e6c3: 6fa9.*
+ 1e6c4: 0d77.*
+ 1e6c5: 6fb1.*
+ 1e6c6: 0d77.*
+ 1e6c7: 6fb9.*
+ 1e6c8: 0d77.*
+ 1e6c9: 6fc1.*
+ 1e6ca: 0d77.*
+ 1e6cb: 6fc9.*
+ 1e6cc: 0d77.*
+ 1e6cd: 6fd1.*
+ 1e6ce: 0d77.*
+ 1e6cf: 6fd9.*
+ 1e6d0: 0d77.*
+ 1e6d1: 6fe1.*
+ 1e6d2: 3c3c.*
+ 1e6d3: 0d77.*
+ 1e6d4: 6fe9.*
+ 1e6d5: 3c3d.*
+ 1e6d6: 0d77.*
+ 1e6d7: 6ff1.*
+ 1e6d8: 3c3e.*
+ 1e6d9: 0d77.*
+ 1e6da: 6ff8.*
+ 1e6db: 3c3f.*
+ 1e6dc: 0d77.*
+ 1e6dd: 6f82.*
+ 1e6de: 0d77.*
+ 1e6df: 6f8a.*
+ 1e6e0: 0d77.*
+ 1e6e1: 6f92.*
+ 1e6e2: 0d77.*
+ 1e6e3: 6f9a.*
+ 1e6e4: 0d77.*
+ 1e6e5: 6fa2.*
+ 1e6e6: 0d77.*
+ 1e6e7: 6faa.*
+ 1e6e8: 0d77.*
+ 1e6e9: 6fb2.*
+ 1e6ea: 0d77.*
+ 1e6eb: 6fba.*
+ 1e6ec: 0d77.*
+ 1e6ed: 6fc2.*
+ 1e6ee: 0d77.*
+ 1e6ef: 6fca.*
+ 1e6f0: 0d77.*
+ 1e6f1: 6fd2.*
+ 1e6f2: 0d77.*
+ 1e6f3: 6fda.*
+ 1e6f4: 0d77.*
+ 1e6f5: 6fe2.*
+ 1e6f6: 3c40.*
+ 1e6f7: 0d77.*
+ 1e6f8: 6fea.*
+ 1e6f9: 3c41.*
+ 1e6fa: 0d77.*
+ 1e6fb: 6ff2.*
+ 1e6fc: 3c42.*
+ 1e6fd: 0d77.*
+ 1e6fe: 6ff8.*
+ 1e6ff: 3c43.*
+ 1e700: 0d77.*
+ 1e701: 6f83.*
+ 1e702: 0d77.*
+ 1e703: 6f8b.*
+ 1e704: 0d77.*
+ 1e705: 6f93.*
+ 1e706: 0d77.*
+ 1e707: 6f9b.*
+ 1e708: 0d77.*
+ 1e709: 6fa3.*
+ 1e70a: 0d77.*
+ 1e70b: 6fab.*
+ 1e70c: 0d77.*
+ 1e70d: 6fb3.*
+ 1e70e: 0d77.*
+ 1e70f: 6fbb.*
+ 1e710: 0d77.*
+ 1e711: 6fc3.*
+ 1e712: 0d77.*
+ 1e713: 6fcb.*
+ 1e714: 0d77.*
+ 1e715: 6fd3.*
+ 1e716: 0d77.*
+ 1e717: 6fdb.*
+ 1e718: 0d77.*
+ 1e719: 6fe3.*
+ 1e71a: 3c44.*
+ 1e71b: 0d77.*
+ 1e71c: 6feb.*
+ 1e71d: 3c45.*
+ 1e71e: 0d77.*
+ 1e71f: 6ff3.*
+ 1e720: 3c46.*
+ 1e721: 0d77.*
+ 1e722: 6ff8.*
+ 1e723: 3c47.*
+ 1e724: 0d77.*
+ 1e725: 6f84.*
+ 1e726: 0d77.*
+ 1e727: 6f8c.*
+ 1e728: 0d77.*
+ 1e729: 6f94.*
+ 1e72a: 0d77.*
+ 1e72b: 6f9c.*
+ 1e72c: 0d77.*
+ 1e72d: 6fa4.*
+ 1e72e: 0d77.*
+ 1e72f: 6fac.*
+ 1e730: 0d77.*
+ 1e731: 6fb4.*
+ 1e732: 0d77.*
+ 1e733: 6fbc.*
+ 1e734: 0d77.*
+ 1e735: 6fc4.*
+ 1e736: 0d77.*
+ 1e737: 6fcc.*
+ 1e738: 0d77.*
+ 1e739: 6fd4.*
+ 1e73a: 0d77.*
+ 1e73b: 6fdc.*
+ 1e73c: 0d77.*
+ 1e73d: 6fe4.*
+ 1e73e: 3c48.*
+ 1e73f: 0d77.*
+ 1e740: 6fec.*
+ 1e741: 3c49.*
+ 1e742: 0d77.*
+ 1e743: 6ff4.*
+ 1e744: 3c4a.*
+ 1e745: 0d77.*
+ 1e746: 6ff8.*
+ 1e747: 3c4b.*
+ 1e748: 0d77.*
+ 1e749: 6f85.*
+ 1e74a: 0d77.*
+ 1e74b: 6f8d.*
+ 1e74c: 0d77.*
+ 1e74d: 6f95.*
+ 1e74e: 0d77.*
+ 1e74f: 6f9d.*
+ 1e750: 0d77.*
+ 1e751: 6fa5.*
+ 1e752: 0d77.*
+ 1e753: 6fad.*
+ 1e754: 0d77.*
+ 1e755: 6fb5.*
+ 1e756: 0d77.*
+ 1e757: 6fbd.*
+ 1e758: 0d77.*
+ 1e759: 6fc5.*
+ 1e75a: 0d77.*
+ 1e75b: 6fcd.*
+ 1e75c: 0d77.*
+ 1e75d: 6fd5.*
+ 1e75e: 0d77.*
+ 1e75f: 6fdd.*
+ 1e760: 0d77.*
+ 1e761: 6fe5.*
+ 1e762: 3c4c.*
+ 1e763: 0d77.*
+ 1e764: 6fed.*
+ 1e765: 3c4d.*
+ 1e766: 0d77.*
+ 1e767: 6ff5.*
+ 1e768: 3c4e.*
+ 1e769: 0d77.*
+ 1e76a: 6ff8.*
+ 1e76b: 3c4f.*
+ 1e76c: 0d77.*
+ 1e76d: 6f86.*
+ 1e76e: 0d77.*
+ 1e76f: 6f8e.*
+ 1e770: 0d77.*
+ 1e771: 6f96.*
+ 1e772: 0d77.*
+ 1e773: 6f9e.*
+ 1e774: 0d77.*
+ 1e775: 6fa6.*
+ 1e776: 0d77.*
+ 1e777: 6fae.*
+ 1e778: 0d77.*
+ 1e779: 6fb6.*
+ 1e77a: 0d77.*
+ 1e77b: 6fbe.*
+ 1e77c: 0d77.*
+ 1e77d: 6fc6.*
+ 1e77e: 0d77.*
+ 1e77f: 6fce.*
+ 1e780: 0d77.*
+ 1e781: 6fd6.*
+ 1e782: 0d77.*
+ 1e783: 6fde.*
+ 1e784: 0d77.*
+ 1e785: 6fe6.*
+ 1e786: 3c50.*
+ 1e787: 0d77.*
+ 1e788: 6fee.*
+ 1e789: 3c51.*
+ 1e78a: 0d77.*
+ 1e78b: 6ff6.*
+ 1e78c: 3c52.*
+ 1e78d: 0d77.*
+ 1e78e: 6ff8.*
+ 1e78f: 3c53.*
+ 1e790: 0d77.*
+ 1e791: 6f87.*
+ 1e792: 0d77.*
+ 1e793: 6f8f.*
+ 1e794: 0d77.*
+ 1e795: 6f97.*
+ 1e796: 0d77.*
+ 1e797: 6f9f.*
+ 1e798: 0d77.*
+ 1e799: 6fa7.*
+ 1e79a: 0d77.*
+ 1e79b: 6faf.*
+ 1e79c: 0d77.*
+ 1e79d: 6fb7.*
+ 1e79e: 0d77.*
+ 1e79f: 6fbf.*
+ 1e7a0: 0d77.*
+ 1e7a1: 6fc7.*
+ 1e7a2: 0d77.*
+ 1e7a3: 6fcf.*
+ 1e7a4: 0d77.*
+ 1e7a5: 6fd7.*
+ 1e7a6: 0d77.*
+ 1e7a7: 6fdf.*
+ 1e7a8: 0d77.*
+ 1e7a9: 6fe7.*
+ 1e7aa: 3c54.*
+ 1e7ab: 0d77.*
+ 1e7ac: 6fef.*
+ 1e7ad: 3c55.*
+ 1e7ae: 0d77.*
+ 1e7af: 6ff7.*
+ 1e7b0: 3c56.*
+ 1e7b1: 0d77.*
+ 1e7b2: 6ff8.*
+ 1e7b3: 3c57.*
+ 1e7b4: 0d77.*
+ 1e7b5: 6f80.*
+ 1e7b6: 0d78.*
+ 1e7b7: 6f88.*
+ 1e7b8: 0d78.*
+ 1e7b9: 6f90.*
+ 1e7ba: 0d78.*
+ 1e7bb: 6f98.*
+ 1e7bc: 0d78.*
+ 1e7bd: 6fa0.*
+ 1e7be: 0d78.*
+ 1e7bf: 6fa8.*
+ 1e7c0: 0d78.*
+ 1e7c1: 6fb0.*
+ 1e7c2: 0d78.*
+ 1e7c3: 6fb8.*
+ 1e7c4: 0d78.*
+ 1e7c5: 6fc0.*
+ 1e7c6: 0d78.*
+ 1e7c7: 6fc8.*
+ 1e7c8: 0d78.*
+ 1e7c9: 6fd0.*
+ 1e7ca: 0d78.*
+ 1e7cb: 6fd8.*
+ 1e7cc: 0d78.*
+ 1e7cd: 6fe0.*
+ 1e7ce: 3c58.*
+ 1e7cf: 0d78.*
+ 1e7d0: 6fe8.*
+ 1e7d1: 3c59.*
+ 1e7d2: 0d78.*
+ 1e7d3: 6ff0.*
+ 1e7d4: 3c5a.*
+ 1e7d5: 0d78.*
+ 1e7d6: 6ff8.*
+ 1e7d7: 3c5b.*
+ 1e7d8: 0d78.*
+ 1e7d9: 6f81.*
+ 1e7da: 0d78.*
+ 1e7db: 6f89.*
+ 1e7dc: 0d78.*
+ 1e7dd: 6f91.*
+ 1e7de: 0d78.*
+ 1e7df: 6f99.*
+ 1e7e0: 0d78.*
+ 1e7e1: 6fa1.*
+ 1e7e2: 0d78.*
+ 1e7e3: 6fa9.*
+ 1e7e4: 0d78.*
+ 1e7e5: 6fb1.*
+ 1e7e6: 0d78.*
+ 1e7e7: 6fb9.*
+ 1e7e8: 0d78.*
+ 1e7e9: 6fc1.*
+ 1e7ea: 0d78.*
+ 1e7eb: 6fc9.*
+ 1e7ec: 0d78.*
+ 1e7ed: 6fd1.*
+ 1e7ee: 0d78.*
+ 1e7ef: 6fd9.*
+ 1e7f0: 0d78.*
+ 1e7f1: 6fe1.*
+ 1e7f2: 3c5c.*
+ 1e7f3: 0d78.*
+ 1e7f4: 6fe9.*
+ 1e7f5: 3c5d.*
+ 1e7f6: 0d78.*
+ 1e7f7: 6ff1.*
+ 1e7f8: 3c5e.*
+ 1e7f9: 0d78.*
+ 1e7fa: 6ff8.*
+ 1e7fb: 3c5f.*
+ 1e7fc: 0d78.*
+ 1e7fd: 6f82.*
+ 1e7fe: 0d78.*
+ 1e7ff: 6f8a.*
+ 1e800: 0d78.*
+ 1e801: 6f92.*
+ 1e802: 0d78.*
+ 1e803: 6f9a.*
+ 1e804: 0d78.*
+ 1e805: 6fa2.*
+ 1e806: 0d78.*
+ 1e807: 6faa.*
+ 1e808: 0d78.*
+ 1e809: 6fb2.*
+ 1e80a: 0d78.*
+ 1e80b: 6fba.*
+ 1e80c: 0d78.*
+ 1e80d: 6fc2.*
+ 1e80e: 0d78.*
+ 1e80f: 6fca.*
+ 1e810: 0d78.*
+ 1e811: 6fd2.*
+ 1e812: 0d78.*
+ 1e813: 6fda.*
+ 1e814: 0d78.*
+ 1e815: 6fe2.*
+ 1e816: 3c60.*
+ 1e817: 0d78.*
+ 1e818: 6fea.*
+ 1e819: 3c61.*
+ 1e81a: 0d78.*
+ 1e81b: 6ff2.*
+ 1e81c: 3c62.*
+ 1e81d: 0d78.*
+ 1e81e: 6ff8.*
+ 1e81f: 3c63.*
+ 1e820: 0d78.*
+ 1e821: 6f83.*
+ 1e822: 0d78.*
+ 1e823: 6f8b.*
+ 1e824: 0d78.*
+ 1e825: 6f93.*
+ 1e826: 0d78.*
+ 1e827: 6f9b.*
+ 1e828: 0d78.*
+ 1e829: 6fa3.*
+ 1e82a: 0d78.*
+ 1e82b: 6fab.*
+ 1e82c: 0d78.*
+ 1e82d: 6fb3.*
+ 1e82e: 0d78.*
+ 1e82f: 6fbb.*
+ 1e830: 0d78.*
+ 1e831: 6fc3.*
+ 1e832: 0d78.*
+ 1e833: 6fcb.*
+ 1e834: 0d78.*
+ 1e835: 6fd3.*
+ 1e836: 0d78.*
+ 1e837: 6fdb.*
+ 1e838: 0d78.*
+ 1e839: 6fe3.*
+ 1e83a: 3c64.*
+ 1e83b: 0d78.*
+ 1e83c: 6feb.*
+ 1e83d: 3c65.*
+ 1e83e: 0d78.*
+ 1e83f: 6ff3.*
+ 1e840: 3c66.*
+ 1e841: 0d78.*
+ 1e842: 6ff8.*
+ 1e843: 3c67.*
+ 1e844: 0d78.*
+ 1e845: 6f84.*
+ 1e846: 0d78.*
+ 1e847: 6f8c.*
+ 1e848: 0d78.*
+ 1e849: 6f94.*
+ 1e84a: 0d78.*
+ 1e84b: 6f9c.*
+ 1e84c: 0d78.*
+ 1e84d: 6fa4.*
+ 1e84e: 0d78.*
+ 1e84f: 6fac.*
+ 1e850: 0d78.*
+ 1e851: 6fb4.*
+ 1e852: 0d78.*
+ 1e853: 6fbc.*
+ 1e854: 0d78.*
+ 1e855: 6fc4.*
+ 1e856: 0d78.*
+ 1e857: 6fcc.*
+ 1e858: 0d78.*
+ 1e859: 6fd4.*
+ 1e85a: 0d78.*
+ 1e85b: 6fdc.*
+ 1e85c: 0d78.*
+ 1e85d: 6fe4.*
+ 1e85e: 3c68.*
+ 1e85f: 0d78.*
+ 1e860: 6fec.*
+ 1e861: 3c69.*
+ 1e862: 0d78.*
+ 1e863: 6ff4.*
+ 1e864: 3c6a.*
+ 1e865: 0d78.*
+ 1e866: 6ff8.*
+ 1e867: 3c6b.*
+ 1e868: 0d78.*
+ 1e869: 6f85.*
+ 1e86a: 0d78.*
+ 1e86b: 6f8d.*
+ 1e86c: 0d78.*
+ 1e86d: 6f95.*
+ 1e86e: 0d78.*
+ 1e86f: 6f9d.*
+ 1e870: 0d78.*
+ 1e871: 6fa5.*
+ 1e872: 0d78.*
+ 1e873: 6fad.*
+ 1e874: 0d78.*
+ 1e875: 6fb5.*
+ 1e876: 0d78.*
+ 1e877: 6fbd.*
+ 1e878: 0d78.*
+ 1e879: 6fc5.*
+ 1e87a: 0d78.*
+ 1e87b: 6fcd.*
+ 1e87c: 0d78.*
+ 1e87d: 6fd5.*
+ 1e87e: 0d78.*
+ 1e87f: 6fdd.*
+ 1e880: 0d78.*
+ 1e881: 6fe5.*
+ 1e882: 3c6c.*
+ 1e883: 0d78.*
+ 1e884: 6fed.*
+ 1e885: 3c6d.*
+ 1e886: 0d78.*
+ 1e887: 6ff5.*
+ 1e888: 3c6e.*
+ 1e889: 0d78.*
+ 1e88a: 6ff8.*
+ 1e88b: 3c6f.*
+ 1e88c: 0d78.*
+ 1e88d: 6f86.*
+ 1e88e: 0d78.*
+ 1e88f: 6f8e.*
+ 1e890: 0d78.*
+ 1e891: 6f96.*
+ 1e892: 0d78.*
+ 1e893: 6f9e.*
+ 1e894: 0d78.*
+ 1e895: 6fa6.*
+ 1e896: 0d78.*
+ 1e897: 6fae.*
+ 1e898: 0d78.*
+ 1e899: 6fb6.*
+ 1e89a: 0d78.*
+ 1e89b: 6fbe.*
+ 1e89c: 0d78.*
+ 1e89d: 6fc6.*
+ 1e89e: 0d78.*
+ 1e89f: 6fce.*
+ 1e8a0: 0d78.*
+ 1e8a1: 6fd6.*
+ 1e8a2: 0d78.*
+ 1e8a3: 6fde.*
+ 1e8a4: 0d78.*
+ 1e8a5: 6fe6.*
+ 1e8a6: 3c70.*
+ 1e8a7: 0d78.*
+ 1e8a8: 6fee.*
+ 1e8a9: 3c71.*
+ 1e8aa: 0d78.*
+ 1e8ab: 6ff6.*
+ 1e8ac: 3c72.*
+ 1e8ad: 0d78.*
+ 1e8ae: 6ff8.*
+ 1e8af: 3c73.*
+ 1e8b0: 0d78.*
+ 1e8b1: 6f87.*
+ 1e8b2: 0d78.*
+ 1e8b3: 6f8f.*
+ 1e8b4: 0d78.*
+ 1e8b5: 6f97.*
+ 1e8b6: 0d78.*
+ 1e8b7: 6f9f.*
+ 1e8b8: 0d78.*
+ 1e8b9: 6fa7.*
+ 1e8ba: 0d78.*
+ 1e8bb: 6faf.*
+ 1e8bc: 0d78.*
+ 1e8bd: 6fb7.*
+ 1e8be: 0d78.*
+ 1e8bf: 6fbf.*
+ 1e8c0: 0d78.*
+ 1e8c1: 6fc7.*
+ 1e8c2: 0d78.*
+ 1e8c3: 6fcf.*
+ 1e8c4: 0d78.*
+ 1e8c5: 6fd7.*
+ 1e8c6: 0d78.*
+ 1e8c7: 6fdf.*
+ 1e8c8: 0d78.*
+ 1e8c9: 6fe7.*
+ 1e8ca: 3c74.*
+ 1e8cb: 0d78.*
+ 1e8cc: 6fef.*
+ 1e8cd: 3c75.*
+ 1e8ce: 0d78.*
+ 1e8cf: 6ff7.*
+ 1e8d0: 3c76.*
+ 1e8d1: 0d78.*
+ 1e8d2: 6ff8.*
+ 1e8d3: 3c77.*
+ 1e8d4: 0d78.*
+ 1e8d5: 6f80.*
+ 1e8d6: 0d79.*
+ 1e8d7: 6f88.*
+ 1e8d8: 0d79.*
+ 1e8d9: 6f90.*
+ 1e8da: 0d79.*
+ 1e8db: 6f98.*
+ 1e8dc: 0d79.*
+ 1e8dd: 6fa0.*
+ 1e8de: 0d79.*
+ 1e8df: 6fa8.*
+ 1e8e0: 0d79.*
+ 1e8e1: 6fb0.*
+ 1e8e2: 0d79.*
+ 1e8e3: 6fb8.*
+ 1e8e4: 0d79.*
+ 1e8e5: 6fc0.*
+ 1e8e6: 0d79.*
+ 1e8e7: 6fc8.*
+ 1e8e8: 0d79.*
+ 1e8e9: 6fd0.*
+ 1e8ea: 0d79.*
+ 1e8eb: 6fd8.*
+ 1e8ec: 0d79.*
+ 1e8ed: 6fe0.*
+ 1e8ee: 3c78.*
+ 1e8ef: 0d79.*
+ 1e8f0: 6fe8.*
+ 1e8f1: 3c79.*
+ 1e8f2: 0d79.*
+ 1e8f3: 6ff0.*
+ 1e8f4: 3c7a.*
+ 1e8f5: 0d79.*
+ 1e8f6: 6ff8.*
+ 1e8f7: 3c7b.*
+ 1e8f8: 0d79.*
+ 1e8f9: 6f81.*
+ 1e8fa: 0d79.*
+ 1e8fb: 6f89.*
+ 1e8fc: 0d79.*
+ 1e8fd: 6f91.*
+ 1e8fe: 0d79.*
+ 1e8ff: 6f99.*
+ 1e900: 0d79.*
+ 1e901: 6fa1.*
+ 1e902: 0d79.*
+ 1e903: 6fa9.*
+ 1e904: 0d79.*
+ 1e905: 6fb1.*
+ 1e906: 0d79.*
+ 1e907: 6fb9.*
+ 1e908: 0d79.*
+ 1e909: 6fc1.*
+ 1e90a: 0d79.*
+ 1e90b: 6fc9.*
+ 1e90c: 0d79.*
+ 1e90d: 6fd1.*
+ 1e90e: 0d79.*
+ 1e90f: 6fd9.*
+ 1e910: 0d79.*
+ 1e911: 6fe1.*
+ 1e912: 3c7c.*
+ 1e913: 0d79.*
+ 1e914: 6fe9.*
+ 1e915: 3c7d.*
+ 1e916: 0d79.*
+ 1e917: 6ff1.*
+ 1e918: 3c7e.*
+ 1e919: 0d79.*
+ 1e91a: 6ff8.*
+ 1e91b: 3c7f.*
+ 1e91c: 0d79.*
+ 1e91d: 6f82.*
+ 1e91e: 0d79.*
+ 1e91f: 6f8a.*
+ 1e920: 0d79.*
+ 1e921: 6f92.*
+ 1e922: 0d79.*
+ 1e923: 6f9a.*
+ 1e924: 0d79.*
+ 1e925: 6fa2.*
+ 1e926: 0d79.*
+ 1e927: 6faa.*
+ 1e928: 0d79.*
+ 1e929: 6fb2.*
+ 1e92a: 0d79.*
+ 1e92b: 6fba.*
+ 1e92c: 0d79.*
+ 1e92d: 6fc2.*
+ 1e92e: 0d79.*
+ 1e92f: 6fca.*
+ 1e930: 0d79.*
+ 1e931: 6fd2.*
+ 1e932: 0d79.*
+ 1e933: 6fda.*
+ 1e934: 0d79.*
+ 1e935: 6fe2.*
+ 1e936: 3c80.*
+ 1e937: 0d79.*
+ 1e938: 6fea.*
+ 1e939: 3c81.*
+ 1e93a: 0d79.*
+ 1e93b: 6ff2.*
+ 1e93c: 3c82.*
+ 1e93d: 0d79.*
+ 1e93e: 6ff8.*
+ 1e93f: 3c83.*
+ 1e940: 0d79.*
+ 1e941: 6f83.*
+ 1e942: 0d79.*
+ 1e943: 6f8b.*
+ 1e944: 0d79.*
+ 1e945: 6f93.*
+ 1e946: 0d79.*
+ 1e947: 6f9b.*
+ 1e948: 0d79.*
+ 1e949: 6fa3.*
+ 1e94a: 0d79.*
+ 1e94b: 6fab.*
+ 1e94c: 0d79.*
+ 1e94d: 6fb3.*
+ 1e94e: 0d79.*
+ 1e94f: 6fbb.*
+ 1e950: 0d79.*
+ 1e951: 6fc3.*
+ 1e952: 0d79.*
+ 1e953: 6fcb.*
+ 1e954: 0d79.*
+ 1e955: 6fd3.*
+ 1e956: 0d79.*
+ 1e957: 6fdb.*
+ 1e958: 0d79.*
+ 1e959: 6fe3.*
+ 1e95a: 3c84.*
+ 1e95b: 0d79.*
+ 1e95c: 6feb.*
+ 1e95d: 3c85.*
+ 1e95e: 0d79.*
+ 1e95f: 6ff3.*
+ 1e960: 3c86.*
+ 1e961: 0d79.*
+ 1e962: 6ff8.*
+ 1e963: 3c87.*
+ 1e964: 0d79.*
+ 1e965: 6f84.*
+ 1e966: 0d79.*
+ 1e967: 6f8c.*
+ 1e968: 0d79.*
+ 1e969: 6f94.*
+ 1e96a: 0d79.*
+ 1e96b: 6f9c.*
+ 1e96c: 0d79.*
+ 1e96d: 6fa4.*
+ 1e96e: 0d79.*
+ 1e96f: 6fac.*
+ 1e970: 0d79.*
+ 1e971: 6fb4.*
+ 1e972: 0d79.*
+ 1e973: 6fbc.*
+ 1e974: 0d79.*
+ 1e975: 6fc4.*
+ 1e976: 0d79.*
+ 1e977: 6fcc.*
+ 1e978: 0d79.*
+ 1e979: 6fd4.*
+ 1e97a: 0d79.*
+ 1e97b: 6fdc.*
+ 1e97c: 0d79.*
+ 1e97d: 6fe4.*
+ 1e97e: 3c88.*
+ 1e97f: 0d79.*
+ 1e980: 6fec.*
+ 1e981: 3c89.*
+ 1e982: 0d79.*
+ 1e983: 6ff4.*
+ 1e984: 3c8a.*
+ 1e985: 0d79.*
+ 1e986: 6ff8.*
+ 1e987: 3c8b.*
+ 1e988: 0d79.*
+ 1e989: 6f85.*
+ 1e98a: 0d79.*
+ 1e98b: 6f8d.*
+ 1e98c: 0d79.*
+ 1e98d: 6f95.*
+ 1e98e: 0d79.*
+ 1e98f: 6f9d.*
+ 1e990: 0d79.*
+ 1e991: 6fa5.*
+ 1e992: 0d79.*
+ 1e993: 6fad.*
+ 1e994: 0d79.*
+ 1e995: 6fb5.*
+ 1e996: 0d79.*
+ 1e997: 6fbd.*
+ 1e998: 0d79.*
+ 1e999: 6fc5.*
+ 1e99a: 0d79.*
+ 1e99b: 6fcd.*
+ 1e99c: 0d79.*
+ 1e99d: 6fd5.*
+ 1e99e: 0d79.*
+ 1e99f: 6fdd.*
+ 1e9a0: 0d79.*
+ 1e9a1: 6fe5.*
+ 1e9a2: 3c8c.*
+ 1e9a3: 0d79.*
+ 1e9a4: 6fed.*
+ 1e9a5: 3c8d.*
+ 1e9a6: 0d79.*
+ 1e9a7: 6ff5.*
+ 1e9a8: 3c8e.*
+ 1e9a9: 0d79.*
+ 1e9aa: 6ff8.*
+ 1e9ab: 3c8f.*
+ 1e9ac: 0d79.*
+ 1e9ad: 6f86.*
+ 1e9ae: 0d79.*
+ 1e9af: 6f8e.*
+ 1e9b0: 0d79.*
+ 1e9b1: 6f96.*
+ 1e9b2: 0d79.*
+ 1e9b3: 6f9e.*
+ 1e9b4: 0d79.*
+ 1e9b5: 6fa6.*
+ 1e9b6: 0d79.*
+ 1e9b7: 6fae.*
+ 1e9b8: 0d79.*
+ 1e9b9: 6fb6.*
+ 1e9ba: 0d79.*
+ 1e9bb: 6fbe.*
+ 1e9bc: 0d79.*
+ 1e9bd: 6fc6.*
+ 1e9be: 0d79.*
+ 1e9bf: 6fce.*
+ 1e9c0: 0d79.*
+ 1e9c1: 6fd6.*
+ 1e9c2: 0d79.*
+ 1e9c3: 6fde.*
+ 1e9c4: 0d79.*
+ 1e9c5: 6fe6.*
+ 1e9c6: 3c90.*
+ 1e9c7: 0d79.*
+ 1e9c8: 6fee.*
+ 1e9c9: 3c91.*
+ 1e9ca: 0d79.*
+ 1e9cb: 6ff6.*
+ 1e9cc: 3c92.*
+ 1e9cd: 0d79.*
+ 1e9ce: 6ff8.*
+ 1e9cf: 3c93.*
+ 1e9d0: 0d79.*
+ 1e9d1: 6f87.*
+ 1e9d2: 0d79.*
+ 1e9d3: 6f8f.*
+ 1e9d4: 0d79.*
+ 1e9d5: 6f97.*
+ 1e9d6: 0d79.*
+ 1e9d7: 6f9f.*
+ 1e9d8: 0d79.*
+ 1e9d9: 6fa7.*
+ 1e9da: 0d79.*
+ 1e9db: 6faf.*
+ 1e9dc: 0d79.*
+ 1e9dd: 6fb7.*
+ 1e9de: 0d79.*
+ 1e9df: 6fbf.*
+ 1e9e0: 0d79.*
+ 1e9e1: 6fc7.*
+ 1e9e2: 0d79.*
+ 1e9e3: 6fcf.*
+ 1e9e4: 0d79.*
+ 1e9e5: 6fd7.*
+ 1e9e6: 0d79.*
+ 1e9e7: 6fdf.*
+ 1e9e8: 0d79.*
+ 1e9e9: 6fe7.*
+ 1e9ea: 3c94.*
+ 1e9eb: 0d79.*
+ 1e9ec: 6fef.*
+ 1e9ed: 3c95.*
+ 1e9ee: 0d79.*
+ 1e9ef: 6ff7.*
+ 1e9f0: 3c96.*
+ 1e9f1: 0d79.*
+ 1e9f2: 6ff8.*
+ 1e9f3: 3c97.*
+ 1e9f4: 0d79.*
+ 1e9f5: 6f80.*
+ 1e9f6: 0d7a.*
+ 1e9f7: 6f88.*
+ 1e9f8: 0d7a.*
+ 1e9f9: 6f90.*
+ 1e9fa: 0d7a.*
+ 1e9fb: 6f98.*
+ 1e9fc: 0d7a.*
+ 1e9fd: 6fa0.*
+ 1e9fe: 0d7a.*
+ 1e9ff: 6fa8.*
+ 1ea00: 0d7a.*
+ 1ea01: 6fb0.*
+ 1ea02: 0d7a.*
+ 1ea03: 6fb8.*
+ 1ea04: 0d7a.*
+ 1ea05: 6fc0.*
+ 1ea06: 0d7a.*
+ 1ea07: 6fc8.*
+ 1ea08: 0d7a.*
+ 1ea09: 6fd0.*
+ 1ea0a: 0d7a.*
+ 1ea0b: 6fd8.*
+ 1ea0c: 0d7a.*
+ 1ea0d: 6fe0.*
+ 1ea0e: 3c98.*
+ 1ea0f: 0d7a.*
+ 1ea10: 6fe8.*
+ 1ea11: 3c99.*
+ 1ea12: 0d7a.*
+ 1ea13: 6ff0.*
+ 1ea14: 3c9a.*
+ 1ea15: 0d7a.*
+ 1ea16: 6ff8.*
+ 1ea17: 3c9b.*
+ 1ea18: 0d7a.*
+ 1ea19: 6f81.*
+ 1ea1a: 0d7a.*
+ 1ea1b: 6f89.*
+ 1ea1c: 0d7a.*
+ 1ea1d: 6f91.*
+ 1ea1e: 0d7a.*
+ 1ea1f: 6f99.*
+ 1ea20: 0d7a.*
+ 1ea21: 6fa1.*
+ 1ea22: 0d7a.*
+ 1ea23: 6fa9.*
+ 1ea24: 0d7a.*
+ 1ea25: 6fb1.*
+ 1ea26: 0d7a.*
+ 1ea27: 6fb9.*
+ 1ea28: 0d7a.*
+ 1ea29: 6fc1.*
+ 1ea2a: 0d7a.*
+ 1ea2b: 6fc9.*
+ 1ea2c: 0d7a.*
+ 1ea2d: 6fd1.*
+ 1ea2e: 0d7a.*
+ 1ea2f: 6fd9.*
+ 1ea30: 0d7a.*
+ 1ea31: 6fe1.*
+ 1ea32: 3c9c.*
+ 1ea33: 0d7a.*
+ 1ea34: 6fe9.*
+ 1ea35: 3c9d.*
+ 1ea36: 0d7a.*
+ 1ea37: 6ff1.*
+ 1ea38: 3c9e.*
+ 1ea39: 0d7a.*
+ 1ea3a: 6ff8.*
+ 1ea3b: 3c9f.*
+ 1ea3c: 0d7a.*
+ 1ea3d: 6f82.*
+ 1ea3e: 0d7a.*
+ 1ea3f: 6f8a.*
+ 1ea40: 0d7a.*
+ 1ea41: 6f92.*
+ 1ea42: 0d7a.*
+ 1ea43: 6f9a.*
+ 1ea44: 0d7a.*
+ 1ea45: 6fa2.*
+ 1ea46: 0d7a.*
+ 1ea47: 6faa.*
+ 1ea48: 0d7a.*
+ 1ea49: 6fb2.*
+ 1ea4a: 0d7a.*
+ 1ea4b: 6fba.*
+ 1ea4c: 0d7a.*
+ 1ea4d: 6fc2.*
+ 1ea4e: 0d7a.*
+ 1ea4f: 6fca.*
+ 1ea50: 0d7a.*
+ 1ea51: 6fd2.*
+ 1ea52: 0d7a.*
+ 1ea53: 6fda.*
+ 1ea54: 0d7a.*
+ 1ea55: 6fe2.*
+ 1ea56: 3ca0.*
+ 1ea57: 0d7a.*
+ 1ea58: 6fea.*
+ 1ea59: 3ca1.*
+ 1ea5a: 0d7a.*
+ 1ea5b: 6ff2.*
+ 1ea5c: 3ca2.*
+ 1ea5d: 0d7a.*
+ 1ea5e: 6ff8.*
+ 1ea5f: 3ca3.*
+ 1ea60: 0d7a.*
+ 1ea61: 6f83.*
+ 1ea62: 0d7a.*
+ 1ea63: 6f8b.*
+ 1ea64: 0d7a.*
+ 1ea65: 6f93.*
+ 1ea66: 0d7a.*
+ 1ea67: 6f9b.*
+ 1ea68: 0d7a.*
+ 1ea69: 6fa3.*
+ 1ea6a: 0d7a.*
+ 1ea6b: 6fab.*
+ 1ea6c: 0d7a.*
+ 1ea6d: 6fb3.*
+ 1ea6e: 0d7a.*
+ 1ea6f: 6fbb.*
+ 1ea70: 0d7a.*
+ 1ea71: 6fc3.*
+ 1ea72: 0d7a.*
+ 1ea73: 6fcb.*
+ 1ea74: 0d7a.*
+ 1ea75: 6fd3.*
+ 1ea76: 0d7a.*
+ 1ea77: 6fdb.*
+ 1ea78: 0d7a.*
+ 1ea79: 6fe3.*
+ 1ea7a: 3ca4.*
+ 1ea7b: 0d7a.*
+ 1ea7c: 6feb.*
+ 1ea7d: 3ca5.*
+ 1ea7e: 0d7a.*
+ 1ea7f: 6ff3.*
+ 1ea80: 3ca6.*
+ 1ea81: 0d7a.*
+ 1ea82: 6ff8.*
+ 1ea83: 3ca7.*
+ 1ea84: 0d7a.*
+ 1ea85: 6f84.*
+ 1ea86: 0d7a.*
+ 1ea87: 6f8c.*
+ 1ea88: 0d7a.*
+ 1ea89: 6f94.*
+ 1ea8a: 0d7a.*
+ 1ea8b: 6f9c.*
+ 1ea8c: 0d7a.*
+ 1ea8d: 6fa4.*
+ 1ea8e: 0d7a.*
+ 1ea8f: 6fac.*
+ 1ea90: 0d7a.*
+ 1ea91: 6fb4.*
+ 1ea92: 0d7a.*
+ 1ea93: 6fbc.*
+ 1ea94: 0d7a.*
+ 1ea95: 6fc4.*
+ 1ea96: 0d7a.*
+ 1ea97: 6fcc.*
+ 1ea98: 0d7a.*
+ 1ea99: 6fd4.*
+ 1ea9a: 0d7a.*
+ 1ea9b: 6fdc.*
+ 1ea9c: 0d7a.*
+ 1ea9d: 6fe4.*
+ 1ea9e: 3ca8.*
+ 1ea9f: 0d7a.*
+ 1eaa0: 6fec.*
+ 1eaa1: 3ca9.*
+ 1eaa2: 0d7a.*
+ 1eaa3: 6ff4.*
+ 1eaa4: 3caa.*
+ 1eaa5: 0d7a.*
+ 1eaa6: 6ff8.*
+ 1eaa7: 3cab.*
+ 1eaa8: 0d7a.*
+ 1eaa9: 6f85.*
+ 1eaaa: 0d7a.*
+ 1eaab: 6f8d.*
+ 1eaac: 0d7a.*
+ 1eaad: 6f95.*
+ 1eaae: 0d7a.*
+ 1eaaf: 6f9d.*
+ 1eab0: 0d7a.*
+ 1eab1: 6fa5.*
+ 1eab2: 0d7a.*
+ 1eab3: 6fad.*
+ 1eab4: 0d7a.*
+ 1eab5: 6fb5.*
+ 1eab6: 0d7a.*
+ 1eab7: 6fbd.*
+ 1eab8: 0d7a.*
+ 1eab9: 6fc5.*
+ 1eaba: 0d7a.*
+ 1eabb: 6fcd.*
+ 1eabc: 0d7a.*
+ 1eabd: 6fd5.*
+ 1eabe: 0d7a.*
+ 1eabf: 6fdd.*
+ 1eac0: 0d7a.*
+ 1eac1: 6fe5.*
+ 1eac2: 3cac.*
+ 1eac3: 0d7a.*
+ 1eac4: 6fed.*
+ 1eac5: 3cad.*
+ 1eac6: 0d7a.*
+ 1eac7: 6ff5.*
+ 1eac8: 3cae.*
+ 1eac9: 0d7a.*
+ 1eaca: 6ff8.*
+ 1eacb: 3caf.*
+ 1eacc: 0d7a.*
+ 1eacd: 6f86.*
+ 1eace: 0d7a.*
+ 1eacf: 6f8e.*
+ 1ead0: 0d7a.*
+ 1ead1: 6f96.*
+ 1ead2: 0d7a.*
+ 1ead3: 6f9e.*
+ 1ead4: 0d7a.*
+ 1ead5: 6fa6.*
+ 1ead6: 0d7a.*
+ 1ead7: 6fae.*
+ 1ead8: 0d7a.*
+ 1ead9: 6fb6.*
+ 1eada: 0d7a.*
+ 1eadb: 6fbe.*
+ 1eadc: 0d7a.*
+ 1eadd: 6fc6.*
+ 1eade: 0d7a.*
+ 1eadf: 6fce.*
+ 1eae0: 0d7a.*
+ 1eae1: 6fd6.*
+ 1eae2: 0d7a.*
+ 1eae3: 6fde.*
+ 1eae4: 0d7a.*
+ 1eae5: 6fe6.*
+ 1eae6: 3cb0.*
+ 1eae7: 0d7a.*
+ 1eae8: 6fee.*
+ 1eae9: 3cb1.*
+ 1eaea: 0d7a.*
+ 1eaeb: 6ff6.*
+ 1eaec: 3cb2.*
+ 1eaed: 0d7a.*
+ 1eaee: 6ff8.*
+ 1eaef: 3cb3.*
+ 1eaf0: 0d7a.*
+ 1eaf1: 6f87.*
+ 1eaf2: 0d7a.*
+ 1eaf3: 6f8f.*
+ 1eaf4: 0d7a.*
+ 1eaf5: 6f97.*
+ 1eaf6: 0d7a.*
+ 1eaf7: 6f9f.*
+ 1eaf8: 0d7a.*
+ 1eaf9: 6fa7.*
+ 1eafa: 0d7a.*
+ 1eafb: 6faf.*
+ 1eafc: 0d7a.*
+ 1eafd: 6fb7.*
+ 1eafe: 0d7a.*
+ 1eaff: 6fbf.*
+ 1eb00: 0d7a.*
+ 1eb01: 6fc7.*
+ 1eb02: 0d7a.*
+ 1eb03: 6fcf.*
+ 1eb04: 0d7a.*
+ 1eb05: 6fd7.*
+ 1eb06: 0d7a.*
+ 1eb07: 6fdf.*
+ 1eb08: 0d7a.*
+ 1eb09: 6fe7.*
+ 1eb0a: 3cb4.*
+ 1eb0b: 0d7a.*
+ 1eb0c: 6fef.*
+ 1eb0d: 3cb5.*
+ 1eb0e: 0d7a.*
+ 1eb0f: 6ff7.*
+ 1eb10: 3cb6.*
+ 1eb11: 0d7a.*
+ 1eb12: 6ff8.*
+ 1eb13: 3cb7.*
+ 1eb14: 0d7a.*
+ 1eb15: 6f80.*
+ 1eb16: 0d7b.*
+ 1eb17: 6f88.*
+ 1eb18: 0d7b.*
+ 1eb19: 6f90.*
+ 1eb1a: 0d7b.*
+ 1eb1b: 6f98.*
+ 1eb1c: 0d7b.*
+ 1eb1d: 6fa0.*
+ 1eb1e: 0d7b.*
+ 1eb1f: 6fa8.*
+ 1eb20: 0d7b.*
+ 1eb21: 6fb0.*
+ 1eb22: 0d7b.*
+ 1eb23: 6fb8.*
+ 1eb24: 0d7b.*
+ 1eb25: 6fc0.*
+ 1eb26: 0d7b.*
+ 1eb27: 6fc8.*
+ 1eb28: 0d7b.*
+ 1eb29: 6fd0.*
+ 1eb2a: 0d7b.*
+ 1eb2b: 6fd8.*
+ 1eb2c: 0d7b.*
+ 1eb2d: 6fe0.*
+ 1eb2e: 3cb8.*
+ 1eb2f: 0d7b.*
+ 1eb30: 6fe8.*
+ 1eb31: 3cb9.*
+ 1eb32: 0d7b.*
+ 1eb33: 6ff0.*
+ 1eb34: 3cba.*
+ 1eb35: 0d7b.*
+ 1eb36: 6ff8.*
+ 1eb37: 3cbb.*
+ 1eb38: 0d7b.*
+ 1eb39: 6f81.*
+ 1eb3a: 0d7b.*
+ 1eb3b: 6f89.*
+ 1eb3c: 0d7b.*
+ 1eb3d: 6f91.*
+ 1eb3e: 0d7b.*
+ 1eb3f: 6f99.*
+ 1eb40: 0d7b.*
+ 1eb41: 6fa1.*
+ 1eb42: 0d7b.*
+ 1eb43: 6fa9.*
+ 1eb44: 0d7b.*
+ 1eb45: 6fb1.*
+ 1eb46: 0d7b.*
+ 1eb47: 6fb9.*
+ 1eb48: 0d7b.*
+ 1eb49: 6fc1.*
+ 1eb4a: 0d7b.*
+ 1eb4b: 6fc9.*
+ 1eb4c: 0d7b.*
+ 1eb4d: 6fd1.*
+ 1eb4e: 0d7b.*
+ 1eb4f: 6fd9.*
+ 1eb50: 0d7b.*
+ 1eb51: 6fe1.*
+ 1eb52: 3cbc.*
+ 1eb53: 0d7b.*
+ 1eb54: 6fe9.*
+ 1eb55: 3cbd.*
+ 1eb56: 0d7b.*
+ 1eb57: 6ff1.*
+ 1eb58: 3cbe.*
+ 1eb59: 0d7b.*
+ 1eb5a: 6ff8.*
+ 1eb5b: 3cbf.*
+ 1eb5c: 0d7b.*
+ 1eb5d: 6f82.*
+ 1eb5e: 0d7b.*
+ 1eb5f: 6f8a.*
+ 1eb60: 0d7b.*
+ 1eb61: 6f92.*
+ 1eb62: 0d7b.*
+ 1eb63: 6f9a.*
+ 1eb64: 0d7b.*
+ 1eb65: 6fa2.*
+ 1eb66: 0d7b.*
+ 1eb67: 6faa.*
+ 1eb68: 0d7b.*
+ 1eb69: 6fb2.*
+ 1eb6a: 0d7b.*
+ 1eb6b: 6fba.*
+ 1eb6c: 0d7b.*
+ 1eb6d: 6fc2.*
+ 1eb6e: 0d7b.*
+ 1eb6f: 6fca.*
+ 1eb70: 0d7b.*
+ 1eb71: 6fd2.*
+ 1eb72: 0d7b.*
+ 1eb73: 6fda.*
+ 1eb74: 0d7b.*
+ 1eb75: 6fe2.*
+ 1eb76: 3cc0.*
+ 1eb77: 0d7b.*
+ 1eb78: 6fea.*
+ 1eb79: 3cc1.*
+ 1eb7a: 0d7b.*
+ 1eb7b: 6ff2.*
+ 1eb7c: 3cc2.*
+ 1eb7d: 0d7b.*
+ 1eb7e: 6ff8.*
+ 1eb7f: 3cc3.*
+ 1eb80: 0d7b.*
+ 1eb81: 6f83.*
+ 1eb82: 0d7b.*
+ 1eb83: 6f8b.*
+ 1eb84: 0d7b.*
+ 1eb85: 6f93.*
+ 1eb86: 0d7b.*
+ 1eb87: 6f9b.*
+ 1eb88: 0d7b.*
+ 1eb89: 6fa3.*
+ 1eb8a: 0d7b.*
+ 1eb8b: 6fab.*
+ 1eb8c: 0d7b.*
+ 1eb8d: 6fb3.*
+ 1eb8e: 0d7b.*
+ 1eb8f: 6fbb.*
+ 1eb90: 0d7b.*
+ 1eb91: 6fc3.*
+ 1eb92: 0d7b.*
+ 1eb93: 6fcb.*
+ 1eb94: 0d7b.*
+ 1eb95: 6fd3.*
+ 1eb96: 0d7b.*
+ 1eb97: 6fdb.*
+ 1eb98: 0d7b.*
+ 1eb99: 6fe3.*
+ 1eb9a: 3cc4.*
+ 1eb9b: 0d7b.*
+ 1eb9c: 6feb.*
+ 1eb9d: 3cc5.*
+ 1eb9e: 0d7b.*
+ 1eb9f: 6ff3.*
+ 1eba0: 3cc6.*
+ 1eba1: 0d7b.*
+ 1eba2: 6ff8.*
+ 1eba3: 3cc7.*
+ 1eba4: 0d7b.*
+ 1eba5: 6f84.*
+ 1eba6: 0d7b.*
+ 1eba7: 6f8c.*
+ 1eba8: 0d7b.*
+ 1eba9: 6f94.*
+ 1ebaa: 0d7b.*
+ 1ebab: 6f9c.*
+ 1ebac: 0d7b.*
+ 1ebad: 6fa4.*
+ 1ebae: 0d7b.*
+ 1ebaf: 6fac.*
+ 1ebb0: 0d7b.*
+ 1ebb1: 6fb4.*
+ 1ebb2: 0d7b.*
+ 1ebb3: 6fbc.*
+ 1ebb4: 0d7b.*
+ 1ebb5: 6fc4.*
+ 1ebb6: 0d7b.*
+ 1ebb7: 6fcc.*
+ 1ebb8: 0d7b.*
+ 1ebb9: 6fd4.*
+ 1ebba: 0d7b.*
+ 1ebbb: 6fdc.*
+ 1ebbc: 0d7b.*
+ 1ebbd: 6fe4.*
+ 1ebbe: 3cc8.*
+ 1ebbf: 0d7b.*
+ 1ebc0: 6fec.*
+ 1ebc1: 3cc9.*
+ 1ebc2: 0d7b.*
+ 1ebc3: 6ff4.*
+ 1ebc4: 3cca.*
+ 1ebc5: 0d7b.*
+ 1ebc6: 6ff8.*
+ 1ebc7: 3ccb.*
+ 1ebc8: 0d7b.*
+ 1ebc9: 6f85.*
+ 1ebca: 0d7b.*
+ 1ebcb: 6f8d.*
+ 1ebcc: 0d7b.*
+ 1ebcd: 6f95.*
+ 1ebce: 0d7b.*
+ 1ebcf: 6f9d.*
+ 1ebd0: 0d7b.*
+ 1ebd1: 6fa5.*
+ 1ebd2: 0d7b.*
+ 1ebd3: 6fad.*
+ 1ebd4: 0d7b.*
+ 1ebd5: 6fb5.*
+ 1ebd6: 0d7b.*
+ 1ebd7: 6fbd.*
+ 1ebd8: 0d7b.*
+ 1ebd9: 6fc5.*
+ 1ebda: 0d7b.*
+ 1ebdb: 6fcd.*
+ 1ebdc: 0d7b.*
+ 1ebdd: 6fd5.*
+ 1ebde: 0d7b.*
+ 1ebdf: 6fdd.*
+ 1ebe0: 0d7b.*
+ 1ebe1: 6fe5.*
+ 1ebe2: 3ccc.*
+ 1ebe3: 0d7b.*
+ 1ebe4: 6fed.*
+ 1ebe5: 3ccd.*
+ 1ebe6: 0d7b.*
+ 1ebe7: 6ff5.*
+ 1ebe8: 3cce.*
+ 1ebe9: 0d7b.*
+ 1ebea: 6ff8.*
+ 1ebeb: 3ccf.*
+ 1ebec: 0d7b.*
+ 1ebed: 6f86.*
+ 1ebee: 0d7b.*
+ 1ebef: 6f8e.*
+ 1ebf0: 0d7b.*
+ 1ebf1: 6f96.*
+ 1ebf2: 0d7b.*
+ 1ebf3: 6f9e.*
+ 1ebf4: 0d7b.*
+ 1ebf5: 6fa6.*
+ 1ebf6: 0d7b.*
+ 1ebf7: 6fae.*
+ 1ebf8: 0d7b.*
+ 1ebf9: 6fb6.*
+ 1ebfa: 0d7b.*
+ 1ebfb: 6fbe.*
+ 1ebfc: 0d7b.*
+ 1ebfd: 6fc6.*
+ 1ebfe: 0d7b.*
+ 1ebff: 6fce.*
+ 1ec00: 0d7b.*
+ 1ec01: 6fd6.*
+ 1ec02: 0d7b.*
+ 1ec03: 6fde.*
+ 1ec04: 0d7b.*
+ 1ec05: 6fe6.*
+ 1ec06: 3cd0.*
+ 1ec07: 0d7b.*
+ 1ec08: 6fee.*
+ 1ec09: 3cd1.*
+ 1ec0a: 0d7b.*
+ 1ec0b: 6ff6.*
+ 1ec0c: 3cd2.*
+ 1ec0d: 0d7b.*
+ 1ec0e: 6ff8.*
+ 1ec0f: 3cd3.*
+ 1ec10: 0d7b.*
+ 1ec11: 6f87.*
+ 1ec12: 0d7b.*
+ 1ec13: 6f8f.*
+ 1ec14: 0d7b.*
+ 1ec15: 6f97.*
+ 1ec16: 0d7b.*
+ 1ec17: 6f9f.*
+ 1ec18: 0d7b.*
+ 1ec19: 6fa7.*
+ 1ec1a: 0d7b.*
+ 1ec1b: 6faf.*
+ 1ec1c: 0d7b.*
+ 1ec1d: 6fb7.*
+ 1ec1e: 0d7b.*
+ 1ec1f: 6fbf.*
+ 1ec20: 0d7b.*
+ 1ec21: 6fc7.*
+ 1ec22: 0d7b.*
+ 1ec23: 6fcf.*
+ 1ec24: 0d7b.*
+ 1ec25: 6fd7.*
+ 1ec26: 0d7b.*
+ 1ec27: 6fdf.*
+ 1ec28: 0d7b.*
+ 1ec29: 6fe7.*
+ 1ec2a: 3cd4.*
+ 1ec2b: 0d7b.*
+ 1ec2c: 6fef.*
+ 1ec2d: 3cd5.*
+ 1ec2e: 0d7b.*
+ 1ec2f: 6ff7.*
+ 1ec30: 3cd6.*
+ 1ec31: 0d7b.*
+ 1ec32: 6ff8.*
+ 1ec33: 3cd7.*
+ 1ec34: 0d7b.*
+ 1ec35: 6f80.*
+ 1ec36: 0d7c.*
+ 1ec37: 6f88.*
+ 1ec38: 0d7c.*
+ 1ec39: 6f90.*
+ 1ec3a: 0d7c.*
+ 1ec3b: 6f98.*
+ 1ec3c: 0d7c.*
+ 1ec3d: 6fa0.*
+ 1ec3e: 0d7c.*
+ 1ec3f: 6fa8.*
+ 1ec40: 0d7c.*
+ 1ec41: 6fb0.*
+ 1ec42: 0d7c.*
+ 1ec43: 6fb8.*
+ 1ec44: 0d7c.*
+ 1ec45: 6fc0.*
+ 1ec46: 0d7c.*
+ 1ec47: 6fc8.*
+ 1ec48: 0d7c.*
+ 1ec49: 6fd0.*
+ 1ec4a: 0d7c.*
+ 1ec4b: 6fd8.*
+ 1ec4c: 0d7c.*
+ 1ec4d: 6fe0.*
+ 1ec4e: 3cd8.*
+ 1ec4f: 0d7c.*
+ 1ec50: 6fe8.*
+ 1ec51: 3cd9.*
+ 1ec52: 0d7c.*
+ 1ec53: 6ff0.*
+ 1ec54: 3cda.*
+ 1ec55: 0d7c.*
+ 1ec56: 6ff8.*
+ 1ec57: 3cdb.*
+ 1ec58: 0d7c.*
+ 1ec59: 6f81.*
+ 1ec5a: 0d7c.*
+ 1ec5b: 6f89.*
+ 1ec5c: 0d7c.*
+ 1ec5d: 6f91.*
+ 1ec5e: 0d7c.*
+ 1ec5f: 6f99.*
+ 1ec60: 0d7c.*
+ 1ec61: 6fa1.*
+ 1ec62: 0d7c.*
+ 1ec63: 6fa9.*
+ 1ec64: 0d7c.*
+ 1ec65: 6fb1.*
+ 1ec66: 0d7c.*
+ 1ec67: 6fb9.*
+ 1ec68: 0d7c.*
+ 1ec69: 6fc1.*
+ 1ec6a: 0d7c.*
+ 1ec6b: 6fc9.*
+ 1ec6c: 0d7c.*
+ 1ec6d: 6fd1.*
+ 1ec6e: 0d7c.*
+ 1ec6f: 6fd9.*
+ 1ec70: 0d7c.*
+ 1ec71: 6fe1.*
+ 1ec72: 3cdc.*
+ 1ec73: 0d7c.*
+ 1ec74: 6fe9.*
+ 1ec75: 3cdd.*
+ 1ec76: 0d7c.*
+ 1ec77: 6ff1.*
+ 1ec78: 3cde.*
+ 1ec79: 0d7c.*
+ 1ec7a: 6ff8.*
+ 1ec7b: 3cdf.*
+ 1ec7c: 0d7c.*
+ 1ec7d: 6f82.*
+ 1ec7e: 0d7c.*
+ 1ec7f: 6f8a.*
+ 1ec80: 0d7c.*
+ 1ec81: 6f92.*
+ 1ec82: 0d7c.*
+ 1ec83: 6f9a.*
+ 1ec84: 0d7c.*
+ 1ec85: 6fa2.*
+ 1ec86: 0d7c.*
+ 1ec87: 6faa.*
+ 1ec88: 0d7c.*
+ 1ec89: 6fb2.*
+ 1ec8a: 0d7c.*
+ 1ec8b: 6fba.*
+ 1ec8c: 0d7c.*
+ 1ec8d: 6fc2.*
+ 1ec8e: 0d7c.*
+ 1ec8f: 6fca.*
+ 1ec90: 0d7c.*
+ 1ec91: 6fd2.*
+ 1ec92: 0d7c.*
+ 1ec93: 6fda.*
+ 1ec94: 0d7c.*
+ 1ec95: 6fe2.*
+ 1ec96: 3ce0.*
+ 1ec97: 0d7c.*
+ 1ec98: 6fea.*
+ 1ec99: 3ce1.*
+ 1ec9a: 0d7c.*
+ 1ec9b: 6ff2.*
+ 1ec9c: 3ce2.*
+ 1ec9d: 0d7c.*
+ 1ec9e: 6ff8.*
+ 1ec9f: 3ce3.*
+ 1eca0: 0d7c.*
+ 1eca1: 6f83.*
+ 1eca2: 0d7c.*
+ 1eca3: 6f8b.*
+ 1eca4: 0d7c.*
+ 1eca5: 6f93.*
+ 1eca6: 0d7c.*
+ 1eca7: 6f9b.*
+ 1eca8: 0d7c.*
+ 1eca9: 6fa3.*
+ 1ecaa: 0d7c.*
+ 1ecab: 6fab.*
+ 1ecac: 0d7c.*
+ 1ecad: 6fb3.*
+ 1ecae: 0d7c.*
+ 1ecaf: 6fbb.*
+ 1ecb0: 0d7c.*
+ 1ecb1: 6fc3.*
+ 1ecb2: 0d7c.*
+ 1ecb3: 6fcb.*
+ 1ecb4: 0d7c.*
+ 1ecb5: 6fd3.*
+ 1ecb6: 0d7c.*
+ 1ecb7: 6fdb.*
+ 1ecb8: 0d7c.*
+ 1ecb9: 6fe3.*
+ 1ecba: 3ce4.*
+ 1ecbb: 0d7c.*
+ 1ecbc: 6feb.*
+ 1ecbd: 3ce5.*
+ 1ecbe: 0d7c.*
+ 1ecbf: 6ff3.*
+ 1ecc0: 3ce6.*
+ 1ecc1: 0d7c.*
+ 1ecc2: 6ff8.*
+ 1ecc3: 3ce7.*
+ 1ecc4: 0d7c.*
+ 1ecc5: 6f84.*
+ 1ecc6: 0d7c.*
+ 1ecc7: 6f8c.*
+ 1ecc8: 0d7c.*
+ 1ecc9: 6f94.*
+ 1ecca: 0d7c.*
+ 1eccb: 6f9c.*
+ 1eccc: 0d7c.*
+ 1eccd: 6fa4.*
+ 1ecce: 0d7c.*
+ 1eccf: 6fac.*
+ 1ecd0: 0d7c.*
+ 1ecd1: 6fb4.*
+ 1ecd2: 0d7c.*
+ 1ecd3: 6fbc.*
+ 1ecd4: 0d7c.*
+ 1ecd5: 6fc4.*
+ 1ecd6: 0d7c.*
+ 1ecd7: 6fcc.*
+ 1ecd8: 0d7c.*
+ 1ecd9: 6fd4.*
+ 1ecda: 0d7c.*
+ 1ecdb: 6fdc.*
+ 1ecdc: 0d7c.*
+ 1ecdd: 6fe4.*
+ 1ecde: 3ce8.*
+ 1ecdf: 0d7c.*
+ 1ece0: 6fec.*
+ 1ece1: 3ce9.*
+ 1ece2: 0d7c.*
+ 1ece3: 6ff4.*
+ 1ece4: 3cea.*
+ 1ece5: 0d7c.*
+ 1ece6: 6ff8.*
+ 1ece7: 3ceb.*
+ 1ece8: 0d7c.*
+ 1ece9: 6f85.*
+ 1ecea: 0d7c.*
+ 1eceb: 6f8d.*
+ 1ecec: 0d7c.*
+ 1eced: 6f95.*
+ 1ecee: 0d7c.*
+ 1ecef: 6f9d.*
+ 1ecf0: 0d7c.*
+ 1ecf1: 6fa5.*
+ 1ecf2: 0d7c.*
+ 1ecf3: 6fad.*
+ 1ecf4: 0d7c.*
+ 1ecf5: 6fb5.*
+ 1ecf6: 0d7c.*
+ 1ecf7: 6fbd.*
+ 1ecf8: 0d7c.*
+ 1ecf9: 6fc5.*
+ 1ecfa: 0d7c.*
+ 1ecfb: 6fcd.*
+ 1ecfc: 0d7c.*
+ 1ecfd: 6fd5.*
+ 1ecfe: 0d7c.*
+ 1ecff: 6fdd.*
+ 1ed00: 0d7c.*
+ 1ed01: 6fe5.*
+ 1ed02: 3cec.*
+ 1ed03: 0d7c.*
+ 1ed04: 6fed.*
+ 1ed05: 3ced.*
+ 1ed06: 0d7c.*
+ 1ed07: 6ff5.*
+ 1ed08: 3cee.*
+ 1ed09: 0d7c.*
+ 1ed0a: 6ff8.*
+ 1ed0b: 3cef.*
+ 1ed0c: 0d7c.*
+ 1ed0d: 6f86.*
+ 1ed0e: 0d7c.*
+ 1ed0f: 6f8e.*
+ 1ed10: 0d7c.*
+ 1ed11: 6f96.*
+ 1ed12: 0d7c.*
+ 1ed13: 6f9e.*
+ 1ed14: 0d7c.*
+ 1ed15: 6fa6.*
+ 1ed16: 0d7c.*
+ 1ed17: 6fae.*
+ 1ed18: 0d7c.*
+ 1ed19: 6fb6.*
+ 1ed1a: 0d7c.*
+ 1ed1b: 6fbe.*
+ 1ed1c: 0d7c.*
+ 1ed1d: 6fc6.*
+ 1ed1e: 0d7c.*
+ 1ed1f: 6fce.*
+ 1ed20: 0d7c.*
+ 1ed21: 6fd6.*
+ 1ed22: 0d7c.*
+ 1ed23: 6fde.*
+ 1ed24: 0d7c.*
+ 1ed25: 6fe6.*
+ 1ed26: 3cf0.*
+ 1ed27: 0d7c.*
+ 1ed28: 6fee.*
+ 1ed29: 3cf1.*
+ 1ed2a: 0d7c.*
+ 1ed2b: 6ff6.*
+ 1ed2c: 3cf2.*
+ 1ed2d: 0d7c.*
+ 1ed2e: 6ff8.*
+ 1ed2f: 3cf3.*
+ 1ed30: 0d7c.*
+ 1ed31: 6f87.*
+ 1ed32: 0d7c.*
+ 1ed33: 6f8f.*
+ 1ed34: 0d7c.*
+ 1ed35: 6f97.*
+ 1ed36: 0d7c.*
+ 1ed37: 6f9f.*
+ 1ed38: 0d7c.*
+ 1ed39: 6fa7.*
+ 1ed3a: 0d7c.*
+ 1ed3b: 6faf.*
+ 1ed3c: 0d7c.*
+ 1ed3d: 6fb7.*
+ 1ed3e: 0d7c.*
+ 1ed3f: 6fbf.*
+ 1ed40: 0d7c.*
+ 1ed41: 6fc7.*
+ 1ed42: 0d7c.*
+ 1ed43: 6fcf.*
+ 1ed44: 0d7c.*
+ 1ed45: 6fd7.*
+ 1ed46: 0d7c.*
+ 1ed47: 6fdf.*
+ 1ed48: 0d7c.*
+ 1ed49: 6fe7.*
+ 1ed4a: 3cf4.*
+ 1ed4b: 0d7c.*
+ 1ed4c: 6fef.*
+ 1ed4d: 3cf5.*
+ 1ed4e: 0d7c.*
+ 1ed4f: 6ff7.*
+ 1ed50: 3cf6.*
+ 1ed51: 0d7c.*
+ 1ed52: 6ff8.*
+ 1ed53: 3cf7.*
+ 1ed54: 0d7c.*
+ 1ed55: 6f80.*
+ 1ed56: 0d7d.*
+ 1ed57: 6f88.*
+ 1ed58: 0d7d.*
+ 1ed59: 6f90.*
+ 1ed5a: 0d7d.*
+ 1ed5b: 6f98.*
+ 1ed5c: 0d7d.*
+ 1ed5d: 6fa0.*
+ 1ed5e: 0d7d.*
+ 1ed5f: 6fa8.*
+ 1ed60: 0d7d.*
+ 1ed61: 6fb0.*
+ 1ed62: 0d7d.*
+ 1ed63: 6fb8.*
+ 1ed64: 0d7d.*
+ 1ed65: 6fc0.*
+ 1ed66: 0d7d.*
+ 1ed67: 6fc8.*
+ 1ed68: 0d7d.*
+ 1ed69: 6fd0.*
+ 1ed6a: 0d7d.*
+ 1ed6b: 6fd8.*
+ 1ed6c: 0d7d.*
+ 1ed6d: 6fe0.*
+ 1ed6e: 3cf8.*
+ 1ed6f: 0d7d.*
+ 1ed70: 6fe8.*
+ 1ed71: 3cf9.*
+ 1ed72: 0d7d.*
+ 1ed73: 6ff0.*
+ 1ed74: 3cfa.*
+ 1ed75: 0d7d.*
+ 1ed76: 6ff8.*
+ 1ed77: 3cfb.*
+ 1ed78: 0d7d.*
+ 1ed79: 6f81.*
+ 1ed7a: 0d7d.*
+ 1ed7b: 6f89.*
+ 1ed7c: 0d7d.*
+ 1ed7d: 6f91.*
+ 1ed7e: 0d7d.*
+ 1ed7f: 6f99.*
+ 1ed80: 0d7d.*
+ 1ed81: 6fa1.*
+ 1ed82: 0d7d.*
+ 1ed83: 6fa9.*
+ 1ed84: 0d7d.*
+ 1ed85: 6fb1.*
+ 1ed86: 0d7d.*
+ 1ed87: 6fb9.*
+ 1ed88: 0d7d.*
+ 1ed89: 6fc1.*
+ 1ed8a: 0d7d.*
+ 1ed8b: 6fc9.*
+ 1ed8c: 0d7d.*
+ 1ed8d: 6fd1.*
+ 1ed8e: 0d7d.*
+ 1ed8f: 6fd9.*
+ 1ed90: 0d7d.*
+ 1ed91: 6fe1.*
+ 1ed92: 3cfc.*
+ 1ed93: 0d7d.*
+ 1ed94: 6fe9.*
+ 1ed95: 3cfd.*
+ 1ed96: 0d7d.*
+ 1ed97: 6ff1.*
+ 1ed98: 3cfe.*
+ 1ed99: 0d7d.*
+ 1ed9a: 6ff8.*
+ 1ed9b: 3cff.*
+ 1ed9c: 0d7d.*
+ 1ed9d: 6f82.*
+ 1ed9e: 0d7d.*
+ 1ed9f: 6f8a.*
+ 1eda0: 0d7d.*
+ 1eda1: 6f92.*
+ 1eda2: 0d7d.*
+ 1eda3: 6f9a.*
+ 1eda4: 0d7d.*
+ 1eda5: 6fa2.*
+ 1eda6: 0d7d.*
+ 1eda7: 6faa.*
+ 1eda8: 0d7d.*
+ 1eda9: 6fb2.*
+ 1edaa: 0d7d.*
+ 1edab: 6fba.*
+ 1edac: 0d7d.*
+ 1edad: 6fc2.*
+ 1edae: 0d7d.*
+ 1edaf: 6fca.*
+ 1edb0: 0d7d.*
+ 1edb1: 6fd2.*
+ 1edb2: 0d7d.*
+ 1edb3: 6fda.*
+ 1edb4: 0d7d.*
+ 1edb5: 6fe2.*
+ 1edb6: 3d00.*
+ 1edb7: 0d7d.*
+ 1edb8: 6fea.*
+ 1edb9: 3d01.*
+ 1edba: 0d7d.*
+ 1edbb: 6ff2.*
+ 1edbc: 3d02.*
+ 1edbd: 0d7d.*
+ 1edbe: 6ff8.*
+ 1edbf: 3d03.*
+ 1edc0: 0d7d.*
+ 1edc1: 6f83.*
+ 1edc2: 0d7d.*
+ 1edc3: 6f8b.*
+ 1edc4: 0d7d.*
+ 1edc5: 6f93.*
+ 1edc6: 0d7d.*
+ 1edc7: 6f9b.*
+ 1edc8: 0d7d.*
+ 1edc9: 6fa3.*
+ 1edca: 0d7d.*
+ 1edcb: 6fab.*
+ 1edcc: 0d7d.*
+ 1edcd: 6fb3.*
+ 1edce: 0d7d.*
+ 1edcf: 6fbb.*
+ 1edd0: 0d7d.*
+ 1edd1: 6fc3.*
+ 1edd2: 0d7d.*
+ 1edd3: 6fcb.*
+ 1edd4: 0d7d.*
+ 1edd5: 6fd3.*
+ 1edd6: 0d7d.*
+ 1edd7: 6fdb.*
+ 1edd8: 0d7d.*
+ 1edd9: 6fe3.*
+ 1edda: 3d04.*
+ 1eddb: 0d7d.*
+ 1eddc: 6feb.*
+ 1eddd: 3d05.*
+ 1edde: 0d7d.*
+ 1eddf: 6ff3.*
+ 1ede0: 3d06.*
+ 1ede1: 0d7d.*
+ 1ede2: 6ff8.*
+ 1ede3: 3d07.*
+ 1ede4: 0d7d.*
+ 1ede5: 6f84.*
+ 1ede6: 0d7d.*
+ 1ede7: 6f8c.*
+ 1ede8: 0d7d.*
+ 1ede9: 6f94.*
+ 1edea: 0d7d.*
+ 1edeb: 6f9c.*
+ 1edec: 0d7d.*
+ 1eded: 6fa4.*
+ 1edee: 0d7d.*
+ 1edef: 6fac.*
+ 1edf0: 0d7d.*
+ 1edf1: 6fb4.*
+ 1edf2: 0d7d.*
+ 1edf3: 6fbc.*
+ 1edf4: 0d7d.*
+ 1edf5: 6fc4.*
+ 1edf6: 0d7d.*
+ 1edf7: 6fcc.*
+ 1edf8: 0d7d.*
+ 1edf9: 6fd4.*
+ 1edfa: 0d7d.*
+ 1edfb: 6fdc.*
+ 1edfc: 0d7d.*
+ 1edfd: 6fe4.*
+ 1edfe: 3d08.*
+ 1edff: 0d7d.*
+ 1ee00: 6fec.*
+ 1ee01: 3d09.*
+ 1ee02: 0d7d.*
+ 1ee03: 6ff4.*
+ 1ee04: 3d0a.*
+ 1ee05: 0d7d.*
+ 1ee06: 6ff8.*
+ 1ee07: 3d0b.*
+ 1ee08: 0d7d.*
+ 1ee09: 6f85.*
+ 1ee0a: 0d7d.*
+ 1ee0b: 6f8d.*
+ 1ee0c: 0d7d.*
+ 1ee0d: 6f95.*
+ 1ee0e: 0d7d.*
+ 1ee0f: 6f9d.*
+ 1ee10: 0d7d.*
+ 1ee11: 6fa5.*
+ 1ee12: 0d7d.*
+ 1ee13: 6fad.*
+ 1ee14: 0d7d.*
+ 1ee15: 6fb5.*
+ 1ee16: 0d7d.*
+ 1ee17: 6fbd.*
+ 1ee18: 0d7d.*
+ 1ee19: 6fc5.*
+ 1ee1a: 0d7d.*
+ 1ee1b: 6fcd.*
+ 1ee1c: 0d7d.*
+ 1ee1d: 6fd5.*
+ 1ee1e: 0d7d.*
+ 1ee1f: 6fdd.*
+ 1ee20: 0d7d.*
+ 1ee21: 6fe5.*
+ 1ee22: 3d0c.*
+ 1ee23: 0d7d.*
+ 1ee24: 6fed.*
+ 1ee25: 3d0d.*
+ 1ee26: 0d7d.*
+ 1ee27: 6ff5.*
+ 1ee28: 3d0e.*
+ 1ee29: 0d7d.*
+ 1ee2a: 6ff8.*
+ 1ee2b: 3d0f.*
+ 1ee2c: 0d7d.*
+ 1ee2d: 6f86.*
+ 1ee2e: 0d7d.*
+ 1ee2f: 6f8e.*
+ 1ee30: 0d7d.*
+ 1ee31: 6f96.*
+ 1ee32: 0d7d.*
+ 1ee33: 6f9e.*
+ 1ee34: 0d7d.*
+ 1ee35: 6fa6.*
+ 1ee36: 0d7d.*
+ 1ee37: 6fae.*
+ 1ee38: 0d7d.*
+ 1ee39: 6fb6.*
+ 1ee3a: 0d7d.*
+ 1ee3b: 6fbe.*
+ 1ee3c: 0d7d.*
+ 1ee3d: 6fc6.*
+ 1ee3e: 0d7d.*
+ 1ee3f: 6fce.*
+ 1ee40: 0d7d.*
+ 1ee41: 6fd6.*
+ 1ee42: 0d7d.*
+ 1ee43: 6fde.*
+ 1ee44: 0d7d.*
+ 1ee45: 6fe6.*
+ 1ee46: 3d10.*
+ 1ee47: 0d7d.*
+ 1ee48: 6fee.*
+ 1ee49: 3d11.*
+ 1ee4a: 0d7d.*
+ 1ee4b: 6ff6.*
+ 1ee4c: 3d12.*
+ 1ee4d: 0d7d.*
+ 1ee4e: 6ff8.*
+ 1ee4f: 3d13.*
+ 1ee50: 0d7d.*
+ 1ee51: 6f87.*
+ 1ee52: 0d7d.*
+ 1ee53: 6f8f.*
+ 1ee54: 0d7d.*
+ 1ee55: 6f97.*
+ 1ee56: 0d7d.*
+ 1ee57: 6f9f.*
+ 1ee58: 0d7d.*
+ 1ee59: 6fa7.*
+ 1ee5a: 0d7d.*
+ 1ee5b: 6faf.*
+ 1ee5c: 0d7d.*
+ 1ee5d: 6fb7.*
+ 1ee5e: 0d7d.*
+ 1ee5f: 6fbf.*
+ 1ee60: 0d7d.*
+ 1ee61: 6fc7.*
+ 1ee62: 0d7d.*
+ 1ee63: 6fcf.*
+ 1ee64: 0d7d.*
+ 1ee65: 6fd7.*
+ 1ee66: 0d7d.*
+ 1ee67: 6fdf.*
+ 1ee68: 0d7d.*
+ 1ee69: 6fe7.*
+ 1ee6a: 3d14.*
+ 1ee6b: 0d7d.*
+ 1ee6c: 6fef.*
+ 1ee6d: 3d15.*
+ 1ee6e: 0d7d.*
+ 1ee6f: 6ff7.*
+ 1ee70: 3d16.*
+ 1ee71: 0d7d.*
+ 1ee72: 6ff8.*
+ 1ee73: 3d17.*
+ 1ee74: 0d7d.*
+ 1ee75: 6f80.*
+ 1ee76: 0d7e.*
+ 1ee77: 6f88.*
+ 1ee78: 0d7e.*
+ 1ee79: 6f90.*
+ 1ee7a: 0d7e.*
+ 1ee7b: 6f98.*
+ 1ee7c: 0d7e.*
+ 1ee7d: 6fa0.*
+ 1ee7e: 0d7e.*
+ 1ee7f: 6fa8.*
+ 1ee80: 0d7e.*
+ 1ee81: 6fb0.*
+ 1ee82: 0d7e.*
+ 1ee83: 6fb8.*
+ 1ee84: 0d7e.*
+ 1ee85: 6fc0.*
+ 1ee86: 0d7e.*
+ 1ee87: 6fc8.*
+ 1ee88: 0d7e.*
+ 1ee89: 6fd0.*
+ 1ee8a: 0d7e.*
+ 1ee8b: 6fd8.*
+ 1ee8c: 0d7e.*
+ 1ee8d: 6fe0.*
+ 1ee8e: 3d18.*
+ 1ee8f: 0d7e.*
+ 1ee90: 6fe8.*
+ 1ee91: 3d19.*
+ 1ee92: 0d7e.*
+ 1ee93: 6ff0.*
+ 1ee94: 3d1a.*
+ 1ee95: 0d7e.*
+ 1ee96: 6ff8.*
+ 1ee97: 3d1b.*
+ 1ee98: 0d7e.*
+ 1ee99: 6f81.*
+ 1ee9a: 0d7e.*
+ 1ee9b: 6f89.*
+ 1ee9c: 0d7e.*
+ 1ee9d: 6f91.*
+ 1ee9e: 0d7e.*
+ 1ee9f: 6f99.*
+ 1eea0: 0d7e.*
+ 1eea1: 6fa1.*
+ 1eea2: 0d7e.*
+ 1eea3: 6fa9.*
+ 1eea4: 0d7e.*
+ 1eea5: 6fb1.*
+ 1eea6: 0d7e.*
+ 1eea7: 6fb9.*
+ 1eea8: 0d7e.*
+ 1eea9: 6fc1.*
+ 1eeaa: 0d7e.*
+ 1eeab: 6fc9.*
+ 1eeac: 0d7e.*
+ 1eead: 6fd1.*
+ 1eeae: 0d7e.*
+ 1eeaf: 6fd9.*
+ 1eeb0: 0d7e.*
+ 1eeb1: 6fe1.*
+ 1eeb2: 3d1c.*
+ 1eeb3: 0d7e.*
+ 1eeb4: 6fe9.*
+ 1eeb5: 3d1d.*
+ 1eeb6: 0d7e.*
+ 1eeb7: 6ff1.*
+ 1eeb8: 3d1e.*
+ 1eeb9: 0d7e.*
+ 1eeba: 6ff8.*
+ 1eebb: 3d1f.*
+ 1eebc: 0d7e.*
+ 1eebd: 6f82.*
+ 1eebe: 0d7e.*
+ 1eebf: 6f8a.*
+ 1eec0: 0d7e.*
+ 1eec1: 6f92.*
+ 1eec2: 0d7e.*
+ 1eec3: 6f9a.*
+ 1eec4: 0d7e.*
+ 1eec5: 6fa2.*
+ 1eec6: 0d7e.*
+ 1eec7: 6faa.*
+ 1eec8: 0d7e.*
+ 1eec9: 6fb2.*
+ 1eeca: 0d7e.*
+ 1eecb: 6fba.*
+ 1eecc: 0d7e.*
+ 1eecd: 6fc2.*
+ 1eece: 0d7e.*
+ 1eecf: 6fca.*
+ 1eed0: 0d7e.*
+ 1eed1: 6fd2.*
+ 1eed2: 0d7e.*
+ 1eed3: 6fda.*
+ 1eed4: 0d7e.*
+ 1eed5: 6fe2.*
+ 1eed6: 3d20.*
+ 1eed7: 0d7e.*
+ 1eed8: 6fea.*
+ 1eed9: 3d21.*
+ 1eeda: 0d7e.*
+ 1eedb: 6ff2.*
+ 1eedc: 3d22.*
+ 1eedd: 0d7e.*
+ 1eede: 6ff8.*
+ 1eedf: 3d23.*
+ 1eee0: 0d7e.*
+ 1eee1: 6f83.*
+ 1eee2: 0d7e.*
+ 1eee3: 6f8b.*
+ 1eee4: 0d7e.*
+ 1eee5: 6f93.*
+ 1eee6: 0d7e.*
+ 1eee7: 6f9b.*
+ 1eee8: 0d7e.*
+ 1eee9: 6fa3.*
+ 1eeea: 0d7e.*
+ 1eeeb: 6fab.*
+ 1eeec: 0d7e.*
+ 1eeed: 6fb3.*
+ 1eeee: 0d7e.*
+ 1eeef: 6fbb.*
+ 1eef0: 0d7e.*
+ 1eef1: 6fc3.*
+ 1eef2: 0d7e.*
+ 1eef3: 6fcb.*
+ 1eef4: 0d7e.*
+ 1eef5: 6fd3.*
+ 1eef6: 0d7e.*
+ 1eef7: 6fdb.*
+ 1eef8: 0d7e.*
+ 1eef9: 6fe3.*
+ 1eefa: 3d24.*
+ 1eefb: 0d7e.*
+ 1eefc: 6feb.*
+ 1eefd: 3d25.*
+ 1eefe: 0d7e.*
+ 1eeff: 6ff3.*
+ 1ef00: 3d26.*
+ 1ef01: 0d7e.*
+ 1ef02: 6ff8.*
+ 1ef03: 3d27.*
+ 1ef04: 0d7e.*
+ 1ef05: 6f84.*
+ 1ef06: 0d7e.*
+ 1ef07: 6f8c.*
+ 1ef08: 0d7e.*
+ 1ef09: 6f94.*
+ 1ef0a: 0d7e.*
+ 1ef0b: 6f9c.*
+ 1ef0c: 0d7e.*
+ 1ef0d: 6fa4.*
+ 1ef0e: 0d7e.*
+ 1ef0f: 6fac.*
+ 1ef10: 0d7e.*
+ 1ef11: 6fb4.*
+ 1ef12: 0d7e.*
+ 1ef13: 6fbc.*
+ 1ef14: 0d7e.*
+ 1ef15: 6fc4.*
+ 1ef16: 0d7e.*
+ 1ef17: 6fcc.*
+ 1ef18: 0d7e.*
+ 1ef19: 6fd4.*
+ 1ef1a: 0d7e.*
+ 1ef1b: 6fdc.*
+ 1ef1c: 0d7e.*
+ 1ef1d: 6fe4.*
+ 1ef1e: 3d28.*
+ 1ef1f: 0d7e.*
+ 1ef20: 6fec.*
+ 1ef21: 3d29.*
+ 1ef22: 0d7e.*
+ 1ef23: 6ff4.*
+ 1ef24: 3d2a.*
+ 1ef25: 0d7e.*
+ 1ef26: 6ff8.*
+ 1ef27: 3d2b.*
+ 1ef28: 0d7e.*
+ 1ef29: 6f85.*
+ 1ef2a: 0d7e.*
+ 1ef2b: 6f8d.*
+ 1ef2c: 0d7e.*
+ 1ef2d: 6f95.*
+ 1ef2e: 0d7e.*
+ 1ef2f: 6f9d.*
+ 1ef30: 0d7e.*
+ 1ef31: 6fa5.*
+ 1ef32: 0d7e.*
+ 1ef33: 6fad.*
+ 1ef34: 0d7e.*
+ 1ef35: 6fb5.*
+ 1ef36: 0d7e.*
+ 1ef37: 6fbd.*
+ 1ef38: 0d7e.*
+ 1ef39: 6fc5.*
+ 1ef3a: 0d7e.*
+ 1ef3b: 6fcd.*
+ 1ef3c: 0d7e.*
+ 1ef3d: 6fd5.*
+ 1ef3e: 0d7e.*
+ 1ef3f: 6fdd.*
+ 1ef40: 0d7e.*
+ 1ef41: 6fe5.*
+ 1ef42: 3d2c.*
+ 1ef43: 0d7e.*
+ 1ef44: 6fed.*
+ 1ef45: 3d2d.*
+ 1ef46: 0d7e.*
+ 1ef47: 6ff5.*
+ 1ef48: 3d2e.*
+ 1ef49: 0d7e.*
+ 1ef4a: 6ff8.*
+ 1ef4b: 3d2f.*
+ 1ef4c: 0d7e.*
+ 1ef4d: 6f86.*
+ 1ef4e: 0d7e.*
+ 1ef4f: 6f8e.*
+ 1ef50: 0d7e.*
+ 1ef51: 6f96.*
+ 1ef52: 0d7e.*
+ 1ef53: 6f9e.*
+ 1ef54: 0d7e.*
+ 1ef55: 6fa6.*
+ 1ef56: 0d7e.*
+ 1ef57: 6fae.*
+ 1ef58: 0d7e.*
+ 1ef59: 6fb6.*
+ 1ef5a: 0d7e.*
+ 1ef5b: 6fbe.*
+ 1ef5c: 0d7e.*
+ 1ef5d: 6fc6.*
+ 1ef5e: 0d7e.*
+ 1ef5f: 6fce.*
+ 1ef60: 0d7e.*
+ 1ef61: 6fd6.*
+ 1ef62: 0d7e.*
+ 1ef63: 6fde.*
+ 1ef64: 0d7e.*
+ 1ef65: 6fe6.*
+ 1ef66: 3d30.*
+ 1ef67: 0d7e.*
+ 1ef68: 6fee.*
+ 1ef69: 3d31.*
+ 1ef6a: 0d7e.*
+ 1ef6b: 6ff6.*
+ 1ef6c: 3d32.*
+ 1ef6d: 0d7e.*
+ 1ef6e: 6ff8.*
+ 1ef6f: 3d33.*
+ 1ef70: 0d7e.*
+ 1ef71: 6f87.*
+ 1ef72: 0d7e.*
+ 1ef73: 6f8f.*
+ 1ef74: 0d7e.*
+ 1ef75: 6f97.*
+ 1ef76: 0d7e.*
+ 1ef77: 6f9f.*
+ 1ef78: 0d7e.*
+ 1ef79: 6fa7.*
+ 1ef7a: 0d7e.*
+ 1ef7b: 6faf.*
+ 1ef7c: 0d7e.*
+ 1ef7d: 6fb7.*
+ 1ef7e: 0d7e.*
+ 1ef7f: 6fbf.*
+ 1ef80: 0d7e.*
+ 1ef81: 6fc7.*
+ 1ef82: 0d7e.*
+ 1ef83: 6fcf.*
+ 1ef84: 0d7e.*
+ 1ef85: 6fd7.*
+ 1ef86: 0d7e.*
+ 1ef87: 6fdf.*
+ 1ef88: 0d7e.*
+ 1ef89: 6fe7.*
+ 1ef8a: 3d34.*
+ 1ef8b: 0d7e.*
+ 1ef8c: 6fef.*
+ 1ef8d: 3d35.*
+ 1ef8e: 0d7e.*
+ 1ef8f: 6ff7.*
+ 1ef90: 3d36.*
+ 1ef91: 0d7e.*
+ 1ef92: 6ff8.*
+ 1ef93: 3d37.*
+ 1ef94: 0d7e.*
+ 1ef95: 6f80.*
+ 1ef96: 0d7f.*
+ 1ef97: 6f88.*
+ 1ef98: 0d7f.*
+ 1ef99: 6f90.*
+ 1ef9a: 0d7f.*
+ 1ef9b: 6f98.*
+ 1ef9c: 0d7f.*
+ 1ef9d: 6fa0.*
+ 1ef9e: 0d7f.*
+ 1ef9f: 6fa8.*
+ 1efa0: 0d7f.*
+ 1efa1: 6fb0.*
+ 1efa2: 0d7f.*
+ 1efa3: 6fb8.*
+ 1efa4: 0d7f.*
+ 1efa5: 6fc0.*
+ 1efa6: 0d7f.*
+ 1efa7: 6fc8.*
+ 1efa8: 0d7f.*
+ 1efa9: 6fd0.*
+ 1efaa: 0d7f.*
+ 1efab: 6fd8.*
+ 1efac: 0d7f.*
+ 1efad: 6fe0.*
+ 1efae: 3d38.*
+ 1efaf: 0d7f.*
+ 1efb0: 6fe8.*
+ 1efb1: 3d39.*
+ 1efb2: 0d7f.*
+ 1efb3: 6ff0.*
+ 1efb4: 3d3a.*
+ 1efb5: 0d7f.*
+ 1efb6: 6ff8.*
+ 1efb7: 3d3b.*
+ 1efb8: 0d7f.*
+ 1efb9: 6f81.*
+ 1efba: 0d7f.*
+ 1efbb: 6f89.*
+ 1efbc: 0d7f.*
+ 1efbd: 6f91.*
+ 1efbe: 0d7f.*
+ 1efbf: 6f99.*
+ 1efc0: 0d7f.*
+ 1efc1: 6fa1.*
+ 1efc2: 0d7f.*
+ 1efc3: 6fa9.*
+ 1efc4: 0d7f.*
+ 1efc5: 6fb1.*
+ 1efc6: 0d7f.*
+ 1efc7: 6fb9.*
+ 1efc8: 0d7f.*
+ 1efc9: 6fc1.*
+ 1efca: 0d7f.*
+ 1efcb: 6fc9.*
+ 1efcc: 0d7f.*
+ 1efcd: 6fd1.*
+ 1efce: 0d7f.*
+ 1efcf: 6fd9.*
+ 1efd0: 0d7f.*
+ 1efd1: 6fe1.*
+ 1efd2: 3d3c.*
+ 1efd3: 0d7f.*
+ 1efd4: 6fe9.*
+ 1efd5: 3d3d.*
+ 1efd6: 0d7f.*
+ 1efd7: 6ff1.*
+ 1efd8: 3d3e.*
+ 1efd9: 0d7f.*
+ 1efda: 6ff8.*
+ 1efdb: 3d3f.*
+ 1efdc: 0d7f.*
+ 1efdd: 6f82.*
+ 1efde: 0d7f.*
+ 1efdf: 6f8a.*
+ 1efe0: 0d7f.*
+ 1efe1: 6f92.*
+ 1efe2: 0d7f.*
+ 1efe3: 6f9a.*
+ 1efe4: 0d7f.*
+ 1efe5: 6fa2.*
+ 1efe6: 0d7f.*
+ 1efe7: 6faa.*
+ 1efe8: 0d7f.*
+ 1efe9: 6fb2.*
+ 1efea: 0d7f.*
+ 1efeb: 6fba.*
+ 1efec: 0d7f.*
+ 1efed: 6fc2.*
+ 1efee: 0d7f.*
+ 1efef: 6fca.*
+ 1eff0: 0d7f.*
+ 1eff1: 6fd2.*
+ 1eff2: 0d7f.*
+ 1eff3: 6fda.*
+ 1eff4: 0d7f.*
+ 1eff5: 6fe2.*
+ 1eff6: 3d40.*
+ 1eff7: 0d7f.*
+ 1eff8: 6fea.*
+ 1eff9: 3d41.*
+ 1effa: 0d7f.*
+ 1effb: 6ff2.*
+ 1effc: 3d42.*
+ 1effd: 0d7f.*
+ 1effe: 6ff8.*
+ 1efff: 3d43.*
+ 1f000: 0d7f.*
+ 1f001: 6f83.*
+ 1f002: 0d7f.*
+ 1f003: 6f8b.*
+ 1f004: 0d7f.*
+ 1f005: 6f93.*
+ 1f006: 0d7f.*
+ 1f007: 6f9b.*
+ 1f008: 0d7f.*
+ 1f009: 6fa3.*
+ 1f00a: 0d7f.*
+ 1f00b: 6fab.*
+ 1f00c: 0d7f.*
+ 1f00d: 6fb3.*
+ 1f00e: 0d7f.*
+ 1f00f: 6fbb.*
+ 1f010: 0d7f.*
+ 1f011: 6fc3.*
+ 1f012: 0d7f.*
+ 1f013: 6fcb.*
+ 1f014: 0d7f.*
+ 1f015: 6fd3.*
+ 1f016: 0d7f.*
+ 1f017: 6fdb.*
+ 1f018: 0d7f.*
+ 1f019: 6fe3.*
+ 1f01a: 3d44.*
+ 1f01b: 0d7f.*
+ 1f01c: 6feb.*
+ 1f01d: 3d45.*
+ 1f01e: 0d7f.*
+ 1f01f: 6ff3.*
+ 1f020: 3d46.*
+ 1f021: 0d7f.*
+ 1f022: 6ff8.*
+ 1f023: 3d47.*
+ 1f024: 0d7f.*
+ 1f025: 6f84.*
+ 1f026: 0d7f.*
+ 1f027: 6f8c.*
+ 1f028: 0d7f.*
+ 1f029: 6f94.*
+ 1f02a: 0d7f.*
+ 1f02b: 6f9c.*
+ 1f02c: 0d7f.*
+ 1f02d: 6fa4.*
+ 1f02e: 0d7f.*
+ 1f02f: 6fac.*
+ 1f030: 0d7f.*
+ 1f031: 6fb4.*
+ 1f032: 0d7f.*
+ 1f033: 6fbc.*
+ 1f034: 0d7f.*
+ 1f035: 6fc4.*
+ 1f036: 0d7f.*
+ 1f037: 6fcc.*
+ 1f038: 0d7f.*
+ 1f039: 6fd4.*
+ 1f03a: 0d7f.*
+ 1f03b: 6fdc.*
+ 1f03c: 0d7f.*
+ 1f03d: 6fe4.*
+ 1f03e: 3d48.*
+ 1f03f: 0d7f.*
+ 1f040: 6fec.*
+ 1f041: 3d49.*
+ 1f042: 0d7f.*
+ 1f043: 6ff4.*
+ 1f044: 3d4a.*
+ 1f045: 0d7f.*
+ 1f046: 6ff8.*
+ 1f047: 3d4b.*
+ 1f048: 0d7f.*
+ 1f049: 6f85.*
+ 1f04a: 0d7f.*
+ 1f04b: 6f8d.*
+ 1f04c: 0d7f.*
+ 1f04d: 6f95.*
+ 1f04e: 0d7f.*
+ 1f04f: 6f9d.*
+ 1f050: 0d7f.*
+ 1f051: 6fa5.*
+ 1f052: 0d7f.*
+ 1f053: 6fad.*
+ 1f054: 0d7f.*
+ 1f055: 6fb5.*
+ 1f056: 0d7f.*
+ 1f057: 6fbd.*
+ 1f058: 0d7f.*
+ 1f059: 6fc5.*
+ 1f05a: 0d7f.*
+ 1f05b: 6fcd.*
+ 1f05c: 0d7f.*
+ 1f05d: 6fd5.*
+ 1f05e: 0d7f.*
+ 1f05f: 6fdd.*
+ 1f060: 0d7f.*
+ 1f061: 6fe5.*
+ 1f062: 3d4c.*
+ 1f063: 0d7f.*
+ 1f064: 6fed.*
+ 1f065: 3d4d.*
+ 1f066: 0d7f.*
+ 1f067: 6ff5.*
+ 1f068: 3d4e.*
+ 1f069: 0d7f.*
+ 1f06a: 6ff8.*
+ 1f06b: 3d4f.*
+ 1f06c: 0d7f.*
+ 1f06d: 6f86.*
+ 1f06e: 0d7f.*
+ 1f06f: 6f8e.*
+ 1f070: 0d7f.*
+ 1f071: 6f96.*
+ 1f072: 0d7f.*
+ 1f073: 6f9e.*
+ 1f074: 0d7f.*
+ 1f075: 6fa6.*
+ 1f076: 0d7f.*
+ 1f077: 6fae.*
+ 1f078: 0d7f.*
+ 1f079: 6fb6.*
+ 1f07a: 0d7f.*
+ 1f07b: 6fbe.*
+ 1f07c: 0d7f.*
+ 1f07d: 6fc6.*
+ 1f07e: 0d7f.*
+ 1f07f: 6fce.*
+ 1f080: 0d7f.*
+ 1f081: 6fd6.*
+ 1f082: 0d7f.*
+ 1f083: 6fde.*
+ 1f084: 0d7f.*
+ 1f085: 6fe6.*
+ 1f086: 3d50.*
+ 1f087: 0d7f.*
+ 1f088: 6fee.*
+ 1f089: 3d51.*
+ 1f08a: 0d7f.*
+ 1f08b: 6ff6.*
+ 1f08c: 3d52.*
+ 1f08d: 0d7f.*
+ 1f08e: 6ff8.*
+ 1f08f: 3d53.*
+ 1f090: 0d7f.*
+ 1f091: 6f87.*
+ 1f092: 0d7f.*
+ 1f093: 6f8f.*
+ 1f094: 0d7f.*
+ 1f095: 6f97.*
+ 1f096: 0d7f.*
+ 1f097: 6f9f.*
+ 1f098: 0d7f.*
+ 1f099: 6fa7.*
+ 1f09a: 0d7f.*
+ 1f09b: 6faf.*
+ 1f09c: 0d7f.*
+ 1f09d: 6fb7.*
+ 1f09e: 0d7f.*
+ 1f09f: 6fbf.*
+ 1f0a0: 0d7f.*
+ 1f0a1: 6fc7.*
+ 1f0a2: 0d7f.*
+ 1f0a3: 6fcf.*
+ 1f0a4: 0d7f.*
+ 1f0a5: 6fd7.*
+ 1f0a6: 0d7f.*
+ 1f0a7: 6fdf.*
+ 1f0a8: 0d7f.*
+ 1f0a9: 6fe7.*
+ 1f0aa: 3d54.*
+ 1f0ab: 0d7f.*
+ 1f0ac: 6fef.*
+ 1f0ad: 3d55.*
+ 1f0ae: 0d7f.*
+ 1f0af: 6ff7.*
+ 1f0b0: 3d56.*
+ 1f0b1: 0d7f.*
+ 1f0b2: 6ff8.*
+ 1f0b3: 3d57.*
+ 1f0b4: 0d7f.*
+ 1f0b5: 8380.*
+ 1f0b6: 8388.*
+ 1f0b7: 8390.*
+ 1f0b8: 8398.*
+ 1f0b9: 83a0.*
+ 1f0ba: 83a8.*
+ 1f0bb: 83b0.*
+ 1f0bc: 83b8.*
+ 1f0bd: 83c0.*
+ 1f0be: 83c8.*
+ 1f0bf: 83d0.*
+ 1f0c0: 83d8.*
+ 1f0c1: 83e0.*
+ 1f0c2: 3d58.*
+ 1f0c3: 83e8.*
+ 1f0c4: 3d59.*
+ 1f0c5: 83f0.*
+ 1f0c6: 3d5a.*
+ 1f0c7: 83f8.*
+ 1f0c8: 3d5b.*
+ 1f0c9: 8381.*
+ 1f0ca: 8389.*
+ 1f0cb: 8391.*
+ 1f0cc: 8399.*
+ 1f0cd: 83a1.*
+ 1f0ce: 83a9.*
+ 1f0cf: 83b1.*
+ 1f0d0: 83b9.*
+ 1f0d1: 83c1.*
+ 1f0d2: 83c9.*
+ 1f0d3: 83d1.*
+ 1f0d4: 83d9.*
+ 1f0d5: 83e1.*
+ 1f0d6: 3d5c.*
+ 1f0d7: 83e9.*
+ 1f0d8: 3d5d.*
+ 1f0d9: 83f1.*
+ 1f0da: 3d5e.*
+ 1f0db: 83f8.*
+ 1f0dc: 3d5f.*
+ 1f0dd: 8382.*
+ 1f0de: 838a.*
+ 1f0df: 8392.*
+ 1f0e0: 839a.*
+ 1f0e1: 83a2.*
+ 1f0e2: 83aa.*
+ 1f0e3: 83b2.*
+ 1f0e4: 83ba.*
+ 1f0e5: 83c2.*
+ 1f0e6: 83ca.*
+ 1f0e7: 83d2.*
+ 1f0e8: 83da.*
+ 1f0e9: 83e2.*
+ 1f0ea: 3d60.*
+ 1f0eb: 83ea.*
+ 1f0ec: 3d61.*
+ 1f0ed: 83f2.*
+ 1f0ee: 3d62.*
+ 1f0ef: 83f8.*
+ 1f0f0: 3d63.*
+ 1f0f1: 8383.*
+ 1f0f2: 838b.*
+ 1f0f3: 8393.*
+ 1f0f4: 839b.*
+ 1f0f5: 83a3.*
+ 1f0f6: 83ab.*
+ 1f0f7: 83b3.*
+ 1f0f8: 83bb.*
+ 1f0f9: 83c3.*
+ 1f0fa: 83cb.*
+ 1f0fb: 83d3.*
+ 1f0fc: 83db.*
+ 1f0fd: 83e3.*
+ 1f0fe: 3d64.*
+ 1f0ff: 83eb.*
+ 1f100: 3d65.*
+ 1f101: 83f3.*
+ 1f102: 3d66.*
+ 1f103: 83f8.*
+ 1f104: 3d67.*
+ 1f105: 8384.*
+ 1f106: 838c.*
+ 1f107: 8394.*
+ 1f108: 839c.*
+ 1f109: 83a4.*
+ 1f10a: 83ac.*
+ 1f10b: 83b4.*
+ 1f10c: 83bc.*
+ 1f10d: 83c4.*
+ 1f10e: 83cc.*
+ 1f10f: 83d4.*
+ 1f110: 83dc.*
+ 1f111: 83e4.*
+ 1f112: 3d68.*
+ 1f113: 83ec.*
+ 1f114: 3d69.*
+ 1f115: 83f4.*
+ 1f116: 3d6a.*
+ 1f117: 83f8.*
+ 1f118: 3d6b.*
+ 1f119: 8385.*
+ 1f11a: 838d.*
+ 1f11b: 8395.*
+ 1f11c: 839d.*
+ 1f11d: 83a5.*
+ 1f11e: 83ad.*
+ 1f11f: 83b5.*
+ 1f120: 83bd.*
+ 1f121: 83c5.*
+ 1f122: 83cd.*
+ 1f123: 83d5.*
+ 1f124: 83dd.*
+ 1f125: 83e5.*
+ 1f126: 3d6c.*
+ 1f127: 83ed.*
+ 1f128: 3d6d.*
+ 1f129: 83f5.*
+ 1f12a: 3d6e.*
+ 1f12b: 83f8.*
+ 1f12c: 3d6f.*
+ 1f12d: 8386.*
+ 1f12e: 838e.*
+ 1f12f: 8396.*
+ 1f130: 839e.*
+ 1f131: 83a6.*
+ 1f132: 83ae.*
+ 1f133: 83b6.*
+ 1f134: 83be.*
+ 1f135: 83c6.*
+ 1f136: 83ce.*
+ 1f137: 83d6.*
+ 1f138: 83de.*
+ 1f139: 83e6.*
+ 1f13a: 3d70.*
+ 1f13b: 83ee.*
+ 1f13c: 3d71.*
+ 1f13d: 83f6.*
+ 1f13e: 3d72.*
+ 1f13f: 83f8.*
+ 1f140: 3d73.*
+ 1f141: 8387.*
+ 1f142: 838f.*
+ 1f143: 8397.*
+ 1f144: 839f.*
+ 1f145: 83a7.*
+ 1f146: 83af.*
+ 1f147: 83b7.*
+ 1f148: 83bf.*
+ 1f149: 83c7.*
+ 1f14a: 83cf.*
+ 1f14b: 83d7.*
+ 1f14c: 83df.*
+ 1f14d: 83e7.*
+ 1f14e: 3d74.*
+ 1f14f: 83ef.*
+ 1f150: 3d75.*
+ 1f151: 83f7.*
+ 1f152: 3d76.*
+ 1f153: 83f8.*
+ 1f154: 3d77.*
+ 1f155: 6f80.*
+ 1f156: 0d61.*
+ 1f157: 6f88.*
+ 1f158: 0d61.*
+ 1f159: 6f90.*
+ 1f15a: 0d61.*
+ 1f15b: 6f98.*
+ 1f15c: 0d61.*
+ 1f15d: 6fa0.*
+ 1f15e: 0d61.*
+ 1f15f: 6fa8.*
+ 1f160: 0d61.*
+ 1f161: 6fb0.*
+ 1f162: 0d61.*
+ 1f163: 6fb8.*
+ 1f164: 0d61.*
+ 1f165: 6fc0.*
+ 1f166: 0d61.*
+ 1f167: 6fc8.*
+ 1f168: 0d61.*
+ 1f169: 6fd0.*
+ 1f16a: 0d61.*
+ 1f16b: 6fd8.*
+ 1f16c: 0d61.*
+ 1f16d: 6fe0.*
+ 1f16e: 3d78.*
+ 1f16f: 0d61.*
+ 1f170: 6fe8.*
+ 1f171: 3d79.*
+ 1f172: 0d61.*
+ 1f173: 6ff0.*
+ 1f174: 3d7a.*
+ 1f175: 0d61.*
+ 1f176: 6ff8.*
+ 1f177: 3d7b.*
+ 1f178: 0d61.*
+ 1f179: 6f81.*
+ 1f17a: 0d61.*
+ 1f17b: 6f89.*
+ 1f17c: 0d61.*
+ 1f17d: 6f91.*
+ 1f17e: 0d61.*
+ 1f17f: 6f99.*
+ 1f180: 0d61.*
+ 1f181: 6fa1.*
+ 1f182: 0d61.*
+ 1f183: 6fa9.*
+ 1f184: 0d61.*
+ 1f185: 6fb1.*
+ 1f186: 0d61.*
+ 1f187: 6fb9.*
+ 1f188: 0d61.*
+ 1f189: 6fc1.*
+ 1f18a: 0d61.*
+ 1f18b: 6fc9.*
+ 1f18c: 0d61.*
+ 1f18d: 6fd1.*
+ 1f18e: 0d61.*
+ 1f18f: 6fd9.*
+ 1f190: 0d61.*
+ 1f191: 6fe1.*
+ 1f192: 3d7c.*
+ 1f193: 0d61.*
+ 1f194: 6fe9.*
+ 1f195: 3d7d.*
+ 1f196: 0d61.*
+ 1f197: 6ff1.*
+ 1f198: 3d7e.*
+ 1f199: 0d61.*
+ 1f19a: 6ff8.*
+ 1f19b: 3d7f.*
+ 1f19c: 0d61.*
+ 1f19d: 9b01.*
+ 1f19e: 9b41.*
+ 1f19f: 9b81.*
+ 1f1a0: 6f9a.*
+ 1f1a1: 0d61.*
+ 1f1a2: 6fa2.*
+ 1f1a3: 0d61.*
+ 1f1a4: 6faa.*
+ 1f1a5: 0d61.*
+ 1f1a6: 6fb2.*
+ 1f1a7: 0d61.*
+ 1f1a8: 6fba.*
+ 1f1a9: 0d61.*
+ 1f1aa: 6fc2.*
+ 1f1ab: 0d61.*
+ 1f1ac: 6fca.*
+ 1f1ad: 0d61.*
+ 1f1ae: 6fd2.*
+ 1f1af: 0d61.*
+ 1f1b0: 9bc1.*
+ 1f1b1: 6fe2.*
+ 1f1b2: 3d80.*
+ 1f1b3: 0d61.*
+ 1f1b4: 6fea.*
+ 1f1b5: 3d81.*
+ 1f1b6: 0d61.*
+ 1f1b7: 6ff2.*
+ 1f1b8: 3d82.*
+ 1f1b9: 0d61.*
+ 1f1ba: 6ff8.*
+ 1f1bb: 3d83.*
+ 1f1bc: 0d61.*
+ 1f1bd: 9b11.*
+ 1f1be: 9b51.*
+ 1f1bf: 9b91.*
+ 1f1c0: 6f9b.*
+ 1f1c1: 0d61.*
+ 1f1c2: 6fa3.*
+ 1f1c3: 0d61.*
+ 1f1c4: 6fab.*
+ 1f1c5: 0d61.*
+ 1f1c6: 6fb3.*
+ 1f1c7: 0d61.*
+ 1f1c8: 6fbb.*
+ 1f1c9: 0d61.*
+ 1f1ca: 6fc3.*
+ 1f1cb: 0d61.*
+ 1f1cc: 6fcb.*
+ 1f1cd: 0d61.*
+ 1f1ce: 6fd3.*
+ 1f1cf: 0d61.*
+ 1f1d0: 9bd1.*
+ 1f1d1: 6fe3.*
+ 1f1d2: 3d84.*
+ 1f1d3: 0d61.*
+ 1f1d4: 6feb.*
+ 1f1d5: 3d85.*
+ 1f1d6: 0d61.*
+ 1f1d7: 6ff3.*
+ 1f1d8: 3d86.*
+ 1f1d9: 0d61.*
+ 1f1da: 6ff8.*
+ 1f1db: 3d87.*
+ 1f1dc: 0d61.*
+ 1f1dd: 9b21.*
+ 1f1de: 9b61.*
+ 1f1df: 9ba1.*
+ 1f1e0: 6f9c.*
+ 1f1e1: 0d61.*
+ 1f1e2: 6fa4.*
+ 1f1e3: 0d61.*
+ 1f1e4: 6fac.*
+ 1f1e5: 0d61.*
+ 1f1e6: 6fb4.*
+ 1f1e7: 0d61.*
+ 1f1e8: 6fbc.*
+ 1f1e9: 0d61.*
+ 1f1ea: 6fc4.*
+ 1f1eb: 0d61.*
+ 1f1ec: 6fcc.*
+ 1f1ed: 0d61.*
+ 1f1ee: 6fd4.*
+ 1f1ef: 0d61.*
+ 1f1f0: 9be1.*
+ 1f1f1: 6fe4.*
+ 1f1f2: 3d88.*
+ 1f1f3: 0d61.*
+ 1f1f4: 6fec.*
+ 1f1f5: 3d89.*
+ 1f1f6: 0d61.*
+ 1f1f7: 6ff4.*
+ 1f1f8: 3d8a.*
+ 1f1f9: 0d61.*
+ 1f1fa: 6ff8.*
+ 1f1fb: 3d8b.*
+ 1f1fc: 0d61.*
+ 1f1fd: 9b31.*
+ 1f1fe: 9b71.*
+ 1f1ff: 9bb1.*
+ 1f200: 6f9d.*
+ 1f201: 0d61.*
+ 1f202: 6fa5.*
+ 1f203: 0d61.*
+ 1f204: 6fad.*
+ 1f205: 0d61.*
+ 1f206: 6fb5.*
+ 1f207: 0d61.*
+ 1f208: 6fbd.*
+ 1f209: 0d61.*
+ 1f20a: 6fc5.*
+ 1f20b: 0d61.*
+ 1f20c: 6fcd.*
+ 1f20d: 0d61.*
+ 1f20e: 6fd5.*
+ 1f20f: 0d61.*
+ 1f210: 9bf1.*
+ 1f211: 6fe5.*
+ 1f212: 3d8c.*
+ 1f213: 0d61.*
+ 1f214: 6fed.*
+ 1f215: 3d8d.*
+ 1f216: 0d61.*
+ 1f217: 6ff5.*
+ 1f218: 3d8e.*
+ 1f219: 0d61.*
+ 1f21a: 6ff8.*
+ 1f21b: 3d8f.*
+ 1f21c: 0d61.*
+ 1f21d: 6f86.*
+ 1f21e: 0d61.*
+ 1f21f: 6f8e.*
+ 1f220: 0d61.*
+ 1f221: 6f96.*
+ 1f222: 0d61.*
+ 1f223: 6f9e.*
+ 1f224: 0d61.*
+ 1f225: 6fa6.*
+ 1f226: 0d61.*
+ 1f227: 6fae.*
+ 1f228: 0d61.*
+ 1f229: 6fb6.*
+ 1f22a: 0d61.*
+ 1f22b: 6fbe.*
+ 1f22c: 0d61.*
+ 1f22d: 6fc6.*
+ 1f22e: 0d61.*
+ 1f22f: 6fce.*
+ 1f230: 0d61.*
+ 1f231: 6fd6.*
+ 1f232: 0d61.*
+ 1f233: 6fde.*
+ 1f234: 0d61.*
+ 1f235: 6fe6.*
+ 1f236: 3d90.*
+ 1f237: 0d61.*
+ 1f238: 6fee.*
+ 1f239: 3d91.*
+ 1f23a: 0d61.*
+ 1f23b: 6ff6.*
+ 1f23c: 3d92.*
+ 1f23d: 0d61.*
+ 1f23e: 6ff8.*
+ 1f23f: 3d93.*
+ 1f240: 0d61.*
+ 1f241: 6f87.*
+ 1f242: 0d61.*
+ 1f243: 6f8f.*
+ 1f244: 0d61.*
+ 1f245: 6f97.*
+ 1f246: 0d61.*
+ 1f247: 6f9f.*
+ 1f248: 0d61.*
+ 1f249: 6fa7.*
+ 1f24a: 0d61.*
+ 1f24b: 6faf.*
+ 1f24c: 0d61.*
+ 1f24d: 6fb7.*
+ 1f24e: 0d61.*
+ 1f24f: 6fbf.*
+ 1f250: 0d61.*
+ 1f251: 6fc7.*
+ 1f252: 0d61.*
+ 1f253: 6fcf.*
+ 1f254: 0d61.*
+ 1f255: 6fd7.*
+ 1f256: 0d61.*
+ 1f257: 6fdf.*
+ 1f258: 0d61.*
+ 1f259: 6fe7.*
+ 1f25a: 3d94.*
+ 1f25b: 0d61.*
+ 1f25c: 6fef.*
+ 1f25d: 3d95.*
+ 1f25e: 0d61.*
+ 1f25f: 6ff7.*
+ 1f260: 3d96.*
+ 1f261: 0d61.*
+ 1f262: 6ff8.*
+ 1f263: 3d97.*
+ 1f264: 0d61.*
+ 1f265: 6f80.*
+ 1f266: 0d62.*
+ 1f267: 6f88.*
+ 1f268: 0d62.*
+ 1f269: 6f90.*
+ 1f26a: 0d62.*
+ 1f26b: 6f98.*
+ 1f26c: 0d62.*
+ 1f26d: 6fa0.*
+ 1f26e: 0d62.*
+ 1f26f: 6fa8.*
+ 1f270: 0d62.*
+ 1f271: 6fb0.*
+ 1f272: 0d62.*
+ 1f273: 6fb8.*
+ 1f274: 0d62.*
+ 1f275: 6fc0.*
+ 1f276: 0d62.*
+ 1f277: 6fc8.*
+ 1f278: 0d62.*
+ 1f279: 6fd0.*
+ 1f27a: 0d62.*
+ 1f27b: 6fd8.*
+ 1f27c: 0d62.*
+ 1f27d: 6fe0.*
+ 1f27e: 3d98.*
+ 1f27f: 0d62.*
+ 1f280: 6fe8.*
+ 1f281: 3d99.*
+ 1f282: 0d62.*
+ 1f283: 6ff0.*
+ 1f284: 3d9a.*
+ 1f285: 0d62.*
+ 1f286: 6ff8.*
+ 1f287: 3d9b.*
+ 1f288: 0d62.*
+ 1f289: 6f81.*
+ 1f28a: 0d62.*
+ 1f28b: 6f89.*
+ 1f28c: 0d62.*
+ 1f28d: 6f91.*
+ 1f28e: 0d62.*
+ 1f28f: 6f99.*
+ 1f290: 0d62.*
+ 1f291: 6fa1.*
+ 1f292: 0d62.*
+ 1f293: 6fa9.*
+ 1f294: 0d62.*
+ 1f295: 6fb1.*
+ 1f296: 0d62.*
+ 1f297: 6fb9.*
+ 1f298: 0d62.*
+ 1f299: 6fc1.*
+ 1f29a: 0d62.*
+ 1f29b: 6fc9.*
+ 1f29c: 0d62.*
+ 1f29d: 6fd1.*
+ 1f29e: 0d62.*
+ 1f29f: 6fd9.*
+ 1f2a0: 0d62.*
+ 1f2a1: 6fe1.*
+ 1f2a2: 3d9c.*
+ 1f2a3: 0d62.*
+ 1f2a4: 6fe9.*
+ 1f2a5: 3d9d.*
+ 1f2a6: 0d62.*
+ 1f2a7: 6ff1.*
+ 1f2a8: 3d9e.*
+ 1f2a9: 0d62.*
+ 1f2aa: 6ff8.*
+ 1f2ab: 3d9f.*
+ 1f2ac: 0d62.*
+ 1f2ad: 9b02.*
+ 1f2ae: 9b42.*
+ 1f2af: 9b82.*
+ 1f2b0: 6f9a.*
+ 1f2b1: 0d62.*
+ 1f2b2: 6fa2.*
+ 1f2b3: 0d62.*
+ 1f2b4: 6faa.*
+ 1f2b5: 0d62.*
+ 1f2b6: 6fb2.*
+ 1f2b7: 0d62.*
+ 1f2b8: 6fba.*
+ 1f2b9: 0d62.*
+ 1f2ba: 6fc2.*
+ 1f2bb: 0d62.*
+ 1f2bc: 6fca.*
+ 1f2bd: 0d62.*
+ 1f2be: 6fd2.*
+ 1f2bf: 0d62.*
+ 1f2c0: 9bc2.*
+ 1f2c1: 6fe2.*
+ 1f2c2: 3da0.*
+ 1f2c3: 0d62.*
+ 1f2c4: 6fea.*
+ 1f2c5: 3da1.*
+ 1f2c6: 0d62.*
+ 1f2c7: 6ff2.*
+ 1f2c8: 3da2.*
+ 1f2c9: 0d62.*
+ 1f2ca: 6ff8.*
+ 1f2cb: 3da3.*
+ 1f2cc: 0d62.*
+ 1f2cd: 9b12.*
+ 1f2ce: 9b52.*
+ 1f2cf: 9b92.*
+ 1f2d0: 6f9b.*
+ 1f2d1: 0d62.*
+ 1f2d2: 6fa3.*
+ 1f2d3: 0d62.*
+ 1f2d4: 6fab.*
+ 1f2d5: 0d62.*
+ 1f2d6: 6fb3.*
+ 1f2d7: 0d62.*
+ 1f2d8: 6fbb.*
+ 1f2d9: 0d62.*
+ 1f2da: 6fc3.*
+ 1f2db: 0d62.*
+ 1f2dc: 6fcb.*
+ 1f2dd: 0d62.*
+ 1f2de: 6fd3.*
+ 1f2df: 0d62.*
+ 1f2e0: 9bd2.*
+ 1f2e1: 6fe3.*
+ 1f2e2: 3da4.*
+ 1f2e3: 0d62.*
+ 1f2e4: 6feb.*
+ 1f2e5: 3da5.*
+ 1f2e6: 0d62.*
+ 1f2e7: 6ff3.*
+ 1f2e8: 3da6.*
+ 1f2e9: 0d62.*
+ 1f2ea: 6ff8.*
+ 1f2eb: 3da7.*
+ 1f2ec: 0d62.*
+ 1f2ed: 9b22.*
+ 1f2ee: 9b62.*
+ 1f2ef: 9ba2.*
+ 1f2f0: 6f9c.*
+ 1f2f1: 0d62.*
+ 1f2f2: 6fa4.*
+ 1f2f3: 0d62.*
+ 1f2f4: 6fac.*
+ 1f2f5: 0d62.*
+ 1f2f6: 6fb4.*
+ 1f2f7: 0d62.*
+ 1f2f8: 6fbc.*
+ 1f2f9: 0d62.*
+ 1f2fa: 6fc4.*
+ 1f2fb: 0d62.*
+ 1f2fc: 6fcc.*
+ 1f2fd: 0d62.*
+ 1f2fe: 6fd4.*
+ 1f2ff: 0d62.*
+ 1f300: 9be2.*
+ 1f301: 6fe4.*
+ 1f302: 3da8.*
+ 1f303: 0d62.*
+ 1f304: 6fec.*
+ 1f305: 3da9.*
+ 1f306: 0d62.*
+ 1f307: 6ff4.*
+ 1f308: 3daa.*
+ 1f309: 0d62.*
+ 1f30a: 6ff8.*
+ 1f30b: 3dab.*
+ 1f30c: 0d62.*
+ 1f30d: 9b32.*
+ 1f30e: 9b72.*
+ 1f30f: 9bb2.*
+ 1f310: 6f9d.*
+ 1f311: 0d62.*
+ 1f312: 6fa5.*
+ 1f313: 0d62.*
+ 1f314: 6fad.*
+ 1f315: 0d62.*
+ 1f316: 6fb5.*
+ 1f317: 0d62.*
+ 1f318: 6fbd.*
+ 1f319: 0d62.*
+ 1f31a: 6fc5.*
+ 1f31b: 0d62.*
+ 1f31c: 6fcd.*
+ 1f31d: 0d62.*
+ 1f31e: 6fd5.*
+ 1f31f: 0d62.*
+ 1f320: 9bf2.*
+ 1f321: 6fe5.*
+ 1f322: 3dac.*
+ 1f323: 0d62.*
+ 1f324: 6fed.*
+ 1f325: 3dad.*
+ 1f326: 0d62.*
+ 1f327: 6ff5.*
+ 1f328: 3dae.*
+ 1f329: 0d62.*
+ 1f32a: 6ff8.*
+ 1f32b: 3daf.*
+ 1f32c: 0d62.*
+ 1f32d: 6f86.*
+ 1f32e: 0d62.*
+ 1f32f: 6f8e.*
+ 1f330: 0d62.*
+ 1f331: 6f96.*
+ 1f332: 0d62.*
+ 1f333: 6f9e.*
+ 1f334: 0d62.*
+ 1f335: 6fa6.*
+ 1f336: 0d62.*
+ 1f337: 6fae.*
+ 1f338: 0d62.*
+ 1f339: 6fb6.*
+ 1f33a: 0d62.*
+ 1f33b: 6fbe.*
+ 1f33c: 0d62.*
+ 1f33d: 6fc6.*
+ 1f33e: 0d62.*
+ 1f33f: 6fce.*
+ 1f340: 0d62.*
+ 1f341: 6fd6.*
+ 1f342: 0d62.*
+ 1f343: 6fde.*
+ 1f344: 0d62.*
+ 1f345: 6fe6.*
+ 1f346: 3db0.*
+ 1f347: 0d62.*
+ 1f348: 6fee.*
+ 1f349: 3db1.*
+ 1f34a: 0d62.*
+ 1f34b: 6ff6.*
+ 1f34c: 3db2.*
+ 1f34d: 0d62.*
+ 1f34e: 6ff8.*
+ 1f34f: 3db3.*
+ 1f350: 0d62.*
+ 1f351: 6f87.*
+ 1f352: 0d62.*
+ 1f353: 6f8f.*
+ 1f354: 0d62.*
+ 1f355: 6f97.*
+ 1f356: 0d62.*
+ 1f357: 6f9f.*
+ 1f358: 0d62.*
+ 1f359: 6fa7.*
+ 1f35a: 0d62.*
+ 1f35b: 6faf.*
+ 1f35c: 0d62.*
+ 1f35d: 6fb7.*
+ 1f35e: 0d62.*
+ 1f35f: 6fbf.*
+ 1f360: 0d62.*
+ 1f361: 6fc7.*
+ 1f362: 0d62.*
+ 1f363: 6fcf.*
+ 1f364: 0d62.*
+ 1f365: 6fd7.*
+ 1f366: 0d62.*
+ 1f367: 6fdf.*
+ 1f368: 0d62.*
+ 1f369: 6fe7.*
+ 1f36a: 3db4.*
+ 1f36b: 0d62.*
+ 1f36c: 6fef.*
+ 1f36d: 3db5.*
+ 1f36e: 0d62.*
+ 1f36f: 6ff7.*
+ 1f370: 3db6.*
+ 1f371: 0d62.*
+ 1f372: 6ff8.*
+ 1f373: 3db7.*
+ 1f374: 0d62.*
+ 1f375: 6f80.*
+ 1f376: 0d63.*
+ 1f377: 6f88.*
+ 1f378: 0d63.*
+ 1f379: 6f90.*
+ 1f37a: 0d63.*
+ 1f37b: 6f98.*
+ 1f37c: 0d63.*
+ 1f37d: 6fa0.*
+ 1f37e: 0d63.*
+ 1f37f: 6fa8.*
+ 1f380: 0d63.*
+ 1f381: 6fb0.*
+ 1f382: 0d63.*
+ 1f383: 6fb8.*
+ 1f384: 0d63.*
+ 1f385: 6fc0.*
+ 1f386: 0d63.*
+ 1f387: 6fc8.*
+ 1f388: 0d63.*
+ 1f389: 6fd0.*
+ 1f38a: 0d63.*
+ 1f38b: 6fd8.*
+ 1f38c: 0d63.*
+ 1f38d: 6fe0.*
+ 1f38e: 3db8.*
+ 1f38f: 0d63.*
+ 1f390: 6fe8.*
+ 1f391: 3db9.*
+ 1f392: 0d63.*
+ 1f393: 6ff0.*
+ 1f394: 3dba.*
+ 1f395: 0d63.*
+ 1f396: 6ff8.*
+ 1f397: 3dbb.*
+ 1f398: 0d63.*
+ 1f399: 6f81.*
+ 1f39a: 0d63.*
+ 1f39b: 6f89.*
+ 1f39c: 0d63.*
+ 1f39d: 6f91.*
+ 1f39e: 0d63.*
+ 1f39f: 6f99.*
+ 1f3a0: 0d63.*
+ 1f3a1: 6fa1.*
+ 1f3a2: 0d63.*
+ 1f3a3: 6fa9.*
+ 1f3a4: 0d63.*
+ 1f3a5: 6fb1.*
+ 1f3a6: 0d63.*
+ 1f3a7: 6fb9.*
+ 1f3a8: 0d63.*
+ 1f3a9: 6fc1.*
+ 1f3aa: 0d63.*
+ 1f3ab: 6fc9.*
+ 1f3ac: 0d63.*
+ 1f3ad: 6fd1.*
+ 1f3ae: 0d63.*
+ 1f3af: 6fd9.*
+ 1f3b0: 0d63.*
+ 1f3b1: 6fe1.*
+ 1f3b2: 3dbc.*
+ 1f3b3: 0d63.*
+ 1f3b4: 6fe9.*
+ 1f3b5: 3dbd.*
+ 1f3b6: 0d63.*
+ 1f3b7: 6ff1.*
+ 1f3b8: 3dbe.*
+ 1f3b9: 0d63.*
+ 1f3ba: 6ff8.*
+ 1f3bb: 3dbf.*
+ 1f3bc: 0d63.*
+ 1f3bd: 9b03.*
+ 1f3be: 9b43.*
+ 1f3bf: 9b83.*
+ 1f3c0: 6f9a.*
+ 1f3c1: 0d63.*
+ 1f3c2: 6fa2.*
+ 1f3c3: 0d63.*
+ 1f3c4: 6faa.*
+ 1f3c5: 0d63.*
+ 1f3c6: 6fb2.*
+ 1f3c7: 0d63.*
+ 1f3c8: 6fba.*
+ 1f3c9: 0d63.*
+ 1f3ca: 6fc2.*
+ 1f3cb: 0d63.*
+ 1f3cc: 6fca.*
+ 1f3cd: 0d63.*
+ 1f3ce: 6fd2.*
+ 1f3cf: 0d63.*
+ 1f3d0: 9bc3.*
+ 1f3d1: 6fe2.*
+ 1f3d2: 3dc0.*
+ 1f3d3: 0d63.*
+ 1f3d4: 6fea.*
+ 1f3d5: 3dc1.*
+ 1f3d6: 0d63.*
+ 1f3d7: 6ff2.*
+ 1f3d8: 3dc2.*
+ 1f3d9: 0d63.*
+ 1f3da: 6ff8.*
+ 1f3db: 3dc3.*
+ 1f3dc: 0d63.*
+ 1f3dd: 9b13.*
+ 1f3de: 9b53.*
+ 1f3df: 9b93.*
+ 1f3e0: 6f9b.*
+ 1f3e1: 0d63.*
+ 1f3e2: 6fa3.*
+ 1f3e3: 0d63.*
+ 1f3e4: 6fab.*
+ 1f3e5: 0d63.*
+ 1f3e6: 6fb3.*
+ 1f3e7: 0d63.*
+ 1f3e8: 6fbb.*
+ 1f3e9: 0d63.*
+ 1f3ea: 6fc3.*
+ 1f3eb: 0d63.*
+ 1f3ec: 6fcb.*
+ 1f3ed: 0d63.*
+ 1f3ee: 6fd3.*
+ 1f3ef: 0d63.*
+ 1f3f0: 9bd3.*
+ 1f3f1: 6fe3.*
+ 1f3f2: 3dc4.*
+ 1f3f3: 0d63.*
+ 1f3f4: 6feb.*
+ 1f3f5: 3dc5.*
+ 1f3f6: 0d63.*
+ 1f3f7: 6ff3.*
+ 1f3f8: 3dc6.*
+ 1f3f9: 0d63.*
+ 1f3fa: 6ff8.*
+ 1f3fb: 3dc7.*
+ 1f3fc: 0d63.*
+ 1f3fd: 9b23.*
+ 1f3fe: 9b63.*
+ 1f3ff: 9ba3.*
+ 1f400: 6f9c.*
+ 1f401: 0d63.*
+ 1f402: 6fa4.*
+ 1f403: 0d63.*
+ 1f404: 6fac.*
+ 1f405: 0d63.*
+ 1f406: 6fb4.*
+ 1f407: 0d63.*
+ 1f408: 6fbc.*
+ 1f409: 0d63.*
+ 1f40a: 6fc4.*
+ 1f40b: 0d63.*
+ 1f40c: 6fcc.*
+ 1f40d: 0d63.*
+ 1f40e: 6fd4.*
+ 1f40f: 0d63.*
+ 1f410: 9be3.*
+ 1f411: 6fe4.*
+ 1f412: 3dc8.*
+ 1f413: 0d63.*
+ 1f414: 6fec.*
+ 1f415: 3dc9.*
+ 1f416: 0d63.*
+ 1f417: 6ff4.*
+ 1f418: 3dca.*
+ 1f419: 0d63.*
+ 1f41a: 6ff8.*
+ 1f41b: 3dcb.*
+ 1f41c: 0d63.*
+ 1f41d: 9b33.*
+ 1f41e: 9b73.*
+ 1f41f: 9bb3.*
+ 1f420: 6f9d.*
+ 1f421: 0d63.*
+ 1f422: 6fa5.*
+ 1f423: 0d63.*
+ 1f424: 6fad.*
+ 1f425: 0d63.*
+ 1f426: 6fb5.*
+ 1f427: 0d63.*
+ 1f428: 6fbd.*
+ 1f429: 0d63.*
+ 1f42a: 6fc5.*
+ 1f42b: 0d63.*
+ 1f42c: 6fcd.*
+ 1f42d: 0d63.*
+ 1f42e: 6fd5.*
+ 1f42f: 0d63.*
+ 1f430: 9bf3.*
+ 1f431: 6fe5.*
+ 1f432: 3dcc.*
+ 1f433: 0d63.*
+ 1f434: 6fed.*
+ 1f435: 3dcd.*
+ 1f436: 0d63.*
+ 1f437: 6ff5.*
+ 1f438: 3dce.*
+ 1f439: 0d63.*
+ 1f43a: 6ff8.*
+ 1f43b: 3dcf.*
+ 1f43c: 0d63.*
+ 1f43d: 6f86.*
+ 1f43e: 0d63.*
+ 1f43f: 6f8e.*
+ 1f440: 0d63.*
+ 1f441: 6f96.*
+ 1f442: 0d63.*
+ 1f443: 6f9e.*
+ 1f444: 0d63.*
+ 1f445: 6fa6.*
+ 1f446: 0d63.*
+ 1f447: 6fae.*
+ 1f448: 0d63.*
+ 1f449: 6fb6.*
+ 1f44a: 0d63.*
+ 1f44b: 6fbe.*
+ 1f44c: 0d63.*
+ 1f44d: 6fc6.*
+ 1f44e: 0d63.*
+ 1f44f: 6fce.*
+ 1f450: 0d63.*
+ 1f451: 6fd6.*
+ 1f452: 0d63.*
+ 1f453: 6fde.*
+ 1f454: 0d63.*
+ 1f455: 6fe6.*
+ 1f456: 3dd0.*
+ 1f457: 0d63.*
+ 1f458: 6fee.*
+ 1f459: 3dd1.*
+ 1f45a: 0d63.*
+ 1f45b: 6ff6.*
+ 1f45c: 3dd2.*
+ 1f45d: 0d63.*
+ 1f45e: 6ff8.*
+ 1f45f: 3dd3.*
+ 1f460: 0d63.*
+ 1f461: 6f87.*
+ 1f462: 0d63.*
+ 1f463: 6f8f.*
+ 1f464: 0d63.*
+ 1f465: 6f97.*
+ 1f466: 0d63.*
+ 1f467: 6f9f.*
+ 1f468: 0d63.*
+ 1f469: 6fa7.*
+ 1f46a: 0d63.*
+ 1f46b: 6faf.*
+ 1f46c: 0d63.*
+ 1f46d: 6fb7.*
+ 1f46e: 0d63.*
+ 1f46f: 6fbf.*
+ 1f470: 0d63.*
+ 1f471: 6fc7.*
+ 1f472: 0d63.*
+ 1f473: 6fcf.*
+ 1f474: 0d63.*
+ 1f475: 6fd7.*
+ 1f476: 0d63.*
+ 1f477: 6fdf.*
+ 1f478: 0d63.*
+ 1f479: 6fe7.*
+ 1f47a: 3dd4.*
+ 1f47b: 0d63.*
+ 1f47c: 6fef.*
+ 1f47d: 3dd5.*
+ 1f47e: 0d63.*
+ 1f47f: 6ff7.*
+ 1f480: 3dd6.*
+ 1f481: 0d63.*
+ 1f482: 6ff8.*
+ 1f483: 3dd7.*
+ 1f484: 0d63.*
+ 1f485: 6f80.*
+ 1f486: 0d64.*
+ 1f487: 6f88.*
+ 1f488: 0d64.*
+ 1f489: 6f90.*
+ 1f48a: 0d64.*
+ 1f48b: 6f98.*
+ 1f48c: 0d64.*
+ 1f48d: 6fa0.*
+ 1f48e: 0d64.*
+ 1f48f: 6fa8.*
+ 1f490: 0d64.*
+ 1f491: 6fb0.*
+ 1f492: 0d64.*
+ 1f493: 6fb8.*
+ 1f494: 0d64.*
+ 1f495: 6fc0.*
+ 1f496: 0d64.*
+ 1f497: 6fc8.*
+ 1f498: 0d64.*
+ 1f499: 6fd0.*
+ 1f49a: 0d64.*
+ 1f49b: 6fd8.*
+ 1f49c: 0d64.*
+ 1f49d: 6fe0.*
+ 1f49e: 3dd8.*
+ 1f49f: 0d64.*
+ 1f4a0: 6fe8.*
+ 1f4a1: 3dd9.*
+ 1f4a2: 0d64.*
+ 1f4a3: 6ff0.*
+ 1f4a4: 3dda.*
+ 1f4a5: 0d64.*
+ 1f4a6: 6ff8.*
+ 1f4a7: 3ddb.*
+ 1f4a8: 0d64.*
+ 1f4a9: 6f81.*
+ 1f4aa: 0d64.*
+ 1f4ab: 6f89.*
+ 1f4ac: 0d64.*
+ 1f4ad: 6f91.*
+ 1f4ae: 0d64.*
+ 1f4af: 6f99.*
+ 1f4b0: 0d64.*
+ 1f4b1: 6fa1.*
+ 1f4b2: 0d64.*
+ 1f4b3: 6fa9.*
+ 1f4b4: 0d64.*
+ 1f4b5: 6fb1.*
+ 1f4b6: 0d64.*
+ 1f4b7: 6fb9.*
+ 1f4b8: 0d64.*
+ 1f4b9: 6fc1.*
+ 1f4ba: 0d64.*
+ 1f4bb: 6fc9.*
+ 1f4bc: 0d64.*
+ 1f4bd: 6fd1.*
+ 1f4be: 0d64.*
+ 1f4bf: 6fd9.*
+ 1f4c0: 0d64.*
+ 1f4c1: 6fe1.*
+ 1f4c2: 3ddc.*
+ 1f4c3: 0d64.*
+ 1f4c4: 6fe9.*
+ 1f4c5: 3ddd.*
+ 1f4c6: 0d64.*
+ 1f4c7: 6ff1.*
+ 1f4c8: 3dde.*
+ 1f4c9: 0d64.*
+ 1f4ca: 6ff8.*
+ 1f4cb: 3ddf.*
+ 1f4cc: 0d64.*
+ 1f4cd: 9b04.*
+ 1f4ce: 9b44.*
+ 1f4cf: 9b84.*
+ 1f4d0: 6f9a.*
+ 1f4d1: 0d64.*
+ 1f4d2: 6fa2.*
+ 1f4d3: 0d64.*
+ 1f4d4: 6faa.*
+ 1f4d5: 0d64.*
+ 1f4d6: 6fb2.*
+ 1f4d7: 0d64.*
+ 1f4d8: 6fba.*
+ 1f4d9: 0d64.*
+ 1f4da: 6fc2.*
+ 1f4db: 0d64.*
+ 1f4dc: 6fca.*
+ 1f4dd: 0d64.*
+ 1f4de: 6fd2.*
+ 1f4df: 0d64.*
+ 1f4e0: 9bc4.*
+ 1f4e1: 6fe2.*
+ 1f4e2: 3de0.*
+ 1f4e3: 0d64.*
+ 1f4e4: 6fea.*
+ 1f4e5: 3de1.*
+ 1f4e6: 0d64.*
+ 1f4e7: 6ff2.*
+ 1f4e8: 3de2.*
+ 1f4e9: 0d64.*
+ 1f4ea: 6ff8.*
+ 1f4eb: 3de3.*
+ 1f4ec: 0d64.*
+ 1f4ed: 9b14.*
+ 1f4ee: 9b54.*
+ 1f4ef: 9b94.*
+ 1f4f0: 6f9b.*
+ 1f4f1: 0d64.*
+ 1f4f2: 6fa3.*
+ 1f4f3: 0d64.*
+ 1f4f4: 6fab.*
+ 1f4f5: 0d64.*
+ 1f4f6: 6fb3.*
+ 1f4f7: 0d64.*
+ 1f4f8: 6fbb.*
+ 1f4f9: 0d64.*
+ 1f4fa: 6fc3.*
+ 1f4fb: 0d64.*
+ 1f4fc: 6fcb.*
+ 1f4fd: 0d64.*
+ 1f4fe: 6fd3.*
+ 1f4ff: 0d64.*
+ 1f500: 9bd4.*
+ 1f501: 6fe3.*
+ 1f502: 3de4.*
+ 1f503: 0d64.*
+ 1f504: 6feb.*
+ 1f505: 3de5.*
+ 1f506: 0d64.*
+ 1f507: 6ff3.*
+ 1f508: 3de6.*
+ 1f509: 0d64.*
+ 1f50a: 6ff8.*
+ 1f50b: 3de7.*
+ 1f50c: 0d64.*
+ 1f50d: 9b24.*
+ 1f50e: 9b64.*
+ 1f50f: 9ba4.*
+ 1f510: 6f9c.*
+ 1f511: 0d64.*
+ 1f512: 6fa4.*
+ 1f513: 0d64.*
+ 1f514: 6fac.*
+ 1f515: 0d64.*
+ 1f516: 6fb4.*
+ 1f517: 0d64.*
+ 1f518: 6fbc.*
+ 1f519: 0d64.*
+ 1f51a: 6fc4.*
+ 1f51b: 0d64.*
+ 1f51c: 6fcc.*
+ 1f51d: 0d64.*
+ 1f51e: 6fd4.*
+ 1f51f: 0d64.*
+ 1f520: 9be4.*
+ 1f521: 6fe4.*
+ 1f522: 3de8.*
+ 1f523: 0d64.*
+ 1f524: 6fec.*
+ 1f525: 3de9.*
+ 1f526: 0d64.*
+ 1f527: 6ff4.*
+ 1f528: 3dea.*
+ 1f529: 0d64.*
+ 1f52a: 6ff8.*
+ 1f52b: 3deb.*
+ 1f52c: 0d64.*
+ 1f52d: 9b34.*
+ 1f52e: 9b74.*
+ 1f52f: 9bb4.*
+ 1f530: 6f9d.*
+ 1f531: 0d64.*
+ 1f532: 6fa5.*
+ 1f533: 0d64.*
+ 1f534: 6fad.*
+ 1f535: 0d64.*
+ 1f536: 6fb5.*
+ 1f537: 0d64.*
+ 1f538: 6fbd.*
+ 1f539: 0d64.*
+ 1f53a: 6fc5.*
+ 1f53b: 0d64.*
+ 1f53c: 6fcd.*
+ 1f53d: 0d64.*
+ 1f53e: 6fd5.*
+ 1f53f: 0d64.*
+ 1f540: 9bf4.*
+ 1f541: 6fe5.*
+ 1f542: 3dec.*
+ 1f543: 0d64.*
+ 1f544: 6fed.*
+ 1f545: 3ded.*
+ 1f546: 0d64.*
+ 1f547: 6ff5.*
+ 1f548: 3dee.*
+ 1f549: 0d64.*
+ 1f54a: 6ff8.*
+ 1f54b: 3def.*
+ 1f54c: 0d64.*
+ 1f54d: 6f86.*
+ 1f54e: 0d64.*
+ 1f54f: 6f8e.*
+ 1f550: 0d64.*
+ 1f551: 6f96.*
+ 1f552: 0d64.*
+ 1f553: 6f9e.*
+ 1f554: 0d64.*
+ 1f555: 6fa6.*
+ 1f556: 0d64.*
+ 1f557: 6fae.*
+ 1f558: 0d64.*
+ 1f559: 6fb6.*
+ 1f55a: 0d64.*
+ 1f55b: 6fbe.*
+ 1f55c: 0d64.*
+ 1f55d: 6fc6.*
+ 1f55e: 0d64.*
+ 1f55f: 6fce.*
+ 1f560: 0d64.*
+ 1f561: 6fd6.*
+ 1f562: 0d64.*
+ 1f563: 6fde.*
+ 1f564: 0d64.*
+ 1f565: 6fe6.*
+ 1f566: 3df0.*
+ 1f567: 0d64.*
+ 1f568: 6fee.*
+ 1f569: 3df1.*
+ 1f56a: 0d64.*
+ 1f56b: 6ff6.*
+ 1f56c: 3df2.*
+ 1f56d: 0d64.*
+ 1f56e: 6ff8.*
+ 1f56f: 3df3.*
+ 1f570: 0d64.*
+ 1f571: 6f87.*
+ 1f572: 0d64.*
+ 1f573: 6f8f.*
+ 1f574: 0d64.*
+ 1f575: 6f97.*
+ 1f576: 0d64.*
+ 1f577: 6f9f.*
+ 1f578: 0d64.*
+ 1f579: 6fa7.*
+ 1f57a: 0d64.*
+ 1f57b: 6faf.*
+ 1f57c: 0d64.*
+ 1f57d: 6fb7.*
+ 1f57e: 0d64.*
+ 1f57f: 6fbf.*
+ 1f580: 0d64.*
+ 1f581: 6fc7.*
+ 1f582: 0d64.*
+ 1f583: 6fcf.*
+ 1f584: 0d64.*
+ 1f585: 6fd7.*
+ 1f586: 0d64.*
+ 1f587: 6fdf.*
+ 1f588: 0d64.*
+ 1f589: 6fe7.*
+ 1f58a: 3df4.*
+ 1f58b: 0d64.*
+ 1f58c: 6fef.*
+ 1f58d: 3df5.*
+ 1f58e: 0d64.*
+ 1f58f: 6ff7.*
+ 1f590: 3df6.*
+ 1f591: 0d64.*
+ 1f592: 6ff8.*
+ 1f593: 3df7.*
+ 1f594: 0d64.*
+ 1f595: 6f80.*
+ 1f596: 0d65.*
+ 1f597: 6f88.*
+ 1f598: 0d65.*
+ 1f599: 6f90.*
+ 1f59a: 0d65.*
+ 1f59b: 6f98.*
+ 1f59c: 0d65.*
+ 1f59d: 6fa0.*
+ 1f59e: 0d65.*
+ 1f59f: 6fa8.*
+ 1f5a0: 0d65.*
+ 1f5a1: 6fb0.*
+ 1f5a2: 0d65.*
+ 1f5a3: 6fb8.*
+ 1f5a4: 0d65.*
+ 1f5a5: 6fc0.*
+ 1f5a6: 0d65.*
+ 1f5a7: 6fc8.*
+ 1f5a8: 0d65.*
+ 1f5a9: 6fd0.*
+ 1f5aa: 0d65.*
+ 1f5ab: 6fd8.*
+ 1f5ac: 0d65.*
+ 1f5ad: 6fe0.*
+ 1f5ae: 3df8.*
+ 1f5af: 0d65.*
+ 1f5b0: 6fe8.*
+ 1f5b1: 3df9.*
+ 1f5b2: 0d65.*
+ 1f5b3: 6ff0.*
+ 1f5b4: 3dfa.*
+ 1f5b5: 0d65.*
+ 1f5b6: 6ff8.*
+ 1f5b7: 3dfb.*
+ 1f5b8: 0d65.*
+ 1f5b9: 6f81.*
+ 1f5ba: 0d65.*
+ 1f5bb: 6f89.*
+ 1f5bc: 0d65.*
+ 1f5bd: 6f91.*
+ 1f5be: 0d65.*
+ 1f5bf: 6f99.*
+ 1f5c0: 0d65.*
+ 1f5c1: 6fa1.*
+ 1f5c2: 0d65.*
+ 1f5c3: 6fa9.*
+ 1f5c4: 0d65.*
+ 1f5c5: 6fb1.*
+ 1f5c6: 0d65.*
+ 1f5c7: 6fb9.*
+ 1f5c8: 0d65.*
+ 1f5c9: 6fc1.*
+ 1f5ca: 0d65.*
+ 1f5cb: 6fc9.*
+ 1f5cc: 0d65.*
+ 1f5cd: 6fd1.*
+ 1f5ce: 0d65.*
+ 1f5cf: 6fd9.*
+ 1f5d0: 0d65.*
+ 1f5d1: 6fe1.*
+ 1f5d2: 3dfc.*
+ 1f5d3: 0d65.*
+ 1f5d4: 6fe9.*
+ 1f5d5: 3dfd.*
+ 1f5d6: 0d65.*
+ 1f5d7: 6ff1.*
+ 1f5d8: 3dfe.*
+ 1f5d9: 0d65.*
+ 1f5da: 6ff8.*
+ 1f5db: 3dff.*
+ 1f5dc: 0d65.*
+ 1f5dd: 9b05.*
+ 1f5de: 9b45.*
+ 1f5df: 9b85.*
+ 1f5e0: 6f9a.*
+ 1f5e1: 0d65.*
+ 1f5e2: 6fa2.*
+ 1f5e3: 0d65.*
+ 1f5e4: 6faa.*
+ 1f5e5: 0d65.*
+ 1f5e6: 6fb2.*
+ 1f5e7: 0d65.*
+ 1f5e8: 6fba.*
+ 1f5e9: 0d65.*
+ 1f5ea: 6fc2.*
+ 1f5eb: 0d65.*
+ 1f5ec: 6fca.*
+ 1f5ed: 0d65.*
+ 1f5ee: 6fd2.*
+ 1f5ef: 0d65.*
+ 1f5f0: 9bc5.*
+ 1f5f1: 6fe2.*
+ 1f5f2: 3e00.*
+ 1f5f3: 0d65.*
+ 1f5f4: 6fea.*
+ 1f5f5: 3e01.*
+ 1f5f6: 0d65.*
+ 1f5f7: 6ff2.*
+ 1f5f8: 3e02.*
+ 1f5f9: 0d65.*
+ 1f5fa: 6ff8.*
+ 1f5fb: 3e03.*
+ 1f5fc: 0d65.*
+ 1f5fd: 9b15.*
+ 1f5fe: 9b55.*
+ 1f5ff: 9b95.*
+ 1f600: 6f9b.*
+ 1f601: 0d65.*
+ 1f602: 6fa3.*
+ 1f603: 0d65.*
+ 1f604: 6fab.*
+ 1f605: 0d65.*
+ 1f606: 6fb3.*
+ 1f607: 0d65.*
+ 1f608: 6fbb.*
+ 1f609: 0d65.*
+ 1f60a: 6fc3.*
+ 1f60b: 0d65.*
+ 1f60c: 6fcb.*
+ 1f60d: 0d65.*
+ 1f60e: 6fd3.*
+ 1f60f: 0d65.*
+ 1f610: 9bd5.*
+ 1f611: 6fe3.*
+ 1f612: 3e04.*
+ 1f613: 0d65.*
+ 1f614: 6feb.*
+ 1f615: 3e05.*
+ 1f616: 0d65.*
+ 1f617: 6ff3.*
+ 1f618: 3e06.*
+ 1f619: 0d65.*
+ 1f61a: 6ff8.*
+ 1f61b: 3e07.*
+ 1f61c: 0d65.*
+ 1f61d: 9b25.*
+ 1f61e: 9b65.*
+ 1f61f: 9ba5.*
+ 1f620: 6f9c.*
+ 1f621: 0d65.*
+ 1f622: 6fa4.*
+ 1f623: 0d65.*
+ 1f624: 6fac.*
+ 1f625: 0d65.*
+ 1f626: 6fb4.*
+ 1f627: 0d65.*
+ 1f628: 6fbc.*
+ 1f629: 0d65.*
+ 1f62a: 6fc4.*
+ 1f62b: 0d65.*
+ 1f62c: 6fcc.*
+ 1f62d: 0d65.*
+ 1f62e: 6fd4.*
+ 1f62f: 0d65.*
+ 1f630: 9be5.*
+ 1f631: 6fe4.*
+ 1f632: 3e08.*
+ 1f633: 0d65.*
+ 1f634: 6fec.*
+ 1f635: 3e09.*
+ 1f636: 0d65.*
+ 1f637: 6ff4.*
+ 1f638: 3e0a.*
+ 1f639: 0d65.*
+ 1f63a: 6ff8.*
+ 1f63b: 3e0b.*
+ 1f63c: 0d65.*
+ 1f63d: 9b35.*
+ 1f63e: 9b75.*
+ 1f63f: 9bb5.*
+ 1f640: 6f9d.*
+ 1f641: 0d65.*
+ 1f642: 6fa5.*
+ 1f643: 0d65.*
+ 1f644: 6fad.*
+ 1f645: 0d65.*
+ 1f646: 6fb5.*
+ 1f647: 0d65.*
+ 1f648: 6fbd.*
+ 1f649: 0d65.*
+ 1f64a: 6fc5.*
+ 1f64b: 0d65.*
+ 1f64c: 6fcd.*
+ 1f64d: 0d65.*
+ 1f64e: 6fd5.*
+ 1f64f: 0d65.*
+ 1f650: 9bf5.*
+ 1f651: 6fe5.*
+ 1f652: 3e0c.*
+ 1f653: 0d65.*
+ 1f654: 6fed.*
+ 1f655: 3e0d.*
+ 1f656: 0d65.*
+ 1f657: 6ff5.*
+ 1f658: 3e0e.*
+ 1f659: 0d65.*
+ 1f65a: 6ff8.*
+ 1f65b: 3e0f.*
+ 1f65c: 0d65.*
+ 1f65d: 6f86.*
+ 1f65e: 0d65.*
+ 1f65f: 6f8e.*
+ 1f660: 0d65.*
+ 1f661: 6f96.*
+ 1f662: 0d65.*
+ 1f663: 6f9e.*
+ 1f664: 0d65.*
+ 1f665: 6fa6.*
+ 1f666: 0d65.*
+ 1f667: 6fae.*
+ 1f668: 0d65.*
+ 1f669: 6fb6.*
+ 1f66a: 0d65.*
+ 1f66b: 6fbe.*
+ 1f66c: 0d65.*
+ 1f66d: 6fc6.*
+ 1f66e: 0d65.*
+ 1f66f: 6fce.*
+ 1f670: 0d65.*
+ 1f671: 6fd6.*
+ 1f672: 0d65.*
+ 1f673: 6fde.*
+ 1f674: 0d65.*
+ 1f675: 6fe6.*
+ 1f676: 3e10.*
+ 1f677: 0d65.*
+ 1f678: 6fee.*
+ 1f679: 3e11.*
+ 1f67a: 0d65.*
+ 1f67b: 6ff6.*
+ 1f67c: 3e12.*
+ 1f67d: 0d65.*
+ 1f67e: 6ff8.*
+ 1f67f: 3e13.*
+ 1f680: 0d65.*
+ 1f681: 6f87.*
+ 1f682: 0d65.*
+ 1f683: 6f8f.*
+ 1f684: 0d65.*
+ 1f685: 6f97.*
+ 1f686: 0d65.*
+ 1f687: 6f9f.*
+ 1f688: 0d65.*
+ 1f689: 6fa7.*
+ 1f68a: 0d65.*
+ 1f68b: 6faf.*
+ 1f68c: 0d65.*
+ 1f68d: 6fb7.*
+ 1f68e: 0d65.*
+ 1f68f: 6fbf.*
+ 1f690: 0d65.*
+ 1f691: 6fc7.*
+ 1f692: 0d65.*
+ 1f693: 6fcf.*
+ 1f694: 0d65.*
+ 1f695: 6fd7.*
+ 1f696: 0d65.*
+ 1f697: 6fdf.*
+ 1f698: 0d65.*
+ 1f699: 6fe7.*
+ 1f69a: 3e14.*
+ 1f69b: 0d65.*
+ 1f69c: 6fef.*
+ 1f69d: 3e15.*
+ 1f69e: 0d65.*
+ 1f69f: 6ff7.*
+ 1f6a0: 3e16.*
+ 1f6a1: 0d65.*
+ 1f6a2: 6ff8.*
+ 1f6a3: 3e17.*
+ 1f6a4: 0d65.*
+ 1f6a5: 6f80.*
+ 1f6a6: 0d66.*
+ 1f6a7: 6f88.*
+ 1f6a8: 0d66.*
+ 1f6a9: 6f90.*
+ 1f6aa: 0d66.*
+ 1f6ab: 6f98.*
+ 1f6ac: 0d66.*
+ 1f6ad: 6fa0.*
+ 1f6ae: 0d66.*
+ 1f6af: 6fa8.*
+ 1f6b0: 0d66.*
+ 1f6b1: 6fb0.*
+ 1f6b2: 0d66.*
+ 1f6b3: 6fb8.*
+ 1f6b4: 0d66.*
+ 1f6b5: 6fc0.*
+ 1f6b6: 0d66.*
+ 1f6b7: 6fc8.*
+ 1f6b8: 0d66.*
+ 1f6b9: 6fd0.*
+ 1f6ba: 0d66.*
+ 1f6bb: 6fd8.*
+ 1f6bc: 0d66.*
+ 1f6bd: 6fe0.*
+ 1f6be: 3e18.*
+ 1f6bf: 0d66.*
+ 1f6c0: 6fe8.*
+ 1f6c1: 3e19.*
+ 1f6c2: 0d66.*
+ 1f6c3: 6ff0.*
+ 1f6c4: 3e1a.*
+ 1f6c5: 0d66.*
+ 1f6c6: 6ff8.*
+ 1f6c7: 3e1b.*
+ 1f6c8: 0d66.*
+ 1f6c9: 6f81.*
+ 1f6ca: 0d66.*
+ 1f6cb: 6f89.*
+ 1f6cc: 0d66.*
+ 1f6cd: 6f91.*
+ 1f6ce: 0d66.*
+ 1f6cf: 6f99.*
+ 1f6d0: 0d66.*
+ 1f6d1: 6fa1.*
+ 1f6d2: 0d66.*
+ 1f6d3: 6fa9.*
+ 1f6d4: 0d66.*
+ 1f6d5: 6fb1.*
+ 1f6d6: 0d66.*
+ 1f6d7: 6fb9.*
+ 1f6d8: 0d66.*
+ 1f6d9: 6fc1.*
+ 1f6da: 0d66.*
+ 1f6db: 6fc9.*
+ 1f6dc: 0d66.*
+ 1f6dd: 6fd1.*
+ 1f6de: 0d66.*
+ 1f6df: 6fd9.*
+ 1f6e0: 0d66.*
+ 1f6e1: 6fe1.*
+ 1f6e2: 3e1c.*
+ 1f6e3: 0d66.*
+ 1f6e4: 6fe9.*
+ 1f6e5: 3e1d.*
+ 1f6e6: 0d66.*
+ 1f6e7: 6ff1.*
+ 1f6e8: 3e1e.*
+ 1f6e9: 0d66.*
+ 1f6ea: 6ff8.*
+ 1f6eb: 3e1f.*
+ 1f6ec: 0d66.*
+ 1f6ed: 9b06.*
+ 1f6ee: 9b46.*
+ 1f6ef: 9b86.*
+ 1f6f0: 6f9a.*
+ 1f6f1: 0d66.*
+ 1f6f2: 6fa2.*
+ 1f6f3: 0d66.*
+ 1f6f4: 6faa.*
+ 1f6f5: 0d66.*
+ 1f6f6: 6fb2.*
+ 1f6f7: 0d66.*
+ 1f6f8: 6fba.*
+ 1f6f9: 0d66.*
+ 1f6fa: 6fc2.*
+ 1f6fb: 0d66.*
+ 1f6fc: 6fca.*
+ 1f6fd: 0d66.*
+ 1f6fe: 6fd2.*
+ 1f6ff: 0d66.*
+ 1f700: 9bc6.*
+ 1f701: 6fe2.*
+ 1f702: 3e20.*
+ 1f703: 0d66.*
+ 1f704: 6fea.*
+ 1f705: 3e21.*
+ 1f706: 0d66.*
+ 1f707: 6ff2.*
+ 1f708: 3e22.*
+ 1f709: 0d66.*
+ 1f70a: 6ff8.*
+ 1f70b: 3e23.*
+ 1f70c: 0d66.*
+ 1f70d: 9b16.*
+ 1f70e: 9b56.*
+ 1f70f: 9b96.*
+ 1f710: 6f9b.*
+ 1f711: 0d66.*
+ 1f712: 6fa3.*
+ 1f713: 0d66.*
+ 1f714: 6fab.*
+ 1f715: 0d66.*
+ 1f716: 6fb3.*
+ 1f717: 0d66.*
+ 1f718: 6fbb.*
+ 1f719: 0d66.*
+ 1f71a: 6fc3.*
+ 1f71b: 0d66.*
+ 1f71c: 6fcb.*
+ 1f71d: 0d66.*
+ 1f71e: 6fd3.*
+ 1f71f: 0d66.*
+ 1f720: 9bd6.*
+ 1f721: 6fe3.*
+ 1f722: 3e24.*
+ 1f723: 0d66.*
+ 1f724: 6feb.*
+ 1f725: 3e25.*
+ 1f726: 0d66.*
+ 1f727: 6ff3.*
+ 1f728: 3e26.*
+ 1f729: 0d66.*
+ 1f72a: 6ff8.*
+ 1f72b: 3e27.*
+ 1f72c: 0d66.*
+ 1f72d: 9b26.*
+ 1f72e: 9b66.*
+ 1f72f: 9ba6.*
+ 1f730: 6f9c.*
+ 1f731: 0d66.*
+ 1f732: 6fa4.*
+ 1f733: 0d66.*
+ 1f734: 6fac.*
+ 1f735: 0d66.*
+ 1f736: 6fb4.*
+ 1f737: 0d66.*
+ 1f738: 6fbc.*
+ 1f739: 0d66.*
+ 1f73a: 6fc4.*
+ 1f73b: 0d66.*
+ 1f73c: 6fcc.*
+ 1f73d: 0d66.*
+ 1f73e: 6fd4.*
+ 1f73f: 0d66.*
+ 1f740: 9be6.*
+ 1f741: 6fe4.*
+ 1f742: 3e28.*
+ 1f743: 0d66.*
+ 1f744: 6fec.*
+ 1f745: 3e29.*
+ 1f746: 0d66.*
+ 1f747: 6ff4.*
+ 1f748: 3e2a.*
+ 1f749: 0d66.*
+ 1f74a: 6ff8.*
+ 1f74b: 3e2b.*
+ 1f74c: 0d66.*
+ 1f74d: 9b36.*
+ 1f74e: 9b76.*
+ 1f74f: 9bb6.*
+ 1f750: 6f9d.*
+ 1f751: 0d66.*
+ 1f752: 6fa5.*
+ 1f753: 0d66.*
+ 1f754: 6fad.*
+ 1f755: 0d66.*
+ 1f756: 6fb5.*
+ 1f757: 0d66.*
+ 1f758: 6fbd.*
+ 1f759: 0d66.*
+ 1f75a: 6fc5.*
+ 1f75b: 0d66.*
+ 1f75c: 6fcd.*
+ 1f75d: 0d66.*
+ 1f75e: 6fd5.*
+ 1f75f: 0d66.*
+ 1f760: 9bf6.*
+ 1f761: 6fe5.*
+ 1f762: 3e2c.*
+ 1f763: 0d66.*
+ 1f764: 6fed.*
+ 1f765: 3e2d.*
+ 1f766: 0d66.*
+ 1f767: 6ff5.*
+ 1f768: 3e2e.*
+ 1f769: 0d66.*
+ 1f76a: 6ff8.*
+ 1f76b: 3e2f.*
+ 1f76c: 0d66.*
+ 1f76d: 6f86.*
+ 1f76e: 0d66.*
+ 1f76f: 6f8e.*
+ 1f770: 0d66.*
+ 1f771: 6f96.*
+ 1f772: 0d66.*
+ 1f773: 6f9e.*
+ 1f774: 0d66.*
+ 1f775: 6fa6.*
+ 1f776: 0d66.*
+ 1f777: 6fae.*
+ 1f778: 0d66.*
+ 1f779: 6fb6.*
+ 1f77a: 0d66.*
+ 1f77b: 6fbe.*
+ 1f77c: 0d66.*
+ 1f77d: 6fc6.*
+ 1f77e: 0d66.*
+ 1f77f: 6fce.*
+ 1f780: 0d66.*
+ 1f781: 6fd6.*
+ 1f782: 0d66.*
+ 1f783: 6fde.*
+ 1f784: 0d66.*
+ 1f785: 6fe6.*
+ 1f786: 3e30.*
+ 1f787: 0d66.*
+ 1f788: 6fee.*
+ 1f789: 3e31.*
+ 1f78a: 0d66.*
+ 1f78b: 6ff6.*
+ 1f78c: 3e32.*
+ 1f78d: 0d66.*
+ 1f78e: 6ff8.*
+ 1f78f: 3e33.*
+ 1f790: 0d66.*
+ 1f791: 6f87.*
+ 1f792: 0d66.*
+ 1f793: 6f8f.*
+ 1f794: 0d66.*
+ 1f795: 6f97.*
+ 1f796: 0d66.*
+ 1f797: 6f9f.*
+ 1f798: 0d66.*
+ 1f799: 6fa7.*
+ 1f79a: 0d66.*
+ 1f79b: 6faf.*
+ 1f79c: 0d66.*
+ 1f79d: 6fb7.*
+ 1f79e: 0d66.*
+ 1f79f: 6fbf.*
+ 1f7a0: 0d66.*
+ 1f7a1: 6fc7.*
+ 1f7a2: 0d66.*
+ 1f7a3: 6fcf.*
+ 1f7a4: 0d66.*
+ 1f7a5: 6fd7.*
+ 1f7a6: 0d66.*
+ 1f7a7: 6fdf.*
+ 1f7a8: 0d66.*
+ 1f7a9: 6fe7.*
+ 1f7aa: 3e34.*
+ 1f7ab: 0d66.*
+ 1f7ac: 6fef.*
+ 1f7ad: 3e35.*
+ 1f7ae: 0d66.*
+ 1f7af: 6ff7.*
+ 1f7b0: 3e36.*
+ 1f7b1: 0d66.*
+ 1f7b2: 6ff8.*
+ 1f7b3: 3e37.*
+ 1f7b4: 0d66.*
+ 1f7b5: 6f80.*
+ 1f7b6: 0d67.*
+ 1f7b7: 6f88.*
+ 1f7b8: 0d67.*
+ 1f7b9: 6f90.*
+ 1f7ba: 0d67.*
+ 1f7bb: 6f98.*
+ 1f7bc: 0d67.*
+ 1f7bd: 6fa0.*
+ 1f7be: 0d67.*
+ 1f7bf: 6fa8.*
+ 1f7c0: 0d67.*
+ 1f7c1: 6fb0.*
+ 1f7c2: 0d67.*
+ 1f7c3: 6fb8.*
+ 1f7c4: 0d67.*
+ 1f7c5: 6fc0.*
+ 1f7c6: 0d67.*
+ 1f7c7: 6fc8.*
+ 1f7c8: 0d67.*
+ 1f7c9: 6fd0.*
+ 1f7ca: 0d67.*
+ 1f7cb: 6fd8.*
+ 1f7cc: 0d67.*
+ 1f7cd: 6fe0.*
+ 1f7ce: 3e38.*
+ 1f7cf: 0d67.*
+ 1f7d0: 6fe8.*
+ 1f7d1: 3e39.*
+ 1f7d2: 0d67.*
+ 1f7d3: 6ff0.*
+ 1f7d4: 3e3a.*
+ 1f7d5: 0d67.*
+ 1f7d6: 6ff8.*
+ 1f7d7: 3e3b.*
+ 1f7d8: 0d67.*
+ 1f7d9: 6f81.*
+ 1f7da: 0d67.*
+ 1f7db: 6f89.*
+ 1f7dc: 0d67.*
+ 1f7dd: 6f91.*
+ 1f7de: 0d67.*
+ 1f7df: 6f99.*
+ 1f7e0: 0d67.*
+ 1f7e1: 6fa1.*
+ 1f7e2: 0d67.*
+ 1f7e3: 6fa9.*
+ 1f7e4: 0d67.*
+ 1f7e5: 6fb1.*
+ 1f7e6: 0d67.*
+ 1f7e7: 6fb9.*
+ 1f7e8: 0d67.*
+ 1f7e9: 6fc1.*
+ 1f7ea: 0d67.*
+ 1f7eb: 6fc9.*
+ 1f7ec: 0d67.*
+ 1f7ed: 6fd1.*
+ 1f7ee: 0d67.*
+ 1f7ef: 6fd9.*
+ 1f7f0: 0d67.*
+ 1f7f1: 6fe1.*
+ 1f7f2: 3e3c.*
+ 1f7f3: 0d67.*
+ 1f7f4: 6fe9.*
+ 1f7f5: 3e3d.*
+ 1f7f6: 0d67.*
+ 1f7f7: 6ff1.*
+ 1f7f8: 3e3e.*
+ 1f7f9: 0d67.*
+ 1f7fa: 6ff8.*
+ 1f7fb: 3e3f.*
+ 1f7fc: 0d67.*
+ 1f7fd: 9b07.*
+ 1f7fe: 9b47.*
+ 1f7ff: 9b87.*
+ 1f800: 6f9a.*
+ 1f801: 0d67.*
+ 1f802: 6fa2.*
+ 1f803: 0d67.*
+ 1f804: 6faa.*
+ 1f805: 0d67.*
+ 1f806: 6fb2.*
+ 1f807: 0d67.*
+ 1f808: 6fba.*
+ 1f809: 0d67.*
+ 1f80a: 6fc2.*
+ 1f80b: 0d67.*
+ 1f80c: 6fca.*
+ 1f80d: 0d67.*
+ 1f80e: 6fd2.*
+ 1f80f: 0d67.*
+ 1f810: 9bc7.*
+ 1f811: 6fe2.*
+ 1f812: 3e40.*
+ 1f813: 0d67.*
+ 1f814: 6fea.*
+ 1f815: 3e41.*
+ 1f816: 0d67.*
+ 1f817: 6ff2.*
+ 1f818: 3e42.*
+ 1f819: 0d67.*
+ 1f81a: 6ff8.*
+ 1f81b: 3e43.*
+ 1f81c: 0d67.*
+ 1f81d: 9b17.*
+ 1f81e: 9b57.*
+ 1f81f: 9b97.*
+ 1f820: 6f9b.*
+ 1f821: 0d67.*
+ 1f822: 6fa3.*
+ 1f823: 0d67.*
+ 1f824: 6fab.*
+ 1f825: 0d67.*
+ 1f826: 6fb3.*
+ 1f827: 0d67.*
+ 1f828: 6fbb.*
+ 1f829: 0d67.*
+ 1f82a: 6fc3.*
+ 1f82b: 0d67.*
+ 1f82c: 6fcb.*
+ 1f82d: 0d67.*
+ 1f82e: 6fd3.*
+ 1f82f: 0d67.*
+ 1f830: 9bd7.*
+ 1f831: 6fe3.*
+ 1f832: 3e44.*
+ 1f833: 0d67.*
+ 1f834: 6feb.*
+ 1f835: 3e45.*
+ 1f836: 0d67.*
+ 1f837: 6ff3.*
+ 1f838: 3e46.*
+ 1f839: 0d67.*
+ 1f83a: 6ff8.*
+ 1f83b: 3e47.*
+ 1f83c: 0d67.*
+ 1f83d: 9b27.*
+ 1f83e: 9b67.*
+ 1f83f: 9ba7.*
+ 1f840: 6f9c.*
+ 1f841: 0d67.*
+ 1f842: 6fa4.*
+ 1f843: 0d67.*
+ 1f844: 6fac.*
+ 1f845: 0d67.*
+ 1f846: 6fb4.*
+ 1f847: 0d67.*
+ 1f848: 6fbc.*
+ 1f849: 0d67.*
+ 1f84a: 6fc4.*
+ 1f84b: 0d67.*
+ 1f84c: 6fcc.*
+ 1f84d: 0d67.*
+ 1f84e: 6fd4.*
+ 1f84f: 0d67.*
+ 1f850: 9be7.*
+ 1f851: 6fe4.*
+ 1f852: 3e48.*
+ 1f853: 0d67.*
+ 1f854: 6fec.*
+ 1f855: 3e49.*
+ 1f856: 0d67.*
+ 1f857: 6ff4.*
+ 1f858: 3e4a.*
+ 1f859: 0d67.*
+ 1f85a: 6ff8.*
+ 1f85b: 3e4b.*
+ 1f85c: 0d67.*
+ 1f85d: 9b37.*
+ 1f85e: 9b77.*
+ 1f85f: 9bb7.*
+ 1f860: 6f9d.*
+ 1f861: 0d67.*
+ 1f862: 6fa5.*
+ 1f863: 0d67.*
+ 1f864: 6fad.*
+ 1f865: 0d67.*
+ 1f866: 6fb5.*
+ 1f867: 0d67.*
+ 1f868: 6fbd.*
+ 1f869: 0d67.*
+ 1f86a: 6fc5.*
+ 1f86b: 0d67.*
+ 1f86c: 6fcd.*
+ 1f86d: 0d67.*
+ 1f86e: 6fd5.*
+ 1f86f: 0d67.*
+ 1f870: 9bf7.*
+ 1f871: 6fe5.*
+ 1f872: 3e4c.*
+ 1f873: 0d67.*
+ 1f874: 6fed.*
+ 1f875: 3e4d.*
+ 1f876: 0d67.*
+ 1f877: 6ff5.*
+ 1f878: 3e4e.*
+ 1f879: 0d67.*
+ 1f87a: 6ff8.*
+ 1f87b: 3e4f.*
+ 1f87c: 0d67.*
+ 1f87d: 6f86.*
+ 1f87e: 0d67.*
+ 1f87f: 6f8e.*
+ 1f880: 0d67.*
+ 1f881: 6f96.*
+ 1f882: 0d67.*
+ 1f883: 6f9e.*
+ 1f884: 0d67.*
+ 1f885: 6fa6.*
+ 1f886: 0d67.*
+ 1f887: 6fae.*
+ 1f888: 0d67.*
+ 1f889: 6fb6.*
+ 1f88a: 0d67.*
+ 1f88b: 6fbe.*
+ 1f88c: 0d67.*
+ 1f88d: 6fc6.*
+ 1f88e: 0d67.*
+ 1f88f: 6fce.*
+ 1f890: 0d67.*
+ 1f891: 6fd6.*
+ 1f892: 0d67.*
+ 1f893: 6fde.*
+ 1f894: 0d67.*
+ 1f895: 6fe6.*
+ 1f896: 3e50.*
+ 1f897: 0d67.*
+ 1f898: 6fee.*
+ 1f899: 3e51.*
+ 1f89a: 0d67.*
+ 1f89b: 6ff6.*
+ 1f89c: 3e52.*
+ 1f89d: 0d67.*
+ 1f89e: 6ff8.*
+ 1f89f: 3e53.*
+ 1f8a0: 0d67.*
+ 1f8a1: 6f87.*
+ 1f8a2: 0d67.*
+ 1f8a3: 6f8f.*
+ 1f8a4: 0d67.*
+ 1f8a5: 6f97.*
+ 1f8a6: 0d67.*
+ 1f8a7: 6f9f.*
+ 1f8a8: 0d67.*
+ 1f8a9: 6fa7.*
+ 1f8aa: 0d67.*
+ 1f8ab: 6faf.*
+ 1f8ac: 0d67.*
+ 1f8ad: 6fb7.*
+ 1f8ae: 0d67.*
+ 1f8af: 6fbf.*
+ 1f8b0: 0d67.*
+ 1f8b1: 6fc7.*
+ 1f8b2: 0d67.*
+ 1f8b3: 6fcf.*
+ 1f8b4: 0d67.*
+ 1f8b5: 6fd7.*
+ 1f8b6: 0d67.*
+ 1f8b7: 6fdf.*
+ 1f8b8: 0d67.*
+ 1f8b9: 6fe7.*
+ 1f8ba: 3e54.*
+ 1f8bb: 0d67.*
+ 1f8bc: 6fef.*
+ 1f8bd: 3e55.*
+ 1f8be: 0d67.*
+ 1f8bf: 6ff7.*
+ 1f8c0: 3e56.*
+ 1f8c1: 0d67.*
+ 1f8c2: 6ff8.*
+ 1f8c3: 3e57.*
+ 1f8c4: 0d67.*
+ 1f8c5: 6f80.*
+ 1f8c6: 0d68.*
+ 1f8c7: 6f88.*
+ 1f8c8: 0d68.*
+ 1f8c9: 6f90.*
+ 1f8ca: 0d68.*
+ 1f8cb: 6f98.*
+ 1f8cc: 0d68.*
+ 1f8cd: 6fa0.*
+ 1f8ce: 0d68.*
+ 1f8cf: 6fa8.*
+ 1f8d0: 0d68.*
+ 1f8d1: 6fb0.*
+ 1f8d2: 0d68.*
+ 1f8d3: 6fb8.*
+ 1f8d4: 0d68.*
+ 1f8d5: 6fc0.*
+ 1f8d6: 0d68.*
+ 1f8d7: 6fc8.*
+ 1f8d8: 0d68.*
+ 1f8d9: 6fd0.*
+ 1f8da: 0d68.*
+ 1f8db: 6fd8.*
+ 1f8dc: 0d68.*
+ 1f8dd: 6fe0.*
+ 1f8de: 3e58.*
+ 1f8df: 0d68.*
+ 1f8e0: 6fe8.*
+ 1f8e1: 3e59.*
+ 1f8e2: 0d68.*
+ 1f8e3: 6ff0.*
+ 1f8e4: 3e5a.*
+ 1f8e5: 0d68.*
+ 1f8e6: 6ff8.*
+ 1f8e7: 3e5b.*
+ 1f8e8: 0d68.*
+ 1f8e9: 6f81.*
+ 1f8ea: 0d68.*
+ 1f8eb: 6f89.*
+ 1f8ec: 0d68.*
+ 1f8ed: 6f91.*
+ 1f8ee: 0d68.*
+ 1f8ef: 6f99.*
+ 1f8f0: 0d68.*
+ 1f8f1: 6fa1.*
+ 1f8f2: 0d68.*
+ 1f8f3: 6fa9.*
+ 1f8f4: 0d68.*
+ 1f8f5: 6fb1.*
+ 1f8f6: 0d68.*
+ 1f8f7: 6fb9.*
+ 1f8f8: 0d68.*
+ 1f8f9: 6fc1.*
+ 1f8fa: 0d68.*
+ 1f8fb: 6fc9.*
+ 1f8fc: 0d68.*
+ 1f8fd: 6fd1.*
+ 1f8fe: 0d68.*
+ 1f8ff: 6fd9.*
+ 1f900: 0d68.*
+ 1f901: 6fe1.*
+ 1f902: 3e5c.*
+ 1f903: 0d68.*
+ 1f904: 6fe9.*
+ 1f905: 3e5d.*
+ 1f906: 0d68.*
+ 1f907: 6ff1.*
+ 1f908: 3e5e.*
+ 1f909: 0d68.*
+ 1f90a: 6ff8.*
+ 1f90b: 3e5f.*
+ 1f90c: 0d68.*
+ 1f90d: 9b08.*
+ 1f90e: 9b48.*
+ 1f90f: 9b88.*
+ 1f910: 6f9a.*
+ 1f911: 0d68.*
+ 1f912: 6fa2.*
+ 1f913: 0d68.*
+ 1f914: 6faa.*
+ 1f915: 0d68.*
+ 1f916: 6fb2.*
+ 1f917: 0d68.*
+ 1f918: 6fba.*
+ 1f919: 0d68.*
+ 1f91a: 6fc2.*
+ 1f91b: 0d68.*
+ 1f91c: 6fca.*
+ 1f91d: 0d68.*
+ 1f91e: 6fd2.*
+ 1f91f: 0d68.*
+ 1f920: 9bc8.*
+ 1f921: 6fe2.*
+ 1f922: 3e60.*
+ 1f923: 0d68.*
+ 1f924: 6fea.*
+ 1f925: 3e61.*
+ 1f926: 0d68.*
+ 1f927: 6ff2.*
+ 1f928: 3e62.*
+ 1f929: 0d68.*
+ 1f92a: 6ff8.*
+ 1f92b: 3e63.*
+ 1f92c: 0d68.*
+ 1f92d: 9b18.*
+ 1f92e: 9b58.*
+ 1f92f: 9b98.*
+ 1f930: 6f9b.*
+ 1f931: 0d68.*
+ 1f932: 6fa3.*
+ 1f933: 0d68.*
+ 1f934: 6fab.*
+ 1f935: 0d68.*
+ 1f936: 6fb3.*
+ 1f937: 0d68.*
+ 1f938: 6fbb.*
+ 1f939: 0d68.*
+ 1f93a: 6fc3.*
+ 1f93b: 0d68.*
+ 1f93c: 6fcb.*
+ 1f93d: 0d68.*
+ 1f93e: 6fd3.*
+ 1f93f: 0d68.*
+ 1f940: 9bd8.*
+ 1f941: 6fe3.*
+ 1f942: 3e64.*
+ 1f943: 0d68.*
+ 1f944: 6feb.*
+ 1f945: 3e65.*
+ 1f946: 0d68.*
+ 1f947: 6ff3.*
+ 1f948: 3e66.*
+ 1f949: 0d68.*
+ 1f94a: 6ff8.*
+ 1f94b: 3e67.*
+ 1f94c: 0d68.*
+ 1f94d: 9b28.*
+ 1f94e: 9b68.*
+ 1f94f: 9ba8.*
+ 1f950: 6f9c.*
+ 1f951: 0d68.*
+ 1f952: 6fa4.*
+ 1f953: 0d68.*
+ 1f954: 6fac.*
+ 1f955: 0d68.*
+ 1f956: 6fb4.*
+ 1f957: 0d68.*
+ 1f958: 6fbc.*
+ 1f959: 0d68.*
+ 1f95a: 6fc4.*
+ 1f95b: 0d68.*
+ 1f95c: 6fcc.*
+ 1f95d: 0d68.*
+ 1f95e: 6fd4.*
+ 1f95f: 0d68.*
+ 1f960: 9be8.*
+ 1f961: 6fe4.*
+ 1f962: 3e68.*
+ 1f963: 0d68.*
+ 1f964: 6fec.*
+ 1f965: 3e69.*
+ 1f966: 0d68.*
+ 1f967: 6ff4.*
+ 1f968: 3e6a.*
+ 1f969: 0d68.*
+ 1f96a: 6ff8.*
+ 1f96b: 3e6b.*
+ 1f96c: 0d68.*
+ 1f96d: 9b38.*
+ 1f96e: 9b78.*
+ 1f96f: 9bb8.*
+ 1f970: 6f9d.*
+ 1f971: 0d68.*
+ 1f972: 6fa5.*
+ 1f973: 0d68.*
+ 1f974: 6fad.*
+ 1f975: 0d68.*
+ 1f976: 6fb5.*
+ 1f977: 0d68.*
+ 1f978: 6fbd.*
+ 1f979: 0d68.*
+ 1f97a: 6fc5.*
+ 1f97b: 0d68.*
+ 1f97c: 6fcd.*
+ 1f97d: 0d68.*
+ 1f97e: 6fd5.*
+ 1f97f: 0d68.*
+ 1f980: 9bf8.*
+ 1f981: 6fe5.*
+ 1f982: 3e6c.*
+ 1f983: 0d68.*
+ 1f984: 6fed.*
+ 1f985: 3e6d.*
+ 1f986: 0d68.*
+ 1f987: 6ff5.*
+ 1f988: 3e6e.*
+ 1f989: 0d68.*
+ 1f98a: 6ff8.*
+ 1f98b: 3e6f.*
+ 1f98c: 0d68.*
+ 1f98d: 6f86.*
+ 1f98e: 0d68.*
+ 1f98f: 6f8e.*
+ 1f990: 0d68.*
+ 1f991: 6f96.*
+ 1f992: 0d68.*
+ 1f993: 6f9e.*
+ 1f994: 0d68.*
+ 1f995: 6fa6.*
+ 1f996: 0d68.*
+ 1f997: 6fae.*
+ 1f998: 0d68.*
+ 1f999: 6fb6.*
+ 1f99a: 0d68.*
+ 1f99b: 6fbe.*
+ 1f99c: 0d68.*
+ 1f99d: 6fc6.*
+ 1f99e: 0d68.*
+ 1f99f: 6fce.*
+ 1f9a0: 0d68.*
+ 1f9a1: 6fd6.*
+ 1f9a2: 0d68.*
+ 1f9a3: 6fde.*
+ 1f9a4: 0d68.*
+ 1f9a5: 6fe6.*
+ 1f9a6: 3e70.*
+ 1f9a7: 0d68.*
+ 1f9a8: 6fee.*
+ 1f9a9: 3e71.*
+ 1f9aa: 0d68.*
+ 1f9ab: 6ff6.*
+ 1f9ac: 3e72.*
+ 1f9ad: 0d68.*
+ 1f9ae: 6ff8.*
+ 1f9af: 3e73.*
+ 1f9b0: 0d68.*
+ 1f9b1: 6f87.*
+ 1f9b2: 0d68.*
+ 1f9b3: 6f8f.*
+ 1f9b4: 0d68.*
+ 1f9b5: 6f97.*
+ 1f9b6: 0d68.*
+ 1f9b7: 6f9f.*
+ 1f9b8: 0d68.*
+ 1f9b9: 6fa7.*
+ 1f9ba: 0d68.*
+ 1f9bb: 6faf.*
+ 1f9bc: 0d68.*
+ 1f9bd: 6fb7.*
+ 1f9be: 0d68.*
+ 1f9bf: 6fbf.*
+ 1f9c0: 0d68.*
+ 1f9c1: 6fc7.*
+ 1f9c2: 0d68.*
+ 1f9c3: 6fcf.*
+ 1f9c4: 0d68.*
+ 1f9c5: 6fd7.*
+ 1f9c6: 0d68.*
+ 1f9c7: 6fdf.*
+ 1f9c8: 0d68.*
+ 1f9c9: 6fe7.*
+ 1f9ca: 3e74.*
+ 1f9cb: 0d68.*
+ 1f9cc: 6fef.*
+ 1f9cd: 3e75.*
+ 1f9ce: 0d68.*
+ 1f9cf: 6ff7.*
+ 1f9d0: 3e76.*
+ 1f9d1: 0d68.*
+ 1f9d2: 6ff8.*
+ 1f9d3: 3e77.*
+ 1f9d4: 0d68.*
+ 1f9d5: 6f80.*
+ 1f9d6: 0d69.*
+ 1f9d7: 6f88.*
+ 1f9d8: 0d69.*
+ 1f9d9: 6f90.*
+ 1f9da: 0d69.*
+ 1f9db: 6f98.*
+ 1f9dc: 0d69.*
+ 1f9dd: 6fa0.*
+ 1f9de: 0d69.*
+ 1f9df: 6fa8.*
+ 1f9e0: 0d69.*
+ 1f9e1: 6fb0.*
+ 1f9e2: 0d69.*
+ 1f9e3: 6fb8.*
+ 1f9e4: 0d69.*
+ 1f9e5: 6fc0.*
+ 1f9e6: 0d69.*
+ 1f9e7: 6fc8.*
+ 1f9e8: 0d69.*
+ 1f9e9: 6fd0.*
+ 1f9ea: 0d69.*
+ 1f9eb: 6fd8.*
+ 1f9ec: 0d69.*
+ 1f9ed: 6fe0.*
+ 1f9ee: 3e78.*
+ 1f9ef: 0d69.*
+ 1f9f0: 6fe8.*
+ 1f9f1: 3e79.*
+ 1f9f2: 0d69.*
+ 1f9f3: 6ff0.*
+ 1f9f4: 3e7a.*
+ 1f9f5: 0d69.*
+ 1f9f6: 6ff8.*
+ 1f9f7: 3e7b.*
+ 1f9f8: 0d69.*
+ 1f9f9: 6f81.*
+ 1f9fa: 0d69.*
+ 1f9fb: 6f89.*
+ 1f9fc: 0d69.*
+ 1f9fd: 6f91.*
+ 1f9fe: 0d69.*
+ 1f9ff: 6f99.*
+ 1fa00: 0d69.*
+ 1fa01: 6fa1.*
+ 1fa02: 0d69.*
+ 1fa03: 6fa9.*
+ 1fa04: 0d69.*
+ 1fa05: 6fb1.*
+ 1fa06: 0d69.*
+ 1fa07: 6fb9.*
+ 1fa08: 0d69.*
+ 1fa09: 6fc1.*
+ 1fa0a: 0d69.*
+ 1fa0b: 6fc9.*
+ 1fa0c: 0d69.*
+ 1fa0d: 6fd1.*
+ 1fa0e: 0d69.*
+ 1fa0f: 6fd9.*
+ 1fa10: 0d69.*
+ 1fa11: 6fe1.*
+ 1fa12: 3e7c.*
+ 1fa13: 0d69.*
+ 1fa14: 6fe9.*
+ 1fa15: 3e7d.*
+ 1fa16: 0d69.*
+ 1fa17: 6ff1.*
+ 1fa18: 3e7e.*
+ 1fa19: 0d69.*
+ 1fa1a: 6ff8.*
+ 1fa1b: 3e7f.*
+ 1fa1c: 0d69.*
+ 1fa1d: 9b09.*
+ 1fa1e: 9b49.*
+ 1fa1f: 9b89.*
+ 1fa20: 6f9a.*
+ 1fa21: 0d69.*
+ 1fa22: 6fa2.*
+ 1fa23: 0d69.*
+ 1fa24: 6faa.*
+ 1fa25: 0d69.*
+ 1fa26: 6fb2.*
+ 1fa27: 0d69.*
+ 1fa28: 6fba.*
+ 1fa29: 0d69.*
+ 1fa2a: 6fc2.*
+ 1fa2b: 0d69.*
+ 1fa2c: 6fca.*
+ 1fa2d: 0d69.*
+ 1fa2e: 6fd2.*
+ 1fa2f: 0d69.*
+ 1fa30: 9bc9.*
+ 1fa31: 6fe2.*
+ 1fa32: 3e80.*
+ 1fa33: 0d69.*
+ 1fa34: 6fea.*
+ 1fa35: 3e81.*
+ 1fa36: 0d69.*
+ 1fa37: 6ff2.*
+ 1fa38: 3e82.*
+ 1fa39: 0d69.*
+ 1fa3a: 6ff8.*
+ 1fa3b: 3e83.*
+ 1fa3c: 0d69.*
+ 1fa3d: 9b19.*
+ 1fa3e: 9b59.*
+ 1fa3f: 9b99.*
+ 1fa40: 6f9b.*
+ 1fa41: 0d69.*
+ 1fa42: 6fa3.*
+ 1fa43: 0d69.*
+ 1fa44: 6fab.*
+ 1fa45: 0d69.*
+ 1fa46: 6fb3.*
+ 1fa47: 0d69.*
+ 1fa48: 6fbb.*
+ 1fa49: 0d69.*
+ 1fa4a: 6fc3.*
+ 1fa4b: 0d69.*
+ 1fa4c: 6fcb.*
+ 1fa4d: 0d69.*
+ 1fa4e: 6fd3.*
+ 1fa4f: 0d69.*
+ 1fa50: 9bd9.*
+ 1fa51: 6fe3.*
+ 1fa52: 3e84.*
+ 1fa53: 0d69.*
+ 1fa54: 6feb.*
+ 1fa55: 3e85.*
+ 1fa56: 0d69.*
+ 1fa57: 6ff3.*
+ 1fa58: 3e86.*
+ 1fa59: 0d69.*
+ 1fa5a: 6ff8.*
+ 1fa5b: 3e87.*
+ 1fa5c: 0d69.*
+ 1fa5d: 9b29.*
+ 1fa5e: 9b69.*
+ 1fa5f: 9ba9.*
+ 1fa60: 6f9c.*
+ 1fa61: 0d69.*
+ 1fa62: 6fa4.*
+ 1fa63: 0d69.*
+ 1fa64: 6fac.*
+ 1fa65: 0d69.*
+ 1fa66: 6fb4.*
+ 1fa67: 0d69.*
+ 1fa68: 6fbc.*
+ 1fa69: 0d69.*
+ 1fa6a: 6fc4.*
+ 1fa6b: 0d69.*
+ 1fa6c: 6fcc.*
+ 1fa6d: 0d69.*
+ 1fa6e: 6fd4.*
+ 1fa6f: 0d69.*
+ 1fa70: 9be9.*
+ 1fa71: 6fe4.*
+ 1fa72: 3e88.*
+ 1fa73: 0d69.*
+ 1fa74: 6fec.*
+ 1fa75: 3e89.*
+ 1fa76: 0d69.*
+ 1fa77: 6ff4.*
+ 1fa78: 3e8a.*
+ 1fa79: 0d69.*
+ 1fa7a: 6ff8.*
+ 1fa7b: 3e8b.*
+ 1fa7c: 0d69.*
+ 1fa7d: 9b39.*
+ 1fa7e: 9b79.*
+ 1fa7f: 9bb9.*
+ 1fa80: 6f9d.*
+ 1fa81: 0d69.*
+ 1fa82: 6fa5.*
+ 1fa83: 0d69.*
+ 1fa84: 6fad.*
+ 1fa85: 0d69.*
+ 1fa86: 6fb5.*
+ 1fa87: 0d69.*
+ 1fa88: 6fbd.*
+ 1fa89: 0d69.*
+ 1fa8a: 6fc5.*
+ 1fa8b: 0d69.*
+ 1fa8c: 6fcd.*
+ 1fa8d: 0d69.*
+ 1fa8e: 6fd5.*
+ 1fa8f: 0d69.*
+ 1fa90: 9bf9.*
+ 1fa91: 6fe5.*
+ 1fa92: 3e8c.*
+ 1fa93: 0d69.*
+ 1fa94: 6fed.*
+ 1fa95: 3e8d.*
+ 1fa96: 0d69.*
+ 1fa97: 6ff5.*
+ 1fa98: 3e8e.*
+ 1fa99: 0d69.*
+ 1fa9a: 6ff8.*
+ 1fa9b: 3e8f.*
+ 1fa9c: 0d69.*
+ 1fa9d: 6f86.*
+ 1fa9e: 0d69.*
+ 1fa9f: 6f8e.*
+ 1faa0: 0d69.*
+ 1faa1: 6f96.*
+ 1faa2: 0d69.*
+ 1faa3: 6f9e.*
+ 1faa4: 0d69.*
+ 1faa5: 6fa6.*
+ 1faa6: 0d69.*
+ 1faa7: 6fae.*
+ 1faa8: 0d69.*
+ 1faa9: 6fb6.*
+ 1faaa: 0d69.*
+ 1faab: 6fbe.*
+ 1faac: 0d69.*
+ 1faad: 6fc6.*
+ 1faae: 0d69.*
+ 1faaf: 6fce.*
+ 1fab0: 0d69.*
+ 1fab1: 6fd6.*
+ 1fab2: 0d69.*
+ 1fab3: 6fde.*
+ 1fab4: 0d69.*
+ 1fab5: 6fe6.*
+ 1fab6: 3e90.*
+ 1fab7: 0d69.*
+ 1fab8: 6fee.*
+ 1fab9: 3e91.*
+ 1faba: 0d69.*
+ 1fabb: 6ff6.*
+ 1fabc: 3e92.*
+ 1fabd: 0d69.*
+ 1fabe: 6ff8.*
+ 1fabf: 3e93.*
+ 1fac0: 0d69.*
+ 1fac1: 6f87.*
+ 1fac2: 0d69.*
+ 1fac3: 6f8f.*
+ 1fac4: 0d69.*
+ 1fac5: 6f97.*
+ 1fac6: 0d69.*
+ 1fac7: 6f9f.*
+ 1fac8: 0d69.*
+ 1fac9: 6fa7.*
+ 1faca: 0d69.*
+ 1facb: 6faf.*
+ 1facc: 0d69.*
+ 1facd: 6fb7.*
+ 1face: 0d69.*
+ 1facf: 6fbf.*
+ 1fad0: 0d69.*
+ 1fad1: 6fc7.*
+ 1fad2: 0d69.*
+ 1fad3: 6fcf.*
+ 1fad4: 0d69.*
+ 1fad5: 6fd7.*
+ 1fad6: 0d69.*
+ 1fad7: 6fdf.*
+ 1fad8: 0d69.*
+ 1fad9: 6fe7.*
+ 1fada: 3e94.*
+ 1fadb: 0d69.*
+ 1fadc: 6fef.*
+ 1fadd: 3e95.*
+ 1fade: 0d69.*
+ 1fadf: 6ff7.*
+ 1fae0: 3e96.*
+ 1fae1: 0d69.*
+ 1fae2: 6ff8.*
+ 1fae3: 3e97.*
+ 1fae4: 0d69.*
+ 1fae5: 6f80.*
+ 1fae6: 0d6a.*
+ 1fae7: 6f88.*
+ 1fae8: 0d6a.*
+ 1fae9: 6f90.*
+ 1faea: 0d6a.*
+ 1faeb: 6f98.*
+ 1faec: 0d6a.*
+ 1faed: 6fa0.*
+ 1faee: 0d6a.*
+ 1faef: 6fa8.*
+ 1faf0: 0d6a.*
+ 1faf1: 6fb0.*
+ 1faf2: 0d6a.*
+ 1faf3: 6fb8.*
+ 1faf4: 0d6a.*
+ 1faf5: 6fc0.*
+ 1faf6: 0d6a.*
+ 1faf7: 6fc8.*
+ 1faf8: 0d6a.*
+ 1faf9: 6fd0.*
+ 1fafa: 0d6a.*
+ 1fafb: 6fd8.*
+ 1fafc: 0d6a.*
+ 1fafd: 6fe0.*
+ 1fafe: 3e98.*
+ 1faff: 0d6a.*
+ 1fb00: 6fe8.*
+ 1fb01: 3e99.*
+ 1fb02: 0d6a.*
+ 1fb03: 6ff0.*
+ 1fb04: 3e9a.*
+ 1fb05: 0d6a.*
+ 1fb06: 6ff8.*
+ 1fb07: 3e9b.*
+ 1fb08: 0d6a.*
+ 1fb09: 6f81.*
+ 1fb0a: 0d6a.*
+ 1fb0b: 6f89.*
+ 1fb0c: 0d6a.*
+ 1fb0d: 6f91.*
+ 1fb0e: 0d6a.*
+ 1fb0f: 6f99.*
+ 1fb10: 0d6a.*
+ 1fb11: 6fa1.*
+ 1fb12: 0d6a.*
+ 1fb13: 6fa9.*
+ 1fb14: 0d6a.*
+ 1fb15: 6fb1.*
+ 1fb16: 0d6a.*
+ 1fb17: 6fb9.*
+ 1fb18: 0d6a.*
+ 1fb19: 6fc1.*
+ 1fb1a: 0d6a.*
+ 1fb1b: 6fc9.*
+ 1fb1c: 0d6a.*
+ 1fb1d: 6fd1.*
+ 1fb1e: 0d6a.*
+ 1fb1f: 6fd9.*
+ 1fb20: 0d6a.*
+ 1fb21: 6fe1.*
+ 1fb22: 3e9c.*
+ 1fb23: 0d6a.*
+ 1fb24: 6fe9.*
+ 1fb25: 3e9d.*
+ 1fb26: 0d6a.*
+ 1fb27: 6ff1.*
+ 1fb28: 3e9e.*
+ 1fb29: 0d6a.*
+ 1fb2a: 6ff8.*
+ 1fb2b: 3e9f.*
+ 1fb2c: 0d6a.*
+ 1fb2d: 9b0a.*
+ 1fb2e: 9b4a.*
+ 1fb2f: 9b8a.*
+ 1fb30: 6f9a.*
+ 1fb31: 0d6a.*
+ 1fb32: 6fa2.*
+ 1fb33: 0d6a.*
+ 1fb34: 6faa.*
+ 1fb35: 0d6a.*
+ 1fb36: 6fb2.*
+ 1fb37: 0d6a.*
+ 1fb38: 6fba.*
+ 1fb39: 0d6a.*
+ 1fb3a: 6fc2.*
+ 1fb3b: 0d6a.*
+ 1fb3c: 6fca.*
+ 1fb3d: 0d6a.*
+ 1fb3e: 6fd2.*
+ 1fb3f: 0d6a.*
+ 1fb40: 9bca.*
+ 1fb41: 6fe2.*
+ 1fb42: 3ea0.*
+ 1fb43: 0d6a.*
+ 1fb44: 6fea.*
+ 1fb45: 3ea1.*
+ 1fb46: 0d6a.*
+ 1fb47: 6ff2.*
+ 1fb48: 3ea2.*
+ 1fb49: 0d6a.*
+ 1fb4a: 6ff8.*
+ 1fb4b: 3ea3.*
+ 1fb4c: 0d6a.*
+ 1fb4d: 9b1a.*
+ 1fb4e: 9b5a.*
+ 1fb4f: 9b9a.*
+ 1fb50: 6f9b.*
+ 1fb51: 0d6a.*
+ 1fb52: 6fa3.*
+ 1fb53: 0d6a.*
+ 1fb54: 6fab.*
+ 1fb55: 0d6a.*
+ 1fb56: 6fb3.*
+ 1fb57: 0d6a.*
+ 1fb58: 6fbb.*
+ 1fb59: 0d6a.*
+ 1fb5a: 6fc3.*
+ 1fb5b: 0d6a.*
+ 1fb5c: 6fcb.*
+ 1fb5d: 0d6a.*
+ 1fb5e: 6fd3.*
+ 1fb5f: 0d6a.*
+ 1fb60: 9bda.*
+ 1fb61: 6fe3.*
+ 1fb62: 3ea4.*
+ 1fb63: 0d6a.*
+ 1fb64: 6feb.*
+ 1fb65: 3ea5.*
+ 1fb66: 0d6a.*
+ 1fb67: 6ff3.*
+ 1fb68: 3ea6.*
+ 1fb69: 0d6a.*
+ 1fb6a: 6ff8.*
+ 1fb6b: 3ea7.*
+ 1fb6c: 0d6a.*
+ 1fb6d: 9b2a.*
+ 1fb6e: 9b6a.*
+ 1fb6f: 9baa.*
+ 1fb70: 6f9c.*
+ 1fb71: 0d6a.*
+ 1fb72: 6fa4.*
+ 1fb73: 0d6a.*
+ 1fb74: 6fac.*
+ 1fb75: 0d6a.*
+ 1fb76: 6fb4.*
+ 1fb77: 0d6a.*
+ 1fb78: 6fbc.*
+ 1fb79: 0d6a.*
+ 1fb7a: 6fc4.*
+ 1fb7b: 0d6a.*
+ 1fb7c: 6fcc.*
+ 1fb7d: 0d6a.*
+ 1fb7e: 6fd4.*
+ 1fb7f: 0d6a.*
+ 1fb80: 9bea.*
+ 1fb81: 6fe4.*
+ 1fb82: 3ea8.*
+ 1fb83: 0d6a.*
+ 1fb84: 6fec.*
+ 1fb85: 3ea9.*
+ 1fb86: 0d6a.*
+ 1fb87: 6ff4.*
+ 1fb88: 3eaa.*
+ 1fb89: 0d6a.*
+ 1fb8a: 6ff8.*
+ 1fb8b: 3eab.*
+ 1fb8c: 0d6a.*
+ 1fb8d: 9b3a.*
+ 1fb8e: 9b7a.*
+ 1fb8f: 9bba.*
+ 1fb90: 6f9d.*
+ 1fb91: 0d6a.*
+ 1fb92: 6fa5.*
+ 1fb93: 0d6a.*
+ 1fb94: 6fad.*
+ 1fb95: 0d6a.*
+ 1fb96: 6fb5.*
+ 1fb97: 0d6a.*
+ 1fb98: 6fbd.*
+ 1fb99: 0d6a.*
+ 1fb9a: 6fc5.*
+ 1fb9b: 0d6a.*
+ 1fb9c: 6fcd.*
+ 1fb9d: 0d6a.*
+ 1fb9e: 6fd5.*
+ 1fb9f: 0d6a.*
+ 1fba0: 9bfa.*
+ 1fba1: 6fe5.*
+ 1fba2: 3eac.*
+ 1fba3: 0d6a.*
+ 1fba4: 6fed.*
+ 1fba5: 3ead.*
+ 1fba6: 0d6a.*
+ 1fba7: 6ff5.*
+ 1fba8: 3eae.*
+ 1fba9: 0d6a.*
+ 1fbaa: 6ff8.*
+ 1fbab: 3eaf.*
+ 1fbac: 0d6a.*
+ 1fbad: 6f86.*
+ 1fbae: 0d6a.*
+ 1fbaf: 6f8e.*
+ 1fbb0: 0d6a.*
+ 1fbb1: 6f96.*
+ 1fbb2: 0d6a.*
+ 1fbb3: 6f9e.*
+ 1fbb4: 0d6a.*
+ 1fbb5: 6fa6.*
+ 1fbb6: 0d6a.*
+ 1fbb7: 6fae.*
+ 1fbb8: 0d6a.*
+ 1fbb9: 6fb6.*
+ 1fbba: 0d6a.*
+ 1fbbb: 6fbe.*
+ 1fbbc: 0d6a.*
+ 1fbbd: 6fc6.*
+ 1fbbe: 0d6a.*
+ 1fbbf: 6fce.*
+ 1fbc0: 0d6a.*
+ 1fbc1: 6fd6.*
+ 1fbc2: 0d6a.*
+ 1fbc3: 6fde.*
+ 1fbc4: 0d6a.*
+ 1fbc5: 6fe6.*
+ 1fbc6: 3eb0.*
+ 1fbc7: 0d6a.*
+ 1fbc8: 6fee.*
+ 1fbc9: 3eb1.*
+ 1fbca: 0d6a.*
+ 1fbcb: 6ff6.*
+ 1fbcc: 3eb2.*
+ 1fbcd: 0d6a.*
+ 1fbce: 6ff8.*
+ 1fbcf: 3eb3.*
+ 1fbd0: 0d6a.*
+ 1fbd1: 6f87.*
+ 1fbd2: 0d6a.*
+ 1fbd3: 6f8f.*
+ 1fbd4: 0d6a.*
+ 1fbd5: 6f97.*
+ 1fbd6: 0d6a.*
+ 1fbd7: 6f9f.*
+ 1fbd8: 0d6a.*
+ 1fbd9: 6fa7.*
+ 1fbda: 0d6a.*
+ 1fbdb: 6faf.*
+ 1fbdc: 0d6a.*
+ 1fbdd: 6fb7.*
+ 1fbde: 0d6a.*
+ 1fbdf: 6fbf.*
+ 1fbe0: 0d6a.*
+ 1fbe1: 6fc7.*
+ 1fbe2: 0d6a.*
+ 1fbe3: 6fcf.*
+ 1fbe4: 0d6a.*
+ 1fbe5: 6fd7.*
+ 1fbe6: 0d6a.*
+ 1fbe7: 6fdf.*
+ 1fbe8: 0d6a.*
+ 1fbe9: 6fe7.*
+ 1fbea: 3eb4.*
+ 1fbeb: 0d6a.*
+ 1fbec: 6fef.*
+ 1fbed: 3eb5.*
+ 1fbee: 0d6a.*
+ 1fbef: 6ff7.*
+ 1fbf0: 3eb6.*
+ 1fbf1: 0d6a.*
+ 1fbf2: 6ff8.*
+ 1fbf3: 3eb7.*
+ 1fbf4: 0d6a.*
+ 1fbf5: 6f80.*
+ 1fbf6: 0d6b.*
+ 1fbf7: 6f88.*
+ 1fbf8: 0d6b.*
+ 1fbf9: 6f90.*
+ 1fbfa: 0d6b.*
+ 1fbfb: 6f98.*
+ 1fbfc: 0d6b.*
+ 1fbfd: 6fa0.*
+ 1fbfe: 0d6b.*
+ 1fbff: 6fa8.*
+ 1fc00: 0d6b.*
+ 1fc01: 6fb0.*
+ 1fc02: 0d6b.*
+ 1fc03: 6fb8.*
+ 1fc04: 0d6b.*
+ 1fc05: 6fc0.*
+ 1fc06: 0d6b.*
+ 1fc07: 6fc8.*
+ 1fc08: 0d6b.*
+ 1fc09: 6fd0.*
+ 1fc0a: 0d6b.*
+ 1fc0b: 6fd8.*
+ 1fc0c: 0d6b.*
+ 1fc0d: 6fe0.*
+ 1fc0e: 3eb8.*
+ 1fc0f: 0d6b.*
+ 1fc10: 6fe8.*
+ 1fc11: 3eb9.*
+ 1fc12: 0d6b.*
+ 1fc13: 6ff0.*
+ 1fc14: 3eba.*
+ 1fc15: 0d6b.*
+ 1fc16: 6ff8.*
+ 1fc17: 3ebb.*
+ 1fc18: 0d6b.*
+ 1fc19: 6f81.*
+ 1fc1a: 0d6b.*
+ 1fc1b: 6f89.*
+ 1fc1c: 0d6b.*
+ 1fc1d: 6f91.*
+ 1fc1e: 0d6b.*
+ 1fc1f: 6f99.*
+ 1fc20: 0d6b.*
+ 1fc21: 6fa1.*
+ 1fc22: 0d6b.*
+ 1fc23: 6fa9.*
+ 1fc24: 0d6b.*
+ 1fc25: 6fb1.*
+ 1fc26: 0d6b.*
+ 1fc27: 6fb9.*
+ 1fc28: 0d6b.*
+ 1fc29: 6fc1.*
+ 1fc2a: 0d6b.*
+ 1fc2b: 6fc9.*
+ 1fc2c: 0d6b.*
+ 1fc2d: 6fd1.*
+ 1fc2e: 0d6b.*
+ 1fc2f: 6fd9.*
+ 1fc30: 0d6b.*
+ 1fc31: 6fe1.*
+ 1fc32: 3ebc.*
+ 1fc33: 0d6b.*
+ 1fc34: 6fe9.*
+ 1fc35: 3ebd.*
+ 1fc36: 0d6b.*
+ 1fc37: 6ff1.*
+ 1fc38: 3ebe.*
+ 1fc39: 0d6b.*
+ 1fc3a: 6ff8.*
+ 1fc3b: 3ebf.*
+ 1fc3c: 0d6b.*
+ 1fc3d: 9b0b.*
+ 1fc3e: 9b4b.*
+ 1fc3f: 9b8b.*
+ 1fc40: 6f9a.*
+ 1fc41: 0d6b.*
+ 1fc42: 6fa2.*
+ 1fc43: 0d6b.*
+ 1fc44: 6faa.*
+ 1fc45: 0d6b.*
+ 1fc46: 6fb2.*
+ 1fc47: 0d6b.*
+ 1fc48: 6fba.*
+ 1fc49: 0d6b.*
+ 1fc4a: 6fc2.*
+ 1fc4b: 0d6b.*
+ 1fc4c: 6fca.*
+ 1fc4d: 0d6b.*
+ 1fc4e: 6fd2.*
+ 1fc4f: 0d6b.*
+ 1fc50: 9bcb.*
+ 1fc51: 6fe2.*
+ 1fc52: 3ec0.*
+ 1fc53: 0d6b.*
+ 1fc54: 6fea.*
+ 1fc55: 3ec1.*
+ 1fc56: 0d6b.*
+ 1fc57: 6ff2.*
+ 1fc58: 3ec2.*
+ 1fc59: 0d6b.*
+ 1fc5a: 6ff8.*
+ 1fc5b: 3ec3.*
+ 1fc5c: 0d6b.*
+ 1fc5d: 9b1b.*
+ 1fc5e: 9b5b.*
+ 1fc5f: 9b9b.*
+ 1fc60: 6f9b.*
+ 1fc61: 0d6b.*
+ 1fc62: 6fa3.*
+ 1fc63: 0d6b.*
+ 1fc64: 6fab.*
+ 1fc65: 0d6b.*
+ 1fc66: 6fb3.*
+ 1fc67: 0d6b.*
+ 1fc68: 6fbb.*
+ 1fc69: 0d6b.*
+ 1fc6a: 6fc3.*
+ 1fc6b: 0d6b.*
+ 1fc6c: 6fcb.*
+ 1fc6d: 0d6b.*
+ 1fc6e: 6fd3.*
+ 1fc6f: 0d6b.*
+ 1fc70: 9bdb.*
+ 1fc71: 6fe3.*
+ 1fc72: 3ec4.*
+ 1fc73: 0d6b.*
+ 1fc74: 6feb.*
+ 1fc75: 3ec5.*
+ 1fc76: 0d6b.*
+ 1fc77: 6ff3.*
+ 1fc78: 3ec6.*
+ 1fc79: 0d6b.*
+ 1fc7a: 6ff8.*
+ 1fc7b: 3ec7.*
+ 1fc7c: 0d6b.*
+ 1fc7d: 9b2b.*
+ 1fc7e: 9b6b.*
+ 1fc7f: 9bab.*
+ 1fc80: 6f9c.*
+ 1fc81: 0d6b.*
+ 1fc82: 6fa4.*
+ 1fc83: 0d6b.*
+ 1fc84: 6fac.*
+ 1fc85: 0d6b.*
+ 1fc86: 6fb4.*
+ 1fc87: 0d6b.*
+ 1fc88: 6fbc.*
+ 1fc89: 0d6b.*
+ 1fc8a: 6fc4.*
+ 1fc8b: 0d6b.*
+ 1fc8c: 6fcc.*
+ 1fc8d: 0d6b.*
+ 1fc8e: 6fd4.*
+ 1fc8f: 0d6b.*
+ 1fc90: 9beb.*
+ 1fc91: 6fe4.*
+ 1fc92: 3ec8.*
+ 1fc93: 0d6b.*
+ 1fc94: 6fec.*
+ 1fc95: 3ec9.*
+ 1fc96: 0d6b.*
+ 1fc97: 6ff4.*
+ 1fc98: 3eca.*
+ 1fc99: 0d6b.*
+ 1fc9a: 6ff8.*
+ 1fc9b: 3ecb.*
+ 1fc9c: 0d6b.*
+ 1fc9d: 9b3b.*
+ 1fc9e: 9b7b.*
+ 1fc9f: 9bbb.*
+ 1fca0: 6f9d.*
+ 1fca1: 0d6b.*
+ 1fca2: 6fa5.*
+ 1fca3: 0d6b.*
+ 1fca4: 6fad.*
+ 1fca5: 0d6b.*
+ 1fca6: 6fb5.*
+ 1fca7: 0d6b.*
+ 1fca8: 6fbd.*
+ 1fca9: 0d6b.*
+ 1fcaa: 6fc5.*
+ 1fcab: 0d6b.*
+ 1fcac: 6fcd.*
+ 1fcad: 0d6b.*
+ 1fcae: 6fd5.*
+ 1fcaf: 0d6b.*
+ 1fcb0: 9bfb.*
+ 1fcb1: 6fe5.*
+ 1fcb2: 3ecc.*
+ 1fcb3: 0d6b.*
+ 1fcb4: 6fed.*
+ 1fcb5: 3ecd.*
+ 1fcb6: 0d6b.*
+ 1fcb7: 6ff5.*
+ 1fcb8: 3ece.*
+ 1fcb9: 0d6b.*
+ 1fcba: 6ff8.*
+ 1fcbb: 3ecf.*
+ 1fcbc: 0d6b.*
+ 1fcbd: 6f86.*
+ 1fcbe: 0d6b.*
+ 1fcbf: 6f8e.*
+ 1fcc0: 0d6b.*
+ 1fcc1: 6f96.*
+ 1fcc2: 0d6b.*
+ 1fcc3: 6f9e.*
+ 1fcc4: 0d6b.*
+ 1fcc5: 6fa6.*
+ 1fcc6: 0d6b.*
+ 1fcc7: 6fae.*
+ 1fcc8: 0d6b.*
+ 1fcc9: 6fb6.*
+ 1fcca: 0d6b.*
+ 1fccb: 6fbe.*
+ 1fccc: 0d6b.*
+ 1fccd: 6fc6.*
+ 1fcce: 0d6b.*
+ 1fccf: 6fce.*
+ 1fcd0: 0d6b.*
+ 1fcd1: 6fd6.*
+ 1fcd2: 0d6b.*
+ 1fcd3: 6fde.*
+ 1fcd4: 0d6b.*
+ 1fcd5: 6fe6.*
+ 1fcd6: 3ed0.*
+ 1fcd7: 0d6b.*
+ 1fcd8: 6fee.*
+ 1fcd9: 3ed1.*
+ 1fcda: 0d6b.*
+ 1fcdb: 6ff6.*
+ 1fcdc: 3ed2.*
+ 1fcdd: 0d6b.*
+ 1fcde: 6ff8.*
+ 1fcdf: 3ed3.*
+ 1fce0: 0d6b.*
+ 1fce1: 6f87.*
+ 1fce2: 0d6b.*
+ 1fce3: 6f8f.*
+ 1fce4: 0d6b.*
+ 1fce5: 6f97.*
+ 1fce6: 0d6b.*
+ 1fce7: 6f9f.*
+ 1fce8: 0d6b.*
+ 1fce9: 6fa7.*
+ 1fcea: 0d6b.*
+ 1fceb: 6faf.*
+ 1fcec: 0d6b.*
+ 1fced: 6fb7.*
+ 1fcee: 0d6b.*
+ 1fcef: 6fbf.*
+ 1fcf0: 0d6b.*
+ 1fcf1: 6fc7.*
+ 1fcf2: 0d6b.*
+ 1fcf3: 6fcf.*
+ 1fcf4: 0d6b.*
+ 1fcf5: 6fd7.*
+ 1fcf6: 0d6b.*
+ 1fcf7: 6fdf.*
+ 1fcf8: 0d6b.*
+ 1fcf9: 6fe7.*
+ 1fcfa: 3ed4.*
+ 1fcfb: 0d6b.*
+ 1fcfc: 6fef.*
+ 1fcfd: 3ed5.*
+ 1fcfe: 0d6b.*
+ 1fcff: 6ff7.*
+ 1fd00: 3ed6.*
+ 1fd01: 0d6b.*
+ 1fd02: 6ff8.*
+ 1fd03: 3ed7.*
+ 1fd04: 0d6b.*
+ 1fd05: 6f80.*
+ 1fd06: 0d6c.*
+ 1fd07: 6f88.*
+ 1fd08: 0d6c.*
+ 1fd09: 6f90.*
+ 1fd0a: 0d6c.*
+ 1fd0b: 6f98.*
+ 1fd0c: 0d6c.*
+ 1fd0d: 6fa0.*
+ 1fd0e: 0d6c.*
+ 1fd0f: 6fa8.*
+ 1fd10: 0d6c.*
+ 1fd11: 6fb0.*
+ 1fd12: 0d6c.*
+ 1fd13: 6fb8.*
+ 1fd14: 0d6c.*
+ 1fd15: 6fc0.*
+ 1fd16: 0d6c.*
+ 1fd17: 6fc8.*
+ 1fd18: 0d6c.*
+ 1fd19: 6fd0.*
+ 1fd1a: 0d6c.*
+ 1fd1b: 6fd8.*
+ 1fd1c: 0d6c.*
+ 1fd1d: 6fe0.*
+ 1fd1e: 3ed8.*
+ 1fd1f: 0d6c.*
+ 1fd20: 6fe8.*
+ 1fd21: 3ed9.*
+ 1fd22: 0d6c.*
+ 1fd23: 6ff0.*
+ 1fd24: 3eda.*
+ 1fd25: 0d6c.*
+ 1fd26: 6ff8.*
+ 1fd27: 3edb.*
+ 1fd28: 0d6c.*
+ 1fd29: 6f81.*
+ 1fd2a: 0d6c.*
+ 1fd2b: 6f89.*
+ 1fd2c: 0d6c.*
+ 1fd2d: 6f91.*
+ 1fd2e: 0d6c.*
+ 1fd2f: 6f99.*
+ 1fd30: 0d6c.*
+ 1fd31: 6fa1.*
+ 1fd32: 0d6c.*
+ 1fd33: 6fa9.*
+ 1fd34: 0d6c.*
+ 1fd35: 6fb1.*
+ 1fd36: 0d6c.*
+ 1fd37: 6fb9.*
+ 1fd38: 0d6c.*
+ 1fd39: 6fc1.*
+ 1fd3a: 0d6c.*
+ 1fd3b: 6fc9.*
+ 1fd3c: 0d6c.*
+ 1fd3d: 6fd1.*
+ 1fd3e: 0d6c.*
+ 1fd3f: 6fd9.*
+ 1fd40: 0d6c.*
+ 1fd41: 6fe1.*
+ 1fd42: 3edc.*
+ 1fd43: 0d6c.*
+ 1fd44: 6fe9.*
+ 1fd45: 3edd.*
+ 1fd46: 0d6c.*
+ 1fd47: 6ff1.*
+ 1fd48: 3ede.*
+ 1fd49: 0d6c.*
+ 1fd4a: 6ff8.*
+ 1fd4b: 3edf.*
+ 1fd4c: 0d6c.*
+ 1fd4d: 9b0c.*
+ 1fd4e: 9b4c.*
+ 1fd4f: 9b8c.*
+ 1fd50: 6f9a.*
+ 1fd51: 0d6c.*
+ 1fd52: 6fa2.*
+ 1fd53: 0d6c.*
+ 1fd54: 6faa.*
+ 1fd55: 0d6c.*
+ 1fd56: 6fb2.*
+ 1fd57: 0d6c.*
+ 1fd58: 6fba.*
+ 1fd59: 0d6c.*
+ 1fd5a: 6fc2.*
+ 1fd5b: 0d6c.*
+ 1fd5c: 6fca.*
+ 1fd5d: 0d6c.*
+ 1fd5e: 6fd2.*
+ 1fd5f: 0d6c.*
+ 1fd60: 9bcc.*
+ 1fd61: 6fe2.*
+ 1fd62: 3ee0.*
+ 1fd63: 0d6c.*
+ 1fd64: 6fea.*
+ 1fd65: 3ee1.*
+ 1fd66: 0d6c.*
+ 1fd67: 6ff2.*
+ 1fd68: 3ee2.*
+ 1fd69: 0d6c.*
+ 1fd6a: 6ff8.*
+ 1fd6b: 3ee3.*
+ 1fd6c: 0d6c.*
+ 1fd6d: 9b1c.*
+ 1fd6e: 9b5c.*
+ 1fd6f: 9b9c.*
+ 1fd70: 6f9b.*
+ 1fd71: 0d6c.*
+ 1fd72: 6fa3.*
+ 1fd73: 0d6c.*
+ 1fd74: 6fab.*
+ 1fd75: 0d6c.*
+ 1fd76: 6fb3.*
+ 1fd77: 0d6c.*
+ 1fd78: 6fbb.*
+ 1fd79: 0d6c.*
+ 1fd7a: 6fc3.*
+ 1fd7b: 0d6c.*
+ 1fd7c: 6fcb.*
+ 1fd7d: 0d6c.*
+ 1fd7e: 6fd3.*
+ 1fd7f: 0d6c.*
+ 1fd80: 9bdc.*
+ 1fd81: 6fe3.*
+ 1fd82: 3ee4.*
+ 1fd83: 0d6c.*
+ 1fd84: 6feb.*
+ 1fd85: 3ee5.*
+ 1fd86: 0d6c.*
+ 1fd87: 6ff3.*
+ 1fd88: 3ee6.*
+ 1fd89: 0d6c.*
+ 1fd8a: 6ff8.*
+ 1fd8b: 3ee7.*
+ 1fd8c: 0d6c.*
+ 1fd8d: 9b2c.*
+ 1fd8e: 9b6c.*
+ 1fd8f: 9bac.*
+ 1fd90: 6f9c.*
+ 1fd91: 0d6c.*
+ 1fd92: 6fa4.*
+ 1fd93: 0d6c.*
+ 1fd94: 6fac.*
+ 1fd95: 0d6c.*
+ 1fd96: 6fb4.*
+ 1fd97: 0d6c.*
+ 1fd98: 6fbc.*
+ 1fd99: 0d6c.*
+ 1fd9a: 6fc4.*
+ 1fd9b: 0d6c.*
+ 1fd9c: 6fcc.*
+ 1fd9d: 0d6c.*
+ 1fd9e: 6fd4.*
+ 1fd9f: 0d6c.*
+ 1fda0: 9bec.*
+ 1fda1: 6fe4.*
+ 1fda2: 3ee8.*
+ 1fda3: 0d6c.*
+ 1fda4: 6fec.*
+ 1fda5: 3ee9.*
+ 1fda6: 0d6c.*
+ 1fda7: 6ff4.*
+ 1fda8: 3eea.*
+ 1fda9: 0d6c.*
+ 1fdaa: 6ff8.*
+ 1fdab: 3eeb.*
+ 1fdac: 0d6c.*
+ 1fdad: 9b3c.*
+ 1fdae: 9b7c.*
+ 1fdaf: 9bbc.*
+ 1fdb0: 6f9d.*
+ 1fdb1: 0d6c.*
+ 1fdb2: 6fa5.*
+ 1fdb3: 0d6c.*
+ 1fdb4: 6fad.*
+ 1fdb5: 0d6c.*
+ 1fdb6: 6fb5.*
+ 1fdb7: 0d6c.*
+ 1fdb8: 6fbd.*
+ 1fdb9: 0d6c.*
+ 1fdba: 6fc5.*
+ 1fdbb: 0d6c.*
+ 1fdbc: 6fcd.*
+ 1fdbd: 0d6c.*
+ 1fdbe: 6fd5.*
+ 1fdbf: 0d6c.*
+ 1fdc0: 9bfc.*
+ 1fdc1: 6fe5.*
+ 1fdc2: 3eec.*
+ 1fdc3: 0d6c.*
+ 1fdc4: 6fed.*
+ 1fdc5: 3eed.*
+ 1fdc6: 0d6c.*
+ 1fdc7: 6ff5.*
+ 1fdc8: 3eee.*
+ 1fdc9: 0d6c.*
+ 1fdca: 6ff8.*
+ 1fdcb: 3eef.*
+ 1fdcc: 0d6c.*
+ 1fdcd: 6f86.*
+ 1fdce: 0d6c.*
+ 1fdcf: 6f8e.*
+ 1fdd0: 0d6c.*
+ 1fdd1: 6f96.*
+ 1fdd2: 0d6c.*
+ 1fdd3: 6f9e.*
+ 1fdd4: 0d6c.*
+ 1fdd5: 6fa6.*
+ 1fdd6: 0d6c.*
+ 1fdd7: 6fae.*
+ 1fdd8: 0d6c.*
+ 1fdd9: 6fb6.*
+ 1fdda: 0d6c.*
+ 1fddb: 6fbe.*
+ 1fddc: 0d6c.*
+ 1fddd: 6fc6.*
+ 1fdde: 0d6c.*
+ 1fddf: 6fce.*
+ 1fde0: 0d6c.*
+ 1fde1: 6fd6.*
+ 1fde2: 0d6c.*
+ 1fde3: 6fde.*
+ 1fde4: 0d6c.*
+ 1fde5: 6fe6.*
+ 1fde6: 3ef0.*
+ 1fde7: 0d6c.*
+ 1fde8: 6fee.*
+ 1fde9: 3ef1.*
+ 1fdea: 0d6c.*
+ 1fdeb: 6ff6.*
+ 1fdec: 3ef2.*
+ 1fded: 0d6c.*
+ 1fdee: 6ff8.*
+ 1fdef: 3ef3.*
+ 1fdf0: 0d6c.*
+ 1fdf1: 6f87.*
+ 1fdf2: 0d6c.*
+ 1fdf3: 6f8f.*
+ 1fdf4: 0d6c.*
+ 1fdf5: 6f97.*
+ 1fdf6: 0d6c.*
+ 1fdf7: 6f9f.*
+ 1fdf8: 0d6c.*
+ 1fdf9: 6fa7.*
+ 1fdfa: 0d6c.*
+ 1fdfb: 6faf.*
+ 1fdfc: 0d6c.*
+ 1fdfd: 6fb7.*
+ 1fdfe: 0d6c.*
+ 1fdff: 6fbf.*
+ 1fe00: 0d6c.*
+ 1fe01: 6fc7.*
+ 1fe02: 0d6c.*
+ 1fe03: 6fcf.*
+ 1fe04: 0d6c.*
+ 1fe05: 6fd7.*
+ 1fe06: 0d6c.*
+ 1fe07: 6fdf.*
+ 1fe08: 0d6c.*
+ 1fe09: 6fe7.*
+ 1fe0a: 3ef4.*
+ 1fe0b: 0d6c.*
+ 1fe0c: 6fef.*
+ 1fe0d: 3ef5.*
+ 1fe0e: 0d6c.*
+ 1fe0f: 6ff7.*
+ 1fe10: 3ef6.*
+ 1fe11: 0d6c.*
+ 1fe12: 6ff8.*
+ 1fe13: 3ef7.*
+ 1fe14: 0d6c.*
+ 1fe15: 6f80.*
+ 1fe16: 0d6d.*
+ 1fe17: 6f88.*
+ 1fe18: 0d6d.*
+ 1fe19: 6f90.*
+ 1fe1a: 0d6d.*
+ 1fe1b: 6f98.*
+ 1fe1c: 0d6d.*
+ 1fe1d: 6fa0.*
+ 1fe1e: 0d6d.*
+ 1fe1f: 6fa8.*
+ 1fe20: 0d6d.*
+ 1fe21: 6fb0.*
+ 1fe22: 0d6d.*
+ 1fe23: 6fb8.*
+ 1fe24: 0d6d.*
+ 1fe25: 6fc0.*
+ 1fe26: 0d6d.*
+ 1fe27: 6fc8.*
+ 1fe28: 0d6d.*
+ 1fe29: 6fd0.*
+ 1fe2a: 0d6d.*
+ 1fe2b: 6fd8.*
+ 1fe2c: 0d6d.*
+ 1fe2d: 6fe0.*
+ 1fe2e: 3ef8.*
+ 1fe2f: 0d6d.*
+ 1fe30: 6fe8.*
+ 1fe31: 3ef9.*
+ 1fe32: 0d6d.*
+ 1fe33: 6ff0.*
+ 1fe34: 3efa.*
+ 1fe35: 0d6d.*
+ 1fe36: 6ff8.*
+ 1fe37: 3efb.*
+ 1fe38: 0d6d.*
+ 1fe39: 6f81.*
+ 1fe3a: 0d6d.*
+ 1fe3b: 6f89.*
+ 1fe3c: 0d6d.*
+ 1fe3d: 6f91.*
+ 1fe3e: 0d6d.*
+ 1fe3f: 6f99.*
+ 1fe40: 0d6d.*
+ 1fe41: 6fa1.*
+ 1fe42: 0d6d.*
+ 1fe43: 6fa9.*
+ 1fe44: 0d6d.*
+ 1fe45: 6fb1.*
+ 1fe46: 0d6d.*
+ 1fe47: 6fb9.*
+ 1fe48: 0d6d.*
+ 1fe49: 6fc1.*
+ 1fe4a: 0d6d.*
+ 1fe4b: 6fc9.*
+ 1fe4c: 0d6d.*
+ 1fe4d: 6fd1.*
+ 1fe4e: 0d6d.*
+ 1fe4f: 6fd9.*
+ 1fe50: 0d6d.*
+ 1fe51: 6fe1.*
+ 1fe52: 3efc.*
+ 1fe53: 0d6d.*
+ 1fe54: 6fe9.*
+ 1fe55: 3efd.*
+ 1fe56: 0d6d.*
+ 1fe57: 6ff1.*
+ 1fe58: 3efe.*
+ 1fe59: 0d6d.*
+ 1fe5a: 6ff8.*
+ 1fe5b: 3eff.*
+ 1fe5c: 0d6d.*
+ 1fe5d: 9b0d.*
+ 1fe5e: 9b4d.*
+ 1fe5f: 9b8d.*
+ 1fe60: 6f9a.*
+ 1fe61: 0d6d.*
+ 1fe62: 6fa2.*
+ 1fe63: 0d6d.*
+ 1fe64: 6faa.*
+ 1fe65: 0d6d.*
+ 1fe66: 6fb2.*
+ 1fe67: 0d6d.*
+ 1fe68: 6fba.*
+ 1fe69: 0d6d.*
+ 1fe6a: 6fc2.*
+ 1fe6b: 0d6d.*
+ 1fe6c: 6fca.*
+ 1fe6d: 0d6d.*
+ 1fe6e: 6fd2.*
+ 1fe6f: 0d6d.*
+ 1fe70: 9bcd.*
+ 1fe71: 6fe2.*
+ 1fe72: 3f00.*
+ 1fe73: 0d6d.*
+ 1fe74: 6fea.*
+ 1fe75: 3f01.*
+ 1fe76: 0d6d.*
+ 1fe77: 6ff2.*
+ 1fe78: 3f02.*
+ 1fe79: 0d6d.*
+ 1fe7a: 6ff8.*
+ 1fe7b: 3f03.*
+ 1fe7c: 0d6d.*
+ 1fe7d: 9b1d.*
+ 1fe7e: 9b5d.*
+ 1fe7f: 9b9d.*
+ 1fe80: 6f9b.*
+ 1fe81: 0d6d.*
+ 1fe82: 6fa3.*
+ 1fe83: 0d6d.*
+ 1fe84: 6fab.*
+ 1fe85: 0d6d.*
+ 1fe86: 6fb3.*
+ 1fe87: 0d6d.*
+ 1fe88: 6fbb.*
+ 1fe89: 0d6d.*
+ 1fe8a: 6fc3.*
+ 1fe8b: 0d6d.*
+ 1fe8c: 6fcb.*
+ 1fe8d: 0d6d.*
+ 1fe8e: 6fd3.*
+ 1fe8f: 0d6d.*
+ 1fe90: 9bdd.*
+ 1fe91: 6fe3.*
+ 1fe92: 3f04.*
+ 1fe93: 0d6d.*
+ 1fe94: 6feb.*
+ 1fe95: 3f05.*
+ 1fe96: 0d6d.*
+ 1fe97: 6ff3.*
+ 1fe98: 3f06.*
+ 1fe99: 0d6d.*
+ 1fe9a: 6ff8.*
+ 1fe9b: 3f07.*
+ 1fe9c: 0d6d.*
+ 1fe9d: 9b2d.*
+ 1fe9e: 9b6d.*
+ 1fe9f: 9bad.*
+ 1fea0: 6f9c.*
+ 1fea1: 0d6d.*
+ 1fea2: 6fa4.*
+ 1fea3: 0d6d.*
+ 1fea4: 6fac.*
+ 1fea5: 0d6d.*
+ 1fea6: 6fb4.*
+ 1fea7: 0d6d.*
+ 1fea8: 6fbc.*
+ 1fea9: 0d6d.*
+ 1feaa: 6fc4.*
+ 1feab: 0d6d.*
+ 1feac: 6fcc.*
+ 1fead: 0d6d.*
+ 1feae: 6fd4.*
+ 1feaf: 0d6d.*
+ 1feb0: 9bed.*
+ 1feb1: 6fe4.*
+ 1feb2: 3f08.*
+ 1feb3: 0d6d.*
+ 1feb4: 6fec.*
+ 1feb5: 3f09.*
+ 1feb6: 0d6d.*
+ 1feb7: 6ff4.*
+ 1feb8: 3f0a.*
+ 1feb9: 0d6d.*
+ 1feba: 6ff8.*
+ 1febb: 3f0b.*
+ 1febc: 0d6d.*
+ 1febd: 9b3d.*
+ 1febe: 9b7d.*
+ 1febf: 9bbd.*
+ 1fec0: 6f9d.*
+ 1fec1: 0d6d.*
+ 1fec2: 6fa5.*
+ 1fec3: 0d6d.*
+ 1fec4: 6fad.*
+ 1fec5: 0d6d.*
+ 1fec6: 6fb5.*
+ 1fec7: 0d6d.*
+ 1fec8: 6fbd.*
+ 1fec9: 0d6d.*
+ 1feca: 6fc5.*
+ 1fecb: 0d6d.*
+ 1fecc: 6fcd.*
+ 1fecd: 0d6d.*
+ 1fece: 6fd5.*
+ 1fecf: 0d6d.*
+ 1fed0: 9bfd.*
+ 1fed1: 6fe5.*
+ 1fed2: 3f0c.*
+ 1fed3: 0d6d.*
+ 1fed4: 6fed.*
+ 1fed5: 3f0d.*
+ 1fed6: 0d6d.*
+ 1fed7: 6ff5.*
+ 1fed8: 3f0e.*
+ 1fed9: 0d6d.*
+ 1feda: 6ff8.*
+ 1fedb: 3f0f.*
+ 1fedc: 0d6d.*
+ 1fedd: 6f86.*
+ 1fede: 0d6d.*
+ 1fedf: 6f8e.*
+ 1fee0: 0d6d.*
+ 1fee1: 6f96.*
+ 1fee2: 0d6d.*
+ 1fee3: 6f9e.*
+ 1fee4: 0d6d.*
+ 1fee5: 6fa6.*
+ 1fee6: 0d6d.*
+ 1fee7: 6fae.*
+ 1fee8: 0d6d.*
+ 1fee9: 6fb6.*
+ 1feea: 0d6d.*
+ 1feeb: 6fbe.*
+ 1feec: 0d6d.*
+ 1feed: 6fc6.*
+ 1feee: 0d6d.*
+ 1feef: 6fce.*
+ 1fef0: 0d6d.*
+ 1fef1: 6fd6.*
+ 1fef2: 0d6d.*
+ 1fef3: 6fde.*
+ 1fef4: 0d6d.*
+ 1fef5: 6fe6.*
+ 1fef6: 3f10.*
+ 1fef7: 0d6d.*
+ 1fef8: 6fee.*
+ 1fef9: 3f11.*
+ 1fefa: 0d6d.*
+ 1fefb: 6ff6.*
+ 1fefc: 3f12.*
+ 1fefd: 0d6d.*
+ 1fefe: 6ff8.*
+ 1feff: 3f13.*
+ 1ff00: 0d6d.*
+ 1ff01: 6f87.*
+ 1ff02: 0d6d.*
+ 1ff03: 6f8f.*
+ 1ff04: 0d6d.*
+ 1ff05: 6f97.*
+ 1ff06: 0d6d.*
+ 1ff07: 6f9f.*
+ 1ff08: 0d6d.*
+ 1ff09: 6fa7.*
+ 1ff0a: 0d6d.*
+ 1ff0b: 6faf.*
+ 1ff0c: 0d6d.*
+ 1ff0d: 6fb7.*
+ 1ff0e: 0d6d.*
+ 1ff0f: 6fbf.*
+ 1ff10: 0d6d.*
+ 1ff11: 6fc7.*
+ 1ff12: 0d6d.*
+ 1ff13: 6fcf.*
+ 1ff14: 0d6d.*
+ 1ff15: 6fd7.*
+ 1ff16: 0d6d.*
+ 1ff17: 6fdf.*
+ 1ff18: 0d6d.*
+ 1ff19: 6fe7.*
+ 1ff1a: 3f14.*
+ 1ff1b: 0d6d.*
+ 1ff1c: 6fef.*
+ 1ff1d: 3f15.*
+ 1ff1e: 0d6d.*
+ 1ff1f: 6ff7.*
+ 1ff20: 3f16.*
+ 1ff21: 0d6d.*
+ 1ff22: 6ff8.*
+ 1ff23: 3f17.*
+ 1ff24: 0d6d.*
+ 1ff25: 6f80.*
+ 1ff26: 0d6e.*
+ 1ff27: 6f88.*
+ 1ff28: 0d6e.*
+ 1ff29: 6f90.*
+ 1ff2a: 0d6e.*
+ 1ff2b: 6f98.*
+ 1ff2c: 0d6e.*
+ 1ff2d: 6fa0.*
+ 1ff2e: 0d6e.*
+ 1ff2f: 6fa8.*
+ 1ff30: 0d6e.*
+ 1ff31: 6fb0.*
+ 1ff32: 0d6e.*
+ 1ff33: 6fb8.*
+ 1ff34: 0d6e.*
+ 1ff35: 6fc0.*
+ 1ff36: 0d6e.*
+ 1ff37: 6fc8.*
+ 1ff38: 0d6e.*
+ 1ff39: 6fd0.*
+ 1ff3a: 0d6e.*
+ 1ff3b: 6fd8.*
+ 1ff3c: 0d6e.*
+ 1ff3d: 6fe0.*
+ 1ff3e: 3f18.*
+ 1ff3f: 0d6e.*
+ 1ff40: 6fe8.*
+ 1ff41: 3f19.*
+ 1ff42: 0d6e.*
+ 1ff43: 6ff0.*
+ 1ff44: 3f1a.*
+ 1ff45: 0d6e.*
+ 1ff46: 6ff8.*
+ 1ff47: 3f1b.*
+ 1ff48: 0d6e.*
+ 1ff49: 6f81.*
+ 1ff4a: 0d6e.*
+ 1ff4b: 6f89.*
+ 1ff4c: 0d6e.*
+ 1ff4d: 6f91.*
+ 1ff4e: 0d6e.*
+ 1ff4f: 6f99.*
+ 1ff50: 0d6e.*
+ 1ff51: 6fa1.*
+ 1ff52: 0d6e.*
+ 1ff53: 6fa9.*
+ 1ff54: 0d6e.*
+ 1ff55: 6fb1.*
+ 1ff56: 0d6e.*
+ 1ff57: 6fb9.*
+ 1ff58: 0d6e.*
+ 1ff59: 6fc1.*
+ 1ff5a: 0d6e.*
+ 1ff5b: 6fc9.*
+ 1ff5c: 0d6e.*
+ 1ff5d: 6fd1.*
+ 1ff5e: 0d6e.*
+ 1ff5f: 6fd9.*
+ 1ff60: 0d6e.*
+ 1ff61: 6fe1.*
+ 1ff62: 3f1c.*
+ 1ff63: 0d6e.*
+ 1ff64: 6fe9.*
+ 1ff65: 3f1d.*
+ 1ff66: 0d6e.*
+ 1ff67: 6ff1.*
+ 1ff68: 3f1e.*
+ 1ff69: 0d6e.*
+ 1ff6a: 6ff8.*
+ 1ff6b: 3f1f.*
+ 1ff6c: 0d6e.*
+ 1ff6d: 9b0e.*
+ 1ff6e: 9b4e.*
+ 1ff6f: 9b8e.*
+ 1ff70: 6f9a.*
+ 1ff71: 0d6e.*
+ 1ff72: 6fa2.*
+ 1ff73: 0d6e.*
+ 1ff74: 6faa.*
+ 1ff75: 0d6e.*
+ 1ff76: 6fb2.*
+ 1ff77: 0d6e.*
+ 1ff78: 6fba.*
+ 1ff79: 0d6e.*
+ 1ff7a: 6fc2.*
+ 1ff7b: 0d6e.*
+ 1ff7c: 6fca.*
+ 1ff7d: 0d6e.*
+ 1ff7e: 6fd2.*
+ 1ff7f: 0d6e.*
+ 1ff80: 9bce.*
+ 1ff81: 6fe2.*
+ 1ff82: 3f20.*
+ 1ff83: 0d6e.*
+ 1ff84: 6fea.*
+ 1ff85: 3f21.*
+ 1ff86: 0d6e.*
+ 1ff87: 6ff2.*
+ 1ff88: 3f22.*
+ 1ff89: 0d6e.*
+ 1ff8a: 6ff8.*
+ 1ff8b: 3f23.*
+ 1ff8c: 0d6e.*
+ 1ff8d: 9b1e.*
+ 1ff8e: 9b5e.*
+ 1ff8f: 9b9e.*
+ 1ff90: 6f9b.*
+ 1ff91: 0d6e.*
+ 1ff92: 6fa3.*
+ 1ff93: 0d6e.*
+ 1ff94: 6fab.*
+ 1ff95: 0d6e.*
+ 1ff96: 6fb3.*
+ 1ff97: 0d6e.*
+ 1ff98: 6fbb.*
+ 1ff99: 0d6e.*
+ 1ff9a: 6fc3.*
+ 1ff9b: 0d6e.*
+ 1ff9c: 6fcb.*
+ 1ff9d: 0d6e.*
+ 1ff9e: 6fd3.*
+ 1ff9f: 0d6e.*
+ 1ffa0: 9bde.*
+ 1ffa1: 6fe3.*
+ 1ffa2: 3f24.*
+ 1ffa3: 0d6e.*
+ 1ffa4: 6feb.*
+ 1ffa5: 3f25.*
+ 1ffa6: 0d6e.*
+ 1ffa7: 6ff3.*
+ 1ffa8: 3f26.*
+ 1ffa9: 0d6e.*
+ 1ffaa: 6ff8.*
+ 1ffab: 3f27.*
+ 1ffac: 0d6e.*
+ 1ffad: 9b2e.*
+ 1ffae: 9b6e.*
+ 1ffaf: 9bae.*
+ 1ffb0: 6f9c.*
+ 1ffb1: 0d6e.*
+ 1ffb2: 6fa4.*
+ 1ffb3: 0d6e.*
+ 1ffb4: 6fac.*
+ 1ffb5: 0d6e.*
+ 1ffb6: 6fb4.*
+ 1ffb7: 0d6e.*
+ 1ffb8: 6fbc.*
+ 1ffb9: 0d6e.*
+ 1ffba: 6fc4.*
+ 1ffbb: 0d6e.*
+ 1ffbc: 6fcc.*
+ 1ffbd: 0d6e.*
+ 1ffbe: 6fd4.*
+ 1ffbf: 0d6e.*
+ 1ffc0: 9bee.*
+ 1ffc1: 6fe4.*
+ 1ffc2: 3f28.*
+ 1ffc3: 0d6e.*
+ 1ffc4: 6fec.*
+ 1ffc5: 3f29.*
+ 1ffc6: 0d6e.*
+ 1ffc7: 6ff4.*
+ 1ffc8: 3f2a.*
+ 1ffc9: 0d6e.*
+ 1ffca: 6ff8.*
+ 1ffcb: 3f2b.*
+ 1ffcc: 0d6e.*
+ 1ffcd: 9b3e.*
+ 1ffce: 9b7e.*
+ 1ffcf: 9bbe.*
+ 1ffd0: 6f9d.*
+ 1ffd1: 0d6e.*
+ 1ffd2: 6fa5.*
+ 1ffd3: 0d6e.*
+ 1ffd4: 6fad.*
+ 1ffd5: 0d6e.*
+ 1ffd6: 6fb5.*
+ 1ffd7: 0d6e.*
+ 1ffd8: 6fbd.*
+ 1ffd9: 0d6e.*
+ 1ffda: 6fc5.*
+ 1ffdb: 0d6e.*
+ 1ffdc: 6fcd.*
+ 1ffdd: 0d6e.*
+ 1ffde: 6fd5.*
+ 1ffdf: 0d6e.*
+ 1ffe0: 9bfe.*
+ 1ffe1: 6fe5.*
+ 1ffe2: 3f2c.*
+ 1ffe3: 0d6e.*
+ 1ffe4: 6fed.*
+ 1ffe5: 3f2d.*
+ 1ffe6: 0d6e.*
+ 1ffe7: 6ff5.*
+ 1ffe8: 3f2e.*
+ 1ffe9: 0d6e.*
+ 1ffea: 6ff8.*
+ 1ffeb: 3f2f.*
+ 1ffec: 0d6e.*
+ 1ffed: 6f86.*
+ 1ffee: 0d6e.*
+ 1ffef: 6f8e.*
+ 1fff0: 0d6e.*
+ 1fff1: 6f96.*
+ 1fff2: 0d6e.*
+ 1fff3: 6f9e.*
+ 1fff4: 0d6e.*
+ 1fff5: 6fa6.*
+ 1fff6: 0d6e.*
+ 1fff7: 6fae.*
+ 1fff8: 0d6e.*
+ 1fff9: 6fb6.*
+ 1fffa: 0d6e.*
+ 1fffb: 6fbe.*
+ 1fffc: 0d6e.*
+ 1fffd: 6fc6.*
+ 1fffe: 0d6e.*
+ 1ffff: 6fce.*
+ 20000: 0d6e.*
+ 20001: 6fd6.*
+ 20002: 0d6e.*
+ 20003: 6fde.*
+ 20004: 0d6e.*
+ 20005: 6fe6.*
+ 20006: 3f30.*
+ 20007: 0d6e.*
+ 20008: 6fee.*
+ 20009: 3f31.*
+ 2000a: 0d6e.*
+ 2000b: 6ff6.*
+ 2000c: 3f32.*
+ 2000d: 0d6e.*
+ 2000e: 6ff8.*
+ 2000f: 3f33.*
+ 20010: 0d6e.*
+ 20011: 6f87.*
+ 20012: 0d6e.*
+ 20013: 6f8f.*
+ 20014: 0d6e.*
+ 20015: 6f97.*
+ 20016: 0d6e.*
+ 20017: 6f9f.*
+ 20018: 0d6e.*
+ 20019: 6fa7.*
+ 2001a: 0d6e.*
+ 2001b: 6faf.*
+ 2001c: 0d6e.*
+ 2001d: 6fb7.*
+ 2001e: 0d6e.*
+ 2001f: 6fbf.*
+ 20020: 0d6e.*
+ 20021: 6fc7.*
+ 20022: 0d6e.*
+ 20023: 6fcf.*
+ 20024: 0d6e.*
+ 20025: 6fd7.*
+ 20026: 0d6e.*
+ 20027: 6fdf.*
+ 20028: 0d6e.*
+ 20029: 6fe7.*
+ 2002a: 3f34.*
+ 2002b: 0d6e.*
+ 2002c: 6fef.*
+ 2002d: 3f35.*
+ 2002e: 0d6e.*
+ 2002f: 6ff7.*
+ 20030: 3f36.*
+ 20031: 0d6e.*
+ 20032: 6ff8.*
+ 20033: 3f37.*
+ 20034: 0d6e.*
+ 20035: 6f80.*
+ 20036: 0d6f.*
+ 20037: 6f88.*
+ 20038: 0d6f.*
+ 20039: 6f90.*
+ 2003a: 0d6f.*
+ 2003b: 6f98.*
+ 2003c: 0d6f.*
+ 2003d: 6fa0.*
+ 2003e: 0d6f.*
+ 2003f: 6fa8.*
+ 20040: 0d6f.*
+ 20041: 6fb0.*
+ 20042: 0d6f.*
+ 20043: 6fb8.*
+ 20044: 0d6f.*
+ 20045: 6fc0.*
+ 20046: 0d6f.*
+ 20047: 6fc8.*
+ 20048: 0d6f.*
+ 20049: 6fd0.*
+ 2004a: 0d6f.*
+ 2004b: 6fd8.*
+ 2004c: 0d6f.*
+ 2004d: 6fe0.*
+ 2004e: 3f38.*
+ 2004f: 0d6f.*
+ 20050: 6fe8.*
+ 20051: 3f39.*
+ 20052: 0d6f.*
+ 20053: 6ff0.*
+ 20054: 3f3a.*
+ 20055: 0d6f.*
+ 20056: 6ff8.*
+ 20057: 3f3b.*
+ 20058: 0d6f.*
+ 20059: 6f81.*
+ 2005a: 0d6f.*
+ 2005b: 6f89.*
+ 2005c: 0d6f.*
+ 2005d: 6f91.*
+ 2005e: 0d6f.*
+ 2005f: 6f99.*
+ 20060: 0d6f.*
+ 20061: 6fa1.*
+ 20062: 0d6f.*
+ 20063: 6fa9.*
+ 20064: 0d6f.*
+ 20065: 6fb1.*
+ 20066: 0d6f.*
+ 20067: 6fb9.*
+ 20068: 0d6f.*
+ 20069: 6fc1.*
+ 2006a: 0d6f.*
+ 2006b: 6fc9.*
+ 2006c: 0d6f.*
+ 2006d: 6fd1.*
+ 2006e: 0d6f.*
+ 2006f: 6fd9.*
+ 20070: 0d6f.*
+ 20071: 6fe1.*
+ 20072: 3f3c.*
+ 20073: 0d6f.*
+ 20074: 6fe9.*
+ 20075: 3f3d.*
+ 20076: 0d6f.*
+ 20077: 6ff1.*
+ 20078: 3f3e.*
+ 20079: 0d6f.*
+ 2007a: 6ff8.*
+ 2007b: 3f3f.*
+ 2007c: 0d6f.*
+ 2007d: 9b0f.*
+ 2007e: 9b4f.*
+ 2007f: 9b8f.*
+ 20080: 6f9a.*
+ 20081: 0d6f.*
+ 20082: 6fa2.*
+ 20083: 0d6f.*
+ 20084: 6faa.*
+ 20085: 0d6f.*
+ 20086: 6fb2.*
+ 20087: 0d6f.*
+ 20088: 6fba.*
+ 20089: 0d6f.*
+ 2008a: 6fc2.*
+ 2008b: 0d6f.*
+ 2008c: 6fca.*
+ 2008d: 0d6f.*
+ 2008e: 6fd2.*
+ 2008f: 0d6f.*
+ 20090: 9bcf.*
+ 20091: 6fe2.*
+ 20092: 3f40.*
+ 20093: 0d6f.*
+ 20094: 6fea.*
+ 20095: 3f41.*
+ 20096: 0d6f.*
+ 20097: 6ff2.*
+ 20098: 3f42.*
+ 20099: 0d6f.*
+ 2009a: 6ff8.*
+ 2009b: 3f43.*
+ 2009c: 0d6f.*
+ 2009d: 9b1f.*
+ 2009e: 9b5f.*
+ 2009f: 9b9f.*
+ 200a0: 6f9b.*
+ 200a1: 0d6f.*
+ 200a2: 6fa3.*
+ 200a3: 0d6f.*
+ 200a4: 6fab.*
+ 200a5: 0d6f.*
+ 200a6: 6fb3.*
+ 200a7: 0d6f.*
+ 200a8: 6fbb.*
+ 200a9: 0d6f.*
+ 200aa: 6fc3.*
+ 200ab: 0d6f.*
+ 200ac: 6fcb.*
+ 200ad: 0d6f.*
+ 200ae: 6fd3.*
+ 200af: 0d6f.*
+ 200b0: 9bdf.*
+ 200b1: 6fe3.*
+ 200b2: 3f44.*
+ 200b3: 0d6f.*
+ 200b4: 6feb.*
+ 200b5: 3f45.*
+ 200b6: 0d6f.*
+ 200b7: 6ff3.*
+ 200b8: 3f46.*
+ 200b9: 0d6f.*
+ 200ba: 6ff8.*
+ 200bb: 3f47.*
+ 200bc: 0d6f.*
+ 200bd: 9b2f.*
+ 200be: 9b6f.*
+ 200bf: 9baf.*
+ 200c0: 6f9c.*
+ 200c1: 0d6f.*
+ 200c2: 6fa4.*
+ 200c3: 0d6f.*
+ 200c4: 6fac.*
+ 200c5: 0d6f.*
+ 200c6: 6fb4.*
+ 200c7: 0d6f.*
+ 200c8: 6fbc.*
+ 200c9: 0d6f.*
+ 200ca: 6fc4.*
+ 200cb: 0d6f.*
+ 200cc: 6fcc.*
+ 200cd: 0d6f.*
+ 200ce: 6fd4.*
+ 200cf: 0d6f.*
+ 200d0: 9bef.*
+ 200d1: 6fe4.*
+ 200d2: 3f48.*
+ 200d3: 0d6f.*
+ 200d4: 6fec.*
+ 200d5: 3f49.*
+ 200d6: 0d6f.*
+ 200d7: 6ff4.*
+ 200d8: 3f4a.*
+ 200d9: 0d6f.*
+ 200da: 6ff8.*
+ 200db: 3f4b.*
+ 200dc: 0d6f.*
+ 200dd: 9b3f.*
+ 200de: 9b7f.*
+ 200df: 9bbf.*
+ 200e0: 6f9d.*
+ 200e1: 0d6f.*
+ 200e2: 6fa5.*
+ 200e3: 0d6f.*
+ 200e4: 6fad.*
+ 200e5: 0d6f.*
+ 200e6: 6fb5.*
+ 200e7: 0d6f.*
+ 200e8: 6fbd.*
+ 200e9: 0d6f.*
+ 200ea: 6fc5.*
+ 200eb: 0d6f.*
+ 200ec: 6fcd.*
+ 200ed: 0d6f.*
+ 200ee: 6fd5.*
+ 200ef: 0d6f.*
+ 200f0: 9bff.*
+ 200f1: 6fe5.*
+ 200f2: 3f4c.*
+ 200f3: 0d6f.*
+ 200f4: 6fed.*
+ 200f5: 3f4d.*
+ 200f6: 0d6f.*
+ 200f7: 6ff5.*
+ 200f8: 3f4e.*
+ 200f9: 0d6f.*
+ 200fa: 6ff8.*
+ 200fb: 3f4f.*
+ 200fc: 0d6f.*
+ 200fd: 6f86.*
+ 200fe: 0d6f.*
+ 200ff: 6f8e.*
+ 20100: 0d6f.*
+ 20101: 6f96.*
+ 20102: 0d6f.*
+ 20103: 6f9e.*
+ 20104: 0d6f.*
+ 20105: 6fa6.*
+ 20106: 0d6f.*
+ 20107: 6fae.*
+ 20108: 0d6f.*
+ 20109: 6fb6.*
+ 2010a: 0d6f.*
+ 2010b: 6fbe.*
+ 2010c: 0d6f.*
+ 2010d: 6fc6.*
+ 2010e: 0d6f.*
+ 2010f: 6fce.*
+ 20110: 0d6f.*
+ 20111: 6fd6.*
+ 20112: 0d6f.*
+ 20113: 6fde.*
+ 20114: 0d6f.*
+ 20115: 6fe6.*
+ 20116: 3f50.*
+ 20117: 0d6f.*
+ 20118: 6fee.*
+ 20119: 3f51.*
+ 2011a: 0d6f.*
+ 2011b: 6ff6.*
+ 2011c: 3f52.*
+ 2011d: 0d6f.*
+ 2011e: 6ff8.*
+ 2011f: 3f53.*
+ 20120: 0d6f.*
+ 20121: 6f87.*
+ 20122: 0d6f.*
+ 20123: 6f8f.*
+ 20124: 0d6f.*
+ 20125: 6f97.*
+ 20126: 0d6f.*
+ 20127: 6f9f.*
+ 20128: 0d6f.*
+ 20129: 6fa7.*
+ 2012a: 0d6f.*
+ 2012b: 6faf.*
+ 2012c: 0d6f.*
+ 2012d: 6fb7.*
+ 2012e: 0d6f.*
+ 2012f: 6fbf.*
+ 20130: 0d6f.*
+ 20131: 6fc7.*
+ 20132: 0d6f.*
+ 20133: 6fcf.*
+ 20134: 0d6f.*
+ 20135: 6fd7.*
+ 20136: 0d6f.*
+ 20137: 6fdf.*
+ 20138: 0d6f.*
+ 20139: 6fe7.*
+ 2013a: 3f54.*
+ 2013b: 0d6f.*
+ 2013c: 6fef.*
+ 2013d: 3f55.*
+ 2013e: 0d6f.*
+ 2013f: 6ff7.*
+ 20140: 3f56.*
+ 20141: 0d6f.*
+ 20142: 6ff8.*
+ 20143: 3f57.*
+ 20144: 0d6f.*
+ 20145: 8280.*
+ 20146: 8288.*
+ 20147: 8290.*
+ 20148: 8298.*
+ 20149: 82a0.*
+ 2014a: 82a8.*
+ 2014b: 82b0.*
+ 2014c: 82b8.*
+ 2014d: 82c0.*
+ 2014e: 82c8.*
+ 2014f: 82d0.*
+ 20150: 82d8.*
+ 20151: 82e0.*
+ 20152: 3f58.*
+ 20153: 82e8.*
+ 20154: 3f59.*
+ 20155: 82f0.*
+ 20156: 3f5a.*
+ 20157: 82f8.*
+ 20158: 3f5b.*
+ 20159: 8281.*
+ 2015a: 8289.*
+ 2015b: 8291.*
+ 2015c: 8299.*
+ 2015d: 82a1.*
+ 2015e: 82a9.*
+ 2015f: 82b1.*
+ 20160: 82b9.*
+ 20161: 82c1.*
+ 20162: 82c9.*
+ 20163: 82d1.*
+ 20164: 82d9.*
+ 20165: 82e1.*
+ 20166: 3f5c.*
+ 20167: 82e9.*
+ 20168: 3f5d.*
+ 20169: 82f1.*
+ 2016a: 3f5e.*
+ 2016b: 82f8.*
+ 2016c: 3f5f.*
+ 2016d: 8282.*
+ 2016e: 828a.*
+ 2016f: 8292.*
+ 20170: 829a.*
+ 20171: 82a2.*
+ 20172: 82aa.*
+ 20173: 82b2.*
+ 20174: 82ba.*
+ 20175: 82c2.*
+ 20176: 82ca.*
+ 20177: 82d2.*
+ 20178: 82da.*
+ 20179: 82e2.*
+ 2017a: 3f60.*
+ 2017b: 82ea.*
+ 2017c: 3f61.*
+ 2017d: 82f2.*
+ 2017e: 3f62.*
+ 2017f: 82f8.*
+ 20180: 3f63.*
+ 20181: 8283.*
+ 20182: 828b.*
+ 20183: 8293.*
+ 20184: 829b.*
+ 20185: 82a3.*
+ 20186: 82ab.*
+ 20187: 82b3.*
+ 20188: 82bb.*
+ 20189: 82c3.*
+ 2018a: 82cb.*
+ 2018b: 82d3.*
+ 2018c: 82db.*
+ 2018d: 82e3.*
+ 2018e: 3f64.*
+ 2018f: 82eb.*
+ 20190: 3f65.*
+ 20191: 82f3.*
+ 20192: 3f66.*
+ 20193: 82f8.*
+ 20194: 3f67.*
+ 20195: 8284.*
+ 20196: 828c.*
+ 20197: 8294.*
+ 20198: 829c.*
+ 20199: 82a4.*
+ 2019a: 82ac.*
+ 2019b: 82b4.*
+ 2019c: 82bc.*
+ 2019d: 82c4.*
+ 2019e: 82cc.*
+ 2019f: 82d4.*
+ 201a0: 82dc.*
+ 201a1: 82e4.*
+ 201a2: 3f68.*
+ 201a3: 82ec.*
+ 201a4: 3f69.*
+ 201a5: 82f4.*
+ 201a6: 3f6a.*
+ 201a7: 82f8.*
+ 201a8: 3f6b.*
+ 201a9: 8285.*
+ 201aa: 828d.*
+ 201ab: 8295.*
+ 201ac: 829d.*
+ 201ad: 82a5.*
+ 201ae: 82ad.*
+ 201af: 82b5.*
+ 201b0: 82bd.*
+ 201b1: 82c5.*
+ 201b2: 82cd.*
+ 201b3: 82d5.*
+ 201b4: 82dd.*
+ 201b5: 82e5.*
+ 201b6: 3f6c.*
+ 201b7: 82ed.*
+ 201b8: 3f6d.*
+ 201b9: 82f5.*
+ 201ba: 3f6e.*
+ 201bb: 82f8.*
+ 201bc: 3f6f.*
+ 201bd: 8286.*
+ 201be: 828e.*
+ 201bf: 8296.*
+ 201c0: 829e.*
+ 201c1: 82a6.*
+ 201c2: 82ae.*
+ 201c3: 82b6.*
+ 201c4: 82be.*
+ 201c5: 82c6.*
+ 201c6: 82ce.*
+ 201c7: 82d6.*
+ 201c8: 82de.*
+ 201c9: 82e6.*
+ 201ca: 3f70.*
+ 201cb: 82ee.*
+ 201cc: 3f71.*
+ 201cd: 82f6.*
+ 201ce: 3f72.*
+ 201cf: 82f8.*
+ 201d0: 3f73.*
+ 201d1: 8287.*
+ 201d2: 828f.*
+ 201d3: 8297.*
+ 201d4: 829f.*
+ 201d5: 82a7.*
+ 201d6: 82af.*
+ 201d7: 82b7.*
+ 201d8: 82bf.*
+ 201d9: 82c7.*
+ 201da: 82cf.*
+ 201db: 82d7.*
+ 201dc: 82df.*
+ 201dd: 82e7.*
+ 201de: 3f74.*
+ 201df: 82ef.*
+ 201e0: 3f75.*
+ 201e1: 82f7.*
+ 201e2: 3f76.*
+ 201e3: 82f8.*
+ 201e4: 3f77.*
+ 201e5: 8380.*
+ 201e6: 8388.*
+ 201e7: 8390.*
+ 201e8: 8398.*
+ 201e9: 83a0.*
+ 201ea: 83a8.*
+ 201eb: 83b0.*
+ 201ec: 83b8.*
+ 201ed: 83c0.*
+ 201ee: 83c8.*
+ 201ef: 83d0.*
+ 201f0: 83d8.*
+ 201f1: 83e0.*
+ 201f2: 3f78.*
+ 201f3: 83e8.*
+ 201f4: 3f79.*
+ 201f5: 83f0.*
+ 201f6: 3f7a.*
+ 201f7: 83f8.*
+ 201f8: 3f7b.*
+ 201f9: 8381.*
+ 201fa: 8389.*
+ 201fb: 8391.*
+ 201fc: 8399.*
+ 201fd: 83a1.*
+ 201fe: 83a9.*
+ 201ff: 83b1.*
+ 20200: 83b9.*
+ 20201: 83c1.*
+ 20202: 83c9.*
+ 20203: 83d1.*
+ 20204: 83d9.*
+ 20205: 83e1.*
+ 20206: 3f7c.*
+ 20207: 83e9.*
+ 20208: 3f7d.*
+ 20209: 83f1.*
+ 2020a: 3f7e.*
+ 2020b: 83f8.*
+ 2020c: 3f7f.*
+ 2020d: 8382.*
+ 2020e: 838a.*
+ 2020f: 8392.*
+ 20210: 839a.*
+ 20211: 83a2.*
+ 20212: 83aa.*
+ 20213: 83b2.*
+ 20214: 83ba.*
+ 20215: 83c2.*
+ 20216: 83ca.*
+ 20217: 83d2.*
+ 20218: 83da.*
+ 20219: 83e2.*
+ 2021a: 3f80.*
+ 2021b: 83ea.*
+ 2021c: 3f81.*
+ 2021d: 83f2.*
+ 2021e: 3f82.*
+ 2021f: 83f8.*
+ 20220: 3f83.*
+ 20221: 8383.*
+ 20222: 838b.*
+ 20223: 8393.*
+ 20224: 839b.*
+ 20225: 83a3.*
+ 20226: 83ab.*
+ 20227: 83b3.*
+ 20228: 83bb.*
+ 20229: 83c3.*
+ 2022a: 83cb.*
+ 2022b: 83d3.*
+ 2022c: 83db.*
+ 2022d: 83e3.*
+ 2022e: 3f84.*
+ 2022f: 83eb.*
+ 20230: 3f85.*
+ 20231: 83f3.*
+ 20232: 3f86.*
+ 20233: 83f8.*
+ 20234: 3f87.*
+ 20235: 8384.*
+ 20236: 838c.*
+ 20237: 8394.*
+ 20238: 839c.*
+ 20239: 83a4.*
+ 2023a: 83ac.*
+ 2023b: 83b4.*
+ 2023c: 83bc.*
+ 2023d: 83c4.*
+ 2023e: 83cc.*
+ 2023f: 83d4.*
+ 20240: 83dc.*
+ 20241: 83e4.*
+ 20242: 3f88.*
+ 20243: 83ec.*
+ 20244: 3f89.*
+ 20245: 83f4.*
+ 20246: 3f8a.*
+ 20247: 83f8.*
+ 20248: 3f8b.*
+ 20249: 8385.*
+ 2024a: 838d.*
+ 2024b: 8395.*
+ 2024c: 839d.*
+ 2024d: 83a5.*
+ 2024e: 83ad.*
+ 2024f: 83b5.*
+ 20250: 83bd.*
+ 20251: 83c5.*
+ 20252: 83cd.*
+ 20253: 83d5.*
+ 20254: 83dd.*
+ 20255: 83e5.*
+ 20256: 3f8c.*
+ 20257: 83ed.*
+ 20258: 3f8d.*
+ 20259: 83f5.*
+ 2025a: 3f8e.*
+ 2025b: 83f8.*
+ 2025c: 3f8f.*
+ 2025d: 8386.*
+ 2025e: 838e.*
+ 2025f: 8396.*
+ 20260: 839e.*
+ 20261: 83a6.*
+ 20262: 83ae.*
+ 20263: 83b6.*
+ 20264: 83be.*
+ 20265: 83c6.*
+ 20266: 83ce.*
+ 20267: 83d6.*
+ 20268: 83de.*
+ 20269: 83e6.*
+ 2026a: 3f90.*
+ 2026b: 83ee.*
+ 2026c: 3f91.*
+ 2026d: 83f6.*
+ 2026e: 3f92.*
+ 2026f: 83f8.*
+ 20270: 3f93.*
+ 20271: 8387.*
+ 20272: 838f.*
+ 20273: 8397.*
+ 20274: 839f.*
+ 20275: 83a7.*
+ 20276: 83af.*
+ 20277: 83b7.*
+ 20278: 83bf.*
+ 20279: 83c7.*
+ 2027a: 83cf.*
+ 2027b: 83d7.*
+ 2027c: 83df.*
+ 2027d: 83e7.*
+ 2027e: 3f94.*
+ 2027f: 83ef.*
+ 20280: 3f95.*
+ 20281: 83f7.*
+ 20282: 3f96.*
+ 20283: 83f8.*
+ 20284: 3f97.*
+ 20285: 8282.*
+ 20286: 828a.*
+ 20287: 8292.*
+ 20288: 82da.*
+ 20289: 8283.*
+ 2028a: 828b.*
+ 2028b: 8293.*
+ 2028c: 82db.*
+ 2028d: 8284.*
+ 2028e: 828c.*
+ 2028f: 8294.*
+ 20290: 82dc.*
+ 20291: 8285.*
+ 20292: 828d.*
+ 20293: 8295.*
+ 20294: 82dd.*
+ 20295: 9a01.*
+ 20296: 9a41.*
+ 20297: 9a81.*
+ 20298: 9ac1.*
+ 20299: 9a11.*
+ 2029a: 9a51.*
+ 2029b: 9a91.*
+ 2029c: 9ad1.*
+ 2029d: 9a21.*
+ 2029e: 9a61.*
+ 2029f: 9aa1.*
+ 202a0: 9ae1.*
+ 202a1: 9a31.*
+ 202a2: 9a71.*
+ 202a3: 9ab1.*
+ 202a4: 9af1.*
+ 202a5: 9a02.*
+ 202a6: 9a42.*
+ 202a7: 9a82.*
+ 202a8: 9ac2.*
+ 202a9: 9a12.*
+ 202aa: 9a52.*
+ 202ab: 9a92.*
+ 202ac: 9ad2.*
+ 202ad: 9a22.*
+ 202ae: 9a62.*
+ 202af: 9aa2.*
+ 202b0: 9ae2.*
+ 202b1: 9a32.*
+ 202b2: 9a72.*
+ 202b3: 9ab2.*
+ 202b4: 9af2.*
+ 202b5: 9a03.*
+ 202b6: 9a43.*
+ 202b7: 9a83.*
+ 202b8: 9ac3.*
+ 202b9: 9a13.*
+ 202ba: 9a53.*
+ 202bb: 9a93.*
+ 202bc: 9ad3.*
+ 202bd: 9a23.*
+ 202be: 9a63.*
+ 202bf: 9aa3.*
+ 202c0: 9ae3.*
+ 202c1: 9a33.*
+ 202c2: 9a73.*
+ 202c3: 9ab3.*
+ 202c4: 9af3.*
+ 202c5: 9a04.*
+ 202c6: 9a44.*
+ 202c7: 9a84.*
+ 202c8: 9ac4.*
+ 202c9: 9a14.*
+ 202ca: 9a54.*
+ 202cb: 9a94.*
+ 202cc: 9ad4.*
+ 202cd: 9a24.*
+ 202ce: 9a64.*
+ 202cf: 9aa4.*
+ 202d0: 9ae4.*
+ 202d1: 9a34.*
+ 202d2: 9a74.*
+ 202d3: 9ab4.*
+ 202d4: 9af4.*
+ 202d5: 9a05.*
+ 202d6: 9a45.*
+ 202d7: 9a85.*
+ 202d8: 9ac5.*
+ 202d9: 9a15.*
+ 202da: 9a55.*
+ 202db: 9a95.*
+ 202dc: 9ad5.*
+ 202dd: 9a25.*
+ 202de: 9a65.*
+ 202df: 9aa5.*
+ 202e0: 9ae5.*
+ 202e1: 9a35.*
+ 202e2: 9a75.*
+ 202e3: 9ab5.*
+ 202e4: 9af5.*
+ 202e5: 9a06.*
+ 202e6: 9a46.*
+ 202e7: 9a86.*
+ 202e8: 9ac6.*
+ 202e9: 9a16.*
+ 202ea: 9a56.*
+ 202eb: 9a96.*
+ 202ec: 9ad6.*
+ 202ed: 9a26.*
+ 202ee: 9a66.*
+ 202ef: 9aa6.*
+ 202f0: 9ae6.*
+ 202f1: 9a36.*
+ 202f2: 9a76.*
+ 202f3: 9ab6.*
+ 202f4: 9af6.*
+ 202f5: 9a07.*
+ 202f6: 9a47.*
+ 202f7: 9a87.*
+ 202f8: 9ac7.*
+ 202f9: 9a17.*
+ 202fa: 9a57.*
+ 202fb: 9a97.*
+ 202fc: 9ad7.*
+ 202fd: 9a27.*
+ 202fe: 9a67.*
+ 202ff: 9aa7.*
+ 20300: 9ae7.*
+ 20301: 9a37.*
+ 20302: 9a77.*
+ 20303: 9ab7.*
+ 20304: 9af7.*
+ 20305: 9a08.*
+ 20306: 9a48.*
+ 20307: 9a88.*
+ 20308: 9ac8.*
+ 20309: 9a18.*
+ 2030a: 9a58.*
+ 2030b: 9a98.*
+ 2030c: 9ad8.*
+ 2030d: 9a28.*
+ 2030e: 9a68.*
+ 2030f: 9aa8.*
+ 20310: 9ae8.*
+ 20311: 9a38.*
+ 20312: 9a78.*
+ 20313: 9ab8.*
+ 20314: 9af8.*
+ 20315: 9a09.*
+ 20316: 9a49.*
+ 20317: 9a89.*
+ 20318: 9ac9.*
+ 20319: 9a19.*
+ 2031a: 9a59.*
+ 2031b: 9a99.*
+ 2031c: 9ad9.*
+ 2031d: 9a29.*
+ 2031e: 9a69.*
+ 2031f: 9aa9.*
+ 20320: 9ae9.*
+ 20321: 9a39.*
+ 20322: 9a79.*
+ 20323: 9ab9.*
+ 20324: 9af9.*
+ 20325: 9a0a.*
+ 20326: 9a4a.*
+ 20327: 9a8a.*
+ 20328: 9aca.*
+ 20329: 9a1a.*
+ 2032a: 9a5a.*
+ 2032b: 9a9a.*
+ 2032c: 9ada.*
+ 2032d: 9a2a.*
+ 2032e: 9a6a.*
+ 2032f: 9aaa.*
+ 20330: 9aea.*
+ 20331: 9a3a.*
+ 20332: 9a7a.*
+ 20333: 9aba.*
+ 20334: 9afa.*
+ 20335: 9a0b.*
+ 20336: 9a4b.*
+ 20337: 9a8b.*
+ 20338: 9acb.*
+ 20339: 9a1b.*
+ 2033a: 9a5b.*
+ 2033b: 9a9b.*
+ 2033c: 9adb.*
+ 2033d: 9a2b.*
+ 2033e: 9a6b.*
+ 2033f: 9aab.*
+ 20340: 9aeb.*
+ 20341: 9a3b.*
+ 20342: 9a7b.*
+ 20343: 9abb.*
+ 20344: 9afb.*
+ 20345: 9a0c.*
+ 20346: 9a4c.*
+ 20347: 9a8c.*
+ 20348: 9acc.*
+ 20349: 9a1c.*
+ 2034a: 9a5c.*
+ 2034b: 9a9c.*
+ 2034c: 9adc.*
+ 2034d: 9a2c.*
+ 2034e: 9a6c.*
+ 2034f: 9aac.*
+ 20350: 9aec.*
+ 20351: 9a3c.*
+ 20352: 9a7c.*
+ 20353: 9abc.*
+ 20354: 9afc.*
+ 20355: 9a0d.*
+ 20356: 9a4d.*
+ 20357: 9a8d.*
+ 20358: 9acd.*
+ 20359: 9a1d.*
+ 2035a: 9a5d.*
+ 2035b: 9a9d.*
+ 2035c: 9add.*
+ 2035d: 9a2d.*
+ 2035e: 9a6d.*
+ 2035f: 9aad.*
+ 20360: 9aed.*
+ 20361: 9a3d.*
+ 20362: 9a7d.*
+ 20363: 9abd.*
+ 20364: 9afd.*
+ 20365: 9a0e.*
+ 20366: 9a4e.*
+ 20367: 9a8e.*
+ 20368: 9ace.*
+ 20369: 9a1e.*
+ 2036a: 9a5e.*
+ 2036b: 9a9e.*
+ 2036c: 9ade.*
+ 2036d: 9a2e.*
+ 2036e: 9a6e.*
+ 2036f: 9aae.*
+ 20370: 9aee.*
+ 20371: 9a3e.*
+ 20372: 9a7e.*
+ 20373: 9abe.*
+ 20374: 9afe.*
+ 20375: 9a0f.*
+ 20376: 9a4f.*
+ 20377: 9a8f.*
+ 20378: 9acf.*
+ 20379: 9a1f.*
+ 2037a: 9a5f.*
+ 2037b: 9a9f.*
+ 2037c: 9adf.*
+ 2037d: 9a2f.*
+ 2037e: 9a6f.*
+ 2037f: 9aaf.*
+ 20380: 9aef.*
+ 20381: 9a3f.*
+ 20382: 9a7f.*
+ 20383: 9abf.*
+ 20384: 9aff.*
+ 20385: 8382.*
+ 20386: 838a.*
+ 20387: 8392.*
+ 20388: 83da.*
+ 20389: 8383.*
+ 2038a: 838b.*
+ 2038b: 8393.*
+ 2038c: 83db.*
+ 2038d: 8384.*
+ 2038e: 838c.*
+ 2038f: 8394.*
+ 20390: 83dc.*
+ 20391: 8385.*
+ 20392: 838d.*
+ 20393: 8395.*
+ 20394: 83dd.*
+ 20395: 9b01.*
+ 20396: 9b41.*
+ 20397: 9b81.*
+ 20398: 9bc1.*
+ 20399: 9b11.*
+ 2039a: 9b51.*
+ 2039b: 9b91.*
+ 2039c: 9bd1.*
+ 2039d: 9b21.*
+ 2039e: 9b61.*
+ 2039f: 9ba1.*
+ 203a0: 9be1.*
+ 203a1: 9b31.*
+ 203a2: 9b71.*
+ 203a3: 9bb1.*
+ 203a4: 9bf1.*
+ 203a5: 9b02.*
+ 203a6: 9b42.*
+ 203a7: 9b82.*
+ 203a8: 9bc2.*
+ 203a9: 9b12.*
+ 203aa: 9b52.*
+ 203ab: 9b92.*
+ 203ac: 9bd2.*
+ 203ad: 9b22.*
+ 203ae: 9b62.*
+ 203af: 9ba2.*
+ 203b0: 9be2.*
+ 203b1: 9b32.*
+ 203b2: 9b72.*
+ 203b3: 9bb2.*
+ 203b4: 9bf2.*
+ 203b5: 9b03.*
+ 203b6: 9b43.*
+ 203b7: 9b83.*
+ 203b8: 9bc3.*
+ 203b9: 9b13.*
+ 203ba: 9b53.*
+ 203bb: 9b93.*
+ 203bc: 9bd3.*
+ 203bd: 9b23.*
+ 203be: 9b63.*
+ 203bf: 9ba3.*
+ 203c0: 9be3.*
+ 203c1: 9b33.*
+ 203c2: 9b73.*
+ 203c3: 9bb3.*
+ 203c4: 9bf3.*
+ 203c5: 9b04.*
+ 203c6: 9b44.*
+ 203c7: 9b84.*
+ 203c8: 9bc4.*
+ 203c9: 9b14.*
+ 203ca: 9b54.*
+ 203cb: 9b94.*
+ 203cc: 9bd4.*
+ 203cd: 9b24.*
+ 203ce: 9b64.*
+ 203cf: 9ba4.*
+ 203d0: 9be4.*
+ 203d1: 9b34.*
+ 203d2: 9b74.*
+ 203d3: 9bb4.*
+ 203d4: 9bf4.*
+ 203d5: 9b05.*
+ 203d6: 9b45.*
+ 203d7: 9b85.*
+ 203d8: 9bc5.*
+ 203d9: 9b15.*
+ 203da: 9b55.*
+ 203db: 9b95.*
+ 203dc: 9bd5.*
+ 203dd: 9b25.*
+ 203de: 9b65.*
+ 203df: 9ba5.*
+ 203e0: 9be5.*
+ 203e1: 9b35.*
+ 203e2: 9b75.*
+ 203e3: 9bb5.*
+ 203e4: 9bf5.*
+ 203e5: 9b06.*
+ 203e6: 9b46.*
+ 203e7: 9b86.*
+ 203e8: 9bc6.*
+ 203e9: 9b16.*
+ 203ea: 9b56.*
+ 203eb: 9b96.*
+ 203ec: 9bd6.*
+ 203ed: 9b26.*
+ 203ee: 9b66.*
+ 203ef: 9ba6.*
+ 203f0: 9be6.*
+ 203f1: 9b36.*
+ 203f2: 9b76.*
+ 203f3: 9bb6.*
+ 203f4: 9bf6.*
+ 203f5: 9b07.*
+ 203f6: 9b47.*
+ 203f7: 9b87.*
+ 203f8: 9bc7.*
+ 203f9: 9b17.*
+ 203fa: 9b57.*
+ 203fb: 9b97.*
+ 203fc: 9bd7.*
+ 203fd: 9b27.*
+ 203fe: 9b67.*
+ 203ff: 9ba7.*
+ 20400: 9be7.*
+ 20401: 9b37.*
+ 20402: 9b77.*
+ 20403: 9bb7.*
+ 20404: 9bf7.*
+ 20405: 9b08.*
+ 20406: 9b48.*
+ 20407: 9b88.*
+ 20408: 9bc8.*
+ 20409: 9b18.*
+ 2040a: 9b58.*
+ 2040b: 9b98.*
+ 2040c: 9bd8.*
+ 2040d: 9b28.*
+ 2040e: 9b68.*
+ 2040f: 9ba8.*
+ 20410: 9be8.*
+ 20411: 9b38.*
+ 20412: 9b78.*
+ 20413: 9bb8.*
+ 20414: 9bf8.*
+ 20415: 9b09.*
+ 20416: 9b49.*
+ 20417: 9b89.*
+ 20418: 9bc9.*
+ 20419: 9b19.*
+ 2041a: 9b59.*
+ 2041b: 9b99.*
+ 2041c: 9bd9.*
+ 2041d: 9b29.*
+ 2041e: 9b69.*
+ 2041f: 9ba9.*
+ 20420: 9be9.*
+ 20421: 9b39.*
+ 20422: 9b79.*
+ 20423: 9bb9.*
+ 20424: 9bf9.*
+ 20425: 9b0a.*
+ 20426: 9b4a.*
+ 20427: 9b8a.*
+ 20428: 9bca.*
+ 20429: 9b1a.*
+ 2042a: 9b5a.*
+ 2042b: 9b9a.*
+ 2042c: 9bda.*
+ 2042d: 9b2a.*
+ 2042e: 9b6a.*
+ 2042f: 9baa.*
+ 20430: 9bea.*
+ 20431: 9b3a.*
+ 20432: 9b7a.*
+ 20433: 9bba.*
+ 20434: 9bfa.*
+ 20435: 9b0b.*
+ 20436: 9b4b.*
+ 20437: 9b8b.*
+ 20438: 9bcb.*
+ 20439: 9b1b.*
+ 2043a: 9b5b.*
+ 2043b: 9b9b.*
+ 2043c: 9bdb.*
+ 2043d: 9b2b.*
+ 2043e: 9b6b.*
+ 2043f: 9bab.*
+ 20440: 9beb.*
+ 20441: 9b3b.*
+ 20442: 9b7b.*
+ 20443: 9bbb.*
+ 20444: 9bfb.*
+ 20445: 9b0c.*
+ 20446: 9b4c.*
+ 20447: 9b8c.*
+ 20448: 9bcc.*
+ 20449: 9b1c.*
+ 2044a: 9b5c.*
+ 2044b: 9b9c.*
+ 2044c: 9bdc.*
+ 2044d: 9b2c.*
+ 2044e: 9b6c.*
+ 2044f: 9bac.*
+ 20450: 9bec.*
+ 20451: 9b3c.*
+ 20452: 9b7c.*
+ 20453: 9bbc.*
+ 20454: 9bfc.*
+ 20455: 9b0d.*
+ 20456: 9b4d.*
+ 20457: 9b8d.*
+ 20458: 9bcd.*
+ 20459: 9b1d.*
+ 2045a: 9b5d.*
+ 2045b: 9b9d.*
+ 2045c: 9bdd.*
+ 2045d: 9b2d.*
+ 2045e: 9b6d.*
+ 2045f: 9bad.*
+ 20460: 9bed.*
+ 20461: 9b3d.*
+ 20462: 9b7d.*
+ 20463: 9bbd.*
+ 20464: 9bfd.*
+ 20465: 9b0e.*
+ 20466: 9b4e.*
+ 20467: 9b8e.*
+ 20468: 9bce.*
+ 20469: 9b1e.*
+ 2046a: 9b5e.*
+ 2046b: 9b9e.*
+ 2046c: 9bde.*
+ 2046d: 9b2e.*
+ 2046e: 9b6e.*
+ 2046f: 9bae.*
+ 20470: 9bee.*
+ 20471: 9b3e.*
+ 20472: 9b7e.*
+ 20473: 9bbe.*
+ 20474: 9bfe.*
+ 20475: 9b0f.*
+ 20476: 9b4f.*
+ 20477: 9b8f.*
+ 20478: 9bcf.*
+ 20479: 9b1f.*
+ 2047a: 9b5f.*
+ 2047b: 9b9f.*
+ 2047c: 9bdf.*
+ 2047d: 9b2f.*
+ 2047e: 9b6f.*
+ 2047f: 9baf.*
+ 20480: 9bef.*
+ 20481: 9b3f.*
+ 20482: 9b7f.*
+ 20483: 9bbf.*
+ 20484: 9bff.*
+ 20485: 8844.*
+ 20486: 8945.*
+ 20487: 8880.*
+ 20488: 8888.*
+ 20489: 8890.*
+ 2048a: 8898.*
+ 2048b: 88a0.*
+ 2048c: 88a8.*
+ 2048d: 88b0.*
+ 2048e: 88b8.*
+ 2048f: 88c0.*
+ 20490: 88c8.*
+ 20491: 88d0.*
+ 20492: 88d8.*
+ 20493: 8881.*
+ 20494: 8889.*
+ 20495: 8891.*
+ 20496: 8899.*
+ 20497: 88a1.*
+ 20498: 88a9.*
+ 20499: 88b1.*
+ 2049a: 88b9.*
+ 2049b: 88c1.*
+ 2049c: 88c9.*
+ 2049d: 88d1.*
+ 2049e: 88d9.*
+ 2049f: 8882.*
+ 204a0: 888a.*
+ 204a1: 8892.*
+ 204a2: 889a.*
+ 204a3: 88a2.*
+ 204a4: 88aa.*
+ 204a5: 88b2.*
+ 204a6: 88ba.*
+ 204a7: 88c2.*
+ 204a8: 88ca.*
+ 204a9: 88d2.*
+ 204aa: 88da.*
+ 204ab: 8883.*
+ 204ac: 888b.*
+ 204ad: 8893.*
+ 204ae: 889b.*
+ 204af: 88a3.*
+ 204b0: 88ab.*
+ 204b1: 88b3.*
+ 204b2: 88bb.*
+ 204b3: 88c3.*
+ 204b4: 88cb.*
+ 204b5: 88d3.*
+ 204b6: 88db.*
+ 204b7: 8884.*
+ 204b8: 888c.*
+ 204b9: 8894.*
+ 204ba: 889c.*
+ 204bb: 88a4.*
+ 204bc: 88ac.*
+ 204bd: 88b4.*
+ 204be: 88bc.*
+ 204bf: 88c4.*
+ 204c0: 88cc.*
+ 204c1: 88d4.*
+ 204c2: 88dc.*
+ 204c3: 8885.*
+ 204c4: 888d.*
+ 204c5: 8895.*
+ 204c6: 889d.*
+ 204c7: 88a5.*
+ 204c8: 88ad.*
+ 204c9: 88b5.*
+ 204ca: 88bd.*
+ 204cb: 88c5.*
+ 204cc: 88cd.*
+ 204cd: 88d5.*
+ 204ce: 88dd.*
+ 204cf: 8886.*
+ 204d0: 888e.*
+ 204d1: 8896.*
+ 204d2: 889e.*
+ 204d3: 88a6.*
+ 204d4: 88ae.*
+ 204d5: 88b6.*
+ 204d6: 88be.*
+ 204d7: 88c6.*
+ 204d8: 88ce.*
+ 204d9: 88d6.*
+ 204da: 88de.*
+ 204db: 8887.*
+ 204dc: 888f.*
+ 204dd: 8897.*
+ 204de: 889f.*
+ 204df: 88a7.*
+ 204e0: 88af.*
+ 204e1: 88b7.*
+ 204e2: 88bf.*
+ 204e3: 88c7.*
+ 204e4: 88cf.*
+ 204e5: 88d7.*
+ 204e6: 88df.*
+ 204e7: 8980.*
+ 204e8: 8988.*
+ 204e9: 8990.*
+ 204ea: 8998.*
+ 204eb: 89a0.*
+ 204ec: 89a8.*
+ 204ed: 89b0.*
+ 204ee: 89b8.*
+ 204ef: 89c0.*
+ 204f0: 89c8.*
+ 204f1: 89d0.*
+ 204f2: 89d8.*
+ 204f3: 8981.*
+ 204f4: 8989.*
+ 204f5: 8991.*
+ 204f6: 8999.*
+ 204f7: 89a1.*
+ 204f8: 89a9.*
+ 204f9: 89b1.*
+ 204fa: 89b9.*
+ 204fb: 89c1.*
+ 204fc: 89c9.*
+ 204fd: 89d1.*
+ 204fe: 89d9.*
+ 204ff: 8982.*
+ 20500: 898a.*
+ 20501: 8992.*
+ 20502: 899a.*
+ 20503: 89a2.*
+ 20504: 89aa.*
+ 20505: 89b2.*
+ 20506: 89ba.*
+ 20507: 89c2.*
+ 20508: 89ca.*
+ 20509: 89d2.*
+ 2050a: 89da.*
+ 2050b: 8983.*
+ 2050c: 898b.*
+ 2050d: 8993.*
+ 2050e: 899b.*
+ 2050f: 89a3.*
+ 20510: 89ab.*
+ 20511: 89b3.*
+ 20512: 89bb.*
+ 20513: 89c3.*
+ 20514: 89cb.*
+ 20515: 89d3.*
+ 20516: 89db.*
+ 20517: 8984.*
+ 20518: 898c.*
+ 20519: 8994.*
+ 2051a: 899c.*
+ 2051b: 89a4.*
+ 2051c: 89ac.*
+ 2051d: 89b4.*
+ 2051e: 89bc.*
+ 2051f: 89c4.*
+ 20520: 89cc.*
+ 20521: 89d4.*
+ 20522: 89dc.*
+ 20523: 8985.*
+ 20524: 898d.*
+ 20525: 8995.*
+ 20526: 899d.*
+ 20527: 89a5.*
+ 20528: 89ad.*
+ 20529: 89b5.*
+ 2052a: 89bd.*
+ 2052b: 89c5.*
+ 2052c: 89cd.*
+ 2052d: 89d5.*
+ 2052e: 89dd.*
+ 2052f: 8986.*
+ 20530: 898e.*
+ 20531: 8996.*
+ 20532: 899e.*
+ 20533: 89a6.*
+ 20534: 89ae.*
+ 20535: 89b6.*
+ 20536: 89be.*
+ 20537: 89c6.*
+ 20538: 89ce.*
+ 20539: 89d6.*
+ 2053a: 89de.*
+ 2053b: 8987.*
+ 2053c: 898f.*
+ 2053d: 8997.*
+ 2053e: 899f.*
+ 2053f: 89a7.*
+ 20540: 89af.*
+ 20541: 89b7.*
+ 20542: 89bf.*
+ 20543: 89c7.*
+ 20544: 89cf.*
+ 20545: 89d7.*
+ 20546: 89df.*
+ 20547: 8446.*
+ 20548: 8547.*
+ 20549: 8480.*
+ 2054a: 8488.*
+ 2054b: 8490.*
+ 2054c: 8498.*
+ 2054d: 84a0.*
+ 2054e: 84a8.*
+ 2054f: 84b0.*
+ 20550: 84b8.*
+ 20551: 84c0.*
+ 20552: 84c8.*
+ 20553: 84d0.*
+ 20554: 84d8.*
+ 20555: 84e0.*
+ 20556: 3f98.*
+ 20557: 84e8.*
+ 20558: 3f99.*
+ 20559: 84f0.*
+ 2055a: 3f9a.*
+ 2055b: 84f8.*
+ 2055c: 3f9b.*
+ 2055d: 8481.*
+ 2055e: 8489.*
+ 2055f: 8491.*
+ 20560: 8499.*
+ 20561: 84a1.*
+ 20562: 84a9.*
+ 20563: 84b1.*
+ 20564: 84b9.*
+ 20565: 84c1.*
+ 20566: 84c9.*
+ 20567: 84d1.*
+ 20568: 84d9.*
+ 20569: 84e1.*
+ 2056a: 3f9c.*
+ 2056b: 84e9.*
+ 2056c: 3f9d.*
+ 2056d: 84f1.*
+ 2056e: 3f9e.*
+ 2056f: 84f8.*
+ 20570: 3f9f.*
+ 20571: 8482.*
+ 20572: 848a.*
+ 20573: 8492.*
+ 20574: 849a.*
+ 20575: 84a2.*
+ 20576: 84aa.*
+ 20577: 84b2.*
+ 20578: 84ba.*
+ 20579: 84c2.*
+ 2057a: 84ca.*
+ 2057b: 84d2.*
+ 2057c: 84da.*
+ 2057d: 84e2.*
+ 2057e: 3fa0.*
+ 2057f: 84ea.*
+ 20580: 3fa1.*
+ 20581: 84f2.*
+ 20582: 3fa2.*
+ 20583: 84f8.*
+ 20584: 3fa3.*
+ 20585: 8483.*
+ 20586: 848b.*
+ 20587: 8493.*
+ 20588: 849b.*
+ 20589: 84a3.*
+ 2058a: 84ab.*
+ 2058b: 84b3.*
+ 2058c: 84bb.*
+ 2058d: 84c3.*
+ 2058e: 84cb.*
+ 2058f: 84d3.*
+ 20590: 84db.*
+ 20591: 84e3.*
+ 20592: 3fa4.*
+ 20593: 84eb.*
+ 20594: 3fa5.*
+ 20595: 84f3.*
+ 20596: 3fa6.*
+ 20597: 84f8.*
+ 20598: 3fa7.*
+ 20599: 8484.*
+ 2059a: 848c.*
+ 2059b: 8494.*
+ 2059c: 849c.*
+ 2059d: 84a4.*
+ 2059e: 84ac.*
+ 2059f: 84b4.*
+ 205a0: 84bc.*
+ 205a1: 84c4.*
+ 205a2: 84cc.*
+ 205a3: 84d4.*
+ 205a4: 84dc.*
+ 205a5: 84e4.*
+ 205a6: 3fa8.*
+ 205a7: 84ec.*
+ 205a8: 3fa9.*
+ 205a9: 84f4.*
+ 205aa: 3faa.*
+ 205ab: 84f8.*
+ 205ac: 3fab.*
+ 205ad: 8485.*
+ 205ae: 848d.*
+ 205af: 8495.*
+ 205b0: 849d.*
+ 205b1: 84a5.*
+ 205b2: 84ad.*
+ 205b3: 84b5.*
+ 205b4: 84bd.*
+ 205b5: 84c5.*
+ 205b6: 84cd.*
+ 205b7: 84d5.*
+ 205b8: 84dd.*
+ 205b9: 84e5.*
+ 205ba: 3fac.*
+ 205bb: 84ed.*
+ 205bc: 3fad.*
+ 205bd: 84f5.*
+ 205be: 3fae.*
+ 205bf: 84f8.*
+ 205c0: 3faf.*
+ 205c1: 8486.*
+ 205c2: 848e.*
+ 205c3: 8496.*
+ 205c4: 849e.*
+ 205c5: 84a6.*
+ 205c6: 84ae.*
+ 205c7: 84b6.*
+ 205c8: 84be.*
+ 205c9: 84c6.*
+ 205ca: 84ce.*
+ 205cb: 84d6.*
+ 205cc: 84de.*
+ 205cd: 84e6.*
+ 205ce: 3fb0.*
+ 205cf: 84ee.*
+ 205d0: 3fb1.*
+ 205d1: 84f6.*
+ 205d2: 3fb2.*
+ 205d3: 84f8.*
+ 205d4: 3fb3.*
+ 205d5: 8487.*
+ 205d6: 848f.*
+ 205d7: 8497.*
+ 205d8: 849f.*
+ 205d9: 84a7.*
+ 205da: 84af.*
+ 205db: 84b7.*
+ 205dc: 84bf.*
+ 205dd: 84c7.*
+ 205de: 84cf.*
+ 205df: 84d7.*
+ 205e0: 84df.*
+ 205e1: 84e7.*
+ 205e2: 3fb4.*
+ 205e3: 84ef.*
+ 205e4: 3fb5.*
+ 205e5: 84f7.*
+ 205e6: 3fb6.*
+ 205e7: 84f8.*
+ 205e8: 3fb7.*
+ 205e9: 8580.*
+ 205ea: 8588.*
+ 205eb: 8590.*
+ 205ec: 8598.*
+ 205ed: 85a0.*
+ 205ee: 85a8.*
+ 205ef: 85b0.*
+ 205f0: 85b8.*
+ 205f1: 85c0.*
+ 205f2: 85c8.*
+ 205f3: 85d0.*
+ 205f4: 85d8.*
+ 205f5: 85e0.*
+ 205f6: 3fb8.*
+ 205f7: 85e8.*
+ 205f8: 3fb9.*
+ 205f9: 85f0.*
+ 205fa: 3fba.*
+ 205fb: 85f8.*
+ 205fc: 3fbb.*
+ 205fd: 8581.*
+ 205fe: 8589.*
+ 205ff: 8591.*
+ 20600: 8599.*
+ 20601: 85a1.*
+ 20602: 85a9.*
+ 20603: 85b1.*
+ 20604: 85b9.*
+ 20605: 85c1.*
+ 20606: 85c9.*
+ 20607: 85d1.*
+ 20608: 85d9.*
+ 20609: 85e1.*
+ 2060a: 3fbc.*
+ 2060b: 85e9.*
+ 2060c: 3fbd.*
+ 2060d: 85f1.*
+ 2060e: 3fbe.*
+ 2060f: 85f8.*
+ 20610: 3fbf.*
+ 20611: 8582.*
+ 20612: 858a.*
+ 20613: 8592.*
+ 20614: 859a.*
+ 20615: 85a2.*
+ 20616: 85aa.*
+ 20617: 85b2.*
+ 20618: 85ba.*
+ 20619: 85c2.*
+ 2061a: 85ca.*
+ 2061b: 85d2.*
+ 2061c: 85da.*
+ 2061d: 85e2.*
+ 2061e: 3fc0.*
+ 2061f: 85ea.*
+ 20620: 3fc1.*
+ 20621: 85f2.*
+ 20622: 3fc2.*
+ 20623: 85f8.*
+ 20624: 3fc3.*
+ 20625: 8583.*
+ 20626: 858b.*
+ 20627: 8593.*
+ 20628: 859b.*
+ 20629: 85a3.*
+ 2062a: 85ab.*
+ 2062b: 85b3.*
+ 2062c: 85bb.*
+ 2062d: 85c3.*
+ 2062e: 85cb.*
+ 2062f: 85d3.*
+ 20630: 85db.*
+ 20631: 85e3.*
+ 20632: 3fc4.*
+ 20633: 85eb.*
+ 20634: 3fc5.*
+ 20635: 85f3.*
+ 20636: 3fc6.*
+ 20637: 85f8.*
+ 20638: 3fc7.*
+ 20639: 8584.*
+ 2063a: 858c.*
+ 2063b: 8594.*
+ 2063c: 859c.*
+ 2063d: 85a4.*
+ 2063e: 85ac.*
+ 2063f: 85b4.*
+ 20640: 85bc.*
+ 20641: 85c4.*
+ 20642: 85cc.*
+ 20643: 85d4.*
+ 20644: 85dc.*
+ 20645: 85e4.*
+ 20646: 3fc8.*
+ 20647: 85ec.*
+ 20648: 3fc9.*
+ 20649: 85f4.*
+ 2064a: 3fca.*
+ 2064b: 85f8.*
+ 2064c: 3fcb.*
+ 2064d: 8585.*
+ 2064e: 858d.*
+ 2064f: 8595.*
+ 20650: 859d.*
+ 20651: 85a5.*
+ 20652: 85ad.*
+ 20653: 85b5.*
+ 20654: 85bd.*
+ 20655: 85c5.*
+ 20656: 85cd.*
+ 20657: 85d5.*
+ 20658: 85dd.*
+ 20659: 85e5.*
+ 2065a: 3fcc.*
+ 2065b: 85ed.*
+ 2065c: 3fcd.*
+ 2065d: 85f5.*
+ 2065e: 3fce.*
+ 2065f: 85f8.*
+ 20660: 3fcf.*
+ 20661: 8586.*
+ 20662: 858e.*
+ 20663: 8596.*
+ 20664: 859e.*
+ 20665: 85a6.*
+ 20666: 85ae.*
+ 20667: 85b6.*
+ 20668: 85be.*
+ 20669: 85c6.*
+ 2066a: 85ce.*
+ 2066b: 85d6.*
+ 2066c: 85de.*
+ 2066d: 85e6.*
+ 2066e: 3fd0.*
+ 2066f: 85ee.*
+ 20670: 3fd1.*
+ 20671: 85f6.*
+ 20672: 3fd2.*
+ 20673: 85f8.*
+ 20674: 3fd3.*
+ 20675: 8587.*
+ 20676: 858f.*
+ 20677: 8597.*
+ 20678: 859f.*
+ 20679: 85a7.*
+ 2067a: 85af.*
+ 2067b: 85b7.*
+ 2067c: 85bf.*
+ 2067d: 85c7.*
+ 2067e: 85cf.*
+ 2067f: 85d7.*
+ 20680: 85df.*
+ 20681: 85e7.*
+ 20682: 3fd4.*
+ 20683: 85ef.*
+ 20684: 3fd5.*
+ 20685: 85f7.*
+ 20686: 3fd6.*
+ 20687: 85f8.*
+ 20688: 3fd7.*
+ 20689: 8048.*
+ 2068a: 8149.*
+ 2068b: 804a.*
+ 2068c: 6f4b.*
+ 2068d: 0c90.*
+ 2068e: 6f4c.*
+ 2068f: 0c91.*
+ 20690: 6f4d.*
+ 20691: 0c92.*
+ 20692: 6f4e.*
+ 20693: 0c93.*
+ 20694: 6f4f.*
+ 20695: 0c94.*
+ 20696: 6f50.*
+ 20697: 0c95.*
+ 20698: 6f51.*
+ 20699: 0c96.*
+ 2069a: 6f52.*
+ 2069b: 0c97.*
+ 2069c: 6f53.*
+ 2069d: 0c98.*
+ 2069e: 6f54.*
+ 2069f: 0c99.*
+ 206a0: 6f55.*
+ 206a1: 0c9a.*
+ 206a2: 6f56.*
+ 206a3: 0c9b.*
+ 206a4: 6f57.*
+ 206a5: 0c9c.*
+ 206a6: 6f58.*
+ 206a7: 0c9d.*
+ 206a8: 6f59.*
+ 206a9: 0c9e.*
+ 206aa: 6f5a.*
+ 206ab: 0c9f.*
+ 206ac: 805b.*
+ 206ad: 6f5c.*
+ 206ae: 0c81.*
+ 206af: 6f5d.*
+ 206b0: 0c82.*
+ 206b1: 6f5e.*
+ 206b2: 0c83.*
+ 206b3: 6f5f.*
+ 206b4: 0c84.*
+ 206b5: 6f60.*
+ 206b6: 0c85.*
+ 206b7: 6f61.*
+ 206b8: 0c86.*
+ 206b9: 6f62.*
+ 206ba: 0c87.*
+ 206bb: 6f63.*
+ 206bc: 0c88.*
+ 206bd: 6f64.*
+ 206be: 0c89.*
+ 206bf: 6f65.*
+ 206c0: 0c8a.*
+ 206c1: 6f66.*
+ 206c2: 0c8b.*
+ 206c3: 6f67.*
+ 206c4: 0c8c.*
+ 206c5: 6f68.*
+ 206c6: 0c8d.*
+ 206c7: 6f69.*
+ 206c8: 0c8e.*
+ 206c9: 6f6a.*
+ 206ca: 0c8f.*
+ 206cb: 816b.*
+ 206cc: 6f6c.*
+ 206cd: 0d90.*
+ 206ce: 6f6d.*
+ 206cf: 0d91.*
+ 206d0: 6f6e.*
+ 206d1: 0d92.*
+ 206d2: 6f6f.*
+ 206d3: 0d93.*
+ 206d4: 6f70.*
+ 206d5: 0d94.*
+ 206d6: 6f71.*
+ 206d7: 0d95.*
+ 206d8: 6f72.*
+ 206d9: 0d96.*
+ 206da: 6f73.*
+ 206db: 0d97.*
+ 206dc: 6f74.*
+ 206dd: 0d98.*
+ 206de: 6f75.*
+ 206df: 0d99.*
+ 206e0: 6f76.*
+ 206e1: 0d9a.*
+ 206e2: 6f77.*
+ 206e3: 0d9b.*
+ 206e4: 6f78.*
+ 206e5: 0d9c.*
+ 206e6: 6f79.*
+ 206e7: 0d9d.*
+ 206e8: 6f7a.*
+ 206e9: 0d9e.*
+ 206ea: 6f7b.*
+ 206eb: 0d9f.*
+ 206ec: 817c.*
+ 206ed: 6f7d.*
+ 206ee: 0d81.*
+ 206ef: 6f7e.*
+ 206f0: 0d82.*
+ 206f1: 6f7f.*
+ 206f2: 0d83.*
+ 206f3: 6f00.*
+ 206f4: 0d84.*
+ 206f5: 6f01.*
+ 206f6: 0d85.*
+ 206f7: 6f02.*
+ 206f8: 0d86.*
+ 206f9: 6f03.*
+ 206fa: 0d87.*
+ 206fb: 6f04.*
+ 206fc: 0d88.*
+ 206fd: 6f05.*
+ 206fe: 0d89.*
+ 206ff: 6f06.*
+ 20700: 0d8a.*
+ 20701: 6f07.*
+ 20702: 0d8b.*
+ 20703: 6f08.*
+ 20704: 0d8c.*
+ 20705: 6f09.*
+ 20706: 0d8d.*
+ 20707: 6f0a.*
+ 20708: 0d8e.*
+ 20709: 6f0b.*
+ 2070a: 0d8f.*
+ 2070b: 8080.*
+ 2070c: 8088.*
+ 2070d: 8090.*
+ 2070e: 8098.*
+ 2070f: 80a0.*
+ 20710: 80a8.*
+ 20711: 80b0.*
+ 20712: 80b8.*
+ 20713: 80c0.*
+ 20714: 80c8.*
+ 20715: 80d0.*
+ 20716: 80d8.*
+ 20717: 80e0.*
+ 20718: 3fd8.*
+ 20719: 80e8.*
+ 2071a: 3fd9.*
+ 2071b: 80f0.*
+ 2071c: 3fda.*
+ 2071d: 80f8.*
+ 2071e: 3fdb.*
+ 2071f: 8081.*
+ 20720: 8089.*
+ 20721: 8091.*
+ 20722: 8099.*
+ 20723: 80a1.*
+ 20724: 80a9.*
+ 20725: 80b1.*
+ 20726: 80b9.*
+ 20727: 80c1.*
+ 20728: 80c9.*
+ 20729: 80d1.*
+ 2072a: 80d9.*
+ 2072b: 80e1.*
+ 2072c: 3fdc.*
+ 2072d: 80e9.*
+ 2072e: 3fdd.*
+ 2072f: 80f1.*
+ 20730: 3fde.*
+ 20731: 80f8.*
+ 20732: 3fdf.*
+ 20733: 8082.*
+ 20734: 808a.*
+ 20735: 8092.*
+ 20736: 809a.*
+ 20737: 80a2.*
+ 20738: 80aa.*
+ 20739: 80b2.*
+ 2073a: 80ba.*
+ 2073b: 80c2.*
+ 2073c: 80ca.*
+ 2073d: 80d2.*
+ 2073e: 80da.*
+ 2073f: 80e2.*
+ 20740: 3fe0.*
+ 20741: 80ea.*
+ 20742: 3fe1.*
+ 20743: 80f2.*
+ 20744: 3fe2.*
+ 20745: 80f8.*
+ 20746: 3fe3.*
+ 20747: 8083.*
+ 20748: 808b.*
+ 20749: 8093.*
+ 2074a: 809b.*
+ 2074b: 80a3.*
+ 2074c: 80ab.*
+ 2074d: 80b3.*
+ 2074e: 80bb.*
+ 2074f: 80c3.*
+ 20750: 80cb.*
+ 20751: 80d3.*
+ 20752: 80db.*
+ 20753: 80e3.*
+ 20754: 3fe4.*
+ 20755: 80eb.*
+ 20756: 3fe5.*
+ 20757: 80f3.*
+ 20758: 3fe6.*
+ 20759: 80f8.*
+ 2075a: 3fe7.*
+ 2075b: 8084.*
+ 2075c: 808c.*
+ 2075d: 8094.*
+ 2075e: 809c.*
+ 2075f: 80a4.*
+ 20760: 80ac.*
+ 20761: 80b4.*
+ 20762: 80bc.*
+ 20763: 80c4.*
+ 20764: 80cc.*
+ 20765: 80d4.*
+ 20766: 80dc.*
+ 20767: 80e4.*
+ 20768: 3fe8.*
+ 20769: 80ec.*
+ 2076a: 3fe9.*
+ 2076b: 80f4.*
+ 2076c: 3fea.*
+ 2076d: 80f8.*
+ 2076e: 3feb.*
+ 2076f: 8085.*
+ 20770: 808d.*
+ 20771: 8095.*
+ 20772: 809d.*
+ 20773: 80a5.*
+ 20774: 80ad.*
+ 20775: 80b5.*
+ 20776: 80bd.*
+ 20777: 80c5.*
+ 20778: 80cd.*
+ 20779: 80d5.*
+ 2077a: 80dd.*
+ 2077b: 80e5.*
+ 2077c: 3fec.*
+ 2077d: 80ed.*
+ 2077e: 3fed.*
+ 2077f: 80f5.*
+ 20780: 3fee.*
+ 20781: 80f8.*
+ 20782: 3fef.*
+ 20783: 8086.*
+ 20784: 808e.*
+ 20785: 8096.*
+ 20786: 809e.*
+ 20787: 80a6.*
+ 20788: 80ae.*
+ 20789: 80b6.*
+ 2078a: 80be.*
+ 2078b: 80c6.*
+ 2078c: 80ce.*
+ 2078d: 80d6.*
+ 2078e: 80de.*
+ 2078f: 80e6.*
+ 20790: 3ff0.*
+ 20791: 80ee.*
+ 20792: 3ff1.*
+ 20793: 80f6.*
+ 20794: 3ff2.*
+ 20795: 80f8.*
+ 20796: 3ff3.*
+ 20797: 8087.*
+ 20798: 808f.*
+ 20799: 8097.*
+ 2079a: 809f.*
+ 2079b: 80a7.*
+ 2079c: 80af.*
+ 2079d: 80b7.*
+ 2079e: 80bf.*
+ 2079f: 80c7.*
+ 207a0: 80cf.*
+ 207a1: 80d7.*
+ 207a2: 80df.*
+ 207a3: 80e7.*
+ 207a4: 3ff4.*
+ 207a5: 80ef.*
+ 207a6: 3ff5.*
+ 207a7: 80f7.*
+ 207a8: 3ff6.*
+ 207a9: 80f8.*
+ 207aa: 3ff7.*
+ 207ab: 6f80.*
+ 207ac: 0c90.*
+ 207ad: 6f88.*
+ 207ae: 0c90.*
+ 207af: 6f90.*
+ 207b0: 0c90.*
+ 207b1: 6f98.*
+ 207b2: 0c90.*
+ 207b3: 6fa0.*
+ 207b4: 0c90.*
+ 207b5: 6fa8.*
+ 207b6: 0c90.*
+ 207b7: 6fb0.*
+ 207b8: 0c90.*
+ 207b9: 6fb8.*
+ 207ba: 0c90.*
+ 207bb: 6fc0.*
+ 207bc: 0c90.*
+ 207bd: 6fc8.*
+ 207be: 0c90.*
+ 207bf: 6fd0.*
+ 207c0: 0c90.*
+ 207c1: 6fd8.*
+ 207c2: 0c90.*
+ 207c3: 6fe0.*
+ 207c4: 3ff8.*
+ 207c5: 0c90.*
+ 207c6: 6fe8.*
+ 207c7: 3ff9.*
+ 207c8: 0c90.*
+ 207c9: 6ff0.*
+ 207ca: 3ffa.*
+ 207cb: 0c90.*
+ 207cc: 6ff8.*
+ 207cd: 3ffb.*
+ 207ce: 0c90.*
+ 207cf: 6f81.*
+ 207d0: 0c90.*
+ 207d1: 6f89.*
+ 207d2: 0c90.*
+ 207d3: 6f91.*
+ 207d4: 0c90.*
+ 207d5: 6f99.*
+ 207d6: 0c90.*
+ 207d7: 6fa1.*
+ 207d8: 0c90.*
+ 207d9: 6fa9.*
+ 207da: 0c90.*
+ 207db: 6fb1.*
+ 207dc: 0c90.*
+ 207dd: 6fb9.*
+ 207de: 0c90.*
+ 207df: 6fc1.*
+ 207e0: 0c90.*
+ 207e1: 6fc9.*
+ 207e2: 0c90.*
+ 207e3: 6fd1.*
+ 207e4: 0c90.*
+ 207e5: 6fd9.*
+ 207e6: 0c90.*
+ 207e7: 6fe1.*
+ 207e8: 3ffc.*
+ 207e9: 0c90.*
+ 207ea: 6fe9.*
+ 207eb: 3ffd.*
+ 207ec: 0c90.*
+ 207ed: 6ff1.*
+ 207ee: 3ffe.*
+ 207ef: 0c90.*
+ 207f0: 6ff8.*
+ 207f1: 3fff.*
+ 207f2: 0c90.*
+ 207f3: 6f82.*
+ 207f4: 0c90.*
+ 207f5: 6f8a.*
+ 207f6: 0c90.*
+ 207f7: 6f92.*
+ 207f8: 0c90.*
+ 207f9: 6f9a.*
+ 207fa: 0c90.*
+ 207fb: 6fa2.*
+ 207fc: 0c90.*
+ 207fd: 6faa.*
+ 207fe: 0c90.*
+ 207ff: 6fb2.*
+ 20800: 0c90.*
+ 20801: 6fba.*
+ 20802: 0c90.*
+ 20803: 6fc2.*
+ 20804: 0c90.*
+ 20805: 6fca.*
+ 20806: 0c90.*
+ 20807: 6fd2.*
+ 20808: 0c90.*
+ 20809: 6fda.*
+ 2080a: 0c90.*
+ 2080b: 6fe2.*
+ 2080c: 4000.*
+ 2080d: 0c90.*
+ 2080e: 6fea.*
+ 2080f: 4001.*
+ 20810: 0c90.*
+ 20811: 6ff2.*
+ 20812: 4002.*
+ 20813: 0c90.*
+ 20814: 6ff8.*
+ 20815: 4003.*
+ 20816: 0c90.*
+ 20817: 6f83.*
+ 20818: 0c90.*
+ 20819: 6f8b.*
+ 2081a: 0c90.*
+ 2081b: 6f93.*
+ 2081c: 0c90.*
+ 2081d: 6f9b.*
+ 2081e: 0c90.*
+ 2081f: 6fa3.*
+ 20820: 0c90.*
+ 20821: 6fab.*
+ 20822: 0c90.*
+ 20823: 6fb3.*
+ 20824: 0c90.*
+ 20825: 6fbb.*
+ 20826: 0c90.*
+ 20827: 6fc3.*
+ 20828: 0c90.*
+ 20829: 6fcb.*
+ 2082a: 0c90.*
+ 2082b: 6fd3.*
+ 2082c: 0c90.*
+ 2082d: 6fdb.*
+ 2082e: 0c90.*
+ 2082f: 6fe3.*
+ 20830: 4004.*
+ 20831: 0c90.*
+ 20832: 6feb.*
+ 20833: 4005.*
+ 20834: 0c90.*
+ 20835: 6ff3.*
+ 20836: 4006.*
+ 20837: 0c90.*
+ 20838: 6ff8.*
+ 20839: 4007.*
+ 2083a: 0c90.*
+ 2083b: 6f84.*
+ 2083c: 0c90.*
+ 2083d: 6f8c.*
+ 2083e: 0c90.*
+ 2083f: 6f94.*
+ 20840: 0c90.*
+ 20841: 6f9c.*
+ 20842: 0c90.*
+ 20843: 6fa4.*
+ 20844: 0c90.*
+ 20845: 6fac.*
+ 20846: 0c90.*
+ 20847: 6fb4.*
+ 20848: 0c90.*
+ 20849: 6fbc.*
+ 2084a: 0c90.*
+ 2084b: 6fc4.*
+ 2084c: 0c90.*
+ 2084d: 6fcc.*
+ 2084e: 0c90.*
+ 2084f: 6fd4.*
+ 20850: 0c90.*
+ 20851: 6fdc.*
+ 20852: 0c90.*
+ 20853: 6fe4.*
+ 20854: 4008.*
+ 20855: 0c90.*
+ 20856: 6fec.*
+ 20857: 4009.*
+ 20858: 0c90.*
+ 20859: 6ff4.*
+ 2085a: 400a.*
+ 2085b: 0c90.*
+ 2085c: 6ff8.*
+ 2085d: 400b.*
+ 2085e: 0c90.*
+ 2085f: 6f85.*
+ 20860: 0c90.*
+ 20861: 6f8d.*
+ 20862: 0c90.*
+ 20863: 6f95.*
+ 20864: 0c90.*
+ 20865: 6f9d.*
+ 20866: 0c90.*
+ 20867: 6fa5.*
+ 20868: 0c90.*
+ 20869: 6fad.*
+ 2086a: 0c90.*
+ 2086b: 6fb5.*
+ 2086c: 0c90.*
+ 2086d: 6fbd.*
+ 2086e: 0c90.*
+ 2086f: 6fc5.*
+ 20870: 0c90.*
+ 20871: 6fcd.*
+ 20872: 0c90.*
+ 20873: 6fd5.*
+ 20874: 0c90.*
+ 20875: 6fdd.*
+ 20876: 0c90.*
+ 20877: 6fe5.*
+ 20878: 400c.*
+ 20879: 0c90.*
+ 2087a: 6fed.*
+ 2087b: 400d.*
+ 2087c: 0c90.*
+ 2087d: 6ff5.*
+ 2087e: 400e.*
+ 2087f: 0c90.*
+ 20880: 6ff8.*
+ 20881: 400f.*
+ 20882: 0c90.*
+ 20883: 6f86.*
+ 20884: 0c90.*
+ 20885: 6f8e.*
+ 20886: 0c90.*
+ 20887: 6f96.*
+ 20888: 0c90.*
+ 20889: 6f9e.*
+ 2088a: 0c90.*
+ 2088b: 6fa6.*
+ 2088c: 0c90.*
+ 2088d: 6fae.*
+ 2088e: 0c90.*
+ 2088f: 6fb6.*
+ 20890: 0c90.*
+ 20891: 6fbe.*
+ 20892: 0c90.*
+ 20893: 6fc6.*
+ 20894: 0c90.*
+ 20895: 6fce.*
+ 20896: 0c90.*
+ 20897: 6fd6.*
+ 20898: 0c90.*
+ 20899: 6fde.*
+ 2089a: 0c90.*
+ 2089b: 6fe6.*
+ 2089c: 4010.*
+ 2089d: 0c90.*
+ 2089e: 6fee.*
+ 2089f: 4011.*
+ 208a0: 0c90.*
+ 208a1: 6ff6.*
+ 208a2: 4012.*
+ 208a3: 0c90.*
+ 208a4: 6ff8.*
+ 208a5: 4013.*
+ 208a6: 0c90.*
+ 208a7: 6f87.*
+ 208a8: 0c90.*
+ 208a9: 6f8f.*
+ 208aa: 0c90.*
+ 208ab: 6f97.*
+ 208ac: 0c90.*
+ 208ad: 6f9f.*
+ 208ae: 0c90.*
+ 208af: 6fa7.*
+ 208b0: 0c90.*
+ 208b1: 6faf.*
+ 208b2: 0c90.*
+ 208b3: 6fb7.*
+ 208b4: 0c90.*
+ 208b5: 6fbf.*
+ 208b6: 0c90.*
+ 208b7: 6fc7.*
+ 208b8: 0c90.*
+ 208b9: 6fcf.*
+ 208ba: 0c90.*
+ 208bb: 6fd7.*
+ 208bc: 0c90.*
+ 208bd: 6fdf.*
+ 208be: 0c90.*
+ 208bf: 6fe7.*
+ 208c0: 4014.*
+ 208c1: 0c90.*
+ 208c2: 6fef.*
+ 208c3: 4015.*
+ 208c4: 0c90.*
+ 208c5: 6ff7.*
+ 208c6: 4016.*
+ 208c7: 0c90.*
+ 208c8: 6ff8.*
+ 208c9: 4017.*
+ 208ca: 0c90.*
+ 208cb: 6f80.*
+ 208cc: 0c91.*
+ 208cd: 6f88.*
+ 208ce: 0c91.*
+ 208cf: 6f90.*
+ 208d0: 0c91.*
+ 208d1: 6f98.*
+ 208d2: 0c91.*
+ 208d3: 6fa0.*
+ 208d4: 0c91.*
+ 208d5: 6fa8.*
+ 208d6: 0c91.*
+ 208d7: 6fb0.*
+ 208d8: 0c91.*
+ 208d9: 6fb8.*
+ 208da: 0c91.*
+ 208db: 6fc0.*
+ 208dc: 0c91.*
+ 208dd: 6fc8.*
+ 208de: 0c91.*
+ 208df: 6fd0.*
+ 208e0: 0c91.*
+ 208e1: 6fd8.*
+ 208e2: 0c91.*
+ 208e3: 6fe0.*
+ 208e4: 4018.*
+ 208e5: 0c91.*
+ 208e6: 6fe8.*
+ 208e7: 4019.*
+ 208e8: 0c91.*
+ 208e9: 6ff0.*
+ 208ea: 401a.*
+ 208eb: 0c91.*
+ 208ec: 6ff8.*
+ 208ed: 401b.*
+ 208ee: 0c91.*
+ 208ef: 6f81.*
+ 208f0: 0c91.*
+ 208f1: 6f89.*
+ 208f2: 0c91.*
+ 208f3: 6f91.*
+ 208f4: 0c91.*
+ 208f5: 6f99.*
+ 208f6: 0c91.*
+ 208f7: 6fa1.*
+ 208f8: 0c91.*
+ 208f9: 6fa9.*
+ 208fa: 0c91.*
+ 208fb: 6fb1.*
+ 208fc: 0c91.*
+ 208fd: 6fb9.*
+ 208fe: 0c91.*
+ 208ff: 6fc1.*
+ 20900: 0c91.*
+ 20901: 6fc9.*
+ 20902: 0c91.*
+ 20903: 6fd1.*
+ 20904: 0c91.*
+ 20905: 6fd9.*
+ 20906: 0c91.*
+ 20907: 6fe1.*
+ 20908: 401c.*
+ 20909: 0c91.*
+ 2090a: 6fe9.*
+ 2090b: 401d.*
+ 2090c: 0c91.*
+ 2090d: 6ff1.*
+ 2090e: 401e.*
+ 2090f: 0c91.*
+ 20910: 6ff8.*
+ 20911: 401f.*
+ 20912: 0c91.*
+ 20913: 6f82.*
+ 20914: 0c91.*
+ 20915: 6f8a.*
+ 20916: 0c91.*
+ 20917: 6f92.*
+ 20918: 0c91.*
+ 20919: 6f9a.*
+ 2091a: 0c91.*
+ 2091b: 6fa2.*
+ 2091c: 0c91.*
+ 2091d: 6faa.*
+ 2091e: 0c91.*
+ 2091f: 6fb2.*
+ 20920: 0c91.*
+ 20921: 6fba.*
+ 20922: 0c91.*
+ 20923: 6fc2.*
+ 20924: 0c91.*
+ 20925: 6fca.*
+ 20926: 0c91.*
+ 20927: 6fd2.*
+ 20928: 0c91.*
+ 20929: 6fda.*
+ 2092a: 0c91.*
+ 2092b: 6fe2.*
+ 2092c: 4020.*
+ 2092d: 0c91.*
+ 2092e: 6fea.*
+ 2092f: 4021.*
+ 20930: 0c91.*
+ 20931: 6ff2.*
+ 20932: 4022.*
+ 20933: 0c91.*
+ 20934: 6ff8.*
+ 20935: 4023.*
+ 20936: 0c91.*
+ 20937: 6f83.*
+ 20938: 0c91.*
+ 20939: 6f8b.*
+ 2093a: 0c91.*
+ 2093b: 6f93.*
+ 2093c: 0c91.*
+ 2093d: 6f9b.*
+ 2093e: 0c91.*
+ 2093f: 6fa3.*
+ 20940: 0c91.*
+ 20941: 6fab.*
+ 20942: 0c91.*
+ 20943: 6fb3.*
+ 20944: 0c91.*
+ 20945: 6fbb.*
+ 20946: 0c91.*
+ 20947: 6fc3.*
+ 20948: 0c91.*
+ 20949: 6fcb.*
+ 2094a: 0c91.*
+ 2094b: 6fd3.*
+ 2094c: 0c91.*
+ 2094d: 6fdb.*
+ 2094e: 0c91.*
+ 2094f: 6fe3.*
+ 20950: 4024.*
+ 20951: 0c91.*
+ 20952: 6feb.*
+ 20953: 4025.*
+ 20954: 0c91.*
+ 20955: 6ff3.*
+ 20956: 4026.*
+ 20957: 0c91.*
+ 20958: 6ff8.*
+ 20959: 4027.*
+ 2095a: 0c91.*
+ 2095b: 6f84.*
+ 2095c: 0c91.*
+ 2095d: 6f8c.*
+ 2095e: 0c91.*
+ 2095f: 6f94.*
+ 20960: 0c91.*
+ 20961: 6f9c.*
+ 20962: 0c91.*
+ 20963: 6fa4.*
+ 20964: 0c91.*
+ 20965: 6fac.*
+ 20966: 0c91.*
+ 20967: 6fb4.*
+ 20968: 0c91.*
+ 20969: 6fbc.*
+ 2096a: 0c91.*
+ 2096b: 6fc4.*
+ 2096c: 0c91.*
+ 2096d: 6fcc.*
+ 2096e: 0c91.*
+ 2096f: 6fd4.*
+ 20970: 0c91.*
+ 20971: 6fdc.*
+ 20972: 0c91.*
+ 20973: 6fe4.*
+ 20974: 4028.*
+ 20975: 0c91.*
+ 20976: 6fec.*
+ 20977: 4029.*
+ 20978: 0c91.*
+ 20979: 6ff4.*
+ 2097a: 402a.*
+ 2097b: 0c91.*
+ 2097c: 6ff8.*
+ 2097d: 402b.*
+ 2097e: 0c91.*
+ 2097f: 6f85.*
+ 20980: 0c91.*
+ 20981: 6f8d.*
+ 20982: 0c91.*
+ 20983: 6f95.*
+ 20984: 0c91.*
+ 20985: 6f9d.*
+ 20986: 0c91.*
+ 20987: 6fa5.*
+ 20988: 0c91.*
+ 20989: 6fad.*
+ 2098a: 0c91.*
+ 2098b: 6fb5.*
+ 2098c: 0c91.*
+ 2098d: 6fbd.*
+ 2098e: 0c91.*
+ 2098f: 6fc5.*
+ 20990: 0c91.*
+ 20991: 6fcd.*
+ 20992: 0c91.*
+ 20993: 6fd5.*
+ 20994: 0c91.*
+ 20995: 6fdd.*
+ 20996: 0c91.*
+ 20997: 6fe5.*
+ 20998: 402c.*
+ 20999: 0c91.*
+ 2099a: 6fed.*
+ 2099b: 402d.*
+ 2099c: 0c91.*
+ 2099d: 6ff5.*
+ 2099e: 402e.*
+ 2099f: 0c91.*
+ 209a0: 6ff8.*
+ 209a1: 402f.*
+ 209a2: 0c91.*
+ 209a3: 6f86.*
+ 209a4: 0c91.*
+ 209a5: 6f8e.*
+ 209a6: 0c91.*
+ 209a7: 6f96.*
+ 209a8: 0c91.*
+ 209a9: 6f9e.*
+ 209aa: 0c91.*
+ 209ab: 6fa6.*
+ 209ac: 0c91.*
+ 209ad: 6fae.*
+ 209ae: 0c91.*
+ 209af: 6fb6.*
+ 209b0: 0c91.*
+ 209b1: 6fbe.*
+ 209b2: 0c91.*
+ 209b3: 6fc6.*
+ 209b4: 0c91.*
+ 209b5: 6fce.*
+ 209b6: 0c91.*
+ 209b7: 6fd6.*
+ 209b8: 0c91.*
+ 209b9: 6fde.*
+ 209ba: 0c91.*
+ 209bb: 6fe6.*
+ 209bc: 4030.*
+ 209bd: 0c91.*
+ 209be: 6fee.*
+ 209bf: 4031.*
+ 209c0: 0c91.*
+ 209c1: 6ff6.*
+ 209c2: 4032.*
+ 209c3: 0c91.*
+ 209c4: 6ff8.*
+ 209c5: 4033.*
+ 209c6: 0c91.*
+ 209c7: 6f87.*
+ 209c8: 0c91.*
+ 209c9: 6f8f.*
+ 209ca: 0c91.*
+ 209cb: 6f97.*
+ 209cc: 0c91.*
+ 209cd: 6f9f.*
+ 209ce: 0c91.*
+ 209cf: 6fa7.*
+ 209d0: 0c91.*
+ 209d1: 6faf.*
+ 209d2: 0c91.*
+ 209d3: 6fb7.*
+ 209d4: 0c91.*
+ 209d5: 6fbf.*
+ 209d6: 0c91.*
+ 209d7: 6fc7.*
+ 209d8: 0c91.*
+ 209d9: 6fcf.*
+ 209da: 0c91.*
+ 209db: 6fd7.*
+ 209dc: 0c91.*
+ 209dd: 6fdf.*
+ 209de: 0c91.*
+ 209df: 6fe7.*
+ 209e0: 4034.*
+ 209e1: 0c91.*
+ 209e2: 6fef.*
+ 209e3: 4035.*
+ 209e4: 0c91.*
+ 209e5: 6ff7.*
+ 209e6: 4036.*
+ 209e7: 0c91.*
+ 209e8: 6ff8.*
+ 209e9: 4037.*
+ 209ea: 0c91.*
+ 209eb: 6f80.*
+ 209ec: 0c92.*
+ 209ed: 6f88.*
+ 209ee: 0c92.*
+ 209ef: 6f90.*
+ 209f0: 0c92.*
+ 209f1: 6f98.*
+ 209f2: 0c92.*
+ 209f3: 6fa0.*
+ 209f4: 0c92.*
+ 209f5: 6fa8.*
+ 209f6: 0c92.*
+ 209f7: 6fb0.*
+ 209f8: 0c92.*
+ 209f9: 6fb8.*
+ 209fa: 0c92.*
+ 209fb: 6fc0.*
+ 209fc: 0c92.*
+ 209fd: 6fc8.*
+ 209fe: 0c92.*
+ 209ff: 6fd0.*
+ 20a00: 0c92.*
+ 20a01: 6fd8.*
+ 20a02: 0c92.*
+ 20a03: 6fe0.*
+ 20a04: 4038.*
+ 20a05: 0c92.*
+ 20a06: 6fe8.*
+ 20a07: 4039.*
+ 20a08: 0c92.*
+ 20a09: 6ff0.*
+ 20a0a: 403a.*
+ 20a0b: 0c92.*
+ 20a0c: 6ff8.*
+ 20a0d: 403b.*
+ 20a0e: 0c92.*
+ 20a0f: 6f81.*
+ 20a10: 0c92.*
+ 20a11: 6f89.*
+ 20a12: 0c92.*
+ 20a13: 6f91.*
+ 20a14: 0c92.*
+ 20a15: 6f99.*
+ 20a16: 0c92.*
+ 20a17: 6fa1.*
+ 20a18: 0c92.*
+ 20a19: 6fa9.*
+ 20a1a: 0c92.*
+ 20a1b: 6fb1.*
+ 20a1c: 0c92.*
+ 20a1d: 6fb9.*
+ 20a1e: 0c92.*
+ 20a1f: 6fc1.*
+ 20a20: 0c92.*
+ 20a21: 6fc9.*
+ 20a22: 0c92.*
+ 20a23: 6fd1.*
+ 20a24: 0c92.*
+ 20a25: 6fd9.*
+ 20a26: 0c92.*
+ 20a27: 6fe1.*
+ 20a28: 403c.*
+ 20a29: 0c92.*
+ 20a2a: 6fe9.*
+ 20a2b: 403d.*
+ 20a2c: 0c92.*
+ 20a2d: 6ff1.*
+ 20a2e: 403e.*
+ 20a2f: 0c92.*
+ 20a30: 6ff8.*
+ 20a31: 403f.*
+ 20a32: 0c92.*
+ 20a33: 6f82.*
+ 20a34: 0c92.*
+ 20a35: 6f8a.*
+ 20a36: 0c92.*
+ 20a37: 6f92.*
+ 20a38: 0c92.*
+ 20a39: 6f9a.*
+ 20a3a: 0c92.*
+ 20a3b: 6fa2.*
+ 20a3c: 0c92.*
+ 20a3d: 6faa.*
+ 20a3e: 0c92.*
+ 20a3f: 6fb2.*
+ 20a40: 0c92.*
+ 20a41: 6fba.*
+ 20a42: 0c92.*
+ 20a43: 6fc2.*
+ 20a44: 0c92.*
+ 20a45: 6fca.*
+ 20a46: 0c92.*
+ 20a47: 6fd2.*
+ 20a48: 0c92.*
+ 20a49: 6fda.*
+ 20a4a: 0c92.*
+ 20a4b: 6fe2.*
+ 20a4c: 4040.*
+ 20a4d: 0c92.*
+ 20a4e: 6fea.*
+ 20a4f: 4041.*
+ 20a50: 0c92.*
+ 20a51: 6ff2.*
+ 20a52: 4042.*
+ 20a53: 0c92.*
+ 20a54: 6ff8.*
+ 20a55: 4043.*
+ 20a56: 0c92.*
+ 20a57: 6f83.*
+ 20a58: 0c92.*
+ 20a59: 6f8b.*
+ 20a5a: 0c92.*
+ 20a5b: 6f93.*
+ 20a5c: 0c92.*
+ 20a5d: 6f9b.*
+ 20a5e: 0c92.*
+ 20a5f: 6fa3.*
+ 20a60: 0c92.*
+ 20a61: 6fab.*
+ 20a62: 0c92.*
+ 20a63: 6fb3.*
+ 20a64: 0c92.*
+ 20a65: 6fbb.*
+ 20a66: 0c92.*
+ 20a67: 6fc3.*
+ 20a68: 0c92.*
+ 20a69: 6fcb.*
+ 20a6a: 0c92.*
+ 20a6b: 6fd3.*
+ 20a6c: 0c92.*
+ 20a6d: 6fdb.*
+ 20a6e: 0c92.*
+ 20a6f: 6fe3.*
+ 20a70: 4044.*
+ 20a71: 0c92.*
+ 20a72: 6feb.*
+ 20a73: 4045.*
+ 20a74: 0c92.*
+ 20a75: 6ff3.*
+ 20a76: 4046.*
+ 20a77: 0c92.*
+ 20a78: 6ff8.*
+ 20a79: 4047.*
+ 20a7a: 0c92.*
+ 20a7b: 6f84.*
+ 20a7c: 0c92.*
+ 20a7d: 6f8c.*
+ 20a7e: 0c92.*
+ 20a7f: 6f94.*
+ 20a80: 0c92.*
+ 20a81: 6f9c.*
+ 20a82: 0c92.*
+ 20a83: 6fa4.*
+ 20a84: 0c92.*
+ 20a85: 6fac.*
+ 20a86: 0c92.*
+ 20a87: 6fb4.*
+ 20a88: 0c92.*
+ 20a89: 6fbc.*
+ 20a8a: 0c92.*
+ 20a8b: 6fc4.*
+ 20a8c: 0c92.*
+ 20a8d: 6fcc.*
+ 20a8e: 0c92.*
+ 20a8f: 6fd4.*
+ 20a90: 0c92.*
+ 20a91: 6fdc.*
+ 20a92: 0c92.*
+ 20a93: 6fe4.*
+ 20a94: 4048.*
+ 20a95: 0c92.*
+ 20a96: 6fec.*
+ 20a97: 4049.*
+ 20a98: 0c92.*
+ 20a99: 6ff4.*
+ 20a9a: 404a.*
+ 20a9b: 0c92.*
+ 20a9c: 6ff8.*
+ 20a9d: 404b.*
+ 20a9e: 0c92.*
+ 20a9f: 6f85.*
+ 20aa0: 0c92.*
+ 20aa1: 6f8d.*
+ 20aa2: 0c92.*
+ 20aa3: 6f95.*
+ 20aa4: 0c92.*
+ 20aa5: 6f9d.*
+ 20aa6: 0c92.*
+ 20aa7: 6fa5.*
+ 20aa8: 0c92.*
+ 20aa9: 6fad.*
+ 20aaa: 0c92.*
+ 20aab: 6fb5.*
+ 20aac: 0c92.*
+ 20aad: 6fbd.*
+ 20aae: 0c92.*
+ 20aaf: 6fc5.*
+ 20ab0: 0c92.*
+ 20ab1: 6fcd.*
+ 20ab2: 0c92.*
+ 20ab3: 6fd5.*
+ 20ab4: 0c92.*
+ 20ab5: 6fdd.*
+ 20ab6: 0c92.*
+ 20ab7: 6fe5.*
+ 20ab8: 404c.*
+ 20ab9: 0c92.*
+ 20aba: 6fed.*
+ 20abb: 404d.*
+ 20abc: 0c92.*
+ 20abd: 6ff5.*
+ 20abe: 404e.*
+ 20abf: 0c92.*
+ 20ac0: 6ff8.*
+ 20ac1: 404f.*
+ 20ac2: 0c92.*
+ 20ac3: 6f86.*
+ 20ac4: 0c92.*
+ 20ac5: 6f8e.*
+ 20ac6: 0c92.*
+ 20ac7: 6f96.*
+ 20ac8: 0c92.*
+ 20ac9: 6f9e.*
+ 20aca: 0c92.*
+ 20acb: 6fa6.*
+ 20acc: 0c92.*
+ 20acd: 6fae.*
+ 20ace: 0c92.*
+ 20acf: 6fb6.*
+ 20ad0: 0c92.*
+ 20ad1: 6fbe.*
+ 20ad2: 0c92.*
+ 20ad3: 6fc6.*
+ 20ad4: 0c92.*
+ 20ad5: 6fce.*
+ 20ad6: 0c92.*
+ 20ad7: 6fd6.*
+ 20ad8: 0c92.*
+ 20ad9: 6fde.*
+ 20ada: 0c92.*
+ 20adb: 6fe6.*
+ 20adc: 4050.*
+ 20add: 0c92.*
+ 20ade: 6fee.*
+ 20adf: 4051.*
+ 20ae0: 0c92.*
+ 20ae1: 6ff6.*
+ 20ae2: 4052.*
+ 20ae3: 0c92.*
+ 20ae4: 6ff8.*
+ 20ae5: 4053.*
+ 20ae6: 0c92.*
+ 20ae7: 6f87.*
+ 20ae8: 0c92.*
+ 20ae9: 6f8f.*
+ 20aea: 0c92.*
+ 20aeb: 6f97.*
+ 20aec: 0c92.*
+ 20aed: 6f9f.*
+ 20aee: 0c92.*
+ 20aef: 6fa7.*
+ 20af0: 0c92.*
+ 20af1: 6faf.*
+ 20af2: 0c92.*
+ 20af3: 6fb7.*
+ 20af4: 0c92.*
+ 20af5: 6fbf.*
+ 20af6: 0c92.*
+ 20af7: 6fc7.*
+ 20af8: 0c92.*
+ 20af9: 6fcf.*
+ 20afa: 0c92.*
+ 20afb: 6fd7.*
+ 20afc: 0c92.*
+ 20afd: 6fdf.*
+ 20afe: 0c92.*
+ 20aff: 6fe7.*
+ 20b00: 4054.*
+ 20b01: 0c92.*
+ 20b02: 6fef.*
+ 20b03: 4055.*
+ 20b04: 0c92.*
+ 20b05: 6ff7.*
+ 20b06: 4056.*
+ 20b07: 0c92.*
+ 20b08: 6ff8.*
+ 20b09: 4057.*
+ 20b0a: 0c92.*
+ 20b0b: 6f80.*
+ 20b0c: 0c93.*
+ 20b0d: 6f88.*
+ 20b0e: 0c93.*
+ 20b0f: 6f90.*
+ 20b10: 0c93.*
+ 20b11: 6f98.*
+ 20b12: 0c93.*
+ 20b13: 6fa0.*
+ 20b14: 0c93.*
+ 20b15: 6fa8.*
+ 20b16: 0c93.*
+ 20b17: 6fb0.*
+ 20b18: 0c93.*
+ 20b19: 6fb8.*
+ 20b1a: 0c93.*
+ 20b1b: 6fc0.*
+ 20b1c: 0c93.*
+ 20b1d: 6fc8.*
+ 20b1e: 0c93.*
+ 20b1f: 6fd0.*
+ 20b20: 0c93.*
+ 20b21: 6fd8.*
+ 20b22: 0c93.*
+ 20b23: 6fe0.*
+ 20b24: 4058.*
+ 20b25: 0c93.*
+ 20b26: 6fe8.*
+ 20b27: 4059.*
+ 20b28: 0c93.*
+ 20b29: 6ff0.*
+ 20b2a: 405a.*
+ 20b2b: 0c93.*
+ 20b2c: 6ff8.*
+ 20b2d: 405b.*
+ 20b2e: 0c93.*
+ 20b2f: 6f81.*
+ 20b30: 0c93.*
+ 20b31: 6f89.*
+ 20b32: 0c93.*
+ 20b33: 6f91.*
+ 20b34: 0c93.*
+ 20b35: 6f99.*
+ 20b36: 0c93.*
+ 20b37: 6fa1.*
+ 20b38: 0c93.*
+ 20b39: 6fa9.*
+ 20b3a: 0c93.*
+ 20b3b: 6fb1.*
+ 20b3c: 0c93.*
+ 20b3d: 6fb9.*
+ 20b3e: 0c93.*
+ 20b3f: 6fc1.*
+ 20b40: 0c93.*
+ 20b41: 6fc9.*
+ 20b42: 0c93.*
+ 20b43: 6fd1.*
+ 20b44: 0c93.*
+ 20b45: 6fd9.*
+ 20b46: 0c93.*
+ 20b47: 6fe1.*
+ 20b48: 405c.*
+ 20b49: 0c93.*
+ 20b4a: 6fe9.*
+ 20b4b: 405d.*
+ 20b4c: 0c93.*
+ 20b4d: 6ff1.*
+ 20b4e: 405e.*
+ 20b4f: 0c93.*
+ 20b50: 6ff8.*
+ 20b51: 405f.*
+ 20b52: 0c93.*
+ 20b53: 6f82.*
+ 20b54: 0c93.*
+ 20b55: 6f8a.*
+ 20b56: 0c93.*
+ 20b57: 6f92.*
+ 20b58: 0c93.*
+ 20b59: 6f9a.*
+ 20b5a: 0c93.*
+ 20b5b: 6fa2.*
+ 20b5c: 0c93.*
+ 20b5d: 6faa.*
+ 20b5e: 0c93.*
+ 20b5f: 6fb2.*
+ 20b60: 0c93.*
+ 20b61: 6fba.*
+ 20b62: 0c93.*
+ 20b63: 6fc2.*
+ 20b64: 0c93.*
+ 20b65: 6fca.*
+ 20b66: 0c93.*
+ 20b67: 6fd2.*
+ 20b68: 0c93.*
+ 20b69: 6fda.*
+ 20b6a: 0c93.*
+ 20b6b: 6fe2.*
+ 20b6c: 4060.*
+ 20b6d: 0c93.*
+ 20b6e: 6fea.*
+ 20b6f: 4061.*
+ 20b70: 0c93.*
+ 20b71: 6ff2.*
+ 20b72: 4062.*
+ 20b73: 0c93.*
+ 20b74: 6ff8.*
+ 20b75: 4063.*
+ 20b76: 0c93.*
+ 20b77: 6f83.*
+ 20b78: 0c93.*
+ 20b79: 6f8b.*
+ 20b7a: 0c93.*
+ 20b7b: 6f93.*
+ 20b7c: 0c93.*
+ 20b7d: 6f9b.*
+ 20b7e: 0c93.*
+ 20b7f: 6fa3.*
+ 20b80: 0c93.*
+ 20b81: 6fab.*
+ 20b82: 0c93.*
+ 20b83: 6fb3.*
+ 20b84: 0c93.*
+ 20b85: 6fbb.*
+ 20b86: 0c93.*
+ 20b87: 6fc3.*
+ 20b88: 0c93.*
+ 20b89: 6fcb.*
+ 20b8a: 0c93.*
+ 20b8b: 6fd3.*
+ 20b8c: 0c93.*
+ 20b8d: 6fdb.*
+ 20b8e: 0c93.*
+ 20b8f: 6fe3.*
+ 20b90: 4064.*
+ 20b91: 0c93.*
+ 20b92: 6feb.*
+ 20b93: 4065.*
+ 20b94: 0c93.*
+ 20b95: 6ff3.*
+ 20b96: 4066.*
+ 20b97: 0c93.*
+ 20b98: 6ff8.*
+ 20b99: 4067.*
+ 20b9a: 0c93.*
+ 20b9b: 6f84.*
+ 20b9c: 0c93.*
+ 20b9d: 6f8c.*
+ 20b9e: 0c93.*
+ 20b9f: 6f94.*
+ 20ba0: 0c93.*
+ 20ba1: 6f9c.*
+ 20ba2: 0c93.*
+ 20ba3: 6fa4.*
+ 20ba4: 0c93.*
+ 20ba5: 6fac.*
+ 20ba6: 0c93.*
+ 20ba7: 6fb4.*
+ 20ba8: 0c93.*
+ 20ba9: 6fbc.*
+ 20baa: 0c93.*
+ 20bab: 6fc4.*
+ 20bac: 0c93.*
+ 20bad: 6fcc.*
+ 20bae: 0c93.*
+ 20baf: 6fd4.*
+ 20bb0: 0c93.*
+ 20bb1: 6fdc.*
+ 20bb2: 0c93.*
+ 20bb3: 6fe4.*
+ 20bb4: 4068.*
+ 20bb5: 0c93.*
+ 20bb6: 6fec.*
+ 20bb7: 4069.*
+ 20bb8: 0c93.*
+ 20bb9: 6ff4.*
+ 20bba: 406a.*
+ 20bbb: 0c93.*
+ 20bbc: 6ff8.*
+ 20bbd: 406b.*
+ 20bbe: 0c93.*
+ 20bbf: 6f85.*
+ 20bc0: 0c93.*
+ 20bc1: 6f8d.*
+ 20bc2: 0c93.*
+ 20bc3: 6f95.*
+ 20bc4: 0c93.*
+ 20bc5: 6f9d.*
+ 20bc6: 0c93.*
+ 20bc7: 6fa5.*
+ 20bc8: 0c93.*
+ 20bc9: 6fad.*
+ 20bca: 0c93.*
+ 20bcb: 6fb5.*
+ 20bcc: 0c93.*
+ 20bcd: 6fbd.*
+ 20bce: 0c93.*
+ 20bcf: 6fc5.*
+ 20bd0: 0c93.*
+ 20bd1: 6fcd.*
+ 20bd2: 0c93.*
+ 20bd3: 6fd5.*
+ 20bd4: 0c93.*
+ 20bd5: 6fdd.*
+ 20bd6: 0c93.*
+ 20bd7: 6fe5.*
+ 20bd8: 406c.*
+ 20bd9: 0c93.*
+ 20bda: 6fed.*
+ 20bdb: 406d.*
+ 20bdc: 0c93.*
+ 20bdd: 6ff5.*
+ 20bde: 406e.*
+ 20bdf: 0c93.*
+ 20be0: 6ff8.*
+ 20be1: 406f.*
+ 20be2: 0c93.*
+ 20be3: 6f86.*
+ 20be4: 0c93.*
+ 20be5: 6f8e.*
+ 20be6: 0c93.*
+ 20be7: 6f96.*
+ 20be8: 0c93.*
+ 20be9: 6f9e.*
+ 20bea: 0c93.*
+ 20beb: 6fa6.*
+ 20bec: 0c93.*
+ 20bed: 6fae.*
+ 20bee: 0c93.*
+ 20bef: 6fb6.*
+ 20bf0: 0c93.*
+ 20bf1: 6fbe.*
+ 20bf2: 0c93.*
+ 20bf3: 6fc6.*
+ 20bf4: 0c93.*
+ 20bf5: 6fce.*
+ 20bf6: 0c93.*
+ 20bf7: 6fd6.*
+ 20bf8: 0c93.*
+ 20bf9: 6fde.*
+ 20bfa: 0c93.*
+ 20bfb: 6fe6.*
+ 20bfc: 4070.*
+ 20bfd: 0c93.*
+ 20bfe: 6fee.*
+ 20bff: 4071.*
+ 20c00: 0c93.*
+ 20c01: 6ff6.*
+ 20c02: 4072.*
+ 20c03: 0c93.*
+ 20c04: 6ff8.*
+ 20c05: 4073.*
+ 20c06: 0c93.*
+ 20c07: 6f87.*
+ 20c08: 0c93.*
+ 20c09: 6f8f.*
+ 20c0a: 0c93.*
+ 20c0b: 6f97.*
+ 20c0c: 0c93.*
+ 20c0d: 6f9f.*
+ 20c0e: 0c93.*
+ 20c0f: 6fa7.*
+ 20c10: 0c93.*
+ 20c11: 6faf.*
+ 20c12: 0c93.*
+ 20c13: 6fb7.*
+ 20c14: 0c93.*
+ 20c15: 6fbf.*
+ 20c16: 0c93.*
+ 20c17: 6fc7.*
+ 20c18: 0c93.*
+ 20c19: 6fcf.*
+ 20c1a: 0c93.*
+ 20c1b: 6fd7.*
+ 20c1c: 0c93.*
+ 20c1d: 6fdf.*
+ 20c1e: 0c93.*
+ 20c1f: 6fe7.*
+ 20c20: 4074.*
+ 20c21: 0c93.*
+ 20c22: 6fef.*
+ 20c23: 4075.*
+ 20c24: 0c93.*
+ 20c25: 6ff7.*
+ 20c26: 4076.*
+ 20c27: 0c93.*
+ 20c28: 6ff8.*
+ 20c29: 4077.*
+ 20c2a: 0c93.*
+ 20c2b: 6f80.*
+ 20c2c: 0c94.*
+ 20c2d: 6f88.*
+ 20c2e: 0c94.*
+ 20c2f: 6f90.*
+ 20c30: 0c94.*
+ 20c31: 6f98.*
+ 20c32: 0c94.*
+ 20c33: 6fa0.*
+ 20c34: 0c94.*
+ 20c35: 6fa8.*
+ 20c36: 0c94.*
+ 20c37: 6fb0.*
+ 20c38: 0c94.*
+ 20c39: 6fb8.*
+ 20c3a: 0c94.*
+ 20c3b: 6fc0.*
+ 20c3c: 0c94.*
+ 20c3d: 6fc8.*
+ 20c3e: 0c94.*
+ 20c3f: 6fd0.*
+ 20c40: 0c94.*
+ 20c41: 6fd8.*
+ 20c42: 0c94.*
+ 20c43: 6fe0.*
+ 20c44: 4078.*
+ 20c45: 0c94.*
+ 20c46: 6fe8.*
+ 20c47: 4079.*
+ 20c48: 0c94.*
+ 20c49: 6ff0.*
+ 20c4a: 407a.*
+ 20c4b: 0c94.*
+ 20c4c: 6ff8.*
+ 20c4d: 407b.*
+ 20c4e: 0c94.*
+ 20c4f: 6f81.*
+ 20c50: 0c94.*
+ 20c51: 6f89.*
+ 20c52: 0c94.*
+ 20c53: 6f91.*
+ 20c54: 0c94.*
+ 20c55: 6f99.*
+ 20c56: 0c94.*
+ 20c57: 6fa1.*
+ 20c58: 0c94.*
+ 20c59: 6fa9.*
+ 20c5a: 0c94.*
+ 20c5b: 6fb1.*
+ 20c5c: 0c94.*
+ 20c5d: 6fb9.*
+ 20c5e: 0c94.*
+ 20c5f: 6fc1.*
+ 20c60: 0c94.*
+ 20c61: 6fc9.*
+ 20c62: 0c94.*
+ 20c63: 6fd1.*
+ 20c64: 0c94.*
+ 20c65: 6fd9.*
+ 20c66: 0c94.*
+ 20c67: 6fe1.*
+ 20c68: 407c.*
+ 20c69: 0c94.*
+ 20c6a: 6fe9.*
+ 20c6b: 407d.*
+ 20c6c: 0c94.*
+ 20c6d: 6ff1.*
+ 20c6e: 407e.*
+ 20c6f: 0c94.*
+ 20c70: 6ff8.*
+ 20c71: 407f.*
+ 20c72: 0c94.*
+ 20c73: 6f82.*
+ 20c74: 0c94.*
+ 20c75: 6f8a.*
+ 20c76: 0c94.*
+ 20c77: 6f92.*
+ 20c78: 0c94.*
+ 20c79: 6f9a.*
+ 20c7a: 0c94.*
+ 20c7b: 6fa2.*
+ 20c7c: 0c94.*
+ 20c7d: 6faa.*
+ 20c7e: 0c94.*
+ 20c7f: 6fb2.*
+ 20c80: 0c94.*
+ 20c81: 6fba.*
+ 20c82: 0c94.*
+ 20c83: 6fc2.*
+ 20c84: 0c94.*
+ 20c85: 6fca.*
+ 20c86: 0c94.*
+ 20c87: 6fd2.*
+ 20c88: 0c94.*
+ 20c89: 6fda.*
+ 20c8a: 0c94.*
+ 20c8b: 6fe2.*
+ 20c8c: 4080.*
+ 20c8d: 0c94.*
+ 20c8e: 6fea.*
+ 20c8f: 4081.*
+ 20c90: 0c94.*
+ 20c91: 6ff2.*
+ 20c92: 4082.*
+ 20c93: 0c94.*
+ 20c94: 6ff8.*
+ 20c95: 4083.*
+ 20c96: 0c94.*
+ 20c97: 6f83.*
+ 20c98: 0c94.*
+ 20c99: 6f8b.*
+ 20c9a: 0c94.*
+ 20c9b: 6f93.*
+ 20c9c: 0c94.*
+ 20c9d: 6f9b.*
+ 20c9e: 0c94.*
+ 20c9f: 6fa3.*
+ 20ca0: 0c94.*
+ 20ca1: 6fab.*
+ 20ca2: 0c94.*
+ 20ca3: 6fb3.*
+ 20ca4: 0c94.*
+ 20ca5: 6fbb.*
+ 20ca6: 0c94.*
+ 20ca7: 6fc3.*
+ 20ca8: 0c94.*
+ 20ca9: 6fcb.*
+ 20caa: 0c94.*
+ 20cab: 6fd3.*
+ 20cac: 0c94.*
+ 20cad: 6fdb.*
+ 20cae: 0c94.*
+ 20caf: 6fe3.*
+ 20cb0: 4084.*
+ 20cb1: 0c94.*
+ 20cb2: 6feb.*
+ 20cb3: 4085.*
+ 20cb4: 0c94.*
+ 20cb5: 6ff3.*
+ 20cb6: 4086.*
+ 20cb7: 0c94.*
+ 20cb8: 6ff8.*
+ 20cb9: 4087.*
+ 20cba: 0c94.*
+ 20cbb: 6f84.*
+ 20cbc: 0c94.*
+ 20cbd: 6f8c.*
+ 20cbe: 0c94.*
+ 20cbf: 6f94.*
+ 20cc0: 0c94.*
+ 20cc1: 6f9c.*
+ 20cc2: 0c94.*
+ 20cc3: 6fa4.*
+ 20cc4: 0c94.*
+ 20cc5: 6fac.*
+ 20cc6: 0c94.*
+ 20cc7: 6fb4.*
+ 20cc8: 0c94.*
+ 20cc9: 6fbc.*
+ 20cca: 0c94.*
+ 20ccb: 6fc4.*
+ 20ccc: 0c94.*
+ 20ccd: 6fcc.*
+ 20cce: 0c94.*
+ 20ccf: 6fd4.*
+ 20cd0: 0c94.*
+ 20cd1: 6fdc.*
+ 20cd2: 0c94.*
+ 20cd3: 6fe4.*
+ 20cd4: 4088.*
+ 20cd5: 0c94.*
+ 20cd6: 6fec.*
+ 20cd7: 4089.*
+ 20cd8: 0c94.*
+ 20cd9: 6ff4.*
+ 20cda: 408a.*
+ 20cdb: 0c94.*
+ 20cdc: 6ff8.*
+ 20cdd: 408b.*
+ 20cde: 0c94.*
+ 20cdf: 6f85.*
+ 20ce0: 0c94.*
+ 20ce1: 6f8d.*
+ 20ce2: 0c94.*
+ 20ce3: 6f95.*
+ 20ce4: 0c94.*
+ 20ce5: 6f9d.*
+ 20ce6: 0c94.*
+ 20ce7: 6fa5.*
+ 20ce8: 0c94.*
+ 20ce9: 6fad.*
+ 20cea: 0c94.*
+ 20ceb: 6fb5.*
+ 20cec: 0c94.*
+ 20ced: 6fbd.*
+ 20cee: 0c94.*
+ 20cef: 6fc5.*
+ 20cf0: 0c94.*
+ 20cf1: 6fcd.*
+ 20cf2: 0c94.*
+ 20cf3: 6fd5.*
+ 20cf4: 0c94.*
+ 20cf5: 6fdd.*
+ 20cf6: 0c94.*
+ 20cf7: 6fe5.*
+ 20cf8: 408c.*
+ 20cf9: 0c94.*
+ 20cfa: 6fed.*
+ 20cfb: 408d.*
+ 20cfc: 0c94.*
+ 20cfd: 6ff5.*
+ 20cfe: 408e.*
+ 20cff: 0c94.*
+ 20d00: 6ff8.*
+ 20d01: 408f.*
+ 20d02: 0c94.*
+ 20d03: 6f86.*
+ 20d04: 0c94.*
+ 20d05: 6f8e.*
+ 20d06: 0c94.*
+ 20d07: 6f96.*
+ 20d08: 0c94.*
+ 20d09: 6f9e.*
+ 20d0a: 0c94.*
+ 20d0b: 6fa6.*
+ 20d0c: 0c94.*
+ 20d0d: 6fae.*
+ 20d0e: 0c94.*
+ 20d0f: 6fb6.*
+ 20d10: 0c94.*
+ 20d11: 6fbe.*
+ 20d12: 0c94.*
+ 20d13: 6fc6.*
+ 20d14: 0c94.*
+ 20d15: 6fce.*
+ 20d16: 0c94.*
+ 20d17: 6fd6.*
+ 20d18: 0c94.*
+ 20d19: 6fde.*
+ 20d1a: 0c94.*
+ 20d1b: 6fe6.*
+ 20d1c: 4090.*
+ 20d1d: 0c94.*
+ 20d1e: 6fee.*
+ 20d1f: 4091.*
+ 20d20: 0c94.*
+ 20d21: 6ff6.*
+ 20d22: 4092.*
+ 20d23: 0c94.*
+ 20d24: 6ff8.*
+ 20d25: 4093.*
+ 20d26: 0c94.*
+ 20d27: 6f87.*
+ 20d28: 0c94.*
+ 20d29: 6f8f.*
+ 20d2a: 0c94.*
+ 20d2b: 6f97.*
+ 20d2c: 0c94.*
+ 20d2d: 6f9f.*
+ 20d2e: 0c94.*
+ 20d2f: 6fa7.*
+ 20d30: 0c94.*
+ 20d31: 6faf.*
+ 20d32: 0c94.*
+ 20d33: 6fb7.*
+ 20d34: 0c94.*
+ 20d35: 6fbf.*
+ 20d36: 0c94.*
+ 20d37: 6fc7.*
+ 20d38: 0c94.*
+ 20d39: 6fcf.*
+ 20d3a: 0c94.*
+ 20d3b: 6fd7.*
+ 20d3c: 0c94.*
+ 20d3d: 6fdf.*
+ 20d3e: 0c94.*
+ 20d3f: 6fe7.*
+ 20d40: 4094.*
+ 20d41: 0c94.*
+ 20d42: 6fef.*
+ 20d43: 4095.*
+ 20d44: 0c94.*
+ 20d45: 6ff7.*
+ 20d46: 4096.*
+ 20d47: 0c94.*
+ 20d48: 6ff8.*
+ 20d49: 4097.*
+ 20d4a: 0c94.*
+ 20d4b: 6f80.*
+ 20d4c: 0c95.*
+ 20d4d: 6f88.*
+ 20d4e: 0c95.*
+ 20d4f: 6f90.*
+ 20d50: 0c95.*
+ 20d51: 6f98.*
+ 20d52: 0c95.*
+ 20d53: 6fa0.*
+ 20d54: 0c95.*
+ 20d55: 6fa8.*
+ 20d56: 0c95.*
+ 20d57: 6fb0.*
+ 20d58: 0c95.*
+ 20d59: 6fb8.*
+ 20d5a: 0c95.*
+ 20d5b: 6fc0.*
+ 20d5c: 0c95.*
+ 20d5d: 6fc8.*
+ 20d5e: 0c95.*
+ 20d5f: 6fd0.*
+ 20d60: 0c95.*
+ 20d61: 6fd8.*
+ 20d62: 0c95.*
+ 20d63: 6fe0.*
+ 20d64: 4098.*
+ 20d65: 0c95.*
+ 20d66: 6fe8.*
+ 20d67: 4099.*
+ 20d68: 0c95.*
+ 20d69: 6ff0.*
+ 20d6a: 409a.*
+ 20d6b: 0c95.*
+ 20d6c: 6ff8.*
+ 20d6d: 409b.*
+ 20d6e: 0c95.*
+ 20d6f: 6f81.*
+ 20d70: 0c95.*
+ 20d71: 6f89.*
+ 20d72: 0c95.*
+ 20d73: 6f91.*
+ 20d74: 0c95.*
+ 20d75: 6f99.*
+ 20d76: 0c95.*
+ 20d77: 6fa1.*
+ 20d78: 0c95.*
+ 20d79: 6fa9.*
+ 20d7a: 0c95.*
+ 20d7b: 6fb1.*
+ 20d7c: 0c95.*
+ 20d7d: 6fb9.*
+ 20d7e: 0c95.*
+ 20d7f: 6fc1.*
+ 20d80: 0c95.*
+ 20d81: 6fc9.*
+ 20d82: 0c95.*
+ 20d83: 6fd1.*
+ 20d84: 0c95.*
+ 20d85: 6fd9.*
+ 20d86: 0c95.*
+ 20d87: 6fe1.*
+ 20d88: 409c.*
+ 20d89: 0c95.*
+ 20d8a: 6fe9.*
+ 20d8b: 409d.*
+ 20d8c: 0c95.*
+ 20d8d: 6ff1.*
+ 20d8e: 409e.*
+ 20d8f: 0c95.*
+ 20d90: 6ff8.*
+ 20d91: 409f.*
+ 20d92: 0c95.*
+ 20d93: 6f82.*
+ 20d94: 0c95.*
+ 20d95: 6f8a.*
+ 20d96: 0c95.*
+ 20d97: 6f92.*
+ 20d98: 0c95.*
+ 20d99: 6f9a.*
+ 20d9a: 0c95.*
+ 20d9b: 6fa2.*
+ 20d9c: 0c95.*
+ 20d9d: 6faa.*
+ 20d9e: 0c95.*
+ 20d9f: 6fb2.*
+ 20da0: 0c95.*
+ 20da1: 6fba.*
+ 20da2: 0c95.*
+ 20da3: 6fc2.*
+ 20da4: 0c95.*
+ 20da5: 6fca.*
+ 20da6: 0c95.*
+ 20da7: 6fd2.*
+ 20da8: 0c95.*
+ 20da9: 6fda.*
+ 20daa: 0c95.*
+ 20dab: 6fe2.*
+ 20dac: 40a0.*
+ 20dad: 0c95.*
+ 20dae: 6fea.*
+ 20daf: 40a1.*
+ 20db0: 0c95.*
+ 20db1: 6ff2.*
+ 20db2: 40a2.*
+ 20db3: 0c95.*
+ 20db4: 6ff8.*
+ 20db5: 40a3.*
+ 20db6: 0c95.*
+ 20db7: 6f83.*
+ 20db8: 0c95.*
+ 20db9: 6f8b.*
+ 20dba: 0c95.*
+ 20dbb: 6f93.*
+ 20dbc: 0c95.*
+ 20dbd: 6f9b.*
+ 20dbe: 0c95.*
+ 20dbf: 6fa3.*
+ 20dc0: 0c95.*
+ 20dc1: 6fab.*
+ 20dc2: 0c95.*
+ 20dc3: 6fb3.*
+ 20dc4: 0c95.*
+ 20dc5: 6fbb.*
+ 20dc6: 0c95.*
+ 20dc7: 6fc3.*
+ 20dc8: 0c95.*
+ 20dc9: 6fcb.*
+ 20dca: 0c95.*
+ 20dcb: 6fd3.*
+ 20dcc: 0c95.*
+ 20dcd: 6fdb.*
+ 20dce: 0c95.*
+ 20dcf: 6fe3.*
+ 20dd0: 40a4.*
+ 20dd1: 0c95.*
+ 20dd2: 6feb.*
+ 20dd3: 40a5.*
+ 20dd4: 0c95.*
+ 20dd5: 6ff3.*
+ 20dd6: 40a6.*
+ 20dd7: 0c95.*
+ 20dd8: 6ff8.*
+ 20dd9: 40a7.*
+ 20dda: 0c95.*
+ 20ddb: 6f84.*
+ 20ddc: 0c95.*
+ 20ddd: 6f8c.*
+ 20dde: 0c95.*
+ 20ddf: 6f94.*
+ 20de0: 0c95.*
+ 20de1: 6f9c.*
+ 20de2: 0c95.*
+ 20de3: 6fa4.*
+ 20de4: 0c95.*
+ 20de5: 6fac.*
+ 20de6: 0c95.*
+ 20de7: 6fb4.*
+ 20de8: 0c95.*
+ 20de9: 6fbc.*
+ 20dea: 0c95.*
+ 20deb: 6fc4.*
+ 20dec: 0c95.*
+ 20ded: 6fcc.*
+ 20dee: 0c95.*
+ 20def: 6fd4.*
+ 20df0: 0c95.*
+ 20df1: 6fdc.*
+ 20df2: 0c95.*
+ 20df3: 6fe4.*
+ 20df4: 40a8.*
+ 20df5: 0c95.*
+ 20df6: 6fec.*
+ 20df7: 40a9.*
+ 20df8: 0c95.*
+ 20df9: 6ff4.*
+ 20dfa: 40aa.*
+ 20dfb: 0c95.*
+ 20dfc: 6ff8.*
+ 20dfd: 40ab.*
+ 20dfe: 0c95.*
+ 20dff: 6f85.*
+ 20e00: 0c95.*
+ 20e01: 6f8d.*
+ 20e02: 0c95.*
+ 20e03: 6f95.*
+ 20e04: 0c95.*
+ 20e05: 6f9d.*
+ 20e06: 0c95.*
+ 20e07: 6fa5.*
+ 20e08: 0c95.*
+ 20e09: 6fad.*
+ 20e0a: 0c95.*
+ 20e0b: 6fb5.*
+ 20e0c: 0c95.*
+ 20e0d: 6fbd.*
+ 20e0e: 0c95.*
+ 20e0f: 6fc5.*
+ 20e10: 0c95.*
+ 20e11: 6fcd.*
+ 20e12: 0c95.*
+ 20e13: 6fd5.*
+ 20e14: 0c95.*
+ 20e15: 6fdd.*
+ 20e16: 0c95.*
+ 20e17: 6fe5.*
+ 20e18: 40ac.*
+ 20e19: 0c95.*
+ 20e1a: 6fed.*
+ 20e1b: 40ad.*
+ 20e1c: 0c95.*
+ 20e1d: 6ff5.*
+ 20e1e: 40ae.*
+ 20e1f: 0c95.*
+ 20e20: 6ff8.*
+ 20e21: 40af.*
+ 20e22: 0c95.*
+ 20e23: 6f86.*
+ 20e24: 0c95.*
+ 20e25: 6f8e.*
+ 20e26: 0c95.*
+ 20e27: 6f96.*
+ 20e28: 0c95.*
+ 20e29: 6f9e.*
+ 20e2a: 0c95.*
+ 20e2b: 6fa6.*
+ 20e2c: 0c95.*
+ 20e2d: 6fae.*
+ 20e2e: 0c95.*
+ 20e2f: 6fb6.*
+ 20e30: 0c95.*
+ 20e31: 6fbe.*
+ 20e32: 0c95.*
+ 20e33: 6fc6.*
+ 20e34: 0c95.*
+ 20e35: 6fce.*
+ 20e36: 0c95.*
+ 20e37: 6fd6.*
+ 20e38: 0c95.*
+ 20e39: 6fde.*
+ 20e3a: 0c95.*
+ 20e3b: 6fe6.*
+ 20e3c: 40b0.*
+ 20e3d: 0c95.*
+ 20e3e: 6fee.*
+ 20e3f: 40b1.*
+ 20e40: 0c95.*
+ 20e41: 6ff6.*
+ 20e42: 40b2.*
+ 20e43: 0c95.*
+ 20e44: 6ff8.*
+ 20e45: 40b3.*
+ 20e46: 0c95.*
+ 20e47: 6f87.*
+ 20e48: 0c95.*
+ 20e49: 6f8f.*
+ 20e4a: 0c95.*
+ 20e4b: 6f97.*
+ 20e4c: 0c95.*
+ 20e4d: 6f9f.*
+ 20e4e: 0c95.*
+ 20e4f: 6fa7.*
+ 20e50: 0c95.*
+ 20e51: 6faf.*
+ 20e52: 0c95.*
+ 20e53: 6fb7.*
+ 20e54: 0c95.*
+ 20e55: 6fbf.*
+ 20e56: 0c95.*
+ 20e57: 6fc7.*
+ 20e58: 0c95.*
+ 20e59: 6fcf.*
+ 20e5a: 0c95.*
+ 20e5b: 6fd7.*
+ 20e5c: 0c95.*
+ 20e5d: 6fdf.*
+ 20e5e: 0c95.*
+ 20e5f: 6fe7.*
+ 20e60: 40b4.*
+ 20e61: 0c95.*
+ 20e62: 6fef.*
+ 20e63: 40b5.*
+ 20e64: 0c95.*
+ 20e65: 6ff7.*
+ 20e66: 40b6.*
+ 20e67: 0c95.*
+ 20e68: 6ff8.*
+ 20e69: 40b7.*
+ 20e6a: 0c95.*
+ 20e6b: 6f80.*
+ 20e6c: 0c96.*
+ 20e6d: 6f88.*
+ 20e6e: 0c96.*
+ 20e6f: 6f90.*
+ 20e70: 0c96.*
+ 20e71: 6f98.*
+ 20e72: 0c96.*
+ 20e73: 6fa0.*
+ 20e74: 0c96.*
+ 20e75: 6fa8.*
+ 20e76: 0c96.*
+ 20e77: 6fb0.*
+ 20e78: 0c96.*
+ 20e79: 6fb8.*
+ 20e7a: 0c96.*
+ 20e7b: 6fc0.*
+ 20e7c: 0c96.*
+ 20e7d: 6fc8.*
+ 20e7e: 0c96.*
+ 20e7f: 6fd0.*
+ 20e80: 0c96.*
+ 20e81: 6fd8.*
+ 20e82: 0c96.*
+ 20e83: 6fe0.*
+ 20e84: 40b8.*
+ 20e85: 0c96.*
+ 20e86: 6fe8.*
+ 20e87: 40b9.*
+ 20e88: 0c96.*
+ 20e89: 6ff0.*
+ 20e8a: 40ba.*
+ 20e8b: 0c96.*
+ 20e8c: 6ff8.*
+ 20e8d: 40bb.*
+ 20e8e: 0c96.*
+ 20e8f: 6f81.*
+ 20e90: 0c96.*
+ 20e91: 6f89.*
+ 20e92: 0c96.*
+ 20e93: 6f91.*
+ 20e94: 0c96.*
+ 20e95: 6f99.*
+ 20e96: 0c96.*
+ 20e97: 6fa1.*
+ 20e98: 0c96.*
+ 20e99: 6fa9.*
+ 20e9a: 0c96.*
+ 20e9b: 6fb1.*
+ 20e9c: 0c96.*
+ 20e9d: 6fb9.*
+ 20e9e: 0c96.*
+ 20e9f: 6fc1.*
+ 20ea0: 0c96.*
+ 20ea1: 6fc9.*
+ 20ea2: 0c96.*
+ 20ea3: 6fd1.*
+ 20ea4: 0c96.*
+ 20ea5: 6fd9.*
+ 20ea6: 0c96.*
+ 20ea7: 6fe1.*
+ 20ea8: 40bc.*
+ 20ea9: 0c96.*
+ 20eaa: 6fe9.*
+ 20eab: 40bd.*
+ 20eac: 0c96.*
+ 20ead: 6ff1.*
+ 20eae: 40be.*
+ 20eaf: 0c96.*
+ 20eb0: 6ff8.*
+ 20eb1: 40bf.*
+ 20eb2: 0c96.*
+ 20eb3: 6f82.*
+ 20eb4: 0c96.*
+ 20eb5: 6f8a.*
+ 20eb6: 0c96.*
+ 20eb7: 6f92.*
+ 20eb8: 0c96.*
+ 20eb9: 6f9a.*
+ 20eba: 0c96.*
+ 20ebb: 6fa2.*
+ 20ebc: 0c96.*
+ 20ebd: 6faa.*
+ 20ebe: 0c96.*
+ 20ebf: 6fb2.*
+ 20ec0: 0c96.*
+ 20ec1: 6fba.*
+ 20ec2: 0c96.*
+ 20ec3: 6fc2.*
+ 20ec4: 0c96.*
+ 20ec5: 6fca.*
+ 20ec6: 0c96.*
+ 20ec7: 6fd2.*
+ 20ec8: 0c96.*
+ 20ec9: 6fda.*
+ 20eca: 0c96.*
+ 20ecb: 6fe2.*
+ 20ecc: 40c0.*
+ 20ecd: 0c96.*
+ 20ece: 6fea.*
+ 20ecf: 40c1.*
+ 20ed0: 0c96.*
+ 20ed1: 6ff2.*
+ 20ed2: 40c2.*
+ 20ed3: 0c96.*
+ 20ed4: 6ff8.*
+ 20ed5: 40c3.*
+ 20ed6: 0c96.*
+ 20ed7: 6f83.*
+ 20ed8: 0c96.*
+ 20ed9: 6f8b.*
+ 20eda: 0c96.*
+ 20edb: 6f93.*
+ 20edc: 0c96.*
+ 20edd: 6f9b.*
+ 20ede: 0c96.*
+ 20edf: 6fa3.*
+ 20ee0: 0c96.*
+ 20ee1: 6fab.*
+ 20ee2: 0c96.*
+ 20ee3: 6fb3.*
+ 20ee4: 0c96.*
+ 20ee5: 6fbb.*
+ 20ee6: 0c96.*
+ 20ee7: 6fc3.*
+ 20ee8: 0c96.*
+ 20ee9: 6fcb.*
+ 20eea: 0c96.*
+ 20eeb: 6fd3.*
+ 20eec: 0c96.*
+ 20eed: 6fdb.*
+ 20eee: 0c96.*
+ 20eef: 6fe3.*
+ 20ef0: 40c4.*
+ 20ef1: 0c96.*
+ 20ef2: 6feb.*
+ 20ef3: 40c5.*
+ 20ef4: 0c96.*
+ 20ef5: 6ff3.*
+ 20ef6: 40c6.*
+ 20ef7: 0c96.*
+ 20ef8: 6ff8.*
+ 20ef9: 40c7.*
+ 20efa: 0c96.*
+ 20efb: 6f84.*
+ 20efc: 0c96.*
+ 20efd: 6f8c.*
+ 20efe: 0c96.*
+ 20eff: 6f94.*
+ 20f00: 0c96.*
+ 20f01: 6f9c.*
+ 20f02: 0c96.*
+ 20f03: 6fa4.*
+ 20f04: 0c96.*
+ 20f05: 6fac.*
+ 20f06: 0c96.*
+ 20f07: 6fb4.*
+ 20f08: 0c96.*
+ 20f09: 6fbc.*
+ 20f0a: 0c96.*
+ 20f0b: 6fc4.*
+ 20f0c: 0c96.*
+ 20f0d: 6fcc.*
+ 20f0e: 0c96.*
+ 20f0f: 6fd4.*
+ 20f10: 0c96.*
+ 20f11: 6fdc.*
+ 20f12: 0c96.*
+ 20f13: 6fe4.*
+ 20f14: 40c8.*
+ 20f15: 0c96.*
+ 20f16: 6fec.*
+ 20f17: 40c9.*
+ 20f18: 0c96.*
+ 20f19: 6ff4.*
+ 20f1a: 40ca.*
+ 20f1b: 0c96.*
+ 20f1c: 6ff8.*
+ 20f1d: 40cb.*
+ 20f1e: 0c96.*
+ 20f1f: 6f85.*
+ 20f20: 0c96.*
+ 20f21: 6f8d.*
+ 20f22: 0c96.*
+ 20f23: 6f95.*
+ 20f24: 0c96.*
+ 20f25: 6f9d.*
+ 20f26: 0c96.*
+ 20f27: 6fa5.*
+ 20f28: 0c96.*
+ 20f29: 6fad.*
+ 20f2a: 0c96.*
+ 20f2b: 6fb5.*
+ 20f2c: 0c96.*
+ 20f2d: 6fbd.*
+ 20f2e: 0c96.*
+ 20f2f: 6fc5.*
+ 20f30: 0c96.*
+ 20f31: 6fcd.*
+ 20f32: 0c96.*
+ 20f33: 6fd5.*
+ 20f34: 0c96.*
+ 20f35: 6fdd.*
+ 20f36: 0c96.*
+ 20f37: 6fe5.*
+ 20f38: 40cc.*
+ 20f39: 0c96.*
+ 20f3a: 6fed.*
+ 20f3b: 40cd.*
+ 20f3c: 0c96.*
+ 20f3d: 6ff5.*
+ 20f3e: 40ce.*
+ 20f3f: 0c96.*
+ 20f40: 6ff8.*
+ 20f41: 40cf.*
+ 20f42: 0c96.*
+ 20f43: 6f86.*
+ 20f44: 0c96.*
+ 20f45: 6f8e.*
+ 20f46: 0c96.*
+ 20f47: 6f96.*
+ 20f48: 0c96.*
+ 20f49: 6f9e.*
+ 20f4a: 0c96.*
+ 20f4b: 6fa6.*
+ 20f4c: 0c96.*
+ 20f4d: 6fae.*
+ 20f4e: 0c96.*
+ 20f4f: 6fb6.*
+ 20f50: 0c96.*
+ 20f51: 6fbe.*
+ 20f52: 0c96.*
+ 20f53: 6fc6.*
+ 20f54: 0c96.*
+ 20f55: 6fce.*
+ 20f56: 0c96.*
+ 20f57: 6fd6.*
+ 20f58: 0c96.*
+ 20f59: 6fde.*
+ 20f5a: 0c96.*
+ 20f5b: 6fe6.*
+ 20f5c: 40d0.*
+ 20f5d: 0c96.*
+ 20f5e: 6fee.*
+ 20f5f: 40d1.*
+ 20f60: 0c96.*
+ 20f61: 6ff6.*
+ 20f62: 40d2.*
+ 20f63: 0c96.*
+ 20f64: 6ff8.*
+ 20f65: 40d3.*
+ 20f66: 0c96.*
+ 20f67: 6f87.*
+ 20f68: 0c96.*
+ 20f69: 6f8f.*
+ 20f6a: 0c96.*
+ 20f6b: 6f97.*
+ 20f6c: 0c96.*
+ 20f6d: 6f9f.*
+ 20f6e: 0c96.*
+ 20f6f: 6fa7.*
+ 20f70: 0c96.*
+ 20f71: 6faf.*
+ 20f72: 0c96.*
+ 20f73: 6fb7.*
+ 20f74: 0c96.*
+ 20f75: 6fbf.*
+ 20f76: 0c96.*
+ 20f77: 6fc7.*
+ 20f78: 0c96.*
+ 20f79: 6fcf.*
+ 20f7a: 0c96.*
+ 20f7b: 6fd7.*
+ 20f7c: 0c96.*
+ 20f7d: 6fdf.*
+ 20f7e: 0c96.*
+ 20f7f: 6fe7.*
+ 20f80: 40d4.*
+ 20f81: 0c96.*
+ 20f82: 6fef.*
+ 20f83: 40d5.*
+ 20f84: 0c96.*
+ 20f85: 6ff7.*
+ 20f86: 40d6.*
+ 20f87: 0c96.*
+ 20f88: 6ff8.*
+ 20f89: 40d7.*
+ 20f8a: 0c96.*
+ 20f8b: 6f80.*
+ 20f8c: 0c97.*
+ 20f8d: 6f88.*
+ 20f8e: 0c97.*
+ 20f8f: 6f90.*
+ 20f90: 0c97.*
+ 20f91: 6f98.*
+ 20f92: 0c97.*
+ 20f93: 6fa0.*
+ 20f94: 0c97.*
+ 20f95: 6fa8.*
+ 20f96: 0c97.*
+ 20f97: 6fb0.*
+ 20f98: 0c97.*
+ 20f99: 6fb8.*
+ 20f9a: 0c97.*
+ 20f9b: 6fc0.*
+ 20f9c: 0c97.*
+ 20f9d: 6fc8.*
+ 20f9e: 0c97.*
+ 20f9f: 6fd0.*
+ 20fa0: 0c97.*
+ 20fa1: 6fd8.*
+ 20fa2: 0c97.*
+ 20fa3: 6fe0.*
+ 20fa4: 40d8.*
+ 20fa5: 0c97.*
+ 20fa6: 6fe8.*
+ 20fa7: 40d9.*
+ 20fa8: 0c97.*
+ 20fa9: 6ff0.*
+ 20faa: 40da.*
+ 20fab: 0c97.*
+ 20fac: 6ff8.*
+ 20fad: 40db.*
+ 20fae: 0c97.*
+ 20faf: 6f81.*
+ 20fb0: 0c97.*
+ 20fb1: 6f89.*
+ 20fb2: 0c97.*
+ 20fb3: 6f91.*
+ 20fb4: 0c97.*
+ 20fb5: 6f99.*
+ 20fb6: 0c97.*
+ 20fb7: 6fa1.*
+ 20fb8: 0c97.*
+ 20fb9: 6fa9.*
+ 20fba: 0c97.*
+ 20fbb: 6fb1.*
+ 20fbc: 0c97.*
+ 20fbd: 6fb9.*
+ 20fbe: 0c97.*
+ 20fbf: 6fc1.*
+ 20fc0: 0c97.*
+ 20fc1: 6fc9.*
+ 20fc2: 0c97.*
+ 20fc3: 6fd1.*
+ 20fc4: 0c97.*
+ 20fc5: 6fd9.*
+ 20fc6: 0c97.*
+ 20fc7: 6fe1.*
+ 20fc8: 40dc.*
+ 20fc9: 0c97.*
+ 20fca: 6fe9.*
+ 20fcb: 40dd.*
+ 20fcc: 0c97.*
+ 20fcd: 6ff1.*
+ 20fce: 40de.*
+ 20fcf: 0c97.*
+ 20fd0: 6ff8.*
+ 20fd1: 40df.*
+ 20fd2: 0c97.*
+ 20fd3: 6f82.*
+ 20fd4: 0c97.*
+ 20fd5: 6f8a.*
+ 20fd6: 0c97.*
+ 20fd7: 6f92.*
+ 20fd8: 0c97.*
+ 20fd9: 6f9a.*
+ 20fda: 0c97.*
+ 20fdb: 6fa2.*
+ 20fdc: 0c97.*
+ 20fdd: 6faa.*
+ 20fde: 0c97.*
+ 20fdf: 6fb2.*
+ 20fe0: 0c97.*
+ 20fe1: 6fba.*
+ 20fe2: 0c97.*
+ 20fe3: 6fc2.*
+ 20fe4: 0c97.*
+ 20fe5: 6fca.*
+ 20fe6: 0c97.*
+ 20fe7: 6fd2.*
+ 20fe8: 0c97.*
+ 20fe9: 6fda.*
+ 20fea: 0c97.*
+ 20feb: 6fe2.*
+ 20fec: 40e0.*
+ 20fed: 0c97.*
+ 20fee: 6fea.*
+ 20fef: 40e1.*
+ 20ff0: 0c97.*
+ 20ff1: 6ff2.*
+ 20ff2: 40e2.*
+ 20ff3: 0c97.*
+ 20ff4: 6ff8.*
+ 20ff5: 40e3.*
+ 20ff6: 0c97.*
+ 20ff7: 6f83.*
+ 20ff8: 0c97.*
+ 20ff9: 6f8b.*
+ 20ffa: 0c97.*
+ 20ffb: 6f93.*
+ 20ffc: 0c97.*
+ 20ffd: 6f9b.*
+ 20ffe: 0c97.*
+ 20fff: 6fa3.*
+ 21000: 0c97.*
+ 21001: 6fab.*
+ 21002: 0c97.*
+ 21003: 6fb3.*
+ 21004: 0c97.*
+ 21005: 6fbb.*
+ 21006: 0c97.*
+ 21007: 6fc3.*
+ 21008: 0c97.*
+ 21009: 6fcb.*
+ 2100a: 0c97.*
+ 2100b: 6fd3.*
+ 2100c: 0c97.*
+ 2100d: 6fdb.*
+ 2100e: 0c97.*
+ 2100f: 6fe3.*
+ 21010: 40e4.*
+ 21011: 0c97.*
+ 21012: 6feb.*
+ 21013: 40e5.*
+ 21014: 0c97.*
+ 21015: 6ff3.*
+ 21016: 40e6.*
+ 21017: 0c97.*
+ 21018: 6ff8.*
+ 21019: 40e7.*
+ 2101a: 0c97.*
+ 2101b: 6f84.*
+ 2101c: 0c97.*
+ 2101d: 6f8c.*
+ 2101e: 0c97.*
+ 2101f: 6f94.*
+ 21020: 0c97.*
+ 21021: 6f9c.*
+ 21022: 0c97.*
+ 21023: 6fa4.*
+ 21024: 0c97.*
+ 21025: 6fac.*
+ 21026: 0c97.*
+ 21027: 6fb4.*
+ 21028: 0c97.*
+ 21029: 6fbc.*
+ 2102a: 0c97.*
+ 2102b: 6fc4.*
+ 2102c: 0c97.*
+ 2102d: 6fcc.*
+ 2102e: 0c97.*
+ 2102f: 6fd4.*
+ 21030: 0c97.*
+ 21031: 6fdc.*
+ 21032: 0c97.*
+ 21033: 6fe4.*
+ 21034: 40e8.*
+ 21035: 0c97.*
+ 21036: 6fec.*
+ 21037: 40e9.*
+ 21038: 0c97.*
+ 21039: 6ff4.*
+ 2103a: 40ea.*
+ 2103b: 0c97.*
+ 2103c: 6ff8.*
+ 2103d: 40eb.*
+ 2103e: 0c97.*
+ 2103f: 6f85.*
+ 21040: 0c97.*
+ 21041: 6f8d.*
+ 21042: 0c97.*
+ 21043: 6f95.*
+ 21044: 0c97.*
+ 21045: 6f9d.*
+ 21046: 0c97.*
+ 21047: 6fa5.*
+ 21048: 0c97.*
+ 21049: 6fad.*
+ 2104a: 0c97.*
+ 2104b: 6fb5.*
+ 2104c: 0c97.*
+ 2104d: 6fbd.*
+ 2104e: 0c97.*
+ 2104f: 6fc5.*
+ 21050: 0c97.*
+ 21051: 6fcd.*
+ 21052: 0c97.*
+ 21053: 6fd5.*
+ 21054: 0c97.*
+ 21055: 6fdd.*
+ 21056: 0c97.*
+ 21057: 6fe5.*
+ 21058: 40ec.*
+ 21059: 0c97.*
+ 2105a: 6fed.*
+ 2105b: 40ed.*
+ 2105c: 0c97.*
+ 2105d: 6ff5.*
+ 2105e: 40ee.*
+ 2105f: 0c97.*
+ 21060: 6ff8.*
+ 21061: 40ef.*
+ 21062: 0c97.*
+ 21063: 6f86.*
+ 21064: 0c97.*
+ 21065: 6f8e.*
+ 21066: 0c97.*
+ 21067: 6f96.*
+ 21068: 0c97.*
+ 21069: 6f9e.*
+ 2106a: 0c97.*
+ 2106b: 6fa6.*
+ 2106c: 0c97.*
+ 2106d: 6fae.*
+ 2106e: 0c97.*
+ 2106f: 6fb6.*
+ 21070: 0c97.*
+ 21071: 6fbe.*
+ 21072: 0c97.*
+ 21073: 6fc6.*
+ 21074: 0c97.*
+ 21075: 6fce.*
+ 21076: 0c97.*
+ 21077: 6fd6.*
+ 21078: 0c97.*
+ 21079: 6fde.*
+ 2107a: 0c97.*
+ 2107b: 6fe6.*
+ 2107c: 40f0.*
+ 2107d: 0c97.*
+ 2107e: 6fee.*
+ 2107f: 40f1.*
+ 21080: 0c97.*
+ 21081: 6ff6.*
+ 21082: 40f2.*
+ 21083: 0c97.*
+ 21084: 6ff8.*
+ 21085: 40f3.*
+ 21086: 0c97.*
+ 21087: 6f87.*
+ 21088: 0c97.*
+ 21089: 6f8f.*
+ 2108a: 0c97.*
+ 2108b: 6f97.*
+ 2108c: 0c97.*
+ 2108d: 6f9f.*
+ 2108e: 0c97.*
+ 2108f: 6fa7.*
+ 21090: 0c97.*
+ 21091: 6faf.*
+ 21092: 0c97.*
+ 21093: 6fb7.*
+ 21094: 0c97.*
+ 21095: 6fbf.*
+ 21096: 0c97.*
+ 21097: 6fc7.*
+ 21098: 0c97.*
+ 21099: 6fcf.*
+ 2109a: 0c97.*
+ 2109b: 6fd7.*
+ 2109c: 0c97.*
+ 2109d: 6fdf.*
+ 2109e: 0c97.*
+ 2109f: 6fe7.*
+ 210a0: 40f4.*
+ 210a1: 0c97.*
+ 210a2: 6fef.*
+ 210a3: 40f5.*
+ 210a4: 0c97.*
+ 210a5: 6ff7.*
+ 210a6: 40f6.*
+ 210a7: 0c97.*
+ 210a8: 6ff8.*
+ 210a9: 40f7.*
+ 210aa: 0c97.*
+ 210ab: 6f80.*
+ 210ac: 0c98.*
+ 210ad: 6f88.*
+ 210ae: 0c98.*
+ 210af: 6f90.*
+ 210b0: 0c98.*
+ 210b1: 6f98.*
+ 210b2: 0c98.*
+ 210b3: 6fa0.*
+ 210b4: 0c98.*
+ 210b5: 6fa8.*
+ 210b6: 0c98.*
+ 210b7: 6fb0.*
+ 210b8: 0c98.*
+ 210b9: 6fb8.*
+ 210ba: 0c98.*
+ 210bb: 6fc0.*
+ 210bc: 0c98.*
+ 210bd: 6fc8.*
+ 210be: 0c98.*
+ 210bf: 6fd0.*
+ 210c0: 0c98.*
+ 210c1: 6fd8.*
+ 210c2: 0c98.*
+ 210c3: 6fe0.*
+ 210c4: 40f8.*
+ 210c5: 0c98.*
+ 210c6: 6fe8.*
+ 210c7: 40f9.*
+ 210c8: 0c98.*
+ 210c9: 6ff0.*
+ 210ca: 40fa.*
+ 210cb: 0c98.*
+ 210cc: 6ff8.*
+ 210cd: 40fb.*
+ 210ce: 0c98.*
+ 210cf: 6f81.*
+ 210d0: 0c98.*
+ 210d1: 6f89.*
+ 210d2: 0c98.*
+ 210d3: 6f91.*
+ 210d4: 0c98.*
+ 210d5: 6f99.*
+ 210d6: 0c98.*
+ 210d7: 6fa1.*
+ 210d8: 0c98.*
+ 210d9: 6fa9.*
+ 210da: 0c98.*
+ 210db: 6fb1.*
+ 210dc: 0c98.*
+ 210dd: 6fb9.*
+ 210de: 0c98.*
+ 210df: 6fc1.*
+ 210e0: 0c98.*
+ 210e1: 6fc9.*
+ 210e2: 0c98.*
+ 210e3: 6fd1.*
+ 210e4: 0c98.*
+ 210e5: 6fd9.*
+ 210e6: 0c98.*
+ 210e7: 6fe1.*
+ 210e8: 40fc.*
+ 210e9: 0c98.*
+ 210ea: 6fe9.*
+ 210eb: 40fd.*
+ 210ec: 0c98.*
+ 210ed: 6ff1.*
+ 210ee: 40fe.*
+ 210ef: 0c98.*
+ 210f0: 6ff8.*
+ 210f1: 40ff.*
+ 210f2: 0c98.*
+ 210f3: 6f82.*
+ 210f4: 0c98.*
+ 210f5: 6f8a.*
+ 210f6: 0c98.*
+ 210f7: 6f92.*
+ 210f8: 0c98.*
+ 210f9: 6f9a.*
+ 210fa: 0c98.*
+ 210fb: 6fa2.*
+ 210fc: 0c98.*
+ 210fd: 6faa.*
+ 210fe: 0c98.*
+ 210ff: 6fb2.*
+ 21100: 0c98.*
+ 21101: 6fba.*
+ 21102: 0c98.*
+ 21103: 6fc2.*
+ 21104: 0c98.*
+ 21105: 6fca.*
+ 21106: 0c98.*
+ 21107: 6fd2.*
+ 21108: 0c98.*
+ 21109: 6fda.*
+ 2110a: 0c98.*
+ 2110b: 6fe2.*
+ 2110c: 4100.*
+ 2110d: 0c98.*
+ 2110e: 6fea.*
+ 2110f: 4101.*
+ 21110: 0c98.*
+ 21111: 6ff2.*
+ 21112: 4102.*
+ 21113: 0c98.*
+ 21114: 6ff8.*
+ 21115: 4103.*
+ 21116: 0c98.*
+ 21117: 6f83.*
+ 21118: 0c98.*
+ 21119: 6f8b.*
+ 2111a: 0c98.*
+ 2111b: 6f93.*
+ 2111c: 0c98.*
+ 2111d: 6f9b.*
+ 2111e: 0c98.*
+ 2111f: 6fa3.*
+ 21120: 0c98.*
+ 21121: 6fab.*
+ 21122: 0c98.*
+ 21123: 6fb3.*
+ 21124: 0c98.*
+ 21125: 6fbb.*
+ 21126: 0c98.*
+ 21127: 6fc3.*
+ 21128: 0c98.*
+ 21129: 6fcb.*
+ 2112a: 0c98.*
+ 2112b: 6fd3.*
+ 2112c: 0c98.*
+ 2112d: 6fdb.*
+ 2112e: 0c98.*
+ 2112f: 6fe3.*
+ 21130: 4104.*
+ 21131: 0c98.*
+ 21132: 6feb.*
+ 21133: 4105.*
+ 21134: 0c98.*
+ 21135: 6ff3.*
+ 21136: 4106.*
+ 21137: 0c98.*
+ 21138: 6ff8.*
+ 21139: 4107.*
+ 2113a: 0c98.*
+ 2113b: 6f84.*
+ 2113c: 0c98.*
+ 2113d: 6f8c.*
+ 2113e: 0c98.*
+ 2113f: 6f94.*
+ 21140: 0c98.*
+ 21141: 6f9c.*
+ 21142: 0c98.*
+ 21143: 6fa4.*
+ 21144: 0c98.*
+ 21145: 6fac.*
+ 21146: 0c98.*
+ 21147: 6fb4.*
+ 21148: 0c98.*
+ 21149: 6fbc.*
+ 2114a: 0c98.*
+ 2114b: 6fc4.*
+ 2114c: 0c98.*
+ 2114d: 6fcc.*
+ 2114e: 0c98.*
+ 2114f: 6fd4.*
+ 21150: 0c98.*
+ 21151: 6fdc.*
+ 21152: 0c98.*
+ 21153: 6fe4.*
+ 21154: 4108.*
+ 21155: 0c98.*
+ 21156: 6fec.*
+ 21157: 4109.*
+ 21158: 0c98.*
+ 21159: 6ff4.*
+ 2115a: 410a.*
+ 2115b: 0c98.*
+ 2115c: 6ff8.*
+ 2115d: 410b.*
+ 2115e: 0c98.*
+ 2115f: 6f85.*
+ 21160: 0c98.*
+ 21161: 6f8d.*
+ 21162: 0c98.*
+ 21163: 6f95.*
+ 21164: 0c98.*
+ 21165: 6f9d.*
+ 21166: 0c98.*
+ 21167: 6fa5.*
+ 21168: 0c98.*
+ 21169: 6fad.*
+ 2116a: 0c98.*
+ 2116b: 6fb5.*
+ 2116c: 0c98.*
+ 2116d: 6fbd.*
+ 2116e: 0c98.*
+ 2116f: 6fc5.*
+ 21170: 0c98.*
+ 21171: 6fcd.*
+ 21172: 0c98.*
+ 21173: 6fd5.*
+ 21174: 0c98.*
+ 21175: 6fdd.*
+ 21176: 0c98.*
+ 21177: 6fe5.*
+ 21178: 410c.*
+ 21179: 0c98.*
+ 2117a: 6fed.*
+ 2117b: 410d.*
+ 2117c: 0c98.*
+ 2117d: 6ff5.*
+ 2117e: 410e.*
+ 2117f: 0c98.*
+ 21180: 6ff8.*
+ 21181: 410f.*
+ 21182: 0c98.*
+ 21183: 6f86.*
+ 21184: 0c98.*
+ 21185: 6f8e.*
+ 21186: 0c98.*
+ 21187: 6f96.*
+ 21188: 0c98.*
+ 21189: 6f9e.*
+ 2118a: 0c98.*
+ 2118b: 6fa6.*
+ 2118c: 0c98.*
+ 2118d: 6fae.*
+ 2118e: 0c98.*
+ 2118f: 6fb6.*
+ 21190: 0c98.*
+ 21191: 6fbe.*
+ 21192: 0c98.*
+ 21193: 6fc6.*
+ 21194: 0c98.*
+ 21195: 6fce.*
+ 21196: 0c98.*
+ 21197: 6fd6.*
+ 21198: 0c98.*
+ 21199: 6fde.*
+ 2119a: 0c98.*
+ 2119b: 6fe6.*
+ 2119c: 4110.*
+ 2119d: 0c98.*
+ 2119e: 6fee.*
+ 2119f: 4111.*
+ 211a0: 0c98.*
+ 211a1: 6ff6.*
+ 211a2: 4112.*
+ 211a3: 0c98.*
+ 211a4: 6ff8.*
+ 211a5: 4113.*
+ 211a6: 0c98.*
+ 211a7: 6f87.*
+ 211a8: 0c98.*
+ 211a9: 6f8f.*
+ 211aa: 0c98.*
+ 211ab: 6f97.*
+ 211ac: 0c98.*
+ 211ad: 6f9f.*
+ 211ae: 0c98.*
+ 211af: 6fa7.*
+ 211b0: 0c98.*
+ 211b1: 6faf.*
+ 211b2: 0c98.*
+ 211b3: 6fb7.*
+ 211b4: 0c98.*
+ 211b5: 6fbf.*
+ 211b6: 0c98.*
+ 211b7: 6fc7.*
+ 211b8: 0c98.*
+ 211b9: 6fcf.*
+ 211ba: 0c98.*
+ 211bb: 6fd7.*
+ 211bc: 0c98.*
+ 211bd: 6fdf.*
+ 211be: 0c98.*
+ 211bf: 6fe7.*
+ 211c0: 4114.*
+ 211c1: 0c98.*
+ 211c2: 6fef.*
+ 211c3: 4115.*
+ 211c4: 0c98.*
+ 211c5: 6ff7.*
+ 211c6: 4116.*
+ 211c7: 0c98.*
+ 211c8: 6ff8.*
+ 211c9: 4117.*
+ 211ca: 0c98.*
+ 211cb: 6f80.*
+ 211cc: 0c99.*
+ 211cd: 6f88.*
+ 211ce: 0c99.*
+ 211cf: 6f90.*
+ 211d0: 0c99.*
+ 211d1: 6f98.*
+ 211d2: 0c99.*
+ 211d3: 6fa0.*
+ 211d4: 0c99.*
+ 211d5: 6fa8.*
+ 211d6: 0c99.*
+ 211d7: 6fb0.*
+ 211d8: 0c99.*
+ 211d9: 6fb8.*
+ 211da: 0c99.*
+ 211db: 6fc0.*
+ 211dc: 0c99.*
+ 211dd: 6fc8.*
+ 211de: 0c99.*
+ 211df: 6fd0.*
+ 211e0: 0c99.*
+ 211e1: 6fd8.*
+ 211e2: 0c99.*
+ 211e3: 6fe0.*
+ 211e4: 4118.*
+ 211e5: 0c99.*
+ 211e6: 6fe8.*
+ 211e7: 4119.*
+ 211e8: 0c99.*
+ 211e9: 6ff0.*
+ 211ea: 411a.*
+ 211eb: 0c99.*
+ 211ec: 6ff8.*
+ 211ed: 411b.*
+ 211ee: 0c99.*
+ 211ef: 6f81.*
+ 211f0: 0c99.*
+ 211f1: 6f89.*
+ 211f2: 0c99.*
+ 211f3: 6f91.*
+ 211f4: 0c99.*
+ 211f5: 6f99.*
+ 211f6: 0c99.*
+ 211f7: 6fa1.*
+ 211f8: 0c99.*
+ 211f9: 6fa9.*
+ 211fa: 0c99.*
+ 211fb: 6fb1.*
+ 211fc: 0c99.*
+ 211fd: 6fb9.*
+ 211fe: 0c99.*
+ 211ff: 6fc1.*
+ 21200: 0c99.*
+ 21201: 6fc9.*
+ 21202: 0c99.*
+ 21203: 6fd1.*
+ 21204: 0c99.*
+ 21205: 6fd9.*
+ 21206: 0c99.*
+ 21207: 6fe1.*
+ 21208: 411c.*
+ 21209: 0c99.*
+ 2120a: 6fe9.*
+ 2120b: 411d.*
+ 2120c: 0c99.*
+ 2120d: 6ff1.*
+ 2120e: 411e.*
+ 2120f: 0c99.*
+ 21210: 6ff8.*
+ 21211: 411f.*
+ 21212: 0c99.*
+ 21213: 6f82.*
+ 21214: 0c99.*
+ 21215: 6f8a.*
+ 21216: 0c99.*
+ 21217: 6f92.*
+ 21218: 0c99.*
+ 21219: 6f9a.*
+ 2121a: 0c99.*
+ 2121b: 6fa2.*
+ 2121c: 0c99.*
+ 2121d: 6faa.*
+ 2121e: 0c99.*
+ 2121f: 6fb2.*
+ 21220: 0c99.*
+ 21221: 6fba.*
+ 21222: 0c99.*
+ 21223: 6fc2.*
+ 21224: 0c99.*
+ 21225: 6fca.*
+ 21226: 0c99.*
+ 21227: 6fd2.*
+ 21228: 0c99.*
+ 21229: 6fda.*
+ 2122a: 0c99.*
+ 2122b: 6fe2.*
+ 2122c: 4120.*
+ 2122d: 0c99.*
+ 2122e: 6fea.*
+ 2122f: 4121.*
+ 21230: 0c99.*
+ 21231: 6ff2.*
+ 21232: 4122.*
+ 21233: 0c99.*
+ 21234: 6ff8.*
+ 21235: 4123.*
+ 21236: 0c99.*
+ 21237: 6f83.*
+ 21238: 0c99.*
+ 21239: 6f8b.*
+ 2123a: 0c99.*
+ 2123b: 6f93.*
+ 2123c: 0c99.*
+ 2123d: 6f9b.*
+ 2123e: 0c99.*
+ 2123f: 6fa3.*
+ 21240: 0c99.*
+ 21241: 6fab.*
+ 21242: 0c99.*
+ 21243: 6fb3.*
+ 21244: 0c99.*
+ 21245: 6fbb.*
+ 21246: 0c99.*
+ 21247: 6fc3.*
+ 21248: 0c99.*
+ 21249: 6fcb.*
+ 2124a: 0c99.*
+ 2124b: 6fd3.*
+ 2124c: 0c99.*
+ 2124d: 6fdb.*
+ 2124e: 0c99.*
+ 2124f: 6fe3.*
+ 21250: 4124.*
+ 21251: 0c99.*
+ 21252: 6feb.*
+ 21253: 4125.*
+ 21254: 0c99.*
+ 21255: 6ff3.*
+ 21256: 4126.*
+ 21257: 0c99.*
+ 21258: 6ff8.*
+ 21259: 4127.*
+ 2125a: 0c99.*
+ 2125b: 6f84.*
+ 2125c: 0c99.*
+ 2125d: 6f8c.*
+ 2125e: 0c99.*
+ 2125f: 6f94.*
+ 21260: 0c99.*
+ 21261: 6f9c.*
+ 21262: 0c99.*
+ 21263: 6fa4.*
+ 21264: 0c99.*
+ 21265: 6fac.*
+ 21266: 0c99.*
+ 21267: 6fb4.*
+ 21268: 0c99.*
+ 21269: 6fbc.*
+ 2126a: 0c99.*
+ 2126b: 6fc4.*
+ 2126c: 0c99.*
+ 2126d: 6fcc.*
+ 2126e: 0c99.*
+ 2126f: 6fd4.*
+ 21270: 0c99.*
+ 21271: 6fdc.*
+ 21272: 0c99.*
+ 21273: 6fe4.*
+ 21274: 4128.*
+ 21275: 0c99.*
+ 21276: 6fec.*
+ 21277: 4129.*
+ 21278: 0c99.*
+ 21279: 6ff4.*
+ 2127a: 412a.*
+ 2127b: 0c99.*
+ 2127c: 6ff8.*
+ 2127d: 412b.*
+ 2127e: 0c99.*
+ 2127f: 6f85.*
+ 21280: 0c99.*
+ 21281: 6f8d.*
+ 21282: 0c99.*
+ 21283: 6f95.*
+ 21284: 0c99.*
+ 21285: 6f9d.*
+ 21286: 0c99.*
+ 21287: 6fa5.*
+ 21288: 0c99.*
+ 21289: 6fad.*
+ 2128a: 0c99.*
+ 2128b: 6fb5.*
+ 2128c: 0c99.*
+ 2128d: 6fbd.*
+ 2128e: 0c99.*
+ 2128f: 6fc5.*
+ 21290: 0c99.*
+ 21291: 6fcd.*
+ 21292: 0c99.*
+ 21293: 6fd5.*
+ 21294: 0c99.*
+ 21295: 6fdd.*
+ 21296: 0c99.*
+ 21297: 6fe5.*
+ 21298: 412c.*
+ 21299: 0c99.*
+ 2129a: 6fed.*
+ 2129b: 412d.*
+ 2129c: 0c99.*
+ 2129d: 6ff5.*
+ 2129e: 412e.*
+ 2129f: 0c99.*
+ 212a0: 6ff8.*
+ 212a1: 412f.*
+ 212a2: 0c99.*
+ 212a3: 6f86.*
+ 212a4: 0c99.*
+ 212a5: 6f8e.*
+ 212a6: 0c99.*
+ 212a7: 6f96.*
+ 212a8: 0c99.*
+ 212a9: 6f9e.*
+ 212aa: 0c99.*
+ 212ab: 6fa6.*
+ 212ac: 0c99.*
+ 212ad: 6fae.*
+ 212ae: 0c99.*
+ 212af: 6fb6.*
+ 212b0: 0c99.*
+ 212b1: 6fbe.*
+ 212b2: 0c99.*
+ 212b3: 6fc6.*
+ 212b4: 0c99.*
+ 212b5: 6fce.*
+ 212b6: 0c99.*
+ 212b7: 6fd6.*
+ 212b8: 0c99.*
+ 212b9: 6fde.*
+ 212ba: 0c99.*
+ 212bb: 6fe6.*
+ 212bc: 4130.*
+ 212bd: 0c99.*
+ 212be: 6fee.*
+ 212bf: 4131.*
+ 212c0: 0c99.*
+ 212c1: 6ff6.*
+ 212c2: 4132.*
+ 212c3: 0c99.*
+ 212c4: 6ff8.*
+ 212c5: 4133.*
+ 212c6: 0c99.*
+ 212c7: 6f87.*
+ 212c8: 0c99.*
+ 212c9: 6f8f.*
+ 212ca: 0c99.*
+ 212cb: 6f97.*
+ 212cc: 0c99.*
+ 212cd: 6f9f.*
+ 212ce: 0c99.*
+ 212cf: 6fa7.*
+ 212d0: 0c99.*
+ 212d1: 6faf.*
+ 212d2: 0c99.*
+ 212d3: 6fb7.*
+ 212d4: 0c99.*
+ 212d5: 6fbf.*
+ 212d6: 0c99.*
+ 212d7: 6fc7.*
+ 212d8: 0c99.*
+ 212d9: 6fcf.*
+ 212da: 0c99.*
+ 212db: 6fd7.*
+ 212dc: 0c99.*
+ 212dd: 6fdf.*
+ 212de: 0c99.*
+ 212df: 6fe7.*
+ 212e0: 4134.*
+ 212e1: 0c99.*
+ 212e2: 6fef.*
+ 212e3: 4135.*
+ 212e4: 0c99.*
+ 212e5: 6ff7.*
+ 212e6: 4136.*
+ 212e7: 0c99.*
+ 212e8: 6ff8.*
+ 212e9: 4137.*
+ 212ea: 0c99.*
+ 212eb: 6f80.*
+ 212ec: 0c9a.*
+ 212ed: 6f88.*
+ 212ee: 0c9a.*
+ 212ef: 6f90.*
+ 212f0: 0c9a.*
+ 212f1: 6f98.*
+ 212f2: 0c9a.*
+ 212f3: 6fa0.*
+ 212f4: 0c9a.*
+ 212f5: 6fa8.*
+ 212f6: 0c9a.*
+ 212f7: 6fb0.*
+ 212f8: 0c9a.*
+ 212f9: 6fb8.*
+ 212fa: 0c9a.*
+ 212fb: 6fc0.*
+ 212fc: 0c9a.*
+ 212fd: 6fc8.*
+ 212fe: 0c9a.*
+ 212ff: 6fd0.*
+ 21300: 0c9a.*
+ 21301: 6fd8.*
+ 21302: 0c9a.*
+ 21303: 6fe0.*
+ 21304: 4138.*
+ 21305: 0c9a.*
+ 21306: 6fe8.*
+ 21307: 4139.*
+ 21308: 0c9a.*
+ 21309: 6ff0.*
+ 2130a: 413a.*
+ 2130b: 0c9a.*
+ 2130c: 6ff8.*
+ 2130d: 413b.*
+ 2130e: 0c9a.*
+ 2130f: 6f81.*
+ 21310: 0c9a.*
+ 21311: 6f89.*
+ 21312: 0c9a.*
+ 21313: 6f91.*
+ 21314: 0c9a.*
+ 21315: 6f99.*
+ 21316: 0c9a.*
+ 21317: 6fa1.*
+ 21318: 0c9a.*
+ 21319: 6fa9.*
+ 2131a: 0c9a.*
+ 2131b: 6fb1.*
+ 2131c: 0c9a.*
+ 2131d: 6fb9.*
+ 2131e: 0c9a.*
+ 2131f: 6fc1.*
+ 21320: 0c9a.*
+ 21321: 6fc9.*
+ 21322: 0c9a.*
+ 21323: 6fd1.*
+ 21324: 0c9a.*
+ 21325: 6fd9.*
+ 21326: 0c9a.*
+ 21327: 6fe1.*
+ 21328: 413c.*
+ 21329: 0c9a.*
+ 2132a: 6fe9.*
+ 2132b: 413d.*
+ 2132c: 0c9a.*
+ 2132d: 6ff1.*
+ 2132e: 413e.*
+ 2132f: 0c9a.*
+ 21330: 6ff8.*
+ 21331: 413f.*
+ 21332: 0c9a.*
+ 21333: 6f82.*
+ 21334: 0c9a.*
+ 21335: 6f8a.*
+ 21336: 0c9a.*
+ 21337: 6f92.*
+ 21338: 0c9a.*
+ 21339: 6f9a.*
+ 2133a: 0c9a.*
+ 2133b: 6fa2.*
+ 2133c: 0c9a.*
+ 2133d: 6faa.*
+ 2133e: 0c9a.*
+ 2133f: 6fb2.*
+ 21340: 0c9a.*
+ 21341: 6fba.*
+ 21342: 0c9a.*
+ 21343: 6fc2.*
+ 21344: 0c9a.*
+ 21345: 6fca.*
+ 21346: 0c9a.*
+ 21347: 6fd2.*
+ 21348: 0c9a.*
+ 21349: 6fda.*
+ 2134a: 0c9a.*
+ 2134b: 6fe2.*
+ 2134c: 4140.*
+ 2134d: 0c9a.*
+ 2134e: 6fea.*
+ 2134f: 4141.*
+ 21350: 0c9a.*
+ 21351: 6ff2.*
+ 21352: 4142.*
+ 21353: 0c9a.*
+ 21354: 6ff8.*
+ 21355: 4143.*
+ 21356: 0c9a.*
+ 21357: 6f83.*
+ 21358: 0c9a.*
+ 21359: 6f8b.*
+ 2135a: 0c9a.*
+ 2135b: 6f93.*
+ 2135c: 0c9a.*
+ 2135d: 6f9b.*
+ 2135e: 0c9a.*
+ 2135f: 6fa3.*
+ 21360: 0c9a.*
+ 21361: 6fab.*
+ 21362: 0c9a.*
+ 21363: 6fb3.*
+ 21364: 0c9a.*
+ 21365: 6fbb.*
+ 21366: 0c9a.*
+ 21367: 6fc3.*
+ 21368: 0c9a.*
+ 21369: 6fcb.*
+ 2136a: 0c9a.*
+ 2136b: 6fd3.*
+ 2136c: 0c9a.*
+ 2136d: 6fdb.*
+ 2136e: 0c9a.*
+ 2136f: 6fe3.*
+ 21370: 4144.*
+ 21371: 0c9a.*
+ 21372: 6feb.*
+ 21373: 4145.*
+ 21374: 0c9a.*
+ 21375: 6ff3.*
+ 21376: 4146.*
+ 21377: 0c9a.*
+ 21378: 6ff8.*
+ 21379: 4147.*
+ 2137a: 0c9a.*
+ 2137b: 6f84.*
+ 2137c: 0c9a.*
+ 2137d: 6f8c.*
+ 2137e: 0c9a.*
+ 2137f: 6f94.*
+ 21380: 0c9a.*
+ 21381: 6f9c.*
+ 21382: 0c9a.*
+ 21383: 6fa4.*
+ 21384: 0c9a.*
+ 21385: 6fac.*
+ 21386: 0c9a.*
+ 21387: 6fb4.*
+ 21388: 0c9a.*
+ 21389: 6fbc.*
+ 2138a: 0c9a.*
+ 2138b: 6fc4.*
+ 2138c: 0c9a.*
+ 2138d: 6fcc.*
+ 2138e: 0c9a.*
+ 2138f: 6fd4.*
+ 21390: 0c9a.*
+ 21391: 6fdc.*
+ 21392: 0c9a.*
+ 21393: 6fe4.*
+ 21394: 4148.*
+ 21395: 0c9a.*
+ 21396: 6fec.*
+ 21397: 4149.*
+ 21398: 0c9a.*
+ 21399: 6ff4.*
+ 2139a: 414a.*
+ 2139b: 0c9a.*
+ 2139c: 6ff8.*
+ 2139d: 414b.*
+ 2139e: 0c9a.*
+ 2139f: 6f85.*
+ 213a0: 0c9a.*
+ 213a1: 6f8d.*
+ 213a2: 0c9a.*
+ 213a3: 6f95.*
+ 213a4: 0c9a.*
+ 213a5: 6f9d.*
+ 213a6: 0c9a.*
+ 213a7: 6fa5.*
+ 213a8: 0c9a.*
+ 213a9: 6fad.*
+ 213aa: 0c9a.*
+ 213ab: 6fb5.*
+ 213ac: 0c9a.*
+ 213ad: 6fbd.*
+ 213ae: 0c9a.*
+ 213af: 6fc5.*
+ 213b0: 0c9a.*
+ 213b1: 6fcd.*
+ 213b2: 0c9a.*
+ 213b3: 6fd5.*
+ 213b4: 0c9a.*
+ 213b5: 6fdd.*
+ 213b6: 0c9a.*
+ 213b7: 6fe5.*
+ 213b8: 414c.*
+ 213b9: 0c9a.*
+ 213ba: 6fed.*
+ 213bb: 414d.*
+ 213bc: 0c9a.*
+ 213bd: 6ff5.*
+ 213be: 414e.*
+ 213bf: 0c9a.*
+ 213c0: 6ff8.*
+ 213c1: 414f.*
+ 213c2: 0c9a.*
+ 213c3: 6f86.*
+ 213c4: 0c9a.*
+ 213c5: 6f8e.*
+ 213c6: 0c9a.*
+ 213c7: 6f96.*
+ 213c8: 0c9a.*
+ 213c9: 6f9e.*
+ 213ca: 0c9a.*
+ 213cb: 6fa6.*
+ 213cc: 0c9a.*
+ 213cd: 6fae.*
+ 213ce: 0c9a.*
+ 213cf: 6fb6.*
+ 213d0: 0c9a.*
+ 213d1: 6fbe.*
+ 213d2: 0c9a.*
+ 213d3: 6fc6.*
+ 213d4: 0c9a.*
+ 213d5: 6fce.*
+ 213d6: 0c9a.*
+ 213d7: 6fd6.*
+ 213d8: 0c9a.*
+ 213d9: 6fde.*
+ 213da: 0c9a.*
+ 213db: 6fe6.*
+ 213dc: 4150.*
+ 213dd: 0c9a.*
+ 213de: 6fee.*
+ 213df: 4151.*
+ 213e0: 0c9a.*
+ 213e1: 6ff6.*
+ 213e2: 4152.*
+ 213e3: 0c9a.*
+ 213e4: 6ff8.*
+ 213e5: 4153.*
+ 213e6: 0c9a.*
+ 213e7: 6f87.*
+ 213e8: 0c9a.*
+ 213e9: 6f8f.*
+ 213ea: 0c9a.*
+ 213eb: 6f97.*
+ 213ec: 0c9a.*
+ 213ed: 6f9f.*
+ 213ee: 0c9a.*
+ 213ef: 6fa7.*
+ 213f0: 0c9a.*
+ 213f1: 6faf.*
+ 213f2: 0c9a.*
+ 213f3: 6fb7.*
+ 213f4: 0c9a.*
+ 213f5: 6fbf.*
+ 213f6: 0c9a.*
+ 213f7: 6fc7.*
+ 213f8: 0c9a.*
+ 213f9: 6fcf.*
+ 213fa: 0c9a.*
+ 213fb: 6fd7.*
+ 213fc: 0c9a.*
+ 213fd: 6fdf.*
+ 213fe: 0c9a.*
+ 213ff: 6fe7.*
+ 21400: 4154.*
+ 21401: 0c9a.*
+ 21402: 6fef.*
+ 21403: 4155.*
+ 21404: 0c9a.*
+ 21405: 6ff7.*
+ 21406: 4156.*
+ 21407: 0c9a.*
+ 21408: 6ff8.*
+ 21409: 4157.*
+ 2140a: 0c9a.*
+ 2140b: 6f80.*
+ 2140c: 0c9b.*
+ 2140d: 6f88.*
+ 2140e: 0c9b.*
+ 2140f: 6f90.*
+ 21410: 0c9b.*
+ 21411: 6f98.*
+ 21412: 0c9b.*
+ 21413: 6fa0.*
+ 21414: 0c9b.*
+ 21415: 6fa8.*
+ 21416: 0c9b.*
+ 21417: 6fb0.*
+ 21418: 0c9b.*
+ 21419: 6fb8.*
+ 2141a: 0c9b.*
+ 2141b: 6fc0.*
+ 2141c: 0c9b.*
+ 2141d: 6fc8.*
+ 2141e: 0c9b.*
+ 2141f: 6fd0.*
+ 21420: 0c9b.*
+ 21421: 6fd8.*
+ 21422: 0c9b.*
+ 21423: 6fe0.*
+ 21424: 4158.*
+ 21425: 0c9b.*
+ 21426: 6fe8.*
+ 21427: 4159.*
+ 21428: 0c9b.*
+ 21429: 6ff0.*
+ 2142a: 415a.*
+ 2142b: 0c9b.*
+ 2142c: 6ff8.*
+ 2142d: 415b.*
+ 2142e: 0c9b.*
+ 2142f: 6f81.*
+ 21430: 0c9b.*
+ 21431: 6f89.*
+ 21432: 0c9b.*
+ 21433: 6f91.*
+ 21434: 0c9b.*
+ 21435: 6f99.*
+ 21436: 0c9b.*
+ 21437: 6fa1.*
+ 21438: 0c9b.*
+ 21439: 6fa9.*
+ 2143a: 0c9b.*
+ 2143b: 6fb1.*
+ 2143c: 0c9b.*
+ 2143d: 6fb9.*
+ 2143e: 0c9b.*
+ 2143f: 6fc1.*
+ 21440: 0c9b.*
+ 21441: 6fc9.*
+ 21442: 0c9b.*
+ 21443: 6fd1.*
+ 21444: 0c9b.*
+ 21445: 6fd9.*
+ 21446: 0c9b.*
+ 21447: 6fe1.*
+ 21448: 415c.*
+ 21449: 0c9b.*
+ 2144a: 6fe9.*
+ 2144b: 415d.*
+ 2144c: 0c9b.*
+ 2144d: 6ff1.*
+ 2144e: 415e.*
+ 2144f: 0c9b.*
+ 21450: 6ff8.*
+ 21451: 415f.*
+ 21452: 0c9b.*
+ 21453: 6f82.*
+ 21454: 0c9b.*
+ 21455: 6f8a.*
+ 21456: 0c9b.*
+ 21457: 6f92.*
+ 21458: 0c9b.*
+ 21459: 6f9a.*
+ 2145a: 0c9b.*
+ 2145b: 6fa2.*
+ 2145c: 0c9b.*
+ 2145d: 6faa.*
+ 2145e: 0c9b.*
+ 2145f: 6fb2.*
+ 21460: 0c9b.*
+ 21461: 6fba.*
+ 21462: 0c9b.*
+ 21463: 6fc2.*
+ 21464: 0c9b.*
+ 21465: 6fca.*
+ 21466: 0c9b.*
+ 21467: 6fd2.*
+ 21468: 0c9b.*
+ 21469: 6fda.*
+ 2146a: 0c9b.*
+ 2146b: 6fe2.*
+ 2146c: 4160.*
+ 2146d: 0c9b.*
+ 2146e: 6fea.*
+ 2146f: 4161.*
+ 21470: 0c9b.*
+ 21471: 6ff2.*
+ 21472: 4162.*
+ 21473: 0c9b.*
+ 21474: 6ff8.*
+ 21475: 4163.*
+ 21476: 0c9b.*
+ 21477: 6f83.*
+ 21478: 0c9b.*
+ 21479: 6f8b.*
+ 2147a: 0c9b.*
+ 2147b: 6f93.*
+ 2147c: 0c9b.*
+ 2147d: 6f9b.*
+ 2147e: 0c9b.*
+ 2147f: 6fa3.*
+ 21480: 0c9b.*
+ 21481: 6fab.*
+ 21482: 0c9b.*
+ 21483: 6fb3.*
+ 21484: 0c9b.*
+ 21485: 6fbb.*
+ 21486: 0c9b.*
+ 21487: 6fc3.*
+ 21488: 0c9b.*
+ 21489: 6fcb.*
+ 2148a: 0c9b.*
+ 2148b: 6fd3.*
+ 2148c: 0c9b.*
+ 2148d: 6fdb.*
+ 2148e: 0c9b.*
+ 2148f: 6fe3.*
+ 21490: 4164.*
+ 21491: 0c9b.*
+ 21492: 6feb.*
+ 21493: 4165.*
+ 21494: 0c9b.*
+ 21495: 6ff3.*
+ 21496: 4166.*
+ 21497: 0c9b.*
+ 21498: 6ff8.*
+ 21499: 4167.*
+ 2149a: 0c9b.*
+ 2149b: 6f84.*
+ 2149c: 0c9b.*
+ 2149d: 6f8c.*
+ 2149e: 0c9b.*
+ 2149f: 6f94.*
+ 214a0: 0c9b.*
+ 214a1: 6f9c.*
+ 214a2: 0c9b.*
+ 214a3: 6fa4.*
+ 214a4: 0c9b.*
+ 214a5: 6fac.*
+ 214a6: 0c9b.*
+ 214a7: 6fb4.*
+ 214a8: 0c9b.*
+ 214a9: 6fbc.*
+ 214aa: 0c9b.*
+ 214ab: 6fc4.*
+ 214ac: 0c9b.*
+ 214ad: 6fcc.*
+ 214ae: 0c9b.*
+ 214af: 6fd4.*
+ 214b0: 0c9b.*
+ 214b1: 6fdc.*
+ 214b2: 0c9b.*
+ 214b3: 6fe4.*
+ 214b4: 4168.*
+ 214b5: 0c9b.*
+ 214b6: 6fec.*
+ 214b7: 4169.*
+ 214b8: 0c9b.*
+ 214b9: 6ff4.*
+ 214ba: 416a.*
+ 214bb: 0c9b.*
+ 214bc: 6ff8.*
+ 214bd: 416b.*
+ 214be: 0c9b.*
+ 214bf: 6f85.*
+ 214c0: 0c9b.*
+ 214c1: 6f8d.*
+ 214c2: 0c9b.*
+ 214c3: 6f95.*
+ 214c4: 0c9b.*
+ 214c5: 6f9d.*
+ 214c6: 0c9b.*
+ 214c7: 6fa5.*
+ 214c8: 0c9b.*
+ 214c9: 6fad.*
+ 214ca: 0c9b.*
+ 214cb: 6fb5.*
+ 214cc: 0c9b.*
+ 214cd: 6fbd.*
+ 214ce: 0c9b.*
+ 214cf: 6fc5.*
+ 214d0: 0c9b.*
+ 214d1: 6fcd.*
+ 214d2: 0c9b.*
+ 214d3: 6fd5.*
+ 214d4: 0c9b.*
+ 214d5: 6fdd.*
+ 214d6: 0c9b.*
+ 214d7: 6fe5.*
+ 214d8: 416c.*
+ 214d9: 0c9b.*
+ 214da: 6fed.*
+ 214db: 416d.*
+ 214dc: 0c9b.*
+ 214dd: 6ff5.*
+ 214de: 416e.*
+ 214df: 0c9b.*
+ 214e0: 6ff8.*
+ 214e1: 416f.*
+ 214e2: 0c9b.*
+ 214e3: 6f86.*
+ 214e4: 0c9b.*
+ 214e5: 6f8e.*
+ 214e6: 0c9b.*
+ 214e7: 6f96.*
+ 214e8: 0c9b.*
+ 214e9: 6f9e.*
+ 214ea: 0c9b.*
+ 214eb: 6fa6.*
+ 214ec: 0c9b.*
+ 214ed: 6fae.*
+ 214ee: 0c9b.*
+ 214ef: 6fb6.*
+ 214f0: 0c9b.*
+ 214f1: 6fbe.*
+ 214f2: 0c9b.*
+ 214f3: 6fc6.*
+ 214f4: 0c9b.*
+ 214f5: 6fce.*
+ 214f6: 0c9b.*
+ 214f7: 6fd6.*
+ 214f8: 0c9b.*
+ 214f9: 6fde.*
+ 214fa: 0c9b.*
+ 214fb: 6fe6.*
+ 214fc: 4170.*
+ 214fd: 0c9b.*
+ 214fe: 6fee.*
+ 214ff: 4171.*
+ 21500: 0c9b.*
+ 21501: 6ff6.*
+ 21502: 4172.*
+ 21503: 0c9b.*
+ 21504: 6ff8.*
+ 21505: 4173.*
+ 21506: 0c9b.*
+ 21507: 6f87.*
+ 21508: 0c9b.*
+ 21509: 6f8f.*
+ 2150a: 0c9b.*
+ 2150b: 6f97.*
+ 2150c: 0c9b.*
+ 2150d: 6f9f.*
+ 2150e: 0c9b.*
+ 2150f: 6fa7.*
+ 21510: 0c9b.*
+ 21511: 6faf.*
+ 21512: 0c9b.*
+ 21513: 6fb7.*
+ 21514: 0c9b.*
+ 21515: 6fbf.*
+ 21516: 0c9b.*
+ 21517: 6fc7.*
+ 21518: 0c9b.*
+ 21519: 6fcf.*
+ 2151a: 0c9b.*
+ 2151b: 6fd7.*
+ 2151c: 0c9b.*
+ 2151d: 6fdf.*
+ 2151e: 0c9b.*
+ 2151f: 6fe7.*
+ 21520: 4174.*
+ 21521: 0c9b.*
+ 21522: 6fef.*
+ 21523: 4175.*
+ 21524: 0c9b.*
+ 21525: 6ff7.*
+ 21526: 4176.*
+ 21527: 0c9b.*
+ 21528: 6ff8.*
+ 21529: 4177.*
+ 2152a: 0c9b.*
+ 2152b: 6f80.*
+ 2152c: 0c9c.*
+ 2152d: 6f88.*
+ 2152e: 0c9c.*
+ 2152f: 6f90.*
+ 21530: 0c9c.*
+ 21531: 6f98.*
+ 21532: 0c9c.*
+ 21533: 6fa0.*
+ 21534: 0c9c.*
+ 21535: 6fa8.*
+ 21536: 0c9c.*
+ 21537: 6fb0.*
+ 21538: 0c9c.*
+ 21539: 6fb8.*
+ 2153a: 0c9c.*
+ 2153b: 6fc0.*
+ 2153c: 0c9c.*
+ 2153d: 6fc8.*
+ 2153e: 0c9c.*
+ 2153f: 6fd0.*
+ 21540: 0c9c.*
+ 21541: 6fd8.*
+ 21542: 0c9c.*
+ 21543: 6fe0.*
+ 21544: 4178.*
+ 21545: 0c9c.*
+ 21546: 6fe8.*
+ 21547: 4179.*
+ 21548: 0c9c.*
+ 21549: 6ff0.*
+ 2154a: 417a.*
+ 2154b: 0c9c.*
+ 2154c: 6ff8.*
+ 2154d: 417b.*
+ 2154e: 0c9c.*
+ 2154f: 6f81.*
+ 21550: 0c9c.*
+ 21551: 6f89.*
+ 21552: 0c9c.*
+ 21553: 6f91.*
+ 21554: 0c9c.*
+ 21555: 6f99.*
+ 21556: 0c9c.*
+ 21557: 6fa1.*
+ 21558: 0c9c.*
+ 21559: 6fa9.*
+ 2155a: 0c9c.*
+ 2155b: 6fb1.*
+ 2155c: 0c9c.*
+ 2155d: 6fb9.*
+ 2155e: 0c9c.*
+ 2155f: 6fc1.*
+ 21560: 0c9c.*
+ 21561: 6fc9.*
+ 21562: 0c9c.*
+ 21563: 6fd1.*
+ 21564: 0c9c.*
+ 21565: 6fd9.*
+ 21566: 0c9c.*
+ 21567: 6fe1.*
+ 21568: 417c.*
+ 21569: 0c9c.*
+ 2156a: 6fe9.*
+ 2156b: 417d.*
+ 2156c: 0c9c.*
+ 2156d: 6ff1.*
+ 2156e: 417e.*
+ 2156f: 0c9c.*
+ 21570: 6ff8.*
+ 21571: 417f.*
+ 21572: 0c9c.*
+ 21573: 6f82.*
+ 21574: 0c9c.*
+ 21575: 6f8a.*
+ 21576: 0c9c.*
+ 21577: 6f92.*
+ 21578: 0c9c.*
+ 21579: 6f9a.*
+ 2157a: 0c9c.*
+ 2157b: 6fa2.*
+ 2157c: 0c9c.*
+ 2157d: 6faa.*
+ 2157e: 0c9c.*
+ 2157f: 6fb2.*
+ 21580: 0c9c.*
+ 21581: 6fba.*
+ 21582: 0c9c.*
+ 21583: 6fc2.*
+ 21584: 0c9c.*
+ 21585: 6fca.*
+ 21586: 0c9c.*
+ 21587: 6fd2.*
+ 21588: 0c9c.*
+ 21589: 6fda.*
+ 2158a: 0c9c.*
+ 2158b: 6fe2.*
+ 2158c: 4180.*
+ 2158d: 0c9c.*
+ 2158e: 6fea.*
+ 2158f: 4181.*
+ 21590: 0c9c.*
+ 21591: 6ff2.*
+ 21592: 4182.*
+ 21593: 0c9c.*
+ 21594: 6ff8.*
+ 21595: 4183.*
+ 21596: 0c9c.*
+ 21597: 6f83.*
+ 21598: 0c9c.*
+ 21599: 6f8b.*
+ 2159a: 0c9c.*
+ 2159b: 6f93.*
+ 2159c: 0c9c.*
+ 2159d: 6f9b.*
+ 2159e: 0c9c.*
+ 2159f: 6fa3.*
+ 215a0: 0c9c.*
+ 215a1: 6fab.*
+ 215a2: 0c9c.*
+ 215a3: 6fb3.*
+ 215a4: 0c9c.*
+ 215a5: 6fbb.*
+ 215a6: 0c9c.*
+ 215a7: 6fc3.*
+ 215a8: 0c9c.*
+ 215a9: 6fcb.*
+ 215aa: 0c9c.*
+ 215ab: 6fd3.*
+ 215ac: 0c9c.*
+ 215ad: 6fdb.*
+ 215ae: 0c9c.*
+ 215af: 6fe3.*
+ 215b0: 4184.*
+ 215b1: 0c9c.*
+ 215b2: 6feb.*
+ 215b3: 4185.*
+ 215b4: 0c9c.*
+ 215b5: 6ff3.*
+ 215b6: 4186.*
+ 215b7: 0c9c.*
+ 215b8: 6ff8.*
+ 215b9: 4187.*
+ 215ba: 0c9c.*
+ 215bb: 6f84.*
+ 215bc: 0c9c.*
+ 215bd: 6f8c.*
+ 215be: 0c9c.*
+ 215bf: 6f94.*
+ 215c0: 0c9c.*
+ 215c1: 6f9c.*
+ 215c2: 0c9c.*
+ 215c3: 6fa4.*
+ 215c4: 0c9c.*
+ 215c5: 6fac.*
+ 215c6: 0c9c.*
+ 215c7: 6fb4.*
+ 215c8: 0c9c.*
+ 215c9: 6fbc.*
+ 215ca: 0c9c.*
+ 215cb: 6fc4.*
+ 215cc: 0c9c.*
+ 215cd: 6fcc.*
+ 215ce: 0c9c.*
+ 215cf: 6fd4.*
+ 215d0: 0c9c.*
+ 215d1: 6fdc.*
+ 215d2: 0c9c.*
+ 215d3: 6fe4.*
+ 215d4: 4188.*
+ 215d5: 0c9c.*
+ 215d6: 6fec.*
+ 215d7: 4189.*
+ 215d8: 0c9c.*
+ 215d9: 6ff4.*
+ 215da: 418a.*
+ 215db: 0c9c.*
+ 215dc: 6ff8.*
+ 215dd: 418b.*
+ 215de: 0c9c.*
+ 215df: 6f85.*
+ 215e0: 0c9c.*
+ 215e1: 6f8d.*
+ 215e2: 0c9c.*
+ 215e3: 6f95.*
+ 215e4: 0c9c.*
+ 215e5: 6f9d.*
+ 215e6: 0c9c.*
+ 215e7: 6fa5.*
+ 215e8: 0c9c.*
+ 215e9: 6fad.*
+ 215ea: 0c9c.*
+ 215eb: 6fb5.*
+ 215ec: 0c9c.*
+ 215ed: 6fbd.*
+ 215ee: 0c9c.*
+ 215ef: 6fc5.*
+ 215f0: 0c9c.*
+ 215f1: 6fcd.*
+ 215f2: 0c9c.*
+ 215f3: 6fd5.*
+ 215f4: 0c9c.*
+ 215f5: 6fdd.*
+ 215f6: 0c9c.*
+ 215f7: 6fe5.*
+ 215f8: 418c.*
+ 215f9: 0c9c.*
+ 215fa: 6fed.*
+ 215fb: 418d.*
+ 215fc: 0c9c.*
+ 215fd: 6ff5.*
+ 215fe: 418e.*
+ 215ff: 0c9c.*
+ 21600: 6ff8.*
+ 21601: 418f.*
+ 21602: 0c9c.*
+ 21603: 6f86.*
+ 21604: 0c9c.*
+ 21605: 6f8e.*
+ 21606: 0c9c.*
+ 21607: 6f96.*
+ 21608: 0c9c.*
+ 21609: 6f9e.*
+ 2160a: 0c9c.*
+ 2160b: 6fa6.*
+ 2160c: 0c9c.*
+ 2160d: 6fae.*
+ 2160e: 0c9c.*
+ 2160f: 6fb6.*
+ 21610: 0c9c.*
+ 21611: 6fbe.*
+ 21612: 0c9c.*
+ 21613: 6fc6.*
+ 21614: 0c9c.*
+ 21615: 6fce.*
+ 21616: 0c9c.*
+ 21617: 6fd6.*
+ 21618: 0c9c.*
+ 21619: 6fde.*
+ 2161a: 0c9c.*
+ 2161b: 6fe6.*
+ 2161c: 4190.*
+ 2161d: 0c9c.*
+ 2161e: 6fee.*
+ 2161f: 4191.*
+ 21620: 0c9c.*
+ 21621: 6ff6.*
+ 21622: 4192.*
+ 21623: 0c9c.*
+ 21624: 6ff8.*
+ 21625: 4193.*
+ 21626: 0c9c.*
+ 21627: 6f87.*
+ 21628: 0c9c.*
+ 21629: 6f8f.*
+ 2162a: 0c9c.*
+ 2162b: 6f97.*
+ 2162c: 0c9c.*
+ 2162d: 6f9f.*
+ 2162e: 0c9c.*
+ 2162f: 6fa7.*
+ 21630: 0c9c.*
+ 21631: 6faf.*
+ 21632: 0c9c.*
+ 21633: 6fb7.*
+ 21634: 0c9c.*
+ 21635: 6fbf.*
+ 21636: 0c9c.*
+ 21637: 6fc7.*
+ 21638: 0c9c.*
+ 21639: 6fcf.*
+ 2163a: 0c9c.*
+ 2163b: 6fd7.*
+ 2163c: 0c9c.*
+ 2163d: 6fdf.*
+ 2163e: 0c9c.*
+ 2163f: 6fe7.*
+ 21640: 4194.*
+ 21641: 0c9c.*
+ 21642: 6fef.*
+ 21643: 4195.*
+ 21644: 0c9c.*
+ 21645: 6ff7.*
+ 21646: 4196.*
+ 21647: 0c9c.*
+ 21648: 6ff8.*
+ 21649: 4197.*
+ 2164a: 0c9c.*
+ 2164b: 6f80.*
+ 2164c: 0c9d.*
+ 2164d: 6f88.*
+ 2164e: 0c9d.*
+ 2164f: 6f90.*
+ 21650: 0c9d.*
+ 21651: 6f98.*
+ 21652: 0c9d.*
+ 21653: 6fa0.*
+ 21654: 0c9d.*
+ 21655: 6fa8.*
+ 21656: 0c9d.*
+ 21657: 6fb0.*
+ 21658: 0c9d.*
+ 21659: 6fb8.*
+ 2165a: 0c9d.*
+ 2165b: 6fc0.*
+ 2165c: 0c9d.*
+ 2165d: 6fc8.*
+ 2165e: 0c9d.*
+ 2165f: 6fd0.*
+ 21660: 0c9d.*
+ 21661: 6fd8.*
+ 21662: 0c9d.*
+ 21663: 6fe0.*
+ 21664: 4198.*
+ 21665: 0c9d.*
+ 21666: 6fe8.*
+ 21667: 4199.*
+ 21668: 0c9d.*
+ 21669: 6ff0.*
+ 2166a: 419a.*
+ 2166b: 0c9d.*
+ 2166c: 6ff8.*
+ 2166d: 419b.*
+ 2166e: 0c9d.*
+ 2166f: 6f81.*
+ 21670: 0c9d.*
+ 21671: 6f89.*
+ 21672: 0c9d.*
+ 21673: 6f91.*
+ 21674: 0c9d.*
+ 21675: 6f99.*
+ 21676: 0c9d.*
+ 21677: 6fa1.*
+ 21678: 0c9d.*
+ 21679: 6fa9.*
+ 2167a: 0c9d.*
+ 2167b: 6fb1.*
+ 2167c: 0c9d.*
+ 2167d: 6fb9.*
+ 2167e: 0c9d.*
+ 2167f: 6fc1.*
+ 21680: 0c9d.*
+ 21681: 6fc9.*
+ 21682: 0c9d.*
+ 21683: 6fd1.*
+ 21684: 0c9d.*
+ 21685: 6fd9.*
+ 21686: 0c9d.*
+ 21687: 6fe1.*
+ 21688: 419c.*
+ 21689: 0c9d.*
+ 2168a: 6fe9.*
+ 2168b: 419d.*
+ 2168c: 0c9d.*
+ 2168d: 6ff1.*
+ 2168e: 419e.*
+ 2168f: 0c9d.*
+ 21690: 6ff8.*
+ 21691: 419f.*
+ 21692: 0c9d.*
+ 21693: 6f82.*
+ 21694: 0c9d.*
+ 21695: 6f8a.*
+ 21696: 0c9d.*
+ 21697: 6f92.*
+ 21698: 0c9d.*
+ 21699: 6f9a.*
+ 2169a: 0c9d.*
+ 2169b: 6fa2.*
+ 2169c: 0c9d.*
+ 2169d: 6faa.*
+ 2169e: 0c9d.*
+ 2169f: 6fb2.*
+ 216a0: 0c9d.*
+ 216a1: 6fba.*
+ 216a2: 0c9d.*
+ 216a3: 6fc2.*
+ 216a4: 0c9d.*
+ 216a5: 6fca.*
+ 216a6: 0c9d.*
+ 216a7: 6fd2.*
+ 216a8: 0c9d.*
+ 216a9: 6fda.*
+ 216aa: 0c9d.*
+ 216ab: 6fe2.*
+ 216ac: 41a0.*
+ 216ad: 0c9d.*
+ 216ae: 6fea.*
+ 216af: 41a1.*
+ 216b0: 0c9d.*
+ 216b1: 6ff2.*
+ 216b2: 41a2.*
+ 216b3: 0c9d.*
+ 216b4: 6ff8.*
+ 216b5: 41a3.*
+ 216b6: 0c9d.*
+ 216b7: 6f83.*
+ 216b8: 0c9d.*
+ 216b9: 6f8b.*
+ 216ba: 0c9d.*
+ 216bb: 6f93.*
+ 216bc: 0c9d.*
+ 216bd: 6f9b.*
+ 216be: 0c9d.*
+ 216bf: 6fa3.*
+ 216c0: 0c9d.*
+ 216c1: 6fab.*
+ 216c2: 0c9d.*
+ 216c3: 6fb3.*
+ 216c4: 0c9d.*
+ 216c5: 6fbb.*
+ 216c6: 0c9d.*
+ 216c7: 6fc3.*
+ 216c8: 0c9d.*
+ 216c9: 6fcb.*
+ 216ca: 0c9d.*
+ 216cb: 6fd3.*
+ 216cc: 0c9d.*
+ 216cd: 6fdb.*
+ 216ce: 0c9d.*
+ 216cf: 6fe3.*
+ 216d0: 41a4.*
+ 216d1: 0c9d.*
+ 216d2: 6feb.*
+ 216d3: 41a5.*
+ 216d4: 0c9d.*
+ 216d5: 6ff3.*
+ 216d6: 41a6.*
+ 216d7: 0c9d.*
+ 216d8: 6ff8.*
+ 216d9: 41a7.*
+ 216da: 0c9d.*
+ 216db: 6f84.*
+ 216dc: 0c9d.*
+ 216dd: 6f8c.*
+ 216de: 0c9d.*
+ 216df: 6f94.*
+ 216e0: 0c9d.*
+ 216e1: 6f9c.*
+ 216e2: 0c9d.*
+ 216e3: 6fa4.*
+ 216e4: 0c9d.*
+ 216e5: 6fac.*
+ 216e6: 0c9d.*
+ 216e7: 6fb4.*
+ 216e8: 0c9d.*
+ 216e9: 6fbc.*
+ 216ea: 0c9d.*
+ 216eb: 6fc4.*
+ 216ec: 0c9d.*
+ 216ed: 6fcc.*
+ 216ee: 0c9d.*
+ 216ef: 6fd4.*
+ 216f0: 0c9d.*
+ 216f1: 6fdc.*
+ 216f2: 0c9d.*
+ 216f3: 6fe4.*
+ 216f4: 41a8.*
+ 216f5: 0c9d.*
+ 216f6: 6fec.*
+ 216f7: 41a9.*
+ 216f8: 0c9d.*
+ 216f9: 6ff4.*
+ 216fa: 41aa.*
+ 216fb: 0c9d.*
+ 216fc: 6ff8.*
+ 216fd: 41ab.*
+ 216fe: 0c9d.*
+ 216ff: 6f85.*
+ 21700: 0c9d.*
+ 21701: 6f8d.*
+ 21702: 0c9d.*
+ 21703: 6f95.*
+ 21704: 0c9d.*
+ 21705: 6f9d.*
+ 21706: 0c9d.*
+ 21707: 6fa5.*
+ 21708: 0c9d.*
+ 21709: 6fad.*
+ 2170a: 0c9d.*
+ 2170b: 6fb5.*
+ 2170c: 0c9d.*
+ 2170d: 6fbd.*
+ 2170e: 0c9d.*
+ 2170f: 6fc5.*
+ 21710: 0c9d.*
+ 21711: 6fcd.*
+ 21712: 0c9d.*
+ 21713: 6fd5.*
+ 21714: 0c9d.*
+ 21715: 6fdd.*
+ 21716: 0c9d.*
+ 21717: 6fe5.*
+ 21718: 41ac.*
+ 21719: 0c9d.*
+ 2171a: 6fed.*
+ 2171b: 41ad.*
+ 2171c: 0c9d.*
+ 2171d: 6ff5.*
+ 2171e: 41ae.*
+ 2171f: 0c9d.*
+ 21720: 6ff8.*
+ 21721: 41af.*
+ 21722: 0c9d.*
+ 21723: 6f86.*
+ 21724: 0c9d.*
+ 21725: 6f8e.*
+ 21726: 0c9d.*
+ 21727: 6f96.*
+ 21728: 0c9d.*
+ 21729: 6f9e.*
+ 2172a: 0c9d.*
+ 2172b: 6fa6.*
+ 2172c: 0c9d.*
+ 2172d: 6fae.*
+ 2172e: 0c9d.*
+ 2172f: 6fb6.*
+ 21730: 0c9d.*
+ 21731: 6fbe.*
+ 21732: 0c9d.*
+ 21733: 6fc6.*
+ 21734: 0c9d.*
+ 21735: 6fce.*
+ 21736: 0c9d.*
+ 21737: 6fd6.*
+ 21738: 0c9d.*
+ 21739: 6fde.*
+ 2173a: 0c9d.*
+ 2173b: 6fe6.*
+ 2173c: 41b0.*
+ 2173d: 0c9d.*
+ 2173e: 6fee.*
+ 2173f: 41b1.*
+ 21740: 0c9d.*
+ 21741: 6ff6.*
+ 21742: 41b2.*
+ 21743: 0c9d.*
+ 21744: 6ff8.*
+ 21745: 41b3.*
+ 21746: 0c9d.*
+ 21747: 6f87.*
+ 21748: 0c9d.*
+ 21749: 6f8f.*
+ 2174a: 0c9d.*
+ 2174b: 6f97.*
+ 2174c: 0c9d.*
+ 2174d: 6f9f.*
+ 2174e: 0c9d.*
+ 2174f: 6fa7.*
+ 21750: 0c9d.*
+ 21751: 6faf.*
+ 21752: 0c9d.*
+ 21753: 6fb7.*
+ 21754: 0c9d.*
+ 21755: 6fbf.*
+ 21756: 0c9d.*
+ 21757: 6fc7.*
+ 21758: 0c9d.*
+ 21759: 6fcf.*
+ 2175a: 0c9d.*
+ 2175b: 6fd7.*
+ 2175c: 0c9d.*
+ 2175d: 6fdf.*
+ 2175e: 0c9d.*
+ 2175f: 6fe7.*
+ 21760: 41b4.*
+ 21761: 0c9d.*
+ 21762: 6fef.*
+ 21763: 41b5.*
+ 21764: 0c9d.*
+ 21765: 6ff7.*
+ 21766: 41b6.*
+ 21767: 0c9d.*
+ 21768: 6ff8.*
+ 21769: 41b7.*
+ 2176a: 0c9d.*
+ 2176b: 6f80.*
+ 2176c: 0c9e.*
+ 2176d: 6f88.*
+ 2176e: 0c9e.*
+ 2176f: 6f90.*
+ 21770: 0c9e.*
+ 21771: 6f98.*
+ 21772: 0c9e.*
+ 21773: 6fa0.*
+ 21774: 0c9e.*
+ 21775: 6fa8.*
+ 21776: 0c9e.*
+ 21777: 6fb0.*
+ 21778: 0c9e.*
+ 21779: 6fb8.*
+ 2177a: 0c9e.*
+ 2177b: 6fc0.*
+ 2177c: 0c9e.*
+ 2177d: 6fc8.*
+ 2177e: 0c9e.*
+ 2177f: 6fd0.*
+ 21780: 0c9e.*
+ 21781: 6fd8.*
+ 21782: 0c9e.*
+ 21783: 6fe0.*
+ 21784: 41b8.*
+ 21785: 0c9e.*
+ 21786: 6fe8.*
+ 21787: 41b9.*
+ 21788: 0c9e.*
+ 21789: 6ff0.*
+ 2178a: 41ba.*
+ 2178b: 0c9e.*
+ 2178c: 6ff8.*
+ 2178d: 41bb.*
+ 2178e: 0c9e.*
+ 2178f: 6f81.*
+ 21790: 0c9e.*
+ 21791: 6f89.*
+ 21792: 0c9e.*
+ 21793: 6f91.*
+ 21794: 0c9e.*
+ 21795: 6f99.*
+ 21796: 0c9e.*
+ 21797: 6fa1.*
+ 21798: 0c9e.*
+ 21799: 6fa9.*
+ 2179a: 0c9e.*
+ 2179b: 6fb1.*
+ 2179c: 0c9e.*
+ 2179d: 6fb9.*
+ 2179e: 0c9e.*
+ 2179f: 6fc1.*
+ 217a0: 0c9e.*
+ 217a1: 6fc9.*
+ 217a2: 0c9e.*
+ 217a3: 6fd1.*
+ 217a4: 0c9e.*
+ 217a5: 6fd9.*
+ 217a6: 0c9e.*
+ 217a7: 6fe1.*
+ 217a8: 41bc.*
+ 217a9: 0c9e.*
+ 217aa: 6fe9.*
+ 217ab: 41bd.*
+ 217ac: 0c9e.*
+ 217ad: 6ff1.*
+ 217ae: 41be.*
+ 217af: 0c9e.*
+ 217b0: 6ff8.*
+ 217b1: 41bf.*
+ 217b2: 0c9e.*
+ 217b3: 6f82.*
+ 217b4: 0c9e.*
+ 217b5: 6f8a.*
+ 217b6: 0c9e.*
+ 217b7: 6f92.*
+ 217b8: 0c9e.*
+ 217b9: 6f9a.*
+ 217ba: 0c9e.*
+ 217bb: 6fa2.*
+ 217bc: 0c9e.*
+ 217bd: 6faa.*
+ 217be: 0c9e.*
+ 217bf: 6fb2.*
+ 217c0: 0c9e.*
+ 217c1: 6fba.*
+ 217c2: 0c9e.*
+ 217c3: 6fc2.*
+ 217c4: 0c9e.*
+ 217c5: 6fca.*
+ 217c6: 0c9e.*
+ 217c7: 6fd2.*
+ 217c8: 0c9e.*
+ 217c9: 6fda.*
+ 217ca: 0c9e.*
+ 217cb: 6fe2.*
+ 217cc: 41c0.*
+ 217cd: 0c9e.*
+ 217ce: 6fea.*
+ 217cf: 41c1.*
+ 217d0: 0c9e.*
+ 217d1: 6ff2.*
+ 217d2: 41c2.*
+ 217d3: 0c9e.*
+ 217d4: 6ff8.*
+ 217d5: 41c3.*
+ 217d6: 0c9e.*
+ 217d7: 6f83.*
+ 217d8: 0c9e.*
+ 217d9: 6f8b.*
+ 217da: 0c9e.*
+ 217db: 6f93.*
+ 217dc: 0c9e.*
+ 217dd: 6f9b.*
+ 217de: 0c9e.*
+ 217df: 6fa3.*
+ 217e0: 0c9e.*
+ 217e1: 6fab.*
+ 217e2: 0c9e.*
+ 217e3: 6fb3.*
+ 217e4: 0c9e.*
+ 217e5: 6fbb.*
+ 217e6: 0c9e.*
+ 217e7: 6fc3.*
+ 217e8: 0c9e.*
+ 217e9: 6fcb.*
+ 217ea: 0c9e.*
+ 217eb: 6fd3.*
+ 217ec: 0c9e.*
+ 217ed: 6fdb.*
+ 217ee: 0c9e.*
+ 217ef: 6fe3.*
+ 217f0: 41c4.*
+ 217f1: 0c9e.*
+ 217f2: 6feb.*
+ 217f3: 41c5.*
+ 217f4: 0c9e.*
+ 217f5: 6ff3.*
+ 217f6: 41c6.*
+ 217f7: 0c9e.*
+ 217f8: 6ff8.*
+ 217f9: 41c7.*
+ 217fa: 0c9e.*
+ 217fb: 6f84.*
+ 217fc: 0c9e.*
+ 217fd: 6f8c.*
+ 217fe: 0c9e.*
+ 217ff: 6f94.*
+ 21800: 0c9e.*
+ 21801: 6f9c.*
+ 21802: 0c9e.*
+ 21803: 6fa4.*
+ 21804: 0c9e.*
+ 21805: 6fac.*
+ 21806: 0c9e.*
+ 21807: 6fb4.*
+ 21808: 0c9e.*
+ 21809: 6fbc.*
+ 2180a: 0c9e.*
+ 2180b: 6fc4.*
+ 2180c: 0c9e.*
+ 2180d: 6fcc.*
+ 2180e: 0c9e.*
+ 2180f: 6fd4.*
+ 21810: 0c9e.*
+ 21811: 6fdc.*
+ 21812: 0c9e.*
+ 21813: 6fe4.*
+ 21814: 41c8.*
+ 21815: 0c9e.*
+ 21816: 6fec.*
+ 21817: 41c9.*
+ 21818: 0c9e.*
+ 21819: 6ff4.*
+ 2181a: 41ca.*
+ 2181b: 0c9e.*
+ 2181c: 6ff8.*
+ 2181d: 41cb.*
+ 2181e: 0c9e.*
+ 2181f: 6f85.*
+ 21820: 0c9e.*
+ 21821: 6f8d.*
+ 21822: 0c9e.*
+ 21823: 6f95.*
+ 21824: 0c9e.*
+ 21825: 6f9d.*
+ 21826: 0c9e.*
+ 21827: 6fa5.*
+ 21828: 0c9e.*
+ 21829: 6fad.*
+ 2182a: 0c9e.*
+ 2182b: 6fb5.*
+ 2182c: 0c9e.*
+ 2182d: 6fbd.*
+ 2182e: 0c9e.*
+ 2182f: 6fc5.*
+ 21830: 0c9e.*
+ 21831: 6fcd.*
+ 21832: 0c9e.*
+ 21833: 6fd5.*
+ 21834: 0c9e.*
+ 21835: 6fdd.*
+ 21836: 0c9e.*
+ 21837: 6fe5.*
+ 21838: 41cc.*
+ 21839: 0c9e.*
+ 2183a: 6fed.*
+ 2183b: 41cd.*
+ 2183c: 0c9e.*
+ 2183d: 6ff5.*
+ 2183e: 41ce.*
+ 2183f: 0c9e.*
+ 21840: 6ff8.*
+ 21841: 41cf.*
+ 21842: 0c9e.*
+ 21843: 6f86.*
+ 21844: 0c9e.*
+ 21845: 6f8e.*
+ 21846: 0c9e.*
+ 21847: 6f96.*
+ 21848: 0c9e.*
+ 21849: 6f9e.*
+ 2184a: 0c9e.*
+ 2184b: 6fa6.*
+ 2184c: 0c9e.*
+ 2184d: 6fae.*
+ 2184e: 0c9e.*
+ 2184f: 6fb6.*
+ 21850: 0c9e.*
+ 21851: 6fbe.*
+ 21852: 0c9e.*
+ 21853: 6fc6.*
+ 21854: 0c9e.*
+ 21855: 6fce.*
+ 21856: 0c9e.*
+ 21857: 6fd6.*
+ 21858: 0c9e.*
+ 21859: 6fde.*
+ 2185a: 0c9e.*
+ 2185b: 6fe6.*
+ 2185c: 41d0.*
+ 2185d: 0c9e.*
+ 2185e: 6fee.*
+ 2185f: 41d1.*
+ 21860: 0c9e.*
+ 21861: 6ff6.*
+ 21862: 41d2.*
+ 21863: 0c9e.*
+ 21864: 6ff8.*
+ 21865: 41d3.*
+ 21866: 0c9e.*
+ 21867: 6f87.*
+ 21868: 0c9e.*
+ 21869: 6f8f.*
+ 2186a: 0c9e.*
+ 2186b: 6f97.*
+ 2186c: 0c9e.*
+ 2186d: 6f9f.*
+ 2186e: 0c9e.*
+ 2186f: 6fa7.*
+ 21870: 0c9e.*
+ 21871: 6faf.*
+ 21872: 0c9e.*
+ 21873: 6fb7.*
+ 21874: 0c9e.*
+ 21875: 6fbf.*
+ 21876: 0c9e.*
+ 21877: 6fc7.*
+ 21878: 0c9e.*
+ 21879: 6fcf.*
+ 2187a: 0c9e.*
+ 2187b: 6fd7.*
+ 2187c: 0c9e.*
+ 2187d: 6fdf.*
+ 2187e: 0c9e.*
+ 2187f: 6fe7.*
+ 21880: 41d4.*
+ 21881: 0c9e.*
+ 21882: 6fef.*
+ 21883: 41d5.*
+ 21884: 0c9e.*
+ 21885: 6ff7.*
+ 21886: 41d6.*
+ 21887: 0c9e.*
+ 21888: 6ff8.*
+ 21889: 41d7.*
+ 2188a: 0c9e.*
+ 2188b: 6f80.*
+ 2188c: 0c9f.*
+ 2188d: 6f88.*
+ 2188e: 0c9f.*
+ 2188f: 6f90.*
+ 21890: 0c9f.*
+ 21891: 6f98.*
+ 21892: 0c9f.*
+ 21893: 6fa0.*
+ 21894: 0c9f.*
+ 21895: 6fa8.*
+ 21896: 0c9f.*
+ 21897: 6fb0.*
+ 21898: 0c9f.*
+ 21899: 6fb8.*
+ 2189a: 0c9f.*
+ 2189b: 6fc0.*
+ 2189c: 0c9f.*
+ 2189d: 6fc8.*
+ 2189e: 0c9f.*
+ 2189f: 6fd0.*
+ 218a0: 0c9f.*
+ 218a1: 6fd8.*
+ 218a2: 0c9f.*
+ 218a3: 6fe0.*
+ 218a4: 41d8.*
+ 218a5: 0c9f.*
+ 218a6: 6fe8.*
+ 218a7: 41d9.*
+ 218a8: 0c9f.*
+ 218a9: 6ff0.*
+ 218aa: 41da.*
+ 218ab: 0c9f.*
+ 218ac: 6ff8.*
+ 218ad: 41db.*
+ 218ae: 0c9f.*
+ 218af: 6f81.*
+ 218b0: 0c9f.*
+ 218b1: 6f89.*
+ 218b2: 0c9f.*
+ 218b3: 6f91.*
+ 218b4: 0c9f.*
+ 218b5: 6f99.*
+ 218b6: 0c9f.*
+ 218b7: 6fa1.*
+ 218b8: 0c9f.*
+ 218b9: 6fa9.*
+ 218ba: 0c9f.*
+ 218bb: 6fb1.*
+ 218bc: 0c9f.*
+ 218bd: 6fb9.*
+ 218be: 0c9f.*
+ 218bf: 6fc1.*
+ 218c0: 0c9f.*
+ 218c1: 6fc9.*
+ 218c2: 0c9f.*
+ 218c3: 6fd1.*
+ 218c4: 0c9f.*
+ 218c5: 6fd9.*
+ 218c6: 0c9f.*
+ 218c7: 6fe1.*
+ 218c8: 41dc.*
+ 218c9: 0c9f.*
+ 218ca: 6fe9.*
+ 218cb: 41dd.*
+ 218cc: 0c9f.*
+ 218cd: 6ff1.*
+ 218ce: 41de.*
+ 218cf: 0c9f.*
+ 218d0: 6ff8.*
+ 218d1: 41df.*
+ 218d2: 0c9f.*
+ 218d3: 6f82.*
+ 218d4: 0c9f.*
+ 218d5: 6f8a.*
+ 218d6: 0c9f.*
+ 218d7: 6f92.*
+ 218d8: 0c9f.*
+ 218d9: 6f9a.*
+ 218da: 0c9f.*
+ 218db: 6fa2.*
+ 218dc: 0c9f.*
+ 218dd: 6faa.*
+ 218de: 0c9f.*
+ 218df: 6fb2.*
+ 218e0: 0c9f.*
+ 218e1: 6fba.*
+ 218e2: 0c9f.*
+ 218e3: 6fc2.*
+ 218e4: 0c9f.*
+ 218e5: 6fca.*
+ 218e6: 0c9f.*
+ 218e7: 6fd2.*
+ 218e8: 0c9f.*
+ 218e9: 6fda.*
+ 218ea: 0c9f.*
+ 218eb: 6fe2.*
+ 218ec: 41e0.*
+ 218ed: 0c9f.*
+ 218ee: 6fea.*
+ 218ef: 41e1.*
+ 218f0: 0c9f.*
+ 218f1: 6ff2.*
+ 218f2: 41e2.*
+ 218f3: 0c9f.*
+ 218f4: 6ff8.*
+ 218f5: 41e3.*
+ 218f6: 0c9f.*
+ 218f7: 6f83.*
+ 218f8: 0c9f.*
+ 218f9: 6f8b.*
+ 218fa: 0c9f.*
+ 218fb: 6f93.*
+ 218fc: 0c9f.*
+ 218fd: 6f9b.*
+ 218fe: 0c9f.*
+ 218ff: 6fa3.*
+ 21900: 0c9f.*
+ 21901: 6fab.*
+ 21902: 0c9f.*
+ 21903: 6fb3.*
+ 21904: 0c9f.*
+ 21905: 6fbb.*
+ 21906: 0c9f.*
+ 21907: 6fc3.*
+ 21908: 0c9f.*
+ 21909: 6fcb.*
+ 2190a: 0c9f.*
+ 2190b: 6fd3.*
+ 2190c: 0c9f.*
+ 2190d: 6fdb.*
+ 2190e: 0c9f.*
+ 2190f: 6fe3.*
+ 21910: 41e4.*
+ 21911: 0c9f.*
+ 21912: 6feb.*
+ 21913: 41e5.*
+ 21914: 0c9f.*
+ 21915: 6ff3.*
+ 21916: 41e6.*
+ 21917: 0c9f.*
+ 21918: 6ff8.*
+ 21919: 41e7.*
+ 2191a: 0c9f.*
+ 2191b: 6f84.*
+ 2191c: 0c9f.*
+ 2191d: 6f8c.*
+ 2191e: 0c9f.*
+ 2191f: 6f94.*
+ 21920: 0c9f.*
+ 21921: 6f9c.*
+ 21922: 0c9f.*
+ 21923: 6fa4.*
+ 21924: 0c9f.*
+ 21925: 6fac.*
+ 21926: 0c9f.*
+ 21927: 6fb4.*
+ 21928: 0c9f.*
+ 21929: 6fbc.*
+ 2192a: 0c9f.*
+ 2192b: 6fc4.*
+ 2192c: 0c9f.*
+ 2192d: 6fcc.*
+ 2192e: 0c9f.*
+ 2192f: 6fd4.*
+ 21930: 0c9f.*
+ 21931: 6fdc.*
+ 21932: 0c9f.*
+ 21933: 6fe4.*
+ 21934: 41e8.*
+ 21935: 0c9f.*
+ 21936: 6fec.*
+ 21937: 41e9.*
+ 21938: 0c9f.*
+ 21939: 6ff4.*
+ 2193a: 41ea.*
+ 2193b: 0c9f.*
+ 2193c: 6ff8.*
+ 2193d: 41eb.*
+ 2193e: 0c9f.*
+ 2193f: 6f85.*
+ 21940: 0c9f.*
+ 21941: 6f8d.*
+ 21942: 0c9f.*
+ 21943: 6f95.*
+ 21944: 0c9f.*
+ 21945: 6f9d.*
+ 21946: 0c9f.*
+ 21947: 6fa5.*
+ 21948: 0c9f.*
+ 21949: 6fad.*
+ 2194a: 0c9f.*
+ 2194b: 6fb5.*
+ 2194c: 0c9f.*
+ 2194d: 6fbd.*
+ 2194e: 0c9f.*
+ 2194f: 6fc5.*
+ 21950: 0c9f.*
+ 21951: 6fcd.*
+ 21952: 0c9f.*
+ 21953: 6fd5.*
+ 21954: 0c9f.*
+ 21955: 6fdd.*
+ 21956: 0c9f.*
+ 21957: 6fe5.*
+ 21958: 41ec.*
+ 21959: 0c9f.*
+ 2195a: 6fed.*
+ 2195b: 41ed.*
+ 2195c: 0c9f.*
+ 2195d: 6ff5.*
+ 2195e: 41ee.*
+ 2195f: 0c9f.*
+ 21960: 6ff8.*
+ 21961: 41ef.*
+ 21962: 0c9f.*
+ 21963: 6f86.*
+ 21964: 0c9f.*
+ 21965: 6f8e.*
+ 21966: 0c9f.*
+ 21967: 6f96.*
+ 21968: 0c9f.*
+ 21969: 6f9e.*
+ 2196a: 0c9f.*
+ 2196b: 6fa6.*
+ 2196c: 0c9f.*
+ 2196d: 6fae.*
+ 2196e: 0c9f.*
+ 2196f: 6fb6.*
+ 21970: 0c9f.*
+ 21971: 6fbe.*
+ 21972: 0c9f.*
+ 21973: 6fc6.*
+ 21974: 0c9f.*
+ 21975: 6fce.*
+ 21976: 0c9f.*
+ 21977: 6fd6.*
+ 21978: 0c9f.*
+ 21979: 6fde.*
+ 2197a: 0c9f.*
+ 2197b: 6fe6.*
+ 2197c: 41f0.*
+ 2197d: 0c9f.*
+ 2197e: 6fee.*
+ 2197f: 41f1.*
+ 21980: 0c9f.*
+ 21981: 6ff6.*
+ 21982: 41f2.*
+ 21983: 0c9f.*
+ 21984: 6ff8.*
+ 21985: 41f3.*
+ 21986: 0c9f.*
+ 21987: 6f87.*
+ 21988: 0c9f.*
+ 21989: 6f8f.*
+ 2198a: 0c9f.*
+ 2198b: 6f97.*
+ 2198c: 0c9f.*
+ 2198d: 6f9f.*
+ 2198e: 0c9f.*
+ 2198f: 6fa7.*
+ 21990: 0c9f.*
+ 21991: 6faf.*
+ 21992: 0c9f.*
+ 21993: 6fb7.*
+ 21994: 0c9f.*
+ 21995: 6fbf.*
+ 21996: 0c9f.*
+ 21997: 6fc7.*
+ 21998: 0c9f.*
+ 21999: 6fcf.*
+ 2199a: 0c9f.*
+ 2199b: 6fd7.*
+ 2199c: 0c9f.*
+ 2199d: 6fdf.*
+ 2199e: 0c9f.*
+ 2199f: 6fe7.*
+ 219a0: 41f4.*
+ 219a1: 0c9f.*
+ 219a2: 6fef.*
+ 219a3: 41f5.*
+ 219a4: 0c9f.*
+ 219a5: 6ff7.*
+ 219a6: 41f6.*
+ 219a7: 0c9f.*
+ 219a8: 6ff8.*
+ 219a9: 41f7.*
+ 219aa: 0c9f.*
+ 219ab: 8080.*
+ 219ac: 8088.*
+ 219ad: 8090.*
+ 219ae: 8098.*
+ 219af: 80a0.*
+ 219b0: 80a8.*
+ 219b1: 80b0.*
+ 219b2: 80b8.*
+ 219b3: 80c0.*
+ 219b4: 80c8.*
+ 219b5: 80d0.*
+ 219b6: 80d8.*
+ 219b7: 80e0.*
+ 219b8: 41f8.*
+ 219b9: 80e8.*
+ 219ba: 41f9.*
+ 219bb: 80f0.*
+ 219bc: 41fa.*
+ 219bd: 80f8.*
+ 219be: 41fb.*
+ 219bf: 8081.*
+ 219c0: 8089.*
+ 219c1: 8091.*
+ 219c2: 8099.*
+ 219c3: 80a1.*
+ 219c4: 80a9.*
+ 219c5: 80b1.*
+ 219c6: 80b9.*
+ 219c7: 80c1.*
+ 219c8: 80c9.*
+ 219c9: 80d1.*
+ 219ca: 80d9.*
+ 219cb: 80e1.*
+ 219cc: 41fc.*
+ 219cd: 80e9.*
+ 219ce: 41fd.*
+ 219cf: 80f1.*
+ 219d0: 41fe.*
+ 219d1: 80f8.*
+ 219d2: 41ff.*
+ 219d3: 8082.*
+ 219d4: 808a.*
+ 219d5: 8092.*
+ 219d6: 809a.*
+ 219d7: 80a2.*
+ 219d8: 80aa.*
+ 219d9: 80b2.*
+ 219da: 80ba.*
+ 219db: 80c2.*
+ 219dc: 80ca.*
+ 219dd: 80d2.*
+ 219de: 80da.*
+ 219df: 80e2.*
+ 219e0: 4200.*
+ 219e1: 80ea.*
+ 219e2: 4201.*
+ 219e3: 80f2.*
+ 219e4: 4202.*
+ 219e5: 80f8.*
+ 219e6: 4203.*
+ 219e7: 8083.*
+ 219e8: 808b.*
+ 219e9: 8093.*
+ 219ea: 809b.*
+ 219eb: 80a3.*
+ 219ec: 80ab.*
+ 219ed: 80b3.*
+ 219ee: 80bb.*
+ 219ef: 80c3.*
+ 219f0: 80cb.*
+ 219f1: 80d3.*
+ 219f2: 80db.*
+ 219f3: 80e3.*
+ 219f4: 4204.*
+ 219f5: 80eb.*
+ 219f6: 4205.*
+ 219f7: 80f3.*
+ 219f8: 4206.*
+ 219f9: 80f8.*
+ 219fa: 4207.*
+ 219fb: 8084.*
+ 219fc: 808c.*
+ 219fd: 8094.*
+ 219fe: 809c.*
+ 219ff: 80a4.*
+ 21a00: 80ac.*
+ 21a01: 80b4.*
+ 21a02: 80bc.*
+ 21a03: 80c4.*
+ 21a04: 80cc.*
+ 21a05: 80d4.*
+ 21a06: 80dc.*
+ 21a07: 80e4.*
+ 21a08: 4208.*
+ 21a09: 80ec.*
+ 21a0a: 4209.*
+ 21a0b: 80f4.*
+ 21a0c: 420a.*
+ 21a0d: 80f8.*
+ 21a0e: 420b.*
+ 21a0f: 8085.*
+ 21a10: 808d.*
+ 21a11: 8095.*
+ 21a12: 809d.*
+ 21a13: 80a5.*
+ 21a14: 80ad.*
+ 21a15: 80b5.*
+ 21a16: 80bd.*
+ 21a17: 80c5.*
+ 21a18: 80cd.*
+ 21a19: 80d5.*
+ 21a1a: 80dd.*
+ 21a1b: 80e5.*
+ 21a1c: 420c.*
+ 21a1d: 80ed.*
+ 21a1e: 420d.*
+ 21a1f: 80f5.*
+ 21a20: 420e.*
+ 21a21: 80f8.*
+ 21a22: 420f.*
+ 21a23: 8086.*
+ 21a24: 808e.*
+ 21a25: 8096.*
+ 21a26: 809e.*
+ 21a27: 80a6.*
+ 21a28: 80ae.*
+ 21a29: 80b6.*
+ 21a2a: 80be.*
+ 21a2b: 80c6.*
+ 21a2c: 80ce.*
+ 21a2d: 80d6.*
+ 21a2e: 80de.*
+ 21a2f: 80e6.*
+ 21a30: 4210.*
+ 21a31: 80ee.*
+ 21a32: 4211.*
+ 21a33: 80f6.*
+ 21a34: 4212.*
+ 21a35: 80f8.*
+ 21a36: 4213.*
+ 21a37: 8087.*
+ 21a38: 808f.*
+ 21a39: 8097.*
+ 21a3a: 809f.*
+ 21a3b: 80a7.*
+ 21a3c: 80af.*
+ 21a3d: 80b7.*
+ 21a3e: 80bf.*
+ 21a3f: 80c7.*
+ 21a40: 80cf.*
+ 21a41: 80d7.*
+ 21a42: 80df.*
+ 21a43: 80e7.*
+ 21a44: 4214.*
+ 21a45: 80ef.*
+ 21a46: 4215.*
+ 21a47: 80f7.*
+ 21a48: 4216.*
+ 21a49: 80f8.*
+ 21a4a: 4217.*
+ 21a4b: 6f80.*
+ 21a4c: 0c81.*
+ 21a4d: 6f88.*
+ 21a4e: 0c81.*
+ 21a4f: 6f90.*
+ 21a50: 0c81.*
+ 21a51: 6f98.*
+ 21a52: 0c81.*
+ 21a53: 6fa0.*
+ 21a54: 0c81.*
+ 21a55: 6fa8.*
+ 21a56: 0c81.*
+ 21a57: 6fb0.*
+ 21a58: 0c81.*
+ 21a59: 6fb8.*
+ 21a5a: 0c81.*
+ 21a5b: 6fc0.*
+ 21a5c: 0c81.*
+ 21a5d: 6fc8.*
+ 21a5e: 0c81.*
+ 21a5f: 6fd0.*
+ 21a60: 0c81.*
+ 21a61: 6fd8.*
+ 21a62: 0c81.*
+ 21a63: 6fe0.*
+ 21a64: 4218.*
+ 21a65: 0c81.*
+ 21a66: 6fe8.*
+ 21a67: 4219.*
+ 21a68: 0c81.*
+ 21a69: 6ff0.*
+ 21a6a: 421a.*
+ 21a6b: 0c81.*
+ 21a6c: 6ff8.*
+ 21a6d: 421b.*
+ 21a6e: 0c81.*
+ 21a6f: 6f81.*
+ 21a70: 0c81.*
+ 21a71: 6f89.*
+ 21a72: 0c81.*
+ 21a73: 6f91.*
+ 21a74: 0c81.*
+ 21a75: 6f99.*
+ 21a76: 0c81.*
+ 21a77: 6fa1.*
+ 21a78: 0c81.*
+ 21a79: 6fa9.*
+ 21a7a: 0c81.*
+ 21a7b: 6fb1.*
+ 21a7c: 0c81.*
+ 21a7d: 6fb9.*
+ 21a7e: 0c81.*
+ 21a7f: 6fc1.*
+ 21a80: 0c81.*
+ 21a81: 6fc9.*
+ 21a82: 0c81.*
+ 21a83: 6fd1.*
+ 21a84: 0c81.*
+ 21a85: 6fd9.*
+ 21a86: 0c81.*
+ 21a87: 6fe1.*
+ 21a88: 421c.*
+ 21a89: 0c81.*
+ 21a8a: 6fe9.*
+ 21a8b: 421d.*
+ 21a8c: 0c81.*
+ 21a8d: 6ff1.*
+ 21a8e: 421e.*
+ 21a8f: 0c81.*
+ 21a90: 6ff8.*
+ 21a91: 421f.*
+ 21a92: 0c81.*
+ 21a93: 9801.*
+ 21a94: 9841.*
+ 21a95: 9881.*
+ 21a96: 6f9a.*
+ 21a97: 0c81.*
+ 21a98: 6fa2.*
+ 21a99: 0c81.*
+ 21a9a: 6faa.*
+ 21a9b: 0c81.*
+ 21a9c: 6fb2.*
+ 21a9d: 0c81.*
+ 21a9e: 6fba.*
+ 21a9f: 0c81.*
+ 21aa0: 6fc2.*
+ 21aa1: 0c81.*
+ 21aa2: 6fca.*
+ 21aa3: 0c81.*
+ 21aa4: 6fd2.*
+ 21aa5: 0c81.*
+ 21aa6: 98c1.*
+ 21aa7: 6fe2.*
+ 21aa8: 4220.*
+ 21aa9: 0c81.*
+ 21aaa: 6fea.*
+ 21aab: 4221.*
+ 21aac: 0c81.*
+ 21aad: 6ff2.*
+ 21aae: 4222.*
+ 21aaf: 0c81.*
+ 21ab0: 6ff8.*
+ 21ab1: 4223.*
+ 21ab2: 0c81.*
+ 21ab3: 9811.*
+ 21ab4: 9851.*
+ 21ab5: 9891.*
+ 21ab6: 6f9b.*
+ 21ab7: 0c81.*
+ 21ab8: 6fa3.*
+ 21ab9: 0c81.*
+ 21aba: 6fab.*
+ 21abb: 0c81.*
+ 21abc: 6fb3.*
+ 21abd: 0c81.*
+ 21abe: 6fbb.*
+ 21abf: 0c81.*
+ 21ac0: 6fc3.*
+ 21ac1: 0c81.*
+ 21ac2: 6fcb.*
+ 21ac3: 0c81.*
+ 21ac4: 6fd3.*
+ 21ac5: 0c81.*
+ 21ac6: 98d1.*
+ 21ac7: 6fe3.*
+ 21ac8: 4224.*
+ 21ac9: 0c81.*
+ 21aca: 6feb.*
+ 21acb: 4225.*
+ 21acc: 0c81.*
+ 21acd: 6ff3.*
+ 21ace: 4226.*
+ 21acf: 0c81.*
+ 21ad0: 6ff8.*
+ 21ad1: 4227.*
+ 21ad2: 0c81.*
+ 21ad3: 9821.*
+ 21ad4: 9861.*
+ 21ad5: 98a1.*
+ 21ad6: 6f9c.*
+ 21ad7: 0c81.*
+ 21ad8: 6fa4.*
+ 21ad9: 0c81.*
+ 21ada: 6fac.*
+ 21adb: 0c81.*
+ 21adc: 6fb4.*
+ 21add: 0c81.*
+ 21ade: 6fbc.*
+ 21adf: 0c81.*
+ 21ae0: 6fc4.*
+ 21ae1: 0c81.*
+ 21ae2: 6fcc.*
+ 21ae3: 0c81.*
+ 21ae4: 6fd4.*
+ 21ae5: 0c81.*
+ 21ae6: 98e1.*
+ 21ae7: 6fe4.*
+ 21ae8: 4228.*
+ 21ae9: 0c81.*
+ 21aea: 6fec.*
+ 21aeb: 4229.*
+ 21aec: 0c81.*
+ 21aed: 6ff4.*
+ 21aee: 422a.*
+ 21aef: 0c81.*
+ 21af0: 6ff8.*
+ 21af1: 422b.*
+ 21af2: 0c81.*
+ 21af3: 9831.*
+ 21af4: 9871.*
+ 21af5: 98b1.*
+ 21af6: 6f9d.*
+ 21af7: 0c81.*
+ 21af8: 6fa5.*
+ 21af9: 0c81.*
+ 21afa: 6fad.*
+ 21afb: 0c81.*
+ 21afc: 6fb5.*
+ 21afd: 0c81.*
+ 21afe: 6fbd.*
+ 21aff: 0c81.*
+ 21b00: 6fc5.*
+ 21b01: 0c81.*
+ 21b02: 6fcd.*
+ 21b03: 0c81.*
+ 21b04: 6fd5.*
+ 21b05: 0c81.*
+ 21b06: 98f1.*
+ 21b07: 6fe5.*
+ 21b08: 422c.*
+ 21b09: 0c81.*
+ 21b0a: 6fed.*
+ 21b0b: 422d.*
+ 21b0c: 0c81.*
+ 21b0d: 6ff5.*
+ 21b0e: 422e.*
+ 21b0f: 0c81.*
+ 21b10: 6ff8.*
+ 21b11: 422f.*
+ 21b12: 0c81.*
+ 21b13: 6f86.*
+ 21b14: 0c81.*
+ 21b15: 6f8e.*
+ 21b16: 0c81.*
+ 21b17: 6f96.*
+ 21b18: 0c81.*
+ 21b19: 6f9e.*
+ 21b1a: 0c81.*
+ 21b1b: 6fa6.*
+ 21b1c: 0c81.*
+ 21b1d: 6fae.*
+ 21b1e: 0c81.*
+ 21b1f: 6fb6.*
+ 21b20: 0c81.*
+ 21b21: 6fbe.*
+ 21b22: 0c81.*
+ 21b23: 6fc6.*
+ 21b24: 0c81.*
+ 21b25: 6fce.*
+ 21b26: 0c81.*
+ 21b27: 6fd6.*
+ 21b28: 0c81.*
+ 21b29: 6fde.*
+ 21b2a: 0c81.*
+ 21b2b: 6fe6.*
+ 21b2c: 4230.*
+ 21b2d: 0c81.*
+ 21b2e: 6fee.*
+ 21b2f: 4231.*
+ 21b30: 0c81.*
+ 21b31: 6ff6.*
+ 21b32: 4232.*
+ 21b33: 0c81.*
+ 21b34: 6ff8.*
+ 21b35: 4233.*
+ 21b36: 0c81.*
+ 21b37: 6f87.*
+ 21b38: 0c81.*
+ 21b39: 6f8f.*
+ 21b3a: 0c81.*
+ 21b3b: 6f97.*
+ 21b3c: 0c81.*
+ 21b3d: 6f9f.*
+ 21b3e: 0c81.*
+ 21b3f: 6fa7.*
+ 21b40: 0c81.*
+ 21b41: 6faf.*
+ 21b42: 0c81.*
+ 21b43: 6fb7.*
+ 21b44: 0c81.*
+ 21b45: 6fbf.*
+ 21b46: 0c81.*
+ 21b47: 6fc7.*
+ 21b48: 0c81.*
+ 21b49: 6fcf.*
+ 21b4a: 0c81.*
+ 21b4b: 6fd7.*
+ 21b4c: 0c81.*
+ 21b4d: 6fdf.*
+ 21b4e: 0c81.*
+ 21b4f: 6fe7.*
+ 21b50: 4234.*
+ 21b51: 0c81.*
+ 21b52: 6fef.*
+ 21b53: 4235.*
+ 21b54: 0c81.*
+ 21b55: 6ff7.*
+ 21b56: 4236.*
+ 21b57: 0c81.*
+ 21b58: 6ff8.*
+ 21b59: 4237.*
+ 21b5a: 0c81.*
+ 21b5b: 6f80.*
+ 21b5c: 0c82.*
+ 21b5d: 6f88.*
+ 21b5e: 0c82.*
+ 21b5f: 6f90.*
+ 21b60: 0c82.*
+ 21b61: 6f98.*
+ 21b62: 0c82.*
+ 21b63: 6fa0.*
+ 21b64: 0c82.*
+ 21b65: 6fa8.*
+ 21b66: 0c82.*
+ 21b67: 6fb0.*
+ 21b68: 0c82.*
+ 21b69: 6fb8.*
+ 21b6a: 0c82.*
+ 21b6b: 6fc0.*
+ 21b6c: 0c82.*
+ 21b6d: 6fc8.*
+ 21b6e: 0c82.*
+ 21b6f: 6fd0.*
+ 21b70: 0c82.*
+ 21b71: 6fd8.*
+ 21b72: 0c82.*
+ 21b73: 6fe0.*
+ 21b74: 4238.*
+ 21b75: 0c82.*
+ 21b76: 6fe8.*
+ 21b77: 4239.*
+ 21b78: 0c82.*
+ 21b79: 6ff0.*
+ 21b7a: 423a.*
+ 21b7b: 0c82.*
+ 21b7c: 6ff8.*
+ 21b7d: 423b.*
+ 21b7e: 0c82.*
+ 21b7f: 6f81.*
+ 21b80: 0c82.*
+ 21b81: 6f89.*
+ 21b82: 0c82.*
+ 21b83: 6f91.*
+ 21b84: 0c82.*
+ 21b85: 6f99.*
+ 21b86: 0c82.*
+ 21b87: 6fa1.*
+ 21b88: 0c82.*
+ 21b89: 6fa9.*
+ 21b8a: 0c82.*
+ 21b8b: 6fb1.*
+ 21b8c: 0c82.*
+ 21b8d: 6fb9.*
+ 21b8e: 0c82.*
+ 21b8f: 6fc1.*
+ 21b90: 0c82.*
+ 21b91: 6fc9.*
+ 21b92: 0c82.*
+ 21b93: 6fd1.*
+ 21b94: 0c82.*
+ 21b95: 6fd9.*
+ 21b96: 0c82.*
+ 21b97: 6fe1.*
+ 21b98: 423c.*
+ 21b99: 0c82.*
+ 21b9a: 6fe9.*
+ 21b9b: 423d.*
+ 21b9c: 0c82.*
+ 21b9d: 6ff1.*
+ 21b9e: 423e.*
+ 21b9f: 0c82.*
+ 21ba0: 6ff8.*
+ 21ba1: 423f.*
+ 21ba2: 0c82.*
+ 21ba3: 9802.*
+ 21ba4: 9842.*
+ 21ba5: 9882.*
+ 21ba6: 6f9a.*
+ 21ba7: 0c82.*
+ 21ba8: 6fa2.*
+ 21ba9: 0c82.*
+ 21baa: 6faa.*
+ 21bab: 0c82.*
+ 21bac: 6fb2.*
+ 21bad: 0c82.*
+ 21bae: 6fba.*
+ 21baf: 0c82.*
+ 21bb0: 6fc2.*
+ 21bb1: 0c82.*
+ 21bb2: 6fca.*
+ 21bb3: 0c82.*
+ 21bb4: 6fd2.*
+ 21bb5: 0c82.*
+ 21bb6: 98c2.*
+ 21bb7: 6fe2.*
+ 21bb8: 4240.*
+ 21bb9: 0c82.*
+ 21bba: 6fea.*
+ 21bbb: 4241.*
+ 21bbc: 0c82.*
+ 21bbd: 6ff2.*
+ 21bbe: 4242.*
+ 21bbf: 0c82.*
+ 21bc0: 6ff8.*
+ 21bc1: 4243.*
+ 21bc2: 0c82.*
+ 21bc3: 9812.*
+ 21bc4: 9852.*
+ 21bc5: 9892.*
+ 21bc6: 6f9b.*
+ 21bc7: 0c82.*
+ 21bc8: 6fa3.*
+ 21bc9: 0c82.*
+ 21bca: 6fab.*
+ 21bcb: 0c82.*
+ 21bcc: 6fb3.*
+ 21bcd: 0c82.*
+ 21bce: 6fbb.*
+ 21bcf: 0c82.*
+ 21bd0: 6fc3.*
+ 21bd1: 0c82.*
+ 21bd2: 6fcb.*
+ 21bd3: 0c82.*
+ 21bd4: 6fd3.*
+ 21bd5: 0c82.*
+ 21bd6: 98d2.*
+ 21bd7: 6fe3.*
+ 21bd8: 4244.*
+ 21bd9: 0c82.*
+ 21bda: 6feb.*
+ 21bdb: 4245.*
+ 21bdc: 0c82.*
+ 21bdd: 6ff3.*
+ 21bde: 4246.*
+ 21bdf: 0c82.*
+ 21be0: 6ff8.*
+ 21be1: 4247.*
+ 21be2: 0c82.*
+ 21be3: 9822.*
+ 21be4: 9862.*
+ 21be5: 98a2.*
+ 21be6: 6f9c.*
+ 21be7: 0c82.*
+ 21be8: 6fa4.*
+ 21be9: 0c82.*
+ 21bea: 6fac.*
+ 21beb: 0c82.*
+ 21bec: 6fb4.*
+ 21bed: 0c82.*
+ 21bee: 6fbc.*
+ 21bef: 0c82.*
+ 21bf0: 6fc4.*
+ 21bf1: 0c82.*
+ 21bf2: 6fcc.*
+ 21bf3: 0c82.*
+ 21bf4: 6fd4.*
+ 21bf5: 0c82.*
+ 21bf6: 98e2.*
+ 21bf7: 6fe4.*
+ 21bf8: 4248.*
+ 21bf9: 0c82.*
+ 21bfa: 6fec.*
+ 21bfb: 4249.*
+ 21bfc: 0c82.*
+ 21bfd: 6ff4.*
+ 21bfe: 424a.*
+ 21bff: 0c82.*
+ 21c00: 6ff8.*
+ 21c01: 424b.*
+ 21c02: 0c82.*
+ 21c03: 9832.*
+ 21c04: 9872.*
+ 21c05: 98b2.*
+ 21c06: 6f9d.*
+ 21c07: 0c82.*
+ 21c08: 6fa5.*
+ 21c09: 0c82.*
+ 21c0a: 6fad.*
+ 21c0b: 0c82.*
+ 21c0c: 6fb5.*
+ 21c0d: 0c82.*
+ 21c0e: 6fbd.*
+ 21c0f: 0c82.*
+ 21c10: 6fc5.*
+ 21c11: 0c82.*
+ 21c12: 6fcd.*
+ 21c13: 0c82.*
+ 21c14: 6fd5.*
+ 21c15: 0c82.*
+ 21c16: 98f2.*
+ 21c17: 6fe5.*
+ 21c18: 424c.*
+ 21c19: 0c82.*
+ 21c1a: 6fed.*
+ 21c1b: 424d.*
+ 21c1c: 0c82.*
+ 21c1d: 6ff5.*
+ 21c1e: 424e.*
+ 21c1f: 0c82.*
+ 21c20: 6ff8.*
+ 21c21: 424f.*
+ 21c22: 0c82.*
+ 21c23: 6f86.*
+ 21c24: 0c82.*
+ 21c25: 6f8e.*
+ 21c26: 0c82.*
+ 21c27: 6f96.*
+ 21c28: 0c82.*
+ 21c29: 6f9e.*
+ 21c2a: 0c82.*
+ 21c2b: 6fa6.*
+ 21c2c: 0c82.*
+ 21c2d: 6fae.*
+ 21c2e: 0c82.*
+ 21c2f: 6fb6.*
+ 21c30: 0c82.*
+ 21c31: 6fbe.*
+ 21c32: 0c82.*
+ 21c33: 6fc6.*
+ 21c34: 0c82.*
+ 21c35: 6fce.*
+ 21c36: 0c82.*
+ 21c37: 6fd6.*
+ 21c38: 0c82.*
+ 21c39: 6fde.*
+ 21c3a: 0c82.*
+ 21c3b: 6fe6.*
+ 21c3c: 4250.*
+ 21c3d: 0c82.*
+ 21c3e: 6fee.*
+ 21c3f: 4251.*
+ 21c40: 0c82.*
+ 21c41: 6ff6.*
+ 21c42: 4252.*
+ 21c43: 0c82.*
+ 21c44: 6ff8.*
+ 21c45: 4253.*
+ 21c46: 0c82.*
+ 21c47: 6f87.*
+ 21c48: 0c82.*
+ 21c49: 6f8f.*
+ 21c4a: 0c82.*
+ 21c4b: 6f97.*
+ 21c4c: 0c82.*
+ 21c4d: 6f9f.*
+ 21c4e: 0c82.*
+ 21c4f: 6fa7.*
+ 21c50: 0c82.*
+ 21c51: 6faf.*
+ 21c52: 0c82.*
+ 21c53: 6fb7.*
+ 21c54: 0c82.*
+ 21c55: 6fbf.*
+ 21c56: 0c82.*
+ 21c57: 6fc7.*
+ 21c58: 0c82.*
+ 21c59: 6fcf.*
+ 21c5a: 0c82.*
+ 21c5b: 6fd7.*
+ 21c5c: 0c82.*
+ 21c5d: 6fdf.*
+ 21c5e: 0c82.*
+ 21c5f: 6fe7.*
+ 21c60: 4254.*
+ 21c61: 0c82.*
+ 21c62: 6fef.*
+ 21c63: 4255.*
+ 21c64: 0c82.*
+ 21c65: 6ff7.*
+ 21c66: 4256.*
+ 21c67: 0c82.*
+ 21c68: 6ff8.*
+ 21c69: 4257.*
+ 21c6a: 0c82.*
+ 21c6b: 6f80.*
+ 21c6c: 0c83.*
+ 21c6d: 6f88.*
+ 21c6e: 0c83.*
+ 21c6f: 6f90.*
+ 21c70: 0c83.*
+ 21c71: 6f98.*
+ 21c72: 0c83.*
+ 21c73: 6fa0.*
+ 21c74: 0c83.*
+ 21c75: 6fa8.*
+ 21c76: 0c83.*
+ 21c77: 6fb0.*
+ 21c78: 0c83.*
+ 21c79: 6fb8.*
+ 21c7a: 0c83.*
+ 21c7b: 6fc0.*
+ 21c7c: 0c83.*
+ 21c7d: 6fc8.*
+ 21c7e: 0c83.*
+ 21c7f: 6fd0.*
+ 21c80: 0c83.*
+ 21c81: 6fd8.*
+ 21c82: 0c83.*
+ 21c83: 6fe0.*
+ 21c84: 4258.*
+ 21c85: 0c83.*
+ 21c86: 6fe8.*
+ 21c87: 4259.*
+ 21c88: 0c83.*
+ 21c89: 6ff0.*
+ 21c8a: 425a.*
+ 21c8b: 0c83.*
+ 21c8c: 6ff8.*
+ 21c8d: 425b.*
+ 21c8e: 0c83.*
+ 21c8f: 6f81.*
+ 21c90: 0c83.*
+ 21c91: 6f89.*
+ 21c92: 0c83.*
+ 21c93: 6f91.*
+ 21c94: 0c83.*
+ 21c95: 6f99.*
+ 21c96: 0c83.*
+ 21c97: 6fa1.*
+ 21c98: 0c83.*
+ 21c99: 6fa9.*
+ 21c9a: 0c83.*
+ 21c9b: 6fb1.*
+ 21c9c: 0c83.*
+ 21c9d: 6fb9.*
+ 21c9e: 0c83.*
+ 21c9f: 6fc1.*
+ 21ca0: 0c83.*
+ 21ca1: 6fc9.*
+ 21ca2: 0c83.*
+ 21ca3: 6fd1.*
+ 21ca4: 0c83.*
+ 21ca5: 6fd9.*
+ 21ca6: 0c83.*
+ 21ca7: 6fe1.*
+ 21ca8: 425c.*
+ 21ca9: 0c83.*
+ 21caa: 6fe9.*
+ 21cab: 425d.*
+ 21cac: 0c83.*
+ 21cad: 6ff1.*
+ 21cae: 425e.*
+ 21caf: 0c83.*
+ 21cb0: 6ff8.*
+ 21cb1: 425f.*
+ 21cb2: 0c83.*
+ 21cb3: 9803.*
+ 21cb4: 9843.*
+ 21cb5: 9883.*
+ 21cb6: 6f9a.*
+ 21cb7: 0c83.*
+ 21cb8: 6fa2.*
+ 21cb9: 0c83.*
+ 21cba: 6faa.*
+ 21cbb: 0c83.*
+ 21cbc: 6fb2.*
+ 21cbd: 0c83.*
+ 21cbe: 6fba.*
+ 21cbf: 0c83.*
+ 21cc0: 6fc2.*
+ 21cc1: 0c83.*
+ 21cc2: 6fca.*
+ 21cc3: 0c83.*
+ 21cc4: 6fd2.*
+ 21cc5: 0c83.*
+ 21cc6: 98c3.*
+ 21cc7: 6fe2.*
+ 21cc8: 4260.*
+ 21cc9: 0c83.*
+ 21cca: 6fea.*
+ 21ccb: 4261.*
+ 21ccc: 0c83.*
+ 21ccd: 6ff2.*
+ 21cce: 4262.*
+ 21ccf: 0c83.*
+ 21cd0: 6ff8.*
+ 21cd1: 4263.*
+ 21cd2: 0c83.*
+ 21cd3: 9813.*
+ 21cd4: 9853.*
+ 21cd5: 9893.*
+ 21cd6: 6f9b.*
+ 21cd7: 0c83.*
+ 21cd8: 6fa3.*
+ 21cd9: 0c83.*
+ 21cda: 6fab.*
+ 21cdb: 0c83.*
+ 21cdc: 6fb3.*
+ 21cdd: 0c83.*
+ 21cde: 6fbb.*
+ 21cdf: 0c83.*
+ 21ce0: 6fc3.*
+ 21ce1: 0c83.*
+ 21ce2: 6fcb.*
+ 21ce3: 0c83.*
+ 21ce4: 6fd3.*
+ 21ce5: 0c83.*
+ 21ce6: 98d3.*
+ 21ce7: 6fe3.*
+ 21ce8: 4264.*
+ 21ce9: 0c83.*
+ 21cea: 6feb.*
+ 21ceb: 4265.*
+ 21cec: 0c83.*
+ 21ced: 6ff3.*
+ 21cee: 4266.*
+ 21cef: 0c83.*
+ 21cf0: 6ff8.*
+ 21cf1: 4267.*
+ 21cf2: 0c83.*
+ 21cf3: 9823.*
+ 21cf4: 9863.*
+ 21cf5: 98a3.*
+ 21cf6: 6f9c.*
+ 21cf7: 0c83.*
+ 21cf8: 6fa4.*
+ 21cf9: 0c83.*
+ 21cfa: 6fac.*
+ 21cfb: 0c83.*
+ 21cfc: 6fb4.*
+ 21cfd: 0c83.*
+ 21cfe: 6fbc.*
+ 21cff: 0c83.*
+ 21d00: 6fc4.*
+ 21d01: 0c83.*
+ 21d02: 6fcc.*
+ 21d03: 0c83.*
+ 21d04: 6fd4.*
+ 21d05: 0c83.*
+ 21d06: 98e3.*
+ 21d07: 6fe4.*
+ 21d08: 4268.*
+ 21d09: 0c83.*
+ 21d0a: 6fec.*
+ 21d0b: 4269.*
+ 21d0c: 0c83.*
+ 21d0d: 6ff4.*
+ 21d0e: 426a.*
+ 21d0f: 0c83.*
+ 21d10: 6ff8.*
+ 21d11: 426b.*
+ 21d12: 0c83.*
+ 21d13: 9833.*
+ 21d14: 9873.*
+ 21d15: 98b3.*
+ 21d16: 6f9d.*
+ 21d17: 0c83.*
+ 21d18: 6fa5.*
+ 21d19: 0c83.*
+ 21d1a: 6fad.*
+ 21d1b: 0c83.*
+ 21d1c: 6fb5.*
+ 21d1d: 0c83.*
+ 21d1e: 6fbd.*
+ 21d1f: 0c83.*
+ 21d20: 6fc5.*
+ 21d21: 0c83.*
+ 21d22: 6fcd.*
+ 21d23: 0c83.*
+ 21d24: 6fd5.*
+ 21d25: 0c83.*
+ 21d26: 98f3.*
+ 21d27: 6fe5.*
+ 21d28: 426c.*
+ 21d29: 0c83.*
+ 21d2a: 6fed.*
+ 21d2b: 426d.*
+ 21d2c: 0c83.*
+ 21d2d: 6ff5.*
+ 21d2e: 426e.*
+ 21d2f: 0c83.*
+ 21d30: 6ff8.*
+ 21d31: 426f.*
+ 21d32: 0c83.*
+ 21d33: 6f86.*
+ 21d34: 0c83.*
+ 21d35: 6f8e.*
+ 21d36: 0c83.*
+ 21d37: 6f96.*
+ 21d38: 0c83.*
+ 21d39: 6f9e.*
+ 21d3a: 0c83.*
+ 21d3b: 6fa6.*
+ 21d3c: 0c83.*
+ 21d3d: 6fae.*
+ 21d3e: 0c83.*
+ 21d3f: 6fb6.*
+ 21d40: 0c83.*
+ 21d41: 6fbe.*
+ 21d42: 0c83.*
+ 21d43: 6fc6.*
+ 21d44: 0c83.*
+ 21d45: 6fce.*
+ 21d46: 0c83.*
+ 21d47: 6fd6.*
+ 21d48: 0c83.*
+ 21d49: 6fde.*
+ 21d4a: 0c83.*
+ 21d4b: 6fe6.*
+ 21d4c: 4270.*
+ 21d4d: 0c83.*
+ 21d4e: 6fee.*
+ 21d4f: 4271.*
+ 21d50: 0c83.*
+ 21d51: 6ff6.*
+ 21d52: 4272.*
+ 21d53: 0c83.*
+ 21d54: 6ff8.*
+ 21d55: 4273.*
+ 21d56: 0c83.*
+ 21d57: 6f87.*
+ 21d58: 0c83.*
+ 21d59: 6f8f.*
+ 21d5a: 0c83.*
+ 21d5b: 6f97.*
+ 21d5c: 0c83.*
+ 21d5d: 6f9f.*
+ 21d5e: 0c83.*
+ 21d5f: 6fa7.*
+ 21d60: 0c83.*
+ 21d61: 6faf.*
+ 21d62: 0c83.*
+ 21d63: 6fb7.*
+ 21d64: 0c83.*
+ 21d65: 6fbf.*
+ 21d66: 0c83.*
+ 21d67: 6fc7.*
+ 21d68: 0c83.*
+ 21d69: 6fcf.*
+ 21d6a: 0c83.*
+ 21d6b: 6fd7.*
+ 21d6c: 0c83.*
+ 21d6d: 6fdf.*
+ 21d6e: 0c83.*
+ 21d6f: 6fe7.*
+ 21d70: 4274.*
+ 21d71: 0c83.*
+ 21d72: 6fef.*
+ 21d73: 4275.*
+ 21d74: 0c83.*
+ 21d75: 6ff7.*
+ 21d76: 4276.*
+ 21d77: 0c83.*
+ 21d78: 6ff8.*
+ 21d79: 4277.*
+ 21d7a: 0c83.*
+ 21d7b: 6f80.*
+ 21d7c: 0c84.*
+ 21d7d: 6f88.*
+ 21d7e: 0c84.*
+ 21d7f: 6f90.*
+ 21d80: 0c84.*
+ 21d81: 6f98.*
+ 21d82: 0c84.*
+ 21d83: 6fa0.*
+ 21d84: 0c84.*
+ 21d85: 6fa8.*
+ 21d86: 0c84.*
+ 21d87: 6fb0.*
+ 21d88: 0c84.*
+ 21d89: 6fb8.*
+ 21d8a: 0c84.*
+ 21d8b: 6fc0.*
+ 21d8c: 0c84.*
+ 21d8d: 6fc8.*
+ 21d8e: 0c84.*
+ 21d8f: 6fd0.*
+ 21d90: 0c84.*
+ 21d91: 6fd8.*
+ 21d92: 0c84.*
+ 21d93: 6fe0.*
+ 21d94: 4278.*
+ 21d95: 0c84.*
+ 21d96: 6fe8.*
+ 21d97: 4279.*
+ 21d98: 0c84.*
+ 21d99: 6ff0.*
+ 21d9a: 427a.*
+ 21d9b: 0c84.*
+ 21d9c: 6ff8.*
+ 21d9d: 427b.*
+ 21d9e: 0c84.*
+ 21d9f: 6f81.*
+ 21da0: 0c84.*
+ 21da1: 6f89.*
+ 21da2: 0c84.*
+ 21da3: 6f91.*
+ 21da4: 0c84.*
+ 21da5: 6f99.*
+ 21da6: 0c84.*
+ 21da7: 6fa1.*
+ 21da8: 0c84.*
+ 21da9: 6fa9.*
+ 21daa: 0c84.*
+ 21dab: 6fb1.*
+ 21dac: 0c84.*
+ 21dad: 6fb9.*
+ 21dae: 0c84.*
+ 21daf: 6fc1.*
+ 21db0: 0c84.*
+ 21db1: 6fc9.*
+ 21db2: 0c84.*
+ 21db3: 6fd1.*
+ 21db4: 0c84.*
+ 21db5: 6fd9.*
+ 21db6: 0c84.*
+ 21db7: 6fe1.*
+ 21db8: 427c.*
+ 21db9: 0c84.*
+ 21dba: 6fe9.*
+ 21dbb: 427d.*
+ 21dbc: 0c84.*
+ 21dbd: 6ff1.*
+ 21dbe: 427e.*
+ 21dbf: 0c84.*
+ 21dc0: 6ff8.*
+ 21dc1: 427f.*
+ 21dc2: 0c84.*
+ 21dc3: 9804.*
+ 21dc4: 9844.*
+ 21dc5: 9884.*
+ 21dc6: 6f9a.*
+ 21dc7: 0c84.*
+ 21dc8: 6fa2.*
+ 21dc9: 0c84.*
+ 21dca: 6faa.*
+ 21dcb: 0c84.*
+ 21dcc: 6fb2.*
+ 21dcd: 0c84.*
+ 21dce: 6fba.*
+ 21dcf: 0c84.*
+ 21dd0: 6fc2.*
+ 21dd1: 0c84.*
+ 21dd2: 6fca.*
+ 21dd3: 0c84.*
+ 21dd4: 6fd2.*
+ 21dd5: 0c84.*
+ 21dd6: 98c4.*
+ 21dd7: 6fe2.*
+ 21dd8: 4280.*
+ 21dd9: 0c84.*
+ 21dda: 6fea.*
+ 21ddb: 4281.*
+ 21ddc: 0c84.*
+ 21ddd: 6ff2.*
+ 21dde: 4282.*
+ 21ddf: 0c84.*
+ 21de0: 6ff8.*
+ 21de1: 4283.*
+ 21de2: 0c84.*
+ 21de3: 9814.*
+ 21de4: 9854.*
+ 21de5: 9894.*
+ 21de6: 6f9b.*
+ 21de7: 0c84.*
+ 21de8: 6fa3.*
+ 21de9: 0c84.*
+ 21dea: 6fab.*
+ 21deb: 0c84.*
+ 21dec: 6fb3.*
+ 21ded: 0c84.*
+ 21dee: 6fbb.*
+ 21def: 0c84.*
+ 21df0: 6fc3.*
+ 21df1: 0c84.*
+ 21df2: 6fcb.*
+ 21df3: 0c84.*
+ 21df4: 6fd3.*
+ 21df5: 0c84.*
+ 21df6: 98d4.*
+ 21df7: 6fe3.*
+ 21df8: 4284.*
+ 21df9: 0c84.*
+ 21dfa: 6feb.*
+ 21dfb: 4285.*
+ 21dfc: 0c84.*
+ 21dfd: 6ff3.*
+ 21dfe: 4286.*
+ 21dff: 0c84.*
+ 21e00: 6ff8.*
+ 21e01: 4287.*
+ 21e02: 0c84.*
+ 21e03: 9824.*
+ 21e04: 9864.*
+ 21e05: 98a4.*
+ 21e06: 6f9c.*
+ 21e07: 0c84.*
+ 21e08: 6fa4.*
+ 21e09: 0c84.*
+ 21e0a: 6fac.*
+ 21e0b: 0c84.*
+ 21e0c: 6fb4.*
+ 21e0d: 0c84.*
+ 21e0e: 6fbc.*
+ 21e0f: 0c84.*
+ 21e10: 6fc4.*
+ 21e11: 0c84.*
+ 21e12: 6fcc.*
+ 21e13: 0c84.*
+ 21e14: 6fd4.*
+ 21e15: 0c84.*
+ 21e16: 98e4.*
+ 21e17: 6fe4.*
+ 21e18: 4288.*
+ 21e19: 0c84.*
+ 21e1a: 6fec.*
+ 21e1b: 4289.*
+ 21e1c: 0c84.*
+ 21e1d: 6ff4.*
+ 21e1e: 428a.*
+ 21e1f: 0c84.*
+ 21e20: 6ff8.*
+ 21e21: 428b.*
+ 21e22: 0c84.*
+ 21e23: 9834.*
+ 21e24: 9874.*
+ 21e25: 98b4.*
+ 21e26: 6f9d.*
+ 21e27: 0c84.*
+ 21e28: 6fa5.*
+ 21e29: 0c84.*
+ 21e2a: 6fad.*
+ 21e2b: 0c84.*
+ 21e2c: 6fb5.*
+ 21e2d: 0c84.*
+ 21e2e: 6fbd.*
+ 21e2f: 0c84.*
+ 21e30: 6fc5.*
+ 21e31: 0c84.*
+ 21e32: 6fcd.*
+ 21e33: 0c84.*
+ 21e34: 6fd5.*
+ 21e35: 0c84.*
+ 21e36: 98f4.*
+ 21e37: 6fe5.*
+ 21e38: 428c.*
+ 21e39: 0c84.*
+ 21e3a: 6fed.*
+ 21e3b: 428d.*
+ 21e3c: 0c84.*
+ 21e3d: 6ff5.*
+ 21e3e: 428e.*
+ 21e3f: 0c84.*
+ 21e40: 6ff8.*
+ 21e41: 428f.*
+ 21e42: 0c84.*
+ 21e43: 6f86.*
+ 21e44: 0c84.*
+ 21e45: 6f8e.*
+ 21e46: 0c84.*
+ 21e47: 6f96.*
+ 21e48: 0c84.*
+ 21e49: 6f9e.*
+ 21e4a: 0c84.*
+ 21e4b: 6fa6.*
+ 21e4c: 0c84.*
+ 21e4d: 6fae.*
+ 21e4e: 0c84.*
+ 21e4f: 6fb6.*
+ 21e50: 0c84.*
+ 21e51: 6fbe.*
+ 21e52: 0c84.*
+ 21e53: 6fc6.*
+ 21e54: 0c84.*
+ 21e55: 6fce.*
+ 21e56: 0c84.*
+ 21e57: 6fd6.*
+ 21e58: 0c84.*
+ 21e59: 6fde.*
+ 21e5a: 0c84.*
+ 21e5b: 6fe6.*
+ 21e5c: 4290.*
+ 21e5d: 0c84.*
+ 21e5e: 6fee.*
+ 21e5f: 4291.*
+ 21e60: 0c84.*
+ 21e61: 6ff6.*
+ 21e62: 4292.*
+ 21e63: 0c84.*
+ 21e64: 6ff8.*
+ 21e65: 4293.*
+ 21e66: 0c84.*
+ 21e67: 6f87.*
+ 21e68: 0c84.*
+ 21e69: 6f8f.*
+ 21e6a: 0c84.*
+ 21e6b: 6f97.*
+ 21e6c: 0c84.*
+ 21e6d: 6f9f.*
+ 21e6e: 0c84.*
+ 21e6f: 6fa7.*
+ 21e70: 0c84.*
+ 21e71: 6faf.*
+ 21e72: 0c84.*
+ 21e73: 6fb7.*
+ 21e74: 0c84.*
+ 21e75: 6fbf.*
+ 21e76: 0c84.*
+ 21e77: 6fc7.*
+ 21e78: 0c84.*
+ 21e79: 6fcf.*
+ 21e7a: 0c84.*
+ 21e7b: 6fd7.*
+ 21e7c: 0c84.*
+ 21e7d: 6fdf.*
+ 21e7e: 0c84.*
+ 21e7f: 6fe7.*
+ 21e80: 4294.*
+ 21e81: 0c84.*
+ 21e82: 6fef.*
+ 21e83: 4295.*
+ 21e84: 0c84.*
+ 21e85: 6ff7.*
+ 21e86: 4296.*
+ 21e87: 0c84.*
+ 21e88: 6ff8.*
+ 21e89: 4297.*
+ 21e8a: 0c84.*
+ 21e8b: 6f80.*
+ 21e8c: 0c85.*
+ 21e8d: 6f88.*
+ 21e8e: 0c85.*
+ 21e8f: 6f90.*
+ 21e90: 0c85.*
+ 21e91: 6f98.*
+ 21e92: 0c85.*
+ 21e93: 6fa0.*
+ 21e94: 0c85.*
+ 21e95: 6fa8.*
+ 21e96: 0c85.*
+ 21e97: 6fb0.*
+ 21e98: 0c85.*
+ 21e99: 6fb8.*
+ 21e9a: 0c85.*
+ 21e9b: 6fc0.*
+ 21e9c: 0c85.*
+ 21e9d: 6fc8.*
+ 21e9e: 0c85.*
+ 21e9f: 6fd0.*
+ 21ea0: 0c85.*
+ 21ea1: 6fd8.*
+ 21ea2: 0c85.*
+ 21ea3: 6fe0.*
+ 21ea4: 4298.*
+ 21ea5: 0c85.*
+ 21ea6: 6fe8.*
+ 21ea7: 4299.*
+ 21ea8: 0c85.*
+ 21ea9: 6ff0.*
+ 21eaa: 429a.*
+ 21eab: 0c85.*
+ 21eac: 6ff8.*
+ 21ead: 429b.*
+ 21eae: 0c85.*
+ 21eaf: 6f81.*
+ 21eb0: 0c85.*
+ 21eb1: 6f89.*
+ 21eb2: 0c85.*
+ 21eb3: 6f91.*
+ 21eb4: 0c85.*
+ 21eb5: 6f99.*
+ 21eb6: 0c85.*
+ 21eb7: 6fa1.*
+ 21eb8: 0c85.*
+ 21eb9: 6fa9.*
+ 21eba: 0c85.*
+ 21ebb: 6fb1.*
+ 21ebc: 0c85.*
+ 21ebd: 6fb9.*
+ 21ebe: 0c85.*
+ 21ebf: 6fc1.*
+ 21ec0: 0c85.*
+ 21ec1: 6fc9.*
+ 21ec2: 0c85.*
+ 21ec3: 6fd1.*
+ 21ec4: 0c85.*
+ 21ec5: 6fd9.*
+ 21ec6: 0c85.*
+ 21ec7: 6fe1.*
+ 21ec8: 429c.*
+ 21ec9: 0c85.*
+ 21eca: 6fe9.*
+ 21ecb: 429d.*
+ 21ecc: 0c85.*
+ 21ecd: 6ff1.*
+ 21ece: 429e.*
+ 21ecf: 0c85.*
+ 21ed0: 6ff8.*
+ 21ed1: 429f.*
+ 21ed2: 0c85.*
+ 21ed3: 9805.*
+ 21ed4: 9845.*
+ 21ed5: 9885.*
+ 21ed6: 6f9a.*
+ 21ed7: 0c85.*
+ 21ed8: 6fa2.*
+ 21ed9: 0c85.*
+ 21eda: 6faa.*
+ 21edb: 0c85.*
+ 21edc: 6fb2.*
+ 21edd: 0c85.*
+ 21ede: 6fba.*
+ 21edf: 0c85.*
+ 21ee0: 6fc2.*
+ 21ee1: 0c85.*
+ 21ee2: 6fca.*
+ 21ee3: 0c85.*
+ 21ee4: 6fd2.*
+ 21ee5: 0c85.*
+ 21ee6: 98c5.*
+ 21ee7: 6fe2.*
+ 21ee8: 42a0.*
+ 21ee9: 0c85.*
+ 21eea: 6fea.*
+ 21eeb: 42a1.*
+ 21eec: 0c85.*
+ 21eed: 6ff2.*
+ 21eee: 42a2.*
+ 21eef: 0c85.*
+ 21ef0: 6ff8.*
+ 21ef1: 42a3.*
+ 21ef2: 0c85.*
+ 21ef3: 9815.*
+ 21ef4: 9855.*
+ 21ef5: 9895.*
+ 21ef6: 6f9b.*
+ 21ef7: 0c85.*
+ 21ef8: 6fa3.*
+ 21ef9: 0c85.*
+ 21efa: 6fab.*
+ 21efb: 0c85.*
+ 21efc: 6fb3.*
+ 21efd: 0c85.*
+ 21efe: 6fbb.*
+ 21eff: 0c85.*
+ 21f00: 6fc3.*
+ 21f01: 0c85.*
+ 21f02: 6fcb.*
+ 21f03: 0c85.*
+ 21f04: 6fd3.*
+ 21f05: 0c85.*
+ 21f06: 98d5.*
+ 21f07: 6fe3.*
+ 21f08: 42a4.*
+ 21f09: 0c85.*
+ 21f0a: 6feb.*
+ 21f0b: 42a5.*
+ 21f0c: 0c85.*
+ 21f0d: 6ff3.*
+ 21f0e: 42a6.*
+ 21f0f: 0c85.*
+ 21f10: 6ff8.*
+ 21f11: 42a7.*
+ 21f12: 0c85.*
+ 21f13: 9825.*
+ 21f14: 9865.*
+ 21f15: 98a5.*
+ 21f16: 6f9c.*
+ 21f17: 0c85.*
+ 21f18: 6fa4.*
+ 21f19: 0c85.*
+ 21f1a: 6fac.*
+ 21f1b: 0c85.*
+ 21f1c: 6fb4.*
+ 21f1d: 0c85.*
+ 21f1e: 6fbc.*
+ 21f1f: 0c85.*
+ 21f20: 6fc4.*
+ 21f21: 0c85.*
+ 21f22: 6fcc.*
+ 21f23: 0c85.*
+ 21f24: 6fd4.*
+ 21f25: 0c85.*
+ 21f26: 98e5.*
+ 21f27: 6fe4.*
+ 21f28: 42a8.*
+ 21f29: 0c85.*
+ 21f2a: 6fec.*
+ 21f2b: 42a9.*
+ 21f2c: 0c85.*
+ 21f2d: 6ff4.*
+ 21f2e: 42aa.*
+ 21f2f: 0c85.*
+ 21f30: 6ff8.*
+ 21f31: 42ab.*
+ 21f32: 0c85.*
+ 21f33: 9835.*
+ 21f34: 9875.*
+ 21f35: 98b5.*
+ 21f36: 6f9d.*
+ 21f37: 0c85.*
+ 21f38: 6fa5.*
+ 21f39: 0c85.*
+ 21f3a: 6fad.*
+ 21f3b: 0c85.*
+ 21f3c: 6fb5.*
+ 21f3d: 0c85.*
+ 21f3e: 6fbd.*
+ 21f3f: 0c85.*
+ 21f40: 6fc5.*
+ 21f41: 0c85.*
+ 21f42: 6fcd.*
+ 21f43: 0c85.*
+ 21f44: 6fd5.*
+ 21f45: 0c85.*
+ 21f46: 98f5.*
+ 21f47: 6fe5.*
+ 21f48: 42ac.*
+ 21f49: 0c85.*
+ 21f4a: 6fed.*
+ 21f4b: 42ad.*
+ 21f4c: 0c85.*
+ 21f4d: 6ff5.*
+ 21f4e: 42ae.*
+ 21f4f: 0c85.*
+ 21f50: 6ff8.*
+ 21f51: 42af.*
+ 21f52: 0c85.*
+ 21f53: 6f86.*
+ 21f54: 0c85.*
+ 21f55: 6f8e.*
+ 21f56: 0c85.*
+ 21f57: 6f96.*
+ 21f58: 0c85.*
+ 21f59: 6f9e.*
+ 21f5a: 0c85.*
+ 21f5b: 6fa6.*
+ 21f5c: 0c85.*
+ 21f5d: 6fae.*
+ 21f5e: 0c85.*
+ 21f5f: 6fb6.*
+ 21f60: 0c85.*
+ 21f61: 6fbe.*
+ 21f62: 0c85.*
+ 21f63: 6fc6.*
+ 21f64: 0c85.*
+ 21f65: 6fce.*
+ 21f66: 0c85.*
+ 21f67: 6fd6.*
+ 21f68: 0c85.*
+ 21f69: 6fde.*
+ 21f6a: 0c85.*
+ 21f6b: 6fe6.*
+ 21f6c: 42b0.*
+ 21f6d: 0c85.*
+ 21f6e: 6fee.*
+ 21f6f: 42b1.*
+ 21f70: 0c85.*
+ 21f71: 6ff6.*
+ 21f72: 42b2.*
+ 21f73: 0c85.*
+ 21f74: 6ff8.*
+ 21f75: 42b3.*
+ 21f76: 0c85.*
+ 21f77: 6f87.*
+ 21f78: 0c85.*
+ 21f79: 6f8f.*
+ 21f7a: 0c85.*
+ 21f7b: 6f97.*
+ 21f7c: 0c85.*
+ 21f7d: 6f9f.*
+ 21f7e: 0c85.*
+ 21f7f: 6fa7.*
+ 21f80: 0c85.*
+ 21f81: 6faf.*
+ 21f82: 0c85.*
+ 21f83: 6fb7.*
+ 21f84: 0c85.*
+ 21f85: 6fbf.*
+ 21f86: 0c85.*
+ 21f87: 6fc7.*
+ 21f88: 0c85.*
+ 21f89: 6fcf.*
+ 21f8a: 0c85.*
+ 21f8b: 6fd7.*
+ 21f8c: 0c85.*
+ 21f8d: 6fdf.*
+ 21f8e: 0c85.*
+ 21f8f: 6fe7.*
+ 21f90: 42b4.*
+ 21f91: 0c85.*
+ 21f92: 6fef.*
+ 21f93: 42b5.*
+ 21f94: 0c85.*
+ 21f95: 6ff7.*
+ 21f96: 42b6.*
+ 21f97: 0c85.*
+ 21f98: 6ff8.*
+ 21f99: 42b7.*
+ 21f9a: 0c85.*
+ 21f9b: 6f80.*
+ 21f9c: 0c86.*
+ 21f9d: 6f88.*
+ 21f9e: 0c86.*
+ 21f9f: 6f90.*
+ 21fa0: 0c86.*
+ 21fa1: 6f98.*
+ 21fa2: 0c86.*
+ 21fa3: 6fa0.*
+ 21fa4: 0c86.*
+ 21fa5: 6fa8.*
+ 21fa6: 0c86.*
+ 21fa7: 6fb0.*
+ 21fa8: 0c86.*
+ 21fa9: 6fb8.*
+ 21faa: 0c86.*
+ 21fab: 6fc0.*
+ 21fac: 0c86.*
+ 21fad: 6fc8.*
+ 21fae: 0c86.*
+ 21faf: 6fd0.*
+ 21fb0: 0c86.*
+ 21fb1: 6fd8.*
+ 21fb2: 0c86.*
+ 21fb3: 6fe0.*
+ 21fb4: 42b8.*
+ 21fb5: 0c86.*
+ 21fb6: 6fe8.*
+ 21fb7: 42b9.*
+ 21fb8: 0c86.*
+ 21fb9: 6ff0.*
+ 21fba: 42ba.*
+ 21fbb: 0c86.*
+ 21fbc: 6ff8.*
+ 21fbd: 42bb.*
+ 21fbe: 0c86.*
+ 21fbf: 6f81.*
+ 21fc0: 0c86.*
+ 21fc1: 6f89.*
+ 21fc2: 0c86.*
+ 21fc3: 6f91.*
+ 21fc4: 0c86.*
+ 21fc5: 6f99.*
+ 21fc6: 0c86.*
+ 21fc7: 6fa1.*
+ 21fc8: 0c86.*
+ 21fc9: 6fa9.*
+ 21fca: 0c86.*
+ 21fcb: 6fb1.*
+ 21fcc: 0c86.*
+ 21fcd: 6fb9.*
+ 21fce: 0c86.*
+ 21fcf: 6fc1.*
+ 21fd0: 0c86.*
+ 21fd1: 6fc9.*
+ 21fd2: 0c86.*
+ 21fd3: 6fd1.*
+ 21fd4: 0c86.*
+ 21fd5: 6fd9.*
+ 21fd6: 0c86.*
+ 21fd7: 6fe1.*
+ 21fd8: 42bc.*
+ 21fd9: 0c86.*
+ 21fda: 6fe9.*
+ 21fdb: 42bd.*
+ 21fdc: 0c86.*
+ 21fdd: 6ff1.*
+ 21fde: 42be.*
+ 21fdf: 0c86.*
+ 21fe0: 6ff8.*
+ 21fe1: 42bf.*
+ 21fe2: 0c86.*
+ 21fe3: 9806.*
+ 21fe4: 9846.*
+ 21fe5: 9886.*
+ 21fe6: 6f9a.*
+ 21fe7: 0c86.*
+ 21fe8: 6fa2.*
+ 21fe9: 0c86.*
+ 21fea: 6faa.*
+ 21feb: 0c86.*
+ 21fec: 6fb2.*
+ 21fed: 0c86.*
+ 21fee: 6fba.*
+ 21fef: 0c86.*
+ 21ff0: 6fc2.*
+ 21ff1: 0c86.*
+ 21ff2: 6fca.*
+ 21ff3: 0c86.*
+ 21ff4: 6fd2.*
+ 21ff5: 0c86.*
+ 21ff6: 98c6.*
+ 21ff7: 6fe2.*
+ 21ff8: 42c0.*
+ 21ff9: 0c86.*
+ 21ffa: 6fea.*
+ 21ffb: 42c1.*
+ 21ffc: 0c86.*
+ 21ffd: 6ff2.*
+ 21ffe: 42c2.*
+ 21fff: 0c86.*
+ 22000: 6ff8.*
+ 22001: 42c3.*
+ 22002: 0c86.*
+ 22003: 9816.*
+ 22004: 9856.*
+ 22005: 9896.*
+ 22006: 6f9b.*
+ 22007: 0c86.*
+ 22008: 6fa3.*
+ 22009: 0c86.*
+ 2200a: 6fab.*
+ 2200b: 0c86.*
+ 2200c: 6fb3.*
+ 2200d: 0c86.*
+ 2200e: 6fbb.*
+ 2200f: 0c86.*
+ 22010: 6fc3.*
+ 22011: 0c86.*
+ 22012: 6fcb.*
+ 22013: 0c86.*
+ 22014: 6fd3.*
+ 22015: 0c86.*
+ 22016: 98d6.*
+ 22017: 6fe3.*
+ 22018: 42c4.*
+ 22019: 0c86.*
+ 2201a: 6feb.*
+ 2201b: 42c5.*
+ 2201c: 0c86.*
+ 2201d: 6ff3.*
+ 2201e: 42c6.*
+ 2201f: 0c86.*
+ 22020: 6ff8.*
+ 22021: 42c7.*
+ 22022: 0c86.*
+ 22023: 9826.*
+ 22024: 9866.*
+ 22025: 98a6.*
+ 22026: 6f9c.*
+ 22027: 0c86.*
+ 22028: 6fa4.*
+ 22029: 0c86.*
+ 2202a: 6fac.*
+ 2202b: 0c86.*
+ 2202c: 6fb4.*
+ 2202d: 0c86.*
+ 2202e: 6fbc.*
+ 2202f: 0c86.*
+ 22030: 6fc4.*
+ 22031: 0c86.*
+ 22032: 6fcc.*
+ 22033: 0c86.*
+ 22034: 6fd4.*
+ 22035: 0c86.*
+ 22036: 98e6.*
+ 22037: 6fe4.*
+ 22038: 42c8.*
+ 22039: 0c86.*
+ 2203a: 6fec.*
+ 2203b: 42c9.*
+ 2203c: 0c86.*
+ 2203d: 6ff4.*
+ 2203e: 42ca.*
+ 2203f: 0c86.*
+ 22040: 6ff8.*
+ 22041: 42cb.*
+ 22042: 0c86.*
+ 22043: 9836.*
+ 22044: 9876.*
+ 22045: 98b6.*
+ 22046: 6f9d.*
+ 22047: 0c86.*
+ 22048: 6fa5.*
+ 22049: 0c86.*
+ 2204a: 6fad.*
+ 2204b: 0c86.*
+ 2204c: 6fb5.*
+ 2204d: 0c86.*
+ 2204e: 6fbd.*
+ 2204f: 0c86.*
+ 22050: 6fc5.*
+ 22051: 0c86.*
+ 22052: 6fcd.*
+ 22053: 0c86.*
+ 22054: 6fd5.*
+ 22055: 0c86.*
+ 22056: 98f6.*
+ 22057: 6fe5.*
+ 22058: 42cc.*
+ 22059: 0c86.*
+ 2205a: 6fed.*
+ 2205b: 42cd.*
+ 2205c: 0c86.*
+ 2205d: 6ff5.*
+ 2205e: 42ce.*
+ 2205f: 0c86.*
+ 22060: 6ff8.*
+ 22061: 42cf.*
+ 22062: 0c86.*
+ 22063: 6f86.*
+ 22064: 0c86.*
+ 22065: 6f8e.*
+ 22066: 0c86.*
+ 22067: 6f96.*
+ 22068: 0c86.*
+ 22069: 6f9e.*
+ 2206a: 0c86.*
+ 2206b: 6fa6.*
+ 2206c: 0c86.*
+ 2206d: 6fae.*
+ 2206e: 0c86.*
+ 2206f: 6fb6.*
+ 22070: 0c86.*
+ 22071: 6fbe.*
+ 22072: 0c86.*
+ 22073: 6fc6.*
+ 22074: 0c86.*
+ 22075: 6fce.*
+ 22076: 0c86.*
+ 22077: 6fd6.*
+ 22078: 0c86.*
+ 22079: 6fde.*
+ 2207a: 0c86.*
+ 2207b: 6fe6.*
+ 2207c: 42d0.*
+ 2207d: 0c86.*
+ 2207e: 6fee.*
+ 2207f: 42d1.*
+ 22080: 0c86.*
+ 22081: 6ff6.*
+ 22082: 42d2.*
+ 22083: 0c86.*
+ 22084: 6ff8.*
+ 22085: 42d3.*
+ 22086: 0c86.*
+ 22087: 6f87.*
+ 22088: 0c86.*
+ 22089: 6f8f.*
+ 2208a: 0c86.*
+ 2208b: 6f97.*
+ 2208c: 0c86.*
+ 2208d: 6f9f.*
+ 2208e: 0c86.*
+ 2208f: 6fa7.*
+ 22090: 0c86.*
+ 22091: 6faf.*
+ 22092: 0c86.*
+ 22093: 6fb7.*
+ 22094: 0c86.*
+ 22095: 6fbf.*
+ 22096: 0c86.*
+ 22097: 6fc7.*
+ 22098: 0c86.*
+ 22099: 6fcf.*
+ 2209a: 0c86.*
+ 2209b: 6fd7.*
+ 2209c: 0c86.*
+ 2209d: 6fdf.*
+ 2209e: 0c86.*
+ 2209f: 6fe7.*
+ 220a0: 42d4.*
+ 220a1: 0c86.*
+ 220a2: 6fef.*
+ 220a3: 42d5.*
+ 220a4: 0c86.*
+ 220a5: 6ff7.*
+ 220a6: 42d6.*
+ 220a7: 0c86.*
+ 220a8: 6ff8.*
+ 220a9: 42d7.*
+ 220aa: 0c86.*
+ 220ab: 6f80.*
+ 220ac: 0c87.*
+ 220ad: 6f88.*
+ 220ae: 0c87.*
+ 220af: 6f90.*
+ 220b0: 0c87.*
+ 220b1: 6f98.*
+ 220b2: 0c87.*
+ 220b3: 6fa0.*
+ 220b4: 0c87.*
+ 220b5: 6fa8.*
+ 220b6: 0c87.*
+ 220b7: 6fb0.*
+ 220b8: 0c87.*
+ 220b9: 6fb8.*
+ 220ba: 0c87.*
+ 220bb: 6fc0.*
+ 220bc: 0c87.*
+ 220bd: 6fc8.*
+ 220be: 0c87.*
+ 220bf: 6fd0.*
+ 220c0: 0c87.*
+ 220c1: 6fd8.*
+ 220c2: 0c87.*
+ 220c3: 6fe0.*
+ 220c4: 42d8.*
+ 220c5: 0c87.*
+ 220c6: 6fe8.*
+ 220c7: 42d9.*
+ 220c8: 0c87.*
+ 220c9: 6ff0.*
+ 220ca: 42da.*
+ 220cb: 0c87.*
+ 220cc: 6ff8.*
+ 220cd: 42db.*
+ 220ce: 0c87.*
+ 220cf: 6f81.*
+ 220d0: 0c87.*
+ 220d1: 6f89.*
+ 220d2: 0c87.*
+ 220d3: 6f91.*
+ 220d4: 0c87.*
+ 220d5: 6f99.*
+ 220d6: 0c87.*
+ 220d7: 6fa1.*
+ 220d8: 0c87.*
+ 220d9: 6fa9.*
+ 220da: 0c87.*
+ 220db: 6fb1.*
+ 220dc: 0c87.*
+ 220dd: 6fb9.*
+ 220de: 0c87.*
+ 220df: 6fc1.*
+ 220e0: 0c87.*
+ 220e1: 6fc9.*
+ 220e2: 0c87.*
+ 220e3: 6fd1.*
+ 220e4: 0c87.*
+ 220e5: 6fd9.*
+ 220e6: 0c87.*
+ 220e7: 6fe1.*
+ 220e8: 42dc.*
+ 220e9: 0c87.*
+ 220ea: 6fe9.*
+ 220eb: 42dd.*
+ 220ec: 0c87.*
+ 220ed: 6ff1.*
+ 220ee: 42de.*
+ 220ef: 0c87.*
+ 220f0: 6ff8.*
+ 220f1: 42df.*
+ 220f2: 0c87.*
+ 220f3: 9807.*
+ 220f4: 9847.*
+ 220f5: 9887.*
+ 220f6: 6f9a.*
+ 220f7: 0c87.*
+ 220f8: 6fa2.*
+ 220f9: 0c87.*
+ 220fa: 6faa.*
+ 220fb: 0c87.*
+ 220fc: 6fb2.*
+ 220fd: 0c87.*
+ 220fe: 6fba.*
+ 220ff: 0c87.*
+ 22100: 6fc2.*
+ 22101: 0c87.*
+ 22102: 6fca.*
+ 22103: 0c87.*
+ 22104: 6fd2.*
+ 22105: 0c87.*
+ 22106: 98c7.*
+ 22107: 6fe2.*
+ 22108: 42e0.*
+ 22109: 0c87.*
+ 2210a: 6fea.*
+ 2210b: 42e1.*
+ 2210c: 0c87.*
+ 2210d: 6ff2.*
+ 2210e: 42e2.*
+ 2210f: 0c87.*
+ 22110: 6ff8.*
+ 22111: 42e3.*
+ 22112: 0c87.*
+ 22113: 9817.*
+ 22114: 9857.*
+ 22115: 9897.*
+ 22116: 6f9b.*
+ 22117: 0c87.*
+ 22118: 6fa3.*
+ 22119: 0c87.*
+ 2211a: 6fab.*
+ 2211b: 0c87.*
+ 2211c: 6fb3.*
+ 2211d: 0c87.*
+ 2211e: 6fbb.*
+ 2211f: 0c87.*
+ 22120: 6fc3.*
+ 22121: 0c87.*
+ 22122: 6fcb.*
+ 22123: 0c87.*
+ 22124: 6fd3.*
+ 22125: 0c87.*
+ 22126: 98d7.*
+ 22127: 6fe3.*
+ 22128: 42e4.*
+ 22129: 0c87.*
+ 2212a: 6feb.*
+ 2212b: 42e5.*
+ 2212c: 0c87.*
+ 2212d: 6ff3.*
+ 2212e: 42e6.*
+ 2212f: 0c87.*
+ 22130: 6ff8.*
+ 22131: 42e7.*
+ 22132: 0c87.*
+ 22133: 9827.*
+ 22134: 9867.*
+ 22135: 98a7.*
+ 22136: 6f9c.*
+ 22137: 0c87.*
+ 22138: 6fa4.*
+ 22139: 0c87.*
+ 2213a: 6fac.*
+ 2213b: 0c87.*
+ 2213c: 6fb4.*
+ 2213d: 0c87.*
+ 2213e: 6fbc.*
+ 2213f: 0c87.*
+ 22140: 6fc4.*
+ 22141: 0c87.*
+ 22142: 6fcc.*
+ 22143: 0c87.*
+ 22144: 6fd4.*
+ 22145: 0c87.*
+ 22146: 98e7.*
+ 22147: 6fe4.*
+ 22148: 42e8.*
+ 22149: 0c87.*
+ 2214a: 6fec.*
+ 2214b: 42e9.*
+ 2214c: 0c87.*
+ 2214d: 6ff4.*
+ 2214e: 42ea.*
+ 2214f: 0c87.*
+ 22150: 6ff8.*
+ 22151: 42eb.*
+ 22152: 0c87.*
+ 22153: 9837.*
+ 22154: 9877.*
+ 22155: 98b7.*
+ 22156: 6f9d.*
+ 22157: 0c87.*
+ 22158: 6fa5.*
+ 22159: 0c87.*
+ 2215a: 6fad.*
+ 2215b: 0c87.*
+ 2215c: 6fb5.*
+ 2215d: 0c87.*
+ 2215e: 6fbd.*
+ 2215f: 0c87.*
+ 22160: 6fc5.*
+ 22161: 0c87.*
+ 22162: 6fcd.*
+ 22163: 0c87.*
+ 22164: 6fd5.*
+ 22165: 0c87.*
+ 22166: 98f7.*
+ 22167: 6fe5.*
+ 22168: 42ec.*
+ 22169: 0c87.*
+ 2216a: 6fed.*
+ 2216b: 42ed.*
+ 2216c: 0c87.*
+ 2216d: 6ff5.*
+ 2216e: 42ee.*
+ 2216f: 0c87.*
+ 22170: 6ff8.*
+ 22171: 42ef.*
+ 22172: 0c87.*
+ 22173: 6f86.*
+ 22174: 0c87.*
+ 22175: 6f8e.*
+ 22176: 0c87.*
+ 22177: 6f96.*
+ 22178: 0c87.*
+ 22179: 6f9e.*
+ 2217a: 0c87.*
+ 2217b: 6fa6.*
+ 2217c: 0c87.*
+ 2217d: 6fae.*
+ 2217e: 0c87.*
+ 2217f: 6fb6.*
+ 22180: 0c87.*
+ 22181: 6fbe.*
+ 22182: 0c87.*
+ 22183: 6fc6.*
+ 22184: 0c87.*
+ 22185: 6fce.*
+ 22186: 0c87.*
+ 22187: 6fd6.*
+ 22188: 0c87.*
+ 22189: 6fde.*
+ 2218a: 0c87.*
+ 2218b: 6fe6.*
+ 2218c: 42f0.*
+ 2218d: 0c87.*
+ 2218e: 6fee.*
+ 2218f: 42f1.*
+ 22190: 0c87.*
+ 22191: 6ff6.*
+ 22192: 42f2.*
+ 22193: 0c87.*
+ 22194: 6ff8.*
+ 22195: 42f3.*
+ 22196: 0c87.*
+ 22197: 6f87.*
+ 22198: 0c87.*
+ 22199: 6f8f.*
+ 2219a: 0c87.*
+ 2219b: 6f97.*
+ 2219c: 0c87.*
+ 2219d: 6f9f.*
+ 2219e: 0c87.*
+ 2219f: 6fa7.*
+ 221a0: 0c87.*
+ 221a1: 6faf.*
+ 221a2: 0c87.*
+ 221a3: 6fb7.*
+ 221a4: 0c87.*
+ 221a5: 6fbf.*
+ 221a6: 0c87.*
+ 221a7: 6fc7.*
+ 221a8: 0c87.*
+ 221a9: 6fcf.*
+ 221aa: 0c87.*
+ 221ab: 6fd7.*
+ 221ac: 0c87.*
+ 221ad: 6fdf.*
+ 221ae: 0c87.*
+ 221af: 6fe7.*
+ 221b0: 42f4.*
+ 221b1: 0c87.*
+ 221b2: 6fef.*
+ 221b3: 42f5.*
+ 221b4: 0c87.*
+ 221b5: 6ff7.*
+ 221b6: 42f6.*
+ 221b7: 0c87.*
+ 221b8: 6ff8.*
+ 221b9: 42f7.*
+ 221ba: 0c87.*
+ 221bb: 6f80.*
+ 221bc: 0c88.*
+ 221bd: 6f88.*
+ 221be: 0c88.*
+ 221bf: 6f90.*
+ 221c0: 0c88.*
+ 221c1: 6f98.*
+ 221c2: 0c88.*
+ 221c3: 6fa0.*
+ 221c4: 0c88.*
+ 221c5: 6fa8.*
+ 221c6: 0c88.*
+ 221c7: 6fb0.*
+ 221c8: 0c88.*
+ 221c9: 6fb8.*
+ 221ca: 0c88.*
+ 221cb: 6fc0.*
+ 221cc: 0c88.*
+ 221cd: 6fc8.*
+ 221ce: 0c88.*
+ 221cf: 6fd0.*
+ 221d0: 0c88.*
+ 221d1: 6fd8.*
+ 221d2: 0c88.*
+ 221d3: 6fe0.*
+ 221d4: 42f8.*
+ 221d5: 0c88.*
+ 221d6: 6fe8.*
+ 221d7: 42f9.*
+ 221d8: 0c88.*
+ 221d9: 6ff0.*
+ 221da: 42fa.*
+ 221db: 0c88.*
+ 221dc: 6ff8.*
+ 221dd: 42fb.*
+ 221de: 0c88.*
+ 221df: 6f81.*
+ 221e0: 0c88.*
+ 221e1: 6f89.*
+ 221e2: 0c88.*
+ 221e3: 6f91.*
+ 221e4: 0c88.*
+ 221e5: 6f99.*
+ 221e6: 0c88.*
+ 221e7: 6fa1.*
+ 221e8: 0c88.*
+ 221e9: 6fa9.*
+ 221ea: 0c88.*
+ 221eb: 6fb1.*
+ 221ec: 0c88.*
+ 221ed: 6fb9.*
+ 221ee: 0c88.*
+ 221ef: 6fc1.*
+ 221f0: 0c88.*
+ 221f1: 6fc9.*
+ 221f2: 0c88.*
+ 221f3: 6fd1.*
+ 221f4: 0c88.*
+ 221f5: 6fd9.*
+ 221f6: 0c88.*
+ 221f7: 6fe1.*
+ 221f8: 42fc.*
+ 221f9: 0c88.*
+ 221fa: 6fe9.*
+ 221fb: 42fd.*
+ 221fc: 0c88.*
+ 221fd: 6ff1.*
+ 221fe: 42fe.*
+ 221ff: 0c88.*
+ 22200: 6ff8.*
+ 22201: 42ff.*
+ 22202: 0c88.*
+ 22203: 9808.*
+ 22204: 9848.*
+ 22205: 9888.*
+ 22206: 6f9a.*
+ 22207: 0c88.*
+ 22208: 6fa2.*
+ 22209: 0c88.*
+ 2220a: 6faa.*
+ 2220b: 0c88.*
+ 2220c: 6fb2.*
+ 2220d: 0c88.*
+ 2220e: 6fba.*
+ 2220f: 0c88.*
+ 22210: 6fc2.*
+ 22211: 0c88.*
+ 22212: 6fca.*
+ 22213: 0c88.*
+ 22214: 6fd2.*
+ 22215: 0c88.*
+ 22216: 98c8.*
+ 22217: 6fe2.*
+ 22218: 4300.*
+ 22219: 0c88.*
+ 2221a: 6fea.*
+ 2221b: 4301.*
+ 2221c: 0c88.*
+ 2221d: 6ff2.*
+ 2221e: 4302.*
+ 2221f: 0c88.*
+ 22220: 6ff8.*
+ 22221: 4303.*
+ 22222: 0c88.*
+ 22223: 9818.*
+ 22224: 9858.*
+ 22225: 9898.*
+ 22226: 6f9b.*
+ 22227: 0c88.*
+ 22228: 6fa3.*
+ 22229: 0c88.*
+ 2222a: 6fab.*
+ 2222b: 0c88.*
+ 2222c: 6fb3.*
+ 2222d: 0c88.*
+ 2222e: 6fbb.*
+ 2222f: 0c88.*
+ 22230: 6fc3.*
+ 22231: 0c88.*
+ 22232: 6fcb.*
+ 22233: 0c88.*
+ 22234: 6fd3.*
+ 22235: 0c88.*
+ 22236: 98d8.*
+ 22237: 6fe3.*
+ 22238: 4304.*
+ 22239: 0c88.*
+ 2223a: 6feb.*
+ 2223b: 4305.*
+ 2223c: 0c88.*
+ 2223d: 6ff3.*
+ 2223e: 4306.*
+ 2223f: 0c88.*
+ 22240: 6ff8.*
+ 22241: 4307.*
+ 22242: 0c88.*
+ 22243: 9828.*
+ 22244: 9868.*
+ 22245: 98a8.*
+ 22246: 6f9c.*
+ 22247: 0c88.*
+ 22248: 6fa4.*
+ 22249: 0c88.*
+ 2224a: 6fac.*
+ 2224b: 0c88.*
+ 2224c: 6fb4.*
+ 2224d: 0c88.*
+ 2224e: 6fbc.*
+ 2224f: 0c88.*
+ 22250: 6fc4.*
+ 22251: 0c88.*
+ 22252: 6fcc.*
+ 22253: 0c88.*
+ 22254: 6fd4.*
+ 22255: 0c88.*
+ 22256: 98e8.*
+ 22257: 6fe4.*
+ 22258: 4308.*
+ 22259: 0c88.*
+ 2225a: 6fec.*
+ 2225b: 4309.*
+ 2225c: 0c88.*
+ 2225d: 6ff4.*
+ 2225e: 430a.*
+ 2225f: 0c88.*
+ 22260: 6ff8.*
+ 22261: 430b.*
+ 22262: 0c88.*
+ 22263: 9838.*
+ 22264: 9878.*
+ 22265: 98b8.*
+ 22266: 6f9d.*
+ 22267: 0c88.*
+ 22268: 6fa5.*
+ 22269: 0c88.*
+ 2226a: 6fad.*
+ 2226b: 0c88.*
+ 2226c: 6fb5.*
+ 2226d: 0c88.*
+ 2226e: 6fbd.*
+ 2226f: 0c88.*
+ 22270: 6fc5.*
+ 22271: 0c88.*
+ 22272: 6fcd.*
+ 22273: 0c88.*
+ 22274: 6fd5.*
+ 22275: 0c88.*
+ 22276: 98f8.*
+ 22277: 6fe5.*
+ 22278: 430c.*
+ 22279: 0c88.*
+ 2227a: 6fed.*
+ 2227b: 430d.*
+ 2227c: 0c88.*
+ 2227d: 6ff5.*
+ 2227e: 430e.*
+ 2227f: 0c88.*
+ 22280: 6ff8.*
+ 22281: 430f.*
+ 22282: 0c88.*
+ 22283: 6f86.*
+ 22284: 0c88.*
+ 22285: 6f8e.*
+ 22286: 0c88.*
+ 22287: 6f96.*
+ 22288: 0c88.*
+ 22289: 6f9e.*
+ 2228a: 0c88.*
+ 2228b: 6fa6.*
+ 2228c: 0c88.*
+ 2228d: 6fae.*
+ 2228e: 0c88.*
+ 2228f: 6fb6.*
+ 22290: 0c88.*
+ 22291: 6fbe.*
+ 22292: 0c88.*
+ 22293: 6fc6.*
+ 22294: 0c88.*
+ 22295: 6fce.*
+ 22296: 0c88.*
+ 22297: 6fd6.*
+ 22298: 0c88.*
+ 22299: 6fde.*
+ 2229a: 0c88.*
+ 2229b: 6fe6.*
+ 2229c: 4310.*
+ 2229d: 0c88.*
+ 2229e: 6fee.*
+ 2229f: 4311.*
+ 222a0: 0c88.*
+ 222a1: 6ff6.*
+ 222a2: 4312.*
+ 222a3: 0c88.*
+ 222a4: 6ff8.*
+ 222a5: 4313.*
+ 222a6: 0c88.*
+ 222a7: 6f87.*
+ 222a8: 0c88.*
+ 222a9: 6f8f.*
+ 222aa: 0c88.*
+ 222ab: 6f97.*
+ 222ac: 0c88.*
+ 222ad: 6f9f.*
+ 222ae: 0c88.*
+ 222af: 6fa7.*
+ 222b0: 0c88.*
+ 222b1: 6faf.*
+ 222b2: 0c88.*
+ 222b3: 6fb7.*
+ 222b4: 0c88.*
+ 222b5: 6fbf.*
+ 222b6: 0c88.*
+ 222b7: 6fc7.*
+ 222b8: 0c88.*
+ 222b9: 6fcf.*
+ 222ba: 0c88.*
+ 222bb: 6fd7.*
+ 222bc: 0c88.*
+ 222bd: 6fdf.*
+ 222be: 0c88.*
+ 222bf: 6fe7.*
+ 222c0: 4314.*
+ 222c1: 0c88.*
+ 222c2: 6fef.*
+ 222c3: 4315.*
+ 222c4: 0c88.*
+ 222c5: 6ff7.*
+ 222c6: 4316.*
+ 222c7: 0c88.*
+ 222c8: 6ff8.*
+ 222c9: 4317.*
+ 222ca: 0c88.*
+ 222cb: 6f80.*
+ 222cc: 0c89.*
+ 222cd: 6f88.*
+ 222ce: 0c89.*
+ 222cf: 6f90.*
+ 222d0: 0c89.*
+ 222d1: 6f98.*
+ 222d2: 0c89.*
+ 222d3: 6fa0.*
+ 222d4: 0c89.*
+ 222d5: 6fa8.*
+ 222d6: 0c89.*
+ 222d7: 6fb0.*
+ 222d8: 0c89.*
+ 222d9: 6fb8.*
+ 222da: 0c89.*
+ 222db: 6fc0.*
+ 222dc: 0c89.*
+ 222dd: 6fc8.*
+ 222de: 0c89.*
+ 222df: 6fd0.*
+ 222e0: 0c89.*
+ 222e1: 6fd8.*
+ 222e2: 0c89.*
+ 222e3: 6fe0.*
+ 222e4: 4318.*
+ 222e5: 0c89.*
+ 222e6: 6fe8.*
+ 222e7: 4319.*
+ 222e8: 0c89.*
+ 222e9: 6ff0.*
+ 222ea: 431a.*
+ 222eb: 0c89.*
+ 222ec: 6ff8.*
+ 222ed: 431b.*
+ 222ee: 0c89.*
+ 222ef: 6f81.*
+ 222f0: 0c89.*
+ 222f1: 6f89.*
+ 222f2: 0c89.*
+ 222f3: 6f91.*
+ 222f4: 0c89.*
+ 222f5: 6f99.*
+ 222f6: 0c89.*
+ 222f7: 6fa1.*
+ 222f8: 0c89.*
+ 222f9: 6fa9.*
+ 222fa: 0c89.*
+ 222fb: 6fb1.*
+ 222fc: 0c89.*
+ 222fd: 6fb9.*
+ 222fe: 0c89.*
+ 222ff: 6fc1.*
+ 22300: 0c89.*
+ 22301: 6fc9.*
+ 22302: 0c89.*
+ 22303: 6fd1.*
+ 22304: 0c89.*
+ 22305: 6fd9.*
+ 22306: 0c89.*
+ 22307: 6fe1.*
+ 22308: 431c.*
+ 22309: 0c89.*
+ 2230a: 6fe9.*
+ 2230b: 431d.*
+ 2230c: 0c89.*
+ 2230d: 6ff1.*
+ 2230e: 431e.*
+ 2230f: 0c89.*
+ 22310: 6ff8.*
+ 22311: 431f.*
+ 22312: 0c89.*
+ 22313: 9809.*
+ 22314: 9849.*
+ 22315: 9889.*
+ 22316: 6f9a.*
+ 22317: 0c89.*
+ 22318: 6fa2.*
+ 22319: 0c89.*
+ 2231a: 6faa.*
+ 2231b: 0c89.*
+ 2231c: 6fb2.*
+ 2231d: 0c89.*
+ 2231e: 6fba.*
+ 2231f: 0c89.*
+ 22320: 6fc2.*
+ 22321: 0c89.*
+ 22322: 6fca.*
+ 22323: 0c89.*
+ 22324: 6fd2.*
+ 22325: 0c89.*
+ 22326: 98c9.*
+ 22327: 6fe2.*
+ 22328: 4320.*
+ 22329: 0c89.*
+ 2232a: 6fea.*
+ 2232b: 4321.*
+ 2232c: 0c89.*
+ 2232d: 6ff2.*
+ 2232e: 4322.*
+ 2232f: 0c89.*
+ 22330: 6ff8.*
+ 22331: 4323.*
+ 22332: 0c89.*
+ 22333: 9819.*
+ 22334: 9859.*
+ 22335: 9899.*
+ 22336: 6f9b.*
+ 22337: 0c89.*
+ 22338: 6fa3.*
+ 22339: 0c89.*
+ 2233a: 6fab.*
+ 2233b: 0c89.*
+ 2233c: 6fb3.*
+ 2233d: 0c89.*
+ 2233e: 6fbb.*
+ 2233f: 0c89.*
+ 22340: 6fc3.*
+ 22341: 0c89.*
+ 22342: 6fcb.*
+ 22343: 0c89.*
+ 22344: 6fd3.*
+ 22345: 0c89.*
+ 22346: 98d9.*
+ 22347: 6fe3.*
+ 22348: 4324.*
+ 22349: 0c89.*
+ 2234a: 6feb.*
+ 2234b: 4325.*
+ 2234c: 0c89.*
+ 2234d: 6ff3.*
+ 2234e: 4326.*
+ 2234f: 0c89.*
+ 22350: 6ff8.*
+ 22351: 4327.*
+ 22352: 0c89.*
+ 22353: 9829.*
+ 22354: 9869.*
+ 22355: 98a9.*
+ 22356: 6f9c.*
+ 22357: 0c89.*
+ 22358: 6fa4.*
+ 22359: 0c89.*
+ 2235a: 6fac.*
+ 2235b: 0c89.*
+ 2235c: 6fb4.*
+ 2235d: 0c89.*
+ 2235e: 6fbc.*
+ 2235f: 0c89.*
+ 22360: 6fc4.*
+ 22361: 0c89.*
+ 22362: 6fcc.*
+ 22363: 0c89.*
+ 22364: 6fd4.*
+ 22365: 0c89.*
+ 22366: 98e9.*
+ 22367: 6fe4.*
+ 22368: 4328.*
+ 22369: 0c89.*
+ 2236a: 6fec.*
+ 2236b: 4329.*
+ 2236c: 0c89.*
+ 2236d: 6ff4.*
+ 2236e: 432a.*
+ 2236f: 0c89.*
+ 22370: 6ff8.*
+ 22371: 432b.*
+ 22372: 0c89.*
+ 22373: 9839.*
+ 22374: 9879.*
+ 22375: 98b9.*
+ 22376: 6f9d.*
+ 22377: 0c89.*
+ 22378: 6fa5.*
+ 22379: 0c89.*
+ 2237a: 6fad.*
+ 2237b: 0c89.*
+ 2237c: 6fb5.*
+ 2237d: 0c89.*
+ 2237e: 6fbd.*
+ 2237f: 0c89.*
+ 22380: 6fc5.*
+ 22381: 0c89.*
+ 22382: 6fcd.*
+ 22383: 0c89.*
+ 22384: 6fd5.*
+ 22385: 0c89.*
+ 22386: 98f9.*
+ 22387: 6fe5.*
+ 22388: 432c.*
+ 22389: 0c89.*
+ 2238a: 6fed.*
+ 2238b: 432d.*
+ 2238c: 0c89.*
+ 2238d: 6ff5.*
+ 2238e: 432e.*
+ 2238f: 0c89.*
+ 22390: 6ff8.*
+ 22391: 432f.*
+ 22392: 0c89.*
+ 22393: 6f86.*
+ 22394: 0c89.*
+ 22395: 6f8e.*
+ 22396: 0c89.*
+ 22397: 6f96.*
+ 22398: 0c89.*
+ 22399: 6f9e.*
+ 2239a: 0c89.*
+ 2239b: 6fa6.*
+ 2239c: 0c89.*
+ 2239d: 6fae.*
+ 2239e: 0c89.*
+ 2239f: 6fb6.*
+ 223a0: 0c89.*
+ 223a1: 6fbe.*
+ 223a2: 0c89.*
+ 223a3: 6fc6.*
+ 223a4: 0c89.*
+ 223a5: 6fce.*
+ 223a6: 0c89.*
+ 223a7: 6fd6.*
+ 223a8: 0c89.*
+ 223a9: 6fde.*
+ 223aa: 0c89.*
+ 223ab: 6fe6.*
+ 223ac: 4330.*
+ 223ad: 0c89.*
+ 223ae: 6fee.*
+ 223af: 4331.*
+ 223b0: 0c89.*
+ 223b1: 6ff6.*
+ 223b2: 4332.*
+ 223b3: 0c89.*
+ 223b4: 6ff8.*
+ 223b5: 4333.*
+ 223b6: 0c89.*
+ 223b7: 6f87.*
+ 223b8: 0c89.*
+ 223b9: 6f8f.*
+ 223ba: 0c89.*
+ 223bb: 6f97.*
+ 223bc: 0c89.*
+ 223bd: 6f9f.*
+ 223be: 0c89.*
+ 223bf: 6fa7.*
+ 223c0: 0c89.*
+ 223c1: 6faf.*
+ 223c2: 0c89.*
+ 223c3: 6fb7.*
+ 223c4: 0c89.*
+ 223c5: 6fbf.*
+ 223c6: 0c89.*
+ 223c7: 6fc7.*
+ 223c8: 0c89.*
+ 223c9: 6fcf.*
+ 223ca: 0c89.*
+ 223cb: 6fd7.*
+ 223cc: 0c89.*
+ 223cd: 6fdf.*
+ 223ce: 0c89.*
+ 223cf: 6fe7.*
+ 223d0: 4334.*
+ 223d1: 0c89.*
+ 223d2: 6fef.*
+ 223d3: 4335.*
+ 223d4: 0c89.*
+ 223d5: 6ff7.*
+ 223d6: 4336.*
+ 223d7: 0c89.*
+ 223d8: 6ff8.*
+ 223d9: 4337.*
+ 223da: 0c89.*
+ 223db: 6f80.*
+ 223dc: 0c8a.*
+ 223dd: 6f88.*
+ 223de: 0c8a.*
+ 223df: 6f90.*
+ 223e0: 0c8a.*
+ 223e1: 6f98.*
+ 223e2: 0c8a.*
+ 223e3: 6fa0.*
+ 223e4: 0c8a.*
+ 223e5: 6fa8.*
+ 223e6: 0c8a.*
+ 223e7: 6fb0.*
+ 223e8: 0c8a.*
+ 223e9: 6fb8.*
+ 223ea: 0c8a.*
+ 223eb: 6fc0.*
+ 223ec: 0c8a.*
+ 223ed: 6fc8.*
+ 223ee: 0c8a.*
+ 223ef: 6fd0.*
+ 223f0: 0c8a.*
+ 223f1: 6fd8.*
+ 223f2: 0c8a.*
+ 223f3: 6fe0.*
+ 223f4: 4338.*
+ 223f5: 0c8a.*
+ 223f6: 6fe8.*
+ 223f7: 4339.*
+ 223f8: 0c8a.*
+ 223f9: 6ff0.*
+ 223fa: 433a.*
+ 223fb: 0c8a.*
+ 223fc: 6ff8.*
+ 223fd: 433b.*
+ 223fe: 0c8a.*
+ 223ff: 6f81.*
+ 22400: 0c8a.*
+ 22401: 6f89.*
+ 22402: 0c8a.*
+ 22403: 6f91.*
+ 22404: 0c8a.*
+ 22405: 6f99.*
+ 22406: 0c8a.*
+ 22407: 6fa1.*
+ 22408: 0c8a.*
+ 22409: 6fa9.*
+ 2240a: 0c8a.*
+ 2240b: 6fb1.*
+ 2240c: 0c8a.*
+ 2240d: 6fb9.*
+ 2240e: 0c8a.*
+ 2240f: 6fc1.*
+ 22410: 0c8a.*
+ 22411: 6fc9.*
+ 22412: 0c8a.*
+ 22413: 6fd1.*
+ 22414: 0c8a.*
+ 22415: 6fd9.*
+ 22416: 0c8a.*
+ 22417: 6fe1.*
+ 22418: 433c.*
+ 22419: 0c8a.*
+ 2241a: 6fe9.*
+ 2241b: 433d.*
+ 2241c: 0c8a.*
+ 2241d: 6ff1.*
+ 2241e: 433e.*
+ 2241f: 0c8a.*
+ 22420: 6ff8.*
+ 22421: 433f.*
+ 22422: 0c8a.*
+ 22423: 980a.*
+ 22424: 984a.*
+ 22425: 988a.*
+ 22426: 6f9a.*
+ 22427: 0c8a.*
+ 22428: 6fa2.*
+ 22429: 0c8a.*
+ 2242a: 6faa.*
+ 2242b: 0c8a.*
+ 2242c: 6fb2.*
+ 2242d: 0c8a.*
+ 2242e: 6fba.*
+ 2242f: 0c8a.*
+ 22430: 6fc2.*
+ 22431: 0c8a.*
+ 22432: 6fca.*
+ 22433: 0c8a.*
+ 22434: 6fd2.*
+ 22435: 0c8a.*
+ 22436: 98ca.*
+ 22437: 6fe2.*
+ 22438: 4340.*
+ 22439: 0c8a.*
+ 2243a: 6fea.*
+ 2243b: 4341.*
+ 2243c: 0c8a.*
+ 2243d: 6ff2.*
+ 2243e: 4342.*
+ 2243f: 0c8a.*
+ 22440: 6ff8.*
+ 22441: 4343.*
+ 22442: 0c8a.*
+ 22443: 981a.*
+ 22444: 985a.*
+ 22445: 989a.*
+ 22446: 6f9b.*
+ 22447: 0c8a.*
+ 22448: 6fa3.*
+ 22449: 0c8a.*
+ 2244a: 6fab.*
+ 2244b: 0c8a.*
+ 2244c: 6fb3.*
+ 2244d: 0c8a.*
+ 2244e: 6fbb.*
+ 2244f: 0c8a.*
+ 22450: 6fc3.*
+ 22451: 0c8a.*
+ 22452: 6fcb.*
+ 22453: 0c8a.*
+ 22454: 6fd3.*
+ 22455: 0c8a.*
+ 22456: 98da.*
+ 22457: 6fe3.*
+ 22458: 4344.*
+ 22459: 0c8a.*
+ 2245a: 6feb.*
+ 2245b: 4345.*
+ 2245c: 0c8a.*
+ 2245d: 6ff3.*
+ 2245e: 4346.*
+ 2245f: 0c8a.*
+ 22460: 6ff8.*
+ 22461: 4347.*
+ 22462: 0c8a.*
+ 22463: 982a.*
+ 22464: 986a.*
+ 22465: 98aa.*
+ 22466: 6f9c.*
+ 22467: 0c8a.*
+ 22468: 6fa4.*
+ 22469: 0c8a.*
+ 2246a: 6fac.*
+ 2246b: 0c8a.*
+ 2246c: 6fb4.*
+ 2246d: 0c8a.*
+ 2246e: 6fbc.*
+ 2246f: 0c8a.*
+ 22470: 6fc4.*
+ 22471: 0c8a.*
+ 22472: 6fcc.*
+ 22473: 0c8a.*
+ 22474: 6fd4.*
+ 22475: 0c8a.*
+ 22476: 98ea.*
+ 22477: 6fe4.*
+ 22478: 4348.*
+ 22479: 0c8a.*
+ 2247a: 6fec.*
+ 2247b: 4349.*
+ 2247c: 0c8a.*
+ 2247d: 6ff4.*
+ 2247e: 434a.*
+ 2247f: 0c8a.*
+ 22480: 6ff8.*
+ 22481: 434b.*
+ 22482: 0c8a.*
+ 22483: 983a.*
+ 22484: 987a.*
+ 22485: 98ba.*
+ 22486: 6f9d.*
+ 22487: 0c8a.*
+ 22488: 6fa5.*
+ 22489: 0c8a.*
+ 2248a: 6fad.*
+ 2248b: 0c8a.*
+ 2248c: 6fb5.*
+ 2248d: 0c8a.*
+ 2248e: 6fbd.*
+ 2248f: 0c8a.*
+ 22490: 6fc5.*
+ 22491: 0c8a.*
+ 22492: 6fcd.*
+ 22493: 0c8a.*
+ 22494: 6fd5.*
+ 22495: 0c8a.*
+ 22496: 98fa.*
+ 22497: 6fe5.*
+ 22498: 434c.*
+ 22499: 0c8a.*
+ 2249a: 6fed.*
+ 2249b: 434d.*
+ 2249c: 0c8a.*
+ 2249d: 6ff5.*
+ 2249e: 434e.*
+ 2249f: 0c8a.*
+ 224a0: 6ff8.*
+ 224a1: 434f.*
+ 224a2: 0c8a.*
+ 224a3: 6f86.*
+ 224a4: 0c8a.*
+ 224a5: 6f8e.*
+ 224a6: 0c8a.*
+ 224a7: 6f96.*
+ 224a8: 0c8a.*
+ 224a9: 6f9e.*
+ 224aa: 0c8a.*
+ 224ab: 6fa6.*
+ 224ac: 0c8a.*
+ 224ad: 6fae.*
+ 224ae: 0c8a.*
+ 224af: 6fb6.*
+ 224b0: 0c8a.*
+ 224b1: 6fbe.*
+ 224b2: 0c8a.*
+ 224b3: 6fc6.*
+ 224b4: 0c8a.*
+ 224b5: 6fce.*
+ 224b6: 0c8a.*
+ 224b7: 6fd6.*
+ 224b8: 0c8a.*
+ 224b9: 6fde.*
+ 224ba: 0c8a.*
+ 224bb: 6fe6.*
+ 224bc: 4350.*
+ 224bd: 0c8a.*
+ 224be: 6fee.*
+ 224bf: 4351.*
+ 224c0: 0c8a.*
+ 224c1: 6ff6.*
+ 224c2: 4352.*
+ 224c3: 0c8a.*
+ 224c4: 6ff8.*
+ 224c5: 4353.*
+ 224c6: 0c8a.*
+ 224c7: 6f87.*
+ 224c8: 0c8a.*
+ 224c9: 6f8f.*
+ 224ca: 0c8a.*
+ 224cb: 6f97.*
+ 224cc: 0c8a.*
+ 224cd: 6f9f.*
+ 224ce: 0c8a.*
+ 224cf: 6fa7.*
+ 224d0: 0c8a.*
+ 224d1: 6faf.*
+ 224d2: 0c8a.*
+ 224d3: 6fb7.*
+ 224d4: 0c8a.*
+ 224d5: 6fbf.*
+ 224d6: 0c8a.*
+ 224d7: 6fc7.*
+ 224d8: 0c8a.*
+ 224d9: 6fcf.*
+ 224da: 0c8a.*
+ 224db: 6fd7.*
+ 224dc: 0c8a.*
+ 224dd: 6fdf.*
+ 224de: 0c8a.*
+ 224df: 6fe7.*
+ 224e0: 4354.*
+ 224e1: 0c8a.*
+ 224e2: 6fef.*
+ 224e3: 4355.*
+ 224e4: 0c8a.*
+ 224e5: 6ff7.*
+ 224e6: 4356.*
+ 224e7: 0c8a.*
+ 224e8: 6ff8.*
+ 224e9: 4357.*
+ 224ea: 0c8a.*
+ 224eb: 6f80.*
+ 224ec: 0c8b.*
+ 224ed: 6f88.*
+ 224ee: 0c8b.*
+ 224ef: 6f90.*
+ 224f0: 0c8b.*
+ 224f1: 6f98.*
+ 224f2: 0c8b.*
+ 224f3: 6fa0.*
+ 224f4: 0c8b.*
+ 224f5: 6fa8.*
+ 224f6: 0c8b.*
+ 224f7: 6fb0.*
+ 224f8: 0c8b.*
+ 224f9: 6fb8.*
+ 224fa: 0c8b.*
+ 224fb: 6fc0.*
+ 224fc: 0c8b.*
+ 224fd: 6fc8.*
+ 224fe: 0c8b.*
+ 224ff: 6fd0.*
+ 22500: 0c8b.*
+ 22501: 6fd8.*
+ 22502: 0c8b.*
+ 22503: 6fe0.*
+ 22504: 4358.*
+ 22505: 0c8b.*
+ 22506: 6fe8.*
+ 22507: 4359.*
+ 22508: 0c8b.*
+ 22509: 6ff0.*
+ 2250a: 435a.*
+ 2250b: 0c8b.*
+ 2250c: 6ff8.*
+ 2250d: 435b.*
+ 2250e: 0c8b.*
+ 2250f: 6f81.*
+ 22510: 0c8b.*
+ 22511: 6f89.*
+ 22512: 0c8b.*
+ 22513: 6f91.*
+ 22514: 0c8b.*
+ 22515: 6f99.*
+ 22516: 0c8b.*
+ 22517: 6fa1.*
+ 22518: 0c8b.*
+ 22519: 6fa9.*
+ 2251a: 0c8b.*
+ 2251b: 6fb1.*
+ 2251c: 0c8b.*
+ 2251d: 6fb9.*
+ 2251e: 0c8b.*
+ 2251f: 6fc1.*
+ 22520: 0c8b.*
+ 22521: 6fc9.*
+ 22522: 0c8b.*
+ 22523: 6fd1.*
+ 22524: 0c8b.*
+ 22525: 6fd9.*
+ 22526: 0c8b.*
+ 22527: 6fe1.*
+ 22528: 435c.*
+ 22529: 0c8b.*
+ 2252a: 6fe9.*
+ 2252b: 435d.*
+ 2252c: 0c8b.*
+ 2252d: 6ff1.*
+ 2252e: 435e.*
+ 2252f: 0c8b.*
+ 22530: 6ff8.*
+ 22531: 435f.*
+ 22532: 0c8b.*
+ 22533: 980b.*
+ 22534: 984b.*
+ 22535: 988b.*
+ 22536: 6f9a.*
+ 22537: 0c8b.*
+ 22538: 6fa2.*
+ 22539: 0c8b.*
+ 2253a: 6faa.*
+ 2253b: 0c8b.*
+ 2253c: 6fb2.*
+ 2253d: 0c8b.*
+ 2253e: 6fba.*
+ 2253f: 0c8b.*
+ 22540: 6fc2.*
+ 22541: 0c8b.*
+ 22542: 6fca.*
+ 22543: 0c8b.*
+ 22544: 6fd2.*
+ 22545: 0c8b.*
+ 22546: 98cb.*
+ 22547: 6fe2.*
+ 22548: 4360.*
+ 22549: 0c8b.*
+ 2254a: 6fea.*
+ 2254b: 4361.*
+ 2254c: 0c8b.*
+ 2254d: 6ff2.*
+ 2254e: 4362.*
+ 2254f: 0c8b.*
+ 22550: 6ff8.*
+ 22551: 4363.*
+ 22552: 0c8b.*
+ 22553: 981b.*
+ 22554: 985b.*
+ 22555: 989b.*
+ 22556: 6f9b.*
+ 22557: 0c8b.*
+ 22558: 6fa3.*
+ 22559: 0c8b.*
+ 2255a: 6fab.*
+ 2255b: 0c8b.*
+ 2255c: 6fb3.*
+ 2255d: 0c8b.*
+ 2255e: 6fbb.*
+ 2255f: 0c8b.*
+ 22560: 6fc3.*
+ 22561: 0c8b.*
+ 22562: 6fcb.*
+ 22563: 0c8b.*
+ 22564: 6fd3.*
+ 22565: 0c8b.*
+ 22566: 98db.*
+ 22567: 6fe3.*
+ 22568: 4364.*
+ 22569: 0c8b.*
+ 2256a: 6feb.*
+ 2256b: 4365.*
+ 2256c: 0c8b.*
+ 2256d: 6ff3.*
+ 2256e: 4366.*
+ 2256f: 0c8b.*
+ 22570: 6ff8.*
+ 22571: 4367.*
+ 22572: 0c8b.*
+ 22573: 982b.*
+ 22574: 986b.*
+ 22575: 98ab.*
+ 22576: 6f9c.*
+ 22577: 0c8b.*
+ 22578: 6fa4.*
+ 22579: 0c8b.*
+ 2257a: 6fac.*
+ 2257b: 0c8b.*
+ 2257c: 6fb4.*
+ 2257d: 0c8b.*
+ 2257e: 6fbc.*
+ 2257f: 0c8b.*
+ 22580: 6fc4.*
+ 22581: 0c8b.*
+ 22582: 6fcc.*
+ 22583: 0c8b.*
+ 22584: 6fd4.*
+ 22585: 0c8b.*
+ 22586: 98eb.*
+ 22587: 6fe4.*
+ 22588: 4368.*
+ 22589: 0c8b.*
+ 2258a: 6fec.*
+ 2258b: 4369.*
+ 2258c: 0c8b.*
+ 2258d: 6ff4.*
+ 2258e: 436a.*
+ 2258f: 0c8b.*
+ 22590: 6ff8.*
+ 22591: 436b.*
+ 22592: 0c8b.*
+ 22593: 983b.*
+ 22594: 987b.*
+ 22595: 98bb.*
+ 22596: 6f9d.*
+ 22597: 0c8b.*
+ 22598: 6fa5.*
+ 22599: 0c8b.*
+ 2259a: 6fad.*
+ 2259b: 0c8b.*
+ 2259c: 6fb5.*
+ 2259d: 0c8b.*
+ 2259e: 6fbd.*
+ 2259f: 0c8b.*
+ 225a0: 6fc5.*
+ 225a1: 0c8b.*
+ 225a2: 6fcd.*
+ 225a3: 0c8b.*
+ 225a4: 6fd5.*
+ 225a5: 0c8b.*
+ 225a6: 98fb.*
+ 225a7: 6fe5.*
+ 225a8: 436c.*
+ 225a9: 0c8b.*
+ 225aa: 6fed.*
+ 225ab: 436d.*
+ 225ac: 0c8b.*
+ 225ad: 6ff5.*
+ 225ae: 436e.*
+ 225af: 0c8b.*
+ 225b0: 6ff8.*
+ 225b1: 436f.*
+ 225b2: 0c8b.*
+ 225b3: 6f86.*
+ 225b4: 0c8b.*
+ 225b5: 6f8e.*
+ 225b6: 0c8b.*
+ 225b7: 6f96.*
+ 225b8: 0c8b.*
+ 225b9: 6f9e.*
+ 225ba: 0c8b.*
+ 225bb: 6fa6.*
+ 225bc: 0c8b.*
+ 225bd: 6fae.*
+ 225be: 0c8b.*
+ 225bf: 6fb6.*
+ 225c0: 0c8b.*
+ 225c1: 6fbe.*
+ 225c2: 0c8b.*
+ 225c3: 6fc6.*
+ 225c4: 0c8b.*
+ 225c5: 6fce.*
+ 225c6: 0c8b.*
+ 225c7: 6fd6.*
+ 225c8: 0c8b.*
+ 225c9: 6fde.*
+ 225ca: 0c8b.*
+ 225cb: 6fe6.*
+ 225cc: 4370.*
+ 225cd: 0c8b.*
+ 225ce: 6fee.*
+ 225cf: 4371.*
+ 225d0: 0c8b.*
+ 225d1: 6ff6.*
+ 225d2: 4372.*
+ 225d3: 0c8b.*
+ 225d4: 6ff8.*
+ 225d5: 4373.*
+ 225d6: 0c8b.*
+ 225d7: 6f87.*
+ 225d8: 0c8b.*
+ 225d9: 6f8f.*
+ 225da: 0c8b.*
+ 225db: 6f97.*
+ 225dc: 0c8b.*
+ 225dd: 6f9f.*
+ 225de: 0c8b.*
+ 225df: 6fa7.*
+ 225e0: 0c8b.*
+ 225e1: 6faf.*
+ 225e2: 0c8b.*
+ 225e3: 6fb7.*
+ 225e4: 0c8b.*
+ 225e5: 6fbf.*
+ 225e6: 0c8b.*
+ 225e7: 6fc7.*
+ 225e8: 0c8b.*
+ 225e9: 6fcf.*
+ 225ea: 0c8b.*
+ 225eb: 6fd7.*
+ 225ec: 0c8b.*
+ 225ed: 6fdf.*
+ 225ee: 0c8b.*
+ 225ef: 6fe7.*
+ 225f0: 4374.*
+ 225f1: 0c8b.*
+ 225f2: 6fef.*
+ 225f3: 4375.*
+ 225f4: 0c8b.*
+ 225f5: 6ff7.*
+ 225f6: 4376.*
+ 225f7: 0c8b.*
+ 225f8: 6ff8.*
+ 225f9: 4377.*
+ 225fa: 0c8b.*
+ 225fb: 6f80.*
+ 225fc: 0c8c.*
+ 225fd: 6f88.*
+ 225fe: 0c8c.*
+ 225ff: 6f90.*
+ 22600: 0c8c.*
+ 22601: 6f98.*
+ 22602: 0c8c.*
+ 22603: 6fa0.*
+ 22604: 0c8c.*
+ 22605: 6fa8.*
+ 22606: 0c8c.*
+ 22607: 6fb0.*
+ 22608: 0c8c.*
+ 22609: 6fb8.*
+ 2260a: 0c8c.*
+ 2260b: 6fc0.*
+ 2260c: 0c8c.*
+ 2260d: 6fc8.*
+ 2260e: 0c8c.*
+ 2260f: 6fd0.*
+ 22610: 0c8c.*
+ 22611: 6fd8.*
+ 22612: 0c8c.*
+ 22613: 6fe0.*
+ 22614: 4378.*
+ 22615: 0c8c.*
+ 22616: 6fe8.*
+ 22617: 4379.*
+ 22618: 0c8c.*
+ 22619: 6ff0.*
+ 2261a: 437a.*
+ 2261b: 0c8c.*
+ 2261c: 6ff8.*
+ 2261d: 437b.*
+ 2261e: 0c8c.*
+ 2261f: 6f81.*
+ 22620: 0c8c.*
+ 22621: 6f89.*
+ 22622: 0c8c.*
+ 22623: 6f91.*
+ 22624: 0c8c.*
+ 22625: 6f99.*
+ 22626: 0c8c.*
+ 22627: 6fa1.*
+ 22628: 0c8c.*
+ 22629: 6fa9.*
+ 2262a: 0c8c.*
+ 2262b: 6fb1.*
+ 2262c: 0c8c.*
+ 2262d: 6fb9.*
+ 2262e: 0c8c.*
+ 2262f: 6fc1.*
+ 22630: 0c8c.*
+ 22631: 6fc9.*
+ 22632: 0c8c.*
+ 22633: 6fd1.*
+ 22634: 0c8c.*
+ 22635: 6fd9.*
+ 22636: 0c8c.*
+ 22637: 6fe1.*
+ 22638: 437c.*
+ 22639: 0c8c.*
+ 2263a: 6fe9.*
+ 2263b: 437d.*
+ 2263c: 0c8c.*
+ 2263d: 6ff1.*
+ 2263e: 437e.*
+ 2263f: 0c8c.*
+ 22640: 6ff8.*
+ 22641: 437f.*
+ 22642: 0c8c.*
+ 22643: 980c.*
+ 22644: 984c.*
+ 22645: 988c.*
+ 22646: 6f9a.*
+ 22647: 0c8c.*
+ 22648: 6fa2.*
+ 22649: 0c8c.*
+ 2264a: 6faa.*
+ 2264b: 0c8c.*
+ 2264c: 6fb2.*
+ 2264d: 0c8c.*
+ 2264e: 6fba.*
+ 2264f: 0c8c.*
+ 22650: 6fc2.*
+ 22651: 0c8c.*
+ 22652: 6fca.*
+ 22653: 0c8c.*
+ 22654: 6fd2.*
+ 22655: 0c8c.*
+ 22656: 98cc.*
+ 22657: 6fe2.*
+ 22658: 4380.*
+ 22659: 0c8c.*
+ 2265a: 6fea.*
+ 2265b: 4381.*
+ 2265c: 0c8c.*
+ 2265d: 6ff2.*
+ 2265e: 4382.*
+ 2265f: 0c8c.*
+ 22660: 6ff8.*
+ 22661: 4383.*
+ 22662: 0c8c.*
+ 22663: 981c.*
+ 22664: 985c.*
+ 22665: 989c.*
+ 22666: 6f9b.*
+ 22667: 0c8c.*
+ 22668: 6fa3.*
+ 22669: 0c8c.*
+ 2266a: 6fab.*
+ 2266b: 0c8c.*
+ 2266c: 6fb3.*
+ 2266d: 0c8c.*
+ 2266e: 6fbb.*
+ 2266f: 0c8c.*
+ 22670: 6fc3.*
+ 22671: 0c8c.*
+ 22672: 6fcb.*
+ 22673: 0c8c.*
+ 22674: 6fd3.*
+ 22675: 0c8c.*
+ 22676: 98dc.*
+ 22677: 6fe3.*
+ 22678: 4384.*
+ 22679: 0c8c.*
+ 2267a: 6feb.*
+ 2267b: 4385.*
+ 2267c: 0c8c.*
+ 2267d: 6ff3.*
+ 2267e: 4386.*
+ 2267f: 0c8c.*
+ 22680: 6ff8.*
+ 22681: 4387.*
+ 22682: 0c8c.*
+ 22683: 982c.*
+ 22684: 986c.*
+ 22685: 98ac.*
+ 22686: 6f9c.*
+ 22687: 0c8c.*
+ 22688: 6fa4.*
+ 22689: 0c8c.*
+ 2268a: 6fac.*
+ 2268b: 0c8c.*
+ 2268c: 6fb4.*
+ 2268d: 0c8c.*
+ 2268e: 6fbc.*
+ 2268f: 0c8c.*
+ 22690: 6fc4.*
+ 22691: 0c8c.*
+ 22692: 6fcc.*
+ 22693: 0c8c.*
+ 22694: 6fd4.*
+ 22695: 0c8c.*
+ 22696: 98ec.*
+ 22697: 6fe4.*
+ 22698: 4388.*
+ 22699: 0c8c.*
+ 2269a: 6fec.*
+ 2269b: 4389.*
+ 2269c: 0c8c.*
+ 2269d: 6ff4.*
+ 2269e: 438a.*
+ 2269f: 0c8c.*
+ 226a0: 6ff8.*
+ 226a1: 438b.*
+ 226a2: 0c8c.*
+ 226a3: 983c.*
+ 226a4: 987c.*
+ 226a5: 98bc.*
+ 226a6: 6f9d.*
+ 226a7: 0c8c.*
+ 226a8: 6fa5.*
+ 226a9: 0c8c.*
+ 226aa: 6fad.*
+ 226ab: 0c8c.*
+ 226ac: 6fb5.*
+ 226ad: 0c8c.*
+ 226ae: 6fbd.*
+ 226af: 0c8c.*
+ 226b0: 6fc5.*
+ 226b1: 0c8c.*
+ 226b2: 6fcd.*
+ 226b3: 0c8c.*
+ 226b4: 6fd5.*
+ 226b5: 0c8c.*
+ 226b6: 98fc.*
+ 226b7: 6fe5.*
+ 226b8: 438c.*
+ 226b9: 0c8c.*
+ 226ba: 6fed.*
+ 226bb: 438d.*
+ 226bc: 0c8c.*
+ 226bd: 6ff5.*
+ 226be: 438e.*
+ 226bf: 0c8c.*
+ 226c0: 6ff8.*
+ 226c1: 438f.*
+ 226c2: 0c8c.*
+ 226c3: 6f86.*
+ 226c4: 0c8c.*
+ 226c5: 6f8e.*
+ 226c6: 0c8c.*
+ 226c7: 6f96.*
+ 226c8: 0c8c.*
+ 226c9: 6f9e.*
+ 226ca: 0c8c.*
+ 226cb: 6fa6.*
+ 226cc: 0c8c.*
+ 226cd: 6fae.*
+ 226ce: 0c8c.*
+ 226cf: 6fb6.*
+ 226d0: 0c8c.*
+ 226d1: 6fbe.*
+ 226d2: 0c8c.*
+ 226d3: 6fc6.*
+ 226d4: 0c8c.*
+ 226d5: 6fce.*
+ 226d6: 0c8c.*
+ 226d7: 6fd6.*
+ 226d8: 0c8c.*
+ 226d9: 6fde.*
+ 226da: 0c8c.*
+ 226db: 6fe6.*
+ 226dc: 4390.*
+ 226dd: 0c8c.*
+ 226de: 6fee.*
+ 226df: 4391.*
+ 226e0: 0c8c.*
+ 226e1: 6ff6.*
+ 226e2: 4392.*
+ 226e3: 0c8c.*
+ 226e4: 6ff8.*
+ 226e5: 4393.*
+ 226e6: 0c8c.*
+ 226e7: 6f87.*
+ 226e8: 0c8c.*
+ 226e9: 6f8f.*
+ 226ea: 0c8c.*
+ 226eb: 6f97.*
+ 226ec: 0c8c.*
+ 226ed: 6f9f.*
+ 226ee: 0c8c.*
+ 226ef: 6fa7.*
+ 226f0: 0c8c.*
+ 226f1: 6faf.*
+ 226f2: 0c8c.*
+ 226f3: 6fb7.*
+ 226f4: 0c8c.*
+ 226f5: 6fbf.*
+ 226f6: 0c8c.*
+ 226f7: 6fc7.*
+ 226f8: 0c8c.*
+ 226f9: 6fcf.*
+ 226fa: 0c8c.*
+ 226fb: 6fd7.*
+ 226fc: 0c8c.*
+ 226fd: 6fdf.*
+ 226fe: 0c8c.*
+ 226ff: 6fe7.*
+ 22700: 4394.*
+ 22701: 0c8c.*
+ 22702: 6fef.*
+ 22703: 4395.*
+ 22704: 0c8c.*
+ 22705: 6ff7.*
+ 22706: 4396.*
+ 22707: 0c8c.*
+ 22708: 6ff8.*
+ 22709: 4397.*
+ 2270a: 0c8c.*
+ 2270b: 6f80.*
+ 2270c: 0c8d.*
+ 2270d: 6f88.*
+ 2270e: 0c8d.*
+ 2270f: 6f90.*
+ 22710: 0c8d.*
+ 22711: 6f98.*
+ 22712: 0c8d.*
+ 22713: 6fa0.*
+ 22714: 0c8d.*
+ 22715: 6fa8.*
+ 22716: 0c8d.*
+ 22717: 6fb0.*
+ 22718: 0c8d.*
+ 22719: 6fb8.*
+ 2271a: 0c8d.*
+ 2271b: 6fc0.*
+ 2271c: 0c8d.*
+ 2271d: 6fc8.*
+ 2271e: 0c8d.*
+ 2271f: 6fd0.*
+ 22720: 0c8d.*
+ 22721: 6fd8.*
+ 22722: 0c8d.*
+ 22723: 6fe0.*
+ 22724: 4398.*
+ 22725: 0c8d.*
+ 22726: 6fe8.*
+ 22727: 4399.*
+ 22728: 0c8d.*
+ 22729: 6ff0.*
+ 2272a: 439a.*
+ 2272b: 0c8d.*
+ 2272c: 6ff8.*
+ 2272d: 439b.*
+ 2272e: 0c8d.*
+ 2272f: 6f81.*
+ 22730: 0c8d.*
+ 22731: 6f89.*
+ 22732: 0c8d.*
+ 22733: 6f91.*
+ 22734: 0c8d.*
+ 22735: 6f99.*
+ 22736: 0c8d.*
+ 22737: 6fa1.*
+ 22738: 0c8d.*
+ 22739: 6fa9.*
+ 2273a: 0c8d.*
+ 2273b: 6fb1.*
+ 2273c: 0c8d.*
+ 2273d: 6fb9.*
+ 2273e: 0c8d.*
+ 2273f: 6fc1.*
+ 22740: 0c8d.*
+ 22741: 6fc9.*
+ 22742: 0c8d.*
+ 22743: 6fd1.*
+ 22744: 0c8d.*
+ 22745: 6fd9.*
+ 22746: 0c8d.*
+ 22747: 6fe1.*
+ 22748: 439c.*
+ 22749: 0c8d.*
+ 2274a: 6fe9.*
+ 2274b: 439d.*
+ 2274c: 0c8d.*
+ 2274d: 6ff1.*
+ 2274e: 439e.*
+ 2274f: 0c8d.*
+ 22750: 6ff8.*
+ 22751: 439f.*
+ 22752: 0c8d.*
+ 22753: 980d.*
+ 22754: 984d.*
+ 22755: 988d.*
+ 22756: 6f9a.*
+ 22757: 0c8d.*
+ 22758: 6fa2.*
+ 22759: 0c8d.*
+ 2275a: 6faa.*
+ 2275b: 0c8d.*
+ 2275c: 6fb2.*
+ 2275d: 0c8d.*
+ 2275e: 6fba.*
+ 2275f: 0c8d.*
+ 22760: 6fc2.*
+ 22761: 0c8d.*
+ 22762: 6fca.*
+ 22763: 0c8d.*
+ 22764: 6fd2.*
+ 22765: 0c8d.*
+ 22766: 98cd.*
+ 22767: 6fe2.*
+ 22768: 43a0.*
+ 22769: 0c8d.*
+ 2276a: 6fea.*
+ 2276b: 43a1.*
+ 2276c: 0c8d.*
+ 2276d: 6ff2.*
+ 2276e: 43a2.*
+ 2276f: 0c8d.*
+ 22770: 6ff8.*
+ 22771: 43a3.*
+ 22772: 0c8d.*
+ 22773: 981d.*
+ 22774: 985d.*
+ 22775: 989d.*
+ 22776: 6f9b.*
+ 22777: 0c8d.*
+ 22778: 6fa3.*
+ 22779: 0c8d.*
+ 2277a: 6fab.*
+ 2277b: 0c8d.*
+ 2277c: 6fb3.*
+ 2277d: 0c8d.*
+ 2277e: 6fbb.*
+ 2277f: 0c8d.*
+ 22780: 6fc3.*
+ 22781: 0c8d.*
+ 22782: 6fcb.*
+ 22783: 0c8d.*
+ 22784: 6fd3.*
+ 22785: 0c8d.*
+ 22786: 98dd.*
+ 22787: 6fe3.*
+ 22788: 43a4.*
+ 22789: 0c8d.*
+ 2278a: 6feb.*
+ 2278b: 43a5.*
+ 2278c: 0c8d.*
+ 2278d: 6ff3.*
+ 2278e: 43a6.*
+ 2278f: 0c8d.*
+ 22790: 6ff8.*
+ 22791: 43a7.*
+ 22792: 0c8d.*
+ 22793: 982d.*
+ 22794: 986d.*
+ 22795: 98ad.*
+ 22796: 6f9c.*
+ 22797: 0c8d.*
+ 22798: 6fa4.*
+ 22799: 0c8d.*
+ 2279a: 6fac.*
+ 2279b: 0c8d.*
+ 2279c: 6fb4.*
+ 2279d: 0c8d.*
+ 2279e: 6fbc.*
+ 2279f: 0c8d.*
+ 227a0: 6fc4.*
+ 227a1: 0c8d.*
+ 227a2: 6fcc.*
+ 227a3: 0c8d.*
+ 227a4: 6fd4.*
+ 227a5: 0c8d.*
+ 227a6: 98ed.*
+ 227a7: 6fe4.*
+ 227a8: 43a8.*
+ 227a9: 0c8d.*
+ 227aa: 6fec.*
+ 227ab: 43a9.*
+ 227ac: 0c8d.*
+ 227ad: 6ff4.*
+ 227ae: 43aa.*
+ 227af: 0c8d.*
+ 227b0: 6ff8.*
+ 227b1: 43ab.*
+ 227b2: 0c8d.*
+ 227b3: 983d.*
+ 227b4: 987d.*
+ 227b5: 98bd.*
+ 227b6: 6f9d.*
+ 227b7: 0c8d.*
+ 227b8: 6fa5.*
+ 227b9: 0c8d.*
+ 227ba: 6fad.*
+ 227bb: 0c8d.*
+ 227bc: 6fb5.*
+ 227bd: 0c8d.*
+ 227be: 6fbd.*
+ 227bf: 0c8d.*
+ 227c0: 6fc5.*
+ 227c1: 0c8d.*
+ 227c2: 6fcd.*
+ 227c3: 0c8d.*
+ 227c4: 6fd5.*
+ 227c5: 0c8d.*
+ 227c6: 98fd.*
+ 227c7: 6fe5.*
+ 227c8: 43ac.*
+ 227c9: 0c8d.*
+ 227ca: 6fed.*
+ 227cb: 43ad.*
+ 227cc: 0c8d.*
+ 227cd: 6ff5.*
+ 227ce: 43ae.*
+ 227cf: 0c8d.*
+ 227d0: 6ff8.*
+ 227d1: 43af.*
+ 227d2: 0c8d.*
+ 227d3: 6f86.*
+ 227d4: 0c8d.*
+ 227d5: 6f8e.*
+ 227d6: 0c8d.*
+ 227d7: 6f96.*
+ 227d8: 0c8d.*
+ 227d9: 6f9e.*
+ 227da: 0c8d.*
+ 227db: 6fa6.*
+ 227dc: 0c8d.*
+ 227dd: 6fae.*
+ 227de: 0c8d.*
+ 227df: 6fb6.*
+ 227e0: 0c8d.*
+ 227e1: 6fbe.*
+ 227e2: 0c8d.*
+ 227e3: 6fc6.*
+ 227e4: 0c8d.*
+ 227e5: 6fce.*
+ 227e6: 0c8d.*
+ 227e7: 6fd6.*
+ 227e8: 0c8d.*
+ 227e9: 6fde.*
+ 227ea: 0c8d.*
+ 227eb: 6fe6.*
+ 227ec: 43b0.*
+ 227ed: 0c8d.*
+ 227ee: 6fee.*
+ 227ef: 43b1.*
+ 227f0: 0c8d.*
+ 227f1: 6ff6.*
+ 227f2: 43b2.*
+ 227f3: 0c8d.*
+ 227f4: 6ff8.*
+ 227f5: 43b3.*
+ 227f6: 0c8d.*
+ 227f7: 6f87.*
+ 227f8: 0c8d.*
+ 227f9: 6f8f.*
+ 227fa: 0c8d.*
+ 227fb: 6f97.*
+ 227fc: 0c8d.*
+ 227fd: 6f9f.*
+ 227fe: 0c8d.*
+ 227ff: 6fa7.*
+ 22800: 0c8d.*
+ 22801: 6faf.*
+ 22802: 0c8d.*
+ 22803: 6fb7.*
+ 22804: 0c8d.*
+ 22805: 6fbf.*
+ 22806: 0c8d.*
+ 22807: 6fc7.*
+ 22808: 0c8d.*
+ 22809: 6fcf.*
+ 2280a: 0c8d.*
+ 2280b: 6fd7.*
+ 2280c: 0c8d.*
+ 2280d: 6fdf.*
+ 2280e: 0c8d.*
+ 2280f: 6fe7.*
+ 22810: 43b4.*
+ 22811: 0c8d.*
+ 22812: 6fef.*
+ 22813: 43b5.*
+ 22814: 0c8d.*
+ 22815: 6ff7.*
+ 22816: 43b6.*
+ 22817: 0c8d.*
+ 22818: 6ff8.*
+ 22819: 43b7.*
+ 2281a: 0c8d.*
+ 2281b: 6f80.*
+ 2281c: 0c8e.*
+ 2281d: 6f88.*
+ 2281e: 0c8e.*
+ 2281f: 6f90.*
+ 22820: 0c8e.*
+ 22821: 6f98.*
+ 22822: 0c8e.*
+ 22823: 6fa0.*
+ 22824: 0c8e.*
+ 22825: 6fa8.*
+ 22826: 0c8e.*
+ 22827: 6fb0.*
+ 22828: 0c8e.*
+ 22829: 6fb8.*
+ 2282a: 0c8e.*
+ 2282b: 6fc0.*
+ 2282c: 0c8e.*
+ 2282d: 6fc8.*
+ 2282e: 0c8e.*
+ 2282f: 6fd0.*
+ 22830: 0c8e.*
+ 22831: 6fd8.*
+ 22832: 0c8e.*
+ 22833: 6fe0.*
+ 22834: 43b8.*
+ 22835: 0c8e.*
+ 22836: 6fe8.*
+ 22837: 43b9.*
+ 22838: 0c8e.*
+ 22839: 6ff0.*
+ 2283a: 43ba.*
+ 2283b: 0c8e.*
+ 2283c: 6ff8.*
+ 2283d: 43bb.*
+ 2283e: 0c8e.*
+ 2283f: 6f81.*
+ 22840: 0c8e.*
+ 22841: 6f89.*
+ 22842: 0c8e.*
+ 22843: 6f91.*
+ 22844: 0c8e.*
+ 22845: 6f99.*
+ 22846: 0c8e.*
+ 22847: 6fa1.*
+ 22848: 0c8e.*
+ 22849: 6fa9.*
+ 2284a: 0c8e.*
+ 2284b: 6fb1.*
+ 2284c: 0c8e.*
+ 2284d: 6fb9.*
+ 2284e: 0c8e.*
+ 2284f: 6fc1.*
+ 22850: 0c8e.*
+ 22851: 6fc9.*
+ 22852: 0c8e.*
+ 22853: 6fd1.*
+ 22854: 0c8e.*
+ 22855: 6fd9.*
+ 22856: 0c8e.*
+ 22857: 6fe1.*
+ 22858: 43bc.*
+ 22859: 0c8e.*
+ 2285a: 6fe9.*
+ 2285b: 43bd.*
+ 2285c: 0c8e.*
+ 2285d: 6ff1.*
+ 2285e: 43be.*
+ 2285f: 0c8e.*
+ 22860: 6ff8.*
+ 22861: 43bf.*
+ 22862: 0c8e.*
+ 22863: 980e.*
+ 22864: 984e.*
+ 22865: 988e.*
+ 22866: 6f9a.*
+ 22867: 0c8e.*
+ 22868: 6fa2.*
+ 22869: 0c8e.*
+ 2286a: 6faa.*
+ 2286b: 0c8e.*
+ 2286c: 6fb2.*
+ 2286d: 0c8e.*
+ 2286e: 6fba.*
+ 2286f: 0c8e.*
+ 22870: 6fc2.*
+ 22871: 0c8e.*
+ 22872: 6fca.*
+ 22873: 0c8e.*
+ 22874: 6fd2.*
+ 22875: 0c8e.*
+ 22876: 98ce.*
+ 22877: 6fe2.*
+ 22878: 43c0.*
+ 22879: 0c8e.*
+ 2287a: 6fea.*
+ 2287b: 43c1.*
+ 2287c: 0c8e.*
+ 2287d: 6ff2.*
+ 2287e: 43c2.*
+ 2287f: 0c8e.*
+ 22880: 6ff8.*
+ 22881: 43c3.*
+ 22882: 0c8e.*
+ 22883: 981e.*
+ 22884: 985e.*
+ 22885: 989e.*
+ 22886: 6f9b.*
+ 22887: 0c8e.*
+ 22888: 6fa3.*
+ 22889: 0c8e.*
+ 2288a: 6fab.*
+ 2288b: 0c8e.*
+ 2288c: 6fb3.*
+ 2288d: 0c8e.*
+ 2288e: 6fbb.*
+ 2288f: 0c8e.*
+ 22890: 6fc3.*
+ 22891: 0c8e.*
+ 22892: 6fcb.*
+ 22893: 0c8e.*
+ 22894: 6fd3.*
+ 22895: 0c8e.*
+ 22896: 98de.*
+ 22897: 6fe3.*
+ 22898: 43c4.*
+ 22899: 0c8e.*
+ 2289a: 6feb.*
+ 2289b: 43c5.*
+ 2289c: 0c8e.*
+ 2289d: 6ff3.*
+ 2289e: 43c6.*
+ 2289f: 0c8e.*
+ 228a0: 6ff8.*
+ 228a1: 43c7.*
+ 228a2: 0c8e.*
+ 228a3: 982e.*
+ 228a4: 986e.*
+ 228a5: 98ae.*
+ 228a6: 6f9c.*
+ 228a7: 0c8e.*
+ 228a8: 6fa4.*
+ 228a9: 0c8e.*
+ 228aa: 6fac.*
+ 228ab: 0c8e.*
+ 228ac: 6fb4.*
+ 228ad: 0c8e.*
+ 228ae: 6fbc.*
+ 228af: 0c8e.*
+ 228b0: 6fc4.*
+ 228b1: 0c8e.*
+ 228b2: 6fcc.*
+ 228b3: 0c8e.*
+ 228b4: 6fd4.*
+ 228b5: 0c8e.*
+ 228b6: 98ee.*
+ 228b7: 6fe4.*
+ 228b8: 43c8.*
+ 228b9: 0c8e.*
+ 228ba: 6fec.*
+ 228bb: 43c9.*
+ 228bc: 0c8e.*
+ 228bd: 6ff4.*
+ 228be: 43ca.*
+ 228bf: 0c8e.*
+ 228c0: 6ff8.*
+ 228c1: 43cb.*
+ 228c2: 0c8e.*
+ 228c3: 983e.*
+ 228c4: 987e.*
+ 228c5: 98be.*
+ 228c6: 6f9d.*
+ 228c7: 0c8e.*
+ 228c8: 6fa5.*
+ 228c9: 0c8e.*
+ 228ca: 6fad.*
+ 228cb: 0c8e.*
+ 228cc: 6fb5.*
+ 228cd: 0c8e.*
+ 228ce: 6fbd.*
+ 228cf: 0c8e.*
+ 228d0: 6fc5.*
+ 228d1: 0c8e.*
+ 228d2: 6fcd.*
+ 228d3: 0c8e.*
+ 228d4: 6fd5.*
+ 228d5: 0c8e.*
+ 228d6: 98fe.*
+ 228d7: 6fe5.*
+ 228d8: 43cc.*
+ 228d9: 0c8e.*
+ 228da: 6fed.*
+ 228db: 43cd.*
+ 228dc: 0c8e.*
+ 228dd: 6ff5.*
+ 228de: 43ce.*
+ 228df: 0c8e.*
+ 228e0: 6ff8.*
+ 228e1: 43cf.*
+ 228e2: 0c8e.*
+ 228e3: 6f86.*
+ 228e4: 0c8e.*
+ 228e5: 6f8e.*
+ 228e6: 0c8e.*
+ 228e7: 6f96.*
+ 228e8: 0c8e.*
+ 228e9: 6f9e.*
+ 228ea: 0c8e.*
+ 228eb: 6fa6.*
+ 228ec: 0c8e.*
+ 228ed: 6fae.*
+ 228ee: 0c8e.*
+ 228ef: 6fb6.*
+ 228f0: 0c8e.*
+ 228f1: 6fbe.*
+ 228f2: 0c8e.*
+ 228f3: 6fc6.*
+ 228f4: 0c8e.*
+ 228f5: 6fce.*
+ 228f6: 0c8e.*
+ 228f7: 6fd6.*
+ 228f8: 0c8e.*
+ 228f9: 6fde.*
+ 228fa: 0c8e.*
+ 228fb: 6fe6.*
+ 228fc: 43d0.*
+ 228fd: 0c8e.*
+ 228fe: 6fee.*
+ 228ff: 43d1.*
+ 22900: 0c8e.*
+ 22901: 6ff6.*
+ 22902: 43d2.*
+ 22903: 0c8e.*
+ 22904: 6ff8.*
+ 22905: 43d3.*
+ 22906: 0c8e.*
+ 22907: 6f87.*
+ 22908: 0c8e.*
+ 22909: 6f8f.*
+ 2290a: 0c8e.*
+ 2290b: 6f97.*
+ 2290c: 0c8e.*
+ 2290d: 6f9f.*
+ 2290e: 0c8e.*
+ 2290f: 6fa7.*
+ 22910: 0c8e.*
+ 22911: 6faf.*
+ 22912: 0c8e.*
+ 22913: 6fb7.*
+ 22914: 0c8e.*
+ 22915: 6fbf.*
+ 22916: 0c8e.*
+ 22917: 6fc7.*
+ 22918: 0c8e.*
+ 22919: 6fcf.*
+ 2291a: 0c8e.*
+ 2291b: 6fd7.*
+ 2291c: 0c8e.*
+ 2291d: 6fdf.*
+ 2291e: 0c8e.*
+ 2291f: 6fe7.*
+ 22920: 43d4.*
+ 22921: 0c8e.*
+ 22922: 6fef.*
+ 22923: 43d5.*
+ 22924: 0c8e.*
+ 22925: 6ff7.*
+ 22926: 43d6.*
+ 22927: 0c8e.*
+ 22928: 6ff8.*
+ 22929: 43d7.*
+ 2292a: 0c8e.*
+ 2292b: 6f80.*
+ 2292c: 0c8f.*
+ 2292d: 6f88.*
+ 2292e: 0c8f.*
+ 2292f: 6f90.*
+ 22930: 0c8f.*
+ 22931: 6f98.*
+ 22932: 0c8f.*
+ 22933: 6fa0.*
+ 22934: 0c8f.*
+ 22935: 6fa8.*
+ 22936: 0c8f.*
+ 22937: 6fb0.*
+ 22938: 0c8f.*
+ 22939: 6fb8.*
+ 2293a: 0c8f.*
+ 2293b: 6fc0.*
+ 2293c: 0c8f.*
+ 2293d: 6fc8.*
+ 2293e: 0c8f.*
+ 2293f: 6fd0.*
+ 22940: 0c8f.*
+ 22941: 6fd8.*
+ 22942: 0c8f.*
+ 22943: 6fe0.*
+ 22944: 43d8.*
+ 22945: 0c8f.*
+ 22946: 6fe8.*
+ 22947: 43d9.*
+ 22948: 0c8f.*
+ 22949: 6ff0.*
+ 2294a: 43da.*
+ 2294b: 0c8f.*
+ 2294c: 6ff8.*
+ 2294d: 43db.*
+ 2294e: 0c8f.*
+ 2294f: 6f81.*
+ 22950: 0c8f.*
+ 22951: 6f89.*
+ 22952: 0c8f.*
+ 22953: 6f91.*
+ 22954: 0c8f.*
+ 22955: 6f99.*
+ 22956: 0c8f.*
+ 22957: 6fa1.*
+ 22958: 0c8f.*
+ 22959: 6fa9.*
+ 2295a: 0c8f.*
+ 2295b: 6fb1.*
+ 2295c: 0c8f.*
+ 2295d: 6fb9.*
+ 2295e: 0c8f.*
+ 2295f: 6fc1.*
+ 22960: 0c8f.*
+ 22961: 6fc9.*
+ 22962: 0c8f.*
+ 22963: 6fd1.*
+ 22964: 0c8f.*
+ 22965: 6fd9.*
+ 22966: 0c8f.*
+ 22967: 6fe1.*
+ 22968: 43dc.*
+ 22969: 0c8f.*
+ 2296a: 6fe9.*
+ 2296b: 43dd.*
+ 2296c: 0c8f.*
+ 2296d: 6ff1.*
+ 2296e: 43de.*
+ 2296f: 0c8f.*
+ 22970: 6ff8.*
+ 22971: 43df.*
+ 22972: 0c8f.*
+ 22973: 980f.*
+ 22974: 984f.*
+ 22975: 988f.*
+ 22976: 6f9a.*
+ 22977: 0c8f.*
+ 22978: 6fa2.*
+ 22979: 0c8f.*
+ 2297a: 6faa.*
+ 2297b: 0c8f.*
+ 2297c: 6fb2.*
+ 2297d: 0c8f.*
+ 2297e: 6fba.*
+ 2297f: 0c8f.*
+ 22980: 6fc2.*
+ 22981: 0c8f.*
+ 22982: 6fca.*
+ 22983: 0c8f.*
+ 22984: 6fd2.*
+ 22985: 0c8f.*
+ 22986: 98cf.*
+ 22987: 6fe2.*
+ 22988: 43e0.*
+ 22989: 0c8f.*
+ 2298a: 6fea.*
+ 2298b: 43e1.*
+ 2298c: 0c8f.*
+ 2298d: 6ff2.*
+ 2298e: 43e2.*
+ 2298f: 0c8f.*
+ 22990: 6ff8.*
+ 22991: 43e3.*
+ 22992: 0c8f.*
+ 22993: 981f.*
+ 22994: 985f.*
+ 22995: 989f.*
+ 22996: 6f9b.*
+ 22997: 0c8f.*
+ 22998: 6fa3.*
+ 22999: 0c8f.*
+ 2299a: 6fab.*
+ 2299b: 0c8f.*
+ 2299c: 6fb3.*
+ 2299d: 0c8f.*
+ 2299e: 6fbb.*
+ 2299f: 0c8f.*
+ 229a0: 6fc3.*
+ 229a1: 0c8f.*
+ 229a2: 6fcb.*
+ 229a3: 0c8f.*
+ 229a4: 6fd3.*
+ 229a5: 0c8f.*
+ 229a6: 98df.*
+ 229a7: 6fe3.*
+ 229a8: 43e4.*
+ 229a9: 0c8f.*
+ 229aa: 6feb.*
+ 229ab: 43e5.*
+ 229ac: 0c8f.*
+ 229ad: 6ff3.*
+ 229ae: 43e6.*
+ 229af: 0c8f.*
+ 229b0: 6ff8.*
+ 229b1: 43e7.*
+ 229b2: 0c8f.*
+ 229b3: 982f.*
+ 229b4: 986f.*
+ 229b5: 98af.*
+ 229b6: 6f9c.*
+ 229b7: 0c8f.*
+ 229b8: 6fa4.*
+ 229b9: 0c8f.*
+ 229ba: 6fac.*
+ 229bb: 0c8f.*
+ 229bc: 6fb4.*
+ 229bd: 0c8f.*
+ 229be: 6fbc.*
+ 229bf: 0c8f.*
+ 229c0: 6fc4.*
+ 229c1: 0c8f.*
+ 229c2: 6fcc.*
+ 229c3: 0c8f.*
+ 229c4: 6fd4.*
+ 229c5: 0c8f.*
+ 229c6: 98ef.*
+ 229c7: 6fe4.*
+ 229c8: 43e8.*
+ 229c9: 0c8f.*
+ 229ca: 6fec.*
+ 229cb: 43e9.*
+ 229cc: 0c8f.*
+ 229cd: 6ff4.*
+ 229ce: 43ea.*
+ 229cf: 0c8f.*
+ 229d0: 6ff8.*
+ 229d1: 43eb.*
+ 229d2: 0c8f.*
+ 229d3: 983f.*
+ 229d4: 987f.*
+ 229d5: 98bf.*
+ 229d6: 6f9d.*
+ 229d7: 0c8f.*
+ 229d8: 6fa5.*
+ 229d9: 0c8f.*
+ 229da: 6fad.*
+ 229db: 0c8f.*
+ 229dc: 6fb5.*
+ 229dd: 0c8f.*
+ 229de: 6fbd.*
+ 229df: 0c8f.*
+ 229e0: 6fc5.*
+ 229e1: 0c8f.*
+ 229e2: 6fcd.*
+ 229e3: 0c8f.*
+ 229e4: 6fd5.*
+ 229e5: 0c8f.*
+ 229e6: 98ff.*
+ 229e7: 6fe5.*
+ 229e8: 43ec.*
+ 229e9: 0c8f.*
+ 229ea: 6fed.*
+ 229eb: 43ed.*
+ 229ec: 0c8f.*
+ 229ed: 6ff5.*
+ 229ee: 43ee.*
+ 229ef: 0c8f.*
+ 229f0: 6ff8.*
+ 229f1: 43ef.*
+ 229f2: 0c8f.*
+ 229f3: 6f86.*
+ 229f4: 0c8f.*
+ 229f5: 6f8e.*
+ 229f6: 0c8f.*
+ 229f7: 6f96.*
+ 229f8: 0c8f.*
+ 229f9: 6f9e.*
+ 229fa: 0c8f.*
+ 229fb: 6fa6.*
+ 229fc: 0c8f.*
+ 229fd: 6fae.*
+ 229fe: 0c8f.*
+ 229ff: 6fb6.*
+ 22a00: 0c8f.*
+ 22a01: 6fbe.*
+ 22a02: 0c8f.*
+ 22a03: 6fc6.*
+ 22a04: 0c8f.*
+ 22a05: 6fce.*
+ 22a06: 0c8f.*
+ 22a07: 6fd6.*
+ 22a08: 0c8f.*
+ 22a09: 6fde.*
+ 22a0a: 0c8f.*
+ 22a0b: 6fe6.*
+ 22a0c: 43f0.*
+ 22a0d: 0c8f.*
+ 22a0e: 6fee.*
+ 22a0f: 43f1.*
+ 22a10: 0c8f.*
+ 22a11: 6ff6.*
+ 22a12: 43f2.*
+ 22a13: 0c8f.*
+ 22a14: 6ff8.*
+ 22a15: 43f3.*
+ 22a16: 0c8f.*
+ 22a17: 6f87.*
+ 22a18: 0c8f.*
+ 22a19: 6f8f.*
+ 22a1a: 0c8f.*
+ 22a1b: 6f97.*
+ 22a1c: 0c8f.*
+ 22a1d: 6f9f.*
+ 22a1e: 0c8f.*
+ 22a1f: 6fa7.*
+ 22a20: 0c8f.*
+ 22a21: 6faf.*
+ 22a22: 0c8f.*
+ 22a23: 6fb7.*
+ 22a24: 0c8f.*
+ 22a25: 6fbf.*
+ 22a26: 0c8f.*
+ 22a27: 6fc7.*
+ 22a28: 0c8f.*
+ 22a29: 6fcf.*
+ 22a2a: 0c8f.*
+ 22a2b: 6fd7.*
+ 22a2c: 0c8f.*
+ 22a2d: 6fdf.*
+ 22a2e: 0c8f.*
+ 22a2f: 6fe7.*
+ 22a30: 43f4.*
+ 22a31: 0c8f.*
+ 22a32: 6fef.*
+ 22a33: 43f5.*
+ 22a34: 0c8f.*
+ 22a35: 6ff7.*
+ 22a36: 43f6.*
+ 22a37: 0c8f.*
+ 22a38: 6ff8.*
+ 22a39: 43f7.*
+ 22a3a: 0c8f.*
+ 22a3b: 8180.*
+ 22a3c: 8188.*
+ 22a3d: 8190.*
+ 22a3e: 8198.*
+ 22a3f: 81a0.*
+ 22a40: 81a8.*
+ 22a41: 81b0.*
+ 22a42: 81b8.*
+ 22a43: 81c0.*
+ 22a44: 81c8.*
+ 22a45: 81d0.*
+ 22a46: 81d8.*
+ 22a47: 81e0.*
+ 22a48: 43f8.*
+ 22a49: 81e8.*
+ 22a4a: 43f9.*
+ 22a4b: 81f0.*
+ 22a4c: 43fa.*
+ 22a4d: 81f8.*
+ 22a4e: 43fb.*
+ 22a4f: 8181.*
+ 22a50: 8189.*
+ 22a51: 8191.*
+ 22a52: 8199.*
+ 22a53: 81a1.*
+ 22a54: 81a9.*
+ 22a55: 81b1.*
+ 22a56: 81b9.*
+ 22a57: 81c1.*
+ 22a58: 81c9.*
+ 22a59: 81d1.*
+ 22a5a: 81d9.*
+ 22a5b: 81e1.*
+ 22a5c: 43fc.*
+ 22a5d: 81e9.*
+ 22a5e: 43fd.*
+ 22a5f: 81f1.*
+ 22a60: 43fe.*
+ 22a61: 81f8.*
+ 22a62: 43ff.*
+ 22a63: 8182.*
+ 22a64: 818a.*
+ 22a65: 8192.*
+ 22a66: 819a.*
+ 22a67: 81a2.*
+ 22a68: 81aa.*
+ 22a69: 81b2.*
+ 22a6a: 81ba.*
+ 22a6b: 81c2.*
+ 22a6c: 81ca.*
+ 22a6d: 81d2.*
+ 22a6e: 81da.*
+ 22a6f: 81e2.*
+ 22a70: 4400.*
+ 22a71: 81ea.*
+ 22a72: 4401.*
+ 22a73: 81f2.*
+ 22a74: 4402.*
+ 22a75: 81f8.*
+ 22a76: 4403.*
+ 22a77: 8183.*
+ 22a78: 818b.*
+ 22a79: 8193.*
+ 22a7a: 819b.*
+ 22a7b: 81a3.*
+ 22a7c: 81ab.*
+ 22a7d: 81b3.*
+ 22a7e: 81bb.*
+ 22a7f: 81c3.*
+ 22a80: 81cb.*
+ 22a81: 81d3.*
+ 22a82: 81db.*
+ 22a83: 81e3.*
+ 22a84: 4404.*
+ 22a85: 81eb.*
+ 22a86: 4405.*
+ 22a87: 81f3.*
+ 22a88: 4406.*
+ 22a89: 81f8.*
+ 22a8a: 4407.*
+ 22a8b: 8184.*
+ 22a8c: 818c.*
+ 22a8d: 8194.*
+ 22a8e: 819c.*
+ 22a8f: 81a4.*
+ 22a90: 81ac.*
+ 22a91: 81b4.*
+ 22a92: 81bc.*
+ 22a93: 81c4.*
+ 22a94: 81cc.*
+ 22a95: 81d4.*
+ 22a96: 81dc.*
+ 22a97: 81e4.*
+ 22a98: 4408.*
+ 22a99: 81ec.*
+ 22a9a: 4409.*
+ 22a9b: 81f4.*
+ 22a9c: 440a.*
+ 22a9d: 81f8.*
+ 22a9e: 440b.*
+ 22a9f: 8185.*
+ 22aa0: 818d.*
+ 22aa1: 8195.*
+ 22aa2: 819d.*
+ 22aa3: 81a5.*
+ 22aa4: 81ad.*
+ 22aa5: 81b5.*
+ 22aa6: 81bd.*
+ 22aa7: 81c5.*
+ 22aa8: 81cd.*
+ 22aa9: 81d5.*
+ 22aaa: 81dd.*
+ 22aab: 81e5.*
+ 22aac: 440c.*
+ 22aad: 81ed.*
+ 22aae: 440d.*
+ 22aaf: 81f5.*
+ 22ab0: 440e.*
+ 22ab1: 81f8.*
+ 22ab2: 440f.*
+ 22ab3: 8186.*
+ 22ab4: 818e.*
+ 22ab5: 8196.*
+ 22ab6: 819e.*
+ 22ab7: 81a6.*
+ 22ab8: 81ae.*
+ 22ab9: 81b6.*
+ 22aba: 81be.*
+ 22abb: 81c6.*
+ 22abc: 81ce.*
+ 22abd: 81d6.*
+ 22abe: 81de.*
+ 22abf: 81e6.*
+ 22ac0: 4410.*
+ 22ac1: 81ee.*
+ 22ac2: 4411.*
+ 22ac3: 81f6.*
+ 22ac4: 4412.*
+ 22ac5: 81f8.*
+ 22ac6: 4413.*
+ 22ac7: 8187.*
+ 22ac8: 818f.*
+ 22ac9: 8197.*
+ 22aca: 819f.*
+ 22acb: 81a7.*
+ 22acc: 81af.*
+ 22acd: 81b7.*
+ 22ace: 81bf.*
+ 22acf: 81c7.*
+ 22ad0: 81cf.*
+ 22ad1: 81d7.*
+ 22ad2: 81df.*
+ 22ad3: 81e7.*
+ 22ad4: 4414.*
+ 22ad5: 81ef.*
+ 22ad6: 4415.*
+ 22ad7: 81f7.*
+ 22ad8: 4416.*
+ 22ad9: 81f8.*
+ 22ada: 4417.*
+ 22adb: 6f80.*
+ 22adc: 0d90.*
+ 22add: 6f88.*
+ 22ade: 0d90.*
+ 22adf: 6f90.*
+ 22ae0: 0d90.*
+ 22ae1: 6f98.*
+ 22ae2: 0d90.*
+ 22ae3: 6fa0.*
+ 22ae4: 0d90.*
+ 22ae5: 6fa8.*
+ 22ae6: 0d90.*
+ 22ae7: 6fb0.*
+ 22ae8: 0d90.*
+ 22ae9: 6fb8.*
+ 22aea: 0d90.*
+ 22aeb: 6fc0.*
+ 22aec: 0d90.*
+ 22aed: 6fc8.*
+ 22aee: 0d90.*
+ 22aef: 6fd0.*
+ 22af0: 0d90.*
+ 22af1: 6fd8.*
+ 22af2: 0d90.*
+ 22af3: 6fe0.*
+ 22af4: 4418.*
+ 22af5: 0d90.*
+ 22af6: 6fe8.*
+ 22af7: 4419.*
+ 22af8: 0d90.*
+ 22af9: 6ff0.*
+ 22afa: 441a.*
+ 22afb: 0d90.*
+ 22afc: 6ff8.*
+ 22afd: 441b.*
+ 22afe: 0d90.*
+ 22aff: 6f81.*
+ 22b00: 0d90.*
+ 22b01: 6f89.*
+ 22b02: 0d90.*
+ 22b03: 6f91.*
+ 22b04: 0d90.*
+ 22b05: 6f99.*
+ 22b06: 0d90.*
+ 22b07: 6fa1.*
+ 22b08: 0d90.*
+ 22b09: 6fa9.*
+ 22b0a: 0d90.*
+ 22b0b: 6fb1.*
+ 22b0c: 0d90.*
+ 22b0d: 6fb9.*
+ 22b0e: 0d90.*
+ 22b0f: 6fc1.*
+ 22b10: 0d90.*
+ 22b11: 6fc9.*
+ 22b12: 0d90.*
+ 22b13: 6fd1.*
+ 22b14: 0d90.*
+ 22b15: 6fd9.*
+ 22b16: 0d90.*
+ 22b17: 6fe1.*
+ 22b18: 441c.*
+ 22b19: 0d90.*
+ 22b1a: 6fe9.*
+ 22b1b: 441d.*
+ 22b1c: 0d90.*
+ 22b1d: 6ff1.*
+ 22b1e: 441e.*
+ 22b1f: 0d90.*
+ 22b20: 6ff8.*
+ 22b21: 441f.*
+ 22b22: 0d90.*
+ 22b23: 6f82.*
+ 22b24: 0d90.*
+ 22b25: 6f8a.*
+ 22b26: 0d90.*
+ 22b27: 6f92.*
+ 22b28: 0d90.*
+ 22b29: 6f9a.*
+ 22b2a: 0d90.*
+ 22b2b: 6fa2.*
+ 22b2c: 0d90.*
+ 22b2d: 6faa.*
+ 22b2e: 0d90.*
+ 22b2f: 6fb2.*
+ 22b30: 0d90.*
+ 22b31: 6fba.*
+ 22b32: 0d90.*
+ 22b33: 6fc2.*
+ 22b34: 0d90.*
+ 22b35: 6fca.*
+ 22b36: 0d90.*
+ 22b37: 6fd2.*
+ 22b38: 0d90.*
+ 22b39: 6fda.*
+ 22b3a: 0d90.*
+ 22b3b: 6fe2.*
+ 22b3c: 4420.*
+ 22b3d: 0d90.*
+ 22b3e: 6fea.*
+ 22b3f: 4421.*
+ 22b40: 0d90.*
+ 22b41: 6ff2.*
+ 22b42: 4422.*
+ 22b43: 0d90.*
+ 22b44: 6ff8.*
+ 22b45: 4423.*
+ 22b46: 0d90.*
+ 22b47: 6f83.*
+ 22b48: 0d90.*
+ 22b49: 6f8b.*
+ 22b4a: 0d90.*
+ 22b4b: 6f93.*
+ 22b4c: 0d90.*
+ 22b4d: 6f9b.*
+ 22b4e: 0d90.*
+ 22b4f: 6fa3.*
+ 22b50: 0d90.*
+ 22b51: 6fab.*
+ 22b52: 0d90.*
+ 22b53: 6fb3.*
+ 22b54: 0d90.*
+ 22b55: 6fbb.*
+ 22b56: 0d90.*
+ 22b57: 6fc3.*
+ 22b58: 0d90.*
+ 22b59: 6fcb.*
+ 22b5a: 0d90.*
+ 22b5b: 6fd3.*
+ 22b5c: 0d90.*
+ 22b5d: 6fdb.*
+ 22b5e: 0d90.*
+ 22b5f: 6fe3.*
+ 22b60: 4424.*
+ 22b61: 0d90.*
+ 22b62: 6feb.*
+ 22b63: 4425.*
+ 22b64: 0d90.*
+ 22b65: 6ff3.*
+ 22b66: 4426.*
+ 22b67: 0d90.*
+ 22b68: 6ff8.*
+ 22b69: 4427.*
+ 22b6a: 0d90.*
+ 22b6b: 6f84.*
+ 22b6c: 0d90.*
+ 22b6d: 6f8c.*
+ 22b6e: 0d90.*
+ 22b6f: 6f94.*
+ 22b70: 0d90.*
+ 22b71: 6f9c.*
+ 22b72: 0d90.*
+ 22b73: 6fa4.*
+ 22b74: 0d90.*
+ 22b75: 6fac.*
+ 22b76: 0d90.*
+ 22b77: 6fb4.*
+ 22b78: 0d90.*
+ 22b79: 6fbc.*
+ 22b7a: 0d90.*
+ 22b7b: 6fc4.*
+ 22b7c: 0d90.*
+ 22b7d: 6fcc.*
+ 22b7e: 0d90.*
+ 22b7f: 6fd4.*
+ 22b80: 0d90.*
+ 22b81: 6fdc.*
+ 22b82: 0d90.*
+ 22b83: 6fe4.*
+ 22b84: 4428.*
+ 22b85: 0d90.*
+ 22b86: 6fec.*
+ 22b87: 4429.*
+ 22b88: 0d90.*
+ 22b89: 6ff4.*
+ 22b8a: 442a.*
+ 22b8b: 0d90.*
+ 22b8c: 6ff8.*
+ 22b8d: 442b.*
+ 22b8e: 0d90.*
+ 22b8f: 6f85.*
+ 22b90: 0d90.*
+ 22b91: 6f8d.*
+ 22b92: 0d90.*
+ 22b93: 6f95.*
+ 22b94: 0d90.*
+ 22b95: 6f9d.*
+ 22b96: 0d90.*
+ 22b97: 6fa5.*
+ 22b98: 0d90.*
+ 22b99: 6fad.*
+ 22b9a: 0d90.*
+ 22b9b: 6fb5.*
+ 22b9c: 0d90.*
+ 22b9d: 6fbd.*
+ 22b9e: 0d90.*
+ 22b9f: 6fc5.*
+ 22ba0: 0d90.*
+ 22ba1: 6fcd.*
+ 22ba2: 0d90.*
+ 22ba3: 6fd5.*
+ 22ba4: 0d90.*
+ 22ba5: 6fdd.*
+ 22ba6: 0d90.*
+ 22ba7: 6fe5.*
+ 22ba8: 442c.*
+ 22ba9: 0d90.*
+ 22baa: 6fed.*
+ 22bab: 442d.*
+ 22bac: 0d90.*
+ 22bad: 6ff5.*
+ 22bae: 442e.*
+ 22baf: 0d90.*
+ 22bb0: 6ff8.*
+ 22bb1: 442f.*
+ 22bb2: 0d90.*
+ 22bb3: 6f86.*
+ 22bb4: 0d90.*
+ 22bb5: 6f8e.*
+ 22bb6: 0d90.*
+ 22bb7: 6f96.*
+ 22bb8: 0d90.*
+ 22bb9: 6f9e.*
+ 22bba: 0d90.*
+ 22bbb: 6fa6.*
+ 22bbc: 0d90.*
+ 22bbd: 6fae.*
+ 22bbe: 0d90.*
+ 22bbf: 6fb6.*
+ 22bc0: 0d90.*
+ 22bc1: 6fbe.*
+ 22bc2: 0d90.*
+ 22bc3: 6fc6.*
+ 22bc4: 0d90.*
+ 22bc5: 6fce.*
+ 22bc6: 0d90.*
+ 22bc7: 6fd6.*
+ 22bc8: 0d90.*
+ 22bc9: 6fde.*
+ 22bca: 0d90.*
+ 22bcb: 6fe6.*
+ 22bcc: 4430.*
+ 22bcd: 0d90.*
+ 22bce: 6fee.*
+ 22bcf: 4431.*
+ 22bd0: 0d90.*
+ 22bd1: 6ff6.*
+ 22bd2: 4432.*
+ 22bd3: 0d90.*
+ 22bd4: 6ff8.*
+ 22bd5: 4433.*
+ 22bd6: 0d90.*
+ 22bd7: 6f87.*
+ 22bd8: 0d90.*
+ 22bd9: 6f8f.*
+ 22bda: 0d90.*
+ 22bdb: 6f97.*
+ 22bdc: 0d90.*
+ 22bdd: 6f9f.*
+ 22bde: 0d90.*
+ 22bdf: 6fa7.*
+ 22be0: 0d90.*
+ 22be1: 6faf.*
+ 22be2: 0d90.*
+ 22be3: 6fb7.*
+ 22be4: 0d90.*
+ 22be5: 6fbf.*
+ 22be6: 0d90.*
+ 22be7: 6fc7.*
+ 22be8: 0d90.*
+ 22be9: 6fcf.*
+ 22bea: 0d90.*
+ 22beb: 6fd7.*
+ 22bec: 0d90.*
+ 22bed: 6fdf.*
+ 22bee: 0d90.*
+ 22bef: 6fe7.*
+ 22bf0: 4434.*
+ 22bf1: 0d90.*
+ 22bf2: 6fef.*
+ 22bf3: 4435.*
+ 22bf4: 0d90.*
+ 22bf5: 6ff7.*
+ 22bf6: 4436.*
+ 22bf7: 0d90.*
+ 22bf8: 6ff8.*
+ 22bf9: 4437.*
+ 22bfa: 0d90.*
+ 22bfb: 6f80.*
+ 22bfc: 0d91.*
+ 22bfd: 6f88.*
+ 22bfe: 0d91.*
+ 22bff: 6f90.*
+ 22c00: 0d91.*
+ 22c01: 6f98.*
+ 22c02: 0d91.*
+ 22c03: 6fa0.*
+ 22c04: 0d91.*
+ 22c05: 6fa8.*
+ 22c06: 0d91.*
+ 22c07: 6fb0.*
+ 22c08: 0d91.*
+ 22c09: 6fb8.*
+ 22c0a: 0d91.*
+ 22c0b: 6fc0.*
+ 22c0c: 0d91.*
+ 22c0d: 6fc8.*
+ 22c0e: 0d91.*
+ 22c0f: 6fd0.*
+ 22c10: 0d91.*
+ 22c11: 6fd8.*
+ 22c12: 0d91.*
+ 22c13: 6fe0.*
+ 22c14: 4438.*
+ 22c15: 0d91.*
+ 22c16: 6fe8.*
+ 22c17: 4439.*
+ 22c18: 0d91.*
+ 22c19: 6ff0.*
+ 22c1a: 443a.*
+ 22c1b: 0d91.*
+ 22c1c: 6ff8.*
+ 22c1d: 443b.*
+ 22c1e: 0d91.*
+ 22c1f: 6f81.*
+ 22c20: 0d91.*
+ 22c21: 6f89.*
+ 22c22: 0d91.*
+ 22c23: 6f91.*
+ 22c24: 0d91.*
+ 22c25: 6f99.*
+ 22c26: 0d91.*
+ 22c27: 6fa1.*
+ 22c28: 0d91.*
+ 22c29: 6fa9.*
+ 22c2a: 0d91.*
+ 22c2b: 6fb1.*
+ 22c2c: 0d91.*
+ 22c2d: 6fb9.*
+ 22c2e: 0d91.*
+ 22c2f: 6fc1.*
+ 22c30: 0d91.*
+ 22c31: 6fc9.*
+ 22c32: 0d91.*
+ 22c33: 6fd1.*
+ 22c34: 0d91.*
+ 22c35: 6fd9.*
+ 22c36: 0d91.*
+ 22c37: 6fe1.*
+ 22c38: 443c.*
+ 22c39: 0d91.*
+ 22c3a: 6fe9.*
+ 22c3b: 443d.*
+ 22c3c: 0d91.*
+ 22c3d: 6ff1.*
+ 22c3e: 443e.*
+ 22c3f: 0d91.*
+ 22c40: 6ff8.*
+ 22c41: 443f.*
+ 22c42: 0d91.*
+ 22c43: 6f82.*
+ 22c44: 0d91.*
+ 22c45: 6f8a.*
+ 22c46: 0d91.*
+ 22c47: 6f92.*
+ 22c48: 0d91.*
+ 22c49: 6f9a.*
+ 22c4a: 0d91.*
+ 22c4b: 6fa2.*
+ 22c4c: 0d91.*
+ 22c4d: 6faa.*
+ 22c4e: 0d91.*
+ 22c4f: 6fb2.*
+ 22c50: 0d91.*
+ 22c51: 6fba.*
+ 22c52: 0d91.*
+ 22c53: 6fc2.*
+ 22c54: 0d91.*
+ 22c55: 6fca.*
+ 22c56: 0d91.*
+ 22c57: 6fd2.*
+ 22c58: 0d91.*
+ 22c59: 6fda.*
+ 22c5a: 0d91.*
+ 22c5b: 6fe2.*
+ 22c5c: 4440.*
+ 22c5d: 0d91.*
+ 22c5e: 6fea.*
+ 22c5f: 4441.*
+ 22c60: 0d91.*
+ 22c61: 6ff2.*
+ 22c62: 4442.*
+ 22c63: 0d91.*
+ 22c64: 6ff8.*
+ 22c65: 4443.*
+ 22c66: 0d91.*
+ 22c67: 6f83.*
+ 22c68: 0d91.*
+ 22c69: 6f8b.*
+ 22c6a: 0d91.*
+ 22c6b: 6f93.*
+ 22c6c: 0d91.*
+ 22c6d: 6f9b.*
+ 22c6e: 0d91.*
+ 22c6f: 6fa3.*
+ 22c70: 0d91.*
+ 22c71: 6fab.*
+ 22c72: 0d91.*
+ 22c73: 6fb3.*
+ 22c74: 0d91.*
+ 22c75: 6fbb.*
+ 22c76: 0d91.*
+ 22c77: 6fc3.*
+ 22c78: 0d91.*
+ 22c79: 6fcb.*
+ 22c7a: 0d91.*
+ 22c7b: 6fd3.*
+ 22c7c: 0d91.*
+ 22c7d: 6fdb.*
+ 22c7e: 0d91.*
+ 22c7f: 6fe3.*
+ 22c80: 4444.*
+ 22c81: 0d91.*
+ 22c82: 6feb.*
+ 22c83: 4445.*
+ 22c84: 0d91.*
+ 22c85: 6ff3.*
+ 22c86: 4446.*
+ 22c87: 0d91.*
+ 22c88: 6ff8.*
+ 22c89: 4447.*
+ 22c8a: 0d91.*
+ 22c8b: 6f84.*
+ 22c8c: 0d91.*
+ 22c8d: 6f8c.*
+ 22c8e: 0d91.*
+ 22c8f: 6f94.*
+ 22c90: 0d91.*
+ 22c91: 6f9c.*
+ 22c92: 0d91.*
+ 22c93: 6fa4.*
+ 22c94: 0d91.*
+ 22c95: 6fac.*
+ 22c96: 0d91.*
+ 22c97: 6fb4.*
+ 22c98: 0d91.*
+ 22c99: 6fbc.*
+ 22c9a: 0d91.*
+ 22c9b: 6fc4.*
+ 22c9c: 0d91.*
+ 22c9d: 6fcc.*
+ 22c9e: 0d91.*
+ 22c9f: 6fd4.*
+ 22ca0: 0d91.*
+ 22ca1: 6fdc.*
+ 22ca2: 0d91.*
+ 22ca3: 6fe4.*
+ 22ca4: 4448.*
+ 22ca5: 0d91.*
+ 22ca6: 6fec.*
+ 22ca7: 4449.*
+ 22ca8: 0d91.*
+ 22ca9: 6ff4.*
+ 22caa: 444a.*
+ 22cab: 0d91.*
+ 22cac: 6ff8.*
+ 22cad: 444b.*
+ 22cae: 0d91.*
+ 22caf: 6f85.*
+ 22cb0: 0d91.*
+ 22cb1: 6f8d.*
+ 22cb2: 0d91.*
+ 22cb3: 6f95.*
+ 22cb4: 0d91.*
+ 22cb5: 6f9d.*
+ 22cb6: 0d91.*
+ 22cb7: 6fa5.*
+ 22cb8: 0d91.*
+ 22cb9: 6fad.*
+ 22cba: 0d91.*
+ 22cbb: 6fb5.*
+ 22cbc: 0d91.*
+ 22cbd: 6fbd.*
+ 22cbe: 0d91.*
+ 22cbf: 6fc5.*
+ 22cc0: 0d91.*
+ 22cc1: 6fcd.*
+ 22cc2: 0d91.*
+ 22cc3: 6fd5.*
+ 22cc4: 0d91.*
+ 22cc5: 6fdd.*
+ 22cc6: 0d91.*
+ 22cc7: 6fe5.*
+ 22cc8: 444c.*
+ 22cc9: 0d91.*
+ 22cca: 6fed.*
+ 22ccb: 444d.*
+ 22ccc: 0d91.*
+ 22ccd: 6ff5.*
+ 22cce: 444e.*
+ 22ccf: 0d91.*
+ 22cd0: 6ff8.*
+ 22cd1: 444f.*
+ 22cd2: 0d91.*
+ 22cd3: 6f86.*
+ 22cd4: 0d91.*
+ 22cd5: 6f8e.*
+ 22cd6: 0d91.*
+ 22cd7: 6f96.*
+ 22cd8: 0d91.*
+ 22cd9: 6f9e.*
+ 22cda: 0d91.*
+ 22cdb: 6fa6.*
+ 22cdc: 0d91.*
+ 22cdd: 6fae.*
+ 22cde: 0d91.*
+ 22cdf: 6fb6.*
+ 22ce0: 0d91.*
+ 22ce1: 6fbe.*
+ 22ce2: 0d91.*
+ 22ce3: 6fc6.*
+ 22ce4: 0d91.*
+ 22ce5: 6fce.*
+ 22ce6: 0d91.*
+ 22ce7: 6fd6.*
+ 22ce8: 0d91.*
+ 22ce9: 6fde.*
+ 22cea: 0d91.*
+ 22ceb: 6fe6.*
+ 22cec: 4450.*
+ 22ced: 0d91.*
+ 22cee: 6fee.*
+ 22cef: 4451.*
+ 22cf0: 0d91.*
+ 22cf1: 6ff6.*
+ 22cf2: 4452.*
+ 22cf3: 0d91.*
+ 22cf4: 6ff8.*
+ 22cf5: 4453.*
+ 22cf6: 0d91.*
+ 22cf7: 6f87.*
+ 22cf8: 0d91.*
+ 22cf9: 6f8f.*
+ 22cfa: 0d91.*
+ 22cfb: 6f97.*
+ 22cfc: 0d91.*
+ 22cfd: 6f9f.*
+ 22cfe: 0d91.*
+ 22cff: 6fa7.*
+ 22d00: 0d91.*
+ 22d01: 6faf.*
+ 22d02: 0d91.*
+ 22d03: 6fb7.*
+ 22d04: 0d91.*
+ 22d05: 6fbf.*
+ 22d06: 0d91.*
+ 22d07: 6fc7.*
+ 22d08: 0d91.*
+ 22d09: 6fcf.*
+ 22d0a: 0d91.*
+ 22d0b: 6fd7.*
+ 22d0c: 0d91.*
+ 22d0d: 6fdf.*
+ 22d0e: 0d91.*
+ 22d0f: 6fe7.*
+ 22d10: 4454.*
+ 22d11: 0d91.*
+ 22d12: 6fef.*
+ 22d13: 4455.*
+ 22d14: 0d91.*
+ 22d15: 6ff7.*
+ 22d16: 4456.*
+ 22d17: 0d91.*
+ 22d18: 6ff8.*
+ 22d19: 4457.*
+ 22d1a: 0d91.*
+ 22d1b: 6f80.*
+ 22d1c: 0d92.*
+ 22d1d: 6f88.*
+ 22d1e: 0d92.*
+ 22d1f: 6f90.*
+ 22d20: 0d92.*
+ 22d21: 6f98.*
+ 22d22: 0d92.*
+ 22d23: 6fa0.*
+ 22d24: 0d92.*
+ 22d25: 6fa8.*
+ 22d26: 0d92.*
+ 22d27: 6fb0.*
+ 22d28: 0d92.*
+ 22d29: 6fb8.*
+ 22d2a: 0d92.*
+ 22d2b: 6fc0.*
+ 22d2c: 0d92.*
+ 22d2d: 6fc8.*
+ 22d2e: 0d92.*
+ 22d2f: 6fd0.*
+ 22d30: 0d92.*
+ 22d31: 6fd8.*
+ 22d32: 0d92.*
+ 22d33: 6fe0.*
+ 22d34: 4458.*
+ 22d35: 0d92.*
+ 22d36: 6fe8.*
+ 22d37: 4459.*
+ 22d38: 0d92.*
+ 22d39: 6ff0.*
+ 22d3a: 445a.*
+ 22d3b: 0d92.*
+ 22d3c: 6ff8.*
+ 22d3d: 445b.*
+ 22d3e: 0d92.*
+ 22d3f: 6f81.*
+ 22d40: 0d92.*
+ 22d41: 6f89.*
+ 22d42: 0d92.*
+ 22d43: 6f91.*
+ 22d44: 0d92.*
+ 22d45: 6f99.*
+ 22d46: 0d92.*
+ 22d47: 6fa1.*
+ 22d48: 0d92.*
+ 22d49: 6fa9.*
+ 22d4a: 0d92.*
+ 22d4b: 6fb1.*
+ 22d4c: 0d92.*
+ 22d4d: 6fb9.*
+ 22d4e: 0d92.*
+ 22d4f: 6fc1.*
+ 22d50: 0d92.*
+ 22d51: 6fc9.*
+ 22d52: 0d92.*
+ 22d53: 6fd1.*
+ 22d54: 0d92.*
+ 22d55: 6fd9.*
+ 22d56: 0d92.*
+ 22d57: 6fe1.*
+ 22d58: 445c.*
+ 22d59: 0d92.*
+ 22d5a: 6fe9.*
+ 22d5b: 445d.*
+ 22d5c: 0d92.*
+ 22d5d: 6ff1.*
+ 22d5e: 445e.*
+ 22d5f: 0d92.*
+ 22d60: 6ff8.*
+ 22d61: 445f.*
+ 22d62: 0d92.*
+ 22d63: 6f82.*
+ 22d64: 0d92.*
+ 22d65: 6f8a.*
+ 22d66: 0d92.*
+ 22d67: 6f92.*
+ 22d68: 0d92.*
+ 22d69: 6f9a.*
+ 22d6a: 0d92.*
+ 22d6b: 6fa2.*
+ 22d6c: 0d92.*
+ 22d6d: 6faa.*
+ 22d6e: 0d92.*
+ 22d6f: 6fb2.*
+ 22d70: 0d92.*
+ 22d71: 6fba.*
+ 22d72: 0d92.*
+ 22d73: 6fc2.*
+ 22d74: 0d92.*
+ 22d75: 6fca.*
+ 22d76: 0d92.*
+ 22d77: 6fd2.*
+ 22d78: 0d92.*
+ 22d79: 6fda.*
+ 22d7a: 0d92.*
+ 22d7b: 6fe2.*
+ 22d7c: 4460.*
+ 22d7d: 0d92.*
+ 22d7e: 6fea.*
+ 22d7f: 4461.*
+ 22d80: 0d92.*
+ 22d81: 6ff2.*
+ 22d82: 4462.*
+ 22d83: 0d92.*
+ 22d84: 6ff8.*
+ 22d85: 4463.*
+ 22d86: 0d92.*
+ 22d87: 6f83.*
+ 22d88: 0d92.*
+ 22d89: 6f8b.*
+ 22d8a: 0d92.*
+ 22d8b: 6f93.*
+ 22d8c: 0d92.*
+ 22d8d: 6f9b.*
+ 22d8e: 0d92.*
+ 22d8f: 6fa3.*
+ 22d90: 0d92.*
+ 22d91: 6fab.*
+ 22d92: 0d92.*
+ 22d93: 6fb3.*
+ 22d94: 0d92.*
+ 22d95: 6fbb.*
+ 22d96: 0d92.*
+ 22d97: 6fc3.*
+ 22d98: 0d92.*
+ 22d99: 6fcb.*
+ 22d9a: 0d92.*
+ 22d9b: 6fd3.*
+ 22d9c: 0d92.*
+ 22d9d: 6fdb.*
+ 22d9e: 0d92.*
+ 22d9f: 6fe3.*
+ 22da0: 4464.*
+ 22da1: 0d92.*
+ 22da2: 6feb.*
+ 22da3: 4465.*
+ 22da4: 0d92.*
+ 22da5: 6ff3.*
+ 22da6: 4466.*
+ 22da7: 0d92.*
+ 22da8: 6ff8.*
+ 22da9: 4467.*
+ 22daa: 0d92.*
+ 22dab: 6f84.*
+ 22dac: 0d92.*
+ 22dad: 6f8c.*
+ 22dae: 0d92.*
+ 22daf: 6f94.*
+ 22db0: 0d92.*
+ 22db1: 6f9c.*
+ 22db2: 0d92.*
+ 22db3: 6fa4.*
+ 22db4: 0d92.*
+ 22db5: 6fac.*
+ 22db6: 0d92.*
+ 22db7: 6fb4.*
+ 22db8: 0d92.*
+ 22db9: 6fbc.*
+ 22dba: 0d92.*
+ 22dbb: 6fc4.*
+ 22dbc: 0d92.*
+ 22dbd: 6fcc.*
+ 22dbe: 0d92.*
+ 22dbf: 6fd4.*
+ 22dc0: 0d92.*
+ 22dc1: 6fdc.*
+ 22dc2: 0d92.*
+ 22dc3: 6fe4.*
+ 22dc4: 4468.*
+ 22dc5: 0d92.*
+ 22dc6: 6fec.*
+ 22dc7: 4469.*
+ 22dc8: 0d92.*
+ 22dc9: 6ff4.*
+ 22dca: 446a.*
+ 22dcb: 0d92.*
+ 22dcc: 6ff8.*
+ 22dcd: 446b.*
+ 22dce: 0d92.*
+ 22dcf: 6f85.*
+ 22dd0: 0d92.*
+ 22dd1: 6f8d.*
+ 22dd2: 0d92.*
+ 22dd3: 6f95.*
+ 22dd4: 0d92.*
+ 22dd5: 6f9d.*
+ 22dd6: 0d92.*
+ 22dd7: 6fa5.*
+ 22dd8: 0d92.*
+ 22dd9: 6fad.*
+ 22dda: 0d92.*
+ 22ddb: 6fb5.*
+ 22ddc: 0d92.*
+ 22ddd: 6fbd.*
+ 22dde: 0d92.*
+ 22ddf: 6fc5.*
+ 22de0: 0d92.*
+ 22de1: 6fcd.*
+ 22de2: 0d92.*
+ 22de3: 6fd5.*
+ 22de4: 0d92.*
+ 22de5: 6fdd.*
+ 22de6: 0d92.*
+ 22de7: 6fe5.*
+ 22de8: 446c.*
+ 22de9: 0d92.*
+ 22dea: 6fed.*
+ 22deb: 446d.*
+ 22dec: 0d92.*
+ 22ded: 6ff5.*
+ 22dee: 446e.*
+ 22def: 0d92.*
+ 22df0: 6ff8.*
+ 22df1: 446f.*
+ 22df2: 0d92.*
+ 22df3: 6f86.*
+ 22df4: 0d92.*
+ 22df5: 6f8e.*
+ 22df6: 0d92.*
+ 22df7: 6f96.*
+ 22df8: 0d92.*
+ 22df9: 6f9e.*
+ 22dfa: 0d92.*
+ 22dfb: 6fa6.*
+ 22dfc: 0d92.*
+ 22dfd: 6fae.*
+ 22dfe: 0d92.*
+ 22dff: 6fb6.*
+ 22e00: 0d92.*
+ 22e01: 6fbe.*
+ 22e02: 0d92.*
+ 22e03: 6fc6.*
+ 22e04: 0d92.*
+ 22e05: 6fce.*
+ 22e06: 0d92.*
+ 22e07: 6fd6.*
+ 22e08: 0d92.*
+ 22e09: 6fde.*
+ 22e0a: 0d92.*
+ 22e0b: 6fe6.*
+ 22e0c: 4470.*
+ 22e0d: 0d92.*
+ 22e0e: 6fee.*
+ 22e0f: 4471.*
+ 22e10: 0d92.*
+ 22e11: 6ff6.*
+ 22e12: 4472.*
+ 22e13: 0d92.*
+ 22e14: 6ff8.*
+ 22e15: 4473.*
+ 22e16: 0d92.*
+ 22e17: 6f87.*
+ 22e18: 0d92.*
+ 22e19: 6f8f.*
+ 22e1a: 0d92.*
+ 22e1b: 6f97.*
+ 22e1c: 0d92.*
+ 22e1d: 6f9f.*
+ 22e1e: 0d92.*
+ 22e1f: 6fa7.*
+ 22e20: 0d92.*
+ 22e21: 6faf.*
+ 22e22: 0d92.*
+ 22e23: 6fb7.*
+ 22e24: 0d92.*
+ 22e25: 6fbf.*
+ 22e26: 0d92.*
+ 22e27: 6fc7.*
+ 22e28: 0d92.*
+ 22e29: 6fcf.*
+ 22e2a: 0d92.*
+ 22e2b: 6fd7.*
+ 22e2c: 0d92.*
+ 22e2d: 6fdf.*
+ 22e2e: 0d92.*
+ 22e2f: 6fe7.*
+ 22e30: 4474.*
+ 22e31: 0d92.*
+ 22e32: 6fef.*
+ 22e33: 4475.*
+ 22e34: 0d92.*
+ 22e35: 6ff7.*
+ 22e36: 4476.*
+ 22e37: 0d92.*
+ 22e38: 6ff8.*
+ 22e39: 4477.*
+ 22e3a: 0d92.*
+ 22e3b: 6f80.*
+ 22e3c: 0d93.*
+ 22e3d: 6f88.*
+ 22e3e: 0d93.*
+ 22e3f: 6f90.*
+ 22e40: 0d93.*
+ 22e41: 6f98.*
+ 22e42: 0d93.*
+ 22e43: 6fa0.*
+ 22e44: 0d93.*
+ 22e45: 6fa8.*
+ 22e46: 0d93.*
+ 22e47: 6fb0.*
+ 22e48: 0d93.*
+ 22e49: 6fb8.*
+ 22e4a: 0d93.*
+ 22e4b: 6fc0.*
+ 22e4c: 0d93.*
+ 22e4d: 6fc8.*
+ 22e4e: 0d93.*
+ 22e4f: 6fd0.*
+ 22e50: 0d93.*
+ 22e51: 6fd8.*
+ 22e52: 0d93.*
+ 22e53: 6fe0.*
+ 22e54: 4478.*
+ 22e55: 0d93.*
+ 22e56: 6fe8.*
+ 22e57: 4479.*
+ 22e58: 0d93.*
+ 22e59: 6ff0.*
+ 22e5a: 447a.*
+ 22e5b: 0d93.*
+ 22e5c: 6ff8.*
+ 22e5d: 447b.*
+ 22e5e: 0d93.*
+ 22e5f: 6f81.*
+ 22e60: 0d93.*
+ 22e61: 6f89.*
+ 22e62: 0d93.*
+ 22e63: 6f91.*
+ 22e64: 0d93.*
+ 22e65: 6f99.*
+ 22e66: 0d93.*
+ 22e67: 6fa1.*
+ 22e68: 0d93.*
+ 22e69: 6fa9.*
+ 22e6a: 0d93.*
+ 22e6b: 6fb1.*
+ 22e6c: 0d93.*
+ 22e6d: 6fb9.*
+ 22e6e: 0d93.*
+ 22e6f: 6fc1.*
+ 22e70: 0d93.*
+ 22e71: 6fc9.*
+ 22e72: 0d93.*
+ 22e73: 6fd1.*
+ 22e74: 0d93.*
+ 22e75: 6fd9.*
+ 22e76: 0d93.*
+ 22e77: 6fe1.*
+ 22e78: 447c.*
+ 22e79: 0d93.*
+ 22e7a: 6fe9.*
+ 22e7b: 447d.*
+ 22e7c: 0d93.*
+ 22e7d: 6ff1.*
+ 22e7e: 447e.*
+ 22e7f: 0d93.*
+ 22e80: 6ff8.*
+ 22e81: 447f.*
+ 22e82: 0d93.*
+ 22e83: 6f82.*
+ 22e84: 0d93.*
+ 22e85: 6f8a.*
+ 22e86: 0d93.*
+ 22e87: 6f92.*
+ 22e88: 0d93.*
+ 22e89: 6f9a.*
+ 22e8a: 0d93.*
+ 22e8b: 6fa2.*
+ 22e8c: 0d93.*
+ 22e8d: 6faa.*
+ 22e8e: 0d93.*
+ 22e8f: 6fb2.*
+ 22e90: 0d93.*
+ 22e91: 6fba.*
+ 22e92: 0d93.*
+ 22e93: 6fc2.*
+ 22e94: 0d93.*
+ 22e95: 6fca.*
+ 22e96: 0d93.*
+ 22e97: 6fd2.*
+ 22e98: 0d93.*
+ 22e99: 6fda.*
+ 22e9a: 0d93.*
+ 22e9b: 6fe2.*
+ 22e9c: 4480.*
+ 22e9d: 0d93.*
+ 22e9e: 6fea.*
+ 22e9f: 4481.*
+ 22ea0: 0d93.*
+ 22ea1: 6ff2.*
+ 22ea2: 4482.*
+ 22ea3: 0d93.*
+ 22ea4: 6ff8.*
+ 22ea5: 4483.*
+ 22ea6: 0d93.*
+ 22ea7: 6f83.*
+ 22ea8: 0d93.*
+ 22ea9: 6f8b.*
+ 22eaa: 0d93.*
+ 22eab: 6f93.*
+ 22eac: 0d93.*
+ 22ead: 6f9b.*
+ 22eae: 0d93.*
+ 22eaf: 6fa3.*
+ 22eb0: 0d93.*
+ 22eb1: 6fab.*
+ 22eb2: 0d93.*
+ 22eb3: 6fb3.*
+ 22eb4: 0d93.*
+ 22eb5: 6fbb.*
+ 22eb6: 0d93.*
+ 22eb7: 6fc3.*
+ 22eb8: 0d93.*
+ 22eb9: 6fcb.*
+ 22eba: 0d93.*
+ 22ebb: 6fd3.*
+ 22ebc: 0d93.*
+ 22ebd: 6fdb.*
+ 22ebe: 0d93.*
+ 22ebf: 6fe3.*
+ 22ec0: 4484.*
+ 22ec1: 0d93.*
+ 22ec2: 6feb.*
+ 22ec3: 4485.*
+ 22ec4: 0d93.*
+ 22ec5: 6ff3.*
+ 22ec6: 4486.*
+ 22ec7: 0d93.*
+ 22ec8: 6ff8.*
+ 22ec9: 4487.*
+ 22eca: 0d93.*
+ 22ecb: 6f84.*
+ 22ecc: 0d93.*
+ 22ecd: 6f8c.*
+ 22ece: 0d93.*
+ 22ecf: 6f94.*
+ 22ed0: 0d93.*
+ 22ed1: 6f9c.*
+ 22ed2: 0d93.*
+ 22ed3: 6fa4.*
+ 22ed4: 0d93.*
+ 22ed5: 6fac.*
+ 22ed6: 0d93.*
+ 22ed7: 6fb4.*
+ 22ed8: 0d93.*
+ 22ed9: 6fbc.*
+ 22eda: 0d93.*
+ 22edb: 6fc4.*
+ 22edc: 0d93.*
+ 22edd: 6fcc.*
+ 22ede: 0d93.*
+ 22edf: 6fd4.*
+ 22ee0: 0d93.*
+ 22ee1: 6fdc.*
+ 22ee2: 0d93.*
+ 22ee3: 6fe4.*
+ 22ee4: 4488.*
+ 22ee5: 0d93.*
+ 22ee6: 6fec.*
+ 22ee7: 4489.*
+ 22ee8: 0d93.*
+ 22ee9: 6ff4.*
+ 22eea: 448a.*
+ 22eeb: 0d93.*
+ 22eec: 6ff8.*
+ 22eed: 448b.*
+ 22eee: 0d93.*
+ 22eef: 6f85.*
+ 22ef0: 0d93.*
+ 22ef1: 6f8d.*
+ 22ef2: 0d93.*
+ 22ef3: 6f95.*
+ 22ef4: 0d93.*
+ 22ef5: 6f9d.*
+ 22ef6: 0d93.*
+ 22ef7: 6fa5.*
+ 22ef8: 0d93.*
+ 22ef9: 6fad.*
+ 22efa: 0d93.*
+ 22efb: 6fb5.*
+ 22efc: 0d93.*
+ 22efd: 6fbd.*
+ 22efe: 0d93.*
+ 22eff: 6fc5.*
+ 22f00: 0d93.*
+ 22f01: 6fcd.*
+ 22f02: 0d93.*
+ 22f03: 6fd5.*
+ 22f04: 0d93.*
+ 22f05: 6fdd.*
+ 22f06: 0d93.*
+ 22f07: 6fe5.*
+ 22f08: 448c.*
+ 22f09: 0d93.*
+ 22f0a: 6fed.*
+ 22f0b: 448d.*
+ 22f0c: 0d93.*
+ 22f0d: 6ff5.*
+ 22f0e: 448e.*
+ 22f0f: 0d93.*
+ 22f10: 6ff8.*
+ 22f11: 448f.*
+ 22f12: 0d93.*
+ 22f13: 6f86.*
+ 22f14: 0d93.*
+ 22f15: 6f8e.*
+ 22f16: 0d93.*
+ 22f17: 6f96.*
+ 22f18: 0d93.*
+ 22f19: 6f9e.*
+ 22f1a: 0d93.*
+ 22f1b: 6fa6.*
+ 22f1c: 0d93.*
+ 22f1d: 6fae.*
+ 22f1e: 0d93.*
+ 22f1f: 6fb6.*
+ 22f20: 0d93.*
+ 22f21: 6fbe.*
+ 22f22: 0d93.*
+ 22f23: 6fc6.*
+ 22f24: 0d93.*
+ 22f25: 6fce.*
+ 22f26: 0d93.*
+ 22f27: 6fd6.*
+ 22f28: 0d93.*
+ 22f29: 6fde.*
+ 22f2a: 0d93.*
+ 22f2b: 6fe6.*
+ 22f2c: 4490.*
+ 22f2d: 0d93.*
+ 22f2e: 6fee.*
+ 22f2f: 4491.*
+ 22f30: 0d93.*
+ 22f31: 6ff6.*
+ 22f32: 4492.*
+ 22f33: 0d93.*
+ 22f34: 6ff8.*
+ 22f35: 4493.*
+ 22f36: 0d93.*
+ 22f37: 6f87.*
+ 22f38: 0d93.*
+ 22f39: 6f8f.*
+ 22f3a: 0d93.*
+ 22f3b: 6f97.*
+ 22f3c: 0d93.*
+ 22f3d: 6f9f.*
+ 22f3e: 0d93.*
+ 22f3f: 6fa7.*
+ 22f40: 0d93.*
+ 22f41: 6faf.*
+ 22f42: 0d93.*
+ 22f43: 6fb7.*
+ 22f44: 0d93.*
+ 22f45: 6fbf.*
+ 22f46: 0d93.*
+ 22f47: 6fc7.*
+ 22f48: 0d93.*
+ 22f49: 6fcf.*
+ 22f4a: 0d93.*
+ 22f4b: 6fd7.*
+ 22f4c: 0d93.*
+ 22f4d: 6fdf.*
+ 22f4e: 0d93.*
+ 22f4f: 6fe7.*
+ 22f50: 4494.*
+ 22f51: 0d93.*
+ 22f52: 6fef.*
+ 22f53: 4495.*
+ 22f54: 0d93.*
+ 22f55: 6ff7.*
+ 22f56: 4496.*
+ 22f57: 0d93.*
+ 22f58: 6ff8.*
+ 22f59: 4497.*
+ 22f5a: 0d93.*
+ 22f5b: 6f80.*
+ 22f5c: 0d94.*
+ 22f5d: 6f88.*
+ 22f5e: 0d94.*
+ 22f5f: 6f90.*
+ 22f60: 0d94.*
+ 22f61: 6f98.*
+ 22f62: 0d94.*
+ 22f63: 6fa0.*
+ 22f64: 0d94.*
+ 22f65: 6fa8.*
+ 22f66: 0d94.*
+ 22f67: 6fb0.*
+ 22f68: 0d94.*
+ 22f69: 6fb8.*
+ 22f6a: 0d94.*
+ 22f6b: 6fc0.*
+ 22f6c: 0d94.*
+ 22f6d: 6fc8.*
+ 22f6e: 0d94.*
+ 22f6f: 6fd0.*
+ 22f70: 0d94.*
+ 22f71: 6fd8.*
+ 22f72: 0d94.*
+ 22f73: 6fe0.*
+ 22f74: 4498.*
+ 22f75: 0d94.*
+ 22f76: 6fe8.*
+ 22f77: 4499.*
+ 22f78: 0d94.*
+ 22f79: 6ff0.*
+ 22f7a: 449a.*
+ 22f7b: 0d94.*
+ 22f7c: 6ff8.*
+ 22f7d: 449b.*
+ 22f7e: 0d94.*
+ 22f7f: 6f81.*
+ 22f80: 0d94.*
+ 22f81: 6f89.*
+ 22f82: 0d94.*
+ 22f83: 6f91.*
+ 22f84: 0d94.*
+ 22f85: 6f99.*
+ 22f86: 0d94.*
+ 22f87: 6fa1.*
+ 22f88: 0d94.*
+ 22f89: 6fa9.*
+ 22f8a: 0d94.*
+ 22f8b: 6fb1.*
+ 22f8c: 0d94.*
+ 22f8d: 6fb9.*
+ 22f8e: 0d94.*
+ 22f8f: 6fc1.*
+ 22f90: 0d94.*
+ 22f91: 6fc9.*
+ 22f92: 0d94.*
+ 22f93: 6fd1.*
+ 22f94: 0d94.*
+ 22f95: 6fd9.*
+ 22f96: 0d94.*
+ 22f97: 6fe1.*
+ 22f98: 449c.*
+ 22f99: 0d94.*
+ 22f9a: 6fe9.*
+ 22f9b: 449d.*
+ 22f9c: 0d94.*
+ 22f9d: 6ff1.*
+ 22f9e: 449e.*
+ 22f9f: 0d94.*
+ 22fa0: 6ff8.*
+ 22fa1: 449f.*
+ 22fa2: 0d94.*
+ 22fa3: 6f82.*
+ 22fa4: 0d94.*
+ 22fa5: 6f8a.*
+ 22fa6: 0d94.*
+ 22fa7: 6f92.*
+ 22fa8: 0d94.*
+ 22fa9: 6f9a.*
+ 22faa: 0d94.*
+ 22fab: 6fa2.*
+ 22fac: 0d94.*
+ 22fad: 6faa.*
+ 22fae: 0d94.*
+ 22faf: 6fb2.*
+ 22fb0: 0d94.*
+ 22fb1: 6fba.*
+ 22fb2: 0d94.*
+ 22fb3: 6fc2.*
+ 22fb4: 0d94.*
+ 22fb5: 6fca.*
+ 22fb6: 0d94.*
+ 22fb7: 6fd2.*
+ 22fb8: 0d94.*
+ 22fb9: 6fda.*
+ 22fba: 0d94.*
+ 22fbb: 6fe2.*
+ 22fbc: 44a0.*
+ 22fbd: 0d94.*
+ 22fbe: 6fea.*
+ 22fbf: 44a1.*
+ 22fc0: 0d94.*
+ 22fc1: 6ff2.*
+ 22fc2: 44a2.*
+ 22fc3: 0d94.*
+ 22fc4: 6ff8.*
+ 22fc5: 44a3.*
+ 22fc6: 0d94.*
+ 22fc7: 6f83.*
+ 22fc8: 0d94.*
+ 22fc9: 6f8b.*
+ 22fca: 0d94.*
+ 22fcb: 6f93.*
+ 22fcc: 0d94.*
+ 22fcd: 6f9b.*
+ 22fce: 0d94.*
+ 22fcf: 6fa3.*
+ 22fd0: 0d94.*
+ 22fd1: 6fab.*
+ 22fd2: 0d94.*
+ 22fd3: 6fb3.*
+ 22fd4: 0d94.*
+ 22fd5: 6fbb.*
+ 22fd6: 0d94.*
+ 22fd7: 6fc3.*
+ 22fd8: 0d94.*
+ 22fd9: 6fcb.*
+ 22fda: 0d94.*
+ 22fdb: 6fd3.*
+ 22fdc: 0d94.*
+ 22fdd: 6fdb.*
+ 22fde: 0d94.*
+ 22fdf: 6fe3.*
+ 22fe0: 44a4.*
+ 22fe1: 0d94.*
+ 22fe2: 6feb.*
+ 22fe3: 44a5.*
+ 22fe4: 0d94.*
+ 22fe5: 6ff3.*
+ 22fe6: 44a6.*
+ 22fe7: 0d94.*
+ 22fe8: 6ff8.*
+ 22fe9: 44a7.*
+ 22fea: 0d94.*
+ 22feb: 6f84.*
+ 22fec: 0d94.*
+ 22fed: 6f8c.*
+ 22fee: 0d94.*
+ 22fef: 6f94.*
+ 22ff0: 0d94.*
+ 22ff1: 6f9c.*
+ 22ff2: 0d94.*
+ 22ff3: 6fa4.*
+ 22ff4: 0d94.*
+ 22ff5: 6fac.*
+ 22ff6: 0d94.*
+ 22ff7: 6fb4.*
+ 22ff8: 0d94.*
+ 22ff9: 6fbc.*
+ 22ffa: 0d94.*
+ 22ffb: 6fc4.*
+ 22ffc: 0d94.*
+ 22ffd: 6fcc.*
+ 22ffe: 0d94.*
+ 22fff: 6fd4.*
+ 23000: 0d94.*
+ 23001: 6fdc.*
+ 23002: 0d94.*
+ 23003: 6fe4.*
+ 23004: 44a8.*
+ 23005: 0d94.*
+ 23006: 6fec.*
+ 23007: 44a9.*
+ 23008: 0d94.*
+ 23009: 6ff4.*
+ 2300a: 44aa.*
+ 2300b: 0d94.*
+ 2300c: 6ff8.*
+ 2300d: 44ab.*
+ 2300e: 0d94.*
+ 2300f: 6f85.*
+ 23010: 0d94.*
+ 23011: 6f8d.*
+ 23012: 0d94.*
+ 23013: 6f95.*
+ 23014: 0d94.*
+ 23015: 6f9d.*
+ 23016: 0d94.*
+ 23017: 6fa5.*
+ 23018: 0d94.*
+ 23019: 6fad.*
+ 2301a: 0d94.*
+ 2301b: 6fb5.*
+ 2301c: 0d94.*
+ 2301d: 6fbd.*
+ 2301e: 0d94.*
+ 2301f: 6fc5.*
+ 23020: 0d94.*
+ 23021: 6fcd.*
+ 23022: 0d94.*
+ 23023: 6fd5.*
+ 23024: 0d94.*
+ 23025: 6fdd.*
+ 23026: 0d94.*
+ 23027: 6fe5.*
+ 23028: 44ac.*
+ 23029: 0d94.*
+ 2302a: 6fed.*
+ 2302b: 44ad.*
+ 2302c: 0d94.*
+ 2302d: 6ff5.*
+ 2302e: 44ae.*
+ 2302f: 0d94.*
+ 23030: 6ff8.*
+ 23031: 44af.*
+ 23032: 0d94.*
+ 23033: 6f86.*
+ 23034: 0d94.*
+ 23035: 6f8e.*
+ 23036: 0d94.*
+ 23037: 6f96.*
+ 23038: 0d94.*
+ 23039: 6f9e.*
+ 2303a: 0d94.*
+ 2303b: 6fa6.*
+ 2303c: 0d94.*
+ 2303d: 6fae.*
+ 2303e: 0d94.*
+ 2303f: 6fb6.*
+ 23040: 0d94.*
+ 23041: 6fbe.*
+ 23042: 0d94.*
+ 23043: 6fc6.*
+ 23044: 0d94.*
+ 23045: 6fce.*
+ 23046: 0d94.*
+ 23047: 6fd6.*
+ 23048: 0d94.*
+ 23049: 6fde.*
+ 2304a: 0d94.*
+ 2304b: 6fe6.*
+ 2304c: 44b0.*
+ 2304d: 0d94.*
+ 2304e: 6fee.*
+ 2304f: 44b1.*
+ 23050: 0d94.*
+ 23051: 6ff6.*
+ 23052: 44b2.*
+ 23053: 0d94.*
+ 23054: 6ff8.*
+ 23055: 44b3.*
+ 23056: 0d94.*
+ 23057: 6f87.*
+ 23058: 0d94.*
+ 23059: 6f8f.*
+ 2305a: 0d94.*
+ 2305b: 6f97.*
+ 2305c: 0d94.*
+ 2305d: 6f9f.*
+ 2305e: 0d94.*
+ 2305f: 6fa7.*
+ 23060: 0d94.*
+ 23061: 6faf.*
+ 23062: 0d94.*
+ 23063: 6fb7.*
+ 23064: 0d94.*
+ 23065: 6fbf.*
+ 23066: 0d94.*
+ 23067: 6fc7.*
+ 23068: 0d94.*
+ 23069: 6fcf.*
+ 2306a: 0d94.*
+ 2306b: 6fd7.*
+ 2306c: 0d94.*
+ 2306d: 6fdf.*
+ 2306e: 0d94.*
+ 2306f: 6fe7.*
+ 23070: 44b4.*
+ 23071: 0d94.*
+ 23072: 6fef.*
+ 23073: 44b5.*
+ 23074: 0d94.*
+ 23075: 6ff7.*
+ 23076: 44b6.*
+ 23077: 0d94.*
+ 23078: 6ff8.*
+ 23079: 44b7.*
+ 2307a: 0d94.*
+ 2307b: 6f80.*
+ 2307c: 0d95.*
+ 2307d: 6f88.*
+ 2307e: 0d95.*
+ 2307f: 6f90.*
+ 23080: 0d95.*
+ 23081: 6f98.*
+ 23082: 0d95.*
+ 23083: 6fa0.*
+ 23084: 0d95.*
+ 23085: 6fa8.*
+ 23086: 0d95.*
+ 23087: 6fb0.*
+ 23088: 0d95.*
+ 23089: 6fb8.*
+ 2308a: 0d95.*
+ 2308b: 6fc0.*
+ 2308c: 0d95.*
+ 2308d: 6fc8.*
+ 2308e: 0d95.*
+ 2308f: 6fd0.*
+ 23090: 0d95.*
+ 23091: 6fd8.*
+ 23092: 0d95.*
+ 23093: 6fe0.*
+ 23094: 44b8.*
+ 23095: 0d95.*
+ 23096: 6fe8.*
+ 23097: 44b9.*
+ 23098: 0d95.*
+ 23099: 6ff0.*
+ 2309a: 44ba.*
+ 2309b: 0d95.*
+ 2309c: 6ff8.*
+ 2309d: 44bb.*
+ 2309e: 0d95.*
+ 2309f: 6f81.*
+ 230a0: 0d95.*
+ 230a1: 6f89.*
+ 230a2: 0d95.*
+ 230a3: 6f91.*
+ 230a4: 0d95.*
+ 230a5: 6f99.*
+ 230a6: 0d95.*
+ 230a7: 6fa1.*
+ 230a8: 0d95.*
+ 230a9: 6fa9.*
+ 230aa: 0d95.*
+ 230ab: 6fb1.*
+ 230ac: 0d95.*
+ 230ad: 6fb9.*
+ 230ae: 0d95.*
+ 230af: 6fc1.*
+ 230b0: 0d95.*
+ 230b1: 6fc9.*
+ 230b2: 0d95.*
+ 230b3: 6fd1.*
+ 230b4: 0d95.*
+ 230b5: 6fd9.*
+ 230b6: 0d95.*
+ 230b7: 6fe1.*
+ 230b8: 44bc.*
+ 230b9: 0d95.*
+ 230ba: 6fe9.*
+ 230bb: 44bd.*
+ 230bc: 0d95.*
+ 230bd: 6ff1.*
+ 230be: 44be.*
+ 230bf: 0d95.*
+ 230c0: 6ff8.*
+ 230c1: 44bf.*
+ 230c2: 0d95.*
+ 230c3: 6f82.*
+ 230c4: 0d95.*
+ 230c5: 6f8a.*
+ 230c6: 0d95.*
+ 230c7: 6f92.*
+ 230c8: 0d95.*
+ 230c9: 6f9a.*
+ 230ca: 0d95.*
+ 230cb: 6fa2.*
+ 230cc: 0d95.*
+ 230cd: 6faa.*
+ 230ce: 0d95.*
+ 230cf: 6fb2.*
+ 230d0: 0d95.*
+ 230d1: 6fba.*
+ 230d2: 0d95.*
+ 230d3: 6fc2.*
+ 230d4: 0d95.*
+ 230d5: 6fca.*
+ 230d6: 0d95.*
+ 230d7: 6fd2.*
+ 230d8: 0d95.*
+ 230d9: 6fda.*
+ 230da: 0d95.*
+ 230db: 6fe2.*
+ 230dc: 44c0.*
+ 230dd: 0d95.*
+ 230de: 6fea.*
+ 230df: 44c1.*
+ 230e0: 0d95.*
+ 230e1: 6ff2.*
+ 230e2: 44c2.*
+ 230e3: 0d95.*
+ 230e4: 6ff8.*
+ 230e5: 44c3.*
+ 230e6: 0d95.*
+ 230e7: 6f83.*
+ 230e8: 0d95.*
+ 230e9: 6f8b.*
+ 230ea: 0d95.*
+ 230eb: 6f93.*
+ 230ec: 0d95.*
+ 230ed: 6f9b.*
+ 230ee: 0d95.*
+ 230ef: 6fa3.*
+ 230f0: 0d95.*
+ 230f1: 6fab.*
+ 230f2: 0d95.*
+ 230f3: 6fb3.*
+ 230f4: 0d95.*
+ 230f5: 6fbb.*
+ 230f6: 0d95.*
+ 230f7: 6fc3.*
+ 230f8: 0d95.*
+ 230f9: 6fcb.*
+ 230fa: 0d95.*
+ 230fb: 6fd3.*
+ 230fc: 0d95.*
+ 230fd: 6fdb.*
+ 230fe: 0d95.*
+ 230ff: 6fe3.*
+ 23100: 44c4.*
+ 23101: 0d95.*
+ 23102: 6feb.*
+ 23103: 44c5.*
+ 23104: 0d95.*
+ 23105: 6ff3.*
+ 23106: 44c6.*
+ 23107: 0d95.*
+ 23108: 6ff8.*
+ 23109: 44c7.*
+ 2310a: 0d95.*
+ 2310b: 6f84.*
+ 2310c: 0d95.*
+ 2310d: 6f8c.*
+ 2310e: 0d95.*
+ 2310f: 6f94.*
+ 23110: 0d95.*
+ 23111: 6f9c.*
+ 23112: 0d95.*
+ 23113: 6fa4.*
+ 23114: 0d95.*
+ 23115: 6fac.*
+ 23116: 0d95.*
+ 23117: 6fb4.*
+ 23118: 0d95.*
+ 23119: 6fbc.*
+ 2311a: 0d95.*
+ 2311b: 6fc4.*
+ 2311c: 0d95.*
+ 2311d: 6fcc.*
+ 2311e: 0d95.*
+ 2311f: 6fd4.*
+ 23120: 0d95.*
+ 23121: 6fdc.*
+ 23122: 0d95.*
+ 23123: 6fe4.*
+ 23124: 44c8.*
+ 23125: 0d95.*
+ 23126: 6fec.*
+ 23127: 44c9.*
+ 23128: 0d95.*
+ 23129: 6ff4.*
+ 2312a: 44ca.*
+ 2312b: 0d95.*
+ 2312c: 6ff8.*
+ 2312d: 44cb.*
+ 2312e: 0d95.*
+ 2312f: 6f85.*
+ 23130: 0d95.*
+ 23131: 6f8d.*
+ 23132: 0d95.*
+ 23133: 6f95.*
+ 23134: 0d95.*
+ 23135: 6f9d.*
+ 23136: 0d95.*
+ 23137: 6fa5.*
+ 23138: 0d95.*
+ 23139: 6fad.*
+ 2313a: 0d95.*
+ 2313b: 6fb5.*
+ 2313c: 0d95.*
+ 2313d: 6fbd.*
+ 2313e: 0d95.*
+ 2313f: 6fc5.*
+ 23140: 0d95.*
+ 23141: 6fcd.*
+ 23142: 0d95.*
+ 23143: 6fd5.*
+ 23144: 0d95.*
+ 23145: 6fdd.*
+ 23146: 0d95.*
+ 23147: 6fe5.*
+ 23148: 44cc.*
+ 23149: 0d95.*
+ 2314a: 6fed.*
+ 2314b: 44cd.*
+ 2314c: 0d95.*
+ 2314d: 6ff5.*
+ 2314e: 44ce.*
+ 2314f: 0d95.*
+ 23150: 6ff8.*
+ 23151: 44cf.*
+ 23152: 0d95.*
+ 23153: 6f86.*
+ 23154: 0d95.*
+ 23155: 6f8e.*
+ 23156: 0d95.*
+ 23157: 6f96.*
+ 23158: 0d95.*
+ 23159: 6f9e.*
+ 2315a: 0d95.*
+ 2315b: 6fa6.*
+ 2315c: 0d95.*
+ 2315d: 6fae.*
+ 2315e: 0d95.*
+ 2315f: 6fb6.*
+ 23160: 0d95.*
+ 23161: 6fbe.*
+ 23162: 0d95.*
+ 23163: 6fc6.*
+ 23164: 0d95.*
+ 23165: 6fce.*
+ 23166: 0d95.*
+ 23167: 6fd6.*
+ 23168: 0d95.*
+ 23169: 6fde.*
+ 2316a: 0d95.*
+ 2316b: 6fe6.*
+ 2316c: 44d0.*
+ 2316d: 0d95.*
+ 2316e: 6fee.*
+ 2316f: 44d1.*
+ 23170: 0d95.*
+ 23171: 6ff6.*
+ 23172: 44d2.*
+ 23173: 0d95.*
+ 23174: 6ff8.*
+ 23175: 44d3.*
+ 23176: 0d95.*
+ 23177: 6f87.*
+ 23178: 0d95.*
+ 23179: 6f8f.*
+ 2317a: 0d95.*
+ 2317b: 6f97.*
+ 2317c: 0d95.*
+ 2317d: 6f9f.*
+ 2317e: 0d95.*
+ 2317f: 6fa7.*
+ 23180: 0d95.*
+ 23181: 6faf.*
+ 23182: 0d95.*
+ 23183: 6fb7.*
+ 23184: 0d95.*
+ 23185: 6fbf.*
+ 23186: 0d95.*
+ 23187: 6fc7.*
+ 23188: 0d95.*
+ 23189: 6fcf.*
+ 2318a: 0d95.*
+ 2318b: 6fd7.*
+ 2318c: 0d95.*
+ 2318d: 6fdf.*
+ 2318e: 0d95.*
+ 2318f: 6fe7.*
+ 23190: 44d4.*
+ 23191: 0d95.*
+ 23192: 6fef.*
+ 23193: 44d5.*
+ 23194: 0d95.*
+ 23195: 6ff7.*
+ 23196: 44d6.*
+ 23197: 0d95.*
+ 23198: 6ff8.*
+ 23199: 44d7.*
+ 2319a: 0d95.*
+ 2319b: 6f80.*
+ 2319c: 0d96.*
+ 2319d: 6f88.*
+ 2319e: 0d96.*
+ 2319f: 6f90.*
+ 231a0: 0d96.*
+ 231a1: 6f98.*
+ 231a2: 0d96.*
+ 231a3: 6fa0.*
+ 231a4: 0d96.*
+ 231a5: 6fa8.*
+ 231a6: 0d96.*
+ 231a7: 6fb0.*
+ 231a8: 0d96.*
+ 231a9: 6fb8.*
+ 231aa: 0d96.*
+ 231ab: 6fc0.*
+ 231ac: 0d96.*
+ 231ad: 6fc8.*
+ 231ae: 0d96.*
+ 231af: 6fd0.*
+ 231b0: 0d96.*
+ 231b1: 6fd8.*
+ 231b2: 0d96.*
+ 231b3: 6fe0.*
+ 231b4: 44d8.*
+ 231b5: 0d96.*
+ 231b6: 6fe8.*
+ 231b7: 44d9.*
+ 231b8: 0d96.*
+ 231b9: 6ff0.*
+ 231ba: 44da.*
+ 231bb: 0d96.*
+ 231bc: 6ff8.*
+ 231bd: 44db.*
+ 231be: 0d96.*
+ 231bf: 6f81.*
+ 231c0: 0d96.*
+ 231c1: 6f89.*
+ 231c2: 0d96.*
+ 231c3: 6f91.*
+ 231c4: 0d96.*
+ 231c5: 6f99.*
+ 231c6: 0d96.*
+ 231c7: 6fa1.*
+ 231c8: 0d96.*
+ 231c9: 6fa9.*
+ 231ca: 0d96.*
+ 231cb: 6fb1.*
+ 231cc: 0d96.*
+ 231cd: 6fb9.*
+ 231ce: 0d96.*
+ 231cf: 6fc1.*
+ 231d0: 0d96.*
+ 231d1: 6fc9.*
+ 231d2: 0d96.*
+ 231d3: 6fd1.*
+ 231d4: 0d96.*
+ 231d5: 6fd9.*
+ 231d6: 0d96.*
+ 231d7: 6fe1.*
+ 231d8: 44dc.*
+ 231d9: 0d96.*
+ 231da: 6fe9.*
+ 231db: 44dd.*
+ 231dc: 0d96.*
+ 231dd: 6ff1.*
+ 231de: 44de.*
+ 231df: 0d96.*
+ 231e0: 6ff8.*
+ 231e1: 44df.*
+ 231e2: 0d96.*
+ 231e3: 6f82.*
+ 231e4: 0d96.*
+ 231e5: 6f8a.*
+ 231e6: 0d96.*
+ 231e7: 6f92.*
+ 231e8: 0d96.*
+ 231e9: 6f9a.*
+ 231ea: 0d96.*
+ 231eb: 6fa2.*
+ 231ec: 0d96.*
+ 231ed: 6faa.*
+ 231ee: 0d96.*
+ 231ef: 6fb2.*
+ 231f0: 0d96.*
+ 231f1: 6fba.*
+ 231f2: 0d96.*
+ 231f3: 6fc2.*
+ 231f4: 0d96.*
+ 231f5: 6fca.*
+ 231f6: 0d96.*
+ 231f7: 6fd2.*
+ 231f8: 0d96.*
+ 231f9: 6fda.*
+ 231fa: 0d96.*
+ 231fb: 6fe2.*
+ 231fc: 44e0.*
+ 231fd: 0d96.*
+ 231fe: 6fea.*
+ 231ff: 44e1.*
+ 23200: 0d96.*
+ 23201: 6ff2.*
+ 23202: 44e2.*
+ 23203: 0d96.*
+ 23204: 6ff8.*
+ 23205: 44e3.*
+ 23206: 0d96.*
+ 23207: 6f83.*
+ 23208: 0d96.*
+ 23209: 6f8b.*
+ 2320a: 0d96.*
+ 2320b: 6f93.*
+ 2320c: 0d96.*
+ 2320d: 6f9b.*
+ 2320e: 0d96.*
+ 2320f: 6fa3.*
+ 23210: 0d96.*
+ 23211: 6fab.*
+ 23212: 0d96.*
+ 23213: 6fb3.*
+ 23214: 0d96.*
+ 23215: 6fbb.*
+ 23216: 0d96.*
+ 23217: 6fc3.*
+ 23218: 0d96.*
+ 23219: 6fcb.*
+ 2321a: 0d96.*
+ 2321b: 6fd3.*
+ 2321c: 0d96.*
+ 2321d: 6fdb.*
+ 2321e: 0d96.*
+ 2321f: 6fe3.*
+ 23220: 44e4.*
+ 23221: 0d96.*
+ 23222: 6feb.*
+ 23223: 44e5.*
+ 23224: 0d96.*
+ 23225: 6ff3.*
+ 23226: 44e6.*
+ 23227: 0d96.*
+ 23228: 6ff8.*
+ 23229: 44e7.*
+ 2322a: 0d96.*
+ 2322b: 6f84.*
+ 2322c: 0d96.*
+ 2322d: 6f8c.*
+ 2322e: 0d96.*
+ 2322f: 6f94.*
+ 23230: 0d96.*
+ 23231: 6f9c.*
+ 23232: 0d96.*
+ 23233: 6fa4.*
+ 23234: 0d96.*
+ 23235: 6fac.*
+ 23236: 0d96.*
+ 23237: 6fb4.*
+ 23238: 0d96.*
+ 23239: 6fbc.*
+ 2323a: 0d96.*
+ 2323b: 6fc4.*
+ 2323c: 0d96.*
+ 2323d: 6fcc.*
+ 2323e: 0d96.*
+ 2323f: 6fd4.*
+ 23240: 0d96.*
+ 23241: 6fdc.*
+ 23242: 0d96.*
+ 23243: 6fe4.*
+ 23244: 44e8.*
+ 23245: 0d96.*
+ 23246: 6fec.*
+ 23247: 44e9.*
+ 23248: 0d96.*
+ 23249: 6ff4.*
+ 2324a: 44ea.*
+ 2324b: 0d96.*
+ 2324c: 6ff8.*
+ 2324d: 44eb.*
+ 2324e: 0d96.*
+ 2324f: 6f85.*
+ 23250: 0d96.*
+ 23251: 6f8d.*
+ 23252: 0d96.*
+ 23253: 6f95.*
+ 23254: 0d96.*
+ 23255: 6f9d.*
+ 23256: 0d96.*
+ 23257: 6fa5.*
+ 23258: 0d96.*
+ 23259: 6fad.*
+ 2325a: 0d96.*
+ 2325b: 6fb5.*
+ 2325c: 0d96.*
+ 2325d: 6fbd.*
+ 2325e: 0d96.*
+ 2325f: 6fc5.*
+ 23260: 0d96.*
+ 23261: 6fcd.*
+ 23262: 0d96.*
+ 23263: 6fd5.*
+ 23264: 0d96.*
+ 23265: 6fdd.*
+ 23266: 0d96.*
+ 23267: 6fe5.*
+ 23268: 44ec.*
+ 23269: 0d96.*
+ 2326a: 6fed.*
+ 2326b: 44ed.*
+ 2326c: 0d96.*
+ 2326d: 6ff5.*
+ 2326e: 44ee.*
+ 2326f: 0d96.*
+ 23270: 6ff8.*
+ 23271: 44ef.*
+ 23272: 0d96.*
+ 23273: 6f86.*
+ 23274: 0d96.*
+ 23275: 6f8e.*
+ 23276: 0d96.*
+ 23277: 6f96.*
+ 23278: 0d96.*
+ 23279: 6f9e.*
+ 2327a: 0d96.*
+ 2327b: 6fa6.*
+ 2327c: 0d96.*
+ 2327d: 6fae.*
+ 2327e: 0d96.*
+ 2327f: 6fb6.*
+ 23280: 0d96.*
+ 23281: 6fbe.*
+ 23282: 0d96.*
+ 23283: 6fc6.*
+ 23284: 0d96.*
+ 23285: 6fce.*
+ 23286: 0d96.*
+ 23287: 6fd6.*
+ 23288: 0d96.*
+ 23289: 6fde.*
+ 2328a: 0d96.*
+ 2328b: 6fe6.*
+ 2328c: 44f0.*
+ 2328d: 0d96.*
+ 2328e: 6fee.*
+ 2328f: 44f1.*
+ 23290: 0d96.*
+ 23291: 6ff6.*
+ 23292: 44f2.*
+ 23293: 0d96.*
+ 23294: 6ff8.*
+ 23295: 44f3.*
+ 23296: 0d96.*
+ 23297: 6f87.*
+ 23298: 0d96.*
+ 23299: 6f8f.*
+ 2329a: 0d96.*
+ 2329b: 6f97.*
+ 2329c: 0d96.*
+ 2329d: 6f9f.*
+ 2329e: 0d96.*
+ 2329f: 6fa7.*
+ 232a0: 0d96.*
+ 232a1: 6faf.*
+ 232a2: 0d96.*
+ 232a3: 6fb7.*
+ 232a4: 0d96.*
+ 232a5: 6fbf.*
+ 232a6: 0d96.*
+ 232a7: 6fc7.*
+ 232a8: 0d96.*
+ 232a9: 6fcf.*
+ 232aa: 0d96.*
+ 232ab: 6fd7.*
+ 232ac: 0d96.*
+ 232ad: 6fdf.*
+ 232ae: 0d96.*
+ 232af: 6fe7.*
+ 232b0: 44f4.*
+ 232b1: 0d96.*
+ 232b2: 6fef.*
+ 232b3: 44f5.*
+ 232b4: 0d96.*
+ 232b5: 6ff7.*
+ 232b6: 44f6.*
+ 232b7: 0d96.*
+ 232b8: 6ff8.*
+ 232b9: 44f7.*
+ 232ba: 0d96.*
+ 232bb: 6f80.*
+ 232bc: 0d97.*
+ 232bd: 6f88.*
+ 232be: 0d97.*
+ 232bf: 6f90.*
+ 232c0: 0d97.*
+ 232c1: 6f98.*
+ 232c2: 0d97.*
+ 232c3: 6fa0.*
+ 232c4: 0d97.*
+ 232c5: 6fa8.*
+ 232c6: 0d97.*
+ 232c7: 6fb0.*
+ 232c8: 0d97.*
+ 232c9: 6fb8.*
+ 232ca: 0d97.*
+ 232cb: 6fc0.*
+ 232cc: 0d97.*
+ 232cd: 6fc8.*
+ 232ce: 0d97.*
+ 232cf: 6fd0.*
+ 232d0: 0d97.*
+ 232d1: 6fd8.*
+ 232d2: 0d97.*
+ 232d3: 6fe0.*
+ 232d4: 44f8.*
+ 232d5: 0d97.*
+ 232d6: 6fe8.*
+ 232d7: 44f9.*
+ 232d8: 0d97.*
+ 232d9: 6ff0.*
+ 232da: 44fa.*
+ 232db: 0d97.*
+ 232dc: 6ff8.*
+ 232dd: 44fb.*
+ 232de: 0d97.*
+ 232df: 6f81.*
+ 232e0: 0d97.*
+ 232e1: 6f89.*
+ 232e2: 0d97.*
+ 232e3: 6f91.*
+ 232e4: 0d97.*
+ 232e5: 6f99.*
+ 232e6: 0d97.*
+ 232e7: 6fa1.*
+ 232e8: 0d97.*
+ 232e9: 6fa9.*
+ 232ea: 0d97.*
+ 232eb: 6fb1.*
+ 232ec: 0d97.*
+ 232ed: 6fb9.*
+ 232ee: 0d97.*
+ 232ef: 6fc1.*
+ 232f0: 0d97.*
+ 232f1: 6fc9.*
+ 232f2: 0d97.*
+ 232f3: 6fd1.*
+ 232f4: 0d97.*
+ 232f5: 6fd9.*
+ 232f6: 0d97.*
+ 232f7: 6fe1.*
+ 232f8: 44fc.*
+ 232f9: 0d97.*
+ 232fa: 6fe9.*
+ 232fb: 44fd.*
+ 232fc: 0d97.*
+ 232fd: 6ff1.*
+ 232fe: 44fe.*
+ 232ff: 0d97.*
+ 23300: 6ff8.*
+ 23301: 44ff.*
+ 23302: 0d97.*
+ 23303: 6f82.*
+ 23304: 0d97.*
+ 23305: 6f8a.*
+ 23306: 0d97.*
+ 23307: 6f92.*
+ 23308: 0d97.*
+ 23309: 6f9a.*
+ 2330a: 0d97.*
+ 2330b: 6fa2.*
+ 2330c: 0d97.*
+ 2330d: 6faa.*
+ 2330e: 0d97.*
+ 2330f: 6fb2.*
+ 23310: 0d97.*
+ 23311: 6fba.*
+ 23312: 0d97.*
+ 23313: 6fc2.*
+ 23314: 0d97.*
+ 23315: 6fca.*
+ 23316: 0d97.*
+ 23317: 6fd2.*
+ 23318: 0d97.*
+ 23319: 6fda.*
+ 2331a: 0d97.*
+ 2331b: 6fe2.*
+ 2331c: 4500.*
+ 2331d: 0d97.*
+ 2331e: 6fea.*
+ 2331f: 4501.*
+ 23320: 0d97.*
+ 23321: 6ff2.*
+ 23322: 4502.*
+ 23323: 0d97.*
+ 23324: 6ff8.*
+ 23325: 4503.*
+ 23326: 0d97.*
+ 23327: 6f83.*
+ 23328: 0d97.*
+ 23329: 6f8b.*
+ 2332a: 0d97.*
+ 2332b: 6f93.*
+ 2332c: 0d97.*
+ 2332d: 6f9b.*
+ 2332e: 0d97.*
+ 2332f: 6fa3.*
+ 23330: 0d97.*
+ 23331: 6fab.*
+ 23332: 0d97.*
+ 23333: 6fb3.*
+ 23334: 0d97.*
+ 23335: 6fbb.*
+ 23336: 0d97.*
+ 23337: 6fc3.*
+ 23338: 0d97.*
+ 23339: 6fcb.*
+ 2333a: 0d97.*
+ 2333b: 6fd3.*
+ 2333c: 0d97.*
+ 2333d: 6fdb.*
+ 2333e: 0d97.*
+ 2333f: 6fe3.*
+ 23340: 4504.*
+ 23341: 0d97.*
+ 23342: 6feb.*
+ 23343: 4505.*
+ 23344: 0d97.*
+ 23345: 6ff3.*
+ 23346: 4506.*
+ 23347: 0d97.*
+ 23348: 6ff8.*
+ 23349: 4507.*
+ 2334a: 0d97.*
+ 2334b: 6f84.*
+ 2334c: 0d97.*
+ 2334d: 6f8c.*
+ 2334e: 0d97.*
+ 2334f: 6f94.*
+ 23350: 0d97.*
+ 23351: 6f9c.*
+ 23352: 0d97.*
+ 23353: 6fa4.*
+ 23354: 0d97.*
+ 23355: 6fac.*
+ 23356: 0d97.*
+ 23357: 6fb4.*
+ 23358: 0d97.*
+ 23359: 6fbc.*
+ 2335a: 0d97.*
+ 2335b: 6fc4.*
+ 2335c: 0d97.*
+ 2335d: 6fcc.*
+ 2335e: 0d97.*
+ 2335f: 6fd4.*
+ 23360: 0d97.*
+ 23361: 6fdc.*
+ 23362: 0d97.*
+ 23363: 6fe4.*
+ 23364: 4508.*
+ 23365: 0d97.*
+ 23366: 6fec.*
+ 23367: 4509.*
+ 23368: 0d97.*
+ 23369: 6ff4.*
+ 2336a: 450a.*
+ 2336b: 0d97.*
+ 2336c: 6ff8.*
+ 2336d: 450b.*
+ 2336e: 0d97.*
+ 2336f: 6f85.*
+ 23370: 0d97.*
+ 23371: 6f8d.*
+ 23372: 0d97.*
+ 23373: 6f95.*
+ 23374: 0d97.*
+ 23375: 6f9d.*
+ 23376: 0d97.*
+ 23377: 6fa5.*
+ 23378: 0d97.*
+ 23379: 6fad.*
+ 2337a: 0d97.*
+ 2337b: 6fb5.*
+ 2337c: 0d97.*
+ 2337d: 6fbd.*
+ 2337e: 0d97.*
+ 2337f: 6fc5.*
+ 23380: 0d97.*
+ 23381: 6fcd.*
+ 23382: 0d97.*
+ 23383: 6fd5.*
+ 23384: 0d97.*
+ 23385: 6fdd.*
+ 23386: 0d97.*
+ 23387: 6fe5.*
+ 23388: 450c.*
+ 23389: 0d97.*
+ 2338a: 6fed.*
+ 2338b: 450d.*
+ 2338c: 0d97.*
+ 2338d: 6ff5.*
+ 2338e: 450e.*
+ 2338f: 0d97.*
+ 23390: 6ff8.*
+ 23391: 450f.*
+ 23392: 0d97.*
+ 23393: 6f86.*
+ 23394: 0d97.*
+ 23395: 6f8e.*
+ 23396: 0d97.*
+ 23397: 6f96.*
+ 23398: 0d97.*
+ 23399: 6f9e.*
+ 2339a: 0d97.*
+ 2339b: 6fa6.*
+ 2339c: 0d97.*
+ 2339d: 6fae.*
+ 2339e: 0d97.*
+ 2339f: 6fb6.*
+ 233a0: 0d97.*
+ 233a1: 6fbe.*
+ 233a2: 0d97.*
+ 233a3: 6fc6.*
+ 233a4: 0d97.*
+ 233a5: 6fce.*
+ 233a6: 0d97.*
+ 233a7: 6fd6.*
+ 233a8: 0d97.*
+ 233a9: 6fde.*
+ 233aa: 0d97.*
+ 233ab: 6fe6.*
+ 233ac: 4510.*
+ 233ad: 0d97.*
+ 233ae: 6fee.*
+ 233af: 4511.*
+ 233b0: 0d97.*
+ 233b1: 6ff6.*
+ 233b2: 4512.*
+ 233b3: 0d97.*
+ 233b4: 6ff8.*
+ 233b5: 4513.*
+ 233b6: 0d97.*
+ 233b7: 6f87.*
+ 233b8: 0d97.*
+ 233b9: 6f8f.*
+ 233ba: 0d97.*
+ 233bb: 6f97.*
+ 233bc: 0d97.*
+ 233bd: 6f9f.*
+ 233be: 0d97.*
+ 233bf: 6fa7.*
+ 233c0: 0d97.*
+ 233c1: 6faf.*
+ 233c2: 0d97.*
+ 233c3: 6fb7.*
+ 233c4: 0d97.*
+ 233c5: 6fbf.*
+ 233c6: 0d97.*
+ 233c7: 6fc7.*
+ 233c8: 0d97.*
+ 233c9: 6fcf.*
+ 233ca: 0d97.*
+ 233cb: 6fd7.*
+ 233cc: 0d97.*
+ 233cd: 6fdf.*
+ 233ce: 0d97.*
+ 233cf: 6fe7.*
+ 233d0: 4514.*
+ 233d1: 0d97.*
+ 233d2: 6fef.*
+ 233d3: 4515.*
+ 233d4: 0d97.*
+ 233d5: 6ff7.*
+ 233d6: 4516.*
+ 233d7: 0d97.*
+ 233d8: 6ff8.*
+ 233d9: 4517.*
+ 233da: 0d97.*
+ 233db: 6f80.*
+ 233dc: 0d98.*
+ 233dd: 6f88.*
+ 233de: 0d98.*
+ 233df: 6f90.*
+ 233e0: 0d98.*
+ 233e1: 6f98.*
+ 233e2: 0d98.*
+ 233e3: 6fa0.*
+ 233e4: 0d98.*
+ 233e5: 6fa8.*
+ 233e6: 0d98.*
+ 233e7: 6fb0.*
+ 233e8: 0d98.*
+ 233e9: 6fb8.*
+ 233ea: 0d98.*
+ 233eb: 6fc0.*
+ 233ec: 0d98.*
+ 233ed: 6fc8.*
+ 233ee: 0d98.*
+ 233ef: 6fd0.*
+ 233f0: 0d98.*
+ 233f1: 6fd8.*
+ 233f2: 0d98.*
+ 233f3: 6fe0.*
+ 233f4: 4518.*
+ 233f5: 0d98.*
+ 233f6: 6fe8.*
+ 233f7: 4519.*
+ 233f8: 0d98.*
+ 233f9: 6ff0.*
+ 233fa: 451a.*
+ 233fb: 0d98.*
+ 233fc: 6ff8.*
+ 233fd: 451b.*
+ 233fe: 0d98.*
+ 233ff: 6f81.*
+ 23400: 0d98.*
+ 23401: 6f89.*
+ 23402: 0d98.*
+ 23403: 6f91.*
+ 23404: 0d98.*
+ 23405: 6f99.*
+ 23406: 0d98.*
+ 23407: 6fa1.*
+ 23408: 0d98.*
+ 23409: 6fa9.*
+ 2340a: 0d98.*
+ 2340b: 6fb1.*
+ 2340c: 0d98.*
+ 2340d: 6fb9.*
+ 2340e: 0d98.*
+ 2340f: 6fc1.*
+ 23410: 0d98.*
+ 23411: 6fc9.*
+ 23412: 0d98.*
+ 23413: 6fd1.*
+ 23414: 0d98.*
+ 23415: 6fd9.*
+ 23416: 0d98.*
+ 23417: 6fe1.*
+ 23418: 451c.*
+ 23419: 0d98.*
+ 2341a: 6fe9.*
+ 2341b: 451d.*
+ 2341c: 0d98.*
+ 2341d: 6ff1.*
+ 2341e: 451e.*
+ 2341f: 0d98.*
+ 23420: 6ff8.*
+ 23421: 451f.*
+ 23422: 0d98.*
+ 23423: 6f82.*
+ 23424: 0d98.*
+ 23425: 6f8a.*
+ 23426: 0d98.*
+ 23427: 6f92.*
+ 23428: 0d98.*
+ 23429: 6f9a.*
+ 2342a: 0d98.*
+ 2342b: 6fa2.*
+ 2342c: 0d98.*
+ 2342d: 6faa.*
+ 2342e: 0d98.*
+ 2342f: 6fb2.*
+ 23430: 0d98.*
+ 23431: 6fba.*
+ 23432: 0d98.*
+ 23433: 6fc2.*
+ 23434: 0d98.*
+ 23435: 6fca.*
+ 23436: 0d98.*
+ 23437: 6fd2.*
+ 23438: 0d98.*
+ 23439: 6fda.*
+ 2343a: 0d98.*
+ 2343b: 6fe2.*
+ 2343c: 4520.*
+ 2343d: 0d98.*
+ 2343e: 6fea.*
+ 2343f: 4521.*
+ 23440: 0d98.*
+ 23441: 6ff2.*
+ 23442: 4522.*
+ 23443: 0d98.*
+ 23444: 6ff8.*
+ 23445: 4523.*
+ 23446: 0d98.*
+ 23447: 6f83.*
+ 23448: 0d98.*
+ 23449: 6f8b.*
+ 2344a: 0d98.*
+ 2344b: 6f93.*
+ 2344c: 0d98.*
+ 2344d: 6f9b.*
+ 2344e: 0d98.*
+ 2344f: 6fa3.*
+ 23450: 0d98.*
+ 23451: 6fab.*
+ 23452: 0d98.*
+ 23453: 6fb3.*
+ 23454: 0d98.*
+ 23455: 6fbb.*
+ 23456: 0d98.*
+ 23457: 6fc3.*
+ 23458: 0d98.*
+ 23459: 6fcb.*
+ 2345a: 0d98.*
+ 2345b: 6fd3.*
+ 2345c: 0d98.*
+ 2345d: 6fdb.*
+ 2345e: 0d98.*
+ 2345f: 6fe3.*
+ 23460: 4524.*
+ 23461: 0d98.*
+ 23462: 6feb.*
+ 23463: 4525.*
+ 23464: 0d98.*
+ 23465: 6ff3.*
+ 23466: 4526.*
+ 23467: 0d98.*
+ 23468: 6ff8.*
+ 23469: 4527.*
+ 2346a: 0d98.*
+ 2346b: 6f84.*
+ 2346c: 0d98.*
+ 2346d: 6f8c.*
+ 2346e: 0d98.*
+ 2346f: 6f94.*
+ 23470: 0d98.*
+ 23471: 6f9c.*
+ 23472: 0d98.*
+ 23473: 6fa4.*
+ 23474: 0d98.*
+ 23475: 6fac.*
+ 23476: 0d98.*
+ 23477: 6fb4.*
+ 23478: 0d98.*
+ 23479: 6fbc.*
+ 2347a: 0d98.*
+ 2347b: 6fc4.*
+ 2347c: 0d98.*
+ 2347d: 6fcc.*
+ 2347e: 0d98.*
+ 2347f: 6fd4.*
+ 23480: 0d98.*
+ 23481: 6fdc.*
+ 23482: 0d98.*
+ 23483: 6fe4.*
+ 23484: 4528.*
+ 23485: 0d98.*
+ 23486: 6fec.*
+ 23487: 4529.*
+ 23488: 0d98.*
+ 23489: 6ff4.*
+ 2348a: 452a.*
+ 2348b: 0d98.*
+ 2348c: 6ff8.*
+ 2348d: 452b.*
+ 2348e: 0d98.*
+ 2348f: 6f85.*
+ 23490: 0d98.*
+ 23491: 6f8d.*
+ 23492: 0d98.*
+ 23493: 6f95.*
+ 23494: 0d98.*
+ 23495: 6f9d.*
+ 23496: 0d98.*
+ 23497: 6fa5.*
+ 23498: 0d98.*
+ 23499: 6fad.*
+ 2349a: 0d98.*
+ 2349b: 6fb5.*
+ 2349c: 0d98.*
+ 2349d: 6fbd.*
+ 2349e: 0d98.*
+ 2349f: 6fc5.*
+ 234a0: 0d98.*
+ 234a1: 6fcd.*
+ 234a2: 0d98.*
+ 234a3: 6fd5.*
+ 234a4: 0d98.*
+ 234a5: 6fdd.*
+ 234a6: 0d98.*
+ 234a7: 6fe5.*
+ 234a8: 452c.*
+ 234a9: 0d98.*
+ 234aa: 6fed.*
+ 234ab: 452d.*
+ 234ac: 0d98.*
+ 234ad: 6ff5.*
+ 234ae: 452e.*
+ 234af: 0d98.*
+ 234b0: 6ff8.*
+ 234b1: 452f.*
+ 234b2: 0d98.*
+ 234b3: 6f86.*
+ 234b4: 0d98.*
+ 234b5: 6f8e.*
+ 234b6: 0d98.*
+ 234b7: 6f96.*
+ 234b8: 0d98.*
+ 234b9: 6f9e.*
+ 234ba: 0d98.*
+ 234bb: 6fa6.*
+ 234bc: 0d98.*
+ 234bd: 6fae.*
+ 234be: 0d98.*
+ 234bf: 6fb6.*
+ 234c0: 0d98.*
+ 234c1: 6fbe.*
+ 234c2: 0d98.*
+ 234c3: 6fc6.*
+ 234c4: 0d98.*
+ 234c5: 6fce.*
+ 234c6: 0d98.*
+ 234c7: 6fd6.*
+ 234c8: 0d98.*
+ 234c9: 6fde.*
+ 234ca: 0d98.*
+ 234cb: 6fe6.*
+ 234cc: 4530.*
+ 234cd: 0d98.*
+ 234ce: 6fee.*
+ 234cf: 4531.*
+ 234d0: 0d98.*
+ 234d1: 6ff6.*
+ 234d2: 4532.*
+ 234d3: 0d98.*
+ 234d4: 6ff8.*
+ 234d5: 4533.*
+ 234d6: 0d98.*
+ 234d7: 6f87.*
+ 234d8: 0d98.*
+ 234d9: 6f8f.*
+ 234da: 0d98.*
+ 234db: 6f97.*
+ 234dc: 0d98.*
+ 234dd: 6f9f.*
+ 234de: 0d98.*
+ 234df: 6fa7.*
+ 234e0: 0d98.*
+ 234e1: 6faf.*
+ 234e2: 0d98.*
+ 234e3: 6fb7.*
+ 234e4: 0d98.*
+ 234e5: 6fbf.*
+ 234e6: 0d98.*
+ 234e7: 6fc7.*
+ 234e8: 0d98.*
+ 234e9: 6fcf.*
+ 234ea: 0d98.*
+ 234eb: 6fd7.*
+ 234ec: 0d98.*
+ 234ed: 6fdf.*
+ 234ee: 0d98.*
+ 234ef: 6fe7.*
+ 234f0: 4534.*
+ 234f1: 0d98.*
+ 234f2: 6fef.*
+ 234f3: 4535.*
+ 234f4: 0d98.*
+ 234f5: 6ff7.*
+ 234f6: 4536.*
+ 234f7: 0d98.*
+ 234f8: 6ff8.*
+ 234f9: 4537.*
+ 234fa: 0d98.*
+ 234fb: 6f80.*
+ 234fc: 0d99.*
+ 234fd: 6f88.*
+ 234fe: 0d99.*
+ 234ff: 6f90.*
+ 23500: 0d99.*
+ 23501: 6f98.*
+ 23502: 0d99.*
+ 23503: 6fa0.*
+ 23504: 0d99.*
+ 23505: 6fa8.*
+ 23506: 0d99.*
+ 23507: 6fb0.*
+ 23508: 0d99.*
+ 23509: 6fb8.*
+ 2350a: 0d99.*
+ 2350b: 6fc0.*
+ 2350c: 0d99.*
+ 2350d: 6fc8.*
+ 2350e: 0d99.*
+ 2350f: 6fd0.*
+ 23510: 0d99.*
+ 23511: 6fd8.*
+ 23512: 0d99.*
+ 23513: 6fe0.*
+ 23514: 4538.*
+ 23515: 0d99.*
+ 23516: 6fe8.*
+ 23517: 4539.*
+ 23518: 0d99.*
+ 23519: 6ff0.*
+ 2351a: 453a.*
+ 2351b: 0d99.*
+ 2351c: 6ff8.*
+ 2351d: 453b.*
+ 2351e: 0d99.*
+ 2351f: 6f81.*
+ 23520: 0d99.*
+ 23521: 6f89.*
+ 23522: 0d99.*
+ 23523: 6f91.*
+ 23524: 0d99.*
+ 23525: 6f99.*
+ 23526: 0d99.*
+ 23527: 6fa1.*
+ 23528: 0d99.*
+ 23529: 6fa9.*
+ 2352a: 0d99.*
+ 2352b: 6fb1.*
+ 2352c: 0d99.*
+ 2352d: 6fb9.*
+ 2352e: 0d99.*
+ 2352f: 6fc1.*
+ 23530: 0d99.*
+ 23531: 6fc9.*
+ 23532: 0d99.*
+ 23533: 6fd1.*
+ 23534: 0d99.*
+ 23535: 6fd9.*
+ 23536: 0d99.*
+ 23537: 6fe1.*
+ 23538: 453c.*
+ 23539: 0d99.*
+ 2353a: 6fe9.*
+ 2353b: 453d.*
+ 2353c: 0d99.*
+ 2353d: 6ff1.*
+ 2353e: 453e.*
+ 2353f: 0d99.*
+ 23540: 6ff8.*
+ 23541: 453f.*
+ 23542: 0d99.*
+ 23543: 6f82.*
+ 23544: 0d99.*
+ 23545: 6f8a.*
+ 23546: 0d99.*
+ 23547: 6f92.*
+ 23548: 0d99.*
+ 23549: 6f9a.*
+ 2354a: 0d99.*
+ 2354b: 6fa2.*
+ 2354c: 0d99.*
+ 2354d: 6faa.*
+ 2354e: 0d99.*
+ 2354f: 6fb2.*
+ 23550: 0d99.*
+ 23551: 6fba.*
+ 23552: 0d99.*
+ 23553: 6fc2.*
+ 23554: 0d99.*
+ 23555: 6fca.*
+ 23556: 0d99.*
+ 23557: 6fd2.*
+ 23558: 0d99.*
+ 23559: 6fda.*
+ 2355a: 0d99.*
+ 2355b: 6fe2.*
+ 2355c: 4540.*
+ 2355d: 0d99.*
+ 2355e: 6fea.*
+ 2355f: 4541.*
+ 23560: 0d99.*
+ 23561: 6ff2.*
+ 23562: 4542.*
+ 23563: 0d99.*
+ 23564: 6ff8.*
+ 23565: 4543.*
+ 23566: 0d99.*
+ 23567: 6f83.*
+ 23568: 0d99.*
+ 23569: 6f8b.*
+ 2356a: 0d99.*
+ 2356b: 6f93.*
+ 2356c: 0d99.*
+ 2356d: 6f9b.*
+ 2356e: 0d99.*
+ 2356f: 6fa3.*
+ 23570: 0d99.*
+ 23571: 6fab.*
+ 23572: 0d99.*
+ 23573: 6fb3.*
+ 23574: 0d99.*
+ 23575: 6fbb.*
+ 23576: 0d99.*
+ 23577: 6fc3.*
+ 23578: 0d99.*
+ 23579: 6fcb.*
+ 2357a: 0d99.*
+ 2357b: 6fd3.*
+ 2357c: 0d99.*
+ 2357d: 6fdb.*
+ 2357e: 0d99.*
+ 2357f: 6fe3.*
+ 23580: 4544.*
+ 23581: 0d99.*
+ 23582: 6feb.*
+ 23583: 4545.*
+ 23584: 0d99.*
+ 23585: 6ff3.*
+ 23586: 4546.*
+ 23587: 0d99.*
+ 23588: 6ff8.*
+ 23589: 4547.*
+ 2358a: 0d99.*
+ 2358b: 6f84.*
+ 2358c: 0d99.*
+ 2358d: 6f8c.*
+ 2358e: 0d99.*
+ 2358f: 6f94.*
+ 23590: 0d99.*
+ 23591: 6f9c.*
+ 23592: 0d99.*
+ 23593: 6fa4.*
+ 23594: 0d99.*
+ 23595: 6fac.*
+ 23596: 0d99.*
+ 23597: 6fb4.*
+ 23598: 0d99.*
+ 23599: 6fbc.*
+ 2359a: 0d99.*
+ 2359b: 6fc4.*
+ 2359c: 0d99.*
+ 2359d: 6fcc.*
+ 2359e: 0d99.*
+ 2359f: 6fd4.*
+ 235a0: 0d99.*
+ 235a1: 6fdc.*
+ 235a2: 0d99.*
+ 235a3: 6fe4.*
+ 235a4: 4548.*
+ 235a5: 0d99.*
+ 235a6: 6fec.*
+ 235a7: 4549.*
+ 235a8: 0d99.*
+ 235a9: 6ff4.*
+ 235aa: 454a.*
+ 235ab: 0d99.*
+ 235ac: 6ff8.*
+ 235ad: 454b.*
+ 235ae: 0d99.*
+ 235af: 6f85.*
+ 235b0: 0d99.*
+ 235b1: 6f8d.*
+ 235b2: 0d99.*
+ 235b3: 6f95.*
+ 235b4: 0d99.*
+ 235b5: 6f9d.*
+ 235b6: 0d99.*
+ 235b7: 6fa5.*
+ 235b8: 0d99.*
+ 235b9: 6fad.*
+ 235ba: 0d99.*
+ 235bb: 6fb5.*
+ 235bc: 0d99.*
+ 235bd: 6fbd.*
+ 235be: 0d99.*
+ 235bf: 6fc5.*
+ 235c0: 0d99.*
+ 235c1: 6fcd.*
+ 235c2: 0d99.*
+ 235c3: 6fd5.*
+ 235c4: 0d99.*
+ 235c5: 6fdd.*
+ 235c6: 0d99.*
+ 235c7: 6fe5.*
+ 235c8: 454c.*
+ 235c9: 0d99.*
+ 235ca: 6fed.*
+ 235cb: 454d.*
+ 235cc: 0d99.*
+ 235cd: 6ff5.*
+ 235ce: 454e.*
+ 235cf: 0d99.*
+ 235d0: 6ff8.*
+ 235d1: 454f.*
+ 235d2: 0d99.*
+ 235d3: 6f86.*
+ 235d4: 0d99.*
+ 235d5: 6f8e.*
+ 235d6: 0d99.*
+ 235d7: 6f96.*
+ 235d8: 0d99.*
+ 235d9: 6f9e.*
+ 235da: 0d99.*
+ 235db: 6fa6.*
+ 235dc: 0d99.*
+ 235dd: 6fae.*
+ 235de: 0d99.*
+ 235df: 6fb6.*
+ 235e0: 0d99.*
+ 235e1: 6fbe.*
+ 235e2: 0d99.*
+ 235e3: 6fc6.*
+ 235e4: 0d99.*
+ 235e5: 6fce.*
+ 235e6: 0d99.*
+ 235e7: 6fd6.*
+ 235e8: 0d99.*
+ 235e9: 6fde.*
+ 235ea: 0d99.*
+ 235eb: 6fe6.*
+ 235ec: 4550.*
+ 235ed: 0d99.*
+ 235ee: 6fee.*
+ 235ef: 4551.*
+ 235f0: 0d99.*
+ 235f1: 6ff6.*
+ 235f2: 4552.*
+ 235f3: 0d99.*
+ 235f4: 6ff8.*
+ 235f5: 4553.*
+ 235f6: 0d99.*
+ 235f7: 6f87.*
+ 235f8: 0d99.*
+ 235f9: 6f8f.*
+ 235fa: 0d99.*
+ 235fb: 6f97.*
+ 235fc: 0d99.*
+ 235fd: 6f9f.*
+ 235fe: 0d99.*
+ 235ff: 6fa7.*
+ 23600: 0d99.*
+ 23601: 6faf.*
+ 23602: 0d99.*
+ 23603: 6fb7.*
+ 23604: 0d99.*
+ 23605: 6fbf.*
+ 23606: 0d99.*
+ 23607: 6fc7.*
+ 23608: 0d99.*
+ 23609: 6fcf.*
+ 2360a: 0d99.*
+ 2360b: 6fd7.*
+ 2360c: 0d99.*
+ 2360d: 6fdf.*
+ 2360e: 0d99.*
+ 2360f: 6fe7.*
+ 23610: 4554.*
+ 23611: 0d99.*
+ 23612: 6fef.*
+ 23613: 4555.*
+ 23614: 0d99.*
+ 23615: 6ff7.*
+ 23616: 4556.*
+ 23617: 0d99.*
+ 23618: 6ff8.*
+ 23619: 4557.*
+ 2361a: 0d99.*
+ 2361b: 6f80.*
+ 2361c: 0d9a.*
+ 2361d: 6f88.*
+ 2361e: 0d9a.*
+ 2361f: 6f90.*
+ 23620: 0d9a.*
+ 23621: 6f98.*
+ 23622: 0d9a.*
+ 23623: 6fa0.*
+ 23624: 0d9a.*
+ 23625: 6fa8.*
+ 23626: 0d9a.*
+ 23627: 6fb0.*
+ 23628: 0d9a.*
+ 23629: 6fb8.*
+ 2362a: 0d9a.*
+ 2362b: 6fc0.*
+ 2362c: 0d9a.*
+ 2362d: 6fc8.*
+ 2362e: 0d9a.*
+ 2362f: 6fd0.*
+ 23630: 0d9a.*
+ 23631: 6fd8.*
+ 23632: 0d9a.*
+ 23633: 6fe0.*
+ 23634: 4558.*
+ 23635: 0d9a.*
+ 23636: 6fe8.*
+ 23637: 4559.*
+ 23638: 0d9a.*
+ 23639: 6ff0.*
+ 2363a: 455a.*
+ 2363b: 0d9a.*
+ 2363c: 6ff8.*
+ 2363d: 455b.*
+ 2363e: 0d9a.*
+ 2363f: 6f81.*
+ 23640: 0d9a.*
+ 23641: 6f89.*
+ 23642: 0d9a.*
+ 23643: 6f91.*
+ 23644: 0d9a.*
+ 23645: 6f99.*
+ 23646: 0d9a.*
+ 23647: 6fa1.*
+ 23648: 0d9a.*
+ 23649: 6fa9.*
+ 2364a: 0d9a.*
+ 2364b: 6fb1.*
+ 2364c: 0d9a.*
+ 2364d: 6fb9.*
+ 2364e: 0d9a.*
+ 2364f: 6fc1.*
+ 23650: 0d9a.*
+ 23651: 6fc9.*
+ 23652: 0d9a.*
+ 23653: 6fd1.*
+ 23654: 0d9a.*
+ 23655: 6fd9.*
+ 23656: 0d9a.*
+ 23657: 6fe1.*
+ 23658: 455c.*
+ 23659: 0d9a.*
+ 2365a: 6fe9.*
+ 2365b: 455d.*
+ 2365c: 0d9a.*
+ 2365d: 6ff1.*
+ 2365e: 455e.*
+ 2365f: 0d9a.*
+ 23660: 6ff8.*
+ 23661: 455f.*
+ 23662: 0d9a.*
+ 23663: 6f82.*
+ 23664: 0d9a.*
+ 23665: 6f8a.*
+ 23666: 0d9a.*
+ 23667: 6f92.*
+ 23668: 0d9a.*
+ 23669: 6f9a.*
+ 2366a: 0d9a.*
+ 2366b: 6fa2.*
+ 2366c: 0d9a.*
+ 2366d: 6faa.*
+ 2366e: 0d9a.*
+ 2366f: 6fb2.*
+ 23670: 0d9a.*
+ 23671: 6fba.*
+ 23672: 0d9a.*
+ 23673: 6fc2.*
+ 23674: 0d9a.*
+ 23675: 6fca.*
+ 23676: 0d9a.*
+ 23677: 6fd2.*
+ 23678: 0d9a.*
+ 23679: 6fda.*
+ 2367a: 0d9a.*
+ 2367b: 6fe2.*
+ 2367c: 4560.*
+ 2367d: 0d9a.*
+ 2367e: 6fea.*
+ 2367f: 4561.*
+ 23680: 0d9a.*
+ 23681: 6ff2.*
+ 23682: 4562.*
+ 23683: 0d9a.*
+ 23684: 6ff8.*
+ 23685: 4563.*
+ 23686: 0d9a.*
+ 23687: 6f83.*
+ 23688: 0d9a.*
+ 23689: 6f8b.*
+ 2368a: 0d9a.*
+ 2368b: 6f93.*
+ 2368c: 0d9a.*
+ 2368d: 6f9b.*
+ 2368e: 0d9a.*
+ 2368f: 6fa3.*
+ 23690: 0d9a.*
+ 23691: 6fab.*
+ 23692: 0d9a.*
+ 23693: 6fb3.*
+ 23694: 0d9a.*
+ 23695: 6fbb.*
+ 23696: 0d9a.*
+ 23697: 6fc3.*
+ 23698: 0d9a.*
+ 23699: 6fcb.*
+ 2369a: 0d9a.*
+ 2369b: 6fd3.*
+ 2369c: 0d9a.*
+ 2369d: 6fdb.*
+ 2369e: 0d9a.*
+ 2369f: 6fe3.*
+ 236a0: 4564.*
+ 236a1: 0d9a.*
+ 236a2: 6feb.*
+ 236a3: 4565.*
+ 236a4: 0d9a.*
+ 236a5: 6ff3.*
+ 236a6: 4566.*
+ 236a7: 0d9a.*
+ 236a8: 6ff8.*
+ 236a9: 4567.*
+ 236aa: 0d9a.*
+ 236ab: 6f84.*
+ 236ac: 0d9a.*
+ 236ad: 6f8c.*
+ 236ae: 0d9a.*
+ 236af: 6f94.*
+ 236b0: 0d9a.*
+ 236b1: 6f9c.*
+ 236b2: 0d9a.*
+ 236b3: 6fa4.*
+ 236b4: 0d9a.*
+ 236b5: 6fac.*
+ 236b6: 0d9a.*
+ 236b7: 6fb4.*
+ 236b8: 0d9a.*
+ 236b9: 6fbc.*
+ 236ba: 0d9a.*
+ 236bb: 6fc4.*
+ 236bc: 0d9a.*
+ 236bd: 6fcc.*
+ 236be: 0d9a.*
+ 236bf: 6fd4.*
+ 236c0: 0d9a.*
+ 236c1: 6fdc.*
+ 236c2: 0d9a.*
+ 236c3: 6fe4.*
+ 236c4: 4568.*
+ 236c5: 0d9a.*
+ 236c6: 6fec.*
+ 236c7: 4569.*
+ 236c8: 0d9a.*
+ 236c9: 6ff4.*
+ 236ca: 456a.*
+ 236cb: 0d9a.*
+ 236cc: 6ff8.*
+ 236cd: 456b.*
+ 236ce: 0d9a.*
+ 236cf: 6f85.*
+ 236d0: 0d9a.*
+ 236d1: 6f8d.*
+ 236d2: 0d9a.*
+ 236d3: 6f95.*
+ 236d4: 0d9a.*
+ 236d5: 6f9d.*
+ 236d6: 0d9a.*
+ 236d7: 6fa5.*
+ 236d8: 0d9a.*
+ 236d9: 6fad.*
+ 236da: 0d9a.*
+ 236db: 6fb5.*
+ 236dc: 0d9a.*
+ 236dd: 6fbd.*
+ 236de: 0d9a.*
+ 236df: 6fc5.*
+ 236e0: 0d9a.*
+ 236e1: 6fcd.*
+ 236e2: 0d9a.*
+ 236e3: 6fd5.*
+ 236e4: 0d9a.*
+ 236e5: 6fdd.*
+ 236e6: 0d9a.*
+ 236e7: 6fe5.*
+ 236e8: 456c.*
+ 236e9: 0d9a.*
+ 236ea: 6fed.*
+ 236eb: 456d.*
+ 236ec: 0d9a.*
+ 236ed: 6ff5.*
+ 236ee: 456e.*
+ 236ef: 0d9a.*
+ 236f0: 6ff8.*
+ 236f1: 456f.*
+ 236f2: 0d9a.*
+ 236f3: 6f86.*
+ 236f4: 0d9a.*
+ 236f5: 6f8e.*
+ 236f6: 0d9a.*
+ 236f7: 6f96.*
+ 236f8: 0d9a.*
+ 236f9: 6f9e.*
+ 236fa: 0d9a.*
+ 236fb: 6fa6.*
+ 236fc: 0d9a.*
+ 236fd: 6fae.*
+ 236fe: 0d9a.*
+ 236ff: 6fb6.*
+ 23700: 0d9a.*
+ 23701: 6fbe.*
+ 23702: 0d9a.*
+ 23703: 6fc6.*
+ 23704: 0d9a.*
+ 23705: 6fce.*
+ 23706: 0d9a.*
+ 23707: 6fd6.*
+ 23708: 0d9a.*
+ 23709: 6fde.*
+ 2370a: 0d9a.*
+ 2370b: 6fe6.*
+ 2370c: 4570.*
+ 2370d: 0d9a.*
+ 2370e: 6fee.*
+ 2370f: 4571.*
+ 23710: 0d9a.*
+ 23711: 6ff6.*
+ 23712: 4572.*
+ 23713: 0d9a.*
+ 23714: 6ff8.*
+ 23715: 4573.*
+ 23716: 0d9a.*
+ 23717: 6f87.*
+ 23718: 0d9a.*
+ 23719: 6f8f.*
+ 2371a: 0d9a.*
+ 2371b: 6f97.*
+ 2371c: 0d9a.*
+ 2371d: 6f9f.*
+ 2371e: 0d9a.*
+ 2371f: 6fa7.*
+ 23720: 0d9a.*
+ 23721: 6faf.*
+ 23722: 0d9a.*
+ 23723: 6fb7.*
+ 23724: 0d9a.*
+ 23725: 6fbf.*
+ 23726: 0d9a.*
+ 23727: 6fc7.*
+ 23728: 0d9a.*
+ 23729: 6fcf.*
+ 2372a: 0d9a.*
+ 2372b: 6fd7.*
+ 2372c: 0d9a.*
+ 2372d: 6fdf.*
+ 2372e: 0d9a.*
+ 2372f: 6fe7.*
+ 23730: 4574.*
+ 23731: 0d9a.*
+ 23732: 6fef.*
+ 23733: 4575.*
+ 23734: 0d9a.*
+ 23735: 6ff7.*
+ 23736: 4576.*
+ 23737: 0d9a.*
+ 23738: 6ff8.*
+ 23739: 4577.*
+ 2373a: 0d9a.*
+ 2373b: 6f80.*
+ 2373c: 0d9b.*
+ 2373d: 6f88.*
+ 2373e: 0d9b.*
+ 2373f: 6f90.*
+ 23740: 0d9b.*
+ 23741: 6f98.*
+ 23742: 0d9b.*
+ 23743: 6fa0.*
+ 23744: 0d9b.*
+ 23745: 6fa8.*
+ 23746: 0d9b.*
+ 23747: 6fb0.*
+ 23748: 0d9b.*
+ 23749: 6fb8.*
+ 2374a: 0d9b.*
+ 2374b: 6fc0.*
+ 2374c: 0d9b.*
+ 2374d: 6fc8.*
+ 2374e: 0d9b.*
+ 2374f: 6fd0.*
+ 23750: 0d9b.*
+ 23751: 6fd8.*
+ 23752: 0d9b.*
+ 23753: 6fe0.*
+ 23754: 4578.*
+ 23755: 0d9b.*
+ 23756: 6fe8.*
+ 23757: 4579.*
+ 23758: 0d9b.*
+ 23759: 6ff0.*
+ 2375a: 457a.*
+ 2375b: 0d9b.*
+ 2375c: 6ff8.*
+ 2375d: 457b.*
+ 2375e: 0d9b.*
+ 2375f: 6f81.*
+ 23760: 0d9b.*
+ 23761: 6f89.*
+ 23762: 0d9b.*
+ 23763: 6f91.*
+ 23764: 0d9b.*
+ 23765: 6f99.*
+ 23766: 0d9b.*
+ 23767: 6fa1.*
+ 23768: 0d9b.*
+ 23769: 6fa9.*
+ 2376a: 0d9b.*
+ 2376b: 6fb1.*
+ 2376c: 0d9b.*
+ 2376d: 6fb9.*
+ 2376e: 0d9b.*
+ 2376f: 6fc1.*
+ 23770: 0d9b.*
+ 23771: 6fc9.*
+ 23772: 0d9b.*
+ 23773: 6fd1.*
+ 23774: 0d9b.*
+ 23775: 6fd9.*
+ 23776: 0d9b.*
+ 23777: 6fe1.*
+ 23778: 457c.*
+ 23779: 0d9b.*
+ 2377a: 6fe9.*
+ 2377b: 457d.*
+ 2377c: 0d9b.*
+ 2377d: 6ff1.*
+ 2377e: 457e.*
+ 2377f: 0d9b.*
+ 23780: 6ff8.*
+ 23781: 457f.*
+ 23782: 0d9b.*
+ 23783: 6f82.*
+ 23784: 0d9b.*
+ 23785: 6f8a.*
+ 23786: 0d9b.*
+ 23787: 6f92.*
+ 23788: 0d9b.*
+ 23789: 6f9a.*
+ 2378a: 0d9b.*
+ 2378b: 6fa2.*
+ 2378c: 0d9b.*
+ 2378d: 6faa.*
+ 2378e: 0d9b.*
+ 2378f: 6fb2.*
+ 23790: 0d9b.*
+ 23791: 6fba.*
+ 23792: 0d9b.*
+ 23793: 6fc2.*
+ 23794: 0d9b.*
+ 23795: 6fca.*
+ 23796: 0d9b.*
+ 23797: 6fd2.*
+ 23798: 0d9b.*
+ 23799: 6fda.*
+ 2379a: 0d9b.*
+ 2379b: 6fe2.*
+ 2379c: 4580.*
+ 2379d: 0d9b.*
+ 2379e: 6fea.*
+ 2379f: 4581.*
+ 237a0: 0d9b.*
+ 237a1: 6ff2.*
+ 237a2: 4582.*
+ 237a3: 0d9b.*
+ 237a4: 6ff8.*
+ 237a5: 4583.*
+ 237a6: 0d9b.*
+ 237a7: 6f83.*
+ 237a8: 0d9b.*
+ 237a9: 6f8b.*
+ 237aa: 0d9b.*
+ 237ab: 6f93.*
+ 237ac: 0d9b.*
+ 237ad: 6f9b.*
+ 237ae: 0d9b.*
+ 237af: 6fa3.*
+ 237b0: 0d9b.*
+ 237b1: 6fab.*
+ 237b2: 0d9b.*
+ 237b3: 6fb3.*
+ 237b4: 0d9b.*
+ 237b5: 6fbb.*
+ 237b6: 0d9b.*
+ 237b7: 6fc3.*
+ 237b8: 0d9b.*
+ 237b9: 6fcb.*
+ 237ba: 0d9b.*
+ 237bb: 6fd3.*
+ 237bc: 0d9b.*
+ 237bd: 6fdb.*
+ 237be: 0d9b.*
+ 237bf: 6fe3.*
+ 237c0: 4584.*
+ 237c1: 0d9b.*
+ 237c2: 6feb.*
+ 237c3: 4585.*
+ 237c4: 0d9b.*
+ 237c5: 6ff3.*
+ 237c6: 4586.*
+ 237c7: 0d9b.*
+ 237c8: 6ff8.*
+ 237c9: 4587.*
+ 237ca: 0d9b.*
+ 237cb: 6f84.*
+ 237cc: 0d9b.*
+ 237cd: 6f8c.*
+ 237ce: 0d9b.*
+ 237cf: 6f94.*
+ 237d0: 0d9b.*
+ 237d1: 6f9c.*
+ 237d2: 0d9b.*
+ 237d3: 6fa4.*
+ 237d4: 0d9b.*
+ 237d5: 6fac.*
+ 237d6: 0d9b.*
+ 237d7: 6fb4.*
+ 237d8: 0d9b.*
+ 237d9: 6fbc.*
+ 237da: 0d9b.*
+ 237db: 6fc4.*
+ 237dc: 0d9b.*
+ 237dd: 6fcc.*
+ 237de: 0d9b.*
+ 237df: 6fd4.*
+ 237e0: 0d9b.*
+ 237e1: 6fdc.*
+ 237e2: 0d9b.*
+ 237e3: 6fe4.*
+ 237e4: 4588.*
+ 237e5: 0d9b.*
+ 237e6: 6fec.*
+ 237e7: 4589.*
+ 237e8: 0d9b.*
+ 237e9: 6ff4.*
+ 237ea: 458a.*
+ 237eb: 0d9b.*
+ 237ec: 6ff8.*
+ 237ed: 458b.*
+ 237ee: 0d9b.*
+ 237ef: 6f85.*
+ 237f0: 0d9b.*
+ 237f1: 6f8d.*
+ 237f2: 0d9b.*
+ 237f3: 6f95.*
+ 237f4: 0d9b.*
+ 237f5: 6f9d.*
+ 237f6: 0d9b.*
+ 237f7: 6fa5.*
+ 237f8: 0d9b.*
+ 237f9: 6fad.*
+ 237fa: 0d9b.*
+ 237fb: 6fb5.*
+ 237fc: 0d9b.*
+ 237fd: 6fbd.*
+ 237fe: 0d9b.*
+ 237ff: 6fc5.*
+ 23800: 0d9b.*
+ 23801: 6fcd.*
+ 23802: 0d9b.*
+ 23803: 6fd5.*
+ 23804: 0d9b.*
+ 23805: 6fdd.*
+ 23806: 0d9b.*
+ 23807: 6fe5.*
+ 23808: 458c.*
+ 23809: 0d9b.*
+ 2380a: 6fed.*
+ 2380b: 458d.*
+ 2380c: 0d9b.*
+ 2380d: 6ff5.*
+ 2380e: 458e.*
+ 2380f: 0d9b.*
+ 23810: 6ff8.*
+ 23811: 458f.*
+ 23812: 0d9b.*
+ 23813: 6f86.*
+ 23814: 0d9b.*
+ 23815: 6f8e.*
+ 23816: 0d9b.*
+ 23817: 6f96.*
+ 23818: 0d9b.*
+ 23819: 6f9e.*
+ 2381a: 0d9b.*
+ 2381b: 6fa6.*
+ 2381c: 0d9b.*
+ 2381d: 6fae.*
+ 2381e: 0d9b.*
+ 2381f: 6fb6.*
+ 23820: 0d9b.*
+ 23821: 6fbe.*
+ 23822: 0d9b.*
+ 23823: 6fc6.*
+ 23824: 0d9b.*
+ 23825: 6fce.*
+ 23826: 0d9b.*
+ 23827: 6fd6.*
+ 23828: 0d9b.*
+ 23829: 6fde.*
+ 2382a: 0d9b.*
+ 2382b: 6fe6.*
+ 2382c: 4590.*
+ 2382d: 0d9b.*
+ 2382e: 6fee.*
+ 2382f: 4591.*
+ 23830: 0d9b.*
+ 23831: 6ff6.*
+ 23832: 4592.*
+ 23833: 0d9b.*
+ 23834: 6ff8.*
+ 23835: 4593.*
+ 23836: 0d9b.*
+ 23837: 6f87.*
+ 23838: 0d9b.*
+ 23839: 6f8f.*
+ 2383a: 0d9b.*
+ 2383b: 6f97.*
+ 2383c: 0d9b.*
+ 2383d: 6f9f.*
+ 2383e: 0d9b.*
+ 2383f: 6fa7.*
+ 23840: 0d9b.*
+ 23841: 6faf.*
+ 23842: 0d9b.*
+ 23843: 6fb7.*
+ 23844: 0d9b.*
+ 23845: 6fbf.*
+ 23846: 0d9b.*
+ 23847: 6fc7.*
+ 23848: 0d9b.*
+ 23849: 6fcf.*
+ 2384a: 0d9b.*
+ 2384b: 6fd7.*
+ 2384c: 0d9b.*
+ 2384d: 6fdf.*
+ 2384e: 0d9b.*
+ 2384f: 6fe7.*
+ 23850: 4594.*
+ 23851: 0d9b.*
+ 23852: 6fef.*
+ 23853: 4595.*
+ 23854: 0d9b.*
+ 23855: 6ff7.*
+ 23856: 4596.*
+ 23857: 0d9b.*
+ 23858: 6ff8.*
+ 23859: 4597.*
+ 2385a: 0d9b.*
+ 2385b: 6f80.*
+ 2385c: 0d9c.*
+ 2385d: 6f88.*
+ 2385e: 0d9c.*
+ 2385f: 6f90.*
+ 23860: 0d9c.*
+ 23861: 6f98.*
+ 23862: 0d9c.*
+ 23863: 6fa0.*
+ 23864: 0d9c.*
+ 23865: 6fa8.*
+ 23866: 0d9c.*
+ 23867: 6fb0.*
+ 23868: 0d9c.*
+ 23869: 6fb8.*
+ 2386a: 0d9c.*
+ 2386b: 6fc0.*
+ 2386c: 0d9c.*
+ 2386d: 6fc8.*
+ 2386e: 0d9c.*
+ 2386f: 6fd0.*
+ 23870: 0d9c.*
+ 23871: 6fd8.*
+ 23872: 0d9c.*
+ 23873: 6fe0.*
+ 23874: 4598.*
+ 23875: 0d9c.*
+ 23876: 6fe8.*
+ 23877: 4599.*
+ 23878: 0d9c.*
+ 23879: 6ff0.*
+ 2387a: 459a.*
+ 2387b: 0d9c.*
+ 2387c: 6ff8.*
+ 2387d: 459b.*
+ 2387e: 0d9c.*
+ 2387f: 6f81.*
+ 23880: 0d9c.*
+ 23881: 6f89.*
+ 23882: 0d9c.*
+ 23883: 6f91.*
+ 23884: 0d9c.*
+ 23885: 6f99.*
+ 23886: 0d9c.*
+ 23887: 6fa1.*
+ 23888: 0d9c.*
+ 23889: 6fa9.*
+ 2388a: 0d9c.*
+ 2388b: 6fb1.*
+ 2388c: 0d9c.*
+ 2388d: 6fb9.*
+ 2388e: 0d9c.*
+ 2388f: 6fc1.*
+ 23890: 0d9c.*
+ 23891: 6fc9.*
+ 23892: 0d9c.*
+ 23893: 6fd1.*
+ 23894: 0d9c.*
+ 23895: 6fd9.*
+ 23896: 0d9c.*
+ 23897: 6fe1.*
+ 23898: 459c.*
+ 23899: 0d9c.*
+ 2389a: 6fe9.*
+ 2389b: 459d.*
+ 2389c: 0d9c.*
+ 2389d: 6ff1.*
+ 2389e: 459e.*
+ 2389f: 0d9c.*
+ 238a0: 6ff8.*
+ 238a1: 459f.*
+ 238a2: 0d9c.*
+ 238a3: 6f82.*
+ 238a4: 0d9c.*
+ 238a5: 6f8a.*
+ 238a6: 0d9c.*
+ 238a7: 6f92.*
+ 238a8: 0d9c.*
+ 238a9: 6f9a.*
+ 238aa: 0d9c.*
+ 238ab: 6fa2.*
+ 238ac: 0d9c.*
+ 238ad: 6faa.*
+ 238ae: 0d9c.*
+ 238af: 6fb2.*
+ 238b0: 0d9c.*
+ 238b1: 6fba.*
+ 238b2: 0d9c.*
+ 238b3: 6fc2.*
+ 238b4: 0d9c.*
+ 238b5: 6fca.*
+ 238b6: 0d9c.*
+ 238b7: 6fd2.*
+ 238b8: 0d9c.*
+ 238b9: 6fda.*
+ 238ba: 0d9c.*
+ 238bb: 6fe2.*
+ 238bc: 45a0.*
+ 238bd: 0d9c.*
+ 238be: 6fea.*
+ 238bf: 45a1.*
+ 238c0: 0d9c.*
+ 238c1: 6ff2.*
+ 238c2: 45a2.*
+ 238c3: 0d9c.*
+ 238c4: 6ff8.*
+ 238c5: 45a3.*
+ 238c6: 0d9c.*
+ 238c7: 6f83.*
+ 238c8: 0d9c.*
+ 238c9: 6f8b.*
+ 238ca: 0d9c.*
+ 238cb: 6f93.*
+ 238cc: 0d9c.*
+ 238cd: 6f9b.*
+ 238ce: 0d9c.*
+ 238cf: 6fa3.*
+ 238d0: 0d9c.*
+ 238d1: 6fab.*
+ 238d2: 0d9c.*
+ 238d3: 6fb3.*
+ 238d4: 0d9c.*
+ 238d5: 6fbb.*
+ 238d6: 0d9c.*
+ 238d7: 6fc3.*
+ 238d8: 0d9c.*
+ 238d9: 6fcb.*
+ 238da: 0d9c.*
+ 238db: 6fd3.*
+ 238dc: 0d9c.*
+ 238dd: 6fdb.*
+ 238de: 0d9c.*
+ 238df: 6fe3.*
+ 238e0: 45a4.*
+ 238e1: 0d9c.*
+ 238e2: 6feb.*
+ 238e3: 45a5.*
+ 238e4: 0d9c.*
+ 238e5: 6ff3.*
+ 238e6: 45a6.*
+ 238e7: 0d9c.*
+ 238e8: 6ff8.*
+ 238e9: 45a7.*
+ 238ea: 0d9c.*
+ 238eb: 6f84.*
+ 238ec: 0d9c.*
+ 238ed: 6f8c.*
+ 238ee: 0d9c.*
+ 238ef: 6f94.*
+ 238f0: 0d9c.*
+ 238f1: 6f9c.*
+ 238f2: 0d9c.*
+ 238f3: 6fa4.*
+ 238f4: 0d9c.*
+ 238f5: 6fac.*
+ 238f6: 0d9c.*
+ 238f7: 6fb4.*
+ 238f8: 0d9c.*
+ 238f9: 6fbc.*
+ 238fa: 0d9c.*
+ 238fb: 6fc4.*
+ 238fc: 0d9c.*
+ 238fd: 6fcc.*
+ 238fe: 0d9c.*
+ 238ff: 6fd4.*
+ 23900: 0d9c.*
+ 23901: 6fdc.*
+ 23902: 0d9c.*
+ 23903: 6fe4.*
+ 23904: 45a8.*
+ 23905: 0d9c.*
+ 23906: 6fec.*
+ 23907: 45a9.*
+ 23908: 0d9c.*
+ 23909: 6ff4.*
+ 2390a: 45aa.*
+ 2390b: 0d9c.*
+ 2390c: 6ff8.*
+ 2390d: 45ab.*
+ 2390e: 0d9c.*
+ 2390f: 6f85.*
+ 23910: 0d9c.*
+ 23911: 6f8d.*
+ 23912: 0d9c.*
+ 23913: 6f95.*
+ 23914: 0d9c.*
+ 23915: 6f9d.*
+ 23916: 0d9c.*
+ 23917: 6fa5.*
+ 23918: 0d9c.*
+ 23919: 6fad.*
+ 2391a: 0d9c.*
+ 2391b: 6fb5.*
+ 2391c: 0d9c.*
+ 2391d: 6fbd.*
+ 2391e: 0d9c.*
+ 2391f: 6fc5.*
+ 23920: 0d9c.*
+ 23921: 6fcd.*
+ 23922: 0d9c.*
+ 23923: 6fd5.*
+ 23924: 0d9c.*
+ 23925: 6fdd.*
+ 23926: 0d9c.*
+ 23927: 6fe5.*
+ 23928: 45ac.*
+ 23929: 0d9c.*
+ 2392a: 6fed.*
+ 2392b: 45ad.*
+ 2392c: 0d9c.*
+ 2392d: 6ff5.*
+ 2392e: 45ae.*
+ 2392f: 0d9c.*
+ 23930: 6ff8.*
+ 23931: 45af.*
+ 23932: 0d9c.*
+ 23933: 6f86.*
+ 23934: 0d9c.*
+ 23935: 6f8e.*
+ 23936: 0d9c.*
+ 23937: 6f96.*
+ 23938: 0d9c.*
+ 23939: 6f9e.*
+ 2393a: 0d9c.*
+ 2393b: 6fa6.*
+ 2393c: 0d9c.*
+ 2393d: 6fae.*
+ 2393e: 0d9c.*
+ 2393f: 6fb6.*
+ 23940: 0d9c.*
+ 23941: 6fbe.*
+ 23942: 0d9c.*
+ 23943: 6fc6.*
+ 23944: 0d9c.*
+ 23945: 6fce.*
+ 23946: 0d9c.*
+ 23947: 6fd6.*
+ 23948: 0d9c.*
+ 23949: 6fde.*
+ 2394a: 0d9c.*
+ 2394b: 6fe6.*
+ 2394c: 45b0.*
+ 2394d: 0d9c.*
+ 2394e: 6fee.*
+ 2394f: 45b1.*
+ 23950: 0d9c.*
+ 23951: 6ff6.*
+ 23952: 45b2.*
+ 23953: 0d9c.*
+ 23954: 6ff8.*
+ 23955: 45b3.*
+ 23956: 0d9c.*
+ 23957: 6f87.*
+ 23958: 0d9c.*
+ 23959: 6f8f.*
+ 2395a: 0d9c.*
+ 2395b: 6f97.*
+ 2395c: 0d9c.*
+ 2395d: 6f9f.*
+ 2395e: 0d9c.*
+ 2395f: 6fa7.*
+ 23960: 0d9c.*
+ 23961: 6faf.*
+ 23962: 0d9c.*
+ 23963: 6fb7.*
+ 23964: 0d9c.*
+ 23965: 6fbf.*
+ 23966: 0d9c.*
+ 23967: 6fc7.*
+ 23968: 0d9c.*
+ 23969: 6fcf.*
+ 2396a: 0d9c.*
+ 2396b: 6fd7.*
+ 2396c: 0d9c.*
+ 2396d: 6fdf.*
+ 2396e: 0d9c.*
+ 2396f: 6fe7.*
+ 23970: 45b4.*
+ 23971: 0d9c.*
+ 23972: 6fef.*
+ 23973: 45b5.*
+ 23974: 0d9c.*
+ 23975: 6ff7.*
+ 23976: 45b6.*
+ 23977: 0d9c.*
+ 23978: 6ff8.*
+ 23979: 45b7.*
+ 2397a: 0d9c.*
+ 2397b: 6f80.*
+ 2397c: 0d9d.*
+ 2397d: 6f88.*
+ 2397e: 0d9d.*
+ 2397f: 6f90.*
+ 23980: 0d9d.*
+ 23981: 6f98.*
+ 23982: 0d9d.*
+ 23983: 6fa0.*
+ 23984: 0d9d.*
+ 23985: 6fa8.*
+ 23986: 0d9d.*
+ 23987: 6fb0.*
+ 23988: 0d9d.*
+ 23989: 6fb8.*
+ 2398a: 0d9d.*
+ 2398b: 6fc0.*
+ 2398c: 0d9d.*
+ 2398d: 6fc8.*
+ 2398e: 0d9d.*
+ 2398f: 6fd0.*
+ 23990: 0d9d.*
+ 23991: 6fd8.*
+ 23992: 0d9d.*
+ 23993: 6fe0.*
+ 23994: 45b8.*
+ 23995: 0d9d.*
+ 23996: 6fe8.*
+ 23997: 45b9.*
+ 23998: 0d9d.*
+ 23999: 6ff0.*
+ 2399a: 45ba.*
+ 2399b: 0d9d.*
+ 2399c: 6ff8.*
+ 2399d: 45bb.*
+ 2399e: 0d9d.*
+ 2399f: 6f81.*
+ 239a0: 0d9d.*
+ 239a1: 6f89.*
+ 239a2: 0d9d.*
+ 239a3: 6f91.*
+ 239a4: 0d9d.*
+ 239a5: 6f99.*
+ 239a6: 0d9d.*
+ 239a7: 6fa1.*
+ 239a8: 0d9d.*
+ 239a9: 6fa9.*
+ 239aa: 0d9d.*
+ 239ab: 6fb1.*
+ 239ac: 0d9d.*
+ 239ad: 6fb9.*
+ 239ae: 0d9d.*
+ 239af: 6fc1.*
+ 239b0: 0d9d.*
+ 239b1: 6fc9.*
+ 239b2: 0d9d.*
+ 239b3: 6fd1.*
+ 239b4: 0d9d.*
+ 239b5: 6fd9.*
+ 239b6: 0d9d.*
+ 239b7: 6fe1.*
+ 239b8: 45bc.*
+ 239b9: 0d9d.*
+ 239ba: 6fe9.*
+ 239bb: 45bd.*
+ 239bc: 0d9d.*
+ 239bd: 6ff1.*
+ 239be: 45be.*
+ 239bf: 0d9d.*
+ 239c0: 6ff8.*
+ 239c1: 45bf.*
+ 239c2: 0d9d.*
+ 239c3: 6f82.*
+ 239c4: 0d9d.*
+ 239c5: 6f8a.*
+ 239c6: 0d9d.*
+ 239c7: 6f92.*
+ 239c8: 0d9d.*
+ 239c9: 6f9a.*
+ 239ca: 0d9d.*
+ 239cb: 6fa2.*
+ 239cc: 0d9d.*
+ 239cd: 6faa.*
+ 239ce: 0d9d.*
+ 239cf: 6fb2.*
+ 239d0: 0d9d.*
+ 239d1: 6fba.*
+ 239d2: 0d9d.*
+ 239d3: 6fc2.*
+ 239d4: 0d9d.*
+ 239d5: 6fca.*
+ 239d6: 0d9d.*
+ 239d7: 6fd2.*
+ 239d8: 0d9d.*
+ 239d9: 6fda.*
+ 239da: 0d9d.*
+ 239db: 6fe2.*
+ 239dc: 45c0.*
+ 239dd: 0d9d.*
+ 239de: 6fea.*
+ 239df: 45c1.*
+ 239e0: 0d9d.*
+ 239e1: 6ff2.*
+ 239e2: 45c2.*
+ 239e3: 0d9d.*
+ 239e4: 6ff8.*
+ 239e5: 45c3.*
+ 239e6: 0d9d.*
+ 239e7: 6f83.*
+ 239e8: 0d9d.*
+ 239e9: 6f8b.*
+ 239ea: 0d9d.*
+ 239eb: 6f93.*
+ 239ec: 0d9d.*
+ 239ed: 6f9b.*
+ 239ee: 0d9d.*
+ 239ef: 6fa3.*
+ 239f0: 0d9d.*
+ 239f1: 6fab.*
+ 239f2: 0d9d.*
+ 239f3: 6fb3.*
+ 239f4: 0d9d.*
+ 239f5: 6fbb.*
+ 239f6: 0d9d.*
+ 239f7: 6fc3.*
+ 239f8: 0d9d.*
+ 239f9: 6fcb.*
+ 239fa: 0d9d.*
+ 239fb: 6fd3.*
+ 239fc: 0d9d.*
+ 239fd: 6fdb.*
+ 239fe: 0d9d.*
+ 239ff: 6fe3.*
+ 23a00: 45c4.*
+ 23a01: 0d9d.*
+ 23a02: 6feb.*
+ 23a03: 45c5.*
+ 23a04: 0d9d.*
+ 23a05: 6ff3.*
+ 23a06: 45c6.*
+ 23a07: 0d9d.*
+ 23a08: 6ff8.*
+ 23a09: 45c7.*
+ 23a0a: 0d9d.*
+ 23a0b: 6f84.*
+ 23a0c: 0d9d.*
+ 23a0d: 6f8c.*
+ 23a0e: 0d9d.*
+ 23a0f: 6f94.*
+ 23a10: 0d9d.*
+ 23a11: 6f9c.*
+ 23a12: 0d9d.*
+ 23a13: 6fa4.*
+ 23a14: 0d9d.*
+ 23a15: 6fac.*
+ 23a16: 0d9d.*
+ 23a17: 6fb4.*
+ 23a18: 0d9d.*
+ 23a19: 6fbc.*
+ 23a1a: 0d9d.*
+ 23a1b: 6fc4.*
+ 23a1c: 0d9d.*
+ 23a1d: 6fcc.*
+ 23a1e: 0d9d.*
+ 23a1f: 6fd4.*
+ 23a20: 0d9d.*
+ 23a21: 6fdc.*
+ 23a22: 0d9d.*
+ 23a23: 6fe4.*
+ 23a24: 45c8.*
+ 23a25: 0d9d.*
+ 23a26: 6fec.*
+ 23a27: 45c9.*
+ 23a28: 0d9d.*
+ 23a29: 6ff4.*
+ 23a2a: 45ca.*
+ 23a2b: 0d9d.*
+ 23a2c: 6ff8.*
+ 23a2d: 45cb.*
+ 23a2e: 0d9d.*
+ 23a2f: 6f85.*
+ 23a30: 0d9d.*
+ 23a31: 6f8d.*
+ 23a32: 0d9d.*
+ 23a33: 6f95.*
+ 23a34: 0d9d.*
+ 23a35: 6f9d.*
+ 23a36: 0d9d.*
+ 23a37: 6fa5.*
+ 23a38: 0d9d.*
+ 23a39: 6fad.*
+ 23a3a: 0d9d.*
+ 23a3b: 6fb5.*
+ 23a3c: 0d9d.*
+ 23a3d: 6fbd.*
+ 23a3e: 0d9d.*
+ 23a3f: 6fc5.*
+ 23a40: 0d9d.*
+ 23a41: 6fcd.*
+ 23a42: 0d9d.*
+ 23a43: 6fd5.*
+ 23a44: 0d9d.*
+ 23a45: 6fdd.*
+ 23a46: 0d9d.*
+ 23a47: 6fe5.*
+ 23a48: 45cc.*
+ 23a49: 0d9d.*
+ 23a4a: 6fed.*
+ 23a4b: 45cd.*
+ 23a4c: 0d9d.*
+ 23a4d: 6ff5.*
+ 23a4e: 45ce.*
+ 23a4f: 0d9d.*
+ 23a50: 6ff8.*
+ 23a51: 45cf.*
+ 23a52: 0d9d.*
+ 23a53: 6f86.*
+ 23a54: 0d9d.*
+ 23a55: 6f8e.*
+ 23a56: 0d9d.*
+ 23a57: 6f96.*
+ 23a58: 0d9d.*
+ 23a59: 6f9e.*
+ 23a5a: 0d9d.*
+ 23a5b: 6fa6.*
+ 23a5c: 0d9d.*
+ 23a5d: 6fae.*
+ 23a5e: 0d9d.*
+ 23a5f: 6fb6.*
+ 23a60: 0d9d.*
+ 23a61: 6fbe.*
+ 23a62: 0d9d.*
+ 23a63: 6fc6.*
+ 23a64: 0d9d.*
+ 23a65: 6fce.*
+ 23a66: 0d9d.*
+ 23a67: 6fd6.*
+ 23a68: 0d9d.*
+ 23a69: 6fde.*
+ 23a6a: 0d9d.*
+ 23a6b: 6fe6.*
+ 23a6c: 45d0.*
+ 23a6d: 0d9d.*
+ 23a6e: 6fee.*
+ 23a6f: 45d1.*
+ 23a70: 0d9d.*
+ 23a71: 6ff6.*
+ 23a72: 45d2.*
+ 23a73: 0d9d.*
+ 23a74: 6ff8.*
+ 23a75: 45d3.*
+ 23a76: 0d9d.*
+ 23a77: 6f87.*
+ 23a78: 0d9d.*
+ 23a79: 6f8f.*
+ 23a7a: 0d9d.*
+ 23a7b: 6f97.*
+ 23a7c: 0d9d.*
+ 23a7d: 6f9f.*
+ 23a7e: 0d9d.*
+ 23a7f: 6fa7.*
+ 23a80: 0d9d.*
+ 23a81: 6faf.*
+ 23a82: 0d9d.*
+ 23a83: 6fb7.*
+ 23a84: 0d9d.*
+ 23a85: 6fbf.*
+ 23a86: 0d9d.*
+ 23a87: 6fc7.*
+ 23a88: 0d9d.*
+ 23a89: 6fcf.*
+ 23a8a: 0d9d.*
+ 23a8b: 6fd7.*
+ 23a8c: 0d9d.*
+ 23a8d: 6fdf.*
+ 23a8e: 0d9d.*
+ 23a8f: 6fe7.*
+ 23a90: 45d4.*
+ 23a91: 0d9d.*
+ 23a92: 6fef.*
+ 23a93: 45d5.*
+ 23a94: 0d9d.*
+ 23a95: 6ff7.*
+ 23a96: 45d6.*
+ 23a97: 0d9d.*
+ 23a98: 6ff8.*
+ 23a99: 45d7.*
+ 23a9a: 0d9d.*
+ 23a9b: 6f80.*
+ 23a9c: 0d9e.*
+ 23a9d: 6f88.*
+ 23a9e: 0d9e.*
+ 23a9f: 6f90.*
+ 23aa0: 0d9e.*
+ 23aa1: 6f98.*
+ 23aa2: 0d9e.*
+ 23aa3: 6fa0.*
+ 23aa4: 0d9e.*
+ 23aa5: 6fa8.*
+ 23aa6: 0d9e.*
+ 23aa7: 6fb0.*
+ 23aa8: 0d9e.*
+ 23aa9: 6fb8.*
+ 23aaa: 0d9e.*
+ 23aab: 6fc0.*
+ 23aac: 0d9e.*
+ 23aad: 6fc8.*
+ 23aae: 0d9e.*
+ 23aaf: 6fd0.*
+ 23ab0: 0d9e.*
+ 23ab1: 6fd8.*
+ 23ab2: 0d9e.*
+ 23ab3: 6fe0.*
+ 23ab4: 45d8.*
+ 23ab5: 0d9e.*
+ 23ab6: 6fe8.*
+ 23ab7: 45d9.*
+ 23ab8: 0d9e.*
+ 23ab9: 6ff0.*
+ 23aba: 45da.*
+ 23abb: 0d9e.*
+ 23abc: 6ff8.*
+ 23abd: 45db.*
+ 23abe: 0d9e.*
+ 23abf: 6f81.*
+ 23ac0: 0d9e.*
+ 23ac1: 6f89.*
+ 23ac2: 0d9e.*
+ 23ac3: 6f91.*
+ 23ac4: 0d9e.*
+ 23ac5: 6f99.*
+ 23ac6: 0d9e.*
+ 23ac7: 6fa1.*
+ 23ac8: 0d9e.*
+ 23ac9: 6fa9.*
+ 23aca: 0d9e.*
+ 23acb: 6fb1.*
+ 23acc: 0d9e.*
+ 23acd: 6fb9.*
+ 23ace: 0d9e.*
+ 23acf: 6fc1.*
+ 23ad0: 0d9e.*
+ 23ad1: 6fc9.*
+ 23ad2: 0d9e.*
+ 23ad3: 6fd1.*
+ 23ad4: 0d9e.*
+ 23ad5: 6fd9.*
+ 23ad6: 0d9e.*
+ 23ad7: 6fe1.*
+ 23ad8: 45dc.*
+ 23ad9: 0d9e.*
+ 23ada: 6fe9.*
+ 23adb: 45dd.*
+ 23adc: 0d9e.*
+ 23add: 6ff1.*
+ 23ade: 45de.*
+ 23adf: 0d9e.*
+ 23ae0: 6ff8.*
+ 23ae1: 45df.*
+ 23ae2: 0d9e.*
+ 23ae3: 6f82.*
+ 23ae4: 0d9e.*
+ 23ae5: 6f8a.*
+ 23ae6: 0d9e.*
+ 23ae7: 6f92.*
+ 23ae8: 0d9e.*
+ 23ae9: 6f9a.*
+ 23aea: 0d9e.*
+ 23aeb: 6fa2.*
+ 23aec: 0d9e.*
+ 23aed: 6faa.*
+ 23aee: 0d9e.*
+ 23aef: 6fb2.*
+ 23af0: 0d9e.*
+ 23af1: 6fba.*
+ 23af2: 0d9e.*
+ 23af3: 6fc2.*
+ 23af4: 0d9e.*
+ 23af5: 6fca.*
+ 23af6: 0d9e.*
+ 23af7: 6fd2.*
+ 23af8: 0d9e.*
+ 23af9: 6fda.*
+ 23afa: 0d9e.*
+ 23afb: 6fe2.*
+ 23afc: 45e0.*
+ 23afd: 0d9e.*
+ 23afe: 6fea.*
+ 23aff: 45e1.*
+ 23b00: 0d9e.*
+ 23b01: 6ff2.*
+ 23b02: 45e2.*
+ 23b03: 0d9e.*
+ 23b04: 6ff8.*
+ 23b05: 45e3.*
+ 23b06: 0d9e.*
+ 23b07: 6f83.*
+ 23b08: 0d9e.*
+ 23b09: 6f8b.*
+ 23b0a: 0d9e.*
+ 23b0b: 6f93.*
+ 23b0c: 0d9e.*
+ 23b0d: 6f9b.*
+ 23b0e: 0d9e.*
+ 23b0f: 6fa3.*
+ 23b10: 0d9e.*
+ 23b11: 6fab.*
+ 23b12: 0d9e.*
+ 23b13: 6fb3.*
+ 23b14: 0d9e.*
+ 23b15: 6fbb.*
+ 23b16: 0d9e.*
+ 23b17: 6fc3.*
+ 23b18: 0d9e.*
+ 23b19: 6fcb.*
+ 23b1a: 0d9e.*
+ 23b1b: 6fd3.*
+ 23b1c: 0d9e.*
+ 23b1d: 6fdb.*
+ 23b1e: 0d9e.*
+ 23b1f: 6fe3.*
+ 23b20: 45e4.*
+ 23b21: 0d9e.*
+ 23b22: 6feb.*
+ 23b23: 45e5.*
+ 23b24: 0d9e.*
+ 23b25: 6ff3.*
+ 23b26: 45e6.*
+ 23b27: 0d9e.*
+ 23b28: 6ff8.*
+ 23b29: 45e7.*
+ 23b2a: 0d9e.*
+ 23b2b: 6f84.*
+ 23b2c: 0d9e.*
+ 23b2d: 6f8c.*
+ 23b2e: 0d9e.*
+ 23b2f: 6f94.*
+ 23b30: 0d9e.*
+ 23b31: 6f9c.*
+ 23b32: 0d9e.*
+ 23b33: 6fa4.*
+ 23b34: 0d9e.*
+ 23b35: 6fac.*
+ 23b36: 0d9e.*
+ 23b37: 6fb4.*
+ 23b38: 0d9e.*
+ 23b39: 6fbc.*
+ 23b3a: 0d9e.*
+ 23b3b: 6fc4.*
+ 23b3c: 0d9e.*
+ 23b3d: 6fcc.*
+ 23b3e: 0d9e.*
+ 23b3f: 6fd4.*
+ 23b40: 0d9e.*
+ 23b41: 6fdc.*
+ 23b42: 0d9e.*
+ 23b43: 6fe4.*
+ 23b44: 45e8.*
+ 23b45: 0d9e.*
+ 23b46: 6fec.*
+ 23b47: 45e9.*
+ 23b48: 0d9e.*
+ 23b49: 6ff4.*
+ 23b4a: 45ea.*
+ 23b4b: 0d9e.*
+ 23b4c: 6ff8.*
+ 23b4d: 45eb.*
+ 23b4e: 0d9e.*
+ 23b4f: 6f85.*
+ 23b50: 0d9e.*
+ 23b51: 6f8d.*
+ 23b52: 0d9e.*
+ 23b53: 6f95.*
+ 23b54: 0d9e.*
+ 23b55: 6f9d.*
+ 23b56: 0d9e.*
+ 23b57: 6fa5.*
+ 23b58: 0d9e.*
+ 23b59: 6fad.*
+ 23b5a: 0d9e.*
+ 23b5b: 6fb5.*
+ 23b5c: 0d9e.*
+ 23b5d: 6fbd.*
+ 23b5e: 0d9e.*
+ 23b5f: 6fc5.*
+ 23b60: 0d9e.*
+ 23b61: 6fcd.*
+ 23b62: 0d9e.*
+ 23b63: 6fd5.*
+ 23b64: 0d9e.*
+ 23b65: 6fdd.*
+ 23b66: 0d9e.*
+ 23b67: 6fe5.*
+ 23b68: 45ec.*
+ 23b69: 0d9e.*
+ 23b6a: 6fed.*
+ 23b6b: 45ed.*
+ 23b6c: 0d9e.*
+ 23b6d: 6ff5.*
+ 23b6e: 45ee.*
+ 23b6f: 0d9e.*
+ 23b70: 6ff8.*
+ 23b71: 45ef.*
+ 23b72: 0d9e.*
+ 23b73: 6f86.*
+ 23b74: 0d9e.*
+ 23b75: 6f8e.*
+ 23b76: 0d9e.*
+ 23b77: 6f96.*
+ 23b78: 0d9e.*
+ 23b79: 6f9e.*
+ 23b7a: 0d9e.*
+ 23b7b: 6fa6.*
+ 23b7c: 0d9e.*
+ 23b7d: 6fae.*
+ 23b7e: 0d9e.*
+ 23b7f: 6fb6.*
+ 23b80: 0d9e.*
+ 23b81: 6fbe.*
+ 23b82: 0d9e.*
+ 23b83: 6fc6.*
+ 23b84: 0d9e.*
+ 23b85: 6fce.*
+ 23b86: 0d9e.*
+ 23b87: 6fd6.*
+ 23b88: 0d9e.*
+ 23b89: 6fde.*
+ 23b8a: 0d9e.*
+ 23b8b: 6fe6.*
+ 23b8c: 45f0.*
+ 23b8d: 0d9e.*
+ 23b8e: 6fee.*
+ 23b8f: 45f1.*
+ 23b90: 0d9e.*
+ 23b91: 6ff6.*
+ 23b92: 45f2.*
+ 23b93: 0d9e.*
+ 23b94: 6ff8.*
+ 23b95: 45f3.*
+ 23b96: 0d9e.*
+ 23b97: 6f87.*
+ 23b98: 0d9e.*
+ 23b99: 6f8f.*
+ 23b9a: 0d9e.*
+ 23b9b: 6f97.*
+ 23b9c: 0d9e.*
+ 23b9d: 6f9f.*
+ 23b9e: 0d9e.*
+ 23b9f: 6fa7.*
+ 23ba0: 0d9e.*
+ 23ba1: 6faf.*
+ 23ba2: 0d9e.*
+ 23ba3: 6fb7.*
+ 23ba4: 0d9e.*
+ 23ba5: 6fbf.*
+ 23ba6: 0d9e.*
+ 23ba7: 6fc7.*
+ 23ba8: 0d9e.*
+ 23ba9: 6fcf.*
+ 23baa: 0d9e.*
+ 23bab: 6fd7.*
+ 23bac: 0d9e.*
+ 23bad: 6fdf.*
+ 23bae: 0d9e.*
+ 23baf: 6fe7.*
+ 23bb0: 45f4.*
+ 23bb1: 0d9e.*
+ 23bb2: 6fef.*
+ 23bb3: 45f5.*
+ 23bb4: 0d9e.*
+ 23bb5: 6ff7.*
+ 23bb6: 45f6.*
+ 23bb7: 0d9e.*
+ 23bb8: 6ff8.*
+ 23bb9: 45f7.*
+ 23bba: 0d9e.*
+ 23bbb: 6f80.*
+ 23bbc: 0d9f.*
+ 23bbd: 6f88.*
+ 23bbe: 0d9f.*
+ 23bbf: 6f90.*
+ 23bc0: 0d9f.*
+ 23bc1: 6f98.*
+ 23bc2: 0d9f.*
+ 23bc3: 6fa0.*
+ 23bc4: 0d9f.*
+ 23bc5: 6fa8.*
+ 23bc6: 0d9f.*
+ 23bc7: 6fb0.*
+ 23bc8: 0d9f.*
+ 23bc9: 6fb8.*
+ 23bca: 0d9f.*
+ 23bcb: 6fc0.*
+ 23bcc: 0d9f.*
+ 23bcd: 6fc8.*
+ 23bce: 0d9f.*
+ 23bcf: 6fd0.*
+ 23bd0: 0d9f.*
+ 23bd1: 6fd8.*
+ 23bd2: 0d9f.*
+ 23bd3: 6fe0.*
+ 23bd4: 45f8.*
+ 23bd5: 0d9f.*
+ 23bd6: 6fe8.*
+ 23bd7: 45f9.*
+ 23bd8: 0d9f.*
+ 23bd9: 6ff0.*
+ 23bda: 45fa.*
+ 23bdb: 0d9f.*
+ 23bdc: 6ff8.*
+ 23bdd: 45fb.*
+ 23bde: 0d9f.*
+ 23bdf: 6f81.*
+ 23be0: 0d9f.*
+ 23be1: 6f89.*
+ 23be2: 0d9f.*
+ 23be3: 6f91.*
+ 23be4: 0d9f.*
+ 23be5: 6f99.*
+ 23be6: 0d9f.*
+ 23be7: 6fa1.*
+ 23be8: 0d9f.*
+ 23be9: 6fa9.*
+ 23bea: 0d9f.*
+ 23beb: 6fb1.*
+ 23bec: 0d9f.*
+ 23bed: 6fb9.*
+ 23bee: 0d9f.*
+ 23bef: 6fc1.*
+ 23bf0: 0d9f.*
+ 23bf1: 6fc9.*
+ 23bf2: 0d9f.*
+ 23bf3: 6fd1.*
+ 23bf4: 0d9f.*
+ 23bf5: 6fd9.*
+ 23bf6: 0d9f.*
+ 23bf7: 6fe1.*
+ 23bf8: 45fc.*
+ 23bf9: 0d9f.*
+ 23bfa: 6fe9.*
+ 23bfb: 45fd.*
+ 23bfc: 0d9f.*
+ 23bfd: 6ff1.*
+ 23bfe: 45fe.*
+ 23bff: 0d9f.*
+ 23c00: 6ff8.*
+ 23c01: 45ff.*
+ 23c02: 0d9f.*
+ 23c03: 6f82.*
+ 23c04: 0d9f.*
+ 23c05: 6f8a.*
+ 23c06: 0d9f.*
+ 23c07: 6f92.*
+ 23c08: 0d9f.*
+ 23c09: 6f9a.*
+ 23c0a: 0d9f.*
+ 23c0b: 6fa2.*
+ 23c0c: 0d9f.*
+ 23c0d: 6faa.*
+ 23c0e: 0d9f.*
+ 23c0f: 6fb2.*
+ 23c10: 0d9f.*
+ 23c11: 6fba.*
+ 23c12: 0d9f.*
+ 23c13: 6fc2.*
+ 23c14: 0d9f.*
+ 23c15: 6fca.*
+ 23c16: 0d9f.*
+ 23c17: 6fd2.*
+ 23c18: 0d9f.*
+ 23c19: 6fda.*
+ 23c1a: 0d9f.*
+ 23c1b: 6fe2.*
+ 23c1c: 4600.*
+ 23c1d: 0d9f.*
+ 23c1e: 6fea.*
+ 23c1f: 4601.*
+ 23c20: 0d9f.*
+ 23c21: 6ff2.*
+ 23c22: 4602.*
+ 23c23: 0d9f.*
+ 23c24: 6ff8.*
+ 23c25: 4603.*
+ 23c26: 0d9f.*
+ 23c27: 6f83.*
+ 23c28: 0d9f.*
+ 23c29: 6f8b.*
+ 23c2a: 0d9f.*
+ 23c2b: 6f93.*
+ 23c2c: 0d9f.*
+ 23c2d: 6f9b.*
+ 23c2e: 0d9f.*
+ 23c2f: 6fa3.*
+ 23c30: 0d9f.*
+ 23c31: 6fab.*
+ 23c32: 0d9f.*
+ 23c33: 6fb3.*
+ 23c34: 0d9f.*
+ 23c35: 6fbb.*
+ 23c36: 0d9f.*
+ 23c37: 6fc3.*
+ 23c38: 0d9f.*
+ 23c39: 6fcb.*
+ 23c3a: 0d9f.*
+ 23c3b: 6fd3.*
+ 23c3c: 0d9f.*
+ 23c3d: 6fdb.*
+ 23c3e: 0d9f.*
+ 23c3f: 6fe3.*
+ 23c40: 4604.*
+ 23c41: 0d9f.*
+ 23c42: 6feb.*
+ 23c43: 4605.*
+ 23c44: 0d9f.*
+ 23c45: 6ff3.*
+ 23c46: 4606.*
+ 23c47: 0d9f.*
+ 23c48: 6ff8.*
+ 23c49: 4607.*
+ 23c4a: 0d9f.*
+ 23c4b: 6f84.*
+ 23c4c: 0d9f.*
+ 23c4d: 6f8c.*
+ 23c4e: 0d9f.*
+ 23c4f: 6f94.*
+ 23c50: 0d9f.*
+ 23c51: 6f9c.*
+ 23c52: 0d9f.*
+ 23c53: 6fa4.*
+ 23c54: 0d9f.*
+ 23c55: 6fac.*
+ 23c56: 0d9f.*
+ 23c57: 6fb4.*
+ 23c58: 0d9f.*
+ 23c59: 6fbc.*
+ 23c5a: 0d9f.*
+ 23c5b: 6fc4.*
+ 23c5c: 0d9f.*
+ 23c5d: 6fcc.*
+ 23c5e: 0d9f.*
+ 23c5f: 6fd4.*
+ 23c60: 0d9f.*
+ 23c61: 6fdc.*
+ 23c62: 0d9f.*
+ 23c63: 6fe4.*
+ 23c64: 4608.*
+ 23c65: 0d9f.*
+ 23c66: 6fec.*
+ 23c67: 4609.*
+ 23c68: 0d9f.*
+ 23c69: 6ff4.*
+ 23c6a: 460a.*
+ 23c6b: 0d9f.*
+ 23c6c: 6ff8.*
+ 23c6d: 460b.*
+ 23c6e: 0d9f.*
+ 23c6f: 6f85.*
+ 23c70: 0d9f.*
+ 23c71: 6f8d.*
+ 23c72: 0d9f.*
+ 23c73: 6f95.*
+ 23c74: 0d9f.*
+ 23c75: 6f9d.*
+ 23c76: 0d9f.*
+ 23c77: 6fa5.*
+ 23c78: 0d9f.*
+ 23c79: 6fad.*
+ 23c7a: 0d9f.*
+ 23c7b: 6fb5.*
+ 23c7c: 0d9f.*
+ 23c7d: 6fbd.*
+ 23c7e: 0d9f.*
+ 23c7f: 6fc5.*
+ 23c80: 0d9f.*
+ 23c81: 6fcd.*
+ 23c82: 0d9f.*
+ 23c83: 6fd5.*
+ 23c84: 0d9f.*
+ 23c85: 6fdd.*
+ 23c86: 0d9f.*
+ 23c87: 6fe5.*
+ 23c88: 460c.*
+ 23c89: 0d9f.*
+ 23c8a: 6fed.*
+ 23c8b: 460d.*
+ 23c8c: 0d9f.*
+ 23c8d: 6ff5.*
+ 23c8e: 460e.*
+ 23c8f: 0d9f.*
+ 23c90: 6ff8.*
+ 23c91: 460f.*
+ 23c92: 0d9f.*
+ 23c93: 6f86.*
+ 23c94: 0d9f.*
+ 23c95: 6f8e.*
+ 23c96: 0d9f.*
+ 23c97: 6f96.*
+ 23c98: 0d9f.*
+ 23c99: 6f9e.*
+ 23c9a: 0d9f.*
+ 23c9b: 6fa6.*
+ 23c9c: 0d9f.*
+ 23c9d: 6fae.*
+ 23c9e: 0d9f.*
+ 23c9f: 6fb6.*
+ 23ca0: 0d9f.*
+ 23ca1: 6fbe.*
+ 23ca2: 0d9f.*
+ 23ca3: 6fc6.*
+ 23ca4: 0d9f.*
+ 23ca5: 6fce.*
+ 23ca6: 0d9f.*
+ 23ca7: 6fd6.*
+ 23ca8: 0d9f.*
+ 23ca9: 6fde.*
+ 23caa: 0d9f.*
+ 23cab: 6fe6.*
+ 23cac: 4610.*
+ 23cad: 0d9f.*
+ 23cae: 6fee.*
+ 23caf: 4611.*
+ 23cb0: 0d9f.*
+ 23cb1: 6ff6.*
+ 23cb2: 4612.*
+ 23cb3: 0d9f.*
+ 23cb4: 6ff8.*
+ 23cb5: 4613.*
+ 23cb6: 0d9f.*
+ 23cb7: 6f87.*
+ 23cb8: 0d9f.*
+ 23cb9: 6f8f.*
+ 23cba: 0d9f.*
+ 23cbb: 6f97.*
+ 23cbc: 0d9f.*
+ 23cbd: 6f9f.*
+ 23cbe: 0d9f.*
+ 23cbf: 6fa7.*
+ 23cc0: 0d9f.*
+ 23cc1: 6faf.*
+ 23cc2: 0d9f.*
+ 23cc3: 6fb7.*
+ 23cc4: 0d9f.*
+ 23cc5: 6fbf.*
+ 23cc6: 0d9f.*
+ 23cc7: 6fc7.*
+ 23cc8: 0d9f.*
+ 23cc9: 6fcf.*
+ 23cca: 0d9f.*
+ 23ccb: 6fd7.*
+ 23ccc: 0d9f.*
+ 23ccd: 6fdf.*
+ 23cce: 0d9f.*
+ 23ccf: 6fe7.*
+ 23cd0: 4614.*
+ 23cd1: 0d9f.*
+ 23cd2: 6fef.*
+ 23cd3: 4615.*
+ 23cd4: 0d9f.*
+ 23cd5: 6ff7.*
+ 23cd6: 4616.*
+ 23cd7: 0d9f.*
+ 23cd8: 6ff8.*
+ 23cd9: 4617.*
+ 23cda: 0d9f.*
+ 23cdb: 8180.*
+ 23cdc: 8188.*
+ 23cdd: 8190.*
+ 23cde: 8198.*
+ 23cdf: 81a0.*
+ 23ce0: 81a8.*
+ 23ce1: 81b0.*
+ 23ce2: 81b8.*
+ 23ce3: 81c0.*
+ 23ce4: 81c8.*
+ 23ce5: 81d0.*
+ 23ce6: 81d8.*
+ 23ce7: 81e0.*
+ 23ce8: 4618.*
+ 23ce9: 81e8.*
+ 23cea: 4619.*
+ 23ceb: 81f0.*
+ 23cec: 461a.*
+ 23ced: 81f8.*
+ 23cee: 461b.*
+ 23cef: 8181.*
+ 23cf0: 8189.*
+ 23cf1: 8191.*
+ 23cf2: 8199.*
+ 23cf3: 81a1.*
+ 23cf4: 81a9.*
+ 23cf5: 81b1.*
+ 23cf6: 81b9.*
+ 23cf7: 81c1.*
+ 23cf8: 81c9.*
+ 23cf9: 81d1.*
+ 23cfa: 81d9.*
+ 23cfb: 81e1.*
+ 23cfc: 461c.*
+ 23cfd: 81e9.*
+ 23cfe: 461d.*
+ 23cff: 81f1.*
+ 23d00: 461e.*
+ 23d01: 81f8.*
+ 23d02: 461f.*
+ 23d03: 8182.*
+ 23d04: 818a.*
+ 23d05: 8192.*
+ 23d06: 819a.*
+ 23d07: 81a2.*
+ 23d08: 81aa.*
+ 23d09: 81b2.*
+ 23d0a: 81ba.*
+ 23d0b: 81c2.*
+ 23d0c: 81ca.*
+ 23d0d: 81d2.*
+ 23d0e: 81da.*
+ 23d0f: 81e2.*
+ 23d10: 4620.*
+ 23d11: 81ea.*
+ 23d12: 4621.*
+ 23d13: 81f2.*
+ 23d14: 4622.*
+ 23d15: 81f8.*
+ 23d16: 4623.*
+ 23d17: 8183.*
+ 23d18: 818b.*
+ 23d19: 8193.*
+ 23d1a: 819b.*
+ 23d1b: 81a3.*
+ 23d1c: 81ab.*
+ 23d1d: 81b3.*
+ 23d1e: 81bb.*
+ 23d1f: 81c3.*
+ 23d20: 81cb.*
+ 23d21: 81d3.*
+ 23d22: 81db.*
+ 23d23: 81e3.*
+ 23d24: 4624.*
+ 23d25: 81eb.*
+ 23d26: 4625.*
+ 23d27: 81f3.*
+ 23d28: 4626.*
+ 23d29: 81f8.*
+ 23d2a: 4627.*
+ 23d2b: 8184.*
+ 23d2c: 818c.*
+ 23d2d: 8194.*
+ 23d2e: 819c.*
+ 23d2f: 81a4.*
+ 23d30: 81ac.*
+ 23d31: 81b4.*
+ 23d32: 81bc.*
+ 23d33: 81c4.*
+ 23d34: 81cc.*
+ 23d35: 81d4.*
+ 23d36: 81dc.*
+ 23d37: 81e4.*
+ 23d38: 4628.*
+ 23d39: 81ec.*
+ 23d3a: 4629.*
+ 23d3b: 81f4.*
+ 23d3c: 462a.*
+ 23d3d: 81f8.*
+ 23d3e: 462b.*
+ 23d3f: 8185.*
+ 23d40: 818d.*
+ 23d41: 8195.*
+ 23d42: 819d.*
+ 23d43: 81a5.*
+ 23d44: 81ad.*
+ 23d45: 81b5.*
+ 23d46: 81bd.*
+ 23d47: 81c5.*
+ 23d48: 81cd.*
+ 23d49: 81d5.*
+ 23d4a: 81dd.*
+ 23d4b: 81e5.*
+ 23d4c: 462c.*
+ 23d4d: 81ed.*
+ 23d4e: 462d.*
+ 23d4f: 81f5.*
+ 23d50: 462e.*
+ 23d51: 81f8.*
+ 23d52: 462f.*
+ 23d53: 8186.*
+ 23d54: 818e.*
+ 23d55: 8196.*
+ 23d56: 819e.*
+ 23d57: 81a6.*
+ 23d58: 81ae.*
+ 23d59: 81b6.*
+ 23d5a: 81be.*
+ 23d5b: 81c6.*
+ 23d5c: 81ce.*
+ 23d5d: 81d6.*
+ 23d5e: 81de.*
+ 23d5f: 81e6.*
+ 23d60: 4630.*
+ 23d61: 81ee.*
+ 23d62: 4631.*
+ 23d63: 81f6.*
+ 23d64: 4632.*
+ 23d65: 81f8.*
+ 23d66: 4633.*
+ 23d67: 8187.*
+ 23d68: 818f.*
+ 23d69: 8197.*
+ 23d6a: 819f.*
+ 23d6b: 81a7.*
+ 23d6c: 81af.*
+ 23d6d: 81b7.*
+ 23d6e: 81bf.*
+ 23d6f: 81c7.*
+ 23d70: 81cf.*
+ 23d71: 81d7.*
+ 23d72: 81df.*
+ 23d73: 81e7.*
+ 23d74: 4634.*
+ 23d75: 81ef.*
+ 23d76: 4635.*
+ 23d77: 81f7.*
+ 23d78: 4636.*
+ 23d79: 81f8.*
+ 23d7a: 4637.*
+ 23d7b: 6f80.*
+ 23d7c: 0d81.*
+ 23d7d: 6f88.*
+ 23d7e: 0d81.*
+ 23d7f: 6f90.*
+ 23d80: 0d81.*
+ 23d81: 6f98.*
+ 23d82: 0d81.*
+ 23d83: 6fa0.*
+ 23d84: 0d81.*
+ 23d85: 6fa8.*
+ 23d86: 0d81.*
+ 23d87: 6fb0.*
+ 23d88: 0d81.*
+ 23d89: 6fb8.*
+ 23d8a: 0d81.*
+ 23d8b: 6fc0.*
+ 23d8c: 0d81.*
+ 23d8d: 6fc8.*
+ 23d8e: 0d81.*
+ 23d8f: 6fd0.*
+ 23d90: 0d81.*
+ 23d91: 6fd8.*
+ 23d92: 0d81.*
+ 23d93: 6fe0.*
+ 23d94: 4638.*
+ 23d95: 0d81.*
+ 23d96: 6fe8.*
+ 23d97: 4639.*
+ 23d98: 0d81.*
+ 23d99: 6ff0.*
+ 23d9a: 463a.*
+ 23d9b: 0d81.*
+ 23d9c: 6ff8.*
+ 23d9d: 463b.*
+ 23d9e: 0d81.*
+ 23d9f: 6f81.*
+ 23da0: 0d81.*
+ 23da1: 6f89.*
+ 23da2: 0d81.*
+ 23da3: 6f91.*
+ 23da4: 0d81.*
+ 23da5: 6f99.*
+ 23da6: 0d81.*
+ 23da7: 6fa1.*
+ 23da8: 0d81.*
+ 23da9: 6fa9.*
+ 23daa: 0d81.*
+ 23dab: 6fb1.*
+ 23dac: 0d81.*
+ 23dad: 6fb9.*
+ 23dae: 0d81.*
+ 23daf: 6fc1.*
+ 23db0: 0d81.*
+ 23db1: 6fc9.*
+ 23db2: 0d81.*
+ 23db3: 6fd1.*
+ 23db4: 0d81.*
+ 23db5: 6fd9.*
+ 23db6: 0d81.*
+ 23db7: 6fe1.*
+ 23db8: 463c.*
+ 23db9: 0d81.*
+ 23dba: 6fe9.*
+ 23dbb: 463d.*
+ 23dbc: 0d81.*
+ 23dbd: 6ff1.*
+ 23dbe: 463e.*
+ 23dbf: 0d81.*
+ 23dc0: 6ff8.*
+ 23dc1: 463f.*
+ 23dc2: 0d81.*
+ 23dc3: 9901.*
+ 23dc4: 9941.*
+ 23dc5: 9981.*
+ 23dc6: 6f9a.*
+ 23dc7: 0d81.*
+ 23dc8: 6fa2.*
+ 23dc9: 0d81.*
+ 23dca: 6faa.*
+ 23dcb: 0d81.*
+ 23dcc: 6fb2.*
+ 23dcd: 0d81.*
+ 23dce: 6fba.*
+ 23dcf: 0d81.*
+ 23dd0: 6fc2.*
+ 23dd1: 0d81.*
+ 23dd2: 6fca.*
+ 23dd3: 0d81.*
+ 23dd4: 6fd2.*
+ 23dd5: 0d81.*
+ 23dd6: 99c1.*
+ 23dd7: 6fe2.*
+ 23dd8: 4640.*
+ 23dd9: 0d81.*
+ 23dda: 6fea.*
+ 23ddb: 4641.*
+ 23ddc: 0d81.*
+ 23ddd: 6ff2.*
+ 23dde: 4642.*
+ 23ddf: 0d81.*
+ 23de0: 6ff8.*
+ 23de1: 4643.*
+ 23de2: 0d81.*
+ 23de3: 9911.*
+ 23de4: 9951.*
+ 23de5: 9991.*
+ 23de6: 6f9b.*
+ 23de7: 0d81.*
+ 23de8: 6fa3.*
+ 23de9: 0d81.*
+ 23dea: 6fab.*
+ 23deb: 0d81.*
+ 23dec: 6fb3.*
+ 23ded: 0d81.*
+ 23dee: 6fbb.*
+ 23def: 0d81.*
+ 23df0: 6fc3.*
+ 23df1: 0d81.*
+ 23df2: 6fcb.*
+ 23df3: 0d81.*
+ 23df4: 6fd3.*
+ 23df5: 0d81.*
+ 23df6: 99d1.*
+ 23df7: 6fe3.*
+ 23df8: 4644.*
+ 23df9: 0d81.*
+ 23dfa: 6feb.*
+ 23dfb: 4645.*
+ 23dfc: 0d81.*
+ 23dfd: 6ff3.*
+ 23dfe: 4646.*
+ 23dff: 0d81.*
+ 23e00: 6ff8.*
+ 23e01: 4647.*
+ 23e02: 0d81.*
+ 23e03: 9921.*
+ 23e04: 9961.*
+ 23e05: 99a1.*
+ 23e06: 6f9c.*
+ 23e07: 0d81.*
+ 23e08: 6fa4.*
+ 23e09: 0d81.*
+ 23e0a: 6fac.*
+ 23e0b: 0d81.*
+ 23e0c: 6fb4.*
+ 23e0d: 0d81.*
+ 23e0e: 6fbc.*
+ 23e0f: 0d81.*
+ 23e10: 6fc4.*
+ 23e11: 0d81.*
+ 23e12: 6fcc.*
+ 23e13: 0d81.*
+ 23e14: 6fd4.*
+ 23e15: 0d81.*
+ 23e16: 99e1.*
+ 23e17: 6fe4.*
+ 23e18: 4648.*
+ 23e19: 0d81.*
+ 23e1a: 6fec.*
+ 23e1b: 4649.*
+ 23e1c: 0d81.*
+ 23e1d: 6ff4.*
+ 23e1e: 464a.*
+ 23e1f: 0d81.*
+ 23e20: 6ff8.*
+ 23e21: 464b.*
+ 23e22: 0d81.*
+ 23e23: 9931.*
+ 23e24: 9971.*
+ 23e25: 99b1.*
+ 23e26: 6f9d.*
+ 23e27: 0d81.*
+ 23e28: 6fa5.*
+ 23e29: 0d81.*
+ 23e2a: 6fad.*
+ 23e2b: 0d81.*
+ 23e2c: 6fb5.*
+ 23e2d: 0d81.*
+ 23e2e: 6fbd.*
+ 23e2f: 0d81.*
+ 23e30: 6fc5.*
+ 23e31: 0d81.*
+ 23e32: 6fcd.*
+ 23e33: 0d81.*
+ 23e34: 6fd5.*
+ 23e35: 0d81.*
+ 23e36: 99f1.*
+ 23e37: 6fe5.*
+ 23e38: 464c.*
+ 23e39: 0d81.*
+ 23e3a: 6fed.*
+ 23e3b: 464d.*
+ 23e3c: 0d81.*
+ 23e3d: 6ff5.*
+ 23e3e: 464e.*
+ 23e3f: 0d81.*
+ 23e40: 6ff8.*
+ 23e41: 464f.*
+ 23e42: 0d81.*
+ 23e43: 6f86.*
+ 23e44: 0d81.*
+ 23e45: 6f8e.*
+ 23e46: 0d81.*
+ 23e47: 6f96.*
+ 23e48: 0d81.*
+ 23e49: 6f9e.*
+ 23e4a: 0d81.*
+ 23e4b: 6fa6.*
+ 23e4c: 0d81.*
+ 23e4d: 6fae.*
+ 23e4e: 0d81.*
+ 23e4f: 6fb6.*
+ 23e50: 0d81.*
+ 23e51: 6fbe.*
+ 23e52: 0d81.*
+ 23e53: 6fc6.*
+ 23e54: 0d81.*
+ 23e55: 6fce.*
+ 23e56: 0d81.*
+ 23e57: 6fd6.*
+ 23e58: 0d81.*
+ 23e59: 6fde.*
+ 23e5a: 0d81.*
+ 23e5b: 6fe6.*
+ 23e5c: 4650.*
+ 23e5d: 0d81.*
+ 23e5e: 6fee.*
+ 23e5f: 4651.*
+ 23e60: 0d81.*
+ 23e61: 6ff6.*
+ 23e62: 4652.*
+ 23e63: 0d81.*
+ 23e64: 6ff8.*
+ 23e65: 4653.*
+ 23e66: 0d81.*
+ 23e67: 6f87.*
+ 23e68: 0d81.*
+ 23e69: 6f8f.*
+ 23e6a: 0d81.*
+ 23e6b: 6f97.*
+ 23e6c: 0d81.*
+ 23e6d: 6f9f.*
+ 23e6e: 0d81.*
+ 23e6f: 6fa7.*
+ 23e70: 0d81.*
+ 23e71: 6faf.*
+ 23e72: 0d81.*
+ 23e73: 6fb7.*
+ 23e74: 0d81.*
+ 23e75: 6fbf.*
+ 23e76: 0d81.*
+ 23e77: 6fc7.*
+ 23e78: 0d81.*
+ 23e79: 6fcf.*
+ 23e7a: 0d81.*
+ 23e7b: 6fd7.*
+ 23e7c: 0d81.*
+ 23e7d: 6fdf.*
+ 23e7e: 0d81.*
+ 23e7f: 6fe7.*
+ 23e80: 4654.*
+ 23e81: 0d81.*
+ 23e82: 6fef.*
+ 23e83: 4655.*
+ 23e84: 0d81.*
+ 23e85: 6ff7.*
+ 23e86: 4656.*
+ 23e87: 0d81.*
+ 23e88: 6ff8.*
+ 23e89: 4657.*
+ 23e8a: 0d81.*
+ 23e8b: 6f80.*
+ 23e8c: 0d82.*
+ 23e8d: 6f88.*
+ 23e8e: 0d82.*
+ 23e8f: 6f90.*
+ 23e90: 0d82.*
+ 23e91: 6f98.*
+ 23e92: 0d82.*
+ 23e93: 6fa0.*
+ 23e94: 0d82.*
+ 23e95: 6fa8.*
+ 23e96: 0d82.*
+ 23e97: 6fb0.*
+ 23e98: 0d82.*
+ 23e99: 6fb8.*
+ 23e9a: 0d82.*
+ 23e9b: 6fc0.*
+ 23e9c: 0d82.*
+ 23e9d: 6fc8.*
+ 23e9e: 0d82.*
+ 23e9f: 6fd0.*
+ 23ea0: 0d82.*
+ 23ea1: 6fd8.*
+ 23ea2: 0d82.*
+ 23ea3: 6fe0.*
+ 23ea4: 4658.*
+ 23ea5: 0d82.*
+ 23ea6: 6fe8.*
+ 23ea7: 4659.*
+ 23ea8: 0d82.*
+ 23ea9: 6ff0.*
+ 23eaa: 465a.*
+ 23eab: 0d82.*
+ 23eac: 6ff8.*
+ 23ead: 465b.*
+ 23eae: 0d82.*
+ 23eaf: 6f81.*
+ 23eb0: 0d82.*
+ 23eb1: 6f89.*
+ 23eb2: 0d82.*
+ 23eb3: 6f91.*
+ 23eb4: 0d82.*
+ 23eb5: 6f99.*
+ 23eb6: 0d82.*
+ 23eb7: 6fa1.*
+ 23eb8: 0d82.*
+ 23eb9: 6fa9.*
+ 23eba: 0d82.*
+ 23ebb: 6fb1.*
+ 23ebc: 0d82.*
+ 23ebd: 6fb9.*
+ 23ebe: 0d82.*
+ 23ebf: 6fc1.*
+ 23ec0: 0d82.*
+ 23ec1: 6fc9.*
+ 23ec2: 0d82.*
+ 23ec3: 6fd1.*
+ 23ec4: 0d82.*
+ 23ec5: 6fd9.*
+ 23ec6: 0d82.*
+ 23ec7: 6fe1.*
+ 23ec8: 465c.*
+ 23ec9: 0d82.*
+ 23eca: 6fe9.*
+ 23ecb: 465d.*
+ 23ecc: 0d82.*
+ 23ecd: 6ff1.*
+ 23ece: 465e.*
+ 23ecf: 0d82.*
+ 23ed0: 6ff8.*
+ 23ed1: 465f.*
+ 23ed2: 0d82.*
+ 23ed3: 9902.*
+ 23ed4: 9942.*
+ 23ed5: 9982.*
+ 23ed6: 6f9a.*
+ 23ed7: 0d82.*
+ 23ed8: 6fa2.*
+ 23ed9: 0d82.*
+ 23eda: 6faa.*
+ 23edb: 0d82.*
+ 23edc: 6fb2.*
+ 23edd: 0d82.*
+ 23ede: 6fba.*
+ 23edf: 0d82.*
+ 23ee0: 6fc2.*
+ 23ee1: 0d82.*
+ 23ee2: 6fca.*
+ 23ee3: 0d82.*
+ 23ee4: 6fd2.*
+ 23ee5: 0d82.*
+ 23ee6: 99c2.*
+ 23ee7: 6fe2.*
+ 23ee8: 4660.*
+ 23ee9: 0d82.*
+ 23eea: 6fea.*
+ 23eeb: 4661.*
+ 23eec: 0d82.*
+ 23eed: 6ff2.*
+ 23eee: 4662.*
+ 23eef: 0d82.*
+ 23ef0: 6ff8.*
+ 23ef1: 4663.*
+ 23ef2: 0d82.*
+ 23ef3: 9912.*
+ 23ef4: 9952.*
+ 23ef5: 9992.*
+ 23ef6: 6f9b.*
+ 23ef7: 0d82.*
+ 23ef8: 6fa3.*
+ 23ef9: 0d82.*
+ 23efa: 6fab.*
+ 23efb: 0d82.*
+ 23efc: 6fb3.*
+ 23efd: 0d82.*
+ 23efe: 6fbb.*
+ 23eff: 0d82.*
+ 23f00: 6fc3.*
+ 23f01: 0d82.*
+ 23f02: 6fcb.*
+ 23f03: 0d82.*
+ 23f04: 6fd3.*
+ 23f05: 0d82.*
+ 23f06: 99d2.*
+ 23f07: 6fe3.*
+ 23f08: 4664.*
+ 23f09: 0d82.*
+ 23f0a: 6feb.*
+ 23f0b: 4665.*
+ 23f0c: 0d82.*
+ 23f0d: 6ff3.*
+ 23f0e: 4666.*
+ 23f0f: 0d82.*
+ 23f10: 6ff8.*
+ 23f11: 4667.*
+ 23f12: 0d82.*
+ 23f13: 9922.*
+ 23f14: 9962.*
+ 23f15: 99a2.*
+ 23f16: 6f9c.*
+ 23f17: 0d82.*
+ 23f18: 6fa4.*
+ 23f19: 0d82.*
+ 23f1a: 6fac.*
+ 23f1b: 0d82.*
+ 23f1c: 6fb4.*
+ 23f1d: 0d82.*
+ 23f1e: 6fbc.*
+ 23f1f: 0d82.*
+ 23f20: 6fc4.*
+ 23f21: 0d82.*
+ 23f22: 6fcc.*
+ 23f23: 0d82.*
+ 23f24: 6fd4.*
+ 23f25: 0d82.*
+ 23f26: 99e2.*
+ 23f27: 6fe4.*
+ 23f28: 4668.*
+ 23f29: 0d82.*
+ 23f2a: 6fec.*
+ 23f2b: 4669.*
+ 23f2c: 0d82.*
+ 23f2d: 6ff4.*
+ 23f2e: 466a.*
+ 23f2f: 0d82.*
+ 23f30: 6ff8.*
+ 23f31: 466b.*
+ 23f32: 0d82.*
+ 23f33: 9932.*
+ 23f34: 9972.*
+ 23f35: 99b2.*
+ 23f36: 6f9d.*
+ 23f37: 0d82.*
+ 23f38: 6fa5.*
+ 23f39: 0d82.*
+ 23f3a: 6fad.*
+ 23f3b: 0d82.*
+ 23f3c: 6fb5.*
+ 23f3d: 0d82.*
+ 23f3e: 6fbd.*
+ 23f3f: 0d82.*
+ 23f40: 6fc5.*
+ 23f41: 0d82.*
+ 23f42: 6fcd.*
+ 23f43: 0d82.*
+ 23f44: 6fd5.*
+ 23f45: 0d82.*
+ 23f46: 99f2.*
+ 23f47: 6fe5.*
+ 23f48: 466c.*
+ 23f49: 0d82.*
+ 23f4a: 6fed.*
+ 23f4b: 466d.*
+ 23f4c: 0d82.*
+ 23f4d: 6ff5.*
+ 23f4e: 466e.*
+ 23f4f: 0d82.*
+ 23f50: 6ff8.*
+ 23f51: 466f.*
+ 23f52: 0d82.*
+ 23f53: 6f86.*
+ 23f54: 0d82.*
+ 23f55: 6f8e.*
+ 23f56: 0d82.*
+ 23f57: 6f96.*
+ 23f58: 0d82.*
+ 23f59: 6f9e.*
+ 23f5a: 0d82.*
+ 23f5b: 6fa6.*
+ 23f5c: 0d82.*
+ 23f5d: 6fae.*
+ 23f5e: 0d82.*
+ 23f5f: 6fb6.*
+ 23f60: 0d82.*
+ 23f61: 6fbe.*
+ 23f62: 0d82.*
+ 23f63: 6fc6.*
+ 23f64: 0d82.*
+ 23f65: 6fce.*
+ 23f66: 0d82.*
+ 23f67: 6fd6.*
+ 23f68: 0d82.*
+ 23f69: 6fde.*
+ 23f6a: 0d82.*
+ 23f6b: 6fe6.*
+ 23f6c: 4670.*
+ 23f6d: 0d82.*
+ 23f6e: 6fee.*
+ 23f6f: 4671.*
+ 23f70: 0d82.*
+ 23f71: 6ff6.*
+ 23f72: 4672.*
+ 23f73: 0d82.*
+ 23f74: 6ff8.*
+ 23f75: 4673.*
+ 23f76: 0d82.*
+ 23f77: 6f87.*
+ 23f78: 0d82.*
+ 23f79: 6f8f.*
+ 23f7a: 0d82.*
+ 23f7b: 6f97.*
+ 23f7c: 0d82.*
+ 23f7d: 6f9f.*
+ 23f7e: 0d82.*
+ 23f7f: 6fa7.*
+ 23f80: 0d82.*
+ 23f81: 6faf.*
+ 23f82: 0d82.*
+ 23f83: 6fb7.*
+ 23f84: 0d82.*
+ 23f85: 6fbf.*
+ 23f86: 0d82.*
+ 23f87: 6fc7.*
+ 23f88: 0d82.*
+ 23f89: 6fcf.*
+ 23f8a: 0d82.*
+ 23f8b: 6fd7.*
+ 23f8c: 0d82.*
+ 23f8d: 6fdf.*
+ 23f8e: 0d82.*
+ 23f8f: 6fe7.*
+ 23f90: 4674.*
+ 23f91: 0d82.*
+ 23f92: 6fef.*
+ 23f93: 4675.*
+ 23f94: 0d82.*
+ 23f95: 6ff7.*
+ 23f96: 4676.*
+ 23f97: 0d82.*
+ 23f98: 6ff8.*
+ 23f99: 4677.*
+ 23f9a: 0d82.*
+ 23f9b: 6f80.*
+ 23f9c: 0d83.*
+ 23f9d: 6f88.*
+ 23f9e: 0d83.*
+ 23f9f: 6f90.*
+ 23fa0: 0d83.*
+ 23fa1: 6f98.*
+ 23fa2: 0d83.*
+ 23fa3: 6fa0.*
+ 23fa4: 0d83.*
+ 23fa5: 6fa8.*
+ 23fa6: 0d83.*
+ 23fa7: 6fb0.*
+ 23fa8: 0d83.*
+ 23fa9: 6fb8.*
+ 23faa: 0d83.*
+ 23fab: 6fc0.*
+ 23fac: 0d83.*
+ 23fad: 6fc8.*
+ 23fae: 0d83.*
+ 23faf: 6fd0.*
+ 23fb0: 0d83.*
+ 23fb1: 6fd8.*
+ 23fb2: 0d83.*
+ 23fb3: 6fe0.*
+ 23fb4: 4678.*
+ 23fb5: 0d83.*
+ 23fb6: 6fe8.*
+ 23fb7: 4679.*
+ 23fb8: 0d83.*
+ 23fb9: 6ff0.*
+ 23fba: 467a.*
+ 23fbb: 0d83.*
+ 23fbc: 6ff8.*
+ 23fbd: 467b.*
+ 23fbe: 0d83.*
+ 23fbf: 6f81.*
+ 23fc0: 0d83.*
+ 23fc1: 6f89.*
+ 23fc2: 0d83.*
+ 23fc3: 6f91.*
+ 23fc4: 0d83.*
+ 23fc5: 6f99.*
+ 23fc6: 0d83.*
+ 23fc7: 6fa1.*
+ 23fc8: 0d83.*
+ 23fc9: 6fa9.*
+ 23fca: 0d83.*
+ 23fcb: 6fb1.*
+ 23fcc: 0d83.*
+ 23fcd: 6fb9.*
+ 23fce: 0d83.*
+ 23fcf: 6fc1.*
+ 23fd0: 0d83.*
+ 23fd1: 6fc9.*
+ 23fd2: 0d83.*
+ 23fd3: 6fd1.*
+ 23fd4: 0d83.*
+ 23fd5: 6fd9.*
+ 23fd6: 0d83.*
+ 23fd7: 6fe1.*
+ 23fd8: 467c.*
+ 23fd9: 0d83.*
+ 23fda: 6fe9.*
+ 23fdb: 467d.*
+ 23fdc: 0d83.*
+ 23fdd: 6ff1.*
+ 23fde: 467e.*
+ 23fdf: 0d83.*
+ 23fe0: 6ff8.*
+ 23fe1: 467f.*
+ 23fe2: 0d83.*
+ 23fe3: 9903.*
+ 23fe4: 9943.*
+ 23fe5: 9983.*
+ 23fe6: 6f9a.*
+ 23fe7: 0d83.*
+ 23fe8: 6fa2.*
+ 23fe9: 0d83.*
+ 23fea: 6faa.*
+ 23feb: 0d83.*
+ 23fec: 6fb2.*
+ 23fed: 0d83.*
+ 23fee: 6fba.*
+ 23fef: 0d83.*
+ 23ff0: 6fc2.*
+ 23ff1: 0d83.*
+ 23ff2: 6fca.*
+ 23ff3: 0d83.*
+ 23ff4: 6fd2.*
+ 23ff5: 0d83.*
+ 23ff6: 99c3.*
+ 23ff7: 6fe2.*
+ 23ff8: 4680.*
+ 23ff9: 0d83.*
+ 23ffa: 6fea.*
+ 23ffb: 4681.*
+ 23ffc: 0d83.*
+ 23ffd: 6ff2.*
+ 23ffe: 4682.*
+ 23fff: 0d83.*
+ 24000: 6ff8.*
+ 24001: 4683.*
+ 24002: 0d83.*
+ 24003: 9913.*
+ 24004: 9953.*
+ 24005: 9993.*
+ 24006: 6f9b.*
+ 24007: 0d83.*
+ 24008: 6fa3.*
+ 24009: 0d83.*
+ 2400a: 6fab.*
+ 2400b: 0d83.*
+ 2400c: 6fb3.*
+ 2400d: 0d83.*
+ 2400e: 6fbb.*
+ 2400f: 0d83.*
+ 24010: 6fc3.*
+ 24011: 0d83.*
+ 24012: 6fcb.*
+ 24013: 0d83.*
+ 24014: 6fd3.*
+ 24015: 0d83.*
+ 24016: 99d3.*
+ 24017: 6fe3.*
+ 24018: 4684.*
+ 24019: 0d83.*
+ 2401a: 6feb.*
+ 2401b: 4685.*
+ 2401c: 0d83.*
+ 2401d: 6ff3.*
+ 2401e: 4686.*
+ 2401f: 0d83.*
+ 24020: 6ff8.*
+ 24021: 4687.*
+ 24022: 0d83.*
+ 24023: 9923.*
+ 24024: 9963.*
+ 24025: 99a3.*
+ 24026: 6f9c.*
+ 24027: 0d83.*
+ 24028: 6fa4.*
+ 24029: 0d83.*
+ 2402a: 6fac.*
+ 2402b: 0d83.*
+ 2402c: 6fb4.*
+ 2402d: 0d83.*
+ 2402e: 6fbc.*
+ 2402f: 0d83.*
+ 24030: 6fc4.*
+ 24031: 0d83.*
+ 24032: 6fcc.*
+ 24033: 0d83.*
+ 24034: 6fd4.*
+ 24035: 0d83.*
+ 24036: 99e3.*
+ 24037: 6fe4.*
+ 24038: 4688.*
+ 24039: 0d83.*
+ 2403a: 6fec.*
+ 2403b: 4689.*
+ 2403c: 0d83.*
+ 2403d: 6ff4.*
+ 2403e: 468a.*
+ 2403f: 0d83.*
+ 24040: 6ff8.*
+ 24041: 468b.*
+ 24042: 0d83.*
+ 24043: 9933.*
+ 24044: 9973.*
+ 24045: 99b3.*
+ 24046: 6f9d.*
+ 24047: 0d83.*
+ 24048: 6fa5.*
+ 24049: 0d83.*
+ 2404a: 6fad.*
+ 2404b: 0d83.*
+ 2404c: 6fb5.*
+ 2404d: 0d83.*
+ 2404e: 6fbd.*
+ 2404f: 0d83.*
+ 24050: 6fc5.*
+ 24051: 0d83.*
+ 24052: 6fcd.*
+ 24053: 0d83.*
+ 24054: 6fd5.*
+ 24055: 0d83.*
+ 24056: 99f3.*
+ 24057: 6fe5.*
+ 24058: 468c.*
+ 24059: 0d83.*
+ 2405a: 6fed.*
+ 2405b: 468d.*
+ 2405c: 0d83.*
+ 2405d: 6ff5.*
+ 2405e: 468e.*
+ 2405f: 0d83.*
+ 24060: 6ff8.*
+ 24061: 468f.*
+ 24062: 0d83.*
+ 24063: 6f86.*
+ 24064: 0d83.*
+ 24065: 6f8e.*
+ 24066: 0d83.*
+ 24067: 6f96.*
+ 24068: 0d83.*
+ 24069: 6f9e.*
+ 2406a: 0d83.*
+ 2406b: 6fa6.*
+ 2406c: 0d83.*
+ 2406d: 6fae.*
+ 2406e: 0d83.*
+ 2406f: 6fb6.*
+ 24070: 0d83.*
+ 24071: 6fbe.*
+ 24072: 0d83.*
+ 24073: 6fc6.*
+ 24074: 0d83.*
+ 24075: 6fce.*
+ 24076: 0d83.*
+ 24077: 6fd6.*
+ 24078: 0d83.*
+ 24079: 6fde.*
+ 2407a: 0d83.*
+ 2407b: 6fe6.*
+ 2407c: 4690.*
+ 2407d: 0d83.*
+ 2407e: 6fee.*
+ 2407f: 4691.*
+ 24080: 0d83.*
+ 24081: 6ff6.*
+ 24082: 4692.*
+ 24083: 0d83.*
+ 24084: 6ff8.*
+ 24085: 4693.*
+ 24086: 0d83.*
+ 24087: 6f87.*
+ 24088: 0d83.*
+ 24089: 6f8f.*
+ 2408a: 0d83.*
+ 2408b: 6f97.*
+ 2408c: 0d83.*
+ 2408d: 6f9f.*
+ 2408e: 0d83.*
+ 2408f: 6fa7.*
+ 24090: 0d83.*
+ 24091: 6faf.*
+ 24092: 0d83.*
+ 24093: 6fb7.*
+ 24094: 0d83.*
+ 24095: 6fbf.*
+ 24096: 0d83.*
+ 24097: 6fc7.*
+ 24098: 0d83.*
+ 24099: 6fcf.*
+ 2409a: 0d83.*
+ 2409b: 6fd7.*
+ 2409c: 0d83.*
+ 2409d: 6fdf.*
+ 2409e: 0d83.*
+ 2409f: 6fe7.*
+ 240a0: 4694.*
+ 240a1: 0d83.*
+ 240a2: 6fef.*
+ 240a3: 4695.*
+ 240a4: 0d83.*
+ 240a5: 6ff7.*
+ 240a6: 4696.*
+ 240a7: 0d83.*
+ 240a8: 6ff8.*
+ 240a9: 4697.*
+ 240aa: 0d83.*
+ 240ab: 6f80.*
+ 240ac: 0d84.*
+ 240ad: 6f88.*
+ 240ae: 0d84.*
+ 240af: 6f90.*
+ 240b0: 0d84.*
+ 240b1: 6f98.*
+ 240b2: 0d84.*
+ 240b3: 6fa0.*
+ 240b4: 0d84.*
+ 240b5: 6fa8.*
+ 240b6: 0d84.*
+ 240b7: 6fb0.*
+ 240b8: 0d84.*
+ 240b9: 6fb8.*
+ 240ba: 0d84.*
+ 240bb: 6fc0.*
+ 240bc: 0d84.*
+ 240bd: 6fc8.*
+ 240be: 0d84.*
+ 240bf: 6fd0.*
+ 240c0: 0d84.*
+ 240c1: 6fd8.*
+ 240c2: 0d84.*
+ 240c3: 6fe0.*
+ 240c4: 4698.*
+ 240c5: 0d84.*
+ 240c6: 6fe8.*
+ 240c7: 4699.*
+ 240c8: 0d84.*
+ 240c9: 6ff0.*
+ 240ca: 469a.*
+ 240cb: 0d84.*
+ 240cc: 6ff8.*
+ 240cd: 469b.*
+ 240ce: 0d84.*
+ 240cf: 6f81.*
+ 240d0: 0d84.*
+ 240d1: 6f89.*
+ 240d2: 0d84.*
+ 240d3: 6f91.*
+ 240d4: 0d84.*
+ 240d5: 6f99.*
+ 240d6: 0d84.*
+ 240d7: 6fa1.*
+ 240d8: 0d84.*
+ 240d9: 6fa9.*
+ 240da: 0d84.*
+ 240db: 6fb1.*
+ 240dc: 0d84.*
+ 240dd: 6fb9.*
+ 240de: 0d84.*
+ 240df: 6fc1.*
+ 240e0: 0d84.*
+ 240e1: 6fc9.*
+ 240e2: 0d84.*
+ 240e3: 6fd1.*
+ 240e4: 0d84.*
+ 240e5: 6fd9.*
+ 240e6: 0d84.*
+ 240e7: 6fe1.*
+ 240e8: 469c.*
+ 240e9: 0d84.*
+ 240ea: 6fe9.*
+ 240eb: 469d.*
+ 240ec: 0d84.*
+ 240ed: 6ff1.*
+ 240ee: 469e.*
+ 240ef: 0d84.*
+ 240f0: 6ff8.*
+ 240f1: 469f.*
+ 240f2: 0d84.*
+ 240f3: 9904.*
+ 240f4: 9944.*
+ 240f5: 9984.*
+ 240f6: 6f9a.*
+ 240f7: 0d84.*
+ 240f8: 6fa2.*
+ 240f9: 0d84.*
+ 240fa: 6faa.*
+ 240fb: 0d84.*
+ 240fc: 6fb2.*
+ 240fd: 0d84.*
+ 240fe: 6fba.*
+ 240ff: 0d84.*
+ 24100: 6fc2.*
+ 24101: 0d84.*
+ 24102: 6fca.*
+ 24103: 0d84.*
+ 24104: 6fd2.*
+ 24105: 0d84.*
+ 24106: 99c4.*
+ 24107: 6fe2.*
+ 24108: 46a0.*
+ 24109: 0d84.*
+ 2410a: 6fea.*
+ 2410b: 46a1.*
+ 2410c: 0d84.*
+ 2410d: 6ff2.*
+ 2410e: 46a2.*
+ 2410f: 0d84.*
+ 24110: 6ff8.*
+ 24111: 46a3.*
+ 24112: 0d84.*
+ 24113: 9914.*
+ 24114: 9954.*
+ 24115: 9994.*
+ 24116: 6f9b.*
+ 24117: 0d84.*
+ 24118: 6fa3.*
+ 24119: 0d84.*
+ 2411a: 6fab.*
+ 2411b: 0d84.*
+ 2411c: 6fb3.*
+ 2411d: 0d84.*
+ 2411e: 6fbb.*
+ 2411f: 0d84.*
+ 24120: 6fc3.*
+ 24121: 0d84.*
+ 24122: 6fcb.*
+ 24123: 0d84.*
+ 24124: 6fd3.*
+ 24125: 0d84.*
+ 24126: 99d4.*
+ 24127: 6fe3.*
+ 24128: 46a4.*
+ 24129: 0d84.*
+ 2412a: 6feb.*
+ 2412b: 46a5.*
+ 2412c: 0d84.*
+ 2412d: 6ff3.*
+ 2412e: 46a6.*
+ 2412f: 0d84.*
+ 24130: 6ff8.*
+ 24131: 46a7.*
+ 24132: 0d84.*
+ 24133: 9924.*
+ 24134: 9964.*
+ 24135: 99a4.*
+ 24136: 6f9c.*
+ 24137: 0d84.*
+ 24138: 6fa4.*
+ 24139: 0d84.*
+ 2413a: 6fac.*
+ 2413b: 0d84.*
+ 2413c: 6fb4.*
+ 2413d: 0d84.*
+ 2413e: 6fbc.*
+ 2413f: 0d84.*
+ 24140: 6fc4.*
+ 24141: 0d84.*
+ 24142: 6fcc.*
+ 24143: 0d84.*
+ 24144: 6fd4.*
+ 24145: 0d84.*
+ 24146: 99e4.*
+ 24147: 6fe4.*
+ 24148: 46a8.*
+ 24149: 0d84.*
+ 2414a: 6fec.*
+ 2414b: 46a9.*
+ 2414c: 0d84.*
+ 2414d: 6ff4.*
+ 2414e: 46aa.*
+ 2414f: 0d84.*
+ 24150: 6ff8.*
+ 24151: 46ab.*
+ 24152: 0d84.*
+ 24153: 9934.*
+ 24154: 9974.*
+ 24155: 99b4.*
+ 24156: 6f9d.*
+ 24157: 0d84.*
+ 24158: 6fa5.*
+ 24159: 0d84.*
+ 2415a: 6fad.*
+ 2415b: 0d84.*
+ 2415c: 6fb5.*
+ 2415d: 0d84.*
+ 2415e: 6fbd.*
+ 2415f: 0d84.*
+ 24160: 6fc5.*
+ 24161: 0d84.*
+ 24162: 6fcd.*
+ 24163: 0d84.*
+ 24164: 6fd5.*
+ 24165: 0d84.*
+ 24166: 99f4.*
+ 24167: 6fe5.*
+ 24168: 46ac.*
+ 24169: 0d84.*
+ 2416a: 6fed.*
+ 2416b: 46ad.*
+ 2416c: 0d84.*
+ 2416d: 6ff5.*
+ 2416e: 46ae.*
+ 2416f: 0d84.*
+ 24170: 6ff8.*
+ 24171: 46af.*
+ 24172: 0d84.*
+ 24173: 6f86.*
+ 24174: 0d84.*
+ 24175: 6f8e.*
+ 24176: 0d84.*
+ 24177: 6f96.*
+ 24178: 0d84.*
+ 24179: 6f9e.*
+ 2417a: 0d84.*
+ 2417b: 6fa6.*
+ 2417c: 0d84.*
+ 2417d: 6fae.*
+ 2417e: 0d84.*
+ 2417f: 6fb6.*
+ 24180: 0d84.*
+ 24181: 6fbe.*
+ 24182: 0d84.*
+ 24183: 6fc6.*
+ 24184: 0d84.*
+ 24185: 6fce.*
+ 24186: 0d84.*
+ 24187: 6fd6.*
+ 24188: 0d84.*
+ 24189: 6fde.*
+ 2418a: 0d84.*
+ 2418b: 6fe6.*
+ 2418c: 46b0.*
+ 2418d: 0d84.*
+ 2418e: 6fee.*
+ 2418f: 46b1.*
+ 24190: 0d84.*
+ 24191: 6ff6.*
+ 24192: 46b2.*
+ 24193: 0d84.*
+ 24194: 6ff8.*
+ 24195: 46b3.*
+ 24196: 0d84.*
+ 24197: 6f87.*
+ 24198: 0d84.*
+ 24199: 6f8f.*
+ 2419a: 0d84.*
+ 2419b: 6f97.*
+ 2419c: 0d84.*
+ 2419d: 6f9f.*
+ 2419e: 0d84.*
+ 2419f: 6fa7.*
+ 241a0: 0d84.*
+ 241a1: 6faf.*
+ 241a2: 0d84.*
+ 241a3: 6fb7.*
+ 241a4: 0d84.*
+ 241a5: 6fbf.*
+ 241a6: 0d84.*
+ 241a7: 6fc7.*
+ 241a8: 0d84.*
+ 241a9: 6fcf.*
+ 241aa: 0d84.*
+ 241ab: 6fd7.*
+ 241ac: 0d84.*
+ 241ad: 6fdf.*
+ 241ae: 0d84.*
+ 241af: 6fe7.*
+ 241b0: 46b4.*
+ 241b1: 0d84.*
+ 241b2: 6fef.*
+ 241b3: 46b5.*
+ 241b4: 0d84.*
+ 241b5: 6ff7.*
+ 241b6: 46b6.*
+ 241b7: 0d84.*
+ 241b8: 6ff8.*
+ 241b9: 46b7.*
+ 241ba: 0d84.*
+ 241bb: 6f80.*
+ 241bc: 0d85.*
+ 241bd: 6f88.*
+ 241be: 0d85.*
+ 241bf: 6f90.*
+ 241c0: 0d85.*
+ 241c1: 6f98.*
+ 241c2: 0d85.*
+ 241c3: 6fa0.*
+ 241c4: 0d85.*
+ 241c5: 6fa8.*
+ 241c6: 0d85.*
+ 241c7: 6fb0.*
+ 241c8: 0d85.*
+ 241c9: 6fb8.*
+ 241ca: 0d85.*
+ 241cb: 6fc0.*
+ 241cc: 0d85.*
+ 241cd: 6fc8.*
+ 241ce: 0d85.*
+ 241cf: 6fd0.*
+ 241d0: 0d85.*
+ 241d1: 6fd8.*
+ 241d2: 0d85.*
+ 241d3: 6fe0.*
+ 241d4: 46b8.*
+ 241d5: 0d85.*
+ 241d6: 6fe8.*
+ 241d7: 46b9.*
+ 241d8: 0d85.*
+ 241d9: 6ff0.*
+ 241da: 46ba.*
+ 241db: 0d85.*
+ 241dc: 6ff8.*
+ 241dd: 46bb.*
+ 241de: 0d85.*
+ 241df: 6f81.*
+ 241e0: 0d85.*
+ 241e1: 6f89.*
+ 241e2: 0d85.*
+ 241e3: 6f91.*
+ 241e4: 0d85.*
+ 241e5: 6f99.*
+ 241e6: 0d85.*
+ 241e7: 6fa1.*
+ 241e8: 0d85.*
+ 241e9: 6fa9.*
+ 241ea: 0d85.*
+ 241eb: 6fb1.*
+ 241ec: 0d85.*
+ 241ed: 6fb9.*
+ 241ee: 0d85.*
+ 241ef: 6fc1.*
+ 241f0: 0d85.*
+ 241f1: 6fc9.*
+ 241f2: 0d85.*
+ 241f3: 6fd1.*
+ 241f4: 0d85.*
+ 241f5: 6fd9.*
+ 241f6: 0d85.*
+ 241f7: 6fe1.*
+ 241f8: 46bc.*
+ 241f9: 0d85.*
+ 241fa: 6fe9.*
+ 241fb: 46bd.*
+ 241fc: 0d85.*
+ 241fd: 6ff1.*
+ 241fe: 46be.*
+ 241ff: 0d85.*
+ 24200: 6ff8.*
+ 24201: 46bf.*
+ 24202: 0d85.*
+ 24203: 9905.*
+ 24204: 9945.*
+ 24205: 9985.*
+ 24206: 6f9a.*
+ 24207: 0d85.*
+ 24208: 6fa2.*
+ 24209: 0d85.*
+ 2420a: 6faa.*
+ 2420b: 0d85.*
+ 2420c: 6fb2.*
+ 2420d: 0d85.*
+ 2420e: 6fba.*
+ 2420f: 0d85.*
+ 24210: 6fc2.*
+ 24211: 0d85.*
+ 24212: 6fca.*
+ 24213: 0d85.*
+ 24214: 6fd2.*
+ 24215: 0d85.*
+ 24216: 99c5.*
+ 24217: 6fe2.*
+ 24218: 46c0.*
+ 24219: 0d85.*
+ 2421a: 6fea.*
+ 2421b: 46c1.*
+ 2421c: 0d85.*
+ 2421d: 6ff2.*
+ 2421e: 46c2.*
+ 2421f: 0d85.*
+ 24220: 6ff8.*
+ 24221: 46c3.*
+ 24222: 0d85.*
+ 24223: 9915.*
+ 24224: 9955.*
+ 24225: 9995.*
+ 24226: 6f9b.*
+ 24227: 0d85.*
+ 24228: 6fa3.*
+ 24229: 0d85.*
+ 2422a: 6fab.*
+ 2422b: 0d85.*
+ 2422c: 6fb3.*
+ 2422d: 0d85.*
+ 2422e: 6fbb.*
+ 2422f: 0d85.*
+ 24230: 6fc3.*
+ 24231: 0d85.*
+ 24232: 6fcb.*
+ 24233: 0d85.*
+ 24234: 6fd3.*
+ 24235: 0d85.*
+ 24236: 99d5.*
+ 24237: 6fe3.*
+ 24238: 46c4.*
+ 24239: 0d85.*
+ 2423a: 6feb.*
+ 2423b: 46c5.*
+ 2423c: 0d85.*
+ 2423d: 6ff3.*
+ 2423e: 46c6.*
+ 2423f: 0d85.*
+ 24240: 6ff8.*
+ 24241: 46c7.*
+ 24242: 0d85.*
+ 24243: 9925.*
+ 24244: 9965.*
+ 24245: 99a5.*
+ 24246: 6f9c.*
+ 24247: 0d85.*
+ 24248: 6fa4.*
+ 24249: 0d85.*
+ 2424a: 6fac.*
+ 2424b: 0d85.*
+ 2424c: 6fb4.*
+ 2424d: 0d85.*
+ 2424e: 6fbc.*
+ 2424f: 0d85.*
+ 24250: 6fc4.*
+ 24251: 0d85.*
+ 24252: 6fcc.*
+ 24253: 0d85.*
+ 24254: 6fd4.*
+ 24255: 0d85.*
+ 24256: 99e5.*
+ 24257: 6fe4.*
+ 24258: 46c8.*
+ 24259: 0d85.*
+ 2425a: 6fec.*
+ 2425b: 46c9.*
+ 2425c: 0d85.*
+ 2425d: 6ff4.*
+ 2425e: 46ca.*
+ 2425f: 0d85.*
+ 24260: 6ff8.*
+ 24261: 46cb.*
+ 24262: 0d85.*
+ 24263: 9935.*
+ 24264: 9975.*
+ 24265: 99b5.*
+ 24266: 6f9d.*
+ 24267: 0d85.*
+ 24268: 6fa5.*
+ 24269: 0d85.*
+ 2426a: 6fad.*
+ 2426b: 0d85.*
+ 2426c: 6fb5.*
+ 2426d: 0d85.*
+ 2426e: 6fbd.*
+ 2426f: 0d85.*
+ 24270: 6fc5.*
+ 24271: 0d85.*
+ 24272: 6fcd.*
+ 24273: 0d85.*
+ 24274: 6fd5.*
+ 24275: 0d85.*
+ 24276: 99f5.*
+ 24277: 6fe5.*
+ 24278: 46cc.*
+ 24279: 0d85.*
+ 2427a: 6fed.*
+ 2427b: 46cd.*
+ 2427c: 0d85.*
+ 2427d: 6ff5.*
+ 2427e: 46ce.*
+ 2427f: 0d85.*
+ 24280: 6ff8.*
+ 24281: 46cf.*
+ 24282: 0d85.*
+ 24283: 6f86.*
+ 24284: 0d85.*
+ 24285: 6f8e.*
+ 24286: 0d85.*
+ 24287: 6f96.*
+ 24288: 0d85.*
+ 24289: 6f9e.*
+ 2428a: 0d85.*
+ 2428b: 6fa6.*
+ 2428c: 0d85.*
+ 2428d: 6fae.*
+ 2428e: 0d85.*
+ 2428f: 6fb6.*
+ 24290: 0d85.*
+ 24291: 6fbe.*
+ 24292: 0d85.*
+ 24293: 6fc6.*
+ 24294: 0d85.*
+ 24295: 6fce.*
+ 24296: 0d85.*
+ 24297: 6fd6.*
+ 24298: 0d85.*
+ 24299: 6fde.*
+ 2429a: 0d85.*
+ 2429b: 6fe6.*
+ 2429c: 46d0.*
+ 2429d: 0d85.*
+ 2429e: 6fee.*
+ 2429f: 46d1.*
+ 242a0: 0d85.*
+ 242a1: 6ff6.*
+ 242a2: 46d2.*
+ 242a3: 0d85.*
+ 242a4: 6ff8.*
+ 242a5: 46d3.*
+ 242a6: 0d85.*
+ 242a7: 6f87.*
+ 242a8: 0d85.*
+ 242a9: 6f8f.*
+ 242aa: 0d85.*
+ 242ab: 6f97.*
+ 242ac: 0d85.*
+ 242ad: 6f9f.*
+ 242ae: 0d85.*
+ 242af: 6fa7.*
+ 242b0: 0d85.*
+ 242b1: 6faf.*
+ 242b2: 0d85.*
+ 242b3: 6fb7.*
+ 242b4: 0d85.*
+ 242b5: 6fbf.*
+ 242b6: 0d85.*
+ 242b7: 6fc7.*
+ 242b8: 0d85.*
+ 242b9: 6fcf.*
+ 242ba: 0d85.*
+ 242bb: 6fd7.*
+ 242bc: 0d85.*
+ 242bd: 6fdf.*
+ 242be: 0d85.*
+ 242bf: 6fe7.*
+ 242c0: 46d4.*
+ 242c1: 0d85.*
+ 242c2: 6fef.*
+ 242c3: 46d5.*
+ 242c4: 0d85.*
+ 242c5: 6ff7.*
+ 242c6: 46d6.*
+ 242c7: 0d85.*
+ 242c8: 6ff8.*
+ 242c9: 46d7.*
+ 242ca: 0d85.*
+ 242cb: 6f80.*
+ 242cc: 0d86.*
+ 242cd: 6f88.*
+ 242ce: 0d86.*
+ 242cf: 6f90.*
+ 242d0: 0d86.*
+ 242d1: 6f98.*
+ 242d2: 0d86.*
+ 242d3: 6fa0.*
+ 242d4: 0d86.*
+ 242d5: 6fa8.*
+ 242d6: 0d86.*
+ 242d7: 6fb0.*
+ 242d8: 0d86.*
+ 242d9: 6fb8.*
+ 242da: 0d86.*
+ 242db: 6fc0.*
+ 242dc: 0d86.*
+ 242dd: 6fc8.*
+ 242de: 0d86.*
+ 242df: 6fd0.*
+ 242e0: 0d86.*
+ 242e1: 6fd8.*
+ 242e2: 0d86.*
+ 242e3: 6fe0.*
+ 242e4: 46d8.*
+ 242e5: 0d86.*
+ 242e6: 6fe8.*
+ 242e7: 46d9.*
+ 242e8: 0d86.*
+ 242e9: 6ff0.*
+ 242ea: 46da.*
+ 242eb: 0d86.*
+ 242ec: 6ff8.*
+ 242ed: 46db.*
+ 242ee: 0d86.*
+ 242ef: 6f81.*
+ 242f0: 0d86.*
+ 242f1: 6f89.*
+ 242f2: 0d86.*
+ 242f3: 6f91.*
+ 242f4: 0d86.*
+ 242f5: 6f99.*
+ 242f6: 0d86.*
+ 242f7: 6fa1.*
+ 242f8: 0d86.*
+ 242f9: 6fa9.*
+ 242fa: 0d86.*
+ 242fb: 6fb1.*
+ 242fc: 0d86.*
+ 242fd: 6fb9.*
+ 242fe: 0d86.*
+ 242ff: 6fc1.*
+ 24300: 0d86.*
+ 24301: 6fc9.*
+ 24302: 0d86.*
+ 24303: 6fd1.*
+ 24304: 0d86.*
+ 24305: 6fd9.*
+ 24306: 0d86.*
+ 24307: 6fe1.*
+ 24308: 46dc.*
+ 24309: 0d86.*
+ 2430a: 6fe9.*
+ 2430b: 46dd.*
+ 2430c: 0d86.*
+ 2430d: 6ff1.*
+ 2430e: 46de.*
+ 2430f: 0d86.*
+ 24310: 6ff8.*
+ 24311: 46df.*
+ 24312: 0d86.*
+ 24313: 9906.*
+ 24314: 9946.*
+ 24315: 9986.*
+ 24316: 6f9a.*
+ 24317: 0d86.*
+ 24318: 6fa2.*
+ 24319: 0d86.*
+ 2431a: 6faa.*
+ 2431b: 0d86.*
+ 2431c: 6fb2.*
+ 2431d: 0d86.*
+ 2431e: 6fba.*
+ 2431f: 0d86.*
+ 24320: 6fc2.*
+ 24321: 0d86.*
+ 24322: 6fca.*
+ 24323: 0d86.*
+ 24324: 6fd2.*
+ 24325: 0d86.*
+ 24326: 99c6.*
+ 24327: 6fe2.*
+ 24328: 46e0.*
+ 24329: 0d86.*
+ 2432a: 6fea.*
+ 2432b: 46e1.*
+ 2432c: 0d86.*
+ 2432d: 6ff2.*
+ 2432e: 46e2.*
+ 2432f: 0d86.*
+ 24330: 6ff8.*
+ 24331: 46e3.*
+ 24332: 0d86.*
+ 24333: 9916.*
+ 24334: 9956.*
+ 24335: 9996.*
+ 24336: 6f9b.*
+ 24337: 0d86.*
+ 24338: 6fa3.*
+ 24339: 0d86.*
+ 2433a: 6fab.*
+ 2433b: 0d86.*
+ 2433c: 6fb3.*
+ 2433d: 0d86.*
+ 2433e: 6fbb.*
+ 2433f: 0d86.*
+ 24340: 6fc3.*
+ 24341: 0d86.*
+ 24342: 6fcb.*
+ 24343: 0d86.*
+ 24344: 6fd3.*
+ 24345: 0d86.*
+ 24346: 99d6.*
+ 24347: 6fe3.*
+ 24348: 46e4.*
+ 24349: 0d86.*
+ 2434a: 6feb.*
+ 2434b: 46e5.*
+ 2434c: 0d86.*
+ 2434d: 6ff3.*
+ 2434e: 46e6.*
+ 2434f: 0d86.*
+ 24350: 6ff8.*
+ 24351: 46e7.*
+ 24352: 0d86.*
+ 24353: 9926.*
+ 24354: 9966.*
+ 24355: 99a6.*
+ 24356: 6f9c.*
+ 24357: 0d86.*
+ 24358: 6fa4.*
+ 24359: 0d86.*
+ 2435a: 6fac.*
+ 2435b: 0d86.*
+ 2435c: 6fb4.*
+ 2435d: 0d86.*
+ 2435e: 6fbc.*
+ 2435f: 0d86.*
+ 24360: 6fc4.*
+ 24361: 0d86.*
+ 24362: 6fcc.*
+ 24363: 0d86.*
+ 24364: 6fd4.*
+ 24365: 0d86.*
+ 24366: 99e6.*
+ 24367: 6fe4.*
+ 24368: 46e8.*
+ 24369: 0d86.*
+ 2436a: 6fec.*
+ 2436b: 46e9.*
+ 2436c: 0d86.*
+ 2436d: 6ff4.*
+ 2436e: 46ea.*
+ 2436f: 0d86.*
+ 24370: 6ff8.*
+ 24371: 46eb.*
+ 24372: 0d86.*
+ 24373: 9936.*
+ 24374: 9976.*
+ 24375: 99b6.*
+ 24376: 6f9d.*
+ 24377: 0d86.*
+ 24378: 6fa5.*
+ 24379: 0d86.*
+ 2437a: 6fad.*
+ 2437b: 0d86.*
+ 2437c: 6fb5.*
+ 2437d: 0d86.*
+ 2437e: 6fbd.*
+ 2437f: 0d86.*
+ 24380: 6fc5.*
+ 24381: 0d86.*
+ 24382: 6fcd.*
+ 24383: 0d86.*
+ 24384: 6fd5.*
+ 24385: 0d86.*
+ 24386: 99f6.*
+ 24387: 6fe5.*
+ 24388: 46ec.*
+ 24389: 0d86.*
+ 2438a: 6fed.*
+ 2438b: 46ed.*
+ 2438c: 0d86.*
+ 2438d: 6ff5.*
+ 2438e: 46ee.*
+ 2438f: 0d86.*
+ 24390: 6ff8.*
+ 24391: 46ef.*
+ 24392: 0d86.*
+ 24393: 6f86.*
+ 24394: 0d86.*
+ 24395: 6f8e.*
+ 24396: 0d86.*
+ 24397: 6f96.*
+ 24398: 0d86.*
+ 24399: 6f9e.*
+ 2439a: 0d86.*
+ 2439b: 6fa6.*
+ 2439c: 0d86.*
+ 2439d: 6fae.*
+ 2439e: 0d86.*
+ 2439f: 6fb6.*
+ 243a0: 0d86.*
+ 243a1: 6fbe.*
+ 243a2: 0d86.*
+ 243a3: 6fc6.*
+ 243a4: 0d86.*
+ 243a5: 6fce.*
+ 243a6: 0d86.*
+ 243a7: 6fd6.*
+ 243a8: 0d86.*
+ 243a9: 6fde.*
+ 243aa: 0d86.*
+ 243ab: 6fe6.*
+ 243ac: 46f0.*
+ 243ad: 0d86.*
+ 243ae: 6fee.*
+ 243af: 46f1.*
+ 243b0: 0d86.*
+ 243b1: 6ff6.*
+ 243b2: 46f2.*
+ 243b3: 0d86.*
+ 243b4: 6ff8.*
+ 243b5: 46f3.*
+ 243b6: 0d86.*
+ 243b7: 6f87.*
+ 243b8: 0d86.*
+ 243b9: 6f8f.*
+ 243ba: 0d86.*
+ 243bb: 6f97.*
+ 243bc: 0d86.*
+ 243bd: 6f9f.*
+ 243be: 0d86.*
+ 243bf: 6fa7.*
+ 243c0: 0d86.*
+ 243c1: 6faf.*
+ 243c2: 0d86.*
+ 243c3: 6fb7.*
+ 243c4: 0d86.*
+ 243c5: 6fbf.*
+ 243c6: 0d86.*
+ 243c7: 6fc7.*
+ 243c8: 0d86.*
+ 243c9: 6fcf.*
+ 243ca: 0d86.*
+ 243cb: 6fd7.*
+ 243cc: 0d86.*
+ 243cd: 6fdf.*
+ 243ce: 0d86.*
+ 243cf: 6fe7.*
+ 243d0: 46f4.*
+ 243d1: 0d86.*
+ 243d2: 6fef.*
+ 243d3: 46f5.*
+ 243d4: 0d86.*
+ 243d5: 6ff7.*
+ 243d6: 46f6.*
+ 243d7: 0d86.*
+ 243d8: 6ff8.*
+ 243d9: 46f7.*
+ 243da: 0d86.*
+ 243db: 6f80.*
+ 243dc: 0d87.*
+ 243dd: 6f88.*
+ 243de: 0d87.*
+ 243df: 6f90.*
+ 243e0: 0d87.*
+ 243e1: 6f98.*
+ 243e2: 0d87.*
+ 243e3: 6fa0.*
+ 243e4: 0d87.*
+ 243e5: 6fa8.*
+ 243e6: 0d87.*
+ 243e7: 6fb0.*
+ 243e8: 0d87.*
+ 243e9: 6fb8.*
+ 243ea: 0d87.*
+ 243eb: 6fc0.*
+ 243ec: 0d87.*
+ 243ed: 6fc8.*
+ 243ee: 0d87.*
+ 243ef: 6fd0.*
+ 243f0: 0d87.*
+ 243f1: 6fd8.*
+ 243f2: 0d87.*
+ 243f3: 6fe0.*
+ 243f4: 46f8.*
+ 243f5: 0d87.*
+ 243f6: 6fe8.*
+ 243f7: 46f9.*
+ 243f8: 0d87.*
+ 243f9: 6ff0.*
+ 243fa: 46fa.*
+ 243fb: 0d87.*
+ 243fc: 6ff8.*
+ 243fd: 46fb.*
+ 243fe: 0d87.*
+ 243ff: 6f81.*
+ 24400: 0d87.*
+ 24401: 6f89.*
+ 24402: 0d87.*
+ 24403: 6f91.*
+ 24404: 0d87.*
+ 24405: 6f99.*
+ 24406: 0d87.*
+ 24407: 6fa1.*
+ 24408: 0d87.*
+ 24409: 6fa9.*
+ 2440a: 0d87.*
+ 2440b: 6fb1.*
+ 2440c: 0d87.*
+ 2440d: 6fb9.*
+ 2440e: 0d87.*
+ 2440f: 6fc1.*
+ 24410: 0d87.*
+ 24411: 6fc9.*
+ 24412: 0d87.*
+ 24413: 6fd1.*
+ 24414: 0d87.*
+ 24415: 6fd9.*
+ 24416: 0d87.*
+ 24417: 6fe1.*
+ 24418: 46fc.*
+ 24419: 0d87.*
+ 2441a: 6fe9.*
+ 2441b: 46fd.*
+ 2441c: 0d87.*
+ 2441d: 6ff1.*
+ 2441e: 46fe.*
+ 2441f: 0d87.*
+ 24420: 6ff8.*
+ 24421: 46ff.*
+ 24422: 0d87.*
+ 24423: 9907.*
+ 24424: 9947.*
+ 24425: 9987.*
+ 24426: 6f9a.*
+ 24427: 0d87.*
+ 24428: 6fa2.*
+ 24429: 0d87.*
+ 2442a: 6faa.*
+ 2442b: 0d87.*
+ 2442c: 6fb2.*
+ 2442d: 0d87.*
+ 2442e: 6fba.*
+ 2442f: 0d87.*
+ 24430: 6fc2.*
+ 24431: 0d87.*
+ 24432: 6fca.*
+ 24433: 0d87.*
+ 24434: 6fd2.*
+ 24435: 0d87.*
+ 24436: 99c7.*
+ 24437: 6fe2.*
+ 24438: 4700.*
+ 24439: 0d87.*
+ 2443a: 6fea.*
+ 2443b: 4701.*
+ 2443c: 0d87.*
+ 2443d: 6ff2.*
+ 2443e: 4702.*
+ 2443f: 0d87.*
+ 24440: 6ff8.*
+ 24441: 4703.*
+ 24442: 0d87.*
+ 24443: 9917.*
+ 24444: 9957.*
+ 24445: 9997.*
+ 24446: 6f9b.*
+ 24447: 0d87.*
+ 24448: 6fa3.*
+ 24449: 0d87.*
+ 2444a: 6fab.*
+ 2444b: 0d87.*
+ 2444c: 6fb3.*
+ 2444d: 0d87.*
+ 2444e: 6fbb.*
+ 2444f: 0d87.*
+ 24450: 6fc3.*
+ 24451: 0d87.*
+ 24452: 6fcb.*
+ 24453: 0d87.*
+ 24454: 6fd3.*
+ 24455: 0d87.*
+ 24456: 99d7.*
+ 24457: 6fe3.*
+ 24458: 4704.*
+ 24459: 0d87.*
+ 2445a: 6feb.*
+ 2445b: 4705.*
+ 2445c: 0d87.*
+ 2445d: 6ff3.*
+ 2445e: 4706.*
+ 2445f: 0d87.*
+ 24460: 6ff8.*
+ 24461: 4707.*
+ 24462: 0d87.*
+ 24463: 9927.*
+ 24464: 9967.*
+ 24465: 99a7.*
+ 24466: 6f9c.*
+ 24467: 0d87.*
+ 24468: 6fa4.*
+ 24469: 0d87.*
+ 2446a: 6fac.*
+ 2446b: 0d87.*
+ 2446c: 6fb4.*
+ 2446d: 0d87.*
+ 2446e: 6fbc.*
+ 2446f: 0d87.*
+ 24470: 6fc4.*
+ 24471: 0d87.*
+ 24472: 6fcc.*
+ 24473: 0d87.*
+ 24474: 6fd4.*
+ 24475: 0d87.*
+ 24476: 99e7.*
+ 24477: 6fe4.*
+ 24478: 4708.*
+ 24479: 0d87.*
+ 2447a: 6fec.*
+ 2447b: 4709.*
+ 2447c: 0d87.*
+ 2447d: 6ff4.*
+ 2447e: 470a.*
+ 2447f: 0d87.*
+ 24480: 6ff8.*
+ 24481: 470b.*
+ 24482: 0d87.*
+ 24483: 9937.*
+ 24484: 9977.*
+ 24485: 99b7.*
+ 24486: 6f9d.*
+ 24487: 0d87.*
+ 24488: 6fa5.*
+ 24489: 0d87.*
+ 2448a: 6fad.*
+ 2448b: 0d87.*
+ 2448c: 6fb5.*
+ 2448d: 0d87.*
+ 2448e: 6fbd.*
+ 2448f: 0d87.*
+ 24490: 6fc5.*
+ 24491: 0d87.*
+ 24492: 6fcd.*
+ 24493: 0d87.*
+ 24494: 6fd5.*
+ 24495: 0d87.*
+ 24496: 99f7.*
+ 24497: 6fe5.*
+ 24498: 470c.*
+ 24499: 0d87.*
+ 2449a: 6fed.*
+ 2449b: 470d.*
+ 2449c: 0d87.*
+ 2449d: 6ff5.*
+ 2449e: 470e.*
+ 2449f: 0d87.*
+ 244a0: 6ff8.*
+ 244a1: 470f.*
+ 244a2: 0d87.*
+ 244a3: 6f86.*
+ 244a4: 0d87.*
+ 244a5: 6f8e.*
+ 244a6: 0d87.*
+ 244a7: 6f96.*
+ 244a8: 0d87.*
+ 244a9: 6f9e.*
+ 244aa: 0d87.*
+ 244ab: 6fa6.*
+ 244ac: 0d87.*
+ 244ad: 6fae.*
+ 244ae: 0d87.*
+ 244af: 6fb6.*
+ 244b0: 0d87.*
+ 244b1: 6fbe.*
+ 244b2: 0d87.*
+ 244b3: 6fc6.*
+ 244b4: 0d87.*
+ 244b5: 6fce.*
+ 244b6: 0d87.*
+ 244b7: 6fd6.*
+ 244b8: 0d87.*
+ 244b9: 6fde.*
+ 244ba: 0d87.*
+ 244bb: 6fe6.*
+ 244bc: 4710.*
+ 244bd: 0d87.*
+ 244be: 6fee.*
+ 244bf: 4711.*
+ 244c0: 0d87.*
+ 244c1: 6ff6.*
+ 244c2: 4712.*
+ 244c3: 0d87.*
+ 244c4: 6ff8.*
+ 244c5: 4713.*
+ 244c6: 0d87.*
+ 244c7: 6f87.*
+ 244c8: 0d87.*
+ 244c9: 6f8f.*
+ 244ca: 0d87.*
+ 244cb: 6f97.*
+ 244cc: 0d87.*
+ 244cd: 6f9f.*
+ 244ce: 0d87.*
+ 244cf: 6fa7.*
+ 244d0: 0d87.*
+ 244d1: 6faf.*
+ 244d2: 0d87.*
+ 244d3: 6fb7.*
+ 244d4: 0d87.*
+ 244d5: 6fbf.*
+ 244d6: 0d87.*
+ 244d7: 6fc7.*
+ 244d8: 0d87.*
+ 244d9: 6fcf.*
+ 244da: 0d87.*
+ 244db: 6fd7.*
+ 244dc: 0d87.*
+ 244dd: 6fdf.*
+ 244de: 0d87.*
+ 244df: 6fe7.*
+ 244e0: 4714.*
+ 244e1: 0d87.*
+ 244e2: 6fef.*
+ 244e3: 4715.*
+ 244e4: 0d87.*
+ 244e5: 6ff7.*
+ 244e6: 4716.*
+ 244e7: 0d87.*
+ 244e8: 6ff8.*
+ 244e9: 4717.*
+ 244ea: 0d87.*
+ 244eb: 6f80.*
+ 244ec: 0d88.*
+ 244ed: 6f88.*
+ 244ee: 0d88.*
+ 244ef: 6f90.*
+ 244f0: 0d88.*
+ 244f1: 6f98.*
+ 244f2: 0d88.*
+ 244f3: 6fa0.*
+ 244f4: 0d88.*
+ 244f5: 6fa8.*
+ 244f6: 0d88.*
+ 244f7: 6fb0.*
+ 244f8: 0d88.*
+ 244f9: 6fb8.*
+ 244fa: 0d88.*
+ 244fb: 6fc0.*
+ 244fc: 0d88.*
+ 244fd: 6fc8.*
+ 244fe: 0d88.*
+ 244ff: 6fd0.*
+ 24500: 0d88.*
+ 24501: 6fd8.*
+ 24502: 0d88.*
+ 24503: 6fe0.*
+ 24504: 4718.*
+ 24505: 0d88.*
+ 24506: 6fe8.*
+ 24507: 4719.*
+ 24508: 0d88.*
+ 24509: 6ff0.*
+ 2450a: 471a.*
+ 2450b: 0d88.*
+ 2450c: 6ff8.*
+ 2450d: 471b.*
+ 2450e: 0d88.*
+ 2450f: 6f81.*
+ 24510: 0d88.*
+ 24511: 6f89.*
+ 24512: 0d88.*
+ 24513: 6f91.*
+ 24514: 0d88.*
+ 24515: 6f99.*
+ 24516: 0d88.*
+ 24517: 6fa1.*
+ 24518: 0d88.*
+ 24519: 6fa9.*
+ 2451a: 0d88.*
+ 2451b: 6fb1.*
+ 2451c: 0d88.*
+ 2451d: 6fb9.*
+ 2451e: 0d88.*
+ 2451f: 6fc1.*
+ 24520: 0d88.*
+ 24521: 6fc9.*
+ 24522: 0d88.*
+ 24523: 6fd1.*
+ 24524: 0d88.*
+ 24525: 6fd9.*
+ 24526: 0d88.*
+ 24527: 6fe1.*
+ 24528: 471c.*
+ 24529: 0d88.*
+ 2452a: 6fe9.*
+ 2452b: 471d.*
+ 2452c: 0d88.*
+ 2452d: 6ff1.*
+ 2452e: 471e.*
+ 2452f: 0d88.*
+ 24530: 6ff8.*
+ 24531: 471f.*
+ 24532: 0d88.*
+ 24533: 9908.*
+ 24534: 9948.*
+ 24535: 9988.*
+ 24536: 6f9a.*
+ 24537: 0d88.*
+ 24538: 6fa2.*
+ 24539: 0d88.*
+ 2453a: 6faa.*
+ 2453b: 0d88.*
+ 2453c: 6fb2.*
+ 2453d: 0d88.*
+ 2453e: 6fba.*
+ 2453f: 0d88.*
+ 24540: 6fc2.*
+ 24541: 0d88.*
+ 24542: 6fca.*
+ 24543: 0d88.*
+ 24544: 6fd2.*
+ 24545: 0d88.*
+ 24546: 99c8.*
+ 24547: 6fe2.*
+ 24548: 4720.*
+ 24549: 0d88.*
+ 2454a: 6fea.*
+ 2454b: 4721.*
+ 2454c: 0d88.*
+ 2454d: 6ff2.*
+ 2454e: 4722.*
+ 2454f: 0d88.*
+ 24550: 6ff8.*
+ 24551: 4723.*
+ 24552: 0d88.*
+ 24553: 9918.*
+ 24554: 9958.*
+ 24555: 9998.*
+ 24556: 6f9b.*
+ 24557: 0d88.*
+ 24558: 6fa3.*
+ 24559: 0d88.*
+ 2455a: 6fab.*
+ 2455b: 0d88.*
+ 2455c: 6fb3.*
+ 2455d: 0d88.*
+ 2455e: 6fbb.*
+ 2455f: 0d88.*
+ 24560: 6fc3.*
+ 24561: 0d88.*
+ 24562: 6fcb.*
+ 24563: 0d88.*
+ 24564: 6fd3.*
+ 24565: 0d88.*
+ 24566: 99d8.*
+ 24567: 6fe3.*
+ 24568: 4724.*
+ 24569: 0d88.*
+ 2456a: 6feb.*
+ 2456b: 4725.*
+ 2456c: 0d88.*
+ 2456d: 6ff3.*
+ 2456e: 4726.*
+ 2456f: 0d88.*
+ 24570: 6ff8.*
+ 24571: 4727.*
+ 24572: 0d88.*
+ 24573: 9928.*
+ 24574: 9968.*
+ 24575: 99a8.*
+ 24576: 6f9c.*
+ 24577: 0d88.*
+ 24578: 6fa4.*
+ 24579: 0d88.*
+ 2457a: 6fac.*
+ 2457b: 0d88.*
+ 2457c: 6fb4.*
+ 2457d: 0d88.*
+ 2457e: 6fbc.*
+ 2457f: 0d88.*
+ 24580: 6fc4.*
+ 24581: 0d88.*
+ 24582: 6fcc.*
+ 24583: 0d88.*
+ 24584: 6fd4.*
+ 24585: 0d88.*
+ 24586: 99e8.*
+ 24587: 6fe4.*
+ 24588: 4728.*
+ 24589: 0d88.*
+ 2458a: 6fec.*
+ 2458b: 4729.*
+ 2458c: 0d88.*
+ 2458d: 6ff4.*
+ 2458e: 472a.*
+ 2458f: 0d88.*
+ 24590: 6ff8.*
+ 24591: 472b.*
+ 24592: 0d88.*
+ 24593: 9938.*
+ 24594: 9978.*
+ 24595: 99b8.*
+ 24596: 6f9d.*
+ 24597: 0d88.*
+ 24598: 6fa5.*
+ 24599: 0d88.*
+ 2459a: 6fad.*
+ 2459b: 0d88.*
+ 2459c: 6fb5.*
+ 2459d: 0d88.*
+ 2459e: 6fbd.*
+ 2459f: 0d88.*
+ 245a0: 6fc5.*
+ 245a1: 0d88.*
+ 245a2: 6fcd.*
+ 245a3: 0d88.*
+ 245a4: 6fd5.*
+ 245a5: 0d88.*
+ 245a6: 99f8.*
+ 245a7: 6fe5.*
+ 245a8: 472c.*
+ 245a9: 0d88.*
+ 245aa: 6fed.*
+ 245ab: 472d.*
+ 245ac: 0d88.*
+ 245ad: 6ff5.*
+ 245ae: 472e.*
+ 245af: 0d88.*
+ 245b0: 6ff8.*
+ 245b1: 472f.*
+ 245b2: 0d88.*
+ 245b3: 6f86.*
+ 245b4: 0d88.*
+ 245b5: 6f8e.*
+ 245b6: 0d88.*
+ 245b7: 6f96.*
+ 245b8: 0d88.*
+ 245b9: 6f9e.*
+ 245ba: 0d88.*
+ 245bb: 6fa6.*
+ 245bc: 0d88.*
+ 245bd: 6fae.*
+ 245be: 0d88.*
+ 245bf: 6fb6.*
+ 245c0: 0d88.*
+ 245c1: 6fbe.*
+ 245c2: 0d88.*
+ 245c3: 6fc6.*
+ 245c4: 0d88.*
+ 245c5: 6fce.*
+ 245c6: 0d88.*
+ 245c7: 6fd6.*
+ 245c8: 0d88.*
+ 245c9: 6fde.*
+ 245ca: 0d88.*
+ 245cb: 6fe6.*
+ 245cc: 4730.*
+ 245cd: 0d88.*
+ 245ce: 6fee.*
+ 245cf: 4731.*
+ 245d0: 0d88.*
+ 245d1: 6ff6.*
+ 245d2: 4732.*
+ 245d3: 0d88.*
+ 245d4: 6ff8.*
+ 245d5: 4733.*
+ 245d6: 0d88.*
+ 245d7: 6f87.*
+ 245d8: 0d88.*
+ 245d9: 6f8f.*
+ 245da: 0d88.*
+ 245db: 6f97.*
+ 245dc: 0d88.*
+ 245dd: 6f9f.*
+ 245de: 0d88.*
+ 245df: 6fa7.*
+ 245e0: 0d88.*
+ 245e1: 6faf.*
+ 245e2: 0d88.*
+ 245e3: 6fb7.*
+ 245e4: 0d88.*
+ 245e5: 6fbf.*
+ 245e6: 0d88.*
+ 245e7: 6fc7.*
+ 245e8: 0d88.*
+ 245e9: 6fcf.*
+ 245ea: 0d88.*
+ 245eb: 6fd7.*
+ 245ec: 0d88.*
+ 245ed: 6fdf.*
+ 245ee: 0d88.*
+ 245ef: 6fe7.*
+ 245f0: 4734.*
+ 245f1: 0d88.*
+ 245f2: 6fef.*
+ 245f3: 4735.*
+ 245f4: 0d88.*
+ 245f5: 6ff7.*
+ 245f6: 4736.*
+ 245f7: 0d88.*
+ 245f8: 6ff8.*
+ 245f9: 4737.*
+ 245fa: 0d88.*
+ 245fb: 6f80.*
+ 245fc: 0d89.*
+ 245fd: 6f88.*
+ 245fe: 0d89.*
+ 245ff: 6f90.*
+ 24600: 0d89.*
+ 24601: 6f98.*
+ 24602: 0d89.*
+ 24603: 6fa0.*
+ 24604: 0d89.*
+ 24605: 6fa8.*
+ 24606: 0d89.*
+ 24607: 6fb0.*
+ 24608: 0d89.*
+ 24609: 6fb8.*
+ 2460a: 0d89.*
+ 2460b: 6fc0.*
+ 2460c: 0d89.*
+ 2460d: 6fc8.*
+ 2460e: 0d89.*
+ 2460f: 6fd0.*
+ 24610: 0d89.*
+ 24611: 6fd8.*
+ 24612: 0d89.*
+ 24613: 6fe0.*
+ 24614: 4738.*
+ 24615: 0d89.*
+ 24616: 6fe8.*
+ 24617: 4739.*
+ 24618: 0d89.*
+ 24619: 6ff0.*
+ 2461a: 473a.*
+ 2461b: 0d89.*
+ 2461c: 6ff8.*
+ 2461d: 473b.*
+ 2461e: 0d89.*
+ 2461f: 6f81.*
+ 24620: 0d89.*
+ 24621: 6f89.*
+ 24622: 0d89.*
+ 24623: 6f91.*
+ 24624: 0d89.*
+ 24625: 6f99.*
+ 24626: 0d89.*
+ 24627: 6fa1.*
+ 24628: 0d89.*
+ 24629: 6fa9.*
+ 2462a: 0d89.*
+ 2462b: 6fb1.*
+ 2462c: 0d89.*
+ 2462d: 6fb9.*
+ 2462e: 0d89.*
+ 2462f: 6fc1.*
+ 24630: 0d89.*
+ 24631: 6fc9.*
+ 24632: 0d89.*
+ 24633: 6fd1.*
+ 24634: 0d89.*
+ 24635: 6fd9.*
+ 24636: 0d89.*
+ 24637: 6fe1.*
+ 24638: 473c.*
+ 24639: 0d89.*
+ 2463a: 6fe9.*
+ 2463b: 473d.*
+ 2463c: 0d89.*
+ 2463d: 6ff1.*
+ 2463e: 473e.*
+ 2463f: 0d89.*
+ 24640: 6ff8.*
+ 24641: 473f.*
+ 24642: 0d89.*
+ 24643: 9909.*
+ 24644: 9949.*
+ 24645: 9989.*
+ 24646: 6f9a.*
+ 24647: 0d89.*
+ 24648: 6fa2.*
+ 24649: 0d89.*
+ 2464a: 6faa.*
+ 2464b: 0d89.*
+ 2464c: 6fb2.*
+ 2464d: 0d89.*
+ 2464e: 6fba.*
+ 2464f: 0d89.*
+ 24650: 6fc2.*
+ 24651: 0d89.*
+ 24652: 6fca.*
+ 24653: 0d89.*
+ 24654: 6fd2.*
+ 24655: 0d89.*
+ 24656: 99c9.*
+ 24657: 6fe2.*
+ 24658: 4740.*
+ 24659: 0d89.*
+ 2465a: 6fea.*
+ 2465b: 4741.*
+ 2465c: 0d89.*
+ 2465d: 6ff2.*
+ 2465e: 4742.*
+ 2465f: 0d89.*
+ 24660: 6ff8.*
+ 24661: 4743.*
+ 24662: 0d89.*
+ 24663: 9919.*
+ 24664: 9959.*
+ 24665: 9999.*
+ 24666: 6f9b.*
+ 24667: 0d89.*
+ 24668: 6fa3.*
+ 24669: 0d89.*
+ 2466a: 6fab.*
+ 2466b: 0d89.*
+ 2466c: 6fb3.*
+ 2466d: 0d89.*
+ 2466e: 6fbb.*
+ 2466f: 0d89.*
+ 24670: 6fc3.*
+ 24671: 0d89.*
+ 24672: 6fcb.*
+ 24673: 0d89.*
+ 24674: 6fd3.*
+ 24675: 0d89.*
+ 24676: 99d9.*
+ 24677: 6fe3.*
+ 24678: 4744.*
+ 24679: 0d89.*
+ 2467a: 6feb.*
+ 2467b: 4745.*
+ 2467c: 0d89.*
+ 2467d: 6ff3.*
+ 2467e: 4746.*
+ 2467f: 0d89.*
+ 24680: 6ff8.*
+ 24681: 4747.*
+ 24682: 0d89.*
+ 24683: 9929.*
+ 24684: 9969.*
+ 24685: 99a9.*
+ 24686: 6f9c.*
+ 24687: 0d89.*
+ 24688: 6fa4.*
+ 24689: 0d89.*
+ 2468a: 6fac.*
+ 2468b: 0d89.*
+ 2468c: 6fb4.*
+ 2468d: 0d89.*
+ 2468e: 6fbc.*
+ 2468f: 0d89.*
+ 24690: 6fc4.*
+ 24691: 0d89.*
+ 24692: 6fcc.*
+ 24693: 0d89.*
+ 24694: 6fd4.*
+ 24695: 0d89.*
+ 24696: 99e9.*
+ 24697: 6fe4.*
+ 24698: 4748.*
+ 24699: 0d89.*
+ 2469a: 6fec.*
+ 2469b: 4749.*
+ 2469c: 0d89.*
+ 2469d: 6ff4.*
+ 2469e: 474a.*
+ 2469f: 0d89.*
+ 246a0: 6ff8.*
+ 246a1: 474b.*
+ 246a2: 0d89.*
+ 246a3: 9939.*
+ 246a4: 9979.*
+ 246a5: 99b9.*
+ 246a6: 6f9d.*
+ 246a7: 0d89.*
+ 246a8: 6fa5.*
+ 246a9: 0d89.*
+ 246aa: 6fad.*
+ 246ab: 0d89.*
+ 246ac: 6fb5.*
+ 246ad: 0d89.*
+ 246ae: 6fbd.*
+ 246af: 0d89.*
+ 246b0: 6fc5.*
+ 246b1: 0d89.*
+ 246b2: 6fcd.*
+ 246b3: 0d89.*
+ 246b4: 6fd5.*
+ 246b5: 0d89.*
+ 246b6: 99f9.*
+ 246b7: 6fe5.*
+ 246b8: 474c.*
+ 246b9: 0d89.*
+ 246ba: 6fed.*
+ 246bb: 474d.*
+ 246bc: 0d89.*
+ 246bd: 6ff5.*
+ 246be: 474e.*
+ 246bf: 0d89.*
+ 246c0: 6ff8.*
+ 246c1: 474f.*
+ 246c2: 0d89.*
+ 246c3: 6f86.*
+ 246c4: 0d89.*
+ 246c5: 6f8e.*
+ 246c6: 0d89.*
+ 246c7: 6f96.*
+ 246c8: 0d89.*
+ 246c9: 6f9e.*
+ 246ca: 0d89.*
+ 246cb: 6fa6.*
+ 246cc: 0d89.*
+ 246cd: 6fae.*
+ 246ce: 0d89.*
+ 246cf: 6fb6.*
+ 246d0: 0d89.*
+ 246d1: 6fbe.*
+ 246d2: 0d89.*
+ 246d3: 6fc6.*
+ 246d4: 0d89.*
+ 246d5: 6fce.*
+ 246d6: 0d89.*
+ 246d7: 6fd6.*
+ 246d8: 0d89.*
+ 246d9: 6fde.*
+ 246da: 0d89.*
+ 246db: 6fe6.*
+ 246dc: 4750.*
+ 246dd: 0d89.*
+ 246de: 6fee.*
+ 246df: 4751.*
+ 246e0: 0d89.*
+ 246e1: 6ff6.*
+ 246e2: 4752.*
+ 246e3: 0d89.*
+ 246e4: 6ff8.*
+ 246e5: 4753.*
+ 246e6: 0d89.*
+ 246e7: 6f87.*
+ 246e8: 0d89.*
+ 246e9: 6f8f.*
+ 246ea: 0d89.*
+ 246eb: 6f97.*
+ 246ec: 0d89.*
+ 246ed: 6f9f.*
+ 246ee: 0d89.*
+ 246ef: 6fa7.*
+ 246f0: 0d89.*
+ 246f1: 6faf.*
+ 246f2: 0d89.*
+ 246f3: 6fb7.*
+ 246f4: 0d89.*
+ 246f5: 6fbf.*
+ 246f6: 0d89.*
+ 246f7: 6fc7.*
+ 246f8: 0d89.*
+ 246f9: 6fcf.*
+ 246fa: 0d89.*
+ 246fb: 6fd7.*
+ 246fc: 0d89.*
+ 246fd: 6fdf.*
+ 246fe: 0d89.*
+ 246ff: 6fe7.*
+ 24700: 4754.*
+ 24701: 0d89.*
+ 24702: 6fef.*
+ 24703: 4755.*
+ 24704: 0d89.*
+ 24705: 6ff7.*
+ 24706: 4756.*
+ 24707: 0d89.*
+ 24708: 6ff8.*
+ 24709: 4757.*
+ 2470a: 0d89.*
+ 2470b: 6f80.*
+ 2470c: 0d8a.*
+ 2470d: 6f88.*
+ 2470e: 0d8a.*
+ 2470f: 6f90.*
+ 24710: 0d8a.*
+ 24711: 6f98.*
+ 24712: 0d8a.*
+ 24713: 6fa0.*
+ 24714: 0d8a.*
+ 24715: 6fa8.*
+ 24716: 0d8a.*
+ 24717: 6fb0.*
+ 24718: 0d8a.*
+ 24719: 6fb8.*
+ 2471a: 0d8a.*
+ 2471b: 6fc0.*
+ 2471c: 0d8a.*
+ 2471d: 6fc8.*
+ 2471e: 0d8a.*
+ 2471f: 6fd0.*
+ 24720: 0d8a.*
+ 24721: 6fd8.*
+ 24722: 0d8a.*
+ 24723: 6fe0.*
+ 24724: 4758.*
+ 24725: 0d8a.*
+ 24726: 6fe8.*
+ 24727: 4759.*
+ 24728: 0d8a.*
+ 24729: 6ff0.*
+ 2472a: 475a.*
+ 2472b: 0d8a.*
+ 2472c: 6ff8.*
+ 2472d: 475b.*
+ 2472e: 0d8a.*
+ 2472f: 6f81.*
+ 24730: 0d8a.*
+ 24731: 6f89.*
+ 24732: 0d8a.*
+ 24733: 6f91.*
+ 24734: 0d8a.*
+ 24735: 6f99.*
+ 24736: 0d8a.*
+ 24737: 6fa1.*
+ 24738: 0d8a.*
+ 24739: 6fa9.*
+ 2473a: 0d8a.*
+ 2473b: 6fb1.*
+ 2473c: 0d8a.*
+ 2473d: 6fb9.*
+ 2473e: 0d8a.*
+ 2473f: 6fc1.*
+ 24740: 0d8a.*
+ 24741: 6fc9.*
+ 24742: 0d8a.*
+ 24743: 6fd1.*
+ 24744: 0d8a.*
+ 24745: 6fd9.*
+ 24746: 0d8a.*
+ 24747: 6fe1.*
+ 24748: 475c.*
+ 24749: 0d8a.*
+ 2474a: 6fe9.*
+ 2474b: 475d.*
+ 2474c: 0d8a.*
+ 2474d: 6ff1.*
+ 2474e: 475e.*
+ 2474f: 0d8a.*
+ 24750: 6ff8.*
+ 24751: 475f.*
+ 24752: 0d8a.*
+ 24753: 990a.*
+ 24754: 994a.*
+ 24755: 998a.*
+ 24756: 6f9a.*
+ 24757: 0d8a.*
+ 24758: 6fa2.*
+ 24759: 0d8a.*
+ 2475a: 6faa.*
+ 2475b: 0d8a.*
+ 2475c: 6fb2.*
+ 2475d: 0d8a.*
+ 2475e: 6fba.*
+ 2475f: 0d8a.*
+ 24760: 6fc2.*
+ 24761: 0d8a.*
+ 24762: 6fca.*
+ 24763: 0d8a.*
+ 24764: 6fd2.*
+ 24765: 0d8a.*
+ 24766: 99ca.*
+ 24767: 6fe2.*
+ 24768: 4760.*
+ 24769: 0d8a.*
+ 2476a: 6fea.*
+ 2476b: 4761.*
+ 2476c: 0d8a.*
+ 2476d: 6ff2.*
+ 2476e: 4762.*
+ 2476f: 0d8a.*
+ 24770: 6ff8.*
+ 24771: 4763.*
+ 24772: 0d8a.*
+ 24773: 991a.*
+ 24774: 995a.*
+ 24775: 999a.*
+ 24776: 6f9b.*
+ 24777: 0d8a.*
+ 24778: 6fa3.*
+ 24779: 0d8a.*
+ 2477a: 6fab.*
+ 2477b: 0d8a.*
+ 2477c: 6fb3.*
+ 2477d: 0d8a.*
+ 2477e: 6fbb.*
+ 2477f: 0d8a.*
+ 24780: 6fc3.*
+ 24781: 0d8a.*
+ 24782: 6fcb.*
+ 24783: 0d8a.*
+ 24784: 6fd3.*
+ 24785: 0d8a.*
+ 24786: 99da.*
+ 24787: 6fe3.*
+ 24788: 4764.*
+ 24789: 0d8a.*
+ 2478a: 6feb.*
+ 2478b: 4765.*
+ 2478c: 0d8a.*
+ 2478d: 6ff3.*
+ 2478e: 4766.*
+ 2478f: 0d8a.*
+ 24790: 6ff8.*
+ 24791: 4767.*
+ 24792: 0d8a.*
+ 24793: 992a.*
+ 24794: 996a.*
+ 24795: 99aa.*
+ 24796: 6f9c.*
+ 24797: 0d8a.*
+ 24798: 6fa4.*
+ 24799: 0d8a.*
+ 2479a: 6fac.*
+ 2479b: 0d8a.*
+ 2479c: 6fb4.*
+ 2479d: 0d8a.*
+ 2479e: 6fbc.*
+ 2479f: 0d8a.*
+ 247a0: 6fc4.*
+ 247a1: 0d8a.*
+ 247a2: 6fcc.*
+ 247a3: 0d8a.*
+ 247a4: 6fd4.*
+ 247a5: 0d8a.*
+ 247a6: 99ea.*
+ 247a7: 6fe4.*
+ 247a8: 4768.*
+ 247a9: 0d8a.*
+ 247aa: 6fec.*
+ 247ab: 4769.*
+ 247ac: 0d8a.*
+ 247ad: 6ff4.*
+ 247ae: 476a.*
+ 247af: 0d8a.*
+ 247b0: 6ff8.*
+ 247b1: 476b.*
+ 247b2: 0d8a.*
+ 247b3: 993a.*
+ 247b4: 997a.*
+ 247b5: 99ba.*
+ 247b6: 6f9d.*
+ 247b7: 0d8a.*
+ 247b8: 6fa5.*
+ 247b9: 0d8a.*
+ 247ba: 6fad.*
+ 247bb: 0d8a.*
+ 247bc: 6fb5.*
+ 247bd: 0d8a.*
+ 247be: 6fbd.*
+ 247bf: 0d8a.*
+ 247c0: 6fc5.*
+ 247c1: 0d8a.*
+ 247c2: 6fcd.*
+ 247c3: 0d8a.*
+ 247c4: 6fd5.*
+ 247c5: 0d8a.*
+ 247c6: 99fa.*
+ 247c7: 6fe5.*
+ 247c8: 476c.*
+ 247c9: 0d8a.*
+ 247ca: 6fed.*
+ 247cb: 476d.*
+ 247cc: 0d8a.*
+ 247cd: 6ff5.*
+ 247ce: 476e.*
+ 247cf: 0d8a.*
+ 247d0: 6ff8.*
+ 247d1: 476f.*
+ 247d2: 0d8a.*
+ 247d3: 6f86.*
+ 247d4: 0d8a.*
+ 247d5: 6f8e.*
+ 247d6: 0d8a.*
+ 247d7: 6f96.*
+ 247d8: 0d8a.*
+ 247d9: 6f9e.*
+ 247da: 0d8a.*
+ 247db: 6fa6.*
+ 247dc: 0d8a.*
+ 247dd: 6fae.*
+ 247de: 0d8a.*
+ 247df: 6fb6.*
+ 247e0: 0d8a.*
+ 247e1: 6fbe.*
+ 247e2: 0d8a.*
+ 247e3: 6fc6.*
+ 247e4: 0d8a.*
+ 247e5: 6fce.*
+ 247e6: 0d8a.*
+ 247e7: 6fd6.*
+ 247e8: 0d8a.*
+ 247e9: 6fde.*
+ 247ea: 0d8a.*
+ 247eb: 6fe6.*
+ 247ec: 4770.*
+ 247ed: 0d8a.*
+ 247ee: 6fee.*
+ 247ef: 4771.*
+ 247f0: 0d8a.*
+ 247f1: 6ff6.*
+ 247f2: 4772.*
+ 247f3: 0d8a.*
+ 247f4: 6ff8.*
+ 247f5: 4773.*
+ 247f6: 0d8a.*
+ 247f7: 6f87.*
+ 247f8: 0d8a.*
+ 247f9: 6f8f.*
+ 247fa: 0d8a.*
+ 247fb: 6f97.*
+ 247fc: 0d8a.*
+ 247fd: 6f9f.*
+ 247fe: 0d8a.*
+ 247ff: 6fa7.*
+ 24800: 0d8a.*
+ 24801: 6faf.*
+ 24802: 0d8a.*
+ 24803: 6fb7.*
+ 24804: 0d8a.*
+ 24805: 6fbf.*
+ 24806: 0d8a.*
+ 24807: 6fc7.*
+ 24808: 0d8a.*
+ 24809: 6fcf.*
+ 2480a: 0d8a.*
+ 2480b: 6fd7.*
+ 2480c: 0d8a.*
+ 2480d: 6fdf.*
+ 2480e: 0d8a.*
+ 2480f: 6fe7.*
+ 24810: 4774.*
+ 24811: 0d8a.*
+ 24812: 6fef.*
+ 24813: 4775.*
+ 24814: 0d8a.*
+ 24815: 6ff7.*
+ 24816: 4776.*
+ 24817: 0d8a.*
+ 24818: 6ff8.*
+ 24819: 4777.*
+ 2481a: 0d8a.*
+ 2481b: 6f80.*
+ 2481c: 0d8b.*
+ 2481d: 6f88.*
+ 2481e: 0d8b.*
+ 2481f: 6f90.*
+ 24820: 0d8b.*
+ 24821: 6f98.*
+ 24822: 0d8b.*
+ 24823: 6fa0.*
+ 24824: 0d8b.*
+ 24825: 6fa8.*
+ 24826: 0d8b.*
+ 24827: 6fb0.*
+ 24828: 0d8b.*
+ 24829: 6fb8.*
+ 2482a: 0d8b.*
+ 2482b: 6fc0.*
+ 2482c: 0d8b.*
+ 2482d: 6fc8.*
+ 2482e: 0d8b.*
+ 2482f: 6fd0.*
+ 24830: 0d8b.*
+ 24831: 6fd8.*
+ 24832: 0d8b.*
+ 24833: 6fe0.*
+ 24834: 4778.*
+ 24835: 0d8b.*
+ 24836: 6fe8.*
+ 24837: 4779.*
+ 24838: 0d8b.*
+ 24839: 6ff0.*
+ 2483a: 477a.*
+ 2483b: 0d8b.*
+ 2483c: 6ff8.*
+ 2483d: 477b.*
+ 2483e: 0d8b.*
+ 2483f: 6f81.*
+ 24840: 0d8b.*
+ 24841: 6f89.*
+ 24842: 0d8b.*
+ 24843: 6f91.*
+ 24844: 0d8b.*
+ 24845: 6f99.*
+ 24846: 0d8b.*
+ 24847: 6fa1.*
+ 24848: 0d8b.*
+ 24849: 6fa9.*
+ 2484a: 0d8b.*
+ 2484b: 6fb1.*
+ 2484c: 0d8b.*
+ 2484d: 6fb9.*
+ 2484e: 0d8b.*
+ 2484f: 6fc1.*
+ 24850: 0d8b.*
+ 24851: 6fc9.*
+ 24852: 0d8b.*
+ 24853: 6fd1.*
+ 24854: 0d8b.*
+ 24855: 6fd9.*
+ 24856: 0d8b.*
+ 24857: 6fe1.*
+ 24858: 477c.*
+ 24859: 0d8b.*
+ 2485a: 6fe9.*
+ 2485b: 477d.*
+ 2485c: 0d8b.*
+ 2485d: 6ff1.*
+ 2485e: 477e.*
+ 2485f: 0d8b.*
+ 24860: 6ff8.*
+ 24861: 477f.*
+ 24862: 0d8b.*
+ 24863: 990b.*
+ 24864: 994b.*
+ 24865: 998b.*
+ 24866: 6f9a.*
+ 24867: 0d8b.*
+ 24868: 6fa2.*
+ 24869: 0d8b.*
+ 2486a: 6faa.*
+ 2486b: 0d8b.*
+ 2486c: 6fb2.*
+ 2486d: 0d8b.*
+ 2486e: 6fba.*
+ 2486f: 0d8b.*
+ 24870: 6fc2.*
+ 24871: 0d8b.*
+ 24872: 6fca.*
+ 24873: 0d8b.*
+ 24874: 6fd2.*
+ 24875: 0d8b.*
+ 24876: 99cb.*
+ 24877: 6fe2.*
+ 24878: 4780.*
+ 24879: 0d8b.*
+ 2487a: 6fea.*
+ 2487b: 4781.*
+ 2487c: 0d8b.*
+ 2487d: 6ff2.*
+ 2487e: 4782.*
+ 2487f: 0d8b.*
+ 24880: 6ff8.*
+ 24881: 4783.*
+ 24882: 0d8b.*
+ 24883: 991b.*
+ 24884: 995b.*
+ 24885: 999b.*
+ 24886: 6f9b.*
+ 24887: 0d8b.*
+ 24888: 6fa3.*
+ 24889: 0d8b.*
+ 2488a: 6fab.*
+ 2488b: 0d8b.*
+ 2488c: 6fb3.*
+ 2488d: 0d8b.*
+ 2488e: 6fbb.*
+ 2488f: 0d8b.*
+ 24890: 6fc3.*
+ 24891: 0d8b.*
+ 24892: 6fcb.*
+ 24893: 0d8b.*
+ 24894: 6fd3.*
+ 24895: 0d8b.*
+ 24896: 99db.*
+ 24897: 6fe3.*
+ 24898: 4784.*
+ 24899: 0d8b.*
+ 2489a: 6feb.*
+ 2489b: 4785.*
+ 2489c: 0d8b.*
+ 2489d: 6ff3.*
+ 2489e: 4786.*
+ 2489f: 0d8b.*
+ 248a0: 6ff8.*
+ 248a1: 4787.*
+ 248a2: 0d8b.*
+ 248a3: 992b.*
+ 248a4: 996b.*
+ 248a5: 99ab.*
+ 248a6: 6f9c.*
+ 248a7: 0d8b.*
+ 248a8: 6fa4.*
+ 248a9: 0d8b.*
+ 248aa: 6fac.*
+ 248ab: 0d8b.*
+ 248ac: 6fb4.*
+ 248ad: 0d8b.*
+ 248ae: 6fbc.*
+ 248af: 0d8b.*
+ 248b0: 6fc4.*
+ 248b1: 0d8b.*
+ 248b2: 6fcc.*
+ 248b3: 0d8b.*
+ 248b4: 6fd4.*
+ 248b5: 0d8b.*
+ 248b6: 99eb.*
+ 248b7: 6fe4.*
+ 248b8: 4788.*
+ 248b9: 0d8b.*
+ 248ba: 6fec.*
+ 248bb: 4789.*
+ 248bc: 0d8b.*
+ 248bd: 6ff4.*
+ 248be: 478a.*
+ 248bf: 0d8b.*
+ 248c0: 6ff8.*
+ 248c1: 478b.*
+ 248c2: 0d8b.*
+ 248c3: 993b.*
+ 248c4: 997b.*
+ 248c5: 99bb.*
+ 248c6: 6f9d.*
+ 248c7: 0d8b.*
+ 248c8: 6fa5.*
+ 248c9: 0d8b.*
+ 248ca: 6fad.*
+ 248cb: 0d8b.*
+ 248cc: 6fb5.*
+ 248cd: 0d8b.*
+ 248ce: 6fbd.*
+ 248cf: 0d8b.*
+ 248d0: 6fc5.*
+ 248d1: 0d8b.*
+ 248d2: 6fcd.*
+ 248d3: 0d8b.*
+ 248d4: 6fd5.*
+ 248d5: 0d8b.*
+ 248d6: 99fb.*
+ 248d7: 6fe5.*
+ 248d8: 478c.*
+ 248d9: 0d8b.*
+ 248da: 6fed.*
+ 248db: 478d.*
+ 248dc: 0d8b.*
+ 248dd: 6ff5.*
+ 248de: 478e.*
+ 248df: 0d8b.*
+ 248e0: 6ff8.*
+ 248e1: 478f.*
+ 248e2: 0d8b.*
+ 248e3: 6f86.*
+ 248e4: 0d8b.*
+ 248e5: 6f8e.*
+ 248e6: 0d8b.*
+ 248e7: 6f96.*
+ 248e8: 0d8b.*
+ 248e9: 6f9e.*
+ 248ea: 0d8b.*
+ 248eb: 6fa6.*
+ 248ec: 0d8b.*
+ 248ed: 6fae.*
+ 248ee: 0d8b.*
+ 248ef: 6fb6.*
+ 248f0: 0d8b.*
+ 248f1: 6fbe.*
+ 248f2: 0d8b.*
+ 248f3: 6fc6.*
+ 248f4: 0d8b.*
+ 248f5: 6fce.*
+ 248f6: 0d8b.*
+ 248f7: 6fd6.*
+ 248f8: 0d8b.*
+ 248f9: 6fde.*
+ 248fa: 0d8b.*
+ 248fb: 6fe6.*
+ 248fc: 4790.*
+ 248fd: 0d8b.*
+ 248fe: 6fee.*
+ 248ff: 4791.*
+ 24900: 0d8b.*
+ 24901: 6ff6.*
+ 24902: 4792.*
+ 24903: 0d8b.*
+ 24904: 6ff8.*
+ 24905: 4793.*
+ 24906: 0d8b.*
+ 24907: 6f87.*
+ 24908: 0d8b.*
+ 24909: 6f8f.*
+ 2490a: 0d8b.*
+ 2490b: 6f97.*
+ 2490c: 0d8b.*
+ 2490d: 6f9f.*
+ 2490e: 0d8b.*
+ 2490f: 6fa7.*
+ 24910: 0d8b.*
+ 24911: 6faf.*
+ 24912: 0d8b.*
+ 24913: 6fb7.*
+ 24914: 0d8b.*
+ 24915: 6fbf.*
+ 24916: 0d8b.*
+ 24917: 6fc7.*
+ 24918: 0d8b.*
+ 24919: 6fcf.*
+ 2491a: 0d8b.*
+ 2491b: 6fd7.*
+ 2491c: 0d8b.*
+ 2491d: 6fdf.*
+ 2491e: 0d8b.*
+ 2491f: 6fe7.*
+ 24920: 4794.*
+ 24921: 0d8b.*
+ 24922: 6fef.*
+ 24923: 4795.*
+ 24924: 0d8b.*
+ 24925: 6ff7.*
+ 24926: 4796.*
+ 24927: 0d8b.*
+ 24928: 6ff8.*
+ 24929: 4797.*
+ 2492a: 0d8b.*
+ 2492b: 6f80.*
+ 2492c: 0d8c.*
+ 2492d: 6f88.*
+ 2492e: 0d8c.*
+ 2492f: 6f90.*
+ 24930: 0d8c.*
+ 24931: 6f98.*
+ 24932: 0d8c.*
+ 24933: 6fa0.*
+ 24934: 0d8c.*
+ 24935: 6fa8.*
+ 24936: 0d8c.*
+ 24937: 6fb0.*
+ 24938: 0d8c.*
+ 24939: 6fb8.*
+ 2493a: 0d8c.*
+ 2493b: 6fc0.*
+ 2493c: 0d8c.*
+ 2493d: 6fc8.*
+ 2493e: 0d8c.*
+ 2493f: 6fd0.*
+ 24940: 0d8c.*
+ 24941: 6fd8.*
+ 24942: 0d8c.*
+ 24943: 6fe0.*
+ 24944: 4798.*
+ 24945: 0d8c.*
+ 24946: 6fe8.*
+ 24947: 4799.*
+ 24948: 0d8c.*
+ 24949: 6ff0.*
+ 2494a: 479a.*
+ 2494b: 0d8c.*
+ 2494c: 6ff8.*
+ 2494d: 479b.*
+ 2494e: 0d8c.*
+ 2494f: 6f81.*
+ 24950: 0d8c.*
+ 24951: 6f89.*
+ 24952: 0d8c.*
+ 24953: 6f91.*
+ 24954: 0d8c.*
+ 24955: 6f99.*
+ 24956: 0d8c.*
+ 24957: 6fa1.*
+ 24958: 0d8c.*
+ 24959: 6fa9.*
+ 2495a: 0d8c.*
+ 2495b: 6fb1.*
+ 2495c: 0d8c.*
+ 2495d: 6fb9.*
+ 2495e: 0d8c.*
+ 2495f: 6fc1.*
+ 24960: 0d8c.*
+ 24961: 6fc9.*
+ 24962: 0d8c.*
+ 24963: 6fd1.*
+ 24964: 0d8c.*
+ 24965: 6fd9.*
+ 24966: 0d8c.*
+ 24967: 6fe1.*
+ 24968: 479c.*
+ 24969: 0d8c.*
+ 2496a: 6fe9.*
+ 2496b: 479d.*
+ 2496c: 0d8c.*
+ 2496d: 6ff1.*
+ 2496e: 479e.*
+ 2496f: 0d8c.*
+ 24970: 6ff8.*
+ 24971: 479f.*
+ 24972: 0d8c.*
+ 24973: 990c.*
+ 24974: 994c.*
+ 24975: 998c.*
+ 24976: 6f9a.*
+ 24977: 0d8c.*
+ 24978: 6fa2.*
+ 24979: 0d8c.*
+ 2497a: 6faa.*
+ 2497b: 0d8c.*
+ 2497c: 6fb2.*
+ 2497d: 0d8c.*
+ 2497e: 6fba.*
+ 2497f: 0d8c.*
+ 24980: 6fc2.*
+ 24981: 0d8c.*
+ 24982: 6fca.*
+ 24983: 0d8c.*
+ 24984: 6fd2.*
+ 24985: 0d8c.*
+ 24986: 99cc.*
+ 24987: 6fe2.*
+ 24988: 47a0.*
+ 24989: 0d8c.*
+ 2498a: 6fea.*
+ 2498b: 47a1.*
+ 2498c: 0d8c.*
+ 2498d: 6ff2.*
+ 2498e: 47a2.*
+ 2498f: 0d8c.*
+ 24990: 6ff8.*
+ 24991: 47a3.*
+ 24992: 0d8c.*
+ 24993: 991c.*
+ 24994: 995c.*
+ 24995: 999c.*
+ 24996: 6f9b.*
+ 24997: 0d8c.*
+ 24998: 6fa3.*
+ 24999: 0d8c.*
+ 2499a: 6fab.*
+ 2499b: 0d8c.*
+ 2499c: 6fb3.*
+ 2499d: 0d8c.*
+ 2499e: 6fbb.*
+ 2499f: 0d8c.*
+ 249a0: 6fc3.*
+ 249a1: 0d8c.*
+ 249a2: 6fcb.*
+ 249a3: 0d8c.*
+ 249a4: 6fd3.*
+ 249a5: 0d8c.*
+ 249a6: 99dc.*
+ 249a7: 6fe3.*
+ 249a8: 47a4.*
+ 249a9: 0d8c.*
+ 249aa: 6feb.*
+ 249ab: 47a5.*
+ 249ac: 0d8c.*
+ 249ad: 6ff3.*
+ 249ae: 47a6.*
+ 249af: 0d8c.*
+ 249b0: 6ff8.*
+ 249b1: 47a7.*
+ 249b2: 0d8c.*
+ 249b3: 992c.*
+ 249b4: 996c.*
+ 249b5: 99ac.*
+ 249b6: 6f9c.*
+ 249b7: 0d8c.*
+ 249b8: 6fa4.*
+ 249b9: 0d8c.*
+ 249ba: 6fac.*
+ 249bb: 0d8c.*
+ 249bc: 6fb4.*
+ 249bd: 0d8c.*
+ 249be: 6fbc.*
+ 249bf: 0d8c.*
+ 249c0: 6fc4.*
+ 249c1: 0d8c.*
+ 249c2: 6fcc.*
+ 249c3: 0d8c.*
+ 249c4: 6fd4.*
+ 249c5: 0d8c.*
+ 249c6: 99ec.*
+ 249c7: 6fe4.*
+ 249c8: 47a8.*
+ 249c9: 0d8c.*
+ 249ca: 6fec.*
+ 249cb: 47a9.*
+ 249cc: 0d8c.*
+ 249cd: 6ff4.*
+ 249ce: 47aa.*
+ 249cf: 0d8c.*
+ 249d0: 6ff8.*
+ 249d1: 47ab.*
+ 249d2: 0d8c.*
+ 249d3: 993c.*
+ 249d4: 997c.*
+ 249d5: 99bc.*
+ 249d6: 6f9d.*
+ 249d7: 0d8c.*
+ 249d8: 6fa5.*
+ 249d9: 0d8c.*
+ 249da: 6fad.*
+ 249db: 0d8c.*
+ 249dc: 6fb5.*
+ 249dd: 0d8c.*
+ 249de: 6fbd.*
+ 249df: 0d8c.*
+ 249e0: 6fc5.*
+ 249e1: 0d8c.*
+ 249e2: 6fcd.*
+ 249e3: 0d8c.*
+ 249e4: 6fd5.*
+ 249e5: 0d8c.*
+ 249e6: 99fc.*
+ 249e7: 6fe5.*
+ 249e8: 47ac.*
+ 249e9: 0d8c.*
+ 249ea: 6fed.*
+ 249eb: 47ad.*
+ 249ec: 0d8c.*
+ 249ed: 6ff5.*
+ 249ee: 47ae.*
+ 249ef: 0d8c.*
+ 249f0: 6ff8.*
+ 249f1: 47af.*
+ 249f2: 0d8c.*
+ 249f3: 6f86.*
+ 249f4: 0d8c.*
+ 249f5: 6f8e.*
+ 249f6: 0d8c.*
+ 249f7: 6f96.*
+ 249f8: 0d8c.*
+ 249f9: 6f9e.*
+ 249fa: 0d8c.*
+ 249fb: 6fa6.*
+ 249fc: 0d8c.*
+ 249fd: 6fae.*
+ 249fe: 0d8c.*
+ 249ff: 6fb6.*
+ 24a00: 0d8c.*
+ 24a01: 6fbe.*
+ 24a02: 0d8c.*
+ 24a03: 6fc6.*
+ 24a04: 0d8c.*
+ 24a05: 6fce.*
+ 24a06: 0d8c.*
+ 24a07: 6fd6.*
+ 24a08: 0d8c.*
+ 24a09: 6fde.*
+ 24a0a: 0d8c.*
+ 24a0b: 6fe6.*
+ 24a0c: 47b0.*
+ 24a0d: 0d8c.*
+ 24a0e: 6fee.*
+ 24a0f: 47b1.*
+ 24a10: 0d8c.*
+ 24a11: 6ff6.*
+ 24a12: 47b2.*
+ 24a13: 0d8c.*
+ 24a14: 6ff8.*
+ 24a15: 47b3.*
+ 24a16: 0d8c.*
+ 24a17: 6f87.*
+ 24a18: 0d8c.*
+ 24a19: 6f8f.*
+ 24a1a: 0d8c.*
+ 24a1b: 6f97.*
+ 24a1c: 0d8c.*
+ 24a1d: 6f9f.*
+ 24a1e: 0d8c.*
+ 24a1f: 6fa7.*
+ 24a20: 0d8c.*
+ 24a21: 6faf.*
+ 24a22: 0d8c.*
+ 24a23: 6fb7.*
+ 24a24: 0d8c.*
+ 24a25: 6fbf.*
+ 24a26: 0d8c.*
+ 24a27: 6fc7.*
+ 24a28: 0d8c.*
+ 24a29: 6fcf.*
+ 24a2a: 0d8c.*
+ 24a2b: 6fd7.*
+ 24a2c: 0d8c.*
+ 24a2d: 6fdf.*
+ 24a2e: 0d8c.*
+ 24a2f: 6fe7.*
+ 24a30: 47b4.*
+ 24a31: 0d8c.*
+ 24a32: 6fef.*
+ 24a33: 47b5.*
+ 24a34: 0d8c.*
+ 24a35: 6ff7.*
+ 24a36: 47b6.*
+ 24a37: 0d8c.*
+ 24a38: 6ff8.*
+ 24a39: 47b7.*
+ 24a3a: 0d8c.*
+ 24a3b: 6f80.*
+ 24a3c: 0d8d.*
+ 24a3d: 6f88.*
+ 24a3e: 0d8d.*
+ 24a3f: 6f90.*
+ 24a40: 0d8d.*
+ 24a41: 6f98.*
+ 24a42: 0d8d.*
+ 24a43: 6fa0.*
+ 24a44: 0d8d.*
+ 24a45: 6fa8.*
+ 24a46: 0d8d.*
+ 24a47: 6fb0.*
+ 24a48: 0d8d.*
+ 24a49: 6fb8.*
+ 24a4a: 0d8d.*
+ 24a4b: 6fc0.*
+ 24a4c: 0d8d.*
+ 24a4d: 6fc8.*
+ 24a4e: 0d8d.*
+ 24a4f: 6fd0.*
+ 24a50: 0d8d.*
+ 24a51: 6fd8.*
+ 24a52: 0d8d.*
+ 24a53: 6fe0.*
+ 24a54: 47b8.*
+ 24a55: 0d8d.*
+ 24a56: 6fe8.*
+ 24a57: 47b9.*
+ 24a58: 0d8d.*
+ 24a59: 6ff0.*
+ 24a5a: 47ba.*
+ 24a5b: 0d8d.*
+ 24a5c: 6ff8.*
+ 24a5d: 47bb.*
+ 24a5e: 0d8d.*
+ 24a5f: 6f81.*
+ 24a60: 0d8d.*
+ 24a61: 6f89.*
+ 24a62: 0d8d.*
+ 24a63: 6f91.*
+ 24a64: 0d8d.*
+ 24a65: 6f99.*
+ 24a66: 0d8d.*
+ 24a67: 6fa1.*
+ 24a68: 0d8d.*
+ 24a69: 6fa9.*
+ 24a6a: 0d8d.*
+ 24a6b: 6fb1.*
+ 24a6c: 0d8d.*
+ 24a6d: 6fb9.*
+ 24a6e: 0d8d.*
+ 24a6f: 6fc1.*
+ 24a70: 0d8d.*
+ 24a71: 6fc9.*
+ 24a72: 0d8d.*
+ 24a73: 6fd1.*
+ 24a74: 0d8d.*
+ 24a75: 6fd9.*
+ 24a76: 0d8d.*
+ 24a77: 6fe1.*
+ 24a78: 47bc.*
+ 24a79: 0d8d.*
+ 24a7a: 6fe9.*
+ 24a7b: 47bd.*
+ 24a7c: 0d8d.*
+ 24a7d: 6ff1.*
+ 24a7e: 47be.*
+ 24a7f: 0d8d.*
+ 24a80: 6ff8.*
+ 24a81: 47bf.*
+ 24a82: 0d8d.*
+ 24a83: 990d.*
+ 24a84: 994d.*
+ 24a85: 998d.*
+ 24a86: 6f9a.*
+ 24a87: 0d8d.*
+ 24a88: 6fa2.*
+ 24a89: 0d8d.*
+ 24a8a: 6faa.*
+ 24a8b: 0d8d.*
+ 24a8c: 6fb2.*
+ 24a8d: 0d8d.*
+ 24a8e: 6fba.*
+ 24a8f: 0d8d.*
+ 24a90: 6fc2.*
+ 24a91: 0d8d.*
+ 24a92: 6fca.*
+ 24a93: 0d8d.*
+ 24a94: 6fd2.*
+ 24a95: 0d8d.*
+ 24a96: 99cd.*
+ 24a97: 6fe2.*
+ 24a98: 47c0.*
+ 24a99: 0d8d.*
+ 24a9a: 6fea.*
+ 24a9b: 47c1.*
+ 24a9c: 0d8d.*
+ 24a9d: 6ff2.*
+ 24a9e: 47c2.*
+ 24a9f: 0d8d.*
+ 24aa0: 6ff8.*
+ 24aa1: 47c3.*
+ 24aa2: 0d8d.*
+ 24aa3: 991d.*
+ 24aa4: 995d.*
+ 24aa5: 999d.*
+ 24aa6: 6f9b.*
+ 24aa7: 0d8d.*
+ 24aa8: 6fa3.*
+ 24aa9: 0d8d.*
+ 24aaa: 6fab.*
+ 24aab: 0d8d.*
+ 24aac: 6fb3.*
+ 24aad: 0d8d.*
+ 24aae: 6fbb.*
+ 24aaf: 0d8d.*
+ 24ab0: 6fc3.*
+ 24ab1: 0d8d.*
+ 24ab2: 6fcb.*
+ 24ab3: 0d8d.*
+ 24ab4: 6fd3.*
+ 24ab5: 0d8d.*
+ 24ab6: 99dd.*
+ 24ab7: 6fe3.*
+ 24ab8: 47c4.*
+ 24ab9: 0d8d.*
+ 24aba: 6feb.*
+ 24abb: 47c5.*
+ 24abc: 0d8d.*
+ 24abd: 6ff3.*
+ 24abe: 47c6.*
+ 24abf: 0d8d.*
+ 24ac0: 6ff8.*
+ 24ac1: 47c7.*
+ 24ac2: 0d8d.*
+ 24ac3: 992d.*
+ 24ac4: 996d.*
+ 24ac5: 99ad.*
+ 24ac6: 6f9c.*
+ 24ac7: 0d8d.*
+ 24ac8: 6fa4.*
+ 24ac9: 0d8d.*
+ 24aca: 6fac.*
+ 24acb: 0d8d.*
+ 24acc: 6fb4.*
+ 24acd: 0d8d.*
+ 24ace: 6fbc.*
+ 24acf: 0d8d.*
+ 24ad0: 6fc4.*
+ 24ad1: 0d8d.*
+ 24ad2: 6fcc.*
+ 24ad3: 0d8d.*
+ 24ad4: 6fd4.*
+ 24ad5: 0d8d.*
+ 24ad6: 99ed.*
+ 24ad7: 6fe4.*
+ 24ad8: 47c8.*
+ 24ad9: 0d8d.*
+ 24ada: 6fec.*
+ 24adb: 47c9.*
+ 24adc: 0d8d.*
+ 24add: 6ff4.*
+ 24ade: 47ca.*
+ 24adf: 0d8d.*
+ 24ae0: 6ff8.*
+ 24ae1: 47cb.*
+ 24ae2: 0d8d.*
+ 24ae3: 993d.*
+ 24ae4: 997d.*
+ 24ae5: 99bd.*
+ 24ae6: 6f9d.*
+ 24ae7: 0d8d.*
+ 24ae8: 6fa5.*
+ 24ae9: 0d8d.*
+ 24aea: 6fad.*
+ 24aeb: 0d8d.*
+ 24aec: 6fb5.*
+ 24aed: 0d8d.*
+ 24aee: 6fbd.*
+ 24aef: 0d8d.*
+ 24af0: 6fc5.*
+ 24af1: 0d8d.*
+ 24af2: 6fcd.*
+ 24af3: 0d8d.*
+ 24af4: 6fd5.*
+ 24af5: 0d8d.*
+ 24af6: 99fd.*
+ 24af7: 6fe5.*
+ 24af8: 47cc.*
+ 24af9: 0d8d.*
+ 24afa: 6fed.*
+ 24afb: 47cd.*
+ 24afc: 0d8d.*
+ 24afd: 6ff5.*
+ 24afe: 47ce.*
+ 24aff: 0d8d.*
+ 24b00: 6ff8.*
+ 24b01: 47cf.*
+ 24b02: 0d8d.*
+ 24b03: 6f86.*
+ 24b04: 0d8d.*
+ 24b05: 6f8e.*
+ 24b06: 0d8d.*
+ 24b07: 6f96.*
+ 24b08: 0d8d.*
+ 24b09: 6f9e.*
+ 24b0a: 0d8d.*
+ 24b0b: 6fa6.*
+ 24b0c: 0d8d.*
+ 24b0d: 6fae.*
+ 24b0e: 0d8d.*
+ 24b0f: 6fb6.*
+ 24b10: 0d8d.*
+ 24b11: 6fbe.*
+ 24b12: 0d8d.*
+ 24b13: 6fc6.*
+ 24b14: 0d8d.*
+ 24b15: 6fce.*
+ 24b16: 0d8d.*
+ 24b17: 6fd6.*
+ 24b18: 0d8d.*
+ 24b19: 6fde.*
+ 24b1a: 0d8d.*
+ 24b1b: 6fe6.*
+ 24b1c: 47d0.*
+ 24b1d: 0d8d.*
+ 24b1e: 6fee.*
+ 24b1f: 47d1.*
+ 24b20: 0d8d.*
+ 24b21: 6ff6.*
+ 24b22: 47d2.*
+ 24b23: 0d8d.*
+ 24b24: 6ff8.*
+ 24b25: 47d3.*
+ 24b26: 0d8d.*
+ 24b27: 6f87.*
+ 24b28: 0d8d.*
+ 24b29: 6f8f.*
+ 24b2a: 0d8d.*
+ 24b2b: 6f97.*
+ 24b2c: 0d8d.*
+ 24b2d: 6f9f.*
+ 24b2e: 0d8d.*
+ 24b2f: 6fa7.*
+ 24b30: 0d8d.*
+ 24b31: 6faf.*
+ 24b32: 0d8d.*
+ 24b33: 6fb7.*
+ 24b34: 0d8d.*
+ 24b35: 6fbf.*
+ 24b36: 0d8d.*
+ 24b37: 6fc7.*
+ 24b38: 0d8d.*
+ 24b39: 6fcf.*
+ 24b3a: 0d8d.*
+ 24b3b: 6fd7.*
+ 24b3c: 0d8d.*
+ 24b3d: 6fdf.*
+ 24b3e: 0d8d.*
+ 24b3f: 6fe7.*
+ 24b40: 47d4.*
+ 24b41: 0d8d.*
+ 24b42: 6fef.*
+ 24b43: 47d5.*
+ 24b44: 0d8d.*
+ 24b45: 6ff7.*
+ 24b46: 47d6.*
+ 24b47: 0d8d.*
+ 24b48: 6ff8.*
+ 24b49: 47d7.*
+ 24b4a: 0d8d.*
+ 24b4b: 6f80.*
+ 24b4c: 0d8e.*
+ 24b4d: 6f88.*
+ 24b4e: 0d8e.*
+ 24b4f: 6f90.*
+ 24b50: 0d8e.*
+ 24b51: 6f98.*
+ 24b52: 0d8e.*
+ 24b53: 6fa0.*
+ 24b54: 0d8e.*
+ 24b55: 6fa8.*
+ 24b56: 0d8e.*
+ 24b57: 6fb0.*
+ 24b58: 0d8e.*
+ 24b59: 6fb8.*
+ 24b5a: 0d8e.*
+ 24b5b: 6fc0.*
+ 24b5c: 0d8e.*
+ 24b5d: 6fc8.*
+ 24b5e: 0d8e.*
+ 24b5f: 6fd0.*
+ 24b60: 0d8e.*
+ 24b61: 6fd8.*
+ 24b62: 0d8e.*
+ 24b63: 6fe0.*
+ 24b64: 47d8.*
+ 24b65: 0d8e.*
+ 24b66: 6fe8.*
+ 24b67: 47d9.*
+ 24b68: 0d8e.*
+ 24b69: 6ff0.*
+ 24b6a: 47da.*
+ 24b6b: 0d8e.*
+ 24b6c: 6ff8.*
+ 24b6d: 47db.*
+ 24b6e: 0d8e.*
+ 24b6f: 6f81.*
+ 24b70: 0d8e.*
+ 24b71: 6f89.*
+ 24b72: 0d8e.*
+ 24b73: 6f91.*
+ 24b74: 0d8e.*
+ 24b75: 6f99.*
+ 24b76: 0d8e.*
+ 24b77: 6fa1.*
+ 24b78: 0d8e.*
+ 24b79: 6fa9.*
+ 24b7a: 0d8e.*
+ 24b7b: 6fb1.*
+ 24b7c: 0d8e.*
+ 24b7d: 6fb9.*
+ 24b7e: 0d8e.*
+ 24b7f: 6fc1.*
+ 24b80: 0d8e.*
+ 24b81: 6fc9.*
+ 24b82: 0d8e.*
+ 24b83: 6fd1.*
+ 24b84: 0d8e.*
+ 24b85: 6fd9.*
+ 24b86: 0d8e.*
+ 24b87: 6fe1.*
+ 24b88: 47dc.*
+ 24b89: 0d8e.*
+ 24b8a: 6fe9.*
+ 24b8b: 47dd.*
+ 24b8c: 0d8e.*
+ 24b8d: 6ff1.*
+ 24b8e: 47de.*
+ 24b8f: 0d8e.*
+ 24b90: 6ff8.*
+ 24b91: 47df.*
+ 24b92: 0d8e.*
+ 24b93: 990e.*
+ 24b94: 994e.*
+ 24b95: 998e.*
+ 24b96: 6f9a.*
+ 24b97: 0d8e.*
+ 24b98: 6fa2.*
+ 24b99: 0d8e.*
+ 24b9a: 6faa.*
+ 24b9b: 0d8e.*
+ 24b9c: 6fb2.*
+ 24b9d: 0d8e.*
+ 24b9e: 6fba.*
+ 24b9f: 0d8e.*
+ 24ba0: 6fc2.*
+ 24ba1: 0d8e.*
+ 24ba2: 6fca.*
+ 24ba3: 0d8e.*
+ 24ba4: 6fd2.*
+ 24ba5: 0d8e.*
+ 24ba6: 99ce.*
+ 24ba7: 6fe2.*
+ 24ba8: 47e0.*
+ 24ba9: 0d8e.*
+ 24baa: 6fea.*
+ 24bab: 47e1.*
+ 24bac: 0d8e.*
+ 24bad: 6ff2.*
+ 24bae: 47e2.*
+ 24baf: 0d8e.*
+ 24bb0: 6ff8.*
+ 24bb1: 47e3.*
+ 24bb2: 0d8e.*
+ 24bb3: 991e.*
+ 24bb4: 995e.*
+ 24bb5: 999e.*
+ 24bb6: 6f9b.*
+ 24bb7: 0d8e.*
+ 24bb8: 6fa3.*
+ 24bb9: 0d8e.*
+ 24bba: 6fab.*
+ 24bbb: 0d8e.*
+ 24bbc: 6fb3.*
+ 24bbd: 0d8e.*
+ 24bbe: 6fbb.*
+ 24bbf: 0d8e.*
+ 24bc0: 6fc3.*
+ 24bc1: 0d8e.*
+ 24bc2: 6fcb.*
+ 24bc3: 0d8e.*
+ 24bc4: 6fd3.*
+ 24bc5: 0d8e.*
+ 24bc6: 99de.*
+ 24bc7: 6fe3.*
+ 24bc8: 47e4.*
+ 24bc9: 0d8e.*
+ 24bca: 6feb.*
+ 24bcb: 47e5.*
+ 24bcc: 0d8e.*
+ 24bcd: 6ff3.*
+ 24bce: 47e6.*
+ 24bcf: 0d8e.*
+ 24bd0: 6ff8.*
+ 24bd1: 47e7.*
+ 24bd2: 0d8e.*
+ 24bd3: 992e.*
+ 24bd4: 996e.*
+ 24bd5: 99ae.*
+ 24bd6: 6f9c.*
+ 24bd7: 0d8e.*
+ 24bd8: 6fa4.*
+ 24bd9: 0d8e.*
+ 24bda: 6fac.*
+ 24bdb: 0d8e.*
+ 24bdc: 6fb4.*
+ 24bdd: 0d8e.*
+ 24bde: 6fbc.*
+ 24bdf: 0d8e.*
+ 24be0: 6fc4.*
+ 24be1: 0d8e.*
+ 24be2: 6fcc.*
+ 24be3: 0d8e.*
+ 24be4: 6fd4.*
+ 24be5: 0d8e.*
+ 24be6: 99ee.*
+ 24be7: 6fe4.*
+ 24be8: 47e8.*
+ 24be9: 0d8e.*
+ 24bea: 6fec.*
+ 24beb: 47e9.*
+ 24bec: 0d8e.*
+ 24bed: 6ff4.*
+ 24bee: 47ea.*
+ 24bef: 0d8e.*
+ 24bf0: 6ff8.*
+ 24bf1: 47eb.*
+ 24bf2: 0d8e.*
+ 24bf3: 993e.*
+ 24bf4: 997e.*
+ 24bf5: 99be.*
+ 24bf6: 6f9d.*
+ 24bf7: 0d8e.*
+ 24bf8: 6fa5.*
+ 24bf9: 0d8e.*
+ 24bfa: 6fad.*
+ 24bfb: 0d8e.*
+ 24bfc: 6fb5.*
+ 24bfd: 0d8e.*
+ 24bfe: 6fbd.*
+ 24bff: 0d8e.*
+ 24c00: 6fc5.*
+ 24c01: 0d8e.*
+ 24c02: 6fcd.*
+ 24c03: 0d8e.*
+ 24c04: 6fd5.*
+ 24c05: 0d8e.*
+ 24c06: 99fe.*
+ 24c07: 6fe5.*
+ 24c08: 47ec.*
+ 24c09: 0d8e.*
+ 24c0a: 6fed.*
+ 24c0b: 47ed.*
+ 24c0c: 0d8e.*
+ 24c0d: 6ff5.*
+ 24c0e: 47ee.*
+ 24c0f: 0d8e.*
+ 24c10: 6ff8.*
+ 24c11: 47ef.*
+ 24c12: 0d8e.*
+ 24c13: 6f86.*
+ 24c14: 0d8e.*
+ 24c15: 6f8e.*
+ 24c16: 0d8e.*
+ 24c17: 6f96.*
+ 24c18: 0d8e.*
+ 24c19: 6f9e.*
+ 24c1a: 0d8e.*
+ 24c1b: 6fa6.*
+ 24c1c: 0d8e.*
+ 24c1d: 6fae.*
+ 24c1e: 0d8e.*
+ 24c1f: 6fb6.*
+ 24c20: 0d8e.*
+ 24c21: 6fbe.*
+ 24c22: 0d8e.*
+ 24c23: 6fc6.*
+ 24c24: 0d8e.*
+ 24c25: 6fce.*
+ 24c26: 0d8e.*
+ 24c27: 6fd6.*
+ 24c28: 0d8e.*
+ 24c29: 6fde.*
+ 24c2a: 0d8e.*
+ 24c2b: 6fe6.*
+ 24c2c: 47f0.*
+ 24c2d: 0d8e.*
+ 24c2e: 6fee.*
+ 24c2f: 47f1.*
+ 24c30: 0d8e.*
+ 24c31: 6ff6.*
+ 24c32: 47f2.*
+ 24c33: 0d8e.*
+ 24c34: 6ff8.*
+ 24c35: 47f3.*
+ 24c36: 0d8e.*
+ 24c37: 6f87.*
+ 24c38: 0d8e.*
+ 24c39: 6f8f.*
+ 24c3a: 0d8e.*
+ 24c3b: 6f97.*
+ 24c3c: 0d8e.*
+ 24c3d: 6f9f.*
+ 24c3e: 0d8e.*
+ 24c3f: 6fa7.*
+ 24c40: 0d8e.*
+ 24c41: 6faf.*
+ 24c42: 0d8e.*
+ 24c43: 6fb7.*
+ 24c44: 0d8e.*
+ 24c45: 6fbf.*
+ 24c46: 0d8e.*
+ 24c47: 6fc7.*
+ 24c48: 0d8e.*
+ 24c49: 6fcf.*
+ 24c4a: 0d8e.*
+ 24c4b: 6fd7.*
+ 24c4c: 0d8e.*
+ 24c4d: 6fdf.*
+ 24c4e: 0d8e.*
+ 24c4f: 6fe7.*
+ 24c50: 47f4.*
+ 24c51: 0d8e.*
+ 24c52: 6fef.*
+ 24c53: 47f5.*
+ 24c54: 0d8e.*
+ 24c55: 6ff7.*
+ 24c56: 47f6.*
+ 24c57: 0d8e.*
+ 24c58: 6ff8.*
+ 24c59: 47f7.*
+ 24c5a: 0d8e.*
+ 24c5b: 6f80.*
+ 24c5c: 0d8f.*
+ 24c5d: 6f88.*
+ 24c5e: 0d8f.*
+ 24c5f: 6f90.*
+ 24c60: 0d8f.*
+ 24c61: 6f98.*
+ 24c62: 0d8f.*
+ 24c63: 6fa0.*
+ 24c64: 0d8f.*
+ 24c65: 6fa8.*
+ 24c66: 0d8f.*
+ 24c67: 6fb0.*
+ 24c68: 0d8f.*
+ 24c69: 6fb8.*
+ 24c6a: 0d8f.*
+ 24c6b: 6fc0.*
+ 24c6c: 0d8f.*
+ 24c6d: 6fc8.*
+ 24c6e: 0d8f.*
+ 24c6f: 6fd0.*
+ 24c70: 0d8f.*
+ 24c71: 6fd8.*
+ 24c72: 0d8f.*
+ 24c73: 6fe0.*
+ 24c74: 47f8.*
+ 24c75: 0d8f.*
+ 24c76: 6fe8.*
+ 24c77: 47f9.*
+ 24c78: 0d8f.*
+ 24c79: 6ff0.*
+ 24c7a: 47fa.*
+ 24c7b: 0d8f.*
+ 24c7c: 6ff8.*
+ 24c7d: 47fb.*
+ 24c7e: 0d8f.*
+ 24c7f: 6f81.*
+ 24c80: 0d8f.*
+ 24c81: 6f89.*
+ 24c82: 0d8f.*
+ 24c83: 6f91.*
+ 24c84: 0d8f.*
+ 24c85: 6f99.*
+ 24c86: 0d8f.*
+ 24c87: 6fa1.*
+ 24c88: 0d8f.*
+ 24c89: 6fa9.*
+ 24c8a: 0d8f.*
+ 24c8b: 6fb1.*
+ 24c8c: 0d8f.*
+ 24c8d: 6fb9.*
+ 24c8e: 0d8f.*
+ 24c8f: 6fc1.*
+ 24c90: 0d8f.*
+ 24c91: 6fc9.*
+ 24c92: 0d8f.*
+ 24c93: 6fd1.*
+ 24c94: 0d8f.*
+ 24c95: 6fd9.*
+ 24c96: 0d8f.*
+ 24c97: 6fe1.*
+ 24c98: 47fc.*
+ 24c99: 0d8f.*
+ 24c9a: 6fe9.*
+ 24c9b: 47fd.*
+ 24c9c: 0d8f.*
+ 24c9d: 6ff1.*
+ 24c9e: 47fe.*
+ 24c9f: 0d8f.*
+ 24ca0: 6ff8.*
+ 24ca1: 47ff.*
+ 24ca2: 0d8f.*
+ 24ca3: 990f.*
+ 24ca4: 994f.*
+ 24ca5: 998f.*
+ 24ca6: 6f9a.*
+ 24ca7: 0d8f.*
+ 24ca8: 6fa2.*
+ 24ca9: 0d8f.*
+ 24caa: 6faa.*
+ 24cab: 0d8f.*
+ 24cac: 6fb2.*
+ 24cad: 0d8f.*
+ 24cae: 6fba.*
+ 24caf: 0d8f.*
+ 24cb0: 6fc2.*
+ 24cb1: 0d8f.*
+ 24cb2: 6fca.*
+ 24cb3: 0d8f.*
+ 24cb4: 6fd2.*
+ 24cb5: 0d8f.*
+ 24cb6: 99cf.*
+ 24cb7: 6fe2.*
+ 24cb8: 4800.*
+ 24cb9: 0d8f.*
+ 24cba: 6fea.*
+ 24cbb: 4801.*
+ 24cbc: 0d8f.*
+ 24cbd: 6ff2.*
+ 24cbe: 4802.*
+ 24cbf: 0d8f.*
+ 24cc0: 6ff8.*
+ 24cc1: 4803.*
+ 24cc2: 0d8f.*
+ 24cc3: 991f.*
+ 24cc4: 995f.*
+ 24cc5: 999f.*
+ 24cc6: 6f9b.*
+ 24cc7: 0d8f.*
+ 24cc8: 6fa3.*
+ 24cc9: 0d8f.*
+ 24cca: 6fab.*
+ 24ccb: 0d8f.*
+ 24ccc: 6fb3.*
+ 24ccd: 0d8f.*
+ 24cce: 6fbb.*
+ 24ccf: 0d8f.*
+ 24cd0: 6fc3.*
+ 24cd1: 0d8f.*
+ 24cd2: 6fcb.*
+ 24cd3: 0d8f.*
+ 24cd4: 6fd3.*
+ 24cd5: 0d8f.*
+ 24cd6: 99df.*
+ 24cd7: 6fe3.*
+ 24cd8: 4804.*
+ 24cd9: 0d8f.*
+ 24cda: 6feb.*
+ 24cdb: 4805.*
+ 24cdc: 0d8f.*
+ 24cdd: 6ff3.*
+ 24cde: 4806.*
+ 24cdf: 0d8f.*
+ 24ce0: 6ff8.*
+ 24ce1: 4807.*
+ 24ce2: 0d8f.*
+ 24ce3: 992f.*
+ 24ce4: 996f.*
+ 24ce5: 99af.*
+ 24ce6: 6f9c.*
+ 24ce7: 0d8f.*
+ 24ce8: 6fa4.*
+ 24ce9: 0d8f.*
+ 24cea: 6fac.*
+ 24ceb: 0d8f.*
+ 24cec: 6fb4.*
+ 24ced: 0d8f.*
+ 24cee: 6fbc.*
+ 24cef: 0d8f.*
+ 24cf0: 6fc4.*
+ 24cf1: 0d8f.*
+ 24cf2: 6fcc.*
+ 24cf3: 0d8f.*
+ 24cf4: 6fd4.*
+ 24cf5: 0d8f.*
+ 24cf6: 99ef.*
+ 24cf7: 6fe4.*
+ 24cf8: 4808.*
+ 24cf9: 0d8f.*
+ 24cfa: 6fec.*
+ 24cfb: 4809.*
+ 24cfc: 0d8f.*
+ 24cfd: 6ff4.*
+ 24cfe: 480a.*
+ 24cff: 0d8f.*
+ 24d00: 6ff8.*
+ 24d01: 480b.*
+ 24d02: 0d8f.*
+ 24d03: 993f.*
+ 24d04: 997f.*
+ 24d05: 99bf.*
+ 24d06: 6f9d.*
+ 24d07: 0d8f.*
+ 24d08: 6fa5.*
+ 24d09: 0d8f.*
+ 24d0a: 6fad.*
+ 24d0b: 0d8f.*
+ 24d0c: 6fb5.*
+ 24d0d: 0d8f.*
+ 24d0e: 6fbd.*
+ 24d0f: 0d8f.*
+ 24d10: 6fc5.*
+ 24d11: 0d8f.*
+ 24d12: 6fcd.*
+ 24d13: 0d8f.*
+ 24d14: 6fd5.*
+ 24d15: 0d8f.*
+ 24d16: 99ff.*
+ 24d17: 6fe5.*
+ 24d18: 480c.*
+ 24d19: 0d8f.*
+ 24d1a: 6fed.*
+ 24d1b: 480d.*
+ 24d1c: 0d8f.*
+ 24d1d: 6ff5.*
+ 24d1e: 480e.*
+ 24d1f: 0d8f.*
+ 24d20: 6ff8.*
+ 24d21: 480f.*
+ 24d22: 0d8f.*
+ 24d23: 6f86.*
+ 24d24: 0d8f.*
+ 24d25: 6f8e.*
+ 24d26: 0d8f.*
+ 24d27: 6f96.*
+ 24d28: 0d8f.*
+ 24d29: 6f9e.*
+ 24d2a: 0d8f.*
+ 24d2b: 6fa6.*
+ 24d2c: 0d8f.*
+ 24d2d: 6fae.*
+ 24d2e: 0d8f.*
+ 24d2f: 6fb6.*
+ 24d30: 0d8f.*
+ 24d31: 6fbe.*
+ 24d32: 0d8f.*
+ 24d33: 6fc6.*
+ 24d34: 0d8f.*
+ 24d35: 6fce.*
+ 24d36: 0d8f.*
+ 24d37: 6fd6.*
+ 24d38: 0d8f.*
+ 24d39: 6fde.*
+ 24d3a: 0d8f.*
+ 24d3b: 6fe6.*
+ 24d3c: 4810.*
+ 24d3d: 0d8f.*
+ 24d3e: 6fee.*
+ 24d3f: 4811.*
+ 24d40: 0d8f.*
+ 24d41: 6ff6.*
+ 24d42: 4812.*
+ 24d43: 0d8f.*
+ 24d44: 6ff8.*
+ 24d45: 4813.*
+ 24d46: 0d8f.*
+ 24d47: 6f87.*
+ 24d48: 0d8f.*
+ 24d49: 6f8f.*
+ 24d4a: 0d8f.*
+ 24d4b: 6f97.*
+ 24d4c: 0d8f.*
+ 24d4d: 6f9f.*
+ 24d4e: 0d8f.*
+ 24d4f: 6fa7.*
+ 24d50: 0d8f.*
+ 24d51: 6faf.*
+ 24d52: 0d8f.*
+ 24d53: 6fb7.*
+ 24d54: 0d8f.*
+ 24d55: 6fbf.*
+ 24d56: 0d8f.*
+ 24d57: 6fc7.*
+ 24d58: 0d8f.*
+ 24d59: 6fcf.*
+ 24d5a: 0d8f.*
+ 24d5b: 6fd7.*
+ 24d5c: 0d8f.*
+ 24d5d: 6fdf.*
+ 24d5e: 0d8f.*
+ 24d5f: 6fe7.*
+ 24d60: 4814.*
+ 24d61: 0d8f.*
+ 24d62: 6fef.*
+ 24d63: 4815.*
+ 24d64: 0d8f.*
+ 24d65: 6ff7.*
+ 24d66: 4816.*
+ 24d67: 0d8f.*
+ 24d68: 6ff8.*
+ 24d69: 4817.*
+ 24d6a: 0d8f.*
+ 24d6b: 8080.*
+ 24d6c: 8088.*
+ 24d6d: 8090.*
+ 24d6e: 8098.*
+ 24d6f: 80a0.*
+ 24d70: 80a8.*
+ 24d71: 80b0.*
+ 24d72: 80b8.*
+ 24d73: 80c0.*
+ 24d74: 80c8.*
+ 24d75: 80d0.*
+ 24d76: 80d8.*
+ 24d77: 80e0.*
+ 24d78: 4818.*
+ 24d79: 80e8.*
+ 24d7a: 4819.*
+ 24d7b: 80f0.*
+ 24d7c: 481a.*
+ 24d7d: 80f8.*
+ 24d7e: 481b.*
+ 24d7f: 8081.*
+ 24d80: 8089.*
+ 24d81: 8091.*
+ 24d82: 8099.*
+ 24d83: 80a1.*
+ 24d84: 80a9.*
+ 24d85: 80b1.*
+ 24d86: 80b9.*
+ 24d87: 80c1.*
+ 24d88: 80c9.*
+ 24d89: 80d1.*
+ 24d8a: 80d9.*
+ 24d8b: 80e1.*
+ 24d8c: 481c.*
+ 24d8d: 80e9.*
+ 24d8e: 481d.*
+ 24d8f: 80f1.*
+ 24d90: 481e.*
+ 24d91: 80f8.*
+ 24d92: 481f.*
+ 24d93: 8082.*
+ 24d94: 808a.*
+ 24d95: 8092.*
+ 24d96: 809a.*
+ 24d97: 80a2.*
+ 24d98: 80aa.*
+ 24d99: 80b2.*
+ 24d9a: 80ba.*
+ 24d9b: 80c2.*
+ 24d9c: 80ca.*
+ 24d9d: 80d2.*
+ 24d9e: 80da.*
+ 24d9f: 80e2.*
+ 24da0: 4820.*
+ 24da1: 80ea.*
+ 24da2: 4821.*
+ 24da3: 80f2.*
+ 24da4: 4822.*
+ 24da5: 80f8.*
+ 24da6: 4823.*
+ 24da7: 8083.*
+ 24da8: 808b.*
+ 24da9: 8093.*
+ 24daa: 809b.*
+ 24dab: 80a3.*
+ 24dac: 80ab.*
+ 24dad: 80b3.*
+ 24dae: 80bb.*
+ 24daf: 80c3.*
+ 24db0: 80cb.*
+ 24db1: 80d3.*
+ 24db2: 80db.*
+ 24db3: 80e3.*
+ 24db4: 4824.*
+ 24db5: 80eb.*
+ 24db6: 4825.*
+ 24db7: 80f3.*
+ 24db8: 4826.*
+ 24db9: 80f8.*
+ 24dba: 4827.*
+ 24dbb: 8084.*
+ 24dbc: 808c.*
+ 24dbd: 8094.*
+ 24dbe: 809c.*
+ 24dbf: 80a4.*
+ 24dc0: 80ac.*
+ 24dc1: 80b4.*
+ 24dc2: 80bc.*
+ 24dc3: 80c4.*
+ 24dc4: 80cc.*
+ 24dc5: 80d4.*
+ 24dc6: 80dc.*
+ 24dc7: 80e4.*
+ 24dc8: 4828.*
+ 24dc9: 80ec.*
+ 24dca: 4829.*
+ 24dcb: 80f4.*
+ 24dcc: 482a.*
+ 24dcd: 80f8.*
+ 24dce: 482b.*
+ 24dcf: 8085.*
+ 24dd0: 808d.*
+ 24dd1: 8095.*
+ 24dd2: 809d.*
+ 24dd3: 80a5.*
+ 24dd4: 80ad.*
+ 24dd5: 80b5.*
+ 24dd6: 80bd.*
+ 24dd7: 80c5.*
+ 24dd8: 80cd.*
+ 24dd9: 80d5.*
+ 24dda: 80dd.*
+ 24ddb: 80e5.*
+ 24ddc: 482c.*
+ 24ddd: 80ed.*
+ 24dde: 482d.*
+ 24ddf: 80f5.*
+ 24de0: 482e.*
+ 24de1: 80f8.*
+ 24de2: 482f.*
+ 24de3: 8086.*
+ 24de4: 808e.*
+ 24de5: 8096.*
+ 24de6: 809e.*
+ 24de7: 80a6.*
+ 24de8: 80ae.*
+ 24de9: 80b6.*
+ 24dea: 80be.*
+ 24deb: 80c6.*
+ 24dec: 80ce.*
+ 24ded: 80d6.*
+ 24dee: 80de.*
+ 24def: 80e6.*
+ 24df0: 4830.*
+ 24df1: 80ee.*
+ 24df2: 4831.*
+ 24df3: 80f6.*
+ 24df4: 4832.*
+ 24df5: 80f8.*
+ 24df6: 4833.*
+ 24df7: 8087.*
+ 24df8: 808f.*
+ 24df9: 8097.*
+ 24dfa: 809f.*
+ 24dfb: 80a7.*
+ 24dfc: 80af.*
+ 24dfd: 80b7.*
+ 24dfe: 80bf.*
+ 24dff: 80c7.*
+ 24e00: 80cf.*
+ 24e01: 80d7.*
+ 24e02: 80df.*
+ 24e03: 80e7.*
+ 24e04: 4834.*
+ 24e05: 80ef.*
+ 24e06: 4835.*
+ 24e07: 80f7.*
+ 24e08: 4836.*
+ 24e09: 80f8.*
+ 24e0a: 4837.*
+ 24e0b: 8180.*
+ 24e0c: 8188.*
+ 24e0d: 8190.*
+ 24e0e: 8198.*
+ 24e0f: 81a0.*
+ 24e10: 81a8.*
+ 24e11: 81b0.*
+ 24e12: 81b8.*
+ 24e13: 81c0.*
+ 24e14: 81c8.*
+ 24e15: 81d0.*
+ 24e16: 81d8.*
+ 24e17: 81e0.*
+ 24e18: 4838.*
+ 24e19: 81e8.*
+ 24e1a: 4839.*
+ 24e1b: 81f0.*
+ 24e1c: 483a.*
+ 24e1d: 81f8.*
+ 24e1e: 483b.*
+ 24e1f: 8181.*
+ 24e20: 8189.*
+ 24e21: 8191.*
+ 24e22: 8199.*
+ 24e23: 81a1.*
+ 24e24: 81a9.*
+ 24e25: 81b1.*
+ 24e26: 81b9.*
+ 24e27: 81c1.*
+ 24e28: 81c9.*
+ 24e29: 81d1.*
+ 24e2a: 81d9.*
+ 24e2b: 81e1.*
+ 24e2c: 483c.*
+ 24e2d: 81e9.*
+ 24e2e: 483d.*
+ 24e2f: 81f1.*
+ 24e30: 483e.*
+ 24e31: 81f8.*
+ 24e32: 483f.*
+ 24e33: 8182.*
+ 24e34: 818a.*
+ 24e35: 8192.*
+ 24e36: 819a.*
+ 24e37: 81a2.*
+ 24e38: 81aa.*
+ 24e39: 81b2.*
+ 24e3a: 81ba.*
+ 24e3b: 81c2.*
+ 24e3c: 81ca.*
+ 24e3d: 81d2.*
+ 24e3e: 81da.*
+ 24e3f: 81e2.*
+ 24e40: 4840.*
+ 24e41: 81ea.*
+ 24e42: 4841.*
+ 24e43: 81f2.*
+ 24e44: 4842.*
+ 24e45: 81f8.*
+ 24e46: 4843.*
+ 24e47: 8183.*
+ 24e48: 818b.*
+ 24e49: 8193.*
+ 24e4a: 819b.*
+ 24e4b: 81a3.*
+ 24e4c: 81ab.*
+ 24e4d: 81b3.*
+ 24e4e: 81bb.*
+ 24e4f: 81c3.*
+ 24e50: 81cb.*
+ 24e51: 81d3.*
+ 24e52: 81db.*
+ 24e53: 81e3.*
+ 24e54: 4844.*
+ 24e55: 81eb.*
+ 24e56: 4845.*
+ 24e57: 81f3.*
+ 24e58: 4846.*
+ 24e59: 81f8.*
+ 24e5a: 4847.*
+ 24e5b: 8184.*
+ 24e5c: 818c.*
+ 24e5d: 8194.*
+ 24e5e: 819c.*
+ 24e5f: 81a4.*
+ 24e60: 81ac.*
+ 24e61: 81b4.*
+ 24e62: 81bc.*
+ 24e63: 81c4.*
+ 24e64: 81cc.*
+ 24e65: 81d4.*
+ 24e66: 81dc.*
+ 24e67: 81e4.*
+ 24e68: 4848.*
+ 24e69: 81ec.*
+ 24e6a: 4849.*
+ 24e6b: 81f4.*
+ 24e6c: 484a.*
+ 24e6d: 81f8.*
+ 24e6e: 484b.*
+ 24e6f: 8185.*
+ 24e70: 818d.*
+ 24e71: 8195.*
+ 24e72: 819d.*
+ 24e73: 81a5.*
+ 24e74: 81ad.*
+ 24e75: 81b5.*
+ 24e76: 81bd.*
+ 24e77: 81c5.*
+ 24e78: 81cd.*
+ 24e79: 81d5.*
+ 24e7a: 81dd.*
+ 24e7b: 81e5.*
+ 24e7c: 484c.*
+ 24e7d: 81ed.*
+ 24e7e: 484d.*
+ 24e7f: 81f5.*
+ 24e80: 484e.*
+ 24e81: 81f8.*
+ 24e82: 484f.*
+ 24e83: 8186.*
+ 24e84: 818e.*
+ 24e85: 8196.*
+ 24e86: 819e.*
+ 24e87: 81a6.*
+ 24e88: 81ae.*
+ 24e89: 81b6.*
+ 24e8a: 81be.*
+ 24e8b: 81c6.*
+ 24e8c: 81ce.*
+ 24e8d: 81d6.*
+ 24e8e: 81de.*
+ 24e8f: 81e6.*
+ 24e90: 4850.*
+ 24e91: 81ee.*
+ 24e92: 4851.*
+ 24e93: 81f6.*
+ 24e94: 4852.*
+ 24e95: 81f8.*
+ 24e96: 4853.*
+ 24e97: 8187.*
+ 24e98: 818f.*
+ 24e99: 8197.*
+ 24e9a: 819f.*
+ 24e9b: 81a7.*
+ 24e9c: 81af.*
+ 24e9d: 81b7.*
+ 24e9e: 81bf.*
+ 24e9f: 81c7.*
+ 24ea0: 81cf.*
+ 24ea1: 81d7.*
+ 24ea2: 81df.*
+ 24ea3: 81e7.*
+ 24ea4: 4854.*
+ 24ea5: 81ef.*
+ 24ea6: 4855.*
+ 24ea7: 81f7.*
+ 24ea8: 4856.*
+ 24ea9: 81f8.*
+ 24eaa: 4857.*
+ 24eab: 8082.*
+ 24eac: 808a.*
+ 24ead: 8092.*
+ 24eae: 80da.*
+ 24eaf: 8083.*
+ 24eb0: 808b.*
+ 24eb1: 8093.*
+ 24eb2: 80db.*
+ 24eb3: 8084.*
+ 24eb4: 808c.*
+ 24eb5: 8094.*
+ 24eb6: 80dc.*
+ 24eb7: 8085.*
+ 24eb8: 808d.*
+ 24eb9: 8095.*
+ 24eba: 80dd.*
+ 24ebb: 9801.*
+ 24ebc: 9841.*
+ 24ebd: 9881.*
+ 24ebe: 98c1.*
+ 24ebf: 9811.*
+ 24ec0: 9851.*
+ 24ec1: 9891.*
+ 24ec2: 98d1.*
+ 24ec3: 9821.*
+ 24ec4: 9861.*
+ 24ec5: 98a1.*
+ 24ec6: 98e1.*
+ 24ec7: 9831.*
+ 24ec8: 9871.*
+ 24ec9: 98b1.*
+ 24eca: 98f1.*
+ 24ecb: 9802.*
+ 24ecc: 9842.*
+ 24ecd: 9882.*
+ 24ece: 98c2.*
+ 24ecf: 9812.*
+ 24ed0: 9852.*
+ 24ed1: 9892.*
+ 24ed2: 98d2.*
+ 24ed3: 9822.*
+ 24ed4: 9862.*
+ 24ed5: 98a2.*
+ 24ed6: 98e2.*
+ 24ed7: 9832.*
+ 24ed8: 9872.*
+ 24ed9: 98b2.*
+ 24eda: 98f2.*
+ 24edb: 9803.*
+ 24edc: 9843.*
+ 24edd: 9883.*
+ 24ede: 98c3.*
+ 24edf: 9813.*
+ 24ee0: 9853.*
+ 24ee1: 9893.*
+ 24ee2: 98d3.*
+ 24ee3: 9823.*
+ 24ee4: 9863.*
+ 24ee5: 98a3.*
+ 24ee6: 98e3.*
+ 24ee7: 9833.*
+ 24ee8: 9873.*
+ 24ee9: 98b3.*
+ 24eea: 98f3.*
+ 24eeb: 9804.*
+ 24eec: 9844.*
+ 24eed: 9884.*
+ 24eee: 98c4.*
+ 24eef: 9814.*
+ 24ef0: 9854.*
+ 24ef1: 9894.*
+ 24ef2: 98d4.*
+ 24ef3: 9824.*
+ 24ef4: 9864.*
+ 24ef5: 98a4.*
+ 24ef6: 98e4.*
+ 24ef7: 9834.*
+ 24ef8: 9874.*
+ 24ef9: 98b4.*
+ 24efa: 98f4.*
+ 24efb: 9805.*
+ 24efc: 9845.*
+ 24efd: 9885.*
+ 24efe: 98c5.*
+ 24eff: 9815.*
+ 24f00: 9855.*
+ 24f01: 9895.*
+ 24f02: 98d5.*
+ 24f03: 9825.*
+ 24f04: 9865.*
+ 24f05: 98a5.*
+ 24f06: 98e5.*
+ 24f07: 9835.*
+ 24f08: 9875.*
+ 24f09: 98b5.*
+ 24f0a: 98f5.*
+ 24f0b: 9806.*
+ 24f0c: 9846.*
+ 24f0d: 9886.*
+ 24f0e: 98c6.*
+ 24f0f: 9816.*
+ 24f10: 9856.*
+ 24f11: 9896.*
+ 24f12: 98d6.*
+ 24f13: 9826.*
+ 24f14: 9866.*
+ 24f15: 98a6.*
+ 24f16: 98e6.*
+ 24f17: 9836.*
+ 24f18: 9876.*
+ 24f19: 98b6.*
+ 24f1a: 98f6.*
+ 24f1b: 9807.*
+ 24f1c: 9847.*
+ 24f1d: 9887.*
+ 24f1e: 98c7.*
+ 24f1f: 9817.*
+ 24f20: 9857.*
+ 24f21: 9897.*
+ 24f22: 98d7.*
+ 24f23: 9827.*
+ 24f24: 9867.*
+ 24f25: 98a7.*
+ 24f26: 98e7.*
+ 24f27: 9837.*
+ 24f28: 9877.*
+ 24f29: 98b7.*
+ 24f2a: 98f7.*
+ 24f2b: 9808.*
+ 24f2c: 9848.*
+ 24f2d: 9888.*
+ 24f2e: 98c8.*
+ 24f2f: 9818.*
+ 24f30: 9858.*
+ 24f31: 9898.*
+ 24f32: 98d8.*
+ 24f33: 9828.*
+ 24f34: 9868.*
+ 24f35: 98a8.*
+ 24f36: 98e8.*
+ 24f37: 9838.*
+ 24f38: 9878.*
+ 24f39: 98b8.*
+ 24f3a: 98f8.*
+ 24f3b: 9809.*
+ 24f3c: 9849.*
+ 24f3d: 9889.*
+ 24f3e: 98c9.*
+ 24f3f: 9819.*
+ 24f40: 9859.*
+ 24f41: 9899.*
+ 24f42: 98d9.*
+ 24f43: 9829.*
+ 24f44: 9869.*
+ 24f45: 98a9.*
+ 24f46: 98e9.*
+ 24f47: 9839.*
+ 24f48: 9879.*
+ 24f49: 98b9.*
+ 24f4a: 98f9.*
+ 24f4b: 980a.*
+ 24f4c: 984a.*
+ 24f4d: 988a.*
+ 24f4e: 98ca.*
+ 24f4f: 981a.*
+ 24f50: 985a.*
+ 24f51: 989a.*
+ 24f52: 98da.*
+ 24f53: 982a.*
+ 24f54: 986a.*
+ 24f55: 98aa.*
+ 24f56: 98ea.*
+ 24f57: 983a.*
+ 24f58: 987a.*
+ 24f59: 98ba.*
+ 24f5a: 98fa.*
+ 24f5b: 980b.*
+ 24f5c: 984b.*
+ 24f5d: 988b.*
+ 24f5e: 98cb.*
+ 24f5f: 981b.*
+ 24f60: 985b.*
+ 24f61: 989b.*
+ 24f62: 98db.*
+ 24f63: 982b.*
+ 24f64: 986b.*
+ 24f65: 98ab.*
+ 24f66: 98eb.*
+ 24f67: 983b.*
+ 24f68: 987b.*
+ 24f69: 98bb.*
+ 24f6a: 98fb.*
+ 24f6b: 980c.*
+ 24f6c: 984c.*
+ 24f6d: 988c.*
+ 24f6e: 98cc.*
+ 24f6f: 981c.*
+ 24f70: 985c.*
+ 24f71: 989c.*
+ 24f72: 98dc.*
+ 24f73: 982c.*
+ 24f74: 986c.*
+ 24f75: 98ac.*
+ 24f76: 98ec.*
+ 24f77: 983c.*
+ 24f78: 987c.*
+ 24f79: 98bc.*
+ 24f7a: 98fc.*
+ 24f7b: 980d.*
+ 24f7c: 984d.*
+ 24f7d: 988d.*
+ 24f7e: 98cd.*
+ 24f7f: 981d.*
+ 24f80: 985d.*
+ 24f81: 989d.*
+ 24f82: 98dd.*
+ 24f83: 982d.*
+ 24f84: 986d.*
+ 24f85: 98ad.*
+ 24f86: 98ed.*
+ 24f87: 983d.*
+ 24f88: 987d.*
+ 24f89: 98bd.*
+ 24f8a: 98fd.*
+ 24f8b: 980e.*
+ 24f8c: 984e.*
+ 24f8d: 988e.*
+ 24f8e: 98ce.*
+ 24f8f: 981e.*
+ 24f90: 985e.*
+ 24f91: 989e.*
+ 24f92: 98de.*
+ 24f93: 982e.*
+ 24f94: 986e.*
+ 24f95: 98ae.*
+ 24f96: 98ee.*
+ 24f97: 983e.*
+ 24f98: 987e.*
+ 24f99: 98be.*
+ 24f9a: 98fe.*
+ 24f9b: 980f.*
+ 24f9c: 984f.*
+ 24f9d: 988f.*
+ 24f9e: 98cf.*
+ 24f9f: 981f.*
+ 24fa0: 985f.*
+ 24fa1: 989f.*
+ 24fa2: 98df.*
+ 24fa3: 982f.*
+ 24fa4: 986f.*
+ 24fa5: 98af.*
+ 24fa6: 98ef.*
+ 24fa7: 983f.*
+ 24fa8: 987f.*
+ 24fa9: 98bf.*
+ 24faa: 98ff.*
+ 24fab: 8182.*
+ 24fac: 818a.*
+ 24fad: 8192.*
+ 24fae: 81da.*
+ 24faf: 8183.*
+ 24fb0: 818b.*
+ 24fb1: 8193.*
+ 24fb2: 81db.*
+ 24fb3: 8184.*
+ 24fb4: 818c.*
+ 24fb5: 8194.*
+ 24fb6: 81dc.*
+ 24fb7: 8185.*
+ 24fb8: 818d.*
+ 24fb9: 8195.*
+ 24fba: 81dd.*
+ 24fbb: 9901.*
+ 24fbc: 9941.*
+ 24fbd: 9981.*
+ 24fbe: 99c1.*
+ 24fbf: 9911.*
+ 24fc0: 9951.*
+ 24fc1: 9991.*
+ 24fc2: 99d1.*
+ 24fc3: 9921.*
+ 24fc4: 9961.*
+ 24fc5: 99a1.*
+ 24fc6: 99e1.*
+ 24fc7: 9931.*
+ 24fc8: 9971.*
+ 24fc9: 99b1.*
+ 24fca: 99f1.*
+ 24fcb: 9902.*
+ 24fcc: 9942.*
+ 24fcd: 9982.*
+ 24fce: 99c2.*
+ 24fcf: 9912.*
+ 24fd0: 9952.*
+ 24fd1: 9992.*
+ 24fd2: 99d2.*
+ 24fd3: 9922.*
+ 24fd4: 9962.*
+ 24fd5: 99a2.*
+ 24fd6: 99e2.*
+ 24fd7: 9932.*
+ 24fd8: 9972.*
+ 24fd9: 99b2.*
+ 24fda: 99f2.*
+ 24fdb: 9903.*
+ 24fdc: 9943.*
+ 24fdd: 9983.*
+ 24fde: 99c3.*
+ 24fdf: 9913.*
+ 24fe0: 9953.*
+ 24fe1: 9993.*
+ 24fe2: 99d3.*
+ 24fe3: 9923.*
+ 24fe4: 9963.*
+ 24fe5: 99a3.*
+ 24fe6: 99e3.*
+ 24fe7: 9933.*
+ 24fe8: 9973.*
+ 24fe9: 99b3.*
+ 24fea: 99f3.*
+ 24feb: 9904.*
+ 24fec: 9944.*
+ 24fed: 9984.*
+ 24fee: 99c4.*
+ 24fef: 9914.*
+ 24ff0: 9954.*
+ 24ff1: 9994.*
+ 24ff2: 99d4.*
+ 24ff3: 9924.*
+ 24ff4: 9964.*
+ 24ff5: 99a4.*
+ 24ff6: 99e4.*
+ 24ff7: 9934.*
+ 24ff8: 9974.*
+ 24ff9: 99b4.*
+ 24ffa: 99f4.*
+ 24ffb: 9905.*
+ 24ffc: 9945.*
+ 24ffd: 9985.*
+ 24ffe: 99c5.*
+ 24fff: 9915.*
+ 25000: 9955.*
+ 25001: 9995.*
+ 25002: 99d5.*
+ 25003: 9925.*
+ 25004: 9965.*
+ 25005: 99a5.*
+ 25006: 99e5.*
+ 25007: 9935.*
+ 25008: 9975.*
+ 25009: 99b5.*
+ 2500a: 99f5.*
+ 2500b: 9906.*
+ 2500c: 9946.*
+ 2500d: 9986.*
+ 2500e: 99c6.*
+ 2500f: 9916.*
+ 25010: 9956.*
+ 25011: 9996.*
+ 25012: 99d6.*
+ 25013: 9926.*
+ 25014: 9966.*
+ 25015: 99a6.*
+ 25016: 99e6.*
+ 25017: 9936.*
+ 25018: 9976.*
+ 25019: 99b6.*
+ 2501a: 99f6.*
+ 2501b: 9907.*
+ 2501c: 9947.*
+ 2501d: 9987.*
+ 2501e: 99c7.*
+ 2501f: 9917.*
+ 25020: 9957.*
+ 25021: 9997.*
+ 25022: 99d7.*
+ 25023: 9927.*
+ 25024: 9967.*
+ 25025: 99a7.*
+ 25026: 99e7.*
+ 25027: 9937.*
+ 25028: 9977.*
+ 25029: 99b7.*
+ 2502a: 99f7.*
+ 2502b: 9908.*
+ 2502c: 9948.*
+ 2502d: 9988.*
+ 2502e: 99c8.*
+ 2502f: 9918.*
+ 25030: 9958.*
+ 25031: 9998.*
+ 25032: 99d8.*
+ 25033: 9928.*
+ 25034: 9968.*
+ 25035: 99a8.*
+ 25036: 99e8.*
+ 25037: 9938.*
+ 25038: 9978.*
+ 25039: 99b8.*
+ 2503a: 99f8.*
+ 2503b: 9909.*
+ 2503c: 9949.*
+ 2503d: 9989.*
+ 2503e: 99c9.*
+ 2503f: 9919.*
+ 25040: 9959.*
+ 25041: 9999.*
+ 25042: 99d9.*
+ 25043: 9929.*
+ 25044: 9969.*
+ 25045: 99a9.*
+ 25046: 99e9.*
+ 25047: 9939.*
+ 25048: 9979.*
+ 25049: 99b9.*
+ 2504a: 99f9.*
+ 2504b: 990a.*
+ 2504c: 994a.*
+ 2504d: 998a.*
+ 2504e: 99ca.*
+ 2504f: 991a.*
+ 25050: 995a.*
+ 25051: 999a.*
+ 25052: 99da.*
+ 25053: 992a.*
+ 25054: 996a.*
+ 25055: 99aa.*
+ 25056: 99ea.*
+ 25057: 993a.*
+ 25058: 997a.*
+ 25059: 99ba.*
+ 2505a: 99fa.*
+ 2505b: 990b.*
+ 2505c: 994b.*
+ 2505d: 998b.*
+ 2505e: 99cb.*
+ 2505f: 991b.*
+ 25060: 995b.*
+ 25061: 999b.*
+ 25062: 99db.*
+ 25063: 992b.*
+ 25064: 996b.*
+ 25065: 99ab.*
+ 25066: 99eb.*
+ 25067: 993b.*
+ 25068: 997b.*
+ 25069: 99bb.*
+ 2506a: 99fb.*
+ 2506b: 990c.*
+ 2506c: 994c.*
+ 2506d: 998c.*
+ 2506e: 99cc.*
+ 2506f: 991c.*
+ 25070: 995c.*
+ 25071: 999c.*
+ 25072: 99dc.*
+ 25073: 992c.*
+ 25074: 996c.*
+ 25075: 99ac.*
+ 25076: 99ec.*
+ 25077: 993c.*
+ 25078: 997c.*
+ 25079: 99bc.*
+ 2507a: 99fc.*
+ 2507b: 990d.*
+ 2507c: 994d.*
+ 2507d: 998d.*
+ 2507e: 99cd.*
+ 2507f: 991d.*
+ 25080: 995d.*
+ 25081: 999d.*
+ 25082: 99dd.*
+ 25083: 992d.*
+ 25084: 996d.*
+ 25085: 99ad.*
+ 25086: 99ed.*
+ 25087: 993d.*
+ 25088: 997d.*
+ 25089: 99bd.*
+ 2508a: 99fd.*
+ 2508b: 990e.*
+ 2508c: 994e.*
+ 2508d: 998e.*
+ 2508e: 99ce.*
+ 2508f: 991e.*
+ 25090: 995e.*
+ 25091: 999e.*
+ 25092: 99de.*
+ 25093: 992e.*
+ 25094: 996e.*
+ 25095: 99ae.*
+ 25096: 99ee.*
+ 25097: 993e.*
+ 25098: 997e.*
+ 25099: 99be.*
+ 2509a: 99fe.*
+ 2509b: 990f.*
+ 2509c: 994f.*
+ 2509d: 998f.*
+ 2509e: 99cf.*
+ 2509f: 991f.*
+ 250a0: 995f.*
+ 250a1: 999f.*
+ 250a2: 99df.*
+ 250a3: 992f.*
+ 250a4: 996f.*
+ 250a5: 99af.*
+ 250a6: 99ef.*
+ 250a7: 993f.*
+ 250a8: 997f.*
+ 250a9: 99bf.*
+ 250aa: 99ff.*
+ 250ab: 770c.*
+ 250ac: 4858.*
+ 250ad: 7780.*
+ 250ae: 4859.*
+ 250af: 7788.*
+ 250b0: 485a.*
+ 250b1: 7790.*
+ 250b2: 485b.*
+ 250b3: 7798.*
+ 250b4: 485c.*
+ 250b5: 77a0.*
+ 250b6: 485d.*
+ 250b7: 77a8.*
+ 250b8: 485e.*
+ 250b9: 77b0.*
+ 250ba: 485f.*
+ 250bb: 77b8.*
+ 250bc: 4860.*
+ 250bd: 77c0.*
+ 250be: 4861.*
+ 250bf: 77c8.*
+ 250c0: 4862.*
+ 250c1: 77d0.*
+ 250c2: 4863.*
+ 250c3: 77d8.*
+ 250c4: 4864.*
+ 250c5: 7781.*
+ 250c6: 4865.*
+ 250c7: 7789.*
+ 250c8: 4866.*
+ 250c9: 7791.*
+ 250ca: 4867.*
+ 250cb: 7799.*
+ 250cc: 4868.*
+ 250cd: 77a1.*
+ 250ce: 4869.*
+ 250cf: 77a9.*
+ 250d0: 486a.*
+ 250d1: 77b1.*
+ 250d2: 486b.*
+ 250d3: 77b9.*
+ 250d4: 486c.*
+ 250d5: 77c1.*
+ 250d6: 486d.*
+ 250d7: 77c9.*
+ 250d8: 486e.*
+ 250d9: 77d1.*
+ 250da: 486f.*
+ 250db: 77d9.*
+ 250dc: 4870.*
+ 250dd: 7782.*
+ 250de: 4871.*
+ 250df: 778a.*
+ 250e0: 4872.*
+ 250e1: 7792.*
+ 250e2: 4873.*
+ 250e3: 779a.*
+ 250e4: 4874.*
+ 250e5: 77a2.*
+ 250e6: 4875.*
+ 250e7: 77aa.*
+ 250e8: 4876.*
+ 250e9: 77b2.*
+ 250ea: 4877.*
+ 250eb: 77ba.*
+ 250ec: 4878.*
+ 250ed: 77c2.*
+ 250ee: 4879.*
+ 250ef: 77ca.*
+ 250f0: 487a.*
+ 250f1: 77d2.*
+ 250f2: 487b.*
+ 250f3: 77da.*
+ 250f4: 487c.*
+ 250f5: 7783.*
+ 250f6: 487d.*
+ 250f7: 778b.*
+ 250f8: 487e.*
+ 250f9: 7793.*
+ 250fa: 487f.*
+ 250fb: 779b.*
+ 250fc: 4880.*
+ 250fd: 77a3.*
+ 250fe: 4881.*
+ 250ff: 77ab.*
+ 25100: 4882.*
+ 25101: 77b3.*
+ 25102: 4883.*
+ 25103: 77bb.*
+ 25104: 4884.*
+ 25105: 77c3.*
+ 25106: 4885.*
+ 25107: 77cb.*
+ 25108: 4886.*
+ 25109: 77d3.*
+ 2510a: 4887.*
+ 2510b: 77db.*
+ 2510c: 4888.*
+ 2510d: 7784.*
+ 2510e: 4889.*
+ 2510f: 778c.*
+ 25110: 488a.*
+ 25111: 7794.*
+ 25112: 488b.*
+ 25113: 779c.*
+ 25114: 488c.*
+ 25115: 77a4.*
+ 25116: 488d.*
+ 25117: 77ac.*
+ 25118: 488e.*
+ 25119: 77b4.*
+ 2511a: 488f.*
+ 2511b: 77bc.*
+ 2511c: 4890.*
+ 2511d: 77c4.*
+ 2511e: 4891.*
+ 2511f: 77cc.*
+ 25120: 4892.*
+ 25121: 77d4.*
+ 25122: 4893.*
+ 25123: 77dc.*
+ 25124: 4894.*
+ 25125: 7785.*
+ 25126: 4895.*
+ 25127: 778d.*
+ 25128: 4896.*
+ 25129: 7795.*
+ 2512a: 4897.*
+ 2512b: 779d.*
+ 2512c: 4898.*
+ 2512d: 77a5.*
+ 2512e: 4899.*
+ 2512f: 77ad.*
+ 25130: 489a.*
+ 25131: 77b5.*
+ 25132: 489b.*
+ 25133: 77bd.*
+ 25134: 489c.*
+ 25135: 77c5.*
+ 25136: 489d.*
+ 25137: 77cd.*
+ 25138: 489e.*
+ 25139: 77d5.*
+ 2513a: 489f.*
+ 2513b: 77dd.*
+ 2513c: 48a0.*
+ 2513d: 7786.*
+ 2513e: 48a1.*
+ 2513f: 778e.*
+ 25140: 48a2.*
+ 25141: 7796.*
+ 25142: 48a3.*
+ 25143: 779e.*
+ 25144: 48a4.*
+ 25145: 77a6.*
+ 25146: 48a5.*
+ 25147: 77ae.*
+ 25148: 48a6.*
+ 25149: 77b6.*
+ 2514a: 48a7.*
+ 2514b: 77be.*
+ 2514c: 48a8.*
+ 2514d: 77c6.*
+ 2514e: 48a9.*
+ 2514f: 77ce.*
+ 25150: 48aa.*
+ 25151: 77d6.*
+ 25152: 48ab.*
+ 25153: 77de.*
+ 25154: 48ac.*
+ 25155: 7787.*
+ 25156: 48ad.*
+ 25157: 778f.*
+ 25158: 48ae.*
+ 25159: 7797.*
+ 2515a: 48af.*
+ 2515b: 779f.*
+ 2515c: 48b0.*
+ 2515d: 77a7.*
+ 2515e: 48b1.*
+ 2515f: 77af.*
+ 25160: 48b2.*
+ 25161: 77b7.*
+ 25162: 48b3.*
+ 25163: 77bf.*
+ 25164: 48b4.*
+ 25165: 77c7.*
+ 25166: 48b5.*
+ 25167: 77cf.*
+ 25168: 48b6.*
+ 25169: 77d7.*
+ 2516a: 48b7.*
+ 2516b: 77df.*
+ 2516c: 48b8.*
+ 2516d: 9c03.*
+ 2516e: 9c0b.*
+ 2516f: 9c07.*
+ 25170: 9c0f.*
+ 25171: 9c06.*
+ 25172: 9c0e.*
+ 25173: 9c04.*
+ 25174: 9c0c.*
+ 25175: 9c02.*
+ 25176: 9c0a.*
+ 25177: 9c05.*
+ 25178: 9c0d.*
+ 25179: 9c43.*
+ 2517a: 9c4b.*
+ 2517b: 9c47.*
+ 2517c: 9c4f.*
+ 2517d: 9c46.*
+ 2517e: 9c4e.*
+ 2517f: 9c44.*
+ 25180: 9c4c.*
+ 25181: 9c42.*
+ 25182: 9c4a.*
+ 25183: 9c45.*
+ 25184: 9c4d.*
+ 25185: 9c83.*
+ 25186: 9c8b.*
+ 25187: 9c87.*
+ 25188: 9c8f.*
+ 25189: 9c86.*
+ 2518a: 9c8e.*
+ 2518b: 9c84.*
+ 2518c: 9c8c.*
+ 2518d: 9c82.*
+ 2518e: 9c8a.*
+ 2518f: 9c85.*
+ 25190: 9c8d.*
+ 25191: 9cc3.*
+ 25192: 9ccb.*
+ 25193: 9cc7.*
+ 25194: 9ccf.*
+ 25195: 9cc6.*
+ 25196: 9cce.*
+ 25197: 9cc4.*
+ 25198: 9ccc.*
+ 25199: 9cc2.*
+ 2519a: 9cca.*
+ 2519b: 9cc5.*
+ 2519c: 9ccd.*
+ 2519d: 9c13.*
+ 2519e: 9c1b.*
+ 2519f: 9c17.*
+ 251a0: 9c1f.*
+ 251a1: 9c16.*
+ 251a2: 9c1e.*
+ 251a3: 9c14.*
+ 251a4: 9c1c.*
+ 251a5: 9c12.*
+ 251a6: 9c1a.*
+ 251a7: 9c15.*
+ 251a8: 9c1d.*
+ 251a9: 9c53.*
+ 251aa: 9c5b.*
+ 251ab: 9c57.*
+ 251ac: 9c5f.*
+ 251ad: 9c56.*
+ 251ae: 9c5e.*
+ 251af: 9c54.*
+ 251b0: 9c5c.*
+ 251b1: 9c52.*
+ 251b2: 9c5a.*
+ 251b3: 9c55.*
+ 251b4: 9c5d.*
+ 251b5: 9c93.*
+ 251b6: 9c9b.*
+ 251b7: 9c97.*
+ 251b8: 9c9f.*
+ 251b9: 9c96.*
+ 251ba: 9c9e.*
+ 251bb: 9c94.*
+ 251bc: 9c9c.*
+ 251bd: 9c92.*
+ 251be: 9c9a.*
+ 251bf: 9c95.*
+ 251c0: 9c9d.*
+ 251c1: 9cd3.*
+ 251c2: 9cdb.*
+ 251c3: 9cd7.*
+ 251c4: 9cdf.*
+ 251c5: 9cd6.*
+ 251c6: 9cde.*
+ 251c7: 9cd4.*
+ 251c8: 9cdc.*
+ 251c9: 9cd2.*
+ 251ca: 9cda.*
+ 251cb: 9cd5.*
+ 251cc: 9cdd.*
+ 251cd: 9c23.*
+ 251ce: 9c2b.*
+ 251cf: 9c27.*
+ 251d0: 9c2f.*
+ 251d1: 9c26.*
+ 251d2: 9c2e.*
+ 251d3: 9c24.*
+ 251d4: 9c2c.*
+ 251d5: 9c22.*
+ 251d6: 9c2a.*
+ 251d7: 9c25.*
+ 251d8: 9c2d.*
+ 251d9: 9c63.*
+ 251da: 9c6b.*
+ 251db: 9c67.*
+ 251dc: 9c6f.*
+ 251dd: 9c66.*
+ 251de: 9c6e.*
+ 251df: 9c64.*
+ 251e0: 9c6c.*
+ 251e1: 9c62.*
+ 251e2: 9c6a.*
+ 251e3: 9c65.*
+ 251e4: 9c6d.*
+ 251e5: 9ca3.*
+ 251e6: 9cab.*
+ 251e7: 9ca7.*
+ 251e8: 9caf.*
+ 251e9: 9ca6.*
+ 251ea: 9cae.*
+ 251eb: 9ca4.*
+ 251ec: 9cac.*
+ 251ed: 9ca2.*
+ 251ee: 9caa.*
+ 251ef: 9ca5.*
+ 251f0: 9cad.*
+ 251f1: 9ce3.*
+ 251f2: 9ceb.*
+ 251f3: 9ce7.*
+ 251f4: 9cef.*
+ 251f5: 9ce6.*
+ 251f6: 9cee.*
+ 251f7: 9ce4.*
+ 251f8: 9cec.*
+ 251f9: 9ce2.*
+ 251fa: 9cea.*
+ 251fb: 9ce5.*
+ 251fc: 9ced.*
+ 251fd: 9c33.*
+ 251fe: 9c3b.*
+ 251ff: 9c37.*
+ 25200: 9c3f.*
+ 25201: 9c36.*
+ 25202: 9c3e.*
+ 25203: 9c34.*
+ 25204: 9c3c.*
+ 25205: 9c32.*
+ 25206: 9c3a.*
+ 25207: 9c35.*
+ 25208: 9c3d.*
+ 25209: 9c73.*
+ 2520a: 9c7b.*
+ 2520b: 9c77.*
+ 2520c: 9c7f.*
+ 2520d: 9c76.*
+ 2520e: 9c7e.*
+ 2520f: 9c74.*
+ 25210: 9c7c.*
+ 25211: 9c72.*
+ 25212: 9c7a.*
+ 25213: 9c75.*
+ 25214: 9c7d.*
+ 25215: 9cb3.*
+ 25216: 9cbb.*
+ 25217: 9cb7.*
+ 25218: 9cbf.*
+ 25219: 9cb6.*
+ 2521a: 9cbe.*
+ 2521b: 9cb4.*
+ 2521c: 9cbc.*
+ 2521d: 9cb2.*
+ 2521e: 9cba.*
+ 2521f: 9cb5.*
+ 25220: 9cbd.*
+ 25221: 9cf3.*
+ 25222: 9cfb.*
+ 25223: 9cf7.*
+ 25224: 9cff.*
+ 25225: 9cf6.*
+ 25226: 9cfe.*
+ 25227: 9cf4.*
+ 25228: 9cfc.*
+ 25229: 9cf2.*
+ 2522a: 9cfa.*
+ 2522b: 9cf5.*
+ 2522c: 9cfd.*
+ 2522d: c000.*
+ 2522e: c100.*
+ 2522f: c040.*
+ 25230: c140.*
+ 25231: c080.*
+ 25232: c180.*
+ 25233: c0c0.*
+ 25234: c1c0.*
+ 25235: c010.*
+ 25236: c110.*
+ 25237: c050.*
+ 25238: c150.*
+ 25239: c090.*
+ 2523a: c190.*
+ 2523b: c0d0.*
+ 2523c: c1d0.*
+ 2523d: c020.*
+ 2523e: c120.*
+ 2523f: c060.*
+ 25240: c160.*
+ 25241: c0a0.*
+ 25242: c1a0.*
+ 25243: c0e0.*
+ 25244: c1e0.*
+ 25245: c030.*
+ 25246: c130.*
+ 25247: c070.*
+ 25248: c170.*
+ 25249: c0b0.*
+ 2524a: c1b0.*
+ 2524b: c0f0.*
+ 2524c: c1f0.*
+ 2524d: c004.*
+ 2524e: c104.*
+ 2524f: c044.*
+ 25250: c144.*
+ 25251: c084.*
+ 25252: c184.*
+ 25253: c0c4.*
+ 25254: c1c4.*
+ 25255: c014.*
+ 25256: c114.*
+ 25257: c054.*
+ 25258: c154.*
+ 25259: c094.*
+ 2525a: c194.*
+ 2525b: c0d4.*
+ 2525c: c1d4.*
+ 2525d: c024.*
+ 2525e: c124.*
+ 2525f: c064.*
+ 25260: c164.*
+ 25261: c0a4.*
+ 25262: c1a4.*
+ 25263: c0e4.*
+ 25264: c1e4.*
+ 25265: c034.*
+ 25266: c134.*
+ 25267: c074.*
+ 25268: c174.*
+ 25269: c0b4.*
+ 2526a: c1b4.*
+ 2526b: c0f4.*
+ 2526c: c1f4.*
+ 2526d: c008.*
+ 2526e: c108.*
+ 2526f: c048.*
+ 25270: c148.*
+ 25271: c088.*
+ 25272: c188.*
+ 25273: c0c8.*
+ 25274: c1c8.*
+ 25275: c018.*
+ 25276: c118.*
+ 25277: c058.*
+ 25278: c158.*
+ 25279: c098.*
+ 2527a: c198.*
+ 2527b: c0d8.*
+ 2527c: c1d8.*
+ 2527d: c028.*
+ 2527e: c128.*
+ 2527f: c068.*
+ 25280: c168.*
+ 25281: c0a8.*
+ 25282: c1a8.*
+ 25283: c0e8.*
+ 25284: c1e8.*
+ 25285: c038.*
+ 25286: c138.*
+ 25287: c078.*
+ 25288: c178.*
+ 25289: c0b8.*
+ 2528a: c1b8.*
+ 2528b: c0f8.*
+ 2528c: c1f8.*
+ 2528d: c00c.*
+ 2528e: c10c.*
+ 2528f: c04c.*
+ 25290: c14c.*
+ 25291: c08c.*
+ 25292: c18c.*
+ 25293: c0cc.*
+ 25294: c1cc.*
+ 25295: c01c.*
+ 25296: c11c.*
+ 25297: c05c.*
+ 25298: c15c.*
+ 25299: c09c.*
+ 2529a: c19c.*
+ 2529b: c0dc.*
+ 2529c: c1dc.*
+ 2529d: c02c.*
+ 2529e: c12c.*
+ 2529f: c06c.*
+ 252a0: c16c.*
+ 252a1: c0ac.*
+ 252a2: c1ac.*
+ 252a3: c0ec.*
+ 252a4: c1ec.*
+ 252a5: c03c.*
+ 252a6: c13c.*
+ 252a7: c07c.*
+ 252a8: c17c.*
+ 252a9: c0bc.*
+ 252aa: c1bc.*
+ 252ab: c0fc.*
+ 252ac: c1fc.*
+ 252ad: c001.*
+ 252ae: c101.*
+ 252af: c041.*
+ 252b0: c141.*
+ 252b1: c081.*
+ 252b2: c181.*
+ 252b3: c0c1.*
+ 252b4: c1c1.*
+ 252b5: c011.*
+ 252b6: c111.*
+ 252b7: c051.*
+ 252b8: c151.*
+ 252b9: c091.*
+ 252ba: c191.*
+ 252bb: c0d1.*
+ 252bc: c1d1.*
+ 252bd: c021.*
+ 252be: c121.*
+ 252bf: c061.*
+ 252c0: c161.*
+ 252c1: c0a1.*
+ 252c2: c1a1.*
+ 252c3: c0e1.*
+ 252c4: c1e1.*
+ 252c5: c031.*
+ 252c6: c131.*
+ 252c7: c071.*
+ 252c8: c171.*
+ 252c9: c0b1.*
+ 252ca: c1b1.*
+ 252cb: c0f1.*
+ 252cc: c1f1.*
+ 252cd: c005.*
+ 252ce: c105.*
+ 252cf: c045.*
+ 252d0: c145.*
+ 252d1: c085.*
+ 252d2: c185.*
+ 252d3: c0c5.*
+ 252d4: c1c5.*
+ 252d5: c015.*
+ 252d6: c115.*
+ 252d7: c055.*
+ 252d8: c155.*
+ 252d9: c095.*
+ 252da: c195.*
+ 252db: c0d5.*
+ 252dc: c1d5.*
+ 252dd: c025.*
+ 252de: c125.*
+ 252df: c065.*
+ 252e0: c165.*
+ 252e1: c0a5.*
+ 252e2: c1a5.*
+ 252e3: c0e5.*
+ 252e4: c1e5.*
+ 252e5: c035.*
+ 252e6: c135.*
+ 252e7: c075.*
+ 252e8: c175.*
+ 252e9: c0b5.*
+ 252ea: c1b5.*
+ 252eb: c0f5.*
+ 252ec: c1f5.*
+ 252ed: c009.*
+ 252ee: c109.*
+ 252ef: c049.*
+ 252f0: c149.*
+ 252f1: c089.*
+ 252f2: c189.*
+ 252f3: c0c9.*
+ 252f4: c1c9.*
+ 252f5: c019.*
+ 252f6: c119.*
+ 252f7: c059.*
+ 252f8: c159.*
+ 252f9: c099.*
+ 252fa: c199.*
+ 252fb: c0d9.*
+ 252fc: c1d9.*
+ 252fd: c029.*
+ 252fe: c129.*
+ 252ff: c069.*
+ 25300: c169.*
+ 25301: c0a9.*
+ 25302: c1a9.*
+ 25303: c0e9.*
+ 25304: c1e9.*
+ 25305: c039.*
+ 25306: c139.*
+ 25307: c079.*
+ 25308: c179.*
+ 25309: c0b9.*
+ 2530a: c1b9.*
+ 2530b: c0f9.*
+ 2530c: c1f9.*
+ 2530d: c00d.*
+ 2530e: c10d.*
+ 2530f: c04d.*
+ 25310: c14d.*
+ 25311: c08d.*
+ 25312: c18d.*
+ 25313: c0cd.*
+ 25314: c1cd.*
+ 25315: c01d.*
+ 25316: c11d.*
+ 25317: c05d.*
+ 25318: c15d.*
+ 25319: c09d.*
+ 2531a: c19d.*
+ 2531b: c0dd.*
+ 2531c: c1dd.*
+ 2531d: c02d.*
+ 2531e: c12d.*
+ 2531f: c06d.*
+ 25320: c16d.*
+ 25321: c0ad.*
+ 25322: c1ad.*
+ 25323: c0ed.*
+ 25324: c1ed.*
+ 25325: c03d.*
+ 25326: c13d.*
+ 25327: c07d.*
+ 25328: c17d.*
+ 25329: c0bd.*
+ 2532a: c1bd.*
+ 2532b: c0fd.*
+ 2532c: c1fd.*
+ 2532d: c002.*
+ 2532e: c102.*
+ 2532f: c042.*
+ 25330: c142.*
+ 25331: c082.*
+ 25332: c182.*
+ 25333: c0c2.*
+ 25334: c1c2.*
+ 25335: c012.*
+ 25336: c112.*
+ 25337: c052.*
+ 25338: c152.*
+ 25339: c092.*
+ 2533a: c192.*
+ 2533b: c0d2.*
+ 2533c: c1d2.*
+ 2533d: c022.*
+ 2533e: c122.*
+ 2533f: c062.*
+ 25340: c162.*
+ 25341: c0a2.*
+ 25342: c1a2.*
+ 25343: c0e2.*
+ 25344: c1e2.*
+ 25345: c032.*
+ 25346: c132.*
+ 25347: c072.*
+ 25348: c172.*
+ 25349: c0b2.*
+ 2534a: c1b2.*
+ 2534b: c0f2.*
+ 2534c: c1f2.*
+ 2534d: c006.*
+ 2534e: c106.*
+ 2534f: c046.*
+ 25350: c146.*
+ 25351: c086.*
+ 25352: c186.*
+ 25353: c0c6.*
+ 25354: c1c6.*
+ 25355: c016.*
+ 25356: c116.*
+ 25357: c056.*
+ 25358: c156.*
+ 25359: c096.*
+ 2535a: c196.*
+ 2535b: c0d6.*
+ 2535c: c1d6.*
+ 2535d: c026.*
+ 2535e: c126.*
+ 2535f: c066.*
+ 25360: c166.*
+ 25361: c0a6.*
+ 25362: c1a6.*
+ 25363: c0e6.*
+ 25364: c1e6.*
+ 25365: c036.*
+ 25366: c136.*
+ 25367: c076.*
+ 25368: c176.*
+ 25369: c0b6.*
+ 2536a: c1b6.*
+ 2536b: c0f6.*
+ 2536c: c1f6.*
+ 2536d: c00a.*
+ 2536e: c10a.*
+ 2536f: c04a.*
+ 25370: c14a.*
+ 25371: c08a.*
+ 25372: c18a.*
+ 25373: c0ca.*
+ 25374: c1ca.*
+ 25375: c01a.*
+ 25376: c11a.*
+ 25377: c05a.*
+ 25378: c15a.*
+ 25379: c09a.*
+ 2537a: c19a.*
+ 2537b: c0da.*
+ 2537c: c1da.*
+ 2537d: c02a.*
+ 2537e: c12a.*
+ 2537f: c06a.*
+ 25380: c16a.*
+ 25381: c0aa.*
+ 25382: c1aa.*
+ 25383: c0ea.*
+ 25384: c1ea.*
+ 25385: c03a.*
+ 25386: c13a.*
+ 25387: c07a.*
+ 25388: c17a.*
+ 25389: c0ba.*
+ 2538a: c1ba.*
+ 2538b: c0fa.*
+ 2538c: c1fa.*
+ 2538d: c00e.*
+ 2538e: c10e.*
+ 2538f: c04e.*
+ 25390: c14e.*
+ 25391: c08e.*
+ 25392: c18e.*
+ 25393: c0ce.*
+ 25394: c1ce.*
+ 25395: c01e.*
+ 25396: c11e.*
+ 25397: c05e.*
+ 25398: c15e.*
+ 25399: c09e.*
+ 2539a: c19e.*
+ 2539b: c0de.*
+ 2539c: c1de.*
+ 2539d: c02e.*
+ 2539e: c12e.*
+ 2539f: c06e.*
+ 253a0: c16e.*
+ 253a1: c0ae.*
+ 253a2: c1ae.*
+ 253a3: c0ee.*
+ 253a4: c1ee.*
+ 253a5: c03e.*
+ 253a6: c13e.*
+ 253a7: c07e.*
+ 253a8: c17e.*
+ 253a9: c0be.*
+ 253aa: c1be.*
+ 253ab: c0fe.*
+ 253ac: c1fe.*
+ 253ad: c003.*
+ 253ae: c103.*
+ 253af: c043.*
+ 253b0: c143.*
+ 253b1: c083.*
+ 253b2: c183.*
+ 253b3: c0c3.*
+ 253b4: c1c3.*
+ 253b5: c013.*
+ 253b6: c113.*
+ 253b7: c053.*
+ 253b8: c153.*
+ 253b9: c093.*
+ 253ba: c193.*
+ 253bb: c0d3.*
+ 253bc: c1d3.*
+ 253bd: c023.*
+ 253be: c123.*
+ 253bf: c063.*
+ 253c0: c163.*
+ 253c1: c0a3.*
+ 253c2: c1a3.*
+ 253c3: c0e3.*
+ 253c4: c1e3.*
+ 253c5: c033.*
+ 253c6: c133.*
+ 253c7: c073.*
+ 253c8: c173.*
+ 253c9: c0b3.*
+ 253ca: c1b3.*
+ 253cb: c0f3.*
+ 253cc: c1f3.*
+ 253cd: c007.*
+ 253ce: c107.*
+ 253cf: c047.*
+ 253d0: c147.*
+ 253d1: c087.*
+ 253d2: c187.*
+ 253d3: c0c7.*
+ 253d4: c1c7.*
+ 253d5: c017.*
+ 253d6: c117.*
+ 253d7: c057.*
+ 253d8: c157.*
+ 253d9: c097.*
+ 253da: c197.*
+ 253db: c0d7.*
+ 253dc: c1d7.*
+ 253dd: c027.*
+ 253de: c127.*
+ 253df: c067.*
+ 253e0: c167.*
+ 253e1: c0a7.*
+ 253e2: c1a7.*
+ 253e3: c0e7.*
+ 253e4: c1e7.*
+ 253e5: c037.*
+ 253e6: c137.*
+ 253e7: c077.*
+ 253e8: c177.*
+ 253e9: c0b7.*
+ 253ea: c1b7.*
+ 253eb: c0f7.*
+ 253ec: c1f7.*
+ 253ed: c00b.*
+ 253ee: c10b.*
+ 253ef: c04b.*
+ 253f0: c14b.*
+ 253f1: c08b.*
+ 253f2: c18b.*
+ 253f3: c0cb.*
+ 253f4: c1cb.*
+ 253f5: c01b.*
+ 253f6: c11b.*
+ 253f7: c05b.*
+ 253f8: c15b.*
+ 253f9: c09b.*
+ 253fa: c19b.*
+ 253fb: c0db.*
+ 253fc: c1db.*
+ 253fd: c02b.*
+ 253fe: c12b.*
+ 253ff: c06b.*
+ 25400: c16b.*
+ 25401: c0ab.*
+ 25402: c1ab.*
+ 25403: c0eb.*
+ 25404: c1eb.*
+ 25405: c03b.*
+ 25406: c13b.*
+ 25407: c07b.*
+ 25408: c17b.*
+ 25409: c0bb.*
+ 2540a: c1bb.*
+ 2540b: c0fb.*
+ 2540c: c1fb.*
+ 2540d: c00f.*
+ 2540e: c10f.*
+ 2540f: c04f.*
+ 25410: c14f.*
+ 25411: c08f.*
+ 25412: c18f.*
+ 25413: c0cf.*
+ 25414: c1cf.*
+ 25415: c01f.*
+ 25416: c11f.*
+ 25417: c05f.*
+ 25418: c15f.*
+ 25419: c09f.*
+ 2541a: c19f.*
+ 2541b: c0df.*
+ 2541c: c1df.*
+ 2541d: c02f.*
+ 2541e: c12f.*
+ 2541f: c06f.*
+ 25420: c16f.*
+ 25421: c0af.*
+ 25422: c1af.*
+ 25423: c0ef.*
+ 25424: c1ef.*
+ 25425: c03f.*
+ 25426: c13f.*
+ 25427: c07f.*
+ 25428: c17f.*
+ 25429: c0bf.*
+ 2542a: c1bf.*
+ 2542b: c0ff.*
+ 2542c: c1ff.*
+ 2542d: c200.*
+ 2542e: c300.*
+ 2542f: c240.*
+ 25430: c340.*
+ 25431: c280.*
+ 25432: c380.*
+ 25433: c2c0.*
+ 25434: c3c0.*
+ 25435: c210.*
+ 25436: c310.*
+ 25437: c250.*
+ 25438: c350.*
+ 25439: c290.*
+ 2543a: c390.*
+ 2543b: c2d0.*
+ 2543c: c3d0.*
+ 2543d: c220.*
+ 2543e: c320.*
+ 2543f: c260.*
+ 25440: c360.*
+ 25441: c2a0.*
+ 25442: c3a0.*
+ 25443: c2e0.*
+ 25444: c3e0.*
+ 25445: c230.*
+ 25446: c330.*
+ 25447: c270.*
+ 25448: c370.*
+ 25449: c2b0.*
+ 2544a: c3b0.*
+ 2544b: c2f0.*
+ 2544c: c3f0.*
+ 2544d: c204.*
+ 2544e: c304.*
+ 2544f: c244.*
+ 25450: c344.*
+ 25451: c284.*
+ 25452: c384.*
+ 25453: c2c4.*
+ 25454: c3c4.*
+ 25455: c214.*
+ 25456: c314.*
+ 25457: c254.*
+ 25458: c354.*
+ 25459: c294.*
+ 2545a: c394.*
+ 2545b: c2d4.*
+ 2545c: c3d4.*
+ 2545d: c224.*
+ 2545e: c324.*
+ 2545f: c264.*
+ 25460: c364.*
+ 25461: c2a4.*
+ 25462: c3a4.*
+ 25463: c2e4.*
+ 25464: c3e4.*
+ 25465: c234.*
+ 25466: c334.*
+ 25467: c274.*
+ 25468: c374.*
+ 25469: c2b4.*
+ 2546a: c3b4.*
+ 2546b: c2f4.*
+ 2546c: c3f4.*
+ 2546d: c208.*
+ 2546e: c308.*
+ 2546f: c248.*
+ 25470: c348.*
+ 25471: c288.*
+ 25472: c388.*
+ 25473: c2c8.*
+ 25474: c3c8.*
+ 25475: c218.*
+ 25476: c318.*
+ 25477: c258.*
+ 25478: c358.*
+ 25479: c298.*
+ 2547a: c398.*
+ 2547b: c2d8.*
+ 2547c: c3d8.*
+ 2547d: c228.*
+ 2547e: c328.*
+ 2547f: c268.*
+ 25480: c368.*
+ 25481: c2a8.*
+ 25482: c3a8.*
+ 25483: c2e8.*
+ 25484: c3e8.*
+ 25485: c238.*
+ 25486: c338.*
+ 25487: c278.*
+ 25488: c378.*
+ 25489: c2b8.*
+ 2548a: c3b8.*
+ 2548b: c2f8.*
+ 2548c: c3f8.*
+ 2548d: c20c.*
+ 2548e: c30c.*
+ 2548f: c24c.*
+ 25490: c34c.*
+ 25491: c28c.*
+ 25492: c38c.*
+ 25493: c2cc.*
+ 25494: c3cc.*
+ 25495: c21c.*
+ 25496: c31c.*
+ 25497: c25c.*
+ 25498: c35c.*
+ 25499: c29c.*
+ 2549a: c39c.*
+ 2549b: c2dc.*
+ 2549c: c3dc.*
+ 2549d: c22c.*
+ 2549e: c32c.*
+ 2549f: c26c.*
+ 254a0: c36c.*
+ 254a1: c2ac.*
+ 254a2: c3ac.*
+ 254a3: c2ec.*
+ 254a4: c3ec.*
+ 254a5: c23c.*
+ 254a6: c33c.*
+ 254a7: c27c.*
+ 254a8: c37c.*
+ 254a9: c2bc.*
+ 254aa: c3bc.*
+ 254ab: c2fc.*
+ 254ac: c3fc.*
+ 254ad: c201.*
+ 254ae: c301.*
+ 254af: c241.*
+ 254b0: c341.*
+ 254b1: c281.*
+ 254b2: c381.*
+ 254b3: c2c1.*
+ 254b4: c3c1.*
+ 254b5: c211.*
+ 254b6: c311.*
+ 254b7: c251.*
+ 254b8: c351.*
+ 254b9: c291.*
+ 254ba: c391.*
+ 254bb: c2d1.*
+ 254bc: c3d1.*
+ 254bd: c221.*
+ 254be: c321.*
+ 254bf: c261.*
+ 254c0: c361.*
+ 254c1: c2a1.*
+ 254c2: c3a1.*
+ 254c3: c2e1.*
+ 254c4: c3e1.*
+ 254c5: c231.*
+ 254c6: c331.*
+ 254c7: c271.*
+ 254c8: c371.*
+ 254c9: c2b1.*
+ 254ca: c3b1.*
+ 254cb: c2f1.*
+ 254cc: c3f1.*
+ 254cd: c205.*
+ 254ce: c305.*
+ 254cf: c245.*
+ 254d0: c345.*
+ 254d1: c285.*
+ 254d2: c385.*
+ 254d3: c2c5.*
+ 254d4: c3c5.*
+ 254d5: c215.*
+ 254d6: c315.*
+ 254d7: c255.*
+ 254d8: c355.*
+ 254d9: c295.*
+ 254da: c395.*
+ 254db: c2d5.*
+ 254dc: c3d5.*
+ 254dd: c225.*
+ 254de: c325.*
+ 254df: c265.*
+ 254e0: c365.*
+ 254e1: c2a5.*
+ 254e2: c3a5.*
+ 254e3: c2e5.*
+ 254e4: c3e5.*
+ 254e5: c235.*
+ 254e6: c335.*
+ 254e7: c275.*
+ 254e8: c375.*
+ 254e9: c2b5.*
+ 254ea: c3b5.*
+ 254eb: c2f5.*
+ 254ec: c3f5.*
+ 254ed: c209.*
+ 254ee: c309.*
+ 254ef: c249.*
+ 254f0: c349.*
+ 254f1: c289.*
+ 254f2: c389.*
+ 254f3: c2c9.*
+ 254f4: c3c9.*
+ 254f5: c219.*
+ 254f6: c319.*
+ 254f7: c259.*
+ 254f8: c359.*
+ 254f9: c299.*
+ 254fa: c399.*
+ 254fb: c2d9.*
+ 254fc: c3d9.*
+ 254fd: c229.*
+ 254fe: c329.*
+ 254ff: c269.*
+ 25500: c369.*
+ 25501: c2a9.*
+ 25502: c3a9.*
+ 25503: c2e9.*
+ 25504: c3e9.*
+ 25505: c239.*
+ 25506: c339.*
+ 25507: c279.*
+ 25508: c379.*
+ 25509: c2b9.*
+ 2550a: c3b9.*
+ 2550b: c2f9.*
+ 2550c: c3f9.*
+ 2550d: c20d.*
+ 2550e: c30d.*
+ 2550f: c24d.*
+ 25510: c34d.*
+ 25511: c28d.*
+ 25512: c38d.*
+ 25513: c2cd.*
+ 25514: c3cd.*
+ 25515: c21d.*
+ 25516: c31d.*
+ 25517: c25d.*
+ 25518: c35d.*
+ 25519: c29d.*
+ 2551a: c39d.*
+ 2551b: c2dd.*
+ 2551c: c3dd.*
+ 2551d: c22d.*
+ 2551e: c32d.*
+ 2551f: c26d.*
+ 25520: c36d.*
+ 25521: c2ad.*
+ 25522: c3ad.*
+ 25523: c2ed.*
+ 25524: c3ed.*
+ 25525: c23d.*
+ 25526: c33d.*
+ 25527: c27d.*
+ 25528: c37d.*
+ 25529: c2bd.*
+ 2552a: c3bd.*
+ 2552b: c2fd.*
+ 2552c: c3fd.*
+ 2552d: c202.*
+ 2552e: c302.*
+ 2552f: c242.*
+ 25530: c342.*
+ 25531: c282.*
+ 25532: c382.*
+ 25533: c2c2.*
+ 25534: c3c2.*
+ 25535: c212.*
+ 25536: c312.*
+ 25537: c252.*
+ 25538: c352.*
+ 25539: c292.*
+ 2553a: c392.*
+ 2553b: c2d2.*
+ 2553c: c3d2.*
+ 2553d: c222.*
+ 2553e: c322.*
+ 2553f: c262.*
+ 25540: c362.*
+ 25541: c2a2.*
+ 25542: c3a2.*
+ 25543: c2e2.*
+ 25544: c3e2.*
+ 25545: c232.*
+ 25546: c332.*
+ 25547: c272.*
+ 25548: c372.*
+ 25549: c2b2.*
+ 2554a: c3b2.*
+ 2554b: c2f2.*
+ 2554c: c3f2.*
+ 2554d: c206.*
+ 2554e: c306.*
+ 2554f: c246.*
+ 25550: c346.*
+ 25551: c286.*
+ 25552: c386.*
+ 25553: c2c6.*
+ 25554: c3c6.*
+ 25555: c216.*
+ 25556: c316.*
+ 25557: c256.*
+ 25558: c356.*
+ 25559: c296.*
+ 2555a: c396.*
+ 2555b: c2d6.*
+ 2555c: c3d6.*
+ 2555d: c226.*
+ 2555e: c326.*
+ 2555f: c266.*
+ 25560: c366.*
+ 25561: c2a6.*
+ 25562: c3a6.*
+ 25563: c2e6.*
+ 25564: c3e6.*
+ 25565: c236.*
+ 25566: c336.*
+ 25567: c276.*
+ 25568: c376.*
+ 25569: c2b6.*
+ 2556a: c3b6.*
+ 2556b: c2f6.*
+ 2556c: c3f6.*
+ 2556d: c20a.*
+ 2556e: c30a.*
+ 2556f: c24a.*
+ 25570: c34a.*
+ 25571: c28a.*
+ 25572: c38a.*
+ 25573: c2ca.*
+ 25574: c3ca.*
+ 25575: c21a.*
+ 25576: c31a.*
+ 25577: c25a.*
+ 25578: c35a.*
+ 25579: c29a.*
+ 2557a: c39a.*
+ 2557b: c2da.*
+ 2557c: c3da.*
+ 2557d: c22a.*
+ 2557e: c32a.*
+ 2557f: c26a.*
+ 25580: c36a.*
+ 25581: c2aa.*
+ 25582: c3aa.*
+ 25583: c2ea.*
+ 25584: c3ea.*
+ 25585: c23a.*
+ 25586: c33a.*
+ 25587: c27a.*
+ 25588: c37a.*
+ 25589: c2ba.*
+ 2558a: c3ba.*
+ 2558b: c2fa.*
+ 2558c: c3fa.*
+ 2558d: c20e.*
+ 2558e: c30e.*
+ 2558f: c24e.*
+ 25590: c34e.*
+ 25591: c28e.*
+ 25592: c38e.*
+ 25593: c2ce.*
+ 25594: c3ce.*
+ 25595: c21e.*
+ 25596: c31e.*
+ 25597: c25e.*
+ 25598: c35e.*
+ 25599: c29e.*
+ 2559a: c39e.*
+ 2559b: c2de.*
+ 2559c: c3de.*
+ 2559d: c22e.*
+ 2559e: c32e.*
+ 2559f: c26e.*
+ 255a0: c36e.*
+ 255a1: c2ae.*
+ 255a2: c3ae.*
+ 255a3: c2ee.*
+ 255a4: c3ee.*
+ 255a5: c23e.*
+ 255a6: c33e.*
+ 255a7: c27e.*
+ 255a8: c37e.*
+ 255a9: c2be.*
+ 255aa: c3be.*
+ 255ab: c2fe.*
+ 255ac: c3fe.*
+ 255ad: c203.*
+ 255ae: c303.*
+ 255af: c243.*
+ 255b0: c343.*
+ 255b1: c283.*
+ 255b2: c383.*
+ 255b3: c2c3.*
+ 255b4: c3c3.*
+ 255b5: c213.*
+ 255b6: c313.*
+ 255b7: c253.*
+ 255b8: c353.*
+ 255b9: c293.*
+ 255ba: c393.*
+ 255bb: c2d3.*
+ 255bc: c3d3.*
+ 255bd: c223.*
+ 255be: c323.*
+ 255bf: c263.*
+ 255c0: c363.*
+ 255c1: c2a3.*
+ 255c2: c3a3.*
+ 255c3: c2e3.*
+ 255c4: c3e3.*
+ 255c5: c233.*
+ 255c6: c333.*
+ 255c7: c273.*
+ 255c8: c373.*
+ 255c9: c2b3.*
+ 255ca: c3b3.*
+ 255cb: c2f3.*
+ 255cc: c3f3.*
+ 255cd: c207.*
+ 255ce: c307.*
+ 255cf: c247.*
+ 255d0: c347.*
+ 255d1: c287.*
+ 255d2: c387.*
+ 255d3: c2c7.*
+ 255d4: c3c7.*
+ 255d5: c217.*
+ 255d6: c317.*
+ 255d7: c257.*
+ 255d8: c357.*
+ 255d9: c297.*
+ 255da: c397.*
+ 255db: c2d7.*
+ 255dc: c3d7.*
+ 255dd: c227.*
+ 255de: c327.*
+ 255df: c267.*
+ 255e0: c367.*
+ 255e1: c2a7.*
+ 255e2: c3a7.*
+ 255e3: c2e7.*
+ 255e4: c3e7.*
+ 255e5: c237.*
+ 255e6: c337.*
+ 255e7: c277.*
+ 255e8: c377.*
+ 255e9: c2b7.*
+ 255ea: c3b7.*
+ 255eb: c2f7.*
+ 255ec: c3f7.*
+ 255ed: c20b.*
+ 255ee: c30b.*
+ 255ef: c24b.*
+ 255f0: c34b.*
+ 255f1: c28b.*
+ 255f2: c38b.*
+ 255f3: c2cb.*
+ 255f4: c3cb.*
+ 255f5: c21b.*
+ 255f6: c31b.*
+ 255f7: c25b.*
+ 255f8: c35b.*
+ 255f9: c29b.*
+ 255fa: c39b.*
+ 255fb: c2db.*
+ 255fc: c3db.*
+ 255fd: c22b.*
+ 255fe: c32b.*
+ 255ff: c26b.*
+ 25600: c36b.*
+ 25601: c2ab.*
+ 25602: c3ab.*
+ 25603: c2eb.*
+ 25604: c3eb.*
+ 25605: c23b.*
+ 25606: c33b.*
+ 25607: c27b.*
+ 25608: c37b.*
+ 25609: c2bb.*
+ 2560a: c3bb.*
+ 2560b: c2fb.*
+ 2560c: c3fb.*
+ 2560d: c20f.*
+ 2560e: c30f.*
+ 2560f: c24f.*
+ 25610: c34f.*
+ 25611: c28f.*
+ 25612: c38f.*
+ 25613: c2cf.*
+ 25614: c3cf.*
+ 25615: c21f.*
+ 25616: c31f.*
+ 25617: c25f.*
+ 25618: c35f.*
+ 25619: c29f.*
+ 2561a: c39f.*
+ 2561b: c2df.*
+ 2561c: c3df.*
+ 2561d: c22f.*
+ 2561e: c32f.*
+ 2561f: c26f.*
+ 25620: c36f.*
+ 25621: c2af.*
+ 25622: c3af.*
+ 25623: c2ef.*
+ 25624: c3ef.*
+ 25625: c23f.*
+ 25626: c33f.*
+ 25627: c27f.*
+ 25628: c37f.*
+ 25629: c2bf.*
+ 2562a: c3bf.*
+ 2562b: c2ff.*
+ 2562c: c3ff.*
+ 2562d: c800.*
+ 2562e: c900.*
+ 2562f: c840.*
+ 25630: c940.*
+ 25631: c880.*
+ 25632: c980.*
+ 25633: c8c0.*
+ 25634: c9c0.*
+ 25635: c810.*
+ 25636: c910.*
+ 25637: c850.*
+ 25638: c950.*
+ 25639: c890.*
+ 2563a: c990.*
+ 2563b: c8d0.*
+ 2563c: c9d0.*
+ 2563d: c820.*
+ 2563e: c920.*
+ 2563f: c860.*
+ 25640: c960.*
+ 25641: c8a0.*
+ 25642: c9a0.*
+ 25643: c8e0.*
+ 25644: c9e0.*
+ 25645: c830.*
+ 25646: c930.*
+ 25647: c870.*
+ 25648: c970.*
+ 25649: c8b0.*
+ 2564a: c9b0.*
+ 2564b: c8f0.*
+ 2564c: c9f0.*
+ 2564d: c804.*
+ 2564e: c904.*
+ 2564f: c844.*
+ 25650: c944.*
+ 25651: c884.*
+ 25652: c984.*
+ 25653: c8c4.*
+ 25654: c9c4.*
+ 25655: c814.*
+ 25656: c914.*
+ 25657: c854.*
+ 25658: c954.*
+ 25659: c894.*
+ 2565a: c994.*
+ 2565b: c8d4.*
+ 2565c: c9d4.*
+ 2565d: c824.*
+ 2565e: c924.*
+ 2565f: c864.*
+ 25660: c964.*
+ 25661: c8a4.*
+ 25662: c9a4.*
+ 25663: c8e4.*
+ 25664: c9e4.*
+ 25665: c834.*
+ 25666: c934.*
+ 25667: c874.*
+ 25668: c974.*
+ 25669: c8b4.*
+ 2566a: c9b4.*
+ 2566b: c8f4.*
+ 2566c: c9f4.*
+ 2566d: c808.*
+ 2566e: c908.*
+ 2566f: c848.*
+ 25670: c948.*
+ 25671: c888.*
+ 25672: c988.*
+ 25673: c8c8.*
+ 25674: c9c8.*
+ 25675: c818.*
+ 25676: c918.*
+ 25677: c858.*
+ 25678: c958.*
+ 25679: c898.*
+ 2567a: c998.*
+ 2567b: c8d8.*
+ 2567c: c9d8.*
+ 2567d: c828.*
+ 2567e: c928.*
+ 2567f: c868.*
+ 25680: c968.*
+ 25681: c8a8.*
+ 25682: c9a8.*
+ 25683: c8e8.*
+ 25684: c9e8.*
+ 25685: c838.*
+ 25686: c938.*
+ 25687: c878.*
+ 25688: c978.*
+ 25689: c8b8.*
+ 2568a: c9b8.*
+ 2568b: c8f8.*
+ 2568c: c9f8.*
+ 2568d: c80c.*
+ 2568e: c90c.*
+ 2568f: c84c.*
+ 25690: c94c.*
+ 25691: c88c.*
+ 25692: c98c.*
+ 25693: c8cc.*
+ 25694: c9cc.*
+ 25695: c81c.*
+ 25696: c91c.*
+ 25697: c85c.*
+ 25698: c95c.*
+ 25699: c89c.*
+ 2569a: c99c.*
+ 2569b: c8dc.*
+ 2569c: c9dc.*
+ 2569d: c82c.*
+ 2569e: c92c.*
+ 2569f: c86c.*
+ 256a0: c96c.*
+ 256a1: c8ac.*
+ 256a2: c9ac.*
+ 256a3: c8ec.*
+ 256a4: c9ec.*
+ 256a5: c83c.*
+ 256a6: c93c.*
+ 256a7: c87c.*
+ 256a8: c97c.*
+ 256a9: c8bc.*
+ 256aa: c9bc.*
+ 256ab: c8fc.*
+ 256ac: c9fc.*
+ 256ad: c801.*
+ 256ae: c901.*
+ 256af: c841.*
+ 256b0: c941.*
+ 256b1: c881.*
+ 256b2: c981.*
+ 256b3: c8c1.*
+ 256b4: c9c1.*
+ 256b5: c811.*
+ 256b6: c911.*
+ 256b7: c851.*
+ 256b8: c951.*
+ 256b9: c891.*
+ 256ba: c991.*
+ 256bb: c8d1.*
+ 256bc: c9d1.*
+ 256bd: c821.*
+ 256be: c921.*
+ 256bf: c861.*
+ 256c0: c961.*
+ 256c1: c8a1.*
+ 256c2: c9a1.*
+ 256c3: c8e1.*
+ 256c4: c9e1.*
+ 256c5: c831.*
+ 256c6: c931.*
+ 256c7: c871.*
+ 256c8: c971.*
+ 256c9: c8b1.*
+ 256ca: c9b1.*
+ 256cb: c8f1.*
+ 256cc: c9f1.*
+ 256cd: c805.*
+ 256ce: c905.*
+ 256cf: c845.*
+ 256d0: c945.*
+ 256d1: c885.*
+ 256d2: c985.*
+ 256d3: c8c5.*
+ 256d4: c9c5.*
+ 256d5: c815.*
+ 256d6: c915.*
+ 256d7: c855.*
+ 256d8: c955.*
+ 256d9: c895.*
+ 256da: c995.*
+ 256db: c8d5.*
+ 256dc: c9d5.*
+ 256dd: c825.*
+ 256de: c925.*
+ 256df: c865.*
+ 256e0: c965.*
+ 256e1: c8a5.*
+ 256e2: c9a5.*
+ 256e3: c8e5.*
+ 256e4: c9e5.*
+ 256e5: c835.*
+ 256e6: c935.*
+ 256e7: c875.*
+ 256e8: c975.*
+ 256e9: c8b5.*
+ 256ea: c9b5.*
+ 256eb: c8f5.*
+ 256ec: c9f5.*
+ 256ed: c809.*
+ 256ee: c909.*
+ 256ef: c849.*
+ 256f0: c949.*
+ 256f1: c889.*
+ 256f2: c989.*
+ 256f3: c8c9.*
+ 256f4: c9c9.*
+ 256f5: c819.*
+ 256f6: c919.*
+ 256f7: c859.*
+ 256f8: c959.*
+ 256f9: c899.*
+ 256fa: c999.*
+ 256fb: c8d9.*
+ 256fc: c9d9.*
+ 256fd: c829.*
+ 256fe: c929.*
+ 256ff: c869.*
+ 25700: c969.*
+ 25701: c8a9.*
+ 25702: c9a9.*
+ 25703: c8e9.*
+ 25704: c9e9.*
+ 25705: c839.*
+ 25706: c939.*
+ 25707: c879.*
+ 25708: c979.*
+ 25709: c8b9.*
+ 2570a: c9b9.*
+ 2570b: c8f9.*
+ 2570c: c9f9.*
+ 2570d: c80d.*
+ 2570e: c90d.*
+ 2570f: c84d.*
+ 25710: c94d.*
+ 25711: c88d.*
+ 25712: c98d.*
+ 25713: c8cd.*
+ 25714: c9cd.*
+ 25715: c81d.*
+ 25716: c91d.*
+ 25717: c85d.*
+ 25718: c95d.*
+ 25719: c89d.*
+ 2571a: c99d.*
+ 2571b: c8dd.*
+ 2571c: c9dd.*
+ 2571d: c82d.*
+ 2571e: c92d.*
+ 2571f: c86d.*
+ 25720: c96d.*
+ 25721: c8ad.*
+ 25722: c9ad.*
+ 25723: c8ed.*
+ 25724: c9ed.*
+ 25725: c83d.*
+ 25726: c93d.*
+ 25727: c87d.*
+ 25728: c97d.*
+ 25729: c8bd.*
+ 2572a: c9bd.*
+ 2572b: c8fd.*
+ 2572c: c9fd.*
+ 2572d: c802.*
+ 2572e: c902.*
+ 2572f: c842.*
+ 25730: c942.*
+ 25731: c882.*
+ 25732: c982.*
+ 25733: c8c2.*
+ 25734: c9c2.*
+ 25735: c812.*
+ 25736: c912.*
+ 25737: c852.*
+ 25738: c952.*
+ 25739: c892.*
+ 2573a: c992.*
+ 2573b: c8d2.*
+ 2573c: c9d2.*
+ 2573d: c822.*
+ 2573e: c922.*
+ 2573f: c862.*
+ 25740: c962.*
+ 25741: c8a2.*
+ 25742: c9a2.*
+ 25743: c8e2.*
+ 25744: c9e2.*
+ 25745: c832.*
+ 25746: c932.*
+ 25747: c872.*
+ 25748: c972.*
+ 25749: c8b2.*
+ 2574a: c9b2.*
+ 2574b: c8f2.*
+ 2574c: c9f2.*
+ 2574d: c806.*
+ 2574e: c906.*
+ 2574f: c846.*
+ 25750: c946.*
+ 25751: c886.*
+ 25752: c986.*
+ 25753: c8c6.*
+ 25754: c9c6.*
+ 25755: c816.*
+ 25756: c916.*
+ 25757: c856.*
+ 25758: c956.*
+ 25759: c896.*
+ 2575a: c996.*
+ 2575b: c8d6.*
+ 2575c: c9d6.*
+ 2575d: c826.*
+ 2575e: c926.*
+ 2575f: c866.*
+ 25760: c966.*
+ 25761: c8a6.*
+ 25762: c9a6.*
+ 25763: c8e6.*
+ 25764: c9e6.*
+ 25765: c836.*
+ 25766: c936.*
+ 25767: c876.*
+ 25768: c976.*
+ 25769: c8b6.*
+ 2576a: c9b6.*
+ 2576b: c8f6.*
+ 2576c: c9f6.*
+ 2576d: c80a.*
+ 2576e: c90a.*
+ 2576f: c84a.*
+ 25770: c94a.*
+ 25771: c88a.*
+ 25772: c98a.*
+ 25773: c8ca.*
+ 25774: c9ca.*
+ 25775: c81a.*
+ 25776: c91a.*
+ 25777: c85a.*
+ 25778: c95a.*
+ 25779: c89a.*
+ 2577a: c99a.*
+ 2577b: c8da.*
+ 2577c: c9da.*
+ 2577d: c82a.*
+ 2577e: c92a.*
+ 2577f: c86a.*
+ 25780: c96a.*
+ 25781: c8aa.*
+ 25782: c9aa.*
+ 25783: c8ea.*
+ 25784: c9ea.*
+ 25785: c83a.*
+ 25786: c93a.*
+ 25787: c87a.*
+ 25788: c97a.*
+ 25789: c8ba.*
+ 2578a: c9ba.*
+ 2578b: c8fa.*
+ 2578c: c9fa.*
+ 2578d: c80e.*
+ 2578e: c90e.*
+ 2578f: c84e.*
+ 25790: c94e.*
+ 25791: c88e.*
+ 25792: c98e.*
+ 25793: c8ce.*
+ 25794: c9ce.*
+ 25795: c81e.*
+ 25796: c91e.*
+ 25797: c85e.*
+ 25798: c95e.*
+ 25799: c89e.*
+ 2579a: c99e.*
+ 2579b: c8de.*
+ 2579c: c9de.*
+ 2579d: c82e.*
+ 2579e: c92e.*
+ 2579f: c86e.*
+ 257a0: c96e.*
+ 257a1: c8ae.*
+ 257a2: c9ae.*
+ 257a3: c8ee.*
+ 257a4: c9ee.*
+ 257a5: c83e.*
+ 257a6: c93e.*
+ 257a7: c87e.*
+ 257a8: c97e.*
+ 257a9: c8be.*
+ 257aa: c9be.*
+ 257ab: c8fe.*
+ 257ac: c9fe.*
+ 257ad: c803.*
+ 257ae: c903.*
+ 257af: c843.*
+ 257b0: c943.*
+ 257b1: c883.*
+ 257b2: c983.*
+ 257b3: c8c3.*
+ 257b4: c9c3.*
+ 257b5: c813.*
+ 257b6: c913.*
+ 257b7: c853.*
+ 257b8: c953.*
+ 257b9: c893.*
+ 257ba: c993.*
+ 257bb: c8d3.*
+ 257bc: c9d3.*
+ 257bd: c823.*
+ 257be: c923.*
+ 257bf: c863.*
+ 257c0: c963.*
+ 257c1: c8a3.*
+ 257c2: c9a3.*
+ 257c3: c8e3.*
+ 257c4: c9e3.*
+ 257c5: c833.*
+ 257c6: c933.*
+ 257c7: c873.*
+ 257c8: c973.*
+ 257c9: c8b3.*
+ 257ca: c9b3.*
+ 257cb: c8f3.*
+ 257cc: c9f3.*
+ 257cd: c807.*
+ 257ce: c907.*
+ 257cf: c847.*
+ 257d0: c947.*
+ 257d1: c887.*
+ 257d2: c987.*
+ 257d3: c8c7.*
+ 257d4: c9c7.*
+ 257d5: c817.*
+ 257d6: c917.*
+ 257d7: c857.*
+ 257d8: c957.*
+ 257d9: c897.*
+ 257da: c997.*
+ 257db: c8d7.*
+ 257dc: c9d7.*
+ 257dd: c827.*
+ 257de: c927.*
+ 257df: c867.*
+ 257e0: c967.*
+ 257e1: c8a7.*
+ 257e2: c9a7.*
+ 257e3: c8e7.*
+ 257e4: c9e7.*
+ 257e5: c837.*
+ 257e6: c937.*
+ 257e7: c877.*
+ 257e8: c977.*
+ 257e9: c8b7.*
+ 257ea: c9b7.*
+ 257eb: c8f7.*
+ 257ec: c9f7.*
+ 257ed: c80b.*
+ 257ee: c90b.*
+ 257ef: c84b.*
+ 257f0: c94b.*
+ 257f1: c88b.*
+ 257f2: c98b.*
+ 257f3: c8cb.*
+ 257f4: c9cb.*
+ 257f5: c81b.*
+ 257f6: c91b.*
+ 257f7: c85b.*
+ 257f8: c95b.*
+ 257f9: c89b.*
+ 257fa: c99b.*
+ 257fb: c8db.*
+ 257fc: c9db.*
+ 257fd: c82b.*
+ 257fe: c92b.*
+ 257ff: c86b.*
+ 25800: c96b.*
+ 25801: c8ab.*
+ 25802: c9ab.*
+ 25803: c8eb.*
+ 25804: c9eb.*
+ 25805: c83b.*
+ 25806: c93b.*
+ 25807: c87b.*
+ 25808: c97b.*
+ 25809: c8bb.*
+ 2580a: c9bb.*
+ 2580b: c8fb.*
+ 2580c: c9fb.*
+ 2580d: c80f.*
+ 2580e: c90f.*
+ 2580f: c84f.*
+ 25810: c94f.*
+ 25811: c88f.*
+ 25812: c98f.*
+ 25813: c8cf.*
+ 25814: c9cf.*
+ 25815: c81f.*
+ 25816: c91f.*
+ 25817: c85f.*
+ 25818: c95f.*
+ 25819: c89f.*
+ 2581a: c99f.*
+ 2581b: c8df.*
+ 2581c: c9df.*
+ 2581d: c82f.*
+ 2581e: c92f.*
+ 2581f: c86f.*
+ 25820: c96f.*
+ 25821: c8af.*
+ 25822: c9af.*
+ 25823: c8ef.*
+ 25824: c9ef.*
+ 25825: c83f.*
+ 25826: c93f.*
+ 25827: c87f.*
+ 25828: c97f.*
+ 25829: c8bf.*
+ 2582a: c9bf.*
+ 2582b: c8ff.*
+ 2582c: c9ff.*
+ 2582d: ca00.*
+ 2582e: cb00.*
+ 2582f: ca40.*
+ 25830: cb40.*
+ 25831: ca80.*
+ 25832: cb80.*
+ 25833: cac0.*
+ 25834: cbc0.*
+ 25835: ca10.*
+ 25836: cb10.*
+ 25837: ca50.*
+ 25838: cb50.*
+ 25839: ca90.*
+ 2583a: cb90.*
+ 2583b: cad0.*
+ 2583c: cbd0.*
+ 2583d: ca20.*
+ 2583e: cb20.*
+ 2583f: ca60.*
+ 25840: cb60.*
+ 25841: caa0.*
+ 25842: cba0.*
+ 25843: cae0.*
+ 25844: cbe0.*
+ 25845: ca30.*
+ 25846: cb30.*
+ 25847: ca70.*
+ 25848: cb70.*
+ 25849: cab0.*
+ 2584a: cbb0.*
+ 2584b: caf0.*
+ 2584c: cbf0.*
+ 2584d: ca04.*
+ 2584e: cb04.*
+ 2584f: ca44.*
+ 25850: cb44.*
+ 25851: ca84.*
+ 25852: cb84.*
+ 25853: cac4.*
+ 25854: cbc4.*
+ 25855: ca14.*
+ 25856: cb14.*
+ 25857: ca54.*
+ 25858: cb54.*
+ 25859: ca94.*
+ 2585a: cb94.*
+ 2585b: cad4.*
+ 2585c: cbd4.*
+ 2585d: ca24.*
+ 2585e: cb24.*
+ 2585f: ca64.*
+ 25860: cb64.*
+ 25861: caa4.*
+ 25862: cba4.*
+ 25863: cae4.*
+ 25864: cbe4.*
+ 25865: ca34.*
+ 25866: cb34.*
+ 25867: ca74.*
+ 25868: cb74.*
+ 25869: cab4.*
+ 2586a: cbb4.*
+ 2586b: caf4.*
+ 2586c: cbf4.*
+ 2586d: ca08.*
+ 2586e: cb08.*
+ 2586f: ca48.*
+ 25870: cb48.*
+ 25871: ca88.*
+ 25872: cb88.*
+ 25873: cac8.*
+ 25874: cbc8.*
+ 25875: ca18.*
+ 25876: cb18.*
+ 25877: ca58.*
+ 25878: cb58.*
+ 25879: ca98.*
+ 2587a: cb98.*
+ 2587b: cad8.*
+ 2587c: cbd8.*
+ 2587d: ca28.*
+ 2587e: cb28.*
+ 2587f: ca68.*
+ 25880: cb68.*
+ 25881: caa8.*
+ 25882: cba8.*
+ 25883: cae8.*
+ 25884: cbe8.*
+ 25885: ca38.*
+ 25886: cb38.*
+ 25887: ca78.*
+ 25888: cb78.*
+ 25889: cab8.*
+ 2588a: cbb8.*
+ 2588b: caf8.*
+ 2588c: cbf8.*
+ 2588d: ca0c.*
+ 2588e: cb0c.*
+ 2588f: ca4c.*
+ 25890: cb4c.*
+ 25891: ca8c.*
+ 25892: cb8c.*
+ 25893: cacc.*
+ 25894: cbcc.*
+ 25895: ca1c.*
+ 25896: cb1c.*
+ 25897: ca5c.*
+ 25898: cb5c.*
+ 25899: ca9c.*
+ 2589a: cb9c.*
+ 2589b: cadc.*
+ 2589c: cbdc.*
+ 2589d: ca2c.*
+ 2589e: cb2c.*
+ 2589f: ca6c.*
+ 258a0: cb6c.*
+ 258a1: caac.*
+ 258a2: cbac.*
+ 258a3: caec.*
+ 258a4: cbec.*
+ 258a5: ca3c.*
+ 258a6: cb3c.*
+ 258a7: ca7c.*
+ 258a8: cb7c.*
+ 258a9: cabc.*
+ 258aa: cbbc.*
+ 258ab: cafc.*
+ 258ac: cbfc.*
+ 258ad: ca01.*
+ 258ae: cb01.*
+ 258af: ca41.*
+ 258b0: cb41.*
+ 258b1: ca81.*
+ 258b2: cb81.*
+ 258b3: cac1.*
+ 258b4: cbc1.*
+ 258b5: ca11.*
+ 258b6: cb11.*
+ 258b7: ca51.*
+ 258b8: cb51.*
+ 258b9: ca91.*
+ 258ba: cb91.*
+ 258bb: cad1.*
+ 258bc: cbd1.*
+ 258bd: ca21.*
+ 258be: cb21.*
+ 258bf: ca61.*
+ 258c0: cb61.*
+ 258c1: caa1.*
+ 258c2: cba1.*
+ 258c3: cae1.*
+ 258c4: cbe1.*
+ 258c5: ca31.*
+ 258c6: cb31.*
+ 258c7: ca71.*
+ 258c8: cb71.*
+ 258c9: cab1.*
+ 258ca: cbb1.*
+ 258cb: caf1.*
+ 258cc: cbf1.*
+ 258cd: ca05.*
+ 258ce: cb05.*
+ 258cf: ca45.*
+ 258d0: cb45.*
+ 258d1: ca85.*
+ 258d2: cb85.*
+ 258d3: cac5.*
+ 258d4: cbc5.*
+ 258d5: ca15.*
+ 258d6: cb15.*
+ 258d7: ca55.*
+ 258d8: cb55.*
+ 258d9: ca95.*
+ 258da: cb95.*
+ 258db: cad5.*
+ 258dc: cbd5.*
+ 258dd: ca25.*
+ 258de: cb25.*
+ 258df: ca65.*
+ 258e0: cb65.*
+ 258e1: caa5.*
+ 258e2: cba5.*
+ 258e3: cae5.*
+ 258e4: cbe5.*
+ 258e5: ca35.*
+ 258e6: cb35.*
+ 258e7: ca75.*
+ 258e8: cb75.*
+ 258e9: cab5.*
+ 258ea: cbb5.*
+ 258eb: caf5.*
+ 258ec: cbf5.*
+ 258ed: ca09.*
+ 258ee: cb09.*
+ 258ef: ca49.*
+ 258f0: cb49.*
+ 258f1: ca89.*
+ 258f2: cb89.*
+ 258f3: cac9.*
+ 258f4: cbc9.*
+ 258f5: ca19.*
+ 258f6: cb19.*
+ 258f7: ca59.*
+ 258f8: cb59.*
+ 258f9: ca99.*
+ 258fa: cb99.*
+ 258fb: cad9.*
+ 258fc: cbd9.*
+ 258fd: ca29.*
+ 258fe: cb29.*
+ 258ff: ca69.*
+ 25900: cb69.*
+ 25901: caa9.*
+ 25902: cba9.*
+ 25903: cae9.*
+ 25904: cbe9.*
+ 25905: ca39.*
+ 25906: cb39.*
+ 25907: ca79.*
+ 25908: cb79.*
+ 25909: cab9.*
+ 2590a: cbb9.*
+ 2590b: caf9.*
+ 2590c: cbf9.*
+ 2590d: ca0d.*
+ 2590e: cb0d.*
+ 2590f: ca4d.*
+ 25910: cb4d.*
+ 25911: ca8d.*
+ 25912: cb8d.*
+ 25913: cacd.*
+ 25914: cbcd.*
+ 25915: ca1d.*
+ 25916: cb1d.*
+ 25917: ca5d.*
+ 25918: cb5d.*
+ 25919: ca9d.*
+ 2591a: cb9d.*
+ 2591b: cadd.*
+ 2591c: cbdd.*
+ 2591d: ca2d.*
+ 2591e: cb2d.*
+ 2591f: ca6d.*
+ 25920: cb6d.*
+ 25921: caad.*
+ 25922: cbad.*
+ 25923: caed.*
+ 25924: cbed.*
+ 25925: ca3d.*
+ 25926: cb3d.*
+ 25927: ca7d.*
+ 25928: cb7d.*
+ 25929: cabd.*
+ 2592a: cbbd.*
+ 2592b: cafd.*
+ 2592c: cbfd.*
+ 2592d: ca02.*
+ 2592e: cb02.*
+ 2592f: ca42.*
+ 25930: cb42.*
+ 25931: ca82.*
+ 25932: cb82.*
+ 25933: cac2.*
+ 25934: cbc2.*
+ 25935: ca12.*
+ 25936: cb12.*
+ 25937: ca52.*
+ 25938: cb52.*
+ 25939: ca92.*
+ 2593a: cb92.*
+ 2593b: cad2.*
+ 2593c: cbd2.*
+ 2593d: ca22.*
+ 2593e: cb22.*
+ 2593f: ca62.*
+ 25940: cb62.*
+ 25941: caa2.*
+ 25942: cba2.*
+ 25943: cae2.*
+ 25944: cbe2.*
+ 25945: ca32.*
+ 25946: cb32.*
+ 25947: ca72.*
+ 25948: cb72.*
+ 25949: cab2.*
+ 2594a: cbb2.*
+ 2594b: caf2.*
+ 2594c: cbf2.*
+ 2594d: ca06.*
+ 2594e: cb06.*
+ 2594f: ca46.*
+ 25950: cb46.*
+ 25951: ca86.*
+ 25952: cb86.*
+ 25953: cac6.*
+ 25954: cbc6.*
+ 25955: ca16.*
+ 25956: cb16.*
+ 25957: ca56.*
+ 25958: cb56.*
+ 25959: ca96.*
+ 2595a: cb96.*
+ 2595b: cad6.*
+ 2595c: cbd6.*
+ 2595d: ca26.*
+ 2595e: cb26.*
+ 2595f: ca66.*
+ 25960: cb66.*
+ 25961: caa6.*
+ 25962: cba6.*
+ 25963: cae6.*
+ 25964: cbe6.*
+ 25965: ca36.*
+ 25966: cb36.*
+ 25967: ca76.*
+ 25968: cb76.*
+ 25969: cab6.*
+ 2596a: cbb6.*
+ 2596b: caf6.*
+ 2596c: cbf6.*
+ 2596d: ca0a.*
+ 2596e: cb0a.*
+ 2596f: ca4a.*
+ 25970: cb4a.*
+ 25971: ca8a.*
+ 25972: cb8a.*
+ 25973: caca.*
+ 25974: cbca.*
+ 25975: ca1a.*
+ 25976: cb1a.*
+ 25977: ca5a.*
+ 25978: cb5a.*
+ 25979: ca9a.*
+ 2597a: cb9a.*
+ 2597b: cada.*
+ 2597c: cbda.*
+ 2597d: ca2a.*
+ 2597e: cb2a.*
+ 2597f: ca6a.*
+ 25980: cb6a.*
+ 25981: caaa.*
+ 25982: cbaa.*
+ 25983: caea.*
+ 25984: cbea.*
+ 25985: ca3a.*
+ 25986: cb3a.*
+ 25987: ca7a.*
+ 25988: cb7a.*
+ 25989: caba.*
+ 2598a: cbba.*
+ 2598b: cafa.*
+ 2598c: cbfa.*
+ 2598d: ca0e.*
+ 2598e: cb0e.*
+ 2598f: ca4e.*
+ 25990: cb4e.*
+ 25991: ca8e.*
+ 25992: cb8e.*
+ 25993: cace.*
+ 25994: cbce.*
+ 25995: ca1e.*
+ 25996: cb1e.*
+ 25997: ca5e.*
+ 25998: cb5e.*
+ 25999: ca9e.*
+ 2599a: cb9e.*
+ 2599b: cade.*
+ 2599c: cbde.*
+ 2599d: ca2e.*
+ 2599e: cb2e.*
+ 2599f: ca6e.*
+ 259a0: cb6e.*
+ 259a1: caae.*
+ 259a2: cbae.*
+ 259a3: caee.*
+ 259a4: cbee.*
+ 259a5: ca3e.*
+ 259a6: cb3e.*
+ 259a7: ca7e.*
+ 259a8: cb7e.*
+ 259a9: cabe.*
+ 259aa: cbbe.*
+ 259ab: cafe.*
+ 259ac: cbfe.*
+ 259ad: ca03.*
+ 259ae: cb03.*
+ 259af: ca43.*
+ 259b0: cb43.*
+ 259b1: ca83.*
+ 259b2: cb83.*
+ 259b3: cac3.*
+ 259b4: cbc3.*
+ 259b5: ca13.*
+ 259b6: cb13.*
+ 259b7: ca53.*
+ 259b8: cb53.*
+ 259b9: ca93.*
+ 259ba: cb93.*
+ 259bb: cad3.*
+ 259bc: cbd3.*
+ 259bd: ca23.*
+ 259be: cb23.*
+ 259bf: ca63.*
+ 259c0: cb63.*
+ 259c1: caa3.*
+ 259c2: cba3.*
+ 259c3: cae3.*
+ 259c4: cbe3.*
+ 259c5: ca33.*
+ 259c6: cb33.*
+ 259c7: ca73.*
+ 259c8: cb73.*
+ 259c9: cab3.*
+ 259ca: cbb3.*
+ 259cb: caf3.*
+ 259cc: cbf3.*
+ 259cd: ca07.*
+ 259ce: cb07.*
+ 259cf: ca47.*
+ 259d0: cb47.*
+ 259d1: ca87.*
+ 259d2: cb87.*
+ 259d3: cac7.*
+ 259d4: cbc7.*
+ 259d5: ca17.*
+ 259d6: cb17.*
+ 259d7: ca57.*
+ 259d8: cb57.*
+ 259d9: ca97.*
+ 259da: cb97.*
+ 259db: cad7.*
+ 259dc: cbd7.*
+ 259dd: ca27.*
+ 259de: cb27.*
+ 259df: ca67.*
+ 259e0: cb67.*
+ 259e1: caa7.*
+ 259e2: cba7.*
+ 259e3: cae7.*
+ 259e4: cbe7.*
+ 259e5: ca37.*
+ 259e6: cb37.*
+ 259e7: ca77.*
+ 259e8: cb77.*
+ 259e9: cab7.*
+ 259ea: cbb7.*
+ 259eb: caf7.*
+ 259ec: cbf7.*
+ 259ed: ca0b.*
+ 259ee: cb0b.*
+ 259ef: ca4b.*
+ 259f0: cb4b.*
+ 259f1: ca8b.*
+ 259f2: cb8b.*
+ 259f3: cacb.*
+ 259f4: cbcb.*
+ 259f5: ca1b.*
+ 259f6: cb1b.*
+ 259f7: ca5b.*
+ 259f8: cb5b.*
+ 259f9: ca9b.*
+ 259fa: cb9b.*
+ 259fb: cadb.*
+ 259fc: cbdb.*
+ 259fd: ca2b.*
+ 259fe: cb2b.*
+ 259ff: ca6b.*
+ 25a00: cb6b.*
+ 25a01: caab.*
+ 25a02: cbab.*
+ 25a03: caeb.*
+ 25a04: cbeb.*
+ 25a05: ca3b.*
+ 25a06: cb3b.*
+ 25a07: ca7b.*
+ 25a08: cb7b.*
+ 25a09: cabb.*
+ 25a0a: cbbb.*
+ 25a0b: cafb.*
+ 25a0c: cbfb.*
+ 25a0d: ca0f.*
+ 25a0e: cb0f.*
+ 25a0f: ca4f.*
+ 25a10: cb4f.*
+ 25a11: ca8f.*
+ 25a12: cb8f.*
+ 25a13: cacf.*
+ 25a14: cbcf.*
+ 25a15: ca1f.*
+ 25a16: cb1f.*
+ 25a17: ca5f.*
+ 25a18: cb5f.*
+ 25a19: ca9f.*
+ 25a1a: cb9f.*
+ 25a1b: cadf.*
+ 25a1c: cbdf.*
+ 25a1d: ca2f.*
+ 25a1e: cb2f.*
+ 25a1f: ca6f.*
+ 25a20: cb6f.*
+ 25a21: caaf.*
+ 25a22: cbaf.*
+ 25a23: caef.*
+ 25a24: cbef.*
+ 25a25: ca3f.*
+ 25a26: cb3f.*
+ 25a27: ca7f.*
+ 25a28: cb7f.*
+ 25a29: cabf.*
+ 25a2a: cbbf.*
+ 25a2b: caff.*
+ 25a2c: cbff.*
+ 25a2d: e400.*
+ 25a2e: e440.*
+ 25a2f: e480.*
+ 25a30: e4c0.*
+ 25a31: e410.*
+ 25a32: e450.*
+ 25a33: e490.*
+ 25a34: e4d0.*
+ 25a35: e420.*
+ 25a36: e460.*
+ 25a37: e4a0.*
+ 25a38: e4e0.*
+ 25a39: e430.*
+ 25a3a: e470.*
+ 25a3b: e4b0.*
+ 25a3c: e4f0.*
+ 25a3d: e404.*
+ 25a3e: e444.*
+ 25a3f: e484.*
+ 25a40: e4c4.*
+ 25a41: e414.*
+ 25a42: e454.*
+ 25a43: e494.*
+ 25a44: e4d4.*
+ 25a45: e424.*
+ 25a46: e464.*
+ 25a47: e4a4.*
+ 25a48: e4e4.*
+ 25a49: e434.*
+ 25a4a: e474.*
+ 25a4b: e4b4.*
+ 25a4c: e4f4.*
+ 25a4d: e408.*
+ 25a4e: e448.*
+ 25a4f: e488.*
+ 25a50: e4c8.*
+ 25a51: e418.*
+ 25a52: e458.*
+ 25a53: e498.*
+ 25a54: e4d8.*
+ 25a55: e428.*
+ 25a56: e468.*
+ 25a57: e4a8.*
+ 25a58: e4e8.*
+ 25a59: e438.*
+ 25a5a: e478.*
+ 25a5b: e4b8.*
+ 25a5c: e4f8.*
+ 25a5d: e40c.*
+ 25a5e: e44c.*
+ 25a5f: e48c.*
+ 25a60: e4cc.*
+ 25a61: e41c.*
+ 25a62: e45c.*
+ 25a63: e49c.*
+ 25a64: e4dc.*
+ 25a65: e42c.*
+ 25a66: e46c.*
+ 25a67: e4ac.*
+ 25a68: e4ec.*
+ 25a69: e43c.*
+ 25a6a: e47c.*
+ 25a6b: e4bc.*
+ 25a6c: e4fc.*
+ 25a6d: e401.*
+ 25a6e: e441.*
+ 25a6f: e481.*
+ 25a70: e4c1.*
+ 25a71: e411.*
+ 25a72: e451.*
+ 25a73: e491.*
+ 25a74: e4d1.*
+ 25a75: e421.*
+ 25a76: e461.*
+ 25a77: e4a1.*
+ 25a78: e4e1.*
+ 25a79: e431.*
+ 25a7a: e471.*
+ 25a7b: e4b1.*
+ 25a7c: e4f1.*
+ 25a7d: e405.*
+ 25a7e: e445.*
+ 25a7f: e485.*
+ 25a80: e4c5.*
+ 25a81: e415.*
+ 25a82: e455.*
+ 25a83: e495.*
+ 25a84: e4d5.*
+ 25a85: e425.*
+ 25a86: e465.*
+ 25a87: e4a5.*
+ 25a88: e4e5.*
+ 25a89: e435.*
+ 25a8a: e475.*
+ 25a8b: e4b5.*
+ 25a8c: e4f5.*
+ 25a8d: e409.*
+ 25a8e: e449.*
+ 25a8f: e489.*
+ 25a90: e4c9.*
+ 25a91: e419.*
+ 25a92: e459.*
+ 25a93: e499.*
+ 25a94: e4d9.*
+ 25a95: e429.*
+ 25a96: e469.*
+ 25a97: e4a9.*
+ 25a98: e4e9.*
+ 25a99: e439.*
+ 25a9a: e479.*
+ 25a9b: e4b9.*
+ 25a9c: e4f9.*
+ 25a9d: e40d.*
+ 25a9e: e44d.*
+ 25a9f: e48d.*
+ 25aa0: e4cd.*
+ 25aa1: e41d.*
+ 25aa2: e45d.*
+ 25aa3: e49d.*
+ 25aa4: e4dd.*
+ 25aa5: e42d.*
+ 25aa6: e46d.*
+ 25aa7: e4ad.*
+ 25aa8: e4ed.*
+ 25aa9: e43d.*
+ 25aaa: e47d.*
+ 25aab: e4bd.*
+ 25aac: e4fd.*
+ 25aad: e402.*
+ 25aae: e442.*
+ 25aaf: e482.*
+ 25ab0: e4c2.*
+ 25ab1: e412.*
+ 25ab2: e452.*
+ 25ab3: e492.*
+ 25ab4: e4d2.*
+ 25ab5: e422.*
+ 25ab6: e462.*
+ 25ab7: e4a2.*
+ 25ab8: e4e2.*
+ 25ab9: e432.*
+ 25aba: e472.*
+ 25abb: e4b2.*
+ 25abc: e4f2.*
+ 25abd: e406.*
+ 25abe: e446.*
+ 25abf: e486.*
+ 25ac0: e4c6.*
+ 25ac1: e416.*
+ 25ac2: e456.*
+ 25ac3: e496.*
+ 25ac4: e4d6.*
+ 25ac5: e426.*
+ 25ac6: e466.*
+ 25ac7: e4a6.*
+ 25ac8: e4e6.*
+ 25ac9: e436.*
+ 25aca: e476.*
+ 25acb: e4b6.*
+ 25acc: e4f6.*
+ 25acd: e40a.*
+ 25ace: e44a.*
+ 25acf: e48a.*
+ 25ad0: e4ca.*
+ 25ad1: e41a.*
+ 25ad2: e45a.*
+ 25ad3: e49a.*
+ 25ad4: e4da.*
+ 25ad5: e42a.*
+ 25ad6: e46a.*
+ 25ad7: e4aa.*
+ 25ad8: e4ea.*
+ 25ad9: e43a.*
+ 25ada: e47a.*
+ 25adb: e4ba.*
+ 25adc: e4fa.*
+ 25add: e40e.*
+ 25ade: e44e.*
+ 25adf: e48e.*
+ 25ae0: e4ce.*
+ 25ae1: e41e.*
+ 25ae2: e45e.*
+ 25ae3: e49e.*
+ 25ae4: e4de.*
+ 25ae5: e42e.*
+ 25ae6: e46e.*
+ 25ae7: e4ae.*
+ 25ae8: e4ee.*
+ 25ae9: e43e.*
+ 25aea: e47e.*
+ 25aeb: e4be.*
+ 25aec: e4fe.*
+ 25aed: e403.*
+ 25aee: e443.*
+ 25aef: e483.*
+ 25af0: e4c3.*
+ 25af1: e413.*
+ 25af2: e453.*
+ 25af3: e493.*
+ 25af4: e4d3.*
+ 25af5: e423.*
+ 25af6: e463.*
+ 25af7: e4a3.*
+ 25af8: e4e3.*
+ 25af9: e433.*
+ 25afa: e473.*
+ 25afb: e4b3.*
+ 25afc: e4f3.*
+ 25afd: e407.*
+ 25afe: e447.*
+ 25aff: e487.*
+ 25b00: e4c7.*
+ 25b01: e417.*
+ 25b02: e457.*
+ 25b03: e497.*
+ 25b04: e4d7.*
+ 25b05: e427.*
+ 25b06: e467.*
+ 25b07: e4a7.*
+ 25b08: e4e7.*
+ 25b09: e437.*
+ 25b0a: e477.*
+ 25b0b: e4b7.*
+ 25b0c: e4f7.*
+ 25b0d: e40b.*
+ 25b0e: e44b.*
+ 25b0f: e48b.*
+ 25b10: e4cb.*
+ 25b11: e41b.*
+ 25b12: e45b.*
+ 25b13: e49b.*
+ 25b14: e4db.*
+ 25b15: e42b.*
+ 25b16: e46b.*
+ 25b17: e4ab.*
+ 25b18: e4eb.*
+ 25b19: e43b.*
+ 25b1a: e47b.*
+ 25b1b: e4bb.*
+ 25b1c: e4fb.*
+ 25b1d: e40f.*
+ 25b1e: e44f.*
+ 25b1f: e48f.*
+ 25b20: e4cf.*
+ 25b21: e41f.*
+ 25b22: e45f.*
+ 25b23: e49f.*
+ 25b24: e4df.*
+ 25b25: e42f.*
+ 25b26: e46f.*
+ 25b27: e4af.*
+ 25b28: e4ef.*
+ 25b29: e43f.*
+ 25b2a: e47f.*
+ 25b2b: e4bf.*
+ 25b2c: e4ff.*
+ 25b2d: e600.*
+ 25b2e: e640.*
+ 25b2f: e680.*
+ 25b30: e6c0.*
+ 25b31: e610.*
+ 25b32: e650.*
+ 25b33: e690.*
+ 25b34: e6d0.*
+ 25b35: e620.*
+ 25b36: e660.*
+ 25b37: e6a0.*
+ 25b38: e6e0.*
+ 25b39: e630.*
+ 25b3a: e670.*
+ 25b3b: e6b0.*
+ 25b3c: e6f0.*
+ 25b3d: e604.*
+ 25b3e: e644.*
+ 25b3f: e684.*
+ 25b40: e6c4.*
+ 25b41: e614.*
+ 25b42: e654.*
+ 25b43: e694.*
+ 25b44: e6d4.*
+ 25b45: e624.*
+ 25b46: e664.*
+ 25b47: e6a4.*
+ 25b48: e6e4.*
+ 25b49: e634.*
+ 25b4a: e674.*
+ 25b4b: e6b4.*
+ 25b4c: e6f4.*
+ 25b4d: e608.*
+ 25b4e: e648.*
+ 25b4f: e688.*
+ 25b50: e6c8.*
+ 25b51: e618.*
+ 25b52: e658.*
+ 25b53: e698.*
+ 25b54: e6d8.*
+ 25b55: e628.*
+ 25b56: e668.*
+ 25b57: e6a8.*
+ 25b58: e6e8.*
+ 25b59: e638.*
+ 25b5a: e678.*
+ 25b5b: e6b8.*
+ 25b5c: e6f8.*
+ 25b5d: e60c.*
+ 25b5e: e64c.*
+ 25b5f: e68c.*
+ 25b60: e6cc.*
+ 25b61: e61c.*
+ 25b62: e65c.*
+ 25b63: e69c.*
+ 25b64: e6dc.*
+ 25b65: e62c.*
+ 25b66: e66c.*
+ 25b67: e6ac.*
+ 25b68: e6ec.*
+ 25b69: e63c.*
+ 25b6a: e67c.*
+ 25b6b: e6bc.*
+ 25b6c: e6fc.*
+ 25b6d: e601.*
+ 25b6e: e641.*
+ 25b6f: e681.*
+ 25b70: e6c1.*
+ 25b71: e611.*
+ 25b72: e651.*
+ 25b73: e691.*
+ 25b74: e6d1.*
+ 25b75: e621.*
+ 25b76: e661.*
+ 25b77: e6a1.*
+ 25b78: e6e1.*
+ 25b79: e631.*
+ 25b7a: e671.*
+ 25b7b: e6b1.*
+ 25b7c: e6f1.*
+ 25b7d: e605.*
+ 25b7e: e645.*
+ 25b7f: e685.*
+ 25b80: e6c5.*
+ 25b81: e615.*
+ 25b82: e655.*
+ 25b83: e695.*
+ 25b84: e6d5.*
+ 25b85: e625.*
+ 25b86: e665.*
+ 25b87: e6a5.*
+ 25b88: e6e5.*
+ 25b89: e635.*
+ 25b8a: e675.*
+ 25b8b: e6b5.*
+ 25b8c: e6f5.*
+ 25b8d: e609.*
+ 25b8e: e649.*
+ 25b8f: e689.*
+ 25b90: e6c9.*
+ 25b91: e619.*
+ 25b92: e659.*
+ 25b93: e699.*
+ 25b94: e6d9.*
+ 25b95: e629.*
+ 25b96: e669.*
+ 25b97: e6a9.*
+ 25b98: e6e9.*
+ 25b99: e639.*
+ 25b9a: e679.*
+ 25b9b: e6b9.*
+ 25b9c: e6f9.*
+ 25b9d: e60d.*
+ 25b9e: e64d.*
+ 25b9f: e68d.*
+ 25ba0: e6cd.*
+ 25ba1: e61d.*
+ 25ba2: e65d.*
+ 25ba3: e69d.*
+ 25ba4: e6dd.*
+ 25ba5: e62d.*
+ 25ba6: e66d.*
+ 25ba7: e6ad.*
+ 25ba8: e6ed.*
+ 25ba9: e63d.*
+ 25baa: e67d.*
+ 25bab: e6bd.*
+ 25bac: e6fd.*
+ 25bad: e602.*
+ 25bae: e642.*
+ 25baf: e682.*
+ 25bb0: e6c2.*
+ 25bb1: e612.*
+ 25bb2: e652.*
+ 25bb3: e692.*
+ 25bb4: e6d2.*
+ 25bb5: e622.*
+ 25bb6: e662.*
+ 25bb7: e6a2.*
+ 25bb8: e6e2.*
+ 25bb9: e632.*
+ 25bba: e672.*
+ 25bbb: e6b2.*
+ 25bbc: e6f2.*
+ 25bbd: e606.*
+ 25bbe: e646.*
+ 25bbf: e686.*
+ 25bc0: e6c6.*
+ 25bc1: e616.*
+ 25bc2: e656.*
+ 25bc3: e696.*
+ 25bc4: e6d6.*
+ 25bc5: e626.*
+ 25bc6: e666.*
+ 25bc7: e6a6.*
+ 25bc8: e6e6.*
+ 25bc9: e636.*
+ 25bca: e676.*
+ 25bcb: e6b6.*
+ 25bcc: e6f6.*
+ 25bcd: e60a.*
+ 25bce: e64a.*
+ 25bcf: e68a.*
+ 25bd0: e6ca.*
+ 25bd1: e61a.*
+ 25bd2: e65a.*
+ 25bd3: e69a.*
+ 25bd4: e6da.*
+ 25bd5: e62a.*
+ 25bd6: e66a.*
+ 25bd7: e6aa.*
+ 25bd8: e6ea.*
+ 25bd9: e63a.*
+ 25bda: e67a.*
+ 25bdb: e6ba.*
+ 25bdc: e6fa.*
+ 25bdd: e60e.*
+ 25bde: e64e.*
+ 25bdf: e68e.*
+ 25be0: e6ce.*
+ 25be1: e61e.*
+ 25be2: e65e.*
+ 25be3: e69e.*
+ 25be4: e6de.*
+ 25be5: e62e.*
+ 25be6: e66e.*
+ 25be7: e6ae.*
+ 25be8: e6ee.*
+ 25be9: e63e.*
+ 25bea: e67e.*
+ 25beb: e6be.*
+ 25bec: e6fe.*
+ 25bed: e603.*
+ 25bee: e643.*
+ 25bef: e683.*
+ 25bf0: e6c3.*
+ 25bf1: e613.*
+ 25bf2: e653.*
+ 25bf3: e693.*
+ 25bf4: e6d3.*
+ 25bf5: e623.*
+ 25bf6: e663.*
+ 25bf7: e6a3.*
+ 25bf8: e6e3.*
+ 25bf9: e633.*
+ 25bfa: e673.*
+ 25bfb: e6b3.*
+ 25bfc: e6f3.*
+ 25bfd: e607.*
+ 25bfe: e647.*
+ 25bff: e687.*
+ 25c00: e6c7.*
+ 25c01: e617.*
+ 25c02: e657.*
+ 25c03: e697.*
+ 25c04: e6d7.*
+ 25c05: e627.*
+ 25c06: e667.*
+ 25c07: e6a7.*
+ 25c08: e6e7.*
+ 25c09: e637.*
+ 25c0a: e677.*
+ 25c0b: e6b7.*
+ 25c0c: e6f7.*
+ 25c0d: e60b.*
+ 25c0e: e64b.*
+ 25c0f: e68b.*
+ 25c10: e6cb.*
+ 25c11: e61b.*
+ 25c12: e65b.*
+ 25c13: e69b.*
+ 25c14: e6db.*
+ 25c15: e62b.*
+ 25c16: e66b.*
+ 25c17: e6ab.*
+ 25c18: e6eb.*
+ 25c19: e63b.*
+ 25c1a: e67b.*
+ 25c1b: e6bb.*
+ 25c1c: e6fb.*
+ 25c1d: e60f.*
+ 25c1e: e64f.*
+ 25c1f: e68f.*
+ 25c20: e6cf.*
+ 25c21: e61f.*
+ 25c22: e65f.*
+ 25c23: e69f.*
+ 25c24: e6df.*
+ 25c25: e62f.*
+ 25c26: e66f.*
+ 25c27: e6af.*
+ 25c28: e6ef.*
+ 25c29: e63f.*
+ 25c2a: e67f.*
+ 25c2b: e6bf.*
+ 25c2c: e6ff.*
+ 25c2d: d000.*
+ 25c2e: d100.*
+ 25c2f: d040.*
+ 25c30: d140.*
+ 25c31: d080.*
+ 25c32: d180.*
+ 25c33: d0c0.*
+ 25c34: d1c0.*
+ 25c35: d010.*
+ 25c36: d110.*
+ 25c37: d050.*
+ 25c38: d150.*
+ 25c39: d090.*
+ 25c3a: d190.*
+ 25c3b: d0d0.*
+ 25c3c: d1d0.*
+ 25c3d: d020.*
+ 25c3e: d120.*
+ 25c3f: d060.*
+ 25c40: d160.*
+ 25c41: d0a0.*
+ 25c42: d1a0.*
+ 25c43: d0e0.*
+ 25c44: d1e0.*
+ 25c45: d030.*
+ 25c46: d130.*
+ 25c47: d070.*
+ 25c48: d170.*
+ 25c49: d0b0.*
+ 25c4a: d1b0.*
+ 25c4b: d0f0.*
+ 25c4c: d1f0.*
+ 25c4d: d004.*
+ 25c4e: d104.*
+ 25c4f: d044.*
+ 25c50: d144.*
+ 25c51: d084.*
+ 25c52: d184.*
+ 25c53: d0c4.*
+ 25c54: d1c4.*
+ 25c55: d014.*
+ 25c56: d114.*
+ 25c57: d054.*
+ 25c58: d154.*
+ 25c59: d094.*
+ 25c5a: d194.*
+ 25c5b: d0d4.*
+ 25c5c: d1d4.*
+ 25c5d: d024.*
+ 25c5e: d124.*
+ 25c5f: d064.*
+ 25c60: d164.*
+ 25c61: d0a4.*
+ 25c62: d1a4.*
+ 25c63: d0e4.*
+ 25c64: d1e4.*
+ 25c65: d034.*
+ 25c66: d134.*
+ 25c67: d074.*
+ 25c68: d174.*
+ 25c69: d0b4.*
+ 25c6a: d1b4.*
+ 25c6b: d0f4.*
+ 25c6c: d1f4.*
+ 25c6d: d008.*
+ 25c6e: d108.*
+ 25c6f: d048.*
+ 25c70: d148.*
+ 25c71: d088.*
+ 25c72: d188.*
+ 25c73: d0c8.*
+ 25c74: d1c8.*
+ 25c75: d018.*
+ 25c76: d118.*
+ 25c77: d058.*
+ 25c78: d158.*
+ 25c79: d098.*
+ 25c7a: d198.*
+ 25c7b: d0d8.*
+ 25c7c: d1d8.*
+ 25c7d: d028.*
+ 25c7e: d128.*
+ 25c7f: d068.*
+ 25c80: d168.*
+ 25c81: d0a8.*
+ 25c82: d1a8.*
+ 25c83: d0e8.*
+ 25c84: d1e8.*
+ 25c85: d038.*
+ 25c86: d138.*
+ 25c87: d078.*
+ 25c88: d178.*
+ 25c89: d0b8.*
+ 25c8a: d1b8.*
+ 25c8b: d0f8.*
+ 25c8c: d1f8.*
+ 25c8d: d00c.*
+ 25c8e: d10c.*
+ 25c8f: d04c.*
+ 25c90: d14c.*
+ 25c91: d08c.*
+ 25c92: d18c.*
+ 25c93: d0cc.*
+ 25c94: d1cc.*
+ 25c95: d01c.*
+ 25c96: d11c.*
+ 25c97: d05c.*
+ 25c98: d15c.*
+ 25c99: d09c.*
+ 25c9a: d19c.*
+ 25c9b: d0dc.*
+ 25c9c: d1dc.*
+ 25c9d: d02c.*
+ 25c9e: d12c.*
+ 25c9f: d06c.*
+ 25ca0: d16c.*
+ 25ca1: d0ac.*
+ 25ca2: d1ac.*
+ 25ca3: d0ec.*
+ 25ca4: d1ec.*
+ 25ca5: d03c.*
+ 25ca6: d13c.*
+ 25ca7: d07c.*
+ 25ca8: d17c.*
+ 25ca9: d0bc.*
+ 25caa: d1bc.*
+ 25cab: d0fc.*
+ 25cac: d1fc.*
+ 25cad: d001.*
+ 25cae: d101.*
+ 25caf: d041.*
+ 25cb0: d141.*
+ 25cb1: d081.*
+ 25cb2: d181.*
+ 25cb3: d0c1.*
+ 25cb4: d1c1.*
+ 25cb5: d011.*
+ 25cb6: d111.*
+ 25cb7: d051.*
+ 25cb8: d151.*
+ 25cb9: d091.*
+ 25cba: d191.*
+ 25cbb: d0d1.*
+ 25cbc: d1d1.*
+ 25cbd: d021.*
+ 25cbe: d121.*
+ 25cbf: d061.*
+ 25cc0: d161.*
+ 25cc1: d0a1.*
+ 25cc2: d1a1.*
+ 25cc3: d0e1.*
+ 25cc4: d1e1.*
+ 25cc5: d031.*
+ 25cc6: d131.*
+ 25cc7: d071.*
+ 25cc8: d171.*
+ 25cc9: d0b1.*
+ 25cca: d1b1.*
+ 25ccb: d0f1.*
+ 25ccc: d1f1.*
+ 25ccd: d005.*
+ 25cce: d105.*
+ 25ccf: d045.*
+ 25cd0: d145.*
+ 25cd1: d085.*
+ 25cd2: d185.*
+ 25cd3: d0c5.*
+ 25cd4: d1c5.*
+ 25cd5: d015.*
+ 25cd6: d115.*
+ 25cd7: d055.*
+ 25cd8: d155.*
+ 25cd9: d095.*
+ 25cda: d195.*
+ 25cdb: d0d5.*
+ 25cdc: d1d5.*
+ 25cdd: d025.*
+ 25cde: d125.*
+ 25cdf: d065.*
+ 25ce0: d165.*
+ 25ce1: d0a5.*
+ 25ce2: d1a5.*
+ 25ce3: d0e5.*
+ 25ce4: d1e5.*
+ 25ce5: d035.*
+ 25ce6: d135.*
+ 25ce7: d075.*
+ 25ce8: d175.*
+ 25ce9: d0b5.*
+ 25cea: d1b5.*
+ 25ceb: d0f5.*
+ 25cec: d1f5.*
+ 25ced: d009.*
+ 25cee: d109.*
+ 25cef: d049.*
+ 25cf0: d149.*
+ 25cf1: d089.*
+ 25cf2: d189.*
+ 25cf3: d0c9.*
+ 25cf4: d1c9.*
+ 25cf5: d019.*
+ 25cf6: d119.*
+ 25cf7: d059.*
+ 25cf8: d159.*
+ 25cf9: d099.*
+ 25cfa: d199.*
+ 25cfb: d0d9.*
+ 25cfc: d1d9.*
+ 25cfd: d029.*
+ 25cfe: d129.*
+ 25cff: d069.*
+ 25d00: d169.*
+ 25d01: d0a9.*
+ 25d02: d1a9.*
+ 25d03: d0e9.*
+ 25d04: d1e9.*
+ 25d05: d039.*
+ 25d06: d139.*
+ 25d07: d079.*
+ 25d08: d179.*
+ 25d09: d0b9.*
+ 25d0a: d1b9.*
+ 25d0b: d0f9.*
+ 25d0c: d1f9.*
+ 25d0d: d00d.*
+ 25d0e: d10d.*
+ 25d0f: d04d.*
+ 25d10: d14d.*
+ 25d11: d08d.*
+ 25d12: d18d.*
+ 25d13: d0cd.*
+ 25d14: d1cd.*
+ 25d15: d01d.*
+ 25d16: d11d.*
+ 25d17: d05d.*
+ 25d18: d15d.*
+ 25d19: d09d.*
+ 25d1a: d19d.*
+ 25d1b: d0dd.*
+ 25d1c: d1dd.*
+ 25d1d: d02d.*
+ 25d1e: d12d.*
+ 25d1f: d06d.*
+ 25d20: d16d.*
+ 25d21: d0ad.*
+ 25d22: d1ad.*
+ 25d23: d0ed.*
+ 25d24: d1ed.*
+ 25d25: d03d.*
+ 25d26: d13d.*
+ 25d27: d07d.*
+ 25d28: d17d.*
+ 25d29: d0bd.*
+ 25d2a: d1bd.*
+ 25d2b: d0fd.*
+ 25d2c: d1fd.*
+ 25d2d: d002.*
+ 25d2e: d102.*
+ 25d2f: d042.*
+ 25d30: d142.*
+ 25d31: d082.*
+ 25d32: d182.*
+ 25d33: d0c2.*
+ 25d34: d1c2.*
+ 25d35: d012.*
+ 25d36: d112.*
+ 25d37: d052.*
+ 25d38: d152.*
+ 25d39: d092.*
+ 25d3a: d192.*
+ 25d3b: d0d2.*
+ 25d3c: d1d2.*
+ 25d3d: d022.*
+ 25d3e: d122.*
+ 25d3f: d062.*
+ 25d40: d162.*
+ 25d41: d0a2.*
+ 25d42: d1a2.*
+ 25d43: d0e2.*
+ 25d44: d1e2.*
+ 25d45: d032.*
+ 25d46: d132.*
+ 25d47: d072.*
+ 25d48: d172.*
+ 25d49: d0b2.*
+ 25d4a: d1b2.*
+ 25d4b: d0f2.*
+ 25d4c: d1f2.*
+ 25d4d: d006.*
+ 25d4e: d106.*
+ 25d4f: d046.*
+ 25d50: d146.*
+ 25d51: d086.*
+ 25d52: d186.*
+ 25d53: d0c6.*
+ 25d54: d1c6.*
+ 25d55: d016.*
+ 25d56: d116.*
+ 25d57: d056.*
+ 25d58: d156.*
+ 25d59: d096.*
+ 25d5a: d196.*
+ 25d5b: d0d6.*
+ 25d5c: d1d6.*
+ 25d5d: d026.*
+ 25d5e: d126.*
+ 25d5f: d066.*
+ 25d60: d166.*
+ 25d61: d0a6.*
+ 25d62: d1a6.*
+ 25d63: d0e6.*
+ 25d64: d1e6.*
+ 25d65: d036.*
+ 25d66: d136.*
+ 25d67: d076.*
+ 25d68: d176.*
+ 25d69: d0b6.*
+ 25d6a: d1b6.*
+ 25d6b: d0f6.*
+ 25d6c: d1f6.*
+ 25d6d: d00a.*
+ 25d6e: d10a.*
+ 25d6f: d04a.*
+ 25d70: d14a.*
+ 25d71: d08a.*
+ 25d72: d18a.*
+ 25d73: d0ca.*
+ 25d74: d1ca.*
+ 25d75: d01a.*
+ 25d76: d11a.*
+ 25d77: d05a.*
+ 25d78: d15a.*
+ 25d79: d09a.*
+ 25d7a: d19a.*
+ 25d7b: d0da.*
+ 25d7c: d1da.*
+ 25d7d: d02a.*
+ 25d7e: d12a.*
+ 25d7f: d06a.*
+ 25d80: d16a.*
+ 25d81: d0aa.*
+ 25d82: d1aa.*
+ 25d83: d0ea.*
+ 25d84: d1ea.*
+ 25d85: d03a.*
+ 25d86: d13a.*
+ 25d87: d07a.*
+ 25d88: d17a.*
+ 25d89: d0ba.*
+ 25d8a: d1ba.*
+ 25d8b: d0fa.*
+ 25d8c: d1fa.*
+ 25d8d: d00e.*
+ 25d8e: d10e.*
+ 25d8f: d04e.*
+ 25d90: d14e.*
+ 25d91: d08e.*
+ 25d92: d18e.*
+ 25d93: d0ce.*
+ 25d94: d1ce.*
+ 25d95: d01e.*
+ 25d96: d11e.*
+ 25d97: d05e.*
+ 25d98: d15e.*
+ 25d99: d09e.*
+ 25d9a: d19e.*
+ 25d9b: d0de.*
+ 25d9c: d1de.*
+ 25d9d: d02e.*
+ 25d9e: d12e.*
+ 25d9f: d06e.*
+ 25da0: d16e.*
+ 25da1: d0ae.*
+ 25da2: d1ae.*
+ 25da3: d0ee.*
+ 25da4: d1ee.*
+ 25da5: d03e.*
+ 25da6: d13e.*
+ 25da7: d07e.*
+ 25da8: d17e.*
+ 25da9: d0be.*
+ 25daa: d1be.*
+ 25dab: d0fe.*
+ 25dac: d1fe.*
+ 25dad: d003.*
+ 25dae: d103.*
+ 25daf: d043.*
+ 25db0: d143.*
+ 25db1: d083.*
+ 25db2: d183.*
+ 25db3: d0c3.*
+ 25db4: d1c3.*
+ 25db5: d013.*
+ 25db6: d113.*
+ 25db7: d053.*
+ 25db8: d153.*
+ 25db9: d093.*
+ 25dba: d193.*
+ 25dbb: d0d3.*
+ 25dbc: d1d3.*
+ 25dbd: d023.*
+ 25dbe: d123.*
+ 25dbf: d063.*
+ 25dc0: d163.*
+ 25dc1: d0a3.*
+ 25dc2: d1a3.*
+ 25dc3: d0e3.*
+ 25dc4: d1e3.*
+ 25dc5: d033.*
+ 25dc6: d133.*
+ 25dc7: d073.*
+ 25dc8: d173.*
+ 25dc9: d0b3.*
+ 25dca: d1b3.*
+ 25dcb: d0f3.*
+ 25dcc: d1f3.*
+ 25dcd: d007.*
+ 25dce: d107.*
+ 25dcf: d047.*
+ 25dd0: d147.*
+ 25dd1: d087.*
+ 25dd2: d187.*
+ 25dd3: d0c7.*
+ 25dd4: d1c7.*
+ 25dd5: d017.*
+ 25dd6: d117.*
+ 25dd7: d057.*
+ 25dd8: d157.*
+ 25dd9: d097.*
+ 25dda: d197.*
+ 25ddb: d0d7.*
+ 25ddc: d1d7.*
+ 25ddd: d027.*
+ 25dde: d127.*
+ 25ddf: d067.*
+ 25de0: d167.*
+ 25de1: d0a7.*
+ 25de2: d1a7.*
+ 25de3: d0e7.*
+ 25de4: d1e7.*
+ 25de5: d037.*
+ 25de6: d137.*
+ 25de7: d077.*
+ 25de8: d177.*
+ 25de9: d0b7.*
+ 25dea: d1b7.*
+ 25deb: d0f7.*
+ 25dec: d1f7.*
+ 25ded: d00b.*
+ 25dee: d10b.*
+ 25def: d04b.*
+ 25df0: d14b.*
+ 25df1: d08b.*
+ 25df2: d18b.*
+ 25df3: d0cb.*
+ 25df4: d1cb.*
+ 25df5: d01b.*
+ 25df6: d11b.*
+ 25df7: d05b.*
+ 25df8: d15b.*
+ 25df9: d09b.*
+ 25dfa: d19b.*
+ 25dfb: d0db.*
+ 25dfc: d1db.*
+ 25dfd: d02b.*
+ 25dfe: d12b.*
+ 25dff: d06b.*
+ 25e00: d16b.*
+ 25e01: d0ab.*
+ 25e02: d1ab.*
+ 25e03: d0eb.*
+ 25e04: d1eb.*
+ 25e05: d03b.*
+ 25e06: d13b.*
+ 25e07: d07b.*
+ 25e08: d17b.*
+ 25e09: d0bb.*
+ 25e0a: d1bb.*
+ 25e0b: d0fb.*
+ 25e0c: d1fb.*
+ 25e0d: d00f.*
+ 25e0e: d10f.*
+ 25e0f: d04f.*
+ 25e10: d14f.*
+ 25e11: d08f.*
+ 25e12: d18f.*
+ 25e13: d0cf.*
+ 25e14: d1cf.*
+ 25e15: d01f.*
+ 25e16: d11f.*
+ 25e17: d05f.*
+ 25e18: d15f.*
+ 25e19: d09f.*
+ 25e1a: d19f.*
+ 25e1b: d0df.*
+ 25e1c: d1df.*
+ 25e1d: d02f.*
+ 25e1e: d12f.*
+ 25e1f: d06f.*
+ 25e20: d16f.*
+ 25e21: d0af.*
+ 25e22: d1af.*
+ 25e23: d0ef.*
+ 25e24: d1ef.*
+ 25e25: d03f.*
+ 25e26: d13f.*
+ 25e27: d07f.*
+ 25e28: d17f.*
+ 25e29: d0bf.*
+ 25e2a: d1bf.*
+ 25e2b: d0ff.*
+ 25e2c: d1ff.*
+ 25e2d: d200.*
+ 25e2e: d300.*
+ 25e2f: d240.*
+ 25e30: d340.*
+ 25e31: d280.*
+ 25e32: d380.*
+ 25e33: d2c0.*
+ 25e34: d3c0.*
+ 25e35: d210.*
+ 25e36: d310.*
+ 25e37: d250.*
+ 25e38: d350.*
+ 25e39: d290.*
+ 25e3a: d390.*
+ 25e3b: d2d0.*
+ 25e3c: d3d0.*
+ 25e3d: d220.*
+ 25e3e: d320.*
+ 25e3f: d260.*
+ 25e40: d360.*
+ 25e41: d2a0.*
+ 25e42: d3a0.*
+ 25e43: d2e0.*
+ 25e44: d3e0.*
+ 25e45: d230.*
+ 25e46: d330.*
+ 25e47: d270.*
+ 25e48: d370.*
+ 25e49: d2b0.*
+ 25e4a: d3b0.*
+ 25e4b: d2f0.*
+ 25e4c: d3f0.*
+ 25e4d: d204.*
+ 25e4e: d304.*
+ 25e4f: d244.*
+ 25e50: d344.*
+ 25e51: d284.*
+ 25e52: d384.*
+ 25e53: d2c4.*
+ 25e54: d3c4.*
+ 25e55: d214.*
+ 25e56: d314.*
+ 25e57: d254.*
+ 25e58: d354.*
+ 25e59: d294.*
+ 25e5a: d394.*
+ 25e5b: d2d4.*
+ 25e5c: d3d4.*
+ 25e5d: d224.*
+ 25e5e: d324.*
+ 25e5f: d264.*
+ 25e60: d364.*
+ 25e61: d2a4.*
+ 25e62: d3a4.*
+ 25e63: d2e4.*
+ 25e64: d3e4.*
+ 25e65: d234.*
+ 25e66: d334.*
+ 25e67: d274.*
+ 25e68: d374.*
+ 25e69: d2b4.*
+ 25e6a: d3b4.*
+ 25e6b: d2f4.*
+ 25e6c: d3f4.*
+ 25e6d: d208.*
+ 25e6e: d308.*
+ 25e6f: d248.*
+ 25e70: d348.*
+ 25e71: d288.*
+ 25e72: d388.*
+ 25e73: d2c8.*
+ 25e74: d3c8.*
+ 25e75: d218.*
+ 25e76: d318.*
+ 25e77: d258.*
+ 25e78: d358.*
+ 25e79: d298.*
+ 25e7a: d398.*
+ 25e7b: d2d8.*
+ 25e7c: d3d8.*
+ 25e7d: d228.*
+ 25e7e: d328.*
+ 25e7f: d268.*
+ 25e80: d368.*
+ 25e81: d2a8.*
+ 25e82: d3a8.*
+ 25e83: d2e8.*
+ 25e84: d3e8.*
+ 25e85: d238.*
+ 25e86: d338.*
+ 25e87: d278.*
+ 25e88: d378.*
+ 25e89: d2b8.*
+ 25e8a: d3b8.*
+ 25e8b: d2f8.*
+ 25e8c: d3f8.*
+ 25e8d: d20c.*
+ 25e8e: d30c.*
+ 25e8f: d24c.*
+ 25e90: d34c.*
+ 25e91: d28c.*
+ 25e92: d38c.*
+ 25e93: d2cc.*
+ 25e94: d3cc.*
+ 25e95: d21c.*
+ 25e96: d31c.*
+ 25e97: d25c.*
+ 25e98: d35c.*
+ 25e99: d29c.*
+ 25e9a: d39c.*
+ 25e9b: d2dc.*
+ 25e9c: d3dc.*
+ 25e9d: d22c.*
+ 25e9e: d32c.*
+ 25e9f: d26c.*
+ 25ea0: d36c.*
+ 25ea1: d2ac.*
+ 25ea2: d3ac.*
+ 25ea3: d2ec.*
+ 25ea4: d3ec.*
+ 25ea5: d23c.*
+ 25ea6: d33c.*
+ 25ea7: d27c.*
+ 25ea8: d37c.*
+ 25ea9: d2bc.*
+ 25eaa: d3bc.*
+ 25eab: d2fc.*
+ 25eac: d3fc.*
+ 25ead: d201.*
+ 25eae: d301.*
+ 25eaf: d241.*
+ 25eb0: d341.*
+ 25eb1: d281.*
+ 25eb2: d381.*
+ 25eb3: d2c1.*
+ 25eb4: d3c1.*
+ 25eb5: d211.*
+ 25eb6: d311.*
+ 25eb7: d251.*
+ 25eb8: d351.*
+ 25eb9: d291.*
+ 25eba: d391.*
+ 25ebb: d2d1.*
+ 25ebc: d3d1.*
+ 25ebd: d221.*
+ 25ebe: d321.*
+ 25ebf: d261.*
+ 25ec0: d361.*
+ 25ec1: d2a1.*
+ 25ec2: d3a1.*
+ 25ec3: d2e1.*
+ 25ec4: d3e1.*
+ 25ec5: d231.*
+ 25ec6: d331.*
+ 25ec7: d271.*
+ 25ec8: d371.*
+ 25ec9: d2b1.*
+ 25eca: d3b1.*
+ 25ecb: d2f1.*
+ 25ecc: d3f1.*
+ 25ecd: d205.*
+ 25ece: d305.*
+ 25ecf: d245.*
+ 25ed0: d345.*
+ 25ed1: d285.*
+ 25ed2: d385.*
+ 25ed3: d2c5.*
+ 25ed4: d3c5.*
+ 25ed5: d215.*
+ 25ed6: d315.*
+ 25ed7: d255.*
+ 25ed8: d355.*
+ 25ed9: d295.*
+ 25eda: d395.*
+ 25edb: d2d5.*
+ 25edc: d3d5.*
+ 25edd: d225.*
+ 25ede: d325.*
+ 25edf: d265.*
+ 25ee0: d365.*
+ 25ee1: d2a5.*
+ 25ee2: d3a5.*
+ 25ee3: d2e5.*
+ 25ee4: d3e5.*
+ 25ee5: d235.*
+ 25ee6: d335.*
+ 25ee7: d275.*
+ 25ee8: d375.*
+ 25ee9: d2b5.*
+ 25eea: d3b5.*
+ 25eeb: d2f5.*
+ 25eec: d3f5.*
+ 25eed: d209.*
+ 25eee: d309.*
+ 25eef: d249.*
+ 25ef0: d349.*
+ 25ef1: d289.*
+ 25ef2: d389.*
+ 25ef3: d2c9.*
+ 25ef4: d3c9.*
+ 25ef5: d219.*
+ 25ef6: d319.*
+ 25ef7: d259.*
+ 25ef8: d359.*
+ 25ef9: d299.*
+ 25efa: d399.*
+ 25efb: d2d9.*
+ 25efc: d3d9.*
+ 25efd: d229.*
+ 25efe: d329.*
+ 25eff: d269.*
+ 25f00: d369.*
+ 25f01: d2a9.*
+ 25f02: d3a9.*
+ 25f03: d2e9.*
+ 25f04: d3e9.*
+ 25f05: d239.*
+ 25f06: d339.*
+ 25f07: d279.*
+ 25f08: d379.*
+ 25f09: d2b9.*
+ 25f0a: d3b9.*
+ 25f0b: d2f9.*
+ 25f0c: d3f9.*
+ 25f0d: d20d.*
+ 25f0e: d30d.*
+ 25f0f: d24d.*
+ 25f10: d34d.*
+ 25f11: d28d.*
+ 25f12: d38d.*
+ 25f13: d2cd.*
+ 25f14: d3cd.*
+ 25f15: d21d.*
+ 25f16: d31d.*
+ 25f17: d25d.*
+ 25f18: d35d.*
+ 25f19: d29d.*
+ 25f1a: d39d.*
+ 25f1b: d2dd.*
+ 25f1c: d3dd.*
+ 25f1d: d22d.*
+ 25f1e: d32d.*
+ 25f1f: d26d.*
+ 25f20: d36d.*
+ 25f21: d2ad.*
+ 25f22: d3ad.*
+ 25f23: d2ed.*
+ 25f24: d3ed.*
+ 25f25: d23d.*
+ 25f26: d33d.*
+ 25f27: d27d.*
+ 25f28: d37d.*
+ 25f29: d2bd.*
+ 25f2a: d3bd.*
+ 25f2b: d2fd.*
+ 25f2c: d3fd.*
+ 25f2d: d202.*
+ 25f2e: d302.*
+ 25f2f: d242.*
+ 25f30: d342.*
+ 25f31: d282.*
+ 25f32: d382.*
+ 25f33: d2c2.*
+ 25f34: d3c2.*
+ 25f35: d212.*
+ 25f36: d312.*
+ 25f37: d252.*
+ 25f38: d352.*
+ 25f39: d292.*
+ 25f3a: d392.*
+ 25f3b: d2d2.*
+ 25f3c: d3d2.*
+ 25f3d: d222.*
+ 25f3e: d322.*
+ 25f3f: d262.*
+ 25f40: d362.*
+ 25f41: d2a2.*
+ 25f42: d3a2.*
+ 25f43: d2e2.*
+ 25f44: d3e2.*
+ 25f45: d232.*
+ 25f46: d332.*
+ 25f47: d272.*
+ 25f48: d372.*
+ 25f49: d2b2.*
+ 25f4a: d3b2.*
+ 25f4b: d2f2.*
+ 25f4c: d3f2.*
+ 25f4d: d206.*
+ 25f4e: d306.*
+ 25f4f: d246.*
+ 25f50: d346.*
+ 25f51: d286.*
+ 25f52: d386.*
+ 25f53: d2c6.*
+ 25f54: d3c6.*
+ 25f55: d216.*
+ 25f56: d316.*
+ 25f57: d256.*
+ 25f58: d356.*
+ 25f59: d296.*
+ 25f5a: d396.*
+ 25f5b: d2d6.*
+ 25f5c: d3d6.*
+ 25f5d: d226.*
+ 25f5e: d326.*
+ 25f5f: d266.*
+ 25f60: d366.*
+ 25f61: d2a6.*
+ 25f62: d3a6.*
+ 25f63: d2e6.*
+ 25f64: d3e6.*
+ 25f65: d236.*
+ 25f66: d336.*
+ 25f67: d276.*
+ 25f68: d376.*
+ 25f69: d2b6.*
+ 25f6a: d3b6.*
+ 25f6b: d2f6.*
+ 25f6c: d3f6.*
+ 25f6d: d20a.*
+ 25f6e: d30a.*
+ 25f6f: d24a.*
+ 25f70: d34a.*
+ 25f71: d28a.*
+ 25f72: d38a.*
+ 25f73: d2ca.*
+ 25f74: d3ca.*
+ 25f75: d21a.*
+ 25f76: d31a.*
+ 25f77: d25a.*
+ 25f78: d35a.*
+ 25f79: d29a.*
+ 25f7a: d39a.*
+ 25f7b: d2da.*
+ 25f7c: d3da.*
+ 25f7d: d22a.*
+ 25f7e: d32a.*
+ 25f7f: d26a.*
+ 25f80: d36a.*
+ 25f81: d2aa.*
+ 25f82: d3aa.*
+ 25f83: d2ea.*
+ 25f84: d3ea.*
+ 25f85: d23a.*
+ 25f86: d33a.*
+ 25f87: d27a.*
+ 25f88: d37a.*
+ 25f89: d2ba.*
+ 25f8a: d3ba.*
+ 25f8b: d2fa.*
+ 25f8c: d3fa.*
+ 25f8d: d20e.*
+ 25f8e: d30e.*
+ 25f8f: d24e.*
+ 25f90: d34e.*
+ 25f91: d28e.*
+ 25f92: d38e.*
+ 25f93: d2ce.*
+ 25f94: d3ce.*
+ 25f95: d21e.*
+ 25f96: d31e.*
+ 25f97: d25e.*
+ 25f98: d35e.*
+ 25f99: d29e.*
+ 25f9a: d39e.*
+ 25f9b: d2de.*
+ 25f9c: d3de.*
+ 25f9d: d22e.*
+ 25f9e: d32e.*
+ 25f9f: d26e.*
+ 25fa0: d36e.*
+ 25fa1: d2ae.*
+ 25fa2: d3ae.*
+ 25fa3: d2ee.*
+ 25fa4: d3ee.*
+ 25fa5: d23e.*
+ 25fa6: d33e.*
+ 25fa7: d27e.*
+ 25fa8: d37e.*
+ 25fa9: d2be.*
+ 25faa: d3be.*
+ 25fab: d2fe.*
+ 25fac: d3fe.*
+ 25fad: d203.*
+ 25fae: d303.*
+ 25faf: d243.*
+ 25fb0: d343.*
+ 25fb1: d283.*
+ 25fb2: d383.*
+ 25fb3: d2c3.*
+ 25fb4: d3c3.*
+ 25fb5: d213.*
+ 25fb6: d313.*
+ 25fb7: d253.*
+ 25fb8: d353.*
+ 25fb9: d293.*
+ 25fba: d393.*
+ 25fbb: d2d3.*
+ 25fbc: d3d3.*
+ 25fbd: d223.*
+ 25fbe: d323.*
+ 25fbf: d263.*
+ 25fc0: d363.*
+ 25fc1: d2a3.*
+ 25fc2: d3a3.*
+ 25fc3: d2e3.*
+ 25fc4: d3e3.*
+ 25fc5: d233.*
+ 25fc6: d333.*
+ 25fc7: d273.*
+ 25fc8: d373.*
+ 25fc9: d2b3.*
+ 25fca: d3b3.*
+ 25fcb: d2f3.*
+ 25fcc: d3f3.*
+ 25fcd: d207.*
+ 25fce: d307.*
+ 25fcf: d247.*
+ 25fd0: d347.*
+ 25fd1: d287.*
+ 25fd2: d387.*
+ 25fd3: d2c7.*
+ 25fd4: d3c7.*
+ 25fd5: d217.*
+ 25fd6: d317.*
+ 25fd7: d257.*
+ 25fd8: d357.*
+ 25fd9: d297.*
+ 25fda: d397.*
+ 25fdb: d2d7.*
+ 25fdc: d3d7.*
+ 25fdd: d227.*
+ 25fde: d327.*
+ 25fdf: d267.*
+ 25fe0: d367.*
+ 25fe1: d2a7.*
+ 25fe2: d3a7.*
+ 25fe3: d2e7.*
+ 25fe4: d3e7.*
+ 25fe5: d237.*
+ 25fe6: d337.*
+ 25fe7: d277.*
+ 25fe8: d377.*
+ 25fe9: d2b7.*
+ 25fea: d3b7.*
+ 25feb: d2f7.*
+ 25fec: d3f7.*
+ 25fed: d20b.*
+ 25fee: d30b.*
+ 25fef: d24b.*
+ 25ff0: d34b.*
+ 25ff1: d28b.*
+ 25ff2: d38b.*
+ 25ff3: d2cb.*
+ 25ff4: d3cb.*
+ 25ff5: d21b.*
+ 25ff6: d31b.*
+ 25ff7: d25b.*
+ 25ff8: d35b.*
+ 25ff9: d29b.*
+ 25ffa: d39b.*
+ 25ffb: d2db.*
+ 25ffc: d3db.*
+ 25ffd: d22b.*
+ 25ffe: d32b.*
+ 25fff: d26b.*
+ 26000: d36b.*
+ 26001: d2ab.*
+ 26002: d3ab.*
+ 26003: d2eb.*
+ 26004: d3eb.*
+ 26005: d23b.*
+ 26006: d33b.*
+ 26007: d27b.*
+ 26008: d37b.*
+ 26009: d2bb.*
+ 2600a: d3bb.*
+ 2600b: d2fb.*
+ 2600c: d3fb.*
+ 2600d: d20f.*
+ 2600e: d30f.*
+ 2600f: d24f.*
+ 26010: d34f.*
+ 26011: d28f.*
+ 26012: d38f.*
+ 26013: d2cf.*
+ 26014: d3cf.*
+ 26015: d21f.*
+ 26016: d31f.*
+ 26017: d25f.*
+ 26018: d35f.*
+ 26019: d29f.*
+ 2601a: d39f.*
+ 2601b: d2df.*
+ 2601c: d3df.*
+ 2601d: d22f.*
+ 2601e: d32f.*
+ 2601f: d26f.*
+ 26020: d36f.*
+ 26021: d2af.*
+ 26022: d3af.*
+ 26023: d2ef.*
+ 26024: d3ef.*
+ 26025: d23f.*
+ 26026: d33f.*
+ 26027: d27f.*
+ 26028: d37f.*
+ 26029: d2bf.*
+ 2602a: d3bf.*
+ 2602b: d2ff.*
+ 2602c: d3ff.*
+ 2602d: d400.*
+ 2602e: d500.*
+ 2602f: d440.*
+ 26030: d540.*
+ 26031: d480.*
+ 26032: d580.*
+ 26033: d4c0.*
+ 26034: d5c0.*
+ 26035: d410.*
+ 26036: d510.*
+ 26037: d450.*
+ 26038: d550.*
+ 26039: d490.*
+ 2603a: d590.*
+ 2603b: d4d0.*
+ 2603c: d5d0.*
+ 2603d: d420.*
+ 2603e: d520.*
+ 2603f: d460.*
+ 26040: d560.*
+ 26041: d4a0.*
+ 26042: d5a0.*
+ 26043: d4e0.*
+ 26044: d5e0.*
+ 26045: d430.*
+ 26046: d530.*
+ 26047: d470.*
+ 26048: d570.*
+ 26049: d4b0.*
+ 2604a: d5b0.*
+ 2604b: d4f0.*
+ 2604c: d5f0.*
+ 2604d: d404.*
+ 2604e: d504.*
+ 2604f: d444.*
+ 26050: d544.*
+ 26051: d484.*
+ 26052: d584.*
+ 26053: d4c4.*
+ 26054: d5c4.*
+ 26055: d414.*
+ 26056: d514.*
+ 26057: d454.*
+ 26058: d554.*
+ 26059: d494.*
+ 2605a: d594.*
+ 2605b: d4d4.*
+ 2605c: d5d4.*
+ 2605d: d424.*
+ 2605e: d524.*
+ 2605f: d464.*
+ 26060: d564.*
+ 26061: d4a4.*
+ 26062: d5a4.*
+ 26063: d4e4.*
+ 26064: d5e4.*
+ 26065: d434.*
+ 26066: d534.*
+ 26067: d474.*
+ 26068: d574.*
+ 26069: d4b4.*
+ 2606a: d5b4.*
+ 2606b: d4f4.*
+ 2606c: d5f4.*
+ 2606d: d408.*
+ 2606e: d508.*
+ 2606f: d448.*
+ 26070: d548.*
+ 26071: d488.*
+ 26072: d588.*
+ 26073: d4c8.*
+ 26074: d5c8.*
+ 26075: d418.*
+ 26076: d518.*
+ 26077: d458.*
+ 26078: d558.*
+ 26079: d498.*
+ 2607a: d598.*
+ 2607b: d4d8.*
+ 2607c: d5d8.*
+ 2607d: d428.*
+ 2607e: d528.*
+ 2607f: d468.*
+ 26080: d568.*
+ 26081: d4a8.*
+ 26082: d5a8.*
+ 26083: d4e8.*
+ 26084: d5e8.*
+ 26085: d438.*
+ 26086: d538.*
+ 26087: d478.*
+ 26088: d578.*
+ 26089: d4b8.*
+ 2608a: d5b8.*
+ 2608b: d4f8.*
+ 2608c: d5f8.*
+ 2608d: d40c.*
+ 2608e: d50c.*
+ 2608f: d44c.*
+ 26090: d54c.*
+ 26091: d48c.*
+ 26092: d58c.*
+ 26093: d4cc.*
+ 26094: d5cc.*
+ 26095: d41c.*
+ 26096: d51c.*
+ 26097: d45c.*
+ 26098: d55c.*
+ 26099: d49c.*
+ 2609a: d59c.*
+ 2609b: d4dc.*
+ 2609c: d5dc.*
+ 2609d: d42c.*
+ 2609e: d52c.*
+ 2609f: d46c.*
+ 260a0: d56c.*
+ 260a1: d4ac.*
+ 260a2: d5ac.*
+ 260a3: d4ec.*
+ 260a4: d5ec.*
+ 260a5: d43c.*
+ 260a6: d53c.*
+ 260a7: d47c.*
+ 260a8: d57c.*
+ 260a9: d4bc.*
+ 260aa: d5bc.*
+ 260ab: d4fc.*
+ 260ac: d5fc.*
+ 260ad: d401.*
+ 260ae: d501.*
+ 260af: d441.*
+ 260b0: d541.*
+ 260b1: d481.*
+ 260b2: d581.*
+ 260b3: d4c1.*
+ 260b4: d5c1.*
+ 260b5: d411.*
+ 260b6: d511.*
+ 260b7: d451.*
+ 260b8: d551.*
+ 260b9: d491.*
+ 260ba: d591.*
+ 260bb: d4d1.*
+ 260bc: d5d1.*
+ 260bd: d421.*
+ 260be: d521.*
+ 260bf: d461.*
+ 260c0: d561.*
+ 260c1: d4a1.*
+ 260c2: d5a1.*
+ 260c3: d4e1.*
+ 260c4: d5e1.*
+ 260c5: d431.*
+ 260c6: d531.*
+ 260c7: d471.*
+ 260c8: d571.*
+ 260c9: d4b1.*
+ 260ca: d5b1.*
+ 260cb: d4f1.*
+ 260cc: d5f1.*
+ 260cd: d405.*
+ 260ce: d505.*
+ 260cf: d445.*
+ 260d0: d545.*
+ 260d1: d485.*
+ 260d2: d585.*
+ 260d3: d4c5.*
+ 260d4: d5c5.*
+ 260d5: d415.*
+ 260d6: d515.*
+ 260d7: d455.*
+ 260d8: d555.*
+ 260d9: d495.*
+ 260da: d595.*
+ 260db: d4d5.*
+ 260dc: d5d5.*
+ 260dd: d425.*
+ 260de: d525.*
+ 260df: d465.*
+ 260e0: d565.*
+ 260e1: d4a5.*
+ 260e2: d5a5.*
+ 260e3: d4e5.*
+ 260e4: d5e5.*
+ 260e5: d435.*
+ 260e6: d535.*
+ 260e7: d475.*
+ 260e8: d575.*
+ 260e9: d4b5.*
+ 260ea: d5b5.*
+ 260eb: d4f5.*
+ 260ec: d5f5.*
+ 260ed: d409.*
+ 260ee: d509.*
+ 260ef: d449.*
+ 260f0: d549.*
+ 260f1: d489.*
+ 260f2: d589.*
+ 260f3: d4c9.*
+ 260f4: d5c9.*
+ 260f5: d419.*
+ 260f6: d519.*
+ 260f7: d459.*
+ 260f8: d559.*
+ 260f9: d499.*
+ 260fa: d599.*
+ 260fb: d4d9.*
+ 260fc: d5d9.*
+ 260fd: d429.*
+ 260fe: d529.*
+ 260ff: d469.*
+ 26100: d569.*
+ 26101: d4a9.*
+ 26102: d5a9.*
+ 26103: d4e9.*
+ 26104: d5e9.*
+ 26105: d439.*
+ 26106: d539.*
+ 26107: d479.*
+ 26108: d579.*
+ 26109: d4b9.*
+ 2610a: d5b9.*
+ 2610b: d4f9.*
+ 2610c: d5f9.*
+ 2610d: d40d.*
+ 2610e: d50d.*
+ 2610f: d44d.*
+ 26110: d54d.*
+ 26111: d48d.*
+ 26112: d58d.*
+ 26113: d4cd.*
+ 26114: d5cd.*
+ 26115: d41d.*
+ 26116: d51d.*
+ 26117: d45d.*
+ 26118: d55d.*
+ 26119: d49d.*
+ 2611a: d59d.*
+ 2611b: d4dd.*
+ 2611c: d5dd.*
+ 2611d: d42d.*
+ 2611e: d52d.*
+ 2611f: d46d.*
+ 26120: d56d.*
+ 26121: d4ad.*
+ 26122: d5ad.*
+ 26123: d4ed.*
+ 26124: d5ed.*
+ 26125: d43d.*
+ 26126: d53d.*
+ 26127: d47d.*
+ 26128: d57d.*
+ 26129: d4bd.*
+ 2612a: d5bd.*
+ 2612b: d4fd.*
+ 2612c: d5fd.*
+ 2612d: d402.*
+ 2612e: d502.*
+ 2612f: d442.*
+ 26130: d542.*
+ 26131: d482.*
+ 26132: d582.*
+ 26133: d4c2.*
+ 26134: d5c2.*
+ 26135: d412.*
+ 26136: d512.*
+ 26137: d452.*
+ 26138: d552.*
+ 26139: d492.*
+ 2613a: d592.*
+ 2613b: d4d2.*
+ 2613c: d5d2.*
+ 2613d: d422.*
+ 2613e: d522.*
+ 2613f: d462.*
+ 26140: d562.*
+ 26141: d4a2.*
+ 26142: d5a2.*
+ 26143: d4e2.*
+ 26144: d5e2.*
+ 26145: d432.*
+ 26146: d532.*
+ 26147: d472.*
+ 26148: d572.*
+ 26149: d4b2.*
+ 2614a: d5b2.*
+ 2614b: d4f2.*
+ 2614c: d5f2.*
+ 2614d: d406.*
+ 2614e: d506.*
+ 2614f: d446.*
+ 26150: d546.*
+ 26151: d486.*
+ 26152: d586.*
+ 26153: d4c6.*
+ 26154: d5c6.*
+ 26155: d416.*
+ 26156: d516.*
+ 26157: d456.*
+ 26158: d556.*
+ 26159: d496.*
+ 2615a: d596.*
+ 2615b: d4d6.*
+ 2615c: d5d6.*
+ 2615d: d426.*
+ 2615e: d526.*
+ 2615f: d466.*
+ 26160: d566.*
+ 26161: d4a6.*
+ 26162: d5a6.*
+ 26163: d4e6.*
+ 26164: d5e6.*
+ 26165: d436.*
+ 26166: d536.*
+ 26167: d476.*
+ 26168: d576.*
+ 26169: d4b6.*
+ 2616a: d5b6.*
+ 2616b: d4f6.*
+ 2616c: d5f6.*
+ 2616d: d40a.*
+ 2616e: d50a.*
+ 2616f: d44a.*
+ 26170: d54a.*
+ 26171: d48a.*
+ 26172: d58a.*
+ 26173: d4ca.*
+ 26174: d5ca.*
+ 26175: d41a.*
+ 26176: d51a.*
+ 26177: d45a.*
+ 26178: d55a.*
+ 26179: d49a.*
+ 2617a: d59a.*
+ 2617b: d4da.*
+ 2617c: d5da.*
+ 2617d: d42a.*
+ 2617e: d52a.*
+ 2617f: d46a.*
+ 26180: d56a.*
+ 26181: d4aa.*
+ 26182: d5aa.*
+ 26183: d4ea.*
+ 26184: d5ea.*
+ 26185: d43a.*
+ 26186: d53a.*
+ 26187: d47a.*
+ 26188: d57a.*
+ 26189: d4ba.*
+ 2618a: d5ba.*
+ 2618b: d4fa.*
+ 2618c: d5fa.*
+ 2618d: d40e.*
+ 2618e: d50e.*
+ 2618f: d44e.*
+ 26190: d54e.*
+ 26191: d48e.*
+ 26192: d58e.*
+ 26193: d4ce.*
+ 26194: d5ce.*
+ 26195: d41e.*
+ 26196: d51e.*
+ 26197: d45e.*
+ 26198: d55e.*
+ 26199: d49e.*
+ 2619a: d59e.*
+ 2619b: d4de.*
+ 2619c: d5de.*
+ 2619d: d42e.*
+ 2619e: d52e.*
+ 2619f: d46e.*
+ 261a0: d56e.*
+ 261a1: d4ae.*
+ 261a2: d5ae.*
+ 261a3: d4ee.*
+ 261a4: d5ee.*
+ 261a5: d43e.*
+ 261a6: d53e.*
+ 261a7: d47e.*
+ 261a8: d57e.*
+ 261a9: d4be.*
+ 261aa: d5be.*
+ 261ab: d4fe.*
+ 261ac: d5fe.*
+ 261ad: d403.*
+ 261ae: d503.*
+ 261af: d443.*
+ 261b0: d543.*
+ 261b1: d483.*
+ 261b2: d583.*
+ 261b3: d4c3.*
+ 261b4: d5c3.*
+ 261b5: d413.*
+ 261b6: d513.*
+ 261b7: d453.*
+ 261b8: d553.*
+ 261b9: d493.*
+ 261ba: d593.*
+ 261bb: d4d3.*
+ 261bc: d5d3.*
+ 261bd: d423.*
+ 261be: d523.*
+ 261bf: d463.*
+ 261c0: d563.*
+ 261c1: d4a3.*
+ 261c2: d5a3.*
+ 261c3: d4e3.*
+ 261c4: d5e3.*
+ 261c5: d433.*
+ 261c6: d533.*
+ 261c7: d473.*
+ 261c8: d573.*
+ 261c9: d4b3.*
+ 261ca: d5b3.*
+ 261cb: d4f3.*
+ 261cc: d5f3.*
+ 261cd: d407.*
+ 261ce: d507.*
+ 261cf: d447.*
+ 261d0: d547.*
+ 261d1: d487.*
+ 261d2: d587.*
+ 261d3: d4c7.*
+ 261d4: d5c7.*
+ 261d5: d417.*
+ 261d6: d517.*
+ 261d7: d457.*
+ 261d8: d557.*
+ 261d9: d497.*
+ 261da: d597.*
+ 261db: d4d7.*
+ 261dc: d5d7.*
+ 261dd: d427.*
+ 261de: d527.*
+ 261df: d467.*
+ 261e0: d567.*
+ 261e1: d4a7.*
+ 261e2: d5a7.*
+ 261e3: d4e7.*
+ 261e4: d5e7.*
+ 261e5: d437.*
+ 261e6: d537.*
+ 261e7: d477.*
+ 261e8: d577.*
+ 261e9: d4b7.*
+ 261ea: d5b7.*
+ 261eb: d4f7.*
+ 261ec: d5f7.*
+ 261ed: d40b.*
+ 261ee: d50b.*
+ 261ef: d44b.*
+ 261f0: d54b.*
+ 261f1: d48b.*
+ 261f2: d58b.*
+ 261f3: d4cb.*
+ 261f4: d5cb.*
+ 261f5: d41b.*
+ 261f6: d51b.*
+ 261f7: d45b.*
+ 261f8: d55b.*
+ 261f9: d49b.*
+ 261fa: d59b.*
+ 261fb: d4db.*
+ 261fc: d5db.*
+ 261fd: d42b.*
+ 261fe: d52b.*
+ 261ff: d46b.*
+ 26200: d56b.*
+ 26201: d4ab.*
+ 26202: d5ab.*
+ 26203: d4eb.*
+ 26204: d5eb.*
+ 26205: d43b.*
+ 26206: d53b.*
+ 26207: d47b.*
+ 26208: d57b.*
+ 26209: d4bb.*
+ 2620a: d5bb.*
+ 2620b: d4fb.*
+ 2620c: d5fb.*
+ 2620d: d40f.*
+ 2620e: d50f.*
+ 2620f: d44f.*
+ 26210: d54f.*
+ 26211: d48f.*
+ 26212: d58f.*
+ 26213: d4cf.*
+ 26214: d5cf.*
+ 26215: d41f.*
+ 26216: d51f.*
+ 26217: d45f.*
+ 26218: d55f.*
+ 26219: d49f.*
+ 2621a: d59f.*
+ 2621b: d4df.*
+ 2621c: d5df.*
+ 2621d: d42f.*
+ 2621e: d52f.*
+ 2621f: d46f.*
+ 26220: d56f.*
+ 26221: d4af.*
+ 26222: d5af.*
+ 26223: d4ef.*
+ 26224: d5ef.*
+ 26225: d43f.*
+ 26226: d53f.*
+ 26227: d47f.*
+ 26228: d57f.*
+ 26229: d4bf.*
+ 2622a: d5bf.*
+ 2622b: d4ff.*
+ 2622c: d5ff.*
+ 2622d: d600.*
+ 2622e: d700.*
+ 2622f: d640.*
+ 26230: d740.*
+ 26231: d680.*
+ 26232: d780.*
+ 26233: d6c0.*
+ 26234: d7c0.*
+ 26235: d610.*
+ 26236: d710.*
+ 26237: d650.*
+ 26238: d750.*
+ 26239: d690.*
+ 2623a: d790.*
+ 2623b: d6d0.*
+ 2623c: d7d0.*
+ 2623d: d620.*
+ 2623e: d720.*
+ 2623f: d660.*
+ 26240: d760.*
+ 26241: d6a0.*
+ 26242: d7a0.*
+ 26243: d6e0.*
+ 26244: d7e0.*
+ 26245: d630.*
+ 26246: d730.*
+ 26247: d670.*
+ 26248: d770.*
+ 26249: d6b0.*
+ 2624a: d7b0.*
+ 2624b: d6f0.*
+ 2624c: d7f0.*
+ 2624d: d604.*
+ 2624e: d704.*
+ 2624f: d644.*
+ 26250: d744.*
+ 26251: d684.*
+ 26252: d784.*
+ 26253: d6c4.*
+ 26254: d7c4.*
+ 26255: d614.*
+ 26256: d714.*
+ 26257: d654.*
+ 26258: d754.*
+ 26259: d694.*
+ 2625a: d794.*
+ 2625b: d6d4.*
+ 2625c: d7d4.*
+ 2625d: d624.*
+ 2625e: d724.*
+ 2625f: d664.*
+ 26260: d764.*
+ 26261: d6a4.*
+ 26262: d7a4.*
+ 26263: d6e4.*
+ 26264: d7e4.*
+ 26265: d634.*
+ 26266: d734.*
+ 26267: d674.*
+ 26268: d774.*
+ 26269: d6b4.*
+ 2626a: d7b4.*
+ 2626b: d6f4.*
+ 2626c: d7f4.*
+ 2626d: d608.*
+ 2626e: d708.*
+ 2626f: d648.*
+ 26270: d748.*
+ 26271: d688.*
+ 26272: d788.*
+ 26273: d6c8.*
+ 26274: d7c8.*
+ 26275: d618.*
+ 26276: d718.*
+ 26277: d658.*
+ 26278: d758.*
+ 26279: d698.*
+ 2627a: d798.*
+ 2627b: d6d8.*
+ 2627c: d7d8.*
+ 2627d: d628.*
+ 2627e: d728.*
+ 2627f: d668.*
+ 26280: d768.*
+ 26281: d6a8.*
+ 26282: d7a8.*
+ 26283: d6e8.*
+ 26284: d7e8.*
+ 26285: d638.*
+ 26286: d738.*
+ 26287: d678.*
+ 26288: d778.*
+ 26289: d6b8.*
+ 2628a: d7b8.*
+ 2628b: d6f8.*
+ 2628c: d7f8.*
+ 2628d: d60c.*
+ 2628e: d70c.*
+ 2628f: d64c.*
+ 26290: d74c.*
+ 26291: d68c.*
+ 26292: d78c.*
+ 26293: d6cc.*
+ 26294: d7cc.*
+ 26295: d61c.*
+ 26296: d71c.*
+ 26297: d65c.*
+ 26298: d75c.*
+ 26299: d69c.*
+ 2629a: d79c.*
+ 2629b: d6dc.*
+ 2629c: d7dc.*
+ 2629d: d62c.*
+ 2629e: d72c.*
+ 2629f: d66c.*
+ 262a0: d76c.*
+ 262a1: d6ac.*
+ 262a2: d7ac.*
+ 262a3: d6ec.*
+ 262a4: d7ec.*
+ 262a5: d63c.*
+ 262a6: d73c.*
+ 262a7: d67c.*
+ 262a8: d77c.*
+ 262a9: d6bc.*
+ 262aa: d7bc.*
+ 262ab: d6fc.*
+ 262ac: d7fc.*
+ 262ad: d601.*
+ 262ae: d701.*
+ 262af: d641.*
+ 262b0: d741.*
+ 262b1: d681.*
+ 262b2: d781.*
+ 262b3: d6c1.*
+ 262b4: d7c1.*
+ 262b5: d611.*
+ 262b6: d711.*
+ 262b7: d651.*
+ 262b8: d751.*
+ 262b9: d691.*
+ 262ba: d791.*
+ 262bb: d6d1.*
+ 262bc: d7d1.*
+ 262bd: d621.*
+ 262be: d721.*
+ 262bf: d661.*
+ 262c0: d761.*
+ 262c1: d6a1.*
+ 262c2: d7a1.*
+ 262c3: d6e1.*
+ 262c4: d7e1.*
+ 262c5: d631.*
+ 262c6: d731.*
+ 262c7: d671.*
+ 262c8: d771.*
+ 262c9: d6b1.*
+ 262ca: d7b1.*
+ 262cb: d6f1.*
+ 262cc: d7f1.*
+ 262cd: d605.*
+ 262ce: d705.*
+ 262cf: d645.*
+ 262d0: d745.*
+ 262d1: d685.*
+ 262d2: d785.*
+ 262d3: d6c5.*
+ 262d4: d7c5.*
+ 262d5: d615.*
+ 262d6: d715.*
+ 262d7: d655.*
+ 262d8: d755.*
+ 262d9: d695.*
+ 262da: d795.*
+ 262db: d6d5.*
+ 262dc: d7d5.*
+ 262dd: d625.*
+ 262de: d725.*
+ 262df: d665.*
+ 262e0: d765.*
+ 262e1: d6a5.*
+ 262e2: d7a5.*
+ 262e3: d6e5.*
+ 262e4: d7e5.*
+ 262e5: d635.*
+ 262e6: d735.*
+ 262e7: d675.*
+ 262e8: d775.*
+ 262e9: d6b5.*
+ 262ea: d7b5.*
+ 262eb: d6f5.*
+ 262ec: d7f5.*
+ 262ed: d609.*
+ 262ee: d709.*
+ 262ef: d649.*
+ 262f0: d749.*
+ 262f1: d689.*
+ 262f2: d789.*
+ 262f3: d6c9.*
+ 262f4: d7c9.*
+ 262f5: d619.*
+ 262f6: d719.*
+ 262f7: d659.*
+ 262f8: d759.*
+ 262f9: d699.*
+ 262fa: d799.*
+ 262fb: d6d9.*
+ 262fc: d7d9.*
+ 262fd: d629.*
+ 262fe: d729.*
+ 262ff: d669.*
+ 26300: d769.*
+ 26301: d6a9.*
+ 26302: d7a9.*
+ 26303: d6e9.*
+ 26304: d7e9.*
+ 26305: d639.*
+ 26306: d739.*
+ 26307: d679.*
+ 26308: d779.*
+ 26309: d6b9.*
+ 2630a: d7b9.*
+ 2630b: d6f9.*
+ 2630c: d7f9.*
+ 2630d: d60d.*
+ 2630e: d70d.*
+ 2630f: d64d.*
+ 26310: d74d.*
+ 26311: d68d.*
+ 26312: d78d.*
+ 26313: d6cd.*
+ 26314: d7cd.*
+ 26315: d61d.*
+ 26316: d71d.*
+ 26317: d65d.*
+ 26318: d75d.*
+ 26319: d69d.*
+ 2631a: d79d.*
+ 2631b: d6dd.*
+ 2631c: d7dd.*
+ 2631d: d62d.*
+ 2631e: d72d.*
+ 2631f: d66d.*
+ 26320: d76d.*
+ 26321: d6ad.*
+ 26322: d7ad.*
+ 26323: d6ed.*
+ 26324: d7ed.*
+ 26325: d63d.*
+ 26326: d73d.*
+ 26327: d67d.*
+ 26328: d77d.*
+ 26329: d6bd.*
+ 2632a: d7bd.*
+ 2632b: d6fd.*
+ 2632c: d7fd.*
+ 2632d: d602.*
+ 2632e: d702.*
+ 2632f: d642.*
+ 26330: d742.*
+ 26331: d682.*
+ 26332: d782.*
+ 26333: d6c2.*
+ 26334: d7c2.*
+ 26335: d612.*
+ 26336: d712.*
+ 26337: d652.*
+ 26338: d752.*
+ 26339: d692.*
+ 2633a: d792.*
+ 2633b: d6d2.*
+ 2633c: d7d2.*
+ 2633d: d622.*
+ 2633e: d722.*
+ 2633f: d662.*
+ 26340: d762.*
+ 26341: d6a2.*
+ 26342: d7a2.*
+ 26343: d6e2.*
+ 26344: d7e2.*
+ 26345: d632.*
+ 26346: d732.*
+ 26347: d672.*
+ 26348: d772.*
+ 26349: d6b2.*
+ 2634a: d7b2.*
+ 2634b: d6f2.*
+ 2634c: d7f2.*
+ 2634d: d606.*
+ 2634e: d706.*
+ 2634f: d646.*
+ 26350: d746.*
+ 26351: d686.*
+ 26352: d786.*
+ 26353: d6c6.*
+ 26354: d7c6.*
+ 26355: d616.*
+ 26356: d716.*
+ 26357: d656.*
+ 26358: d756.*
+ 26359: d696.*
+ 2635a: d796.*
+ 2635b: d6d6.*
+ 2635c: d7d6.*
+ 2635d: d626.*
+ 2635e: d726.*
+ 2635f: d666.*
+ 26360: d766.*
+ 26361: d6a6.*
+ 26362: d7a6.*
+ 26363: d6e6.*
+ 26364: d7e6.*
+ 26365: d636.*
+ 26366: d736.*
+ 26367: d676.*
+ 26368: d776.*
+ 26369: d6b6.*
+ 2636a: d7b6.*
+ 2636b: d6f6.*
+ 2636c: d7f6.*
+ 2636d: d60a.*
+ 2636e: d70a.*
+ 2636f: d64a.*
+ 26370: d74a.*
+ 26371: d68a.*
+ 26372: d78a.*
+ 26373: d6ca.*
+ 26374: d7ca.*
+ 26375: d61a.*
+ 26376: d71a.*
+ 26377: d65a.*
+ 26378: d75a.*
+ 26379: d69a.*
+ 2637a: d79a.*
+ 2637b: d6da.*
+ 2637c: d7da.*
+ 2637d: d62a.*
+ 2637e: d72a.*
+ 2637f: d66a.*
+ 26380: d76a.*
+ 26381: d6aa.*
+ 26382: d7aa.*
+ 26383: d6ea.*
+ 26384: d7ea.*
+ 26385: d63a.*
+ 26386: d73a.*
+ 26387: d67a.*
+ 26388: d77a.*
+ 26389: d6ba.*
+ 2638a: d7ba.*
+ 2638b: d6fa.*
+ 2638c: d7fa.*
+ 2638d: d60e.*
+ 2638e: d70e.*
+ 2638f: d64e.*
+ 26390: d74e.*
+ 26391: d68e.*
+ 26392: d78e.*
+ 26393: d6ce.*
+ 26394: d7ce.*
+ 26395: d61e.*
+ 26396: d71e.*
+ 26397: d65e.*
+ 26398: d75e.*
+ 26399: d69e.*
+ 2639a: d79e.*
+ 2639b: d6de.*
+ 2639c: d7de.*
+ 2639d: d62e.*
+ 2639e: d72e.*
+ 2639f: d66e.*
+ 263a0: d76e.*
+ 263a1: d6ae.*
+ 263a2: d7ae.*
+ 263a3: d6ee.*
+ 263a4: d7ee.*
+ 263a5: d63e.*
+ 263a6: d73e.*
+ 263a7: d67e.*
+ 263a8: d77e.*
+ 263a9: d6be.*
+ 263aa: d7be.*
+ 263ab: d6fe.*
+ 263ac: d7fe.*
+ 263ad: d603.*
+ 263ae: d703.*
+ 263af: d643.*
+ 263b0: d743.*
+ 263b1: d683.*
+ 263b2: d783.*
+ 263b3: d6c3.*
+ 263b4: d7c3.*
+ 263b5: d613.*
+ 263b6: d713.*
+ 263b7: d653.*
+ 263b8: d753.*
+ 263b9: d693.*
+ 263ba: d793.*
+ 263bb: d6d3.*
+ 263bc: d7d3.*
+ 263bd: d623.*
+ 263be: d723.*
+ 263bf: d663.*
+ 263c0: d763.*
+ 263c1: d6a3.*
+ 263c2: d7a3.*
+ 263c3: d6e3.*
+ 263c4: d7e3.*
+ 263c5: d633.*
+ 263c6: d733.*
+ 263c7: d673.*
+ 263c8: d773.*
+ 263c9: d6b3.*
+ 263ca: d7b3.*
+ 263cb: d6f3.*
+ 263cc: d7f3.*
+ 263cd: d607.*
+ 263ce: d707.*
+ 263cf: d647.*
+ 263d0: d747.*
+ 263d1: d687.*
+ 263d2: d787.*
+ 263d3: d6c7.*
+ 263d4: d7c7.*
+ 263d5: d617.*
+ 263d6: d717.*
+ 263d7: d657.*
+ 263d8: d757.*
+ 263d9: d697.*
+ 263da: d797.*
+ 263db: d6d7.*
+ 263dc: d7d7.*
+ 263dd: d627.*
+ 263de: d727.*
+ 263df: d667.*
+ 263e0: d767.*
+ 263e1: d6a7.*
+ 263e2: d7a7.*
+ 263e3: d6e7.*
+ 263e4: d7e7.*
+ 263e5: d637.*
+ 263e6: d737.*
+ 263e7: d677.*
+ 263e8: d777.*
+ 263e9: d6b7.*
+ 263ea: d7b7.*
+ 263eb: d6f7.*
+ 263ec: d7f7.*
+ 263ed: d60b.*
+ 263ee: d70b.*
+ 263ef: d64b.*
+ 263f0: d74b.*
+ 263f1: d68b.*
+ 263f2: d78b.*
+ 263f3: d6cb.*
+ 263f4: d7cb.*
+ 263f5: d61b.*
+ 263f6: d71b.*
+ 263f7: d65b.*
+ 263f8: d75b.*
+ 263f9: d69b.*
+ 263fa: d79b.*
+ 263fb: d6db.*
+ 263fc: d7db.*
+ 263fd: d62b.*
+ 263fe: d72b.*
+ 263ff: d66b.*
+ 26400: d76b.*
+ 26401: d6ab.*
+ 26402: d7ab.*
+ 26403: d6eb.*
+ 26404: d7eb.*
+ 26405: d63b.*
+ 26406: d73b.*
+ 26407: d67b.*
+ 26408: d77b.*
+ 26409: d6bb.*
+ 2640a: d7bb.*
+ 2640b: d6fb.*
+ 2640c: d7fb.*
+ 2640d: d60f.*
+ 2640e: d70f.*
+ 2640f: d64f.*
+ 26410: d74f.*
+ 26411: d68f.*
+ 26412: d78f.*
+ 26413: d6cf.*
+ 26414: d7cf.*
+ 26415: d61f.*
+ 26416: d71f.*
+ 26417: d65f.*
+ 26418: d75f.*
+ 26419: d69f.*
+ 2641a: d79f.*
+ 2641b: d6df.*
+ 2641c: d7df.*
+ 2641d: d62f.*
+ 2641e: d72f.*
+ 2641f: d66f.*
+ 26420: d76f.*
+ 26421: d6af.*
+ 26422: d7af.*
+ 26423: d6ef.*
+ 26424: d7ef.*
+ 26425: d63f.*
+ 26426: d73f.*
+ 26427: d67f.*
+ 26428: d77f.*
+ 26429: d6bf.*
+ 2642a: d7bf.*
+ 2642b: d6ff.*
+ 2642c: d7ff.*
+ 2642d: d800.*
+ 2642e: d900.*
+ 2642f: d840.*
+ 26430: d940.*
+ 26431: d880.*
+ 26432: d980.*
+ 26433: d8c0.*
+ 26434: d9c0.*
+ 26435: d810.*
+ 26436: d910.*
+ 26437: d850.*
+ 26438: d950.*
+ 26439: d890.*
+ 2643a: d990.*
+ 2643b: d8d0.*
+ 2643c: d9d0.*
+ 2643d: d820.*
+ 2643e: d920.*
+ 2643f: d860.*
+ 26440: d960.*
+ 26441: d8a0.*
+ 26442: d9a0.*
+ 26443: d8e0.*
+ 26444: d9e0.*
+ 26445: d830.*
+ 26446: d930.*
+ 26447: d870.*
+ 26448: d970.*
+ 26449: d8b0.*
+ 2644a: d9b0.*
+ 2644b: d8f0.*
+ 2644c: d9f0.*
+ 2644d: d804.*
+ 2644e: d904.*
+ 2644f: d844.*
+ 26450: d944.*
+ 26451: d884.*
+ 26452: d984.*
+ 26453: d8c4.*
+ 26454: d9c4.*
+ 26455: d814.*
+ 26456: d914.*
+ 26457: d854.*
+ 26458: d954.*
+ 26459: d894.*
+ 2645a: d994.*
+ 2645b: d8d4.*
+ 2645c: d9d4.*
+ 2645d: d824.*
+ 2645e: d924.*
+ 2645f: d864.*
+ 26460: d964.*
+ 26461: d8a4.*
+ 26462: d9a4.*
+ 26463: d8e4.*
+ 26464: d9e4.*
+ 26465: d834.*
+ 26466: d934.*
+ 26467: d874.*
+ 26468: d974.*
+ 26469: d8b4.*
+ 2646a: d9b4.*
+ 2646b: d8f4.*
+ 2646c: d9f4.*
+ 2646d: d808.*
+ 2646e: d908.*
+ 2646f: d848.*
+ 26470: d948.*
+ 26471: d888.*
+ 26472: d988.*
+ 26473: d8c8.*
+ 26474: d9c8.*
+ 26475: d818.*
+ 26476: d918.*
+ 26477: d858.*
+ 26478: d958.*
+ 26479: d898.*
+ 2647a: d998.*
+ 2647b: d8d8.*
+ 2647c: d9d8.*
+ 2647d: d828.*
+ 2647e: d928.*
+ 2647f: d868.*
+ 26480: d968.*
+ 26481: d8a8.*
+ 26482: d9a8.*
+ 26483: d8e8.*
+ 26484: d9e8.*
+ 26485: d838.*
+ 26486: d938.*
+ 26487: d878.*
+ 26488: d978.*
+ 26489: d8b8.*
+ 2648a: d9b8.*
+ 2648b: d8f8.*
+ 2648c: d9f8.*
+ 2648d: d80c.*
+ 2648e: d90c.*
+ 2648f: d84c.*
+ 26490: d94c.*
+ 26491: d88c.*
+ 26492: d98c.*
+ 26493: d8cc.*
+ 26494: d9cc.*
+ 26495: d81c.*
+ 26496: d91c.*
+ 26497: d85c.*
+ 26498: d95c.*
+ 26499: d89c.*
+ 2649a: d99c.*
+ 2649b: d8dc.*
+ 2649c: d9dc.*
+ 2649d: d82c.*
+ 2649e: d92c.*
+ 2649f: d86c.*
+ 264a0: d96c.*
+ 264a1: d8ac.*
+ 264a2: d9ac.*
+ 264a3: d8ec.*
+ 264a4: d9ec.*
+ 264a5: d83c.*
+ 264a6: d93c.*
+ 264a7: d87c.*
+ 264a8: d97c.*
+ 264a9: d8bc.*
+ 264aa: d9bc.*
+ 264ab: d8fc.*
+ 264ac: d9fc.*
+ 264ad: d801.*
+ 264ae: d901.*
+ 264af: d841.*
+ 264b0: d941.*
+ 264b1: d881.*
+ 264b2: d981.*
+ 264b3: d8c1.*
+ 264b4: d9c1.*
+ 264b5: d811.*
+ 264b6: d911.*
+ 264b7: d851.*
+ 264b8: d951.*
+ 264b9: d891.*
+ 264ba: d991.*
+ 264bb: d8d1.*
+ 264bc: d9d1.*
+ 264bd: d821.*
+ 264be: d921.*
+ 264bf: d861.*
+ 264c0: d961.*
+ 264c1: d8a1.*
+ 264c2: d9a1.*
+ 264c3: d8e1.*
+ 264c4: d9e1.*
+ 264c5: d831.*
+ 264c6: d931.*
+ 264c7: d871.*
+ 264c8: d971.*
+ 264c9: d8b1.*
+ 264ca: d9b1.*
+ 264cb: d8f1.*
+ 264cc: d9f1.*
+ 264cd: d805.*
+ 264ce: d905.*
+ 264cf: d845.*
+ 264d0: d945.*
+ 264d1: d885.*
+ 264d2: d985.*
+ 264d3: d8c5.*
+ 264d4: d9c5.*
+ 264d5: d815.*
+ 264d6: d915.*
+ 264d7: d855.*
+ 264d8: d955.*
+ 264d9: d895.*
+ 264da: d995.*
+ 264db: d8d5.*
+ 264dc: d9d5.*
+ 264dd: d825.*
+ 264de: d925.*
+ 264df: d865.*
+ 264e0: d965.*
+ 264e1: d8a5.*
+ 264e2: d9a5.*
+ 264e3: d8e5.*
+ 264e4: d9e5.*
+ 264e5: d835.*
+ 264e6: d935.*
+ 264e7: d875.*
+ 264e8: d975.*
+ 264e9: d8b5.*
+ 264ea: d9b5.*
+ 264eb: d8f5.*
+ 264ec: d9f5.*
+ 264ed: d809.*
+ 264ee: d909.*
+ 264ef: d849.*
+ 264f0: d949.*
+ 264f1: d889.*
+ 264f2: d989.*
+ 264f3: d8c9.*
+ 264f4: d9c9.*
+ 264f5: d819.*
+ 264f6: d919.*
+ 264f7: d859.*
+ 264f8: d959.*
+ 264f9: d899.*
+ 264fa: d999.*
+ 264fb: d8d9.*
+ 264fc: d9d9.*
+ 264fd: d829.*
+ 264fe: d929.*
+ 264ff: d869.*
+ 26500: d969.*
+ 26501: d8a9.*
+ 26502: d9a9.*
+ 26503: d8e9.*
+ 26504: d9e9.*
+ 26505: d839.*
+ 26506: d939.*
+ 26507: d879.*
+ 26508: d979.*
+ 26509: d8b9.*
+ 2650a: d9b9.*
+ 2650b: d8f9.*
+ 2650c: d9f9.*
+ 2650d: d80d.*
+ 2650e: d90d.*
+ 2650f: d84d.*
+ 26510: d94d.*
+ 26511: d88d.*
+ 26512: d98d.*
+ 26513: d8cd.*
+ 26514: d9cd.*
+ 26515: d81d.*
+ 26516: d91d.*
+ 26517: d85d.*
+ 26518: d95d.*
+ 26519: d89d.*
+ 2651a: d99d.*
+ 2651b: d8dd.*
+ 2651c: d9dd.*
+ 2651d: d82d.*
+ 2651e: d92d.*
+ 2651f: d86d.*
+ 26520: d96d.*
+ 26521: d8ad.*
+ 26522: d9ad.*
+ 26523: d8ed.*
+ 26524: d9ed.*
+ 26525: d83d.*
+ 26526: d93d.*
+ 26527: d87d.*
+ 26528: d97d.*
+ 26529: d8bd.*
+ 2652a: d9bd.*
+ 2652b: d8fd.*
+ 2652c: d9fd.*
+ 2652d: d802.*
+ 2652e: d902.*
+ 2652f: d842.*
+ 26530: d942.*
+ 26531: d882.*
+ 26532: d982.*
+ 26533: d8c2.*
+ 26534: d9c2.*
+ 26535: d812.*
+ 26536: d912.*
+ 26537: d852.*
+ 26538: d952.*
+ 26539: d892.*
+ 2653a: d992.*
+ 2653b: d8d2.*
+ 2653c: d9d2.*
+ 2653d: d822.*
+ 2653e: d922.*
+ 2653f: d862.*
+ 26540: d962.*
+ 26541: d8a2.*
+ 26542: d9a2.*
+ 26543: d8e2.*
+ 26544: d9e2.*
+ 26545: d832.*
+ 26546: d932.*
+ 26547: d872.*
+ 26548: d972.*
+ 26549: d8b2.*
+ 2654a: d9b2.*
+ 2654b: d8f2.*
+ 2654c: d9f2.*
+ 2654d: d806.*
+ 2654e: d906.*
+ 2654f: d846.*
+ 26550: d946.*
+ 26551: d886.*
+ 26552: d986.*
+ 26553: d8c6.*
+ 26554: d9c6.*
+ 26555: d816.*
+ 26556: d916.*
+ 26557: d856.*
+ 26558: d956.*
+ 26559: d896.*
+ 2655a: d996.*
+ 2655b: d8d6.*
+ 2655c: d9d6.*
+ 2655d: d826.*
+ 2655e: d926.*
+ 2655f: d866.*
+ 26560: d966.*
+ 26561: d8a6.*
+ 26562: d9a6.*
+ 26563: d8e6.*
+ 26564: d9e6.*
+ 26565: d836.*
+ 26566: d936.*
+ 26567: d876.*
+ 26568: d976.*
+ 26569: d8b6.*
+ 2656a: d9b6.*
+ 2656b: d8f6.*
+ 2656c: d9f6.*
+ 2656d: d80a.*
+ 2656e: d90a.*
+ 2656f: d84a.*
+ 26570: d94a.*
+ 26571: d88a.*
+ 26572: d98a.*
+ 26573: d8ca.*
+ 26574: d9ca.*
+ 26575: d81a.*
+ 26576: d91a.*
+ 26577: d85a.*
+ 26578: d95a.*
+ 26579: d89a.*
+ 2657a: d99a.*
+ 2657b: d8da.*
+ 2657c: d9da.*
+ 2657d: d82a.*
+ 2657e: d92a.*
+ 2657f: d86a.*
+ 26580: d96a.*
+ 26581: d8aa.*
+ 26582: d9aa.*
+ 26583: d8ea.*
+ 26584: d9ea.*
+ 26585: d83a.*
+ 26586: d93a.*
+ 26587: d87a.*
+ 26588: d97a.*
+ 26589: d8ba.*
+ 2658a: d9ba.*
+ 2658b: d8fa.*
+ 2658c: d9fa.*
+ 2658d: d80e.*
+ 2658e: d90e.*
+ 2658f: d84e.*
+ 26590: d94e.*
+ 26591: d88e.*
+ 26592: d98e.*
+ 26593: d8ce.*
+ 26594: d9ce.*
+ 26595: d81e.*
+ 26596: d91e.*
+ 26597: d85e.*
+ 26598: d95e.*
+ 26599: d89e.*
+ 2659a: d99e.*
+ 2659b: d8de.*
+ 2659c: d9de.*
+ 2659d: d82e.*
+ 2659e: d92e.*
+ 2659f: d86e.*
+ 265a0: d96e.*
+ 265a1: d8ae.*
+ 265a2: d9ae.*
+ 265a3: d8ee.*
+ 265a4: d9ee.*
+ 265a5: d83e.*
+ 265a6: d93e.*
+ 265a7: d87e.*
+ 265a8: d97e.*
+ 265a9: d8be.*
+ 265aa: d9be.*
+ 265ab: d8fe.*
+ 265ac: d9fe.*
+ 265ad: d803.*
+ 265ae: d903.*
+ 265af: d843.*
+ 265b0: d943.*
+ 265b1: d883.*
+ 265b2: d983.*
+ 265b3: d8c3.*
+ 265b4: d9c3.*
+ 265b5: d813.*
+ 265b6: d913.*
+ 265b7: d853.*
+ 265b8: d953.*
+ 265b9: d893.*
+ 265ba: d993.*
+ 265bb: d8d3.*
+ 265bc: d9d3.*
+ 265bd: d823.*
+ 265be: d923.*
+ 265bf: d863.*
+ 265c0: d963.*
+ 265c1: d8a3.*
+ 265c2: d9a3.*
+ 265c3: d8e3.*
+ 265c4: d9e3.*
+ 265c5: d833.*
+ 265c6: d933.*
+ 265c7: d873.*
+ 265c8: d973.*
+ 265c9: d8b3.*
+ 265ca: d9b3.*
+ 265cb: d8f3.*
+ 265cc: d9f3.*
+ 265cd: d807.*
+ 265ce: d907.*
+ 265cf: d847.*
+ 265d0: d947.*
+ 265d1: d887.*
+ 265d2: d987.*
+ 265d3: d8c7.*
+ 265d4: d9c7.*
+ 265d5: d817.*
+ 265d6: d917.*
+ 265d7: d857.*
+ 265d8: d957.*
+ 265d9: d897.*
+ 265da: d997.*
+ 265db: d8d7.*
+ 265dc: d9d7.*
+ 265dd: d827.*
+ 265de: d927.*
+ 265df: d867.*
+ 265e0: d967.*
+ 265e1: d8a7.*
+ 265e2: d9a7.*
+ 265e3: d8e7.*
+ 265e4: d9e7.*
+ 265e5: d837.*
+ 265e6: d937.*
+ 265e7: d877.*
+ 265e8: d977.*
+ 265e9: d8b7.*
+ 265ea: d9b7.*
+ 265eb: d8f7.*
+ 265ec: d9f7.*
+ 265ed: d80b.*
+ 265ee: d90b.*
+ 265ef: d84b.*
+ 265f0: d94b.*
+ 265f1: d88b.*
+ 265f2: d98b.*
+ 265f3: d8cb.*
+ 265f4: d9cb.*
+ 265f5: d81b.*
+ 265f6: d91b.*
+ 265f7: d85b.*
+ 265f8: d95b.*
+ 265f9: d89b.*
+ 265fa: d99b.*
+ 265fb: d8db.*
+ 265fc: d9db.*
+ 265fd: d82b.*
+ 265fe: d92b.*
+ 265ff: d86b.*
+ 26600: d96b.*
+ 26601: d8ab.*
+ 26602: d9ab.*
+ 26603: d8eb.*
+ 26604: d9eb.*
+ 26605: d83b.*
+ 26606: d93b.*
+ 26607: d87b.*
+ 26608: d97b.*
+ 26609: d8bb.*
+ 2660a: d9bb.*
+ 2660b: d8fb.*
+ 2660c: d9fb.*
+ 2660d: d80f.*
+ 2660e: d90f.*
+ 2660f: d84f.*
+ 26610: d94f.*
+ 26611: d88f.*
+ 26612: d98f.*
+ 26613: d8cf.*
+ 26614: d9cf.*
+ 26615: d81f.*
+ 26616: d91f.*
+ 26617: d85f.*
+ 26618: d95f.*
+ 26619: d89f.*
+ 2661a: d99f.*
+ 2661b: d8df.*
+ 2661c: d9df.*
+ 2661d: d82f.*
+ 2661e: d92f.*
+ 2661f: d86f.*
+ 26620: d96f.*
+ 26621: d8af.*
+ 26622: d9af.*
+ 26623: d8ef.*
+ 26624: d9ef.*
+ 26625: d83f.*
+ 26626: d93f.*
+ 26627: d87f.*
+ 26628: d97f.*
+ 26629: d8bf.*
+ 2662a: d9bf.*
+ 2662b: d8ff.*
+ 2662c: d9ff.*
+ 2662d: da00.*
+ 2662e: db00.*
+ 2662f: da40.*
+ 26630: db40.*
+ 26631: da80.*
+ 26632: db80.*
+ 26633: dac0.*
+ 26634: dbc0.*
+ 26635: da10.*
+ 26636: db10.*
+ 26637: da50.*
+ 26638: db50.*
+ 26639: da90.*
+ 2663a: db90.*
+ 2663b: dad0.*
+ 2663c: dbd0.*
+ 2663d: da20.*
+ 2663e: db20.*
+ 2663f: da60.*
+ 26640: db60.*
+ 26641: daa0.*
+ 26642: dba0.*
+ 26643: dae0.*
+ 26644: dbe0.*
+ 26645: da30.*
+ 26646: db30.*
+ 26647: da70.*
+ 26648: db70.*
+ 26649: dab0.*
+ 2664a: dbb0.*
+ 2664b: daf0.*
+ 2664c: dbf0.*
+ 2664d: da04.*
+ 2664e: db04.*
+ 2664f: da44.*
+ 26650: db44.*
+ 26651: da84.*
+ 26652: db84.*
+ 26653: dac4.*
+ 26654: dbc4.*
+ 26655: da14.*
+ 26656: db14.*
+ 26657: da54.*
+ 26658: db54.*
+ 26659: da94.*
+ 2665a: db94.*
+ 2665b: dad4.*
+ 2665c: dbd4.*
+ 2665d: da24.*
+ 2665e: db24.*
+ 2665f: da64.*
+ 26660: db64.*
+ 26661: daa4.*
+ 26662: dba4.*
+ 26663: dae4.*
+ 26664: dbe4.*
+ 26665: da34.*
+ 26666: db34.*
+ 26667: da74.*
+ 26668: db74.*
+ 26669: dab4.*
+ 2666a: dbb4.*
+ 2666b: daf4.*
+ 2666c: dbf4.*
+ 2666d: da08.*
+ 2666e: db08.*
+ 2666f: da48.*
+ 26670: db48.*
+ 26671: da88.*
+ 26672: db88.*
+ 26673: dac8.*
+ 26674: dbc8.*
+ 26675: da18.*
+ 26676: db18.*
+ 26677: da58.*
+ 26678: db58.*
+ 26679: da98.*
+ 2667a: db98.*
+ 2667b: dad8.*
+ 2667c: dbd8.*
+ 2667d: da28.*
+ 2667e: db28.*
+ 2667f: da68.*
+ 26680: db68.*
+ 26681: daa8.*
+ 26682: dba8.*
+ 26683: dae8.*
+ 26684: dbe8.*
+ 26685: da38.*
+ 26686: db38.*
+ 26687: da78.*
+ 26688: db78.*
+ 26689: dab8.*
+ 2668a: dbb8.*
+ 2668b: daf8.*
+ 2668c: dbf8.*
+ 2668d: da0c.*
+ 2668e: db0c.*
+ 2668f: da4c.*
+ 26690: db4c.*
+ 26691: da8c.*
+ 26692: db8c.*
+ 26693: dacc.*
+ 26694: dbcc.*
+ 26695: da1c.*
+ 26696: db1c.*
+ 26697: da5c.*
+ 26698: db5c.*
+ 26699: da9c.*
+ 2669a: db9c.*
+ 2669b: dadc.*
+ 2669c: dbdc.*
+ 2669d: da2c.*
+ 2669e: db2c.*
+ 2669f: da6c.*
+ 266a0: db6c.*
+ 266a1: daac.*
+ 266a2: dbac.*
+ 266a3: daec.*
+ 266a4: dbec.*
+ 266a5: da3c.*
+ 266a6: db3c.*
+ 266a7: da7c.*
+ 266a8: db7c.*
+ 266a9: dabc.*
+ 266aa: dbbc.*
+ 266ab: dafc.*
+ 266ac: dbfc.*
+ 266ad: da01.*
+ 266ae: db01.*
+ 266af: da41.*
+ 266b0: db41.*
+ 266b1: da81.*
+ 266b2: db81.*
+ 266b3: dac1.*
+ 266b4: dbc1.*
+ 266b5: da11.*
+ 266b6: db11.*
+ 266b7: da51.*
+ 266b8: db51.*
+ 266b9: da91.*
+ 266ba: db91.*
+ 266bb: dad1.*
+ 266bc: dbd1.*
+ 266bd: da21.*
+ 266be: db21.*
+ 266bf: da61.*
+ 266c0: db61.*
+ 266c1: daa1.*
+ 266c2: dba1.*
+ 266c3: dae1.*
+ 266c4: dbe1.*
+ 266c5: da31.*
+ 266c6: db31.*
+ 266c7: da71.*
+ 266c8: db71.*
+ 266c9: dab1.*
+ 266ca: dbb1.*
+ 266cb: daf1.*
+ 266cc: dbf1.*
+ 266cd: da05.*
+ 266ce: db05.*
+ 266cf: da45.*
+ 266d0: db45.*
+ 266d1: da85.*
+ 266d2: db85.*
+ 266d3: dac5.*
+ 266d4: dbc5.*
+ 266d5: da15.*
+ 266d6: db15.*
+ 266d7: da55.*
+ 266d8: db55.*
+ 266d9: da95.*
+ 266da: db95.*
+ 266db: dad5.*
+ 266dc: dbd5.*
+ 266dd: da25.*
+ 266de: db25.*
+ 266df: da65.*
+ 266e0: db65.*
+ 266e1: daa5.*
+ 266e2: dba5.*
+ 266e3: dae5.*
+ 266e4: dbe5.*
+ 266e5: da35.*
+ 266e6: db35.*
+ 266e7: da75.*
+ 266e8: db75.*
+ 266e9: dab5.*
+ 266ea: dbb5.*
+ 266eb: daf5.*
+ 266ec: dbf5.*
+ 266ed: da09.*
+ 266ee: db09.*
+ 266ef: da49.*
+ 266f0: db49.*
+ 266f1: da89.*
+ 266f2: db89.*
+ 266f3: dac9.*
+ 266f4: dbc9.*
+ 266f5: da19.*
+ 266f6: db19.*
+ 266f7: da59.*
+ 266f8: db59.*
+ 266f9: da99.*
+ 266fa: db99.*
+ 266fb: dad9.*
+ 266fc: dbd9.*
+ 266fd: da29.*
+ 266fe: db29.*
+ 266ff: da69.*
+ 26700: db69.*
+ 26701: daa9.*
+ 26702: dba9.*
+ 26703: dae9.*
+ 26704: dbe9.*
+ 26705: da39.*
+ 26706: db39.*
+ 26707: da79.*
+ 26708: db79.*
+ 26709: dab9.*
+ 2670a: dbb9.*
+ 2670b: daf9.*
+ 2670c: dbf9.*
+ 2670d: da0d.*
+ 2670e: db0d.*
+ 2670f: da4d.*
+ 26710: db4d.*
+ 26711: da8d.*
+ 26712: db8d.*
+ 26713: dacd.*
+ 26714: dbcd.*
+ 26715: da1d.*
+ 26716: db1d.*
+ 26717: da5d.*
+ 26718: db5d.*
+ 26719: da9d.*
+ 2671a: db9d.*
+ 2671b: dadd.*
+ 2671c: dbdd.*
+ 2671d: da2d.*
+ 2671e: db2d.*
+ 2671f: da6d.*
+ 26720: db6d.*
+ 26721: daad.*
+ 26722: dbad.*
+ 26723: daed.*
+ 26724: dbed.*
+ 26725: da3d.*
+ 26726: db3d.*
+ 26727: da7d.*
+ 26728: db7d.*
+ 26729: dabd.*
+ 2672a: dbbd.*
+ 2672b: dafd.*
+ 2672c: dbfd.*
+ 2672d: da02.*
+ 2672e: db02.*
+ 2672f: da42.*
+ 26730: db42.*
+ 26731: da82.*
+ 26732: db82.*
+ 26733: dac2.*
+ 26734: dbc2.*
+ 26735: da12.*
+ 26736: db12.*
+ 26737: da52.*
+ 26738: db52.*
+ 26739: da92.*
+ 2673a: db92.*
+ 2673b: dad2.*
+ 2673c: dbd2.*
+ 2673d: da22.*
+ 2673e: db22.*
+ 2673f: da62.*
+ 26740: db62.*
+ 26741: daa2.*
+ 26742: dba2.*
+ 26743: dae2.*
+ 26744: dbe2.*
+ 26745: da32.*
+ 26746: db32.*
+ 26747: da72.*
+ 26748: db72.*
+ 26749: dab2.*
+ 2674a: dbb2.*
+ 2674b: daf2.*
+ 2674c: dbf2.*
+ 2674d: da06.*
+ 2674e: db06.*
+ 2674f: da46.*
+ 26750: db46.*
+ 26751: da86.*
+ 26752: db86.*
+ 26753: dac6.*
+ 26754: dbc6.*
+ 26755: da16.*
+ 26756: db16.*
+ 26757: da56.*
+ 26758: db56.*
+ 26759: da96.*
+ 2675a: db96.*
+ 2675b: dad6.*
+ 2675c: dbd6.*
+ 2675d: da26.*
+ 2675e: db26.*
+ 2675f: da66.*
+ 26760: db66.*
+ 26761: daa6.*
+ 26762: dba6.*
+ 26763: dae6.*
+ 26764: dbe6.*
+ 26765: da36.*
+ 26766: db36.*
+ 26767: da76.*
+ 26768: db76.*
+ 26769: dab6.*
+ 2676a: dbb6.*
+ 2676b: daf6.*
+ 2676c: dbf6.*
+ 2676d: da0a.*
+ 2676e: db0a.*
+ 2676f: da4a.*
+ 26770: db4a.*
+ 26771: da8a.*
+ 26772: db8a.*
+ 26773: daca.*
+ 26774: dbca.*
+ 26775: da1a.*
+ 26776: db1a.*
+ 26777: da5a.*
+ 26778: db5a.*
+ 26779: da9a.*
+ 2677a: db9a.*
+ 2677b: dada.*
+ 2677c: dbda.*
+ 2677d: da2a.*
+ 2677e: db2a.*
+ 2677f: da6a.*
+ 26780: db6a.*
+ 26781: daaa.*
+ 26782: dbaa.*
+ 26783: daea.*
+ 26784: dbea.*
+ 26785: da3a.*
+ 26786: db3a.*
+ 26787: da7a.*
+ 26788: db7a.*
+ 26789: daba.*
+ 2678a: dbba.*
+ 2678b: dafa.*
+ 2678c: dbfa.*
+ 2678d: da0e.*
+ 2678e: db0e.*
+ 2678f: da4e.*
+ 26790: db4e.*
+ 26791: da8e.*
+ 26792: db8e.*
+ 26793: dace.*
+ 26794: dbce.*
+ 26795: da1e.*
+ 26796: db1e.*
+ 26797: da5e.*
+ 26798: db5e.*
+ 26799: da9e.*
+ 2679a: db9e.*
+ 2679b: dade.*
+ 2679c: dbde.*
+ 2679d: da2e.*
+ 2679e: db2e.*
+ 2679f: da6e.*
+ 267a0: db6e.*
+ 267a1: daae.*
+ 267a2: dbae.*
+ 267a3: daee.*
+ 267a4: dbee.*
+ 267a5: da3e.*
+ 267a6: db3e.*
+ 267a7: da7e.*
+ 267a8: db7e.*
+ 267a9: dabe.*
+ 267aa: dbbe.*
+ 267ab: dafe.*
+ 267ac: dbfe.*
+ 267ad: da03.*
+ 267ae: db03.*
+ 267af: da43.*
+ 267b0: db43.*
+ 267b1: da83.*
+ 267b2: db83.*
+ 267b3: dac3.*
+ 267b4: dbc3.*
+ 267b5: da13.*
+ 267b6: db13.*
+ 267b7: da53.*
+ 267b8: db53.*
+ 267b9: da93.*
+ 267ba: db93.*
+ 267bb: dad3.*
+ 267bc: dbd3.*
+ 267bd: da23.*
+ 267be: db23.*
+ 267bf: da63.*
+ 267c0: db63.*
+ 267c1: daa3.*
+ 267c2: dba3.*
+ 267c3: dae3.*
+ 267c4: dbe3.*
+ 267c5: da33.*
+ 267c6: db33.*
+ 267c7: da73.*
+ 267c8: db73.*
+ 267c9: dab3.*
+ 267ca: dbb3.*
+ 267cb: daf3.*
+ 267cc: dbf3.*
+ 267cd: da07.*
+ 267ce: db07.*
+ 267cf: da47.*
+ 267d0: db47.*
+ 267d1: da87.*
+ 267d2: db87.*
+ 267d3: dac7.*
+ 267d4: dbc7.*
+ 267d5: da17.*
+ 267d6: db17.*
+ 267d7: da57.*
+ 267d8: db57.*
+ 267d9: da97.*
+ 267da: db97.*
+ 267db: dad7.*
+ 267dc: dbd7.*
+ 267dd: da27.*
+ 267de: db27.*
+ 267df: da67.*
+ 267e0: db67.*
+ 267e1: daa7.*
+ 267e2: dba7.*
+ 267e3: dae7.*
+ 267e4: dbe7.*
+ 267e5: da37.*
+ 267e6: db37.*
+ 267e7: da77.*
+ 267e8: db77.*
+ 267e9: dab7.*
+ 267ea: dbb7.*
+ 267eb: daf7.*
+ 267ec: dbf7.*
+ 267ed: da0b.*
+ 267ee: db0b.*
+ 267ef: da4b.*
+ 267f0: db4b.*
+ 267f1: da8b.*
+ 267f2: db8b.*
+ 267f3: dacb.*
+ 267f4: dbcb.*
+ 267f5: da1b.*
+ 267f6: db1b.*
+ 267f7: da5b.*
+ 267f8: db5b.*
+ 267f9: da9b.*
+ 267fa: db9b.*
+ 267fb: dadb.*
+ 267fc: dbdb.*
+ 267fd: da2b.*
+ 267fe: db2b.*
+ 267ff: da6b.*
+ 26800: db6b.*
+ 26801: daab.*
+ 26802: dbab.*
+ 26803: daeb.*
+ 26804: dbeb.*
+ 26805: da3b.*
+ 26806: db3b.*
+ 26807: da7b.*
+ 26808: db7b.*
+ 26809: dabb.*
+ 2680a: dbbb.*
+ 2680b: dafb.*
+ 2680c: dbfb.*
+ 2680d: da0f.*
+ 2680e: db0f.*
+ 2680f: da4f.*
+ 26810: db4f.*
+ 26811: da8f.*
+ 26812: db8f.*
+ 26813: dacf.*
+ 26814: dbcf.*
+ 26815: da1f.*
+ 26816: db1f.*
+ 26817: da5f.*
+ 26818: db5f.*
+ 26819: da9f.*
+ 2681a: db9f.*
+ 2681b: dadf.*
+ 2681c: dbdf.*
+ 2681d: da2f.*
+ 2681e: db2f.*
+ 2681f: da6f.*
+ 26820: db6f.*
+ 26821: daaf.*
+ 26822: dbaf.*
+ 26823: daef.*
+ 26824: dbef.*
+ 26825: da3f.*
+ 26826: db3f.*
+ 26827: da7f.*
+ 26828: db7f.*
+ 26829: dabf.*
+ 2682a: dbbf.*
+ 2682b: daff.*
+ 2682c: dbff.*
+ 2682d: dc00.*
+ 2682e: dd00.*
+ 2682f: dc40.*
+ 26830: dd40.*
+ 26831: dc80.*
+ 26832: dd80.*
+ 26833: dcc0.*
+ 26834: ddc0.*
+ 26835: dc10.*
+ 26836: dd10.*
+ 26837: dc50.*
+ 26838: dd50.*
+ 26839: dc90.*
+ 2683a: dd90.*
+ 2683b: dcd0.*
+ 2683c: ddd0.*
+ 2683d: dc20.*
+ 2683e: dd20.*
+ 2683f: dc60.*
+ 26840: dd60.*
+ 26841: dca0.*
+ 26842: dda0.*
+ 26843: dce0.*
+ 26844: dde0.*
+ 26845: dc30.*
+ 26846: dd30.*
+ 26847: dc70.*
+ 26848: dd70.*
+ 26849: dcb0.*
+ 2684a: ddb0.*
+ 2684b: dcf0.*
+ 2684c: ddf0.*
+ 2684d: dc04.*
+ 2684e: dd04.*
+ 2684f: dc44.*
+ 26850: dd44.*
+ 26851: dc84.*
+ 26852: dd84.*
+ 26853: dcc4.*
+ 26854: ddc4.*
+ 26855: dc14.*
+ 26856: dd14.*
+ 26857: dc54.*
+ 26858: dd54.*
+ 26859: dc94.*
+ 2685a: dd94.*
+ 2685b: dcd4.*
+ 2685c: ddd4.*
+ 2685d: dc24.*
+ 2685e: dd24.*
+ 2685f: dc64.*
+ 26860: dd64.*
+ 26861: dca4.*
+ 26862: dda4.*
+ 26863: dce4.*
+ 26864: dde4.*
+ 26865: dc34.*
+ 26866: dd34.*
+ 26867: dc74.*
+ 26868: dd74.*
+ 26869: dcb4.*
+ 2686a: ddb4.*
+ 2686b: dcf4.*
+ 2686c: ddf4.*
+ 2686d: dc08.*
+ 2686e: dd08.*
+ 2686f: dc48.*
+ 26870: dd48.*
+ 26871: dc88.*
+ 26872: dd88.*
+ 26873: dcc8.*
+ 26874: ddc8.*
+ 26875: dc18.*
+ 26876: dd18.*
+ 26877: dc58.*
+ 26878: dd58.*
+ 26879: dc98.*
+ 2687a: dd98.*
+ 2687b: dcd8.*
+ 2687c: ddd8.*
+ 2687d: dc28.*
+ 2687e: dd28.*
+ 2687f: dc68.*
+ 26880: dd68.*
+ 26881: dca8.*
+ 26882: dda8.*
+ 26883: dce8.*
+ 26884: dde8.*
+ 26885: dc38.*
+ 26886: dd38.*
+ 26887: dc78.*
+ 26888: dd78.*
+ 26889: dcb8.*
+ 2688a: ddb8.*
+ 2688b: dcf8.*
+ 2688c: ddf8.*
+ 2688d: dc0c.*
+ 2688e: dd0c.*
+ 2688f: dc4c.*
+ 26890: dd4c.*
+ 26891: dc8c.*
+ 26892: dd8c.*
+ 26893: dccc.*
+ 26894: ddcc.*
+ 26895: dc1c.*
+ 26896: dd1c.*
+ 26897: dc5c.*
+ 26898: dd5c.*
+ 26899: dc9c.*
+ 2689a: dd9c.*
+ 2689b: dcdc.*
+ 2689c: dddc.*
+ 2689d: dc2c.*
+ 2689e: dd2c.*
+ 2689f: dc6c.*
+ 268a0: dd6c.*
+ 268a1: dcac.*
+ 268a2: ddac.*
+ 268a3: dcec.*
+ 268a4: ddec.*
+ 268a5: dc3c.*
+ 268a6: dd3c.*
+ 268a7: dc7c.*
+ 268a8: dd7c.*
+ 268a9: dcbc.*
+ 268aa: ddbc.*
+ 268ab: dcfc.*
+ 268ac: ddfc.*
+ 268ad: dc01.*
+ 268ae: dd01.*
+ 268af: dc41.*
+ 268b0: dd41.*
+ 268b1: dc81.*
+ 268b2: dd81.*
+ 268b3: dcc1.*
+ 268b4: ddc1.*
+ 268b5: dc11.*
+ 268b6: dd11.*
+ 268b7: dc51.*
+ 268b8: dd51.*
+ 268b9: dc91.*
+ 268ba: dd91.*
+ 268bb: dcd1.*
+ 268bc: ddd1.*
+ 268bd: dc21.*
+ 268be: dd21.*
+ 268bf: dc61.*
+ 268c0: dd61.*
+ 268c1: dca1.*
+ 268c2: dda1.*
+ 268c3: dce1.*
+ 268c4: dde1.*
+ 268c5: dc31.*
+ 268c6: dd31.*
+ 268c7: dc71.*
+ 268c8: dd71.*
+ 268c9: dcb1.*
+ 268ca: ddb1.*
+ 268cb: dcf1.*
+ 268cc: ddf1.*
+ 268cd: dc05.*
+ 268ce: dd05.*
+ 268cf: dc45.*
+ 268d0: dd45.*
+ 268d1: dc85.*
+ 268d2: dd85.*
+ 268d3: dcc5.*
+ 268d4: ddc5.*
+ 268d5: dc15.*
+ 268d6: dd15.*
+ 268d7: dc55.*
+ 268d8: dd55.*
+ 268d9: dc95.*
+ 268da: dd95.*
+ 268db: dcd5.*
+ 268dc: ddd5.*
+ 268dd: dc25.*
+ 268de: dd25.*
+ 268df: dc65.*
+ 268e0: dd65.*
+ 268e1: dca5.*
+ 268e2: dda5.*
+ 268e3: dce5.*
+ 268e4: dde5.*
+ 268e5: dc35.*
+ 268e6: dd35.*
+ 268e7: dc75.*
+ 268e8: dd75.*
+ 268e9: dcb5.*
+ 268ea: ddb5.*
+ 268eb: dcf5.*
+ 268ec: ddf5.*
+ 268ed: dc09.*
+ 268ee: dd09.*
+ 268ef: dc49.*
+ 268f0: dd49.*
+ 268f1: dc89.*
+ 268f2: dd89.*
+ 268f3: dcc9.*
+ 268f4: ddc9.*
+ 268f5: dc19.*
+ 268f6: dd19.*
+ 268f7: dc59.*
+ 268f8: dd59.*
+ 268f9: dc99.*
+ 268fa: dd99.*
+ 268fb: dcd9.*
+ 268fc: ddd9.*
+ 268fd: dc29.*
+ 268fe: dd29.*
+ 268ff: dc69.*
+ 26900: dd69.*
+ 26901: dca9.*
+ 26902: dda9.*
+ 26903: dce9.*
+ 26904: dde9.*
+ 26905: dc39.*
+ 26906: dd39.*
+ 26907: dc79.*
+ 26908: dd79.*
+ 26909: dcb9.*
+ 2690a: ddb9.*
+ 2690b: dcf9.*
+ 2690c: ddf9.*
+ 2690d: dc0d.*
+ 2690e: dd0d.*
+ 2690f: dc4d.*
+ 26910: dd4d.*
+ 26911: dc8d.*
+ 26912: dd8d.*
+ 26913: dccd.*
+ 26914: ddcd.*
+ 26915: dc1d.*
+ 26916: dd1d.*
+ 26917: dc5d.*
+ 26918: dd5d.*
+ 26919: dc9d.*
+ 2691a: dd9d.*
+ 2691b: dcdd.*
+ 2691c: dddd.*
+ 2691d: dc2d.*
+ 2691e: dd2d.*
+ 2691f: dc6d.*
+ 26920: dd6d.*
+ 26921: dcad.*
+ 26922: ddad.*
+ 26923: dced.*
+ 26924: dded.*
+ 26925: dc3d.*
+ 26926: dd3d.*
+ 26927: dc7d.*
+ 26928: dd7d.*
+ 26929: dcbd.*
+ 2692a: ddbd.*
+ 2692b: dcfd.*
+ 2692c: ddfd.*
+ 2692d: dc02.*
+ 2692e: dd02.*
+ 2692f: dc42.*
+ 26930: dd42.*
+ 26931: dc82.*
+ 26932: dd82.*
+ 26933: dcc2.*
+ 26934: ddc2.*
+ 26935: dc12.*
+ 26936: dd12.*
+ 26937: dc52.*
+ 26938: dd52.*
+ 26939: dc92.*
+ 2693a: dd92.*
+ 2693b: dcd2.*
+ 2693c: ddd2.*
+ 2693d: dc22.*
+ 2693e: dd22.*
+ 2693f: dc62.*
+ 26940: dd62.*
+ 26941: dca2.*
+ 26942: dda2.*
+ 26943: dce2.*
+ 26944: dde2.*
+ 26945: dc32.*
+ 26946: dd32.*
+ 26947: dc72.*
+ 26948: dd72.*
+ 26949: dcb2.*
+ 2694a: ddb2.*
+ 2694b: dcf2.*
+ 2694c: ddf2.*
+ 2694d: dc06.*
+ 2694e: dd06.*
+ 2694f: dc46.*
+ 26950: dd46.*
+ 26951: dc86.*
+ 26952: dd86.*
+ 26953: dcc6.*
+ 26954: ddc6.*
+ 26955: dc16.*
+ 26956: dd16.*
+ 26957: dc56.*
+ 26958: dd56.*
+ 26959: dc96.*
+ 2695a: dd96.*
+ 2695b: dcd6.*
+ 2695c: ddd6.*
+ 2695d: dc26.*
+ 2695e: dd26.*
+ 2695f: dc66.*
+ 26960: dd66.*
+ 26961: dca6.*
+ 26962: dda6.*
+ 26963: dce6.*
+ 26964: dde6.*
+ 26965: dc36.*
+ 26966: dd36.*
+ 26967: dc76.*
+ 26968: dd76.*
+ 26969: dcb6.*
+ 2696a: ddb6.*
+ 2696b: dcf6.*
+ 2696c: ddf6.*
+ 2696d: dc0a.*
+ 2696e: dd0a.*
+ 2696f: dc4a.*
+ 26970: dd4a.*
+ 26971: dc8a.*
+ 26972: dd8a.*
+ 26973: dcca.*
+ 26974: ddca.*
+ 26975: dc1a.*
+ 26976: dd1a.*
+ 26977: dc5a.*
+ 26978: dd5a.*
+ 26979: dc9a.*
+ 2697a: dd9a.*
+ 2697b: dcda.*
+ 2697c: ddda.*
+ 2697d: dc2a.*
+ 2697e: dd2a.*
+ 2697f: dc6a.*
+ 26980: dd6a.*
+ 26981: dcaa.*
+ 26982: ddaa.*
+ 26983: dcea.*
+ 26984: ddea.*
+ 26985: dc3a.*
+ 26986: dd3a.*
+ 26987: dc7a.*
+ 26988: dd7a.*
+ 26989: dcba.*
+ 2698a: ddba.*
+ 2698b: dcfa.*
+ 2698c: ddfa.*
+ 2698d: dc0e.*
+ 2698e: dd0e.*
+ 2698f: dc4e.*
+ 26990: dd4e.*
+ 26991: dc8e.*
+ 26992: dd8e.*
+ 26993: dcce.*
+ 26994: ddce.*
+ 26995: dc1e.*
+ 26996: dd1e.*
+ 26997: dc5e.*
+ 26998: dd5e.*
+ 26999: dc9e.*
+ 2699a: dd9e.*
+ 2699b: dcde.*
+ 2699c: ddde.*
+ 2699d: dc2e.*
+ 2699e: dd2e.*
+ 2699f: dc6e.*
+ 269a0: dd6e.*
+ 269a1: dcae.*
+ 269a2: ddae.*
+ 269a3: dcee.*
+ 269a4: ddee.*
+ 269a5: dc3e.*
+ 269a6: dd3e.*
+ 269a7: dc7e.*
+ 269a8: dd7e.*
+ 269a9: dcbe.*
+ 269aa: ddbe.*
+ 269ab: dcfe.*
+ 269ac: ddfe.*
+ 269ad: dc03.*
+ 269ae: dd03.*
+ 269af: dc43.*
+ 269b0: dd43.*
+ 269b1: dc83.*
+ 269b2: dd83.*
+ 269b3: dcc3.*
+ 269b4: ddc3.*
+ 269b5: dc13.*
+ 269b6: dd13.*
+ 269b7: dc53.*
+ 269b8: dd53.*
+ 269b9: dc93.*
+ 269ba: dd93.*
+ 269bb: dcd3.*
+ 269bc: ddd3.*
+ 269bd: dc23.*
+ 269be: dd23.*
+ 269bf: dc63.*
+ 269c0: dd63.*
+ 269c1: dca3.*
+ 269c2: dda3.*
+ 269c3: dce3.*
+ 269c4: dde3.*
+ 269c5: dc33.*
+ 269c6: dd33.*
+ 269c7: dc73.*
+ 269c8: dd73.*
+ 269c9: dcb3.*
+ 269ca: ddb3.*
+ 269cb: dcf3.*
+ 269cc: ddf3.*
+ 269cd: dc07.*
+ 269ce: dd07.*
+ 269cf: dc47.*
+ 269d0: dd47.*
+ 269d1: dc87.*
+ 269d2: dd87.*
+ 269d3: dcc7.*
+ 269d4: ddc7.*
+ 269d5: dc17.*
+ 269d6: dd17.*
+ 269d7: dc57.*
+ 269d8: dd57.*
+ 269d9: dc97.*
+ 269da: dd97.*
+ 269db: dcd7.*
+ 269dc: ddd7.*
+ 269dd: dc27.*
+ 269de: dd27.*
+ 269df: dc67.*
+ 269e0: dd67.*
+ 269e1: dca7.*
+ 269e2: dda7.*
+ 269e3: dce7.*
+ 269e4: dde7.*
+ 269e5: dc37.*
+ 269e6: dd37.*
+ 269e7: dc77.*
+ 269e8: dd77.*
+ 269e9: dcb7.*
+ 269ea: ddb7.*
+ 269eb: dcf7.*
+ 269ec: ddf7.*
+ 269ed: dc0b.*
+ 269ee: dd0b.*
+ 269ef: dc4b.*
+ 269f0: dd4b.*
+ 269f1: dc8b.*
+ 269f2: dd8b.*
+ 269f3: dccb.*
+ 269f4: ddcb.*
+ 269f5: dc1b.*
+ 269f6: dd1b.*
+ 269f7: dc5b.*
+ 269f8: dd5b.*
+ 269f9: dc9b.*
+ 269fa: dd9b.*
+ 269fb: dcdb.*
+ 269fc: dddb.*
+ 269fd: dc2b.*
+ 269fe: dd2b.*
+ 269ff: dc6b.*
+ 26a00: dd6b.*
+ 26a01: dcab.*
+ 26a02: ddab.*
+ 26a03: dceb.*
+ 26a04: ddeb.*
+ 26a05: dc3b.*
+ 26a06: dd3b.*
+ 26a07: dc7b.*
+ 26a08: dd7b.*
+ 26a09: dcbb.*
+ 26a0a: ddbb.*
+ 26a0b: dcfb.*
+ 26a0c: ddfb.*
+ 26a0d: dc0f.*
+ 26a0e: dd0f.*
+ 26a0f: dc4f.*
+ 26a10: dd4f.*
+ 26a11: dc8f.*
+ 26a12: dd8f.*
+ 26a13: dccf.*
+ 26a14: ddcf.*
+ 26a15: dc1f.*
+ 26a16: dd1f.*
+ 26a17: dc5f.*
+ 26a18: dd5f.*
+ 26a19: dc9f.*
+ 26a1a: dd9f.*
+ 26a1b: dcdf.*
+ 26a1c: dddf.*
+ 26a1d: dc2f.*
+ 26a1e: dd2f.*
+ 26a1f: dc6f.*
+ 26a20: dd6f.*
+ 26a21: dcaf.*
+ 26a22: ddaf.*
+ 26a23: dcef.*
+ 26a24: ddef.*
+ 26a25: dc3f.*
+ 26a26: dd3f.*
+ 26a27: dc7f.*
+ 26a28: dd7f.*
+ 26a29: dcbf.*
+ 26a2a: ddbf.*
+ 26a2b: dcff.*
+ 26a2c: ddff.*
+ 26a2d: de00.*
+ 26a2e: df00.*
+ 26a2f: de40.*
+ 26a30: df40.*
+ 26a31: de80.*
+ 26a32: df80.*
+ 26a33: dec0.*
+ 26a34: dfc0.*
+ 26a35: de10.*
+ 26a36: df10.*
+ 26a37: de50.*
+ 26a38: df50.*
+ 26a39: de90.*
+ 26a3a: df90.*
+ 26a3b: ded0.*
+ 26a3c: dfd0.*
+ 26a3d: de20.*
+ 26a3e: df20.*
+ 26a3f: de60.*
+ 26a40: df60.*
+ 26a41: dea0.*
+ 26a42: dfa0.*
+ 26a43: dee0.*
+ 26a44: dfe0.*
+ 26a45: de30.*
+ 26a46: df30.*
+ 26a47: de70.*
+ 26a48: df70.*
+ 26a49: deb0.*
+ 26a4a: dfb0.*
+ 26a4b: def0.*
+ 26a4c: dff0.*
+ 26a4d: de04.*
+ 26a4e: df04.*
+ 26a4f: de44.*
+ 26a50: df44.*
+ 26a51: de84.*
+ 26a52: df84.*
+ 26a53: dec4.*
+ 26a54: dfc4.*
+ 26a55: de14.*
+ 26a56: df14.*
+ 26a57: de54.*
+ 26a58: df54.*
+ 26a59: de94.*
+ 26a5a: df94.*
+ 26a5b: ded4.*
+ 26a5c: dfd4.*
+ 26a5d: de24.*
+ 26a5e: df24.*
+ 26a5f: de64.*
+ 26a60: df64.*
+ 26a61: dea4.*
+ 26a62: dfa4.*
+ 26a63: dee4.*
+ 26a64: dfe4.*
+ 26a65: de34.*
+ 26a66: df34.*
+ 26a67: de74.*
+ 26a68: df74.*
+ 26a69: deb4.*
+ 26a6a: dfb4.*
+ 26a6b: def4.*
+ 26a6c: dff4.*
+ 26a6d: de08.*
+ 26a6e: df08.*
+ 26a6f: de48.*
+ 26a70: df48.*
+ 26a71: de88.*
+ 26a72: df88.*
+ 26a73: dec8.*
+ 26a74: dfc8.*
+ 26a75: de18.*
+ 26a76: df18.*
+ 26a77: de58.*
+ 26a78: df58.*
+ 26a79: de98.*
+ 26a7a: df98.*
+ 26a7b: ded8.*
+ 26a7c: dfd8.*
+ 26a7d: de28.*
+ 26a7e: df28.*
+ 26a7f: de68.*
+ 26a80: df68.*
+ 26a81: dea8.*
+ 26a82: dfa8.*
+ 26a83: dee8.*
+ 26a84: dfe8.*
+ 26a85: de38.*
+ 26a86: df38.*
+ 26a87: de78.*
+ 26a88: df78.*
+ 26a89: deb8.*
+ 26a8a: dfb8.*
+ 26a8b: def8.*
+ 26a8c: dff8.*
+ 26a8d: de0c.*
+ 26a8e: df0c.*
+ 26a8f: de4c.*
+ 26a90: df4c.*
+ 26a91: de8c.*
+ 26a92: df8c.*
+ 26a93: decc.*
+ 26a94: dfcc.*
+ 26a95: de1c.*
+ 26a96: df1c.*
+ 26a97: de5c.*
+ 26a98: df5c.*
+ 26a99: de9c.*
+ 26a9a: df9c.*
+ 26a9b: dedc.*
+ 26a9c: dfdc.*
+ 26a9d: de2c.*
+ 26a9e: df2c.*
+ 26a9f: de6c.*
+ 26aa0: df6c.*
+ 26aa1: deac.*
+ 26aa2: dfac.*
+ 26aa3: deec.*
+ 26aa4: dfec.*
+ 26aa5: de3c.*
+ 26aa6: df3c.*
+ 26aa7: de7c.*
+ 26aa8: df7c.*
+ 26aa9: debc.*
+ 26aaa: dfbc.*
+ 26aab: defc.*
+ 26aac: dffc.*
+ 26aad: de01.*
+ 26aae: df01.*
+ 26aaf: de41.*
+ 26ab0: df41.*
+ 26ab1: de81.*
+ 26ab2: df81.*
+ 26ab3: dec1.*
+ 26ab4: dfc1.*
+ 26ab5: de11.*
+ 26ab6: df11.*
+ 26ab7: de51.*
+ 26ab8: df51.*
+ 26ab9: de91.*
+ 26aba: df91.*
+ 26abb: ded1.*
+ 26abc: dfd1.*
+ 26abd: de21.*
+ 26abe: df21.*
+ 26abf: de61.*
+ 26ac0: df61.*
+ 26ac1: dea1.*
+ 26ac2: dfa1.*
+ 26ac3: dee1.*
+ 26ac4: dfe1.*
+ 26ac5: de31.*
+ 26ac6: df31.*
+ 26ac7: de71.*
+ 26ac8: df71.*
+ 26ac9: deb1.*
+ 26aca: dfb1.*
+ 26acb: def1.*
+ 26acc: dff1.*
+ 26acd: de05.*
+ 26ace: df05.*
+ 26acf: de45.*
+ 26ad0: df45.*
+ 26ad1: de85.*
+ 26ad2: df85.*
+ 26ad3: dec5.*
+ 26ad4: dfc5.*
+ 26ad5: de15.*
+ 26ad6: df15.*
+ 26ad7: de55.*
+ 26ad8: df55.*
+ 26ad9: de95.*
+ 26ada: df95.*
+ 26adb: ded5.*
+ 26adc: dfd5.*
+ 26add: de25.*
+ 26ade: df25.*
+ 26adf: de65.*
+ 26ae0: df65.*
+ 26ae1: dea5.*
+ 26ae2: dfa5.*
+ 26ae3: dee5.*
+ 26ae4: dfe5.*
+ 26ae5: de35.*
+ 26ae6: df35.*
+ 26ae7: de75.*
+ 26ae8: df75.*
+ 26ae9: deb5.*
+ 26aea: dfb5.*
+ 26aeb: def5.*
+ 26aec: dff5.*
+ 26aed: de09.*
+ 26aee: df09.*
+ 26aef: de49.*
+ 26af0: df49.*
+ 26af1: de89.*
+ 26af2: df89.*
+ 26af3: dec9.*
+ 26af4: dfc9.*
+ 26af5: de19.*
+ 26af6: df19.*
+ 26af7: de59.*
+ 26af8: df59.*
+ 26af9: de99.*
+ 26afa: df99.*
+ 26afb: ded9.*
+ 26afc: dfd9.*
+ 26afd: de29.*
+ 26afe: df29.*
+ 26aff: de69.*
+ 26b00: df69.*
+ 26b01: dea9.*
+ 26b02: dfa9.*
+ 26b03: dee9.*
+ 26b04: dfe9.*
+ 26b05: de39.*
+ 26b06: df39.*
+ 26b07: de79.*
+ 26b08: df79.*
+ 26b09: deb9.*
+ 26b0a: dfb9.*
+ 26b0b: def9.*
+ 26b0c: dff9.*
+ 26b0d: de0d.*
+ 26b0e: df0d.*
+ 26b0f: de4d.*
+ 26b10: df4d.*
+ 26b11: de8d.*
+ 26b12: df8d.*
+ 26b13: decd.*
+ 26b14: dfcd.*
+ 26b15: de1d.*
+ 26b16: df1d.*
+ 26b17: de5d.*
+ 26b18: df5d.*
+ 26b19: de9d.*
+ 26b1a: df9d.*
+ 26b1b: dedd.*
+ 26b1c: dfdd.*
+ 26b1d: de2d.*
+ 26b1e: df2d.*
+ 26b1f: de6d.*
+ 26b20: df6d.*
+ 26b21: dead.*
+ 26b22: dfad.*
+ 26b23: deed.*
+ 26b24: dfed.*
+ 26b25: de3d.*
+ 26b26: df3d.*
+ 26b27: de7d.*
+ 26b28: df7d.*
+ 26b29: debd.*
+ 26b2a: dfbd.*
+ 26b2b: defd.*
+ 26b2c: dffd.*
+ 26b2d: de02.*
+ 26b2e: df02.*
+ 26b2f: de42.*
+ 26b30: df42.*
+ 26b31: de82.*
+ 26b32: df82.*
+ 26b33: dec2.*
+ 26b34: dfc2.*
+ 26b35: de12.*
+ 26b36: df12.*
+ 26b37: de52.*
+ 26b38: df52.*
+ 26b39: de92.*
+ 26b3a: df92.*
+ 26b3b: ded2.*
+ 26b3c: dfd2.*
+ 26b3d: de22.*
+ 26b3e: df22.*
+ 26b3f: de62.*
+ 26b40: df62.*
+ 26b41: dea2.*
+ 26b42: dfa2.*
+ 26b43: dee2.*
+ 26b44: dfe2.*
+ 26b45: de32.*
+ 26b46: df32.*
+ 26b47: de72.*
+ 26b48: df72.*
+ 26b49: deb2.*
+ 26b4a: dfb2.*
+ 26b4b: def2.*
+ 26b4c: dff2.*
+ 26b4d: de06.*
+ 26b4e: df06.*
+ 26b4f: de46.*
+ 26b50: df46.*
+ 26b51: de86.*
+ 26b52: df86.*
+ 26b53: dec6.*
+ 26b54: dfc6.*
+ 26b55: de16.*
+ 26b56: df16.*
+ 26b57: de56.*
+ 26b58: df56.*
+ 26b59: de96.*
+ 26b5a: df96.*
+ 26b5b: ded6.*
+ 26b5c: dfd6.*
+ 26b5d: de26.*
+ 26b5e: df26.*
+ 26b5f: de66.*
+ 26b60: df66.*
+ 26b61: dea6.*
+ 26b62: dfa6.*
+ 26b63: dee6.*
+ 26b64: dfe6.*
+ 26b65: de36.*
+ 26b66: df36.*
+ 26b67: de76.*
+ 26b68: df76.*
+ 26b69: deb6.*
+ 26b6a: dfb6.*
+ 26b6b: def6.*
+ 26b6c: dff6.*
+ 26b6d: de0a.*
+ 26b6e: df0a.*
+ 26b6f: de4a.*
+ 26b70: df4a.*
+ 26b71: de8a.*
+ 26b72: df8a.*
+ 26b73: deca.*
+ 26b74: dfca.*
+ 26b75: de1a.*
+ 26b76: df1a.*
+ 26b77: de5a.*
+ 26b78: df5a.*
+ 26b79: de9a.*
+ 26b7a: df9a.*
+ 26b7b: deda.*
+ 26b7c: dfda.*
+ 26b7d: de2a.*
+ 26b7e: df2a.*
+ 26b7f: de6a.*
+ 26b80: df6a.*
+ 26b81: deaa.*
+ 26b82: dfaa.*
+ 26b83: deea.*
+ 26b84: dfea.*
+ 26b85: de3a.*
+ 26b86: df3a.*
+ 26b87: de7a.*
+ 26b88: df7a.*
+ 26b89: deba.*
+ 26b8a: dfba.*
+ 26b8b: defa.*
+ 26b8c: dffa.*
+ 26b8d: de0e.*
+ 26b8e: df0e.*
+ 26b8f: de4e.*
+ 26b90: df4e.*
+ 26b91: de8e.*
+ 26b92: df8e.*
+ 26b93: dece.*
+ 26b94: dfce.*
+ 26b95: de1e.*
+ 26b96: df1e.*
+ 26b97: de5e.*
+ 26b98: df5e.*
+ 26b99: de9e.*
+ 26b9a: df9e.*
+ 26b9b: dede.*
+ 26b9c: dfde.*
+ 26b9d: de2e.*
+ 26b9e: df2e.*
+ 26b9f: de6e.*
+ 26ba0: df6e.*
+ 26ba1: deae.*
+ 26ba2: dfae.*
+ 26ba3: deee.*
+ 26ba4: dfee.*
+ 26ba5: de3e.*
+ 26ba6: df3e.*
+ 26ba7: de7e.*
+ 26ba8: df7e.*
+ 26ba9: debe.*
+ 26baa: dfbe.*
+ 26bab: defe.*
+ 26bac: dffe.*
+ 26bad: de03.*
+ 26bae: df03.*
+ 26baf: de43.*
+ 26bb0: df43.*
+ 26bb1: de83.*
+ 26bb2: df83.*
+ 26bb3: dec3.*
+ 26bb4: dfc3.*
+ 26bb5: de13.*
+ 26bb6: df13.*
+ 26bb7: de53.*
+ 26bb8: df53.*
+ 26bb9: de93.*
+ 26bba: df93.*
+ 26bbb: ded3.*
+ 26bbc: dfd3.*
+ 26bbd: de23.*
+ 26bbe: df23.*
+ 26bbf: de63.*
+ 26bc0: df63.*
+ 26bc1: dea3.*
+ 26bc2: dfa3.*
+ 26bc3: dee3.*
+ 26bc4: dfe3.*
+ 26bc5: de33.*
+ 26bc6: df33.*
+ 26bc7: de73.*
+ 26bc8: df73.*
+ 26bc9: deb3.*
+ 26bca: dfb3.*
+ 26bcb: def3.*
+ 26bcc: dff3.*
+ 26bcd: de07.*
+ 26bce: df07.*
+ 26bcf: de47.*
+ 26bd0: df47.*
+ 26bd1: de87.*
+ 26bd2: df87.*
+ 26bd3: dec7.*
+ 26bd4: dfc7.*
+ 26bd5: de17.*
+ 26bd6: df17.*
+ 26bd7: de57.*
+ 26bd8: df57.*
+ 26bd9: de97.*
+ 26bda: df97.*
+ 26bdb: ded7.*
+ 26bdc: dfd7.*
+ 26bdd: de27.*
+ 26bde: df27.*
+ 26bdf: de67.*
+ 26be0: df67.*
+ 26be1: dea7.*
+ 26be2: dfa7.*
+ 26be3: dee7.*
+ 26be4: dfe7.*
+ 26be5: de37.*
+ 26be6: df37.*
+ 26be7: de77.*
+ 26be8: df77.*
+ 26be9: deb7.*
+ 26bea: dfb7.*
+ 26beb: def7.*
+ 26bec: dff7.*
+ 26bed: de0b.*
+ 26bee: df0b.*
+ 26bef: de4b.*
+ 26bf0: df4b.*
+ 26bf1: de8b.*
+ 26bf2: df8b.*
+ 26bf3: decb.*
+ 26bf4: dfcb.*
+ 26bf5: de1b.*
+ 26bf6: df1b.*
+ 26bf7: de5b.*
+ 26bf8: df5b.*
+ 26bf9: de9b.*
+ 26bfa: df9b.*
+ 26bfb: dedb.*
+ 26bfc: dfdb.*
+ 26bfd: de2b.*
+ 26bfe: df2b.*
+ 26bff: de6b.*
+ 26c00: df6b.*
+ 26c01: deab.*
+ 26c02: dfab.*
+ 26c03: deeb.*
+ 26c04: dfeb.*
+ 26c05: de3b.*
+ 26c06: df3b.*
+ 26c07: de7b.*
+ 26c08: df7b.*
+ 26c09: debb.*
+ 26c0a: dfbb.*
+ 26c0b: defb.*
+ 26c0c: dffb.*
+ 26c0d: de0f.*
+ 26c0e: df0f.*
+ 26c0f: de4f.*
+ 26c10: df4f.*
+ 26c11: de8f.*
+ 26c12: df8f.*
+ 26c13: decf.*
+ 26c14: dfcf.*
+ 26c15: de1f.*
+ 26c16: df1f.*
+ 26c17: de5f.*
+ 26c18: df5f.*
+ 26c19: de9f.*
+ 26c1a: df9f.*
+ 26c1b: dedf.*
+ 26c1c: dfdf.*
+ 26c1d: de2f.*
+ 26c1e: df2f.*
+ 26c1f: de6f.*
+ 26c20: df6f.*
+ 26c21: deaf.*
+ 26c22: dfaf.*
+ 26c23: deef.*
+ 26c24: dfef.*
+ 26c25: de3f.*
+ 26c26: df3f.*
+ 26c27: de7f.*
+ 26c28: df7f.*
+ 26c29: debf.*
+ 26c2a: dfbf.*
+ 26c2b: deff.*
+ 26c2c: dfff.*
+ 26c2d: cc00.*
+ 26c2e: cd00.*
+ 26c2f: cc40.*
+ 26c30: cd40.*
+ 26c31: cc80.*
+ 26c32: cd80.*
+ 26c33: ccc0.*
+ 26c34: cdc0.*
+ 26c35: cc10.*
+ 26c36: cd10.*
+ 26c37: cc50.*
+ 26c38: cd50.*
+ 26c39: cc90.*
+ 26c3a: cd90.*
+ 26c3b: ccd0.*
+ 26c3c: cdd0.*
+ 26c3d: cc20.*
+ 26c3e: cd20.*
+ 26c3f: cc60.*
+ 26c40: cd60.*
+ 26c41: cca0.*
+ 26c42: cda0.*
+ 26c43: cce0.*
+ 26c44: cde0.*
+ 26c45: cc30.*
+ 26c46: cd30.*
+ 26c47: cc70.*
+ 26c48: cd70.*
+ 26c49: ccb0.*
+ 26c4a: cdb0.*
+ 26c4b: ccf0.*
+ 26c4c: cdf0.*
+ 26c4d: cc04.*
+ 26c4e: cd04.*
+ 26c4f: cc44.*
+ 26c50: cd44.*
+ 26c51: cc84.*
+ 26c52: cd84.*
+ 26c53: ccc4.*
+ 26c54: cdc4.*
+ 26c55: cc14.*
+ 26c56: cd14.*
+ 26c57: cc54.*
+ 26c58: cd54.*
+ 26c59: cc94.*
+ 26c5a: cd94.*
+ 26c5b: ccd4.*
+ 26c5c: cdd4.*
+ 26c5d: cc24.*
+ 26c5e: cd24.*
+ 26c5f: cc64.*
+ 26c60: cd64.*
+ 26c61: cca4.*
+ 26c62: cda4.*
+ 26c63: cce4.*
+ 26c64: cde4.*
+ 26c65: cc34.*
+ 26c66: cd34.*
+ 26c67: cc74.*
+ 26c68: cd74.*
+ 26c69: ccb4.*
+ 26c6a: cdb4.*
+ 26c6b: ccf4.*
+ 26c6c: cdf4.*
+ 26c6d: cc08.*
+ 26c6e: cd08.*
+ 26c6f: cc48.*
+ 26c70: cd48.*
+ 26c71: cc88.*
+ 26c72: cd88.*
+ 26c73: ccc8.*
+ 26c74: cdc8.*
+ 26c75: cc18.*
+ 26c76: cd18.*
+ 26c77: cc58.*
+ 26c78: cd58.*
+ 26c79: cc98.*
+ 26c7a: cd98.*
+ 26c7b: ccd8.*
+ 26c7c: cdd8.*
+ 26c7d: cc28.*
+ 26c7e: cd28.*
+ 26c7f: cc68.*
+ 26c80: cd68.*
+ 26c81: cca8.*
+ 26c82: cda8.*
+ 26c83: cce8.*
+ 26c84: cde8.*
+ 26c85: cc38.*
+ 26c86: cd38.*
+ 26c87: cc78.*
+ 26c88: cd78.*
+ 26c89: ccb8.*
+ 26c8a: cdb8.*
+ 26c8b: ccf8.*
+ 26c8c: cdf8.*
+ 26c8d: cc0c.*
+ 26c8e: cd0c.*
+ 26c8f: cc4c.*
+ 26c90: cd4c.*
+ 26c91: cc8c.*
+ 26c92: cd8c.*
+ 26c93: cccc.*
+ 26c94: cdcc.*
+ 26c95: cc1c.*
+ 26c96: cd1c.*
+ 26c97: cc5c.*
+ 26c98: cd5c.*
+ 26c99: cc9c.*
+ 26c9a: cd9c.*
+ 26c9b: ccdc.*
+ 26c9c: cddc.*
+ 26c9d: cc2c.*
+ 26c9e: cd2c.*
+ 26c9f: cc6c.*
+ 26ca0: cd6c.*
+ 26ca1: ccac.*
+ 26ca2: cdac.*
+ 26ca3: ccec.*
+ 26ca4: cdec.*
+ 26ca5: cc3c.*
+ 26ca6: cd3c.*
+ 26ca7: cc7c.*
+ 26ca8: cd7c.*
+ 26ca9: ccbc.*
+ 26caa: cdbc.*
+ 26cab: ccfc.*
+ 26cac: cdfc.*
+ 26cad: cc01.*
+ 26cae: cd01.*
+ 26caf: cc41.*
+ 26cb0: cd41.*
+ 26cb1: cc81.*
+ 26cb2: cd81.*
+ 26cb3: ccc1.*
+ 26cb4: cdc1.*
+ 26cb5: cc11.*
+ 26cb6: cd11.*
+ 26cb7: cc51.*
+ 26cb8: cd51.*
+ 26cb9: cc91.*
+ 26cba: cd91.*
+ 26cbb: ccd1.*
+ 26cbc: cdd1.*
+ 26cbd: cc21.*
+ 26cbe: cd21.*
+ 26cbf: cc61.*
+ 26cc0: cd61.*
+ 26cc1: cca1.*
+ 26cc2: cda1.*
+ 26cc3: cce1.*
+ 26cc4: cde1.*
+ 26cc5: cc31.*
+ 26cc6: cd31.*
+ 26cc7: cc71.*
+ 26cc8: cd71.*
+ 26cc9: ccb1.*
+ 26cca: cdb1.*
+ 26ccb: ccf1.*
+ 26ccc: cdf1.*
+ 26ccd: cc05.*
+ 26cce: cd05.*
+ 26ccf: cc45.*
+ 26cd0: cd45.*
+ 26cd1: cc85.*
+ 26cd2: cd85.*
+ 26cd3: ccc5.*
+ 26cd4: cdc5.*
+ 26cd5: cc15.*
+ 26cd6: cd15.*
+ 26cd7: cc55.*
+ 26cd8: cd55.*
+ 26cd9: cc95.*
+ 26cda: cd95.*
+ 26cdb: ccd5.*
+ 26cdc: cdd5.*
+ 26cdd: cc25.*
+ 26cde: cd25.*
+ 26cdf: cc65.*
+ 26ce0: cd65.*
+ 26ce1: cca5.*
+ 26ce2: cda5.*
+ 26ce3: cce5.*
+ 26ce4: cde5.*
+ 26ce5: cc35.*
+ 26ce6: cd35.*
+ 26ce7: cc75.*
+ 26ce8: cd75.*
+ 26ce9: ccb5.*
+ 26cea: cdb5.*
+ 26ceb: ccf5.*
+ 26cec: cdf5.*
+ 26ced: cc09.*
+ 26cee: cd09.*
+ 26cef: cc49.*
+ 26cf0: cd49.*
+ 26cf1: cc89.*
+ 26cf2: cd89.*
+ 26cf3: ccc9.*
+ 26cf4: cdc9.*
+ 26cf5: cc19.*
+ 26cf6: cd19.*
+ 26cf7: cc59.*
+ 26cf8: cd59.*
+ 26cf9: cc99.*
+ 26cfa: cd99.*
+ 26cfb: ccd9.*
+ 26cfc: cdd9.*
+ 26cfd: cc29.*
+ 26cfe: cd29.*
+ 26cff: cc69.*
+ 26d00: cd69.*
+ 26d01: cca9.*
+ 26d02: cda9.*
+ 26d03: cce9.*
+ 26d04: cde9.*
+ 26d05: cc39.*
+ 26d06: cd39.*
+ 26d07: cc79.*
+ 26d08: cd79.*
+ 26d09: ccb9.*
+ 26d0a: cdb9.*
+ 26d0b: ccf9.*
+ 26d0c: cdf9.*
+ 26d0d: cc0d.*
+ 26d0e: cd0d.*
+ 26d0f: cc4d.*
+ 26d10: cd4d.*
+ 26d11: cc8d.*
+ 26d12: cd8d.*
+ 26d13: cccd.*
+ 26d14: cdcd.*
+ 26d15: cc1d.*
+ 26d16: cd1d.*
+ 26d17: cc5d.*
+ 26d18: cd5d.*
+ 26d19: cc9d.*
+ 26d1a: cd9d.*
+ 26d1b: ccdd.*
+ 26d1c: cddd.*
+ 26d1d: cc2d.*
+ 26d1e: cd2d.*
+ 26d1f: cc6d.*
+ 26d20: cd6d.*
+ 26d21: ccad.*
+ 26d22: cdad.*
+ 26d23: cced.*
+ 26d24: cded.*
+ 26d25: cc3d.*
+ 26d26: cd3d.*
+ 26d27: cc7d.*
+ 26d28: cd7d.*
+ 26d29: ccbd.*
+ 26d2a: cdbd.*
+ 26d2b: ccfd.*
+ 26d2c: cdfd.*
+ 26d2d: cc02.*
+ 26d2e: cd02.*
+ 26d2f: cc42.*
+ 26d30: cd42.*
+ 26d31: cc82.*
+ 26d32: cd82.*
+ 26d33: ccc2.*
+ 26d34: cdc2.*
+ 26d35: cc12.*
+ 26d36: cd12.*
+ 26d37: cc52.*
+ 26d38: cd52.*
+ 26d39: cc92.*
+ 26d3a: cd92.*
+ 26d3b: ccd2.*
+ 26d3c: cdd2.*
+ 26d3d: cc22.*
+ 26d3e: cd22.*
+ 26d3f: cc62.*
+ 26d40: cd62.*
+ 26d41: cca2.*
+ 26d42: cda2.*
+ 26d43: cce2.*
+ 26d44: cde2.*
+ 26d45: cc32.*
+ 26d46: cd32.*
+ 26d47: cc72.*
+ 26d48: cd72.*
+ 26d49: ccb2.*
+ 26d4a: cdb2.*
+ 26d4b: ccf2.*
+ 26d4c: cdf2.*
+ 26d4d: cc06.*
+ 26d4e: cd06.*
+ 26d4f: cc46.*
+ 26d50: cd46.*
+ 26d51: cc86.*
+ 26d52: cd86.*
+ 26d53: ccc6.*
+ 26d54: cdc6.*
+ 26d55: cc16.*
+ 26d56: cd16.*
+ 26d57: cc56.*
+ 26d58: cd56.*
+ 26d59: cc96.*
+ 26d5a: cd96.*
+ 26d5b: ccd6.*
+ 26d5c: cdd6.*
+ 26d5d: cc26.*
+ 26d5e: cd26.*
+ 26d5f: cc66.*
+ 26d60: cd66.*
+ 26d61: cca6.*
+ 26d62: cda6.*
+ 26d63: cce6.*
+ 26d64: cde6.*
+ 26d65: cc36.*
+ 26d66: cd36.*
+ 26d67: cc76.*
+ 26d68: cd76.*
+ 26d69: ccb6.*
+ 26d6a: cdb6.*
+ 26d6b: ccf6.*
+ 26d6c: cdf6.*
+ 26d6d: cc0a.*
+ 26d6e: cd0a.*
+ 26d6f: cc4a.*
+ 26d70: cd4a.*
+ 26d71: cc8a.*
+ 26d72: cd8a.*
+ 26d73: ccca.*
+ 26d74: cdca.*
+ 26d75: cc1a.*
+ 26d76: cd1a.*
+ 26d77: cc5a.*
+ 26d78: cd5a.*
+ 26d79: cc9a.*
+ 26d7a: cd9a.*
+ 26d7b: ccda.*
+ 26d7c: cdda.*
+ 26d7d: cc2a.*
+ 26d7e: cd2a.*
+ 26d7f: cc6a.*
+ 26d80: cd6a.*
+ 26d81: ccaa.*
+ 26d82: cdaa.*
+ 26d83: ccea.*
+ 26d84: cdea.*
+ 26d85: cc3a.*
+ 26d86: cd3a.*
+ 26d87: cc7a.*
+ 26d88: cd7a.*
+ 26d89: ccba.*
+ 26d8a: cdba.*
+ 26d8b: ccfa.*
+ 26d8c: cdfa.*
+ 26d8d: cc0e.*
+ 26d8e: cd0e.*
+ 26d8f: cc4e.*
+ 26d90: cd4e.*
+ 26d91: cc8e.*
+ 26d92: cd8e.*
+ 26d93: ccce.*
+ 26d94: cdce.*
+ 26d95: cc1e.*
+ 26d96: cd1e.*
+ 26d97: cc5e.*
+ 26d98: cd5e.*
+ 26d99: cc9e.*
+ 26d9a: cd9e.*
+ 26d9b: ccde.*
+ 26d9c: cdde.*
+ 26d9d: cc2e.*
+ 26d9e: cd2e.*
+ 26d9f: cc6e.*
+ 26da0: cd6e.*
+ 26da1: ccae.*
+ 26da2: cdae.*
+ 26da3: ccee.*
+ 26da4: cdee.*
+ 26da5: cc3e.*
+ 26da6: cd3e.*
+ 26da7: cc7e.*
+ 26da8: cd7e.*
+ 26da9: ccbe.*
+ 26daa: cdbe.*
+ 26dab: ccfe.*
+ 26dac: cdfe.*
+ 26dad: cc03.*
+ 26dae: cd03.*
+ 26daf: cc43.*
+ 26db0: cd43.*
+ 26db1: cc83.*
+ 26db2: cd83.*
+ 26db3: ccc3.*
+ 26db4: cdc3.*
+ 26db5: cc13.*
+ 26db6: cd13.*
+ 26db7: cc53.*
+ 26db8: cd53.*
+ 26db9: cc93.*
+ 26dba: cd93.*
+ 26dbb: ccd3.*
+ 26dbc: cdd3.*
+ 26dbd: cc23.*
+ 26dbe: cd23.*
+ 26dbf: cc63.*
+ 26dc0: cd63.*
+ 26dc1: cca3.*
+ 26dc2: cda3.*
+ 26dc3: cce3.*
+ 26dc4: cde3.*
+ 26dc5: cc33.*
+ 26dc6: cd33.*
+ 26dc7: cc73.*
+ 26dc8: cd73.*
+ 26dc9: ccb3.*
+ 26dca: cdb3.*
+ 26dcb: ccf3.*
+ 26dcc: cdf3.*
+ 26dcd: cc07.*
+ 26dce: cd07.*
+ 26dcf: cc47.*
+ 26dd0: cd47.*
+ 26dd1: cc87.*
+ 26dd2: cd87.*
+ 26dd3: ccc7.*
+ 26dd4: cdc7.*
+ 26dd5: cc17.*
+ 26dd6: cd17.*
+ 26dd7: cc57.*
+ 26dd8: cd57.*
+ 26dd9: cc97.*
+ 26dda: cd97.*
+ 26ddb: ccd7.*
+ 26ddc: cdd7.*
+ 26ddd: cc27.*
+ 26dde: cd27.*
+ 26ddf: cc67.*
+ 26de0: cd67.*
+ 26de1: cca7.*
+ 26de2: cda7.*
+ 26de3: cce7.*
+ 26de4: cde7.*
+ 26de5: cc37.*
+ 26de6: cd37.*
+ 26de7: cc77.*
+ 26de8: cd77.*
+ 26de9: ccb7.*
+ 26dea: cdb7.*
+ 26deb: ccf7.*
+ 26dec: cdf7.*
+ 26ded: cc0b.*
+ 26dee: cd0b.*
+ 26def: cc4b.*
+ 26df0: cd4b.*
+ 26df1: cc8b.*
+ 26df2: cd8b.*
+ 26df3: cccb.*
+ 26df4: cdcb.*
+ 26df5: cc1b.*
+ 26df6: cd1b.*
+ 26df7: cc5b.*
+ 26df8: cd5b.*
+ 26df9: cc9b.*
+ 26dfa: cd9b.*
+ 26dfb: ccdb.*
+ 26dfc: cddb.*
+ 26dfd: cc2b.*
+ 26dfe: cd2b.*
+ 26dff: cc6b.*
+ 26e00: cd6b.*
+ 26e01: ccab.*
+ 26e02: cdab.*
+ 26e03: cceb.*
+ 26e04: cdeb.*
+ 26e05: cc3b.*
+ 26e06: cd3b.*
+ 26e07: cc7b.*
+ 26e08: cd7b.*
+ 26e09: ccbb.*
+ 26e0a: cdbb.*
+ 26e0b: ccfb.*
+ 26e0c: cdfb.*
+ 26e0d: cc0f.*
+ 26e0e: cd0f.*
+ 26e0f: cc4f.*
+ 26e10: cd4f.*
+ 26e11: cc8f.*
+ 26e12: cd8f.*
+ 26e13: cccf.*
+ 26e14: cdcf.*
+ 26e15: cc1f.*
+ 26e16: cd1f.*
+ 26e17: cc5f.*
+ 26e18: cd5f.*
+ 26e19: cc9f.*
+ 26e1a: cd9f.*
+ 26e1b: ccdf.*
+ 26e1c: cddf.*
+ 26e1d: cc2f.*
+ 26e1e: cd2f.*
+ 26e1f: cc6f.*
+ 26e20: cd6f.*
+ 26e21: ccaf.*
+ 26e22: cdaf.*
+ 26e23: ccef.*
+ 26e24: cdef.*
+ 26e25: cc3f.*
+ 26e26: cd3f.*
+ 26e27: cc7f.*
+ 26e28: cd7f.*
+ 26e29: ccbf.*
+ 26e2a: cdbf.*
+ 26e2b: ccff.*
+ 26e2c: cdff.*
+ 26e2d: ce00.*
+ 26e2e: cf00.*
+ 26e2f: ce40.*
+ 26e30: cf40.*
+ 26e31: ce80.*
+ 26e32: cf80.*
+ 26e33: cec0.*
+ 26e34: cfc0.*
+ 26e35: ce10.*
+ 26e36: cf10.*
+ 26e37: ce50.*
+ 26e38: cf50.*
+ 26e39: ce90.*
+ 26e3a: cf90.*
+ 26e3b: ced0.*
+ 26e3c: cfd0.*
+ 26e3d: ce20.*
+ 26e3e: cf20.*
+ 26e3f: ce60.*
+ 26e40: cf60.*
+ 26e41: cea0.*
+ 26e42: cfa0.*
+ 26e43: cee0.*
+ 26e44: cfe0.*
+ 26e45: ce30.*
+ 26e46: cf30.*
+ 26e47: ce70.*
+ 26e48: cf70.*
+ 26e49: ceb0.*
+ 26e4a: cfb0.*
+ 26e4b: cef0.*
+ 26e4c: cff0.*
+ 26e4d: ce04.*
+ 26e4e: cf04.*
+ 26e4f: ce44.*
+ 26e50: cf44.*
+ 26e51: ce84.*
+ 26e52: cf84.*
+ 26e53: cec4.*
+ 26e54: cfc4.*
+ 26e55: ce14.*
+ 26e56: cf14.*
+ 26e57: ce54.*
+ 26e58: cf54.*
+ 26e59: ce94.*
+ 26e5a: cf94.*
+ 26e5b: ced4.*
+ 26e5c: cfd4.*
+ 26e5d: ce24.*
+ 26e5e: cf24.*
+ 26e5f: ce64.*
+ 26e60: cf64.*
+ 26e61: cea4.*
+ 26e62: cfa4.*
+ 26e63: cee4.*
+ 26e64: cfe4.*
+ 26e65: ce34.*
+ 26e66: cf34.*
+ 26e67: ce74.*
+ 26e68: cf74.*
+ 26e69: ceb4.*
+ 26e6a: cfb4.*
+ 26e6b: cef4.*
+ 26e6c: cff4.*
+ 26e6d: ce08.*
+ 26e6e: cf08.*
+ 26e6f: ce48.*
+ 26e70: cf48.*
+ 26e71: ce88.*
+ 26e72: cf88.*
+ 26e73: cec8.*
+ 26e74: cfc8.*
+ 26e75: ce18.*
+ 26e76: cf18.*
+ 26e77: ce58.*
+ 26e78: cf58.*
+ 26e79: ce98.*
+ 26e7a: cf98.*
+ 26e7b: ced8.*
+ 26e7c: cfd8.*
+ 26e7d: ce28.*
+ 26e7e: cf28.*
+ 26e7f: ce68.*
+ 26e80: cf68.*
+ 26e81: cea8.*
+ 26e82: cfa8.*
+ 26e83: cee8.*
+ 26e84: cfe8.*
+ 26e85: ce38.*
+ 26e86: cf38.*
+ 26e87: ce78.*
+ 26e88: cf78.*
+ 26e89: ceb8.*
+ 26e8a: cfb8.*
+ 26e8b: cef8.*
+ 26e8c: cff8.*
+ 26e8d: ce0c.*
+ 26e8e: cf0c.*
+ 26e8f: ce4c.*
+ 26e90: cf4c.*
+ 26e91: ce8c.*
+ 26e92: cf8c.*
+ 26e93: cecc.*
+ 26e94: cfcc.*
+ 26e95: ce1c.*
+ 26e96: cf1c.*
+ 26e97: ce5c.*
+ 26e98: cf5c.*
+ 26e99: ce9c.*
+ 26e9a: cf9c.*
+ 26e9b: cedc.*
+ 26e9c: cfdc.*
+ 26e9d: ce2c.*
+ 26e9e: cf2c.*
+ 26e9f: ce6c.*
+ 26ea0: cf6c.*
+ 26ea1: ceac.*
+ 26ea2: cfac.*
+ 26ea3: ceec.*
+ 26ea4: cfec.*
+ 26ea5: ce3c.*
+ 26ea6: cf3c.*
+ 26ea7: ce7c.*
+ 26ea8: cf7c.*
+ 26ea9: cebc.*
+ 26eaa: cfbc.*
+ 26eab: cefc.*
+ 26eac: cffc.*
+ 26ead: ce01.*
+ 26eae: cf01.*
+ 26eaf: ce41.*
+ 26eb0: cf41.*
+ 26eb1: ce81.*
+ 26eb2: cf81.*
+ 26eb3: cec1.*
+ 26eb4: cfc1.*
+ 26eb5: ce11.*
+ 26eb6: cf11.*
+ 26eb7: ce51.*
+ 26eb8: cf51.*
+ 26eb9: ce91.*
+ 26eba: cf91.*
+ 26ebb: ced1.*
+ 26ebc: cfd1.*
+ 26ebd: ce21.*
+ 26ebe: cf21.*
+ 26ebf: ce61.*
+ 26ec0: cf61.*
+ 26ec1: cea1.*
+ 26ec2: cfa1.*
+ 26ec3: cee1.*
+ 26ec4: cfe1.*
+ 26ec5: ce31.*
+ 26ec6: cf31.*
+ 26ec7: ce71.*
+ 26ec8: cf71.*
+ 26ec9: ceb1.*
+ 26eca: cfb1.*
+ 26ecb: cef1.*
+ 26ecc: cff1.*
+ 26ecd: ce05.*
+ 26ece: cf05.*
+ 26ecf: ce45.*
+ 26ed0: cf45.*
+ 26ed1: ce85.*
+ 26ed2: cf85.*
+ 26ed3: cec5.*
+ 26ed4: cfc5.*
+ 26ed5: ce15.*
+ 26ed6: cf15.*
+ 26ed7: ce55.*
+ 26ed8: cf55.*
+ 26ed9: ce95.*
+ 26eda: cf95.*
+ 26edb: ced5.*
+ 26edc: cfd5.*
+ 26edd: ce25.*
+ 26ede: cf25.*
+ 26edf: ce65.*
+ 26ee0: cf65.*
+ 26ee1: cea5.*
+ 26ee2: cfa5.*
+ 26ee3: cee5.*
+ 26ee4: cfe5.*
+ 26ee5: ce35.*
+ 26ee6: cf35.*
+ 26ee7: ce75.*
+ 26ee8: cf75.*
+ 26ee9: ceb5.*
+ 26eea: cfb5.*
+ 26eeb: cef5.*
+ 26eec: cff5.*
+ 26eed: ce09.*
+ 26eee: cf09.*
+ 26eef: ce49.*
+ 26ef0: cf49.*
+ 26ef1: ce89.*
+ 26ef2: cf89.*
+ 26ef3: cec9.*
+ 26ef4: cfc9.*
+ 26ef5: ce19.*
+ 26ef6: cf19.*
+ 26ef7: ce59.*
+ 26ef8: cf59.*
+ 26ef9: ce99.*
+ 26efa: cf99.*
+ 26efb: ced9.*
+ 26efc: cfd9.*
+ 26efd: ce29.*
+ 26efe: cf29.*
+ 26eff: ce69.*
+ 26f00: cf69.*
+ 26f01: cea9.*
+ 26f02: cfa9.*
+ 26f03: cee9.*
+ 26f04: cfe9.*
+ 26f05: ce39.*
+ 26f06: cf39.*
+ 26f07: ce79.*
+ 26f08: cf79.*
+ 26f09: ceb9.*
+ 26f0a: cfb9.*
+ 26f0b: cef9.*
+ 26f0c: cff9.*
+ 26f0d: ce0d.*
+ 26f0e: cf0d.*
+ 26f0f: ce4d.*
+ 26f10: cf4d.*
+ 26f11: ce8d.*
+ 26f12: cf8d.*
+ 26f13: cecd.*
+ 26f14: cfcd.*
+ 26f15: ce1d.*
+ 26f16: cf1d.*
+ 26f17: ce5d.*
+ 26f18: cf5d.*
+ 26f19: ce9d.*
+ 26f1a: cf9d.*
+ 26f1b: cedd.*
+ 26f1c: cfdd.*
+ 26f1d: ce2d.*
+ 26f1e: cf2d.*
+ 26f1f: ce6d.*
+ 26f20: cf6d.*
+ 26f21: cead.*
+ 26f22: cfad.*
+ 26f23: ceed.*
+ 26f24: cfed.*
+ 26f25: ce3d.*
+ 26f26: cf3d.*
+ 26f27: ce7d.*
+ 26f28: cf7d.*
+ 26f29: cebd.*
+ 26f2a: cfbd.*
+ 26f2b: cefd.*
+ 26f2c: cffd.*
+ 26f2d: ce02.*
+ 26f2e: cf02.*
+ 26f2f: ce42.*
+ 26f30: cf42.*
+ 26f31: ce82.*
+ 26f32: cf82.*
+ 26f33: cec2.*
+ 26f34: cfc2.*
+ 26f35: ce12.*
+ 26f36: cf12.*
+ 26f37: ce52.*
+ 26f38: cf52.*
+ 26f39: ce92.*
+ 26f3a: cf92.*
+ 26f3b: ced2.*
+ 26f3c: cfd2.*
+ 26f3d: ce22.*
+ 26f3e: cf22.*
+ 26f3f: ce62.*
+ 26f40: cf62.*
+ 26f41: cea2.*
+ 26f42: cfa2.*
+ 26f43: cee2.*
+ 26f44: cfe2.*
+ 26f45: ce32.*
+ 26f46: cf32.*
+ 26f47: ce72.*
+ 26f48: cf72.*
+ 26f49: ceb2.*
+ 26f4a: cfb2.*
+ 26f4b: cef2.*
+ 26f4c: cff2.*
+ 26f4d: ce06.*
+ 26f4e: cf06.*
+ 26f4f: ce46.*
+ 26f50: cf46.*
+ 26f51: ce86.*
+ 26f52: cf86.*
+ 26f53: cec6.*
+ 26f54: cfc6.*
+ 26f55: ce16.*
+ 26f56: cf16.*
+ 26f57: ce56.*
+ 26f58: cf56.*
+ 26f59: ce96.*
+ 26f5a: cf96.*
+ 26f5b: ced6.*
+ 26f5c: cfd6.*
+ 26f5d: ce26.*
+ 26f5e: cf26.*
+ 26f5f: ce66.*
+ 26f60: cf66.*
+ 26f61: cea6.*
+ 26f62: cfa6.*
+ 26f63: cee6.*
+ 26f64: cfe6.*
+ 26f65: ce36.*
+ 26f66: cf36.*
+ 26f67: ce76.*
+ 26f68: cf76.*
+ 26f69: ceb6.*
+ 26f6a: cfb6.*
+ 26f6b: cef6.*
+ 26f6c: cff6.*
+ 26f6d: ce0a.*
+ 26f6e: cf0a.*
+ 26f6f: ce4a.*
+ 26f70: cf4a.*
+ 26f71: ce8a.*
+ 26f72: cf8a.*
+ 26f73: ceca.*
+ 26f74: cfca.*
+ 26f75: ce1a.*
+ 26f76: cf1a.*
+ 26f77: ce5a.*
+ 26f78: cf5a.*
+ 26f79: ce9a.*
+ 26f7a: cf9a.*
+ 26f7b: ceda.*
+ 26f7c: cfda.*
+ 26f7d: ce2a.*
+ 26f7e: cf2a.*
+ 26f7f: ce6a.*
+ 26f80: cf6a.*
+ 26f81: ceaa.*
+ 26f82: cfaa.*
+ 26f83: ceea.*
+ 26f84: cfea.*
+ 26f85: ce3a.*
+ 26f86: cf3a.*
+ 26f87: ce7a.*
+ 26f88: cf7a.*
+ 26f89: ceba.*
+ 26f8a: cfba.*
+ 26f8b: cefa.*
+ 26f8c: cffa.*
+ 26f8d: ce0e.*
+ 26f8e: cf0e.*
+ 26f8f: ce4e.*
+ 26f90: cf4e.*
+ 26f91: ce8e.*
+ 26f92: cf8e.*
+ 26f93: cece.*
+ 26f94: cfce.*
+ 26f95: ce1e.*
+ 26f96: cf1e.*
+ 26f97: ce5e.*
+ 26f98: cf5e.*
+ 26f99: ce9e.*
+ 26f9a: cf9e.*
+ 26f9b: cede.*
+ 26f9c: cfde.*
+ 26f9d: ce2e.*
+ 26f9e: cf2e.*
+ 26f9f: ce6e.*
+ 26fa0: cf6e.*
+ 26fa1: ceae.*
+ 26fa2: cfae.*
+ 26fa3: ceee.*
+ 26fa4: cfee.*
+ 26fa5: ce3e.*
+ 26fa6: cf3e.*
+ 26fa7: ce7e.*
+ 26fa8: cf7e.*
+ 26fa9: cebe.*
+ 26faa: cfbe.*
+ 26fab: cefe.*
+ 26fac: cffe.*
+ 26fad: ce03.*
+ 26fae: cf03.*
+ 26faf: ce43.*
+ 26fb0: cf43.*
+ 26fb1: ce83.*
+ 26fb2: cf83.*
+ 26fb3: cec3.*
+ 26fb4: cfc3.*
+ 26fb5: ce13.*
+ 26fb6: cf13.*
+ 26fb7: ce53.*
+ 26fb8: cf53.*
+ 26fb9: ce93.*
+ 26fba: cf93.*
+ 26fbb: ced3.*
+ 26fbc: cfd3.*
+ 26fbd: ce23.*
+ 26fbe: cf23.*
+ 26fbf: ce63.*
+ 26fc0: cf63.*
+ 26fc1: cea3.*
+ 26fc2: cfa3.*
+ 26fc3: cee3.*
+ 26fc4: cfe3.*
+ 26fc5: ce33.*
+ 26fc6: cf33.*
+ 26fc7: ce73.*
+ 26fc8: cf73.*
+ 26fc9: ceb3.*
+ 26fca: cfb3.*
+ 26fcb: cef3.*
+ 26fcc: cff3.*
+ 26fcd: ce07.*
+ 26fce: cf07.*
+ 26fcf: ce47.*
+ 26fd0: cf47.*
+ 26fd1: ce87.*
+ 26fd2: cf87.*
+ 26fd3: cec7.*
+ 26fd4: cfc7.*
+ 26fd5: ce17.*
+ 26fd6: cf17.*
+ 26fd7: ce57.*
+ 26fd8: cf57.*
+ 26fd9: ce97.*
+ 26fda: cf97.*
+ 26fdb: ced7.*
+ 26fdc: cfd7.*
+ 26fdd: ce27.*
+ 26fde: cf27.*
+ 26fdf: ce67.*
+ 26fe0: cf67.*
+ 26fe1: cea7.*
+ 26fe2: cfa7.*
+ 26fe3: cee7.*
+ 26fe4: cfe7.*
+ 26fe5: ce37.*
+ 26fe6: cf37.*
+ 26fe7: ce77.*
+ 26fe8: cf77.*
+ 26fe9: ceb7.*
+ 26fea: cfb7.*
+ 26feb: cef7.*
+ 26fec: cff7.*
+ 26fed: ce0b.*
+ 26fee: cf0b.*
+ 26fef: ce4b.*
+ 26ff0: cf4b.*
+ 26ff1: ce8b.*
+ 26ff2: cf8b.*
+ 26ff3: cecb.*
+ 26ff4: cfcb.*
+ 26ff5: ce1b.*
+ 26ff6: cf1b.*
+ 26ff7: ce5b.*
+ 26ff8: cf5b.*
+ 26ff9: ce9b.*
+ 26ffa: cf9b.*
+ 26ffb: cedb.*
+ 26ffc: cfdb.*
+ 26ffd: ce2b.*
+ 26ffe: cf2b.*
+ 26fff: ce6b.*
+ 27000: cf6b.*
+ 27001: ceab.*
+ 27002: cfab.*
+ 27003: ceeb.*
+ 27004: cfeb.*
+ 27005: ce3b.*
+ 27006: cf3b.*
+ 27007: ce7b.*
+ 27008: cf7b.*
+ 27009: cebb.*
+ 2700a: cfbb.*
+ 2700b: cefb.*
+ 2700c: cffb.*
+ 2700d: ce0f.*
+ 2700e: cf0f.*
+ 2700f: ce4f.*
+ 27010: cf4f.*
+ 27011: ce8f.*
+ 27012: cf8f.*
+ 27013: cecf.*
+ 27014: cfcf.*
+ 27015: ce1f.*
+ 27016: cf1f.*
+ 27017: ce5f.*
+ 27018: cf5f.*
+ 27019: ce9f.*
+ 2701a: cf9f.*
+ 2701b: cedf.*
+ 2701c: cfdf.*
+ 2701d: ce2f.*
+ 2701e: cf2f.*
+ 2701f: ce6f.*
+ 27020: cf6f.*
+ 27021: ceaf.*
+ 27022: cfaf.*
+ 27023: ceef.*
+ 27024: cfef.*
+ 27025: ce3f.*
+ 27026: cf3f.*
+ 27027: ce7f.*
+ 27028: cf7f.*
+ 27029: cebf.*
+ 2702a: cfbf.*
+ 2702b: ceff.*
+ 2702c: cfff.*
+ 2702d: c400.*
+ 2702e: c500.*
+ 2702f: c440.*
+ 27030: c540.*
+ 27031: c480.*
+ 27032: c580.*
+ 27033: c4c0.*
+ 27034: c5c0.*
+ 27035: c410.*
+ 27036: c510.*
+ 27037: c450.*
+ 27038: c550.*
+ 27039: c490.*
+ 2703a: c590.*
+ 2703b: c4d0.*
+ 2703c: c5d0.*
+ 2703d: c420.*
+ 2703e: c520.*
+ 2703f: c460.*
+ 27040: c560.*
+ 27041: c4a0.*
+ 27042: c5a0.*
+ 27043: c4e0.*
+ 27044: c5e0.*
+ 27045: c430.*
+ 27046: c530.*
+ 27047: c470.*
+ 27048: c570.*
+ 27049: c4b0.*
+ 2704a: c5b0.*
+ 2704b: c4f0.*
+ 2704c: c5f0.*
+ 2704d: c404.*
+ 2704e: c504.*
+ 2704f: c444.*
+ 27050: c544.*
+ 27051: c484.*
+ 27052: c584.*
+ 27053: c4c4.*
+ 27054: c5c4.*
+ 27055: c414.*
+ 27056: c514.*
+ 27057: c454.*
+ 27058: c554.*
+ 27059: c494.*
+ 2705a: c594.*
+ 2705b: c4d4.*
+ 2705c: c5d4.*
+ 2705d: c424.*
+ 2705e: c524.*
+ 2705f: c464.*
+ 27060: c564.*
+ 27061: c4a4.*
+ 27062: c5a4.*
+ 27063: c4e4.*
+ 27064: c5e4.*
+ 27065: c434.*
+ 27066: c534.*
+ 27067: c474.*
+ 27068: c574.*
+ 27069: c4b4.*
+ 2706a: c5b4.*
+ 2706b: c4f4.*
+ 2706c: c5f4.*
+ 2706d: c408.*
+ 2706e: c508.*
+ 2706f: c448.*
+ 27070: c548.*
+ 27071: c488.*
+ 27072: c588.*
+ 27073: c4c8.*
+ 27074: c5c8.*
+ 27075: c418.*
+ 27076: c518.*
+ 27077: c458.*
+ 27078: c558.*
+ 27079: c498.*
+ 2707a: c598.*
+ 2707b: c4d8.*
+ 2707c: c5d8.*
+ 2707d: c428.*
+ 2707e: c528.*
+ 2707f: c468.*
+ 27080: c568.*
+ 27081: c4a8.*
+ 27082: c5a8.*
+ 27083: c4e8.*
+ 27084: c5e8.*
+ 27085: c438.*
+ 27086: c538.*
+ 27087: c478.*
+ 27088: c578.*
+ 27089: c4b8.*
+ 2708a: c5b8.*
+ 2708b: c4f8.*
+ 2708c: c5f8.*
+ 2708d: c40c.*
+ 2708e: c50c.*
+ 2708f: c44c.*
+ 27090: c54c.*
+ 27091: c48c.*
+ 27092: c58c.*
+ 27093: c4cc.*
+ 27094: c5cc.*
+ 27095: c41c.*
+ 27096: c51c.*
+ 27097: c45c.*
+ 27098: c55c.*
+ 27099: c49c.*
+ 2709a: c59c.*
+ 2709b: c4dc.*
+ 2709c: c5dc.*
+ 2709d: c42c.*
+ 2709e: c52c.*
+ 2709f: c46c.*
+ 270a0: c56c.*
+ 270a1: c4ac.*
+ 270a2: c5ac.*
+ 270a3: c4ec.*
+ 270a4: c5ec.*
+ 270a5: c43c.*
+ 270a6: c53c.*
+ 270a7: c47c.*
+ 270a8: c57c.*
+ 270a9: c4bc.*
+ 270aa: c5bc.*
+ 270ab: c4fc.*
+ 270ac: c5fc.*
+ 270ad: c401.*
+ 270ae: c501.*
+ 270af: c441.*
+ 270b0: c541.*
+ 270b1: c481.*
+ 270b2: c581.*
+ 270b3: c4c1.*
+ 270b4: c5c1.*
+ 270b5: c411.*
+ 270b6: c511.*
+ 270b7: c451.*
+ 270b8: c551.*
+ 270b9: c491.*
+ 270ba: c591.*
+ 270bb: c4d1.*
+ 270bc: c5d1.*
+ 270bd: c421.*
+ 270be: c521.*
+ 270bf: c461.*
+ 270c0: c561.*
+ 270c1: c4a1.*
+ 270c2: c5a1.*
+ 270c3: c4e1.*
+ 270c4: c5e1.*
+ 270c5: c431.*
+ 270c6: c531.*
+ 270c7: c471.*
+ 270c8: c571.*
+ 270c9: c4b1.*
+ 270ca: c5b1.*
+ 270cb: c4f1.*
+ 270cc: c5f1.*
+ 270cd: c405.*
+ 270ce: c505.*
+ 270cf: c445.*
+ 270d0: c545.*
+ 270d1: c485.*
+ 270d2: c585.*
+ 270d3: c4c5.*
+ 270d4: c5c5.*
+ 270d5: c415.*
+ 270d6: c515.*
+ 270d7: c455.*
+ 270d8: c555.*
+ 270d9: c495.*
+ 270da: c595.*
+ 270db: c4d5.*
+ 270dc: c5d5.*
+ 270dd: c425.*
+ 270de: c525.*
+ 270df: c465.*
+ 270e0: c565.*
+ 270e1: c4a5.*
+ 270e2: c5a5.*
+ 270e3: c4e5.*
+ 270e4: c5e5.*
+ 270e5: c435.*
+ 270e6: c535.*
+ 270e7: c475.*
+ 270e8: c575.*
+ 270e9: c4b5.*
+ 270ea: c5b5.*
+ 270eb: c4f5.*
+ 270ec: c5f5.*
+ 270ed: c409.*
+ 270ee: c509.*
+ 270ef: c449.*
+ 270f0: c549.*
+ 270f1: c489.*
+ 270f2: c589.*
+ 270f3: c4c9.*
+ 270f4: c5c9.*
+ 270f5: c419.*
+ 270f6: c519.*
+ 270f7: c459.*
+ 270f8: c559.*
+ 270f9: c499.*
+ 270fa: c599.*
+ 270fb: c4d9.*
+ 270fc: c5d9.*
+ 270fd: c429.*
+ 270fe: c529.*
+ 270ff: c469.*
+ 27100: c569.*
+ 27101: c4a9.*
+ 27102: c5a9.*
+ 27103: c4e9.*
+ 27104: c5e9.*
+ 27105: c439.*
+ 27106: c539.*
+ 27107: c479.*
+ 27108: c579.*
+ 27109: c4b9.*
+ 2710a: c5b9.*
+ 2710b: c4f9.*
+ 2710c: c5f9.*
+ 2710d: c40d.*
+ 2710e: c50d.*
+ 2710f: c44d.*
+ 27110: c54d.*
+ 27111: c48d.*
+ 27112: c58d.*
+ 27113: c4cd.*
+ 27114: c5cd.*
+ 27115: c41d.*
+ 27116: c51d.*
+ 27117: c45d.*
+ 27118: c55d.*
+ 27119: c49d.*
+ 2711a: c59d.*
+ 2711b: c4dd.*
+ 2711c: c5dd.*
+ 2711d: c42d.*
+ 2711e: c52d.*
+ 2711f: c46d.*
+ 27120: c56d.*
+ 27121: c4ad.*
+ 27122: c5ad.*
+ 27123: c4ed.*
+ 27124: c5ed.*
+ 27125: c43d.*
+ 27126: c53d.*
+ 27127: c47d.*
+ 27128: c57d.*
+ 27129: c4bd.*
+ 2712a: c5bd.*
+ 2712b: c4fd.*
+ 2712c: c5fd.*
+ 2712d: c402.*
+ 2712e: c502.*
+ 2712f: c442.*
+ 27130: c542.*
+ 27131: c482.*
+ 27132: c582.*
+ 27133: c4c2.*
+ 27134: c5c2.*
+ 27135: c412.*
+ 27136: c512.*
+ 27137: c452.*
+ 27138: c552.*
+ 27139: c492.*
+ 2713a: c592.*
+ 2713b: c4d2.*
+ 2713c: c5d2.*
+ 2713d: c422.*
+ 2713e: c522.*
+ 2713f: c462.*
+ 27140: c562.*
+ 27141: c4a2.*
+ 27142: c5a2.*
+ 27143: c4e2.*
+ 27144: c5e2.*
+ 27145: c432.*
+ 27146: c532.*
+ 27147: c472.*
+ 27148: c572.*
+ 27149: c4b2.*
+ 2714a: c5b2.*
+ 2714b: c4f2.*
+ 2714c: c5f2.*
+ 2714d: c406.*
+ 2714e: c506.*
+ 2714f: c446.*
+ 27150: c546.*
+ 27151: c486.*
+ 27152: c586.*
+ 27153: c4c6.*
+ 27154: c5c6.*
+ 27155: c416.*
+ 27156: c516.*
+ 27157: c456.*
+ 27158: c556.*
+ 27159: c496.*
+ 2715a: c596.*
+ 2715b: c4d6.*
+ 2715c: c5d6.*
+ 2715d: c426.*
+ 2715e: c526.*
+ 2715f: c466.*
+ 27160: c566.*
+ 27161: c4a6.*
+ 27162: c5a6.*
+ 27163: c4e6.*
+ 27164: c5e6.*
+ 27165: c436.*
+ 27166: c536.*
+ 27167: c476.*
+ 27168: c576.*
+ 27169: c4b6.*
+ 2716a: c5b6.*
+ 2716b: c4f6.*
+ 2716c: c5f6.*
+ 2716d: c40a.*
+ 2716e: c50a.*
+ 2716f: c44a.*
+ 27170: c54a.*
+ 27171: c48a.*
+ 27172: c58a.*
+ 27173: c4ca.*
+ 27174: c5ca.*
+ 27175: c41a.*
+ 27176: c51a.*
+ 27177: c45a.*
+ 27178: c55a.*
+ 27179: c49a.*
+ 2717a: c59a.*
+ 2717b: c4da.*
+ 2717c: c5da.*
+ 2717d: c42a.*
+ 2717e: c52a.*
+ 2717f: c46a.*
+ 27180: c56a.*
+ 27181: c4aa.*
+ 27182: c5aa.*
+ 27183: c4ea.*
+ 27184: c5ea.*
+ 27185: c43a.*
+ 27186: c53a.*
+ 27187: c47a.*
+ 27188: c57a.*
+ 27189: c4ba.*
+ 2718a: c5ba.*
+ 2718b: c4fa.*
+ 2718c: c5fa.*
+ 2718d: c40e.*
+ 2718e: c50e.*
+ 2718f: c44e.*
+ 27190: c54e.*
+ 27191: c48e.*
+ 27192: c58e.*
+ 27193: c4ce.*
+ 27194: c5ce.*
+ 27195: c41e.*
+ 27196: c51e.*
+ 27197: c45e.*
+ 27198: c55e.*
+ 27199: c49e.*
+ 2719a: c59e.*
+ 2719b: c4de.*
+ 2719c: c5de.*
+ 2719d: c42e.*
+ 2719e: c52e.*
+ 2719f: c46e.*
+ 271a0: c56e.*
+ 271a1: c4ae.*
+ 271a2: c5ae.*
+ 271a3: c4ee.*
+ 271a4: c5ee.*
+ 271a5: c43e.*
+ 271a6: c53e.*
+ 271a7: c47e.*
+ 271a8: c57e.*
+ 271a9: c4be.*
+ 271aa: c5be.*
+ 271ab: c4fe.*
+ 271ac: c5fe.*
+ 271ad: c403.*
+ 271ae: c503.*
+ 271af: c443.*
+ 271b0: c543.*
+ 271b1: c483.*
+ 271b2: c583.*
+ 271b3: c4c3.*
+ 271b4: c5c3.*
+ 271b5: c413.*
+ 271b6: c513.*
+ 271b7: c453.*
+ 271b8: c553.*
+ 271b9: c493.*
+ 271ba: c593.*
+ 271bb: c4d3.*
+ 271bc: c5d3.*
+ 271bd: c423.*
+ 271be: c523.*
+ 271bf: c463.*
+ 271c0: c563.*
+ 271c1: c4a3.*
+ 271c2: c5a3.*
+ 271c3: c4e3.*
+ 271c4: c5e3.*
+ 271c5: c433.*
+ 271c6: c533.*
+ 271c7: c473.*
+ 271c8: c573.*
+ 271c9: c4b3.*
+ 271ca: c5b3.*
+ 271cb: c4f3.*
+ 271cc: c5f3.*
+ 271cd: c407.*
+ 271ce: c507.*
+ 271cf: c447.*
+ 271d0: c547.*
+ 271d1: c487.*
+ 271d2: c587.*
+ 271d3: c4c7.*
+ 271d4: c5c7.*
+ 271d5: c417.*
+ 271d6: c517.*
+ 271d7: c457.*
+ 271d8: c557.*
+ 271d9: c497.*
+ 271da: c597.*
+ 271db: c4d7.*
+ 271dc: c5d7.*
+ 271dd: c427.*
+ 271de: c527.*
+ 271df: c467.*
+ 271e0: c567.*
+ 271e1: c4a7.*
+ 271e2: c5a7.*
+ 271e3: c4e7.*
+ 271e4: c5e7.*
+ 271e5: c437.*
+ 271e6: c537.*
+ 271e7: c477.*
+ 271e8: c577.*
+ 271e9: c4b7.*
+ 271ea: c5b7.*
+ 271eb: c4f7.*
+ 271ec: c5f7.*
+ 271ed: c40b.*
+ 271ee: c50b.*
+ 271ef: c44b.*
+ 271f0: c54b.*
+ 271f1: c48b.*
+ 271f2: c58b.*
+ 271f3: c4cb.*
+ 271f4: c5cb.*
+ 271f5: c41b.*
+ 271f6: c51b.*
+ 271f7: c45b.*
+ 271f8: c55b.*
+ 271f9: c49b.*
+ 271fa: c59b.*
+ 271fb: c4db.*
+ 271fc: c5db.*
+ 271fd: c42b.*
+ 271fe: c52b.*
+ 271ff: c46b.*
+ 27200: c56b.*
+ 27201: c4ab.*
+ 27202: c5ab.*
+ 27203: c4eb.*
+ 27204: c5eb.*
+ 27205: c43b.*
+ 27206: c53b.*
+ 27207: c47b.*
+ 27208: c57b.*
+ 27209: c4bb.*
+ 2720a: c5bb.*
+ 2720b: c4fb.*
+ 2720c: c5fb.*
+ 2720d: c40f.*
+ 2720e: c50f.*
+ 2720f: c44f.*
+ 27210: c54f.*
+ 27211: c48f.*
+ 27212: c58f.*
+ 27213: c4cf.*
+ 27214: c5cf.*
+ 27215: c41f.*
+ 27216: c51f.*
+ 27217: c45f.*
+ 27218: c55f.*
+ 27219: c49f.*
+ 2721a: c59f.*
+ 2721b: c4df.*
+ 2721c: c5df.*
+ 2721d: c42f.*
+ 2721e: c52f.*
+ 2721f: c46f.*
+ 27220: c56f.*
+ 27221: c4af.*
+ 27222: c5af.*
+ 27223: c4ef.*
+ 27224: c5ef.*
+ 27225: c43f.*
+ 27226: c53f.*
+ 27227: c47f.*
+ 27228: c57f.*
+ 27229: c4bf.*
+ 2722a: c5bf.*
+ 2722b: c4ff.*
+ 2722c: c5ff.*
+ 2722d: c600.*
+ 2722e: c700.*
+ 2722f: c640.*
+ 27230: c740.*
+ 27231: c680.*
+ 27232: c780.*
+ 27233: c6c0.*
+ 27234: c7c0.*
+ 27235: c610.*
+ 27236: c710.*
+ 27237: c650.*
+ 27238: c750.*
+ 27239: c690.*
+ 2723a: c790.*
+ 2723b: c6d0.*
+ 2723c: c7d0.*
+ 2723d: c620.*
+ 2723e: c720.*
+ 2723f: c660.*
+ 27240: c760.*
+ 27241: c6a0.*
+ 27242: c7a0.*
+ 27243: c6e0.*
+ 27244: c7e0.*
+ 27245: c630.*
+ 27246: c730.*
+ 27247: c670.*
+ 27248: c770.*
+ 27249: c6b0.*
+ 2724a: c7b0.*
+ 2724b: c6f0.*
+ 2724c: c7f0.*
+ 2724d: c604.*
+ 2724e: c704.*
+ 2724f: c644.*
+ 27250: c744.*
+ 27251: c684.*
+ 27252: c784.*
+ 27253: c6c4.*
+ 27254: c7c4.*
+ 27255: c614.*
+ 27256: c714.*
+ 27257: c654.*
+ 27258: c754.*
+ 27259: c694.*
+ 2725a: c794.*
+ 2725b: c6d4.*
+ 2725c: c7d4.*
+ 2725d: c624.*
+ 2725e: c724.*
+ 2725f: c664.*
+ 27260: c764.*
+ 27261: c6a4.*
+ 27262: c7a4.*
+ 27263: c6e4.*
+ 27264: c7e4.*
+ 27265: c634.*
+ 27266: c734.*
+ 27267: c674.*
+ 27268: c774.*
+ 27269: c6b4.*
+ 2726a: c7b4.*
+ 2726b: c6f4.*
+ 2726c: c7f4.*
+ 2726d: c608.*
+ 2726e: c708.*
+ 2726f: c648.*
+ 27270: c748.*
+ 27271: c688.*
+ 27272: c788.*
+ 27273: c6c8.*
+ 27274: c7c8.*
+ 27275: c618.*
+ 27276: c718.*
+ 27277: c658.*
+ 27278: c758.*
+ 27279: c698.*
+ 2727a: c798.*
+ 2727b: c6d8.*
+ 2727c: c7d8.*
+ 2727d: c628.*
+ 2727e: c728.*
+ 2727f: c668.*
+ 27280: c768.*
+ 27281: c6a8.*
+ 27282: c7a8.*
+ 27283: c6e8.*
+ 27284: c7e8.*
+ 27285: c638.*
+ 27286: c738.*
+ 27287: c678.*
+ 27288: c778.*
+ 27289: c6b8.*
+ 2728a: c7b8.*
+ 2728b: c6f8.*
+ 2728c: c7f8.*
+ 2728d: c60c.*
+ 2728e: c70c.*
+ 2728f: c64c.*
+ 27290: c74c.*
+ 27291: c68c.*
+ 27292: c78c.*
+ 27293: c6cc.*
+ 27294: c7cc.*
+ 27295: c61c.*
+ 27296: c71c.*
+ 27297: c65c.*
+ 27298: c75c.*
+ 27299: c69c.*
+ 2729a: c79c.*
+ 2729b: c6dc.*
+ 2729c: c7dc.*
+ 2729d: c62c.*
+ 2729e: c72c.*
+ 2729f: c66c.*
+ 272a0: c76c.*
+ 272a1: c6ac.*
+ 272a2: c7ac.*
+ 272a3: c6ec.*
+ 272a4: c7ec.*
+ 272a5: c63c.*
+ 272a6: c73c.*
+ 272a7: c67c.*
+ 272a8: c77c.*
+ 272a9: c6bc.*
+ 272aa: c7bc.*
+ 272ab: c6fc.*
+ 272ac: c7fc.*
+ 272ad: c601.*
+ 272ae: c701.*
+ 272af: c641.*
+ 272b0: c741.*
+ 272b1: c681.*
+ 272b2: c781.*
+ 272b3: c6c1.*
+ 272b4: c7c1.*
+ 272b5: c611.*
+ 272b6: c711.*
+ 272b7: c651.*
+ 272b8: c751.*
+ 272b9: c691.*
+ 272ba: c791.*
+ 272bb: c6d1.*
+ 272bc: c7d1.*
+ 272bd: c621.*
+ 272be: c721.*
+ 272bf: c661.*
+ 272c0: c761.*
+ 272c1: c6a1.*
+ 272c2: c7a1.*
+ 272c3: c6e1.*
+ 272c4: c7e1.*
+ 272c5: c631.*
+ 272c6: c731.*
+ 272c7: c671.*
+ 272c8: c771.*
+ 272c9: c6b1.*
+ 272ca: c7b1.*
+ 272cb: c6f1.*
+ 272cc: c7f1.*
+ 272cd: c605.*
+ 272ce: c705.*
+ 272cf: c645.*
+ 272d0: c745.*
+ 272d1: c685.*
+ 272d2: c785.*
+ 272d3: c6c5.*
+ 272d4: c7c5.*
+ 272d5: c615.*
+ 272d6: c715.*
+ 272d7: c655.*
+ 272d8: c755.*
+ 272d9: c695.*
+ 272da: c795.*
+ 272db: c6d5.*
+ 272dc: c7d5.*
+ 272dd: c625.*
+ 272de: c725.*
+ 272df: c665.*
+ 272e0: c765.*
+ 272e1: c6a5.*
+ 272e2: c7a5.*
+ 272e3: c6e5.*
+ 272e4: c7e5.*
+ 272e5: c635.*
+ 272e6: c735.*
+ 272e7: c675.*
+ 272e8: c775.*
+ 272e9: c6b5.*
+ 272ea: c7b5.*
+ 272eb: c6f5.*
+ 272ec: c7f5.*
+ 272ed: c609.*
+ 272ee: c709.*
+ 272ef: c649.*
+ 272f0: c749.*
+ 272f1: c689.*
+ 272f2: c789.*
+ 272f3: c6c9.*
+ 272f4: c7c9.*
+ 272f5: c619.*
+ 272f6: c719.*
+ 272f7: c659.*
+ 272f8: c759.*
+ 272f9: c699.*
+ 272fa: c799.*
+ 272fb: c6d9.*
+ 272fc: c7d9.*
+ 272fd: c629.*
+ 272fe: c729.*
+ 272ff: c669.*
+ 27300: c769.*
+ 27301: c6a9.*
+ 27302: c7a9.*
+ 27303: c6e9.*
+ 27304: c7e9.*
+ 27305: c639.*
+ 27306: c739.*
+ 27307: c679.*
+ 27308: c779.*
+ 27309: c6b9.*
+ 2730a: c7b9.*
+ 2730b: c6f9.*
+ 2730c: c7f9.*
+ 2730d: c60d.*
+ 2730e: c70d.*
+ 2730f: c64d.*
+ 27310: c74d.*
+ 27311: c68d.*
+ 27312: c78d.*
+ 27313: c6cd.*
+ 27314: c7cd.*
+ 27315: c61d.*
+ 27316: c71d.*
+ 27317: c65d.*
+ 27318: c75d.*
+ 27319: c69d.*
+ 2731a: c79d.*
+ 2731b: c6dd.*
+ 2731c: c7dd.*
+ 2731d: c62d.*
+ 2731e: c72d.*
+ 2731f: c66d.*
+ 27320: c76d.*
+ 27321: c6ad.*
+ 27322: c7ad.*
+ 27323: c6ed.*
+ 27324: c7ed.*
+ 27325: c63d.*
+ 27326: c73d.*
+ 27327: c67d.*
+ 27328: c77d.*
+ 27329: c6bd.*
+ 2732a: c7bd.*
+ 2732b: c6fd.*
+ 2732c: c7fd.*
+ 2732d: c602.*
+ 2732e: c702.*
+ 2732f: c642.*
+ 27330: c742.*
+ 27331: c682.*
+ 27332: c782.*
+ 27333: c6c2.*
+ 27334: c7c2.*
+ 27335: c612.*
+ 27336: c712.*
+ 27337: c652.*
+ 27338: c752.*
+ 27339: c692.*
+ 2733a: c792.*
+ 2733b: c6d2.*
+ 2733c: c7d2.*
+ 2733d: c622.*
+ 2733e: c722.*
+ 2733f: c662.*
+ 27340: c762.*
+ 27341: c6a2.*
+ 27342: c7a2.*
+ 27343: c6e2.*
+ 27344: c7e2.*
+ 27345: c632.*
+ 27346: c732.*
+ 27347: c672.*
+ 27348: c772.*
+ 27349: c6b2.*
+ 2734a: c7b2.*
+ 2734b: c6f2.*
+ 2734c: c7f2.*
+ 2734d: c606.*
+ 2734e: c706.*
+ 2734f: c646.*
+ 27350: c746.*
+ 27351: c686.*
+ 27352: c786.*
+ 27353: c6c6.*
+ 27354: c7c6.*
+ 27355: c616.*
+ 27356: c716.*
+ 27357: c656.*
+ 27358: c756.*
+ 27359: c696.*
+ 2735a: c796.*
+ 2735b: c6d6.*
+ 2735c: c7d6.*
+ 2735d: c626.*
+ 2735e: c726.*
+ 2735f: c666.*
+ 27360: c766.*
+ 27361: c6a6.*
+ 27362: c7a6.*
+ 27363: c6e6.*
+ 27364: c7e6.*
+ 27365: c636.*
+ 27366: c736.*
+ 27367: c676.*
+ 27368: c776.*
+ 27369: c6b6.*
+ 2736a: c7b6.*
+ 2736b: c6f6.*
+ 2736c: c7f6.*
+ 2736d: c60a.*
+ 2736e: c70a.*
+ 2736f: c64a.*
+ 27370: c74a.*
+ 27371: c68a.*
+ 27372: c78a.*
+ 27373: c6ca.*
+ 27374: c7ca.*
+ 27375: c61a.*
+ 27376: c71a.*
+ 27377: c65a.*
+ 27378: c75a.*
+ 27379: c69a.*
+ 2737a: c79a.*
+ 2737b: c6da.*
+ 2737c: c7da.*
+ 2737d: c62a.*
+ 2737e: c72a.*
+ 2737f: c66a.*
+ 27380: c76a.*
+ 27381: c6aa.*
+ 27382: c7aa.*
+ 27383: c6ea.*
+ 27384: c7ea.*
+ 27385: c63a.*
+ 27386: c73a.*
+ 27387: c67a.*
+ 27388: c77a.*
+ 27389: c6ba.*
+ 2738a: c7ba.*
+ 2738b: c6fa.*
+ 2738c: c7fa.*
+ 2738d: c60e.*
+ 2738e: c70e.*
+ 2738f: c64e.*
+ 27390: c74e.*
+ 27391: c68e.*
+ 27392: c78e.*
+ 27393: c6ce.*
+ 27394: c7ce.*
+ 27395: c61e.*
+ 27396: c71e.*
+ 27397: c65e.*
+ 27398: c75e.*
+ 27399: c69e.*
+ 2739a: c79e.*
+ 2739b: c6de.*
+ 2739c: c7de.*
+ 2739d: c62e.*
+ 2739e: c72e.*
+ 2739f: c66e.*
+ 273a0: c76e.*
+ 273a1: c6ae.*
+ 273a2: c7ae.*
+ 273a3: c6ee.*
+ 273a4: c7ee.*
+ 273a5: c63e.*
+ 273a6: c73e.*
+ 273a7: c67e.*
+ 273a8: c77e.*
+ 273a9: c6be.*
+ 273aa: c7be.*
+ 273ab: c6fe.*
+ 273ac: c7fe.*
+ 273ad: c603.*
+ 273ae: c703.*
+ 273af: c643.*
+ 273b0: c743.*
+ 273b1: c683.*
+ 273b2: c783.*
+ 273b3: c6c3.*
+ 273b4: c7c3.*
+ 273b5: c613.*
+ 273b6: c713.*
+ 273b7: c653.*
+ 273b8: c753.*
+ 273b9: c693.*
+ 273ba: c793.*
+ 273bb: c6d3.*
+ 273bc: c7d3.*
+ 273bd: c623.*
+ 273be: c723.*
+ 273bf: c663.*
+ 273c0: c763.*
+ 273c1: c6a3.*
+ 273c2: c7a3.*
+ 273c3: c6e3.*
+ 273c4: c7e3.*
+ 273c5: c633.*
+ 273c6: c733.*
+ 273c7: c673.*
+ 273c8: c773.*
+ 273c9: c6b3.*
+ 273ca: c7b3.*
+ 273cb: c6f3.*
+ 273cc: c7f3.*
+ 273cd: c607.*
+ 273ce: c707.*
+ 273cf: c647.*
+ 273d0: c747.*
+ 273d1: c687.*
+ 273d2: c787.*
+ 273d3: c6c7.*
+ 273d4: c7c7.*
+ 273d5: c617.*
+ 273d6: c717.*
+ 273d7: c657.*
+ 273d8: c757.*
+ 273d9: c697.*
+ 273da: c797.*
+ 273db: c6d7.*
+ 273dc: c7d7.*
+ 273dd: c627.*
+ 273de: c727.*
+ 273df: c667.*
+ 273e0: c767.*
+ 273e1: c6a7.*
+ 273e2: c7a7.*
+ 273e3: c6e7.*
+ 273e4: c7e7.*
+ 273e5: c637.*
+ 273e6: c737.*
+ 273e7: c677.*
+ 273e8: c777.*
+ 273e9: c6b7.*
+ 273ea: c7b7.*
+ 273eb: c6f7.*
+ 273ec: c7f7.*
+ 273ed: c60b.*
+ 273ee: c70b.*
+ 273ef: c64b.*
+ 273f0: c74b.*
+ 273f1: c68b.*
+ 273f2: c78b.*
+ 273f3: c6cb.*
+ 273f4: c7cb.*
+ 273f5: c61b.*
+ 273f6: c71b.*
+ 273f7: c65b.*
+ 273f8: c75b.*
+ 273f9: c69b.*
+ 273fa: c79b.*
+ 273fb: c6db.*
+ 273fc: c7db.*
+ 273fd: c62b.*
+ 273fe: c72b.*
+ 273ff: c66b.*
+ 27400: c76b.*
+ 27401: c6ab.*
+ 27402: c7ab.*
+ 27403: c6eb.*
+ 27404: c7eb.*
+ 27405: c63b.*
+ 27406: c73b.*
+ 27407: c67b.*
+ 27408: c77b.*
+ 27409: c6bb.*
+ 2740a: c7bb.*
+ 2740b: c6fb.*
+ 2740c: c7fb.*
+ 2740d: c60f.*
+ 2740e: c70f.*
+ 2740f: c64f.*
+ 27410: c74f.*
+ 27411: c68f.*
+ 27412: c78f.*
+ 27413: c6cf.*
+ 27414: c7cf.*
+ 27415: c61f.*
+ 27416: c71f.*
+ 27417: c65f.*
+ 27418: c75f.*
+ 27419: c69f.*
+ 2741a: c79f.*
+ 2741b: c6df.*
+ 2741c: c7df.*
+ 2741d: c62f.*
+ 2741e: c72f.*
+ 2741f: c66f.*
+ 27420: c76f.*
+ 27421: c6af.*
+ 27422: c7af.*
+ 27423: c6ef.*
+ 27424: c7ef.*
+ 27425: c63f.*
+ 27426: c73f.*
+ 27427: c67f.*
+ 27428: c77f.*
+ 27429: c6bf.*
+ 2742a: c7bf.*
+ 2742b: c6ff.*
+ 2742c: c7ff.*
+ 2742d: 760d.*
+ 2742e: 48b9.*
+ 2742f: 7680.*
+ 27430: 48ba.*
+ 27431: 7688.*
+ 27432: 48bb.*
+ 27433: 7690.*
+ 27434: 48bc.*
+ 27435: 7698.*
+ 27436: 48bd.*
+ 27437: 76a0.*
+ 27438: 48be.*
+ 27439: 76a8.*
+ 2743a: 48bf.*
+ 2743b: 76b0.*
+ 2743c: 48c0.*
+ 2743d: 76b8.*
+ 2743e: 48c1.*
+ 2743f: 76c0.*
+ 27440: 48c2.*
+ 27441: 76c8.*
+ 27442: 48c3.*
+ 27443: 76d0.*
+ 27444: 48c4.*
+ 27445: 76d8.*
+ 27446: 48c5.*
+ 27447: 76e0.*
+ 27448: 48c6.*
+ 27449: 48c7.*
+ 2744a: 76e8.*
+ 2744b: 48c8.*
+ 2744c: 48c9.*
+ 2744d: 76f0.*
+ 2744e: 48ca.*
+ 2744f: 48cb.*
+ 27450: 76f8.*
+ 27451: 48cc.*
+ 27452: 48cd.*
+ 27453: 7681.*
+ 27454: 48ce.*
+ 27455: 7689.*
+ 27456: 48cf.*
+ 27457: 7691.*
+ 27458: 48d0.*
+ 27459: 7699.*
+ 2745a: 48d1.*
+ 2745b: 76a1.*
+ 2745c: 48d2.*
+ 2745d: 76a9.*
+ 2745e: 48d3.*
+ 2745f: 76b1.*
+ 27460: 48d4.*
+ 27461: 76b9.*
+ 27462: 48d5.*
+ 27463: 76c1.*
+ 27464: 48d6.*
+ 27465: 76c9.*
+ 27466: 48d7.*
+ 27467: 76d1.*
+ 27468: 48d8.*
+ 27469: 76d9.*
+ 2746a: 48d9.*
+ 2746b: 76e1.*
+ 2746c: 48da.*
+ 2746d: 48db.*
+ 2746e: 76e9.*
+ 2746f: 48dc.*
+ 27470: 48dd.*
+ 27471: 76f1.*
+ 27472: 48de.*
+ 27473: 48df.*
+ 27474: 76f8.*
+ 27475: 48e0.*
+ 27476: 48e1.*
+ 27477: 7682.*
+ 27478: 48e2.*
+ 27479: 768a.*
+ 2747a: 48e3.*
+ 2747b: 7692.*
+ 2747c: 48e4.*
+ 2747d: 769a.*
+ 2747e: 48e5.*
+ 2747f: 76a2.*
+ 27480: 48e6.*
+ 27481: 76aa.*
+ 27482: 48e7.*
+ 27483: 76b2.*
+ 27484: 48e8.*
+ 27485: 76ba.*
+ 27486: 48e9.*
+ 27487: 76c2.*
+ 27488: 48ea.*
+ 27489: 76ca.*
+ 2748a: 48eb.*
+ 2748b: 76d2.*
+ 2748c: 48ec.*
+ 2748d: 76da.*
+ 2748e: 48ed.*
+ 2748f: 76e2.*
+ 27490: 48ee.*
+ 27491: 48ef.*
+ 27492: 76ea.*
+ 27493: 48f0.*
+ 27494: 48f1.*
+ 27495: 76f2.*
+ 27496: 48f2.*
+ 27497: 48f3.*
+ 27498: 76f8.*
+ 27499: 48f4.*
+ 2749a: 48f5.*
+ 2749b: 7683.*
+ 2749c: 48f6.*
+ 2749d: 768b.*
+ 2749e: 48f7.*
+ 2749f: 7693.*
+ 274a0: 48f8.*
+ 274a1: 769b.*
+ 274a2: 48f9.*
+ 274a3: 76a3.*
+ 274a4: 48fa.*
+ 274a5: 76ab.*
+ 274a6: 48fb.*
+ 274a7: 76b3.*
+ 274a8: 48fc.*
+ 274a9: 76bb.*
+ 274aa: 48fd.*
+ 274ab: 76c3.*
+ 274ac: 48fe.*
+ 274ad: 76cb.*
+ 274ae: 48ff.*
+ 274af: 76d3.*
+ 274b0: 4900.*
+ 274b1: 76db.*
+ 274b2: 4901.*
+ 274b3: 76e3.*
+ 274b4: 4902.*
+ 274b5: 4903.*
+ 274b6: 76eb.*
+ 274b7: 4904.*
+ 274b8: 4905.*
+ 274b9: 76f3.*
+ 274ba: 4906.*
+ 274bb: 4907.*
+ 274bc: 76f8.*
+ 274bd: 4908.*
+ 274be: 4909.*
+ 274bf: 7684.*
+ 274c0: 490a.*
+ 274c1: 768c.*
+ 274c2: 490b.*
+ 274c3: 7694.*
+ 274c4: 490c.*
+ 274c5: 769c.*
+ 274c6: 490d.*
+ 274c7: 76a4.*
+ 274c8: 490e.*
+ 274c9: 76ac.*
+ 274ca: 490f.*
+ 274cb: 76b4.*
+ 274cc: 4910.*
+ 274cd: 76bc.*
+ 274ce: 4911.*
+ 274cf: 76c4.*
+ 274d0: 4912.*
+ 274d1: 76cc.*
+ 274d2: 4913.*
+ 274d3: 76d4.*
+ 274d4: 4914.*
+ 274d5: 76dc.*
+ 274d6: 4915.*
+ 274d7: 76e4.*
+ 274d8: 4916.*
+ 274d9: 4917.*
+ 274da: 76ec.*
+ 274db: 4918.*
+ 274dc: 4919.*
+ 274dd: 76f4.*
+ 274de: 491a.*
+ 274df: 491b.*
+ 274e0: 76f8.*
+ 274e1: 491c.*
+ 274e2: 491d.*
+ 274e3: 7685.*
+ 274e4: 491e.*
+ 274e5: 768d.*
+ 274e6: 491f.*
+ 274e7: 7695.*
+ 274e8: 4920.*
+ 274e9: 769d.*
+ 274ea: 4921.*
+ 274eb: 76a5.*
+ 274ec: 4922.*
+ 274ed: 76ad.*
+ 274ee: 4923.*
+ 274ef: 76b5.*
+ 274f0: 4924.*
+ 274f1: 76bd.*
+ 274f2: 4925.*
+ 274f3: 76c5.*
+ 274f4: 4926.*
+ 274f5: 76cd.*
+ 274f6: 4927.*
+ 274f7: 76d5.*
+ 274f8: 4928.*
+ 274f9: 76dd.*
+ 274fa: 4929.*
+ 274fb: 76e5.*
+ 274fc: 492a.*
+ 274fd: 492b.*
+ 274fe: 76ed.*
+ 274ff: 492c.*
+ 27500: 492d.*
+ 27501: 76f5.*
+ 27502: 492e.*
+ 27503: 492f.*
+ 27504: 76f8.*
+ 27505: 4930.*
+ 27506: 4931.*
+ 27507: 7686.*
+ 27508: 4932.*
+ 27509: 768e.*
+ 2750a: 4933.*
+ 2750b: 7696.*
+ 2750c: 4934.*
+ 2750d: 769e.*
+ 2750e: 4935.*
+ 2750f: 76a6.*
+ 27510: 4936.*
+ 27511: 76ae.*
+ 27512: 4937.*
+ 27513: 76b6.*
+ 27514: 4938.*
+ 27515: 76be.*
+ 27516: 4939.*
+ 27517: 76c6.*
+ 27518: 493a.*
+ 27519: 76ce.*
+ 2751a: 493b.*
+ 2751b: 76d6.*
+ 2751c: 493c.*
+ 2751d: 76de.*
+ 2751e: 493d.*
+ 2751f: 76e6.*
+ 27520: 493e.*
+ 27521: 493f.*
+ 27522: 76ee.*
+ 27523: 4940.*
+ 27524: 4941.*
+ 27525: 76f6.*
+ 27526: 4942.*
+ 27527: 4943.*
+ 27528: 76f8.*
+ 27529: 4944.*
+ 2752a: 4945.*
+ 2752b: 7687.*
+ 2752c: 4946.*
+ 2752d: 768f.*
+ 2752e: 4947.*
+ 2752f: 7697.*
+ 27530: 4948.*
+ 27531: 769f.*
+ 27532: 4949.*
+ 27533: 76a7.*
+ 27534: 494a.*
+ 27535: 76af.*
+ 27536: 494b.*
+ 27537: 76b7.*
+ 27538: 494c.*
+ 27539: 76bf.*
+ 2753a: 494d.*
+ 2753b: 76c7.*
+ 2753c: 494e.*
+ 2753d: 76cf.*
+ 2753e: 494f.*
+ 2753f: 76d7.*
+ 27540: 4950.*
+ 27541: 76df.*
+ 27542: 4951.*
+ 27543: 76e7.*
+ 27544: 4952.*
+ 27545: 4953.*
+ 27546: 76ef.*
+ 27547: 4954.*
+ 27548: 4955.*
+ 27549: 76f7.*
+ 2754a: 4956.*
+ 2754b: 4957.*
+ 2754c: 76f8.*
+ 2754d: 4958.*
+ 2754e: 4959.*
+ 2754f: 8c0e.*
+ 27550: 8c80.*
+ 27551: 8c88.*
+ 27552: 8c90.*
+ 27553: 8c98.*
+ 27554: 8ca0.*
+ 27555: 8ca8.*
+ 27556: 8cb0.*
+ 27557: 8cb8.*
+ 27558: 8cc0.*
+ 27559: 8cc8.*
+ 2755a: 8cd0.*
+ 2755b: 8cd8.*
+ 2755c: 8ce0.*
+ 2755d: 495a.*
+ 2755e: 8ce8.*
+ 2755f: 495b.*
+ 27560: 8cf0.*
+ 27561: 495c.*
+ 27562: 8cf8.*
+ 27563: 495d.*
+ 27564: 8c81.*
+ 27565: 8c89.*
+ 27566: 8c91.*
+ 27567: 8c99.*
+ 27568: 8ca1.*
+ 27569: 8ca9.*
+ 2756a: 8cb1.*
+ 2756b: 8cb9.*
+ 2756c: 8cc1.*
+ 2756d: 8cc9.*
+ 2756e: 8cd1.*
+ 2756f: 8cd9.*
+ 27570: 8ce1.*
+ 27571: 495e.*
+ 27572: 8ce9.*
+ 27573: 495f.*
+ 27574: 8cf1.*
+ 27575: 4960.*
+ 27576: 8cf8.*
+ 27577: 4961.*
+ 27578: 8c82.*
+ 27579: 8c8a.*
+ 2757a: 8c92.*
+ 2757b: 8c9a.*
+ 2757c: 8ca2.*
+ 2757d: 8caa.*
+ 2757e: 8cb2.*
+ 2757f: 8cba.*
+ 27580: 8cc2.*
+ 27581: 8cca.*
+ 27582: 8cd2.*
+ 27583: 8cda.*
+ 27584: 8ce2.*
+ 27585: 4962.*
+ 27586: 8cea.*
+ 27587: 4963.*
+ 27588: 8cf2.*
+ 27589: 4964.*
+ 2758a: 8cf8.*
+ 2758b: 4965.*
+ 2758c: 8c83.*
+ 2758d: 8c8b.*
+ 2758e: 8c93.*
+ 2758f: 8c9b.*
+ 27590: 8ca3.*
+ 27591: 8cab.*
+ 27592: 8cb3.*
+ 27593: 8cbb.*
+ 27594: 8cc3.*
+ 27595: 8ccb.*
+ 27596: 8cd3.*
+ 27597: 8cdb.*
+ 27598: 8ce3.*
+ 27599: 4966.*
+ 2759a: 8ceb.*
+ 2759b: 4967.*
+ 2759c: 8cf3.*
+ 2759d: 4968.*
+ 2759e: 8cf8.*
+ 2759f: 4969.*
+ 275a0: 8c84.*
+ 275a1: 8c8c.*
+ 275a2: 8c94.*
+ 275a3: 8c9c.*
+ 275a4: 8ca4.*
+ 275a5: 8cac.*
+ 275a6: 8cb4.*
+ 275a7: 8cbc.*
+ 275a8: 8cc4.*
+ 275a9: 8ccc.*
+ 275aa: 8cd4.*
+ 275ab: 8cdc.*
+ 275ac: 8ce4.*
+ 275ad: 496a.*
+ 275ae: 8cec.*
+ 275af: 496b.*
+ 275b0: 8cf4.*
+ 275b1: 496c.*
+ 275b2: 8cf8.*
+ 275b3: 496d.*
+ 275b4: 8c85.*
+ 275b5: 8c8d.*
+ 275b6: 8c95.*
+ 275b7: 8c9d.*
+ 275b8: 8ca5.*
+ 275b9: 8cad.*
+ 275ba: 8cb5.*
+ 275bb: 8cbd.*
+ 275bc: 8cc5.*
+ 275bd: 8ccd.*
+ 275be: 8cd5.*
+ 275bf: 8cdd.*
+ 275c0: 8ce5.*
+ 275c1: 496e.*
+ 275c2: 8ced.*
+ 275c3: 496f.*
+ 275c4: 8cf5.*
+ 275c5: 4970.*
+ 275c6: 8cf8.*
+ 275c7: 4971.*
+ 275c8: 8c86.*
+ 275c9: 8c8e.*
+ 275ca: 8c96.*
+ 275cb: 8c9e.*
+ 275cc: 8ca6.*
+ 275cd: 8cae.*
+ 275ce: 8cb6.*
+ 275cf: 8cbe.*
+ 275d0: 8cc6.*
+ 275d1: 8cce.*
+ 275d2: 8cd6.*
+ 275d3: 8cde.*
+ 275d4: 8ce6.*
+ 275d5: 4972.*
+ 275d6: 8cee.*
+ 275d7: 4973.*
+ 275d8: 8cf6.*
+ 275d9: 4974.*
+ 275da: 8cf8.*
+ 275db: 4975.*
+ 275dc: 8c87.*
+ 275dd: 8c8f.*
+ 275de: 8c97.*
+ 275df: 8c9f.*
+ 275e0: 8ca7.*
+ 275e1: 8caf.*
+ 275e2: 8cb7.*
+ 275e3: 8cbf.*
+ 275e4: 8cc7.*
+ 275e5: 8ccf.*
+ 275e6: 8cd7.*
+ 275e7: 8cdf.*
+ 275e8: 8ce7.*
+ 275e9: 4976.*
+ 275ea: 8cef.*
+ 275eb: 4977.*
+ 275ec: 8cf7.*
+ 275ed: 4978.*
+ 275ee: 8cf8.*
+ 275ef: 4979.*
+ 275f0: 8d0f.*
+ 275f1: 8d80.*
+ 275f2: 8d88.*
+ 275f3: 8d90.*
+ 275f4: 8d98.*
+ 275f5: 8da0.*
+ 275f6: 8da8.*
+ 275f7: 8db0.*
+ 275f8: 8db8.*
+ 275f9: 8dc0.*
+ 275fa: 8dc8.*
+ 275fb: 8dd0.*
+ 275fc: 8dd8.*
+ 275fd: 8de0.*
+ 275fe: 497a.*
+ 275ff: 8de8.*
+ 27600: 497b.*
+ 27601: 8df0.*
+ 27602: 497c.*
+ 27603: 8df8.*
+ 27604: 497d.*
+ 27605: 8d81.*
+ 27606: 8d89.*
+ 27607: 8d91.*
+ 27608: 8d99.*
+ 27609: 8da1.*
+ 2760a: 8da9.*
+ 2760b: 8db1.*
+ 2760c: 8db9.*
+ 2760d: 8dc1.*
+ 2760e: 8dc9.*
+ 2760f: 8dd1.*
+ 27610: 8dd9.*
+ 27611: 8de1.*
+ 27612: 497e.*
+ 27613: 8de9.*
+ 27614: 497f.*
+ 27615: 8df1.*
+ 27616: 4980.*
+ 27617: 8df8.*
+ 27618: 4981.*
+ 27619: 8d82.*
+ 2761a: 8d8a.*
+ 2761b: 8d92.*
+ 2761c: 8d9a.*
+ 2761d: 8da2.*
+ 2761e: 8daa.*
+ 2761f: 8db2.*
+ 27620: 8dba.*
+ 27621: 8dc2.*
+ 27622: 8dca.*
+ 27623: 8dd2.*
+ 27624: 8dda.*
+ 27625: 8de2.*
+ 27626: 4982.*
+ 27627: 8dea.*
+ 27628: 4983.*
+ 27629: 8df2.*
+ 2762a: 4984.*
+ 2762b: 8df8.*
+ 2762c: 4985.*
+ 2762d: 8d83.*
+ 2762e: 8d8b.*
+ 2762f: 8d93.*
+ 27630: 8d9b.*
+ 27631: 8da3.*
+ 27632: 8dab.*
+ 27633: 8db3.*
+ 27634: 8dbb.*
+ 27635: 8dc3.*
+ 27636: 8dcb.*
+ 27637: 8dd3.*
+ 27638: 8ddb.*
+ 27639: 8de3.*
+ 2763a: 4986.*
+ 2763b: 8deb.*
+ 2763c: 4987.*
+ 2763d: 8df3.*
+ 2763e: 4988.*
+ 2763f: 8df8.*
+ 27640: 4989.*
+ 27641: 8d84.*
+ 27642: 8d8c.*
+ 27643: 8d94.*
+ 27644: 8d9c.*
+ 27645: 8da4.*
+ 27646: 8dac.*
+ 27647: 8db4.*
+ 27648: 8dbc.*
+ 27649: 8dc4.*
+ 2764a: 8dcc.*
+ 2764b: 8dd4.*
+ 2764c: 8ddc.*
+ 2764d: 8de4.*
+ 2764e: 498a.*
+ 2764f: 8dec.*
+ 27650: 498b.*
+ 27651: 8df4.*
+ 27652: 498c.*
+ 27653: 8df8.*
+ 27654: 498d.*
+ 27655: 8d85.*
+ 27656: 8d8d.*
+ 27657: 8d95.*
+ 27658: 8d9d.*
+ 27659: 8da5.*
+ 2765a: 8dad.*
+ 2765b: 8db5.*
+ 2765c: 8dbd.*
+ 2765d: 8dc5.*
+ 2765e: 8dcd.*
+ 2765f: 8dd5.*
+ 27660: 8ddd.*
+ 27661: 8de5.*
+ 27662: 498e.*
+ 27663: 8ded.*
+ 27664: 498f.*
+ 27665: 8df5.*
+ 27666: 4990.*
+ 27667: 8df8.*
+ 27668: 4991.*
+ 27669: 8d86.*
+ 2766a: 8d8e.*
+ 2766b: 8d96.*
+ 2766c: 8d9e.*
+ 2766d: 8da6.*
+ 2766e: 8dae.*
+ 2766f: 8db6.*
+ 27670: 8dbe.*
+ 27671: 8dc6.*
+ 27672: 8dce.*
+ 27673: 8dd6.*
+ 27674: 8dde.*
+ 27675: 8de6.*
+ 27676: 4992.*
+ 27677: 8dee.*
+ 27678: 4993.*
+ 27679: 8df6.*
+ 2767a: 4994.*
+ 2767b: 8df8.*
+ 2767c: 4995.*
+ 2767d: 8d87.*
+ 2767e: 8d8f.*
+ 2767f: 8d97.*
+ 27680: 8d9f.*
+ 27681: 8da7.*
+ 27682: 8daf.*
+ 27683: 8db7.*
+ 27684: 8dbf.*
+ 27685: 8dc7.*
+ 27686: 8dcf.*
+ 27687: 8dd7.*
+ 27688: 8ddf.*
+ 27689: 8de7.*
+ 2768a: 4996.*
+ 2768b: 8def.*
+ 2768c: 4997.*
+ 2768d: 8df7.*
+ 2768e: 4998.*
+ 2768f: 8df8.*
+ 27690: 4999.*
+ 27691: f061.*
+ 27692: 499a.*
+ 27693: f161.*
+ 27694: 499b.*
+ 27695: f061.*
+ 27696: 499c.*
+ 27697: f261.*
+ 27698: 499d.*
+ 27699: f361.*
+ 2769a: 499e.*
+ 2769b: f361.*
+ 2769c: 499f.*
+ 2769d: 4010.*
+ 2769e: 4111.*
+ 2769f: 4012.*
+ 276a0: 4213.*
+ 276a1: 4314.*
+ 276a2: 4315.*
+ 276a3: 4080.*
+ 276a4: 4180.*
+ 276a5: 4080.*
+ 276a6: 4280.*
+ 276a7: 4380.*
+ 276a8: 4380.*
+ 276a9: 4088.*
+ 276aa: 4188.*
+ 276ab: 4088.*
+ 276ac: 4288.*
+ 276ad: 4388.*
+ 276ae: 4388.*
+ 276af: 4090.*
+ 276b0: 4190.*
+ 276b1: 4090.*
+ 276b2: 4290.*
+ 276b3: 4390.*
+ 276b4: 4390.*
+ 276b5: 40a0.*
+ 276b6: 41a0.*
+ 276b7: 40a0.*
+ 276b8: 42a0.*
+ 276b9: 43a0.*
+ 276ba: 43a0.*
+ 276bb: 40a8.*
+ 276bc: 41a8.*
+ 276bd: 40a8.*
+ 276be: 42a8.*
+ 276bf: 43a8.*
+ 276c0: 43a8.*
+ 276c1: 40b0.*
+ 276c2: 41b0.*
+ 276c3: 40b0.*
+ 276c4: 42b0.*
+ 276c5: 43b0.*
+ 276c6: 43b0.*
+ 276c7: 40b8.*
+ 276c8: 41b8.*
+ 276c9: 40b8.*
+ 276ca: 42b8.*
+ 276cb: 43b8.*
+ 276cc: 43b8.*
+ 276cd: 40c0.*
+ 276ce: 41c0.*
+ 276cf: 40c0.*
+ 276d0: 42c0.*
+ 276d1: 43c0.*
+ 276d2: 43c0.*
+ 276d3: 40c8.*
+ 276d4: 41c8.*
+ 276d5: 40c8.*
+ 276d6: 42c8.*
+ 276d7: 43c8.*
+ 276d8: 43c8.*
+ 276d9: 40d0.*
+ 276da: 41d0.*
+ 276db: 40d0.*
+ 276dc: 42d0.*
+ 276dd: 43d0.*
+ 276de: 43d0.*
+ 276df: 40d8.*
+ 276e0: 41d8.*
+ 276e1: 40d8.*
+ 276e2: 42d8.*
+ 276e3: 43d8.*
+ 276e4: 43d8.*
+ 276e5: 40e0.*
+ 276e6: 49a0.*
+ 276e7: 41e0.*
+ 276e8: 49a1.*
+ 276e9: 40e0.*
+ 276ea: 49a2.*
+ 276eb: 42e0.*
+ 276ec: 49a3.*
+ 276ed: 43e0.*
+ 276ee: 49a4.*
+ 276ef: 43e0.*
+ 276f0: 49a5.*
+ 276f1: 40e8.*
+ 276f2: 49a6.*
+ 276f3: 41e8.*
+ 276f4: 49a7.*
+ 276f5: 40e8.*
+ 276f6: 49a8.*
+ 276f7: 42e8.*
+ 276f8: 49a9.*
+ 276f9: 43e8.*
+ 276fa: 49aa.*
+ 276fb: 43e8.*
+ 276fc: 49ab.*
+ 276fd: 40f0.*
+ 276fe: 49ac.*
+ 276ff: 41f0.*
+ 27700: 49ad.*
+ 27701: 40f0.*
+ 27702: 49ae.*
+ 27703: 42f0.*
+ 27704: 49af.*
+ 27705: 43f0.*
+ 27706: 49b0.*
+ 27707: 43f0.*
+ 27708: 49b1.*
+ 27709: 40f8.*
+ 2770a: 49b2.*
+ 2770b: 41f8.*
+ 2770c: 49b3.*
+ 2770d: 40f8.*
+ 2770e: 49b4.*
+ 2770f: 42f8.*
+ 27710: 49b5.*
+ 27711: 43f8.*
+ 27712: 49b6.*
+ 27713: 43f8.*
+ 27714: 49b7.*
+ 27715: 4081.*
+ 27716: 4181.*
+ 27717: 4081.*
+ 27718: 4281.*
+ 27719: 4381.*
+ 2771a: 4381.*
+ 2771b: 4089.*
+ 2771c: 4189.*
+ 2771d: 4089.*
+ 2771e: 4289.*
+ 2771f: 4389.*
+ 27720: 4389.*
+ 27721: 4091.*
+ 27722: 4191.*
+ 27723: 4091.*
+ 27724: 4291.*
+ 27725: 4391.*
+ 27726: 4391.*
+ 27727: 40a1.*
+ 27728: 41a1.*
+ 27729: 40a1.*
+ 2772a: 42a1.*
+ 2772b: 43a1.*
+ 2772c: 43a1.*
+ 2772d: 40a9.*
+ 2772e: 41a9.*
+ 2772f: 40a9.*
+ 27730: 42a9.*
+ 27731: 43a9.*
+ 27732: 43a9.*
+ 27733: 40b1.*
+ 27734: 41b1.*
+ 27735: 40b1.*
+ 27736: 42b1.*
+ 27737: 43b1.*
+ 27738: 43b1.*
+ 27739: 40b9.*
+ 2773a: 41b9.*
+ 2773b: 40b9.*
+ 2773c: 42b9.*
+ 2773d: 43b9.*
+ 2773e: 43b9.*
+ 2773f: 40c1.*
+ 27740: 41c1.*
+ 27741: 40c1.*
+ 27742: 42c1.*
+ 27743: 43c1.*
+ 27744: 43c1.*
+ 27745: 40c9.*
+ 27746: 41c9.*
+ 27747: 40c9.*
+ 27748: 42c9.*
+ 27749: 43c9.*
+ 2774a: 43c9.*
+ 2774b: 40d1.*
+ 2774c: 41d1.*
+ 2774d: 40d1.*
+ 2774e: 42d1.*
+ 2774f: 43d1.*
+ 27750: 43d1.*
+ 27751: 40d9.*
+ 27752: 41d9.*
+ 27753: 40d9.*
+ 27754: 42d9.*
+ 27755: 43d9.*
+ 27756: 43d9.*
+ 27757: 40e1.*
+ 27758: 49b8.*
+ 27759: 41e1.*
+ 2775a: 49b9.*
+ 2775b: 40e1.*
+ 2775c: 49ba.*
+ 2775d: 42e1.*
+ 2775e: 49bb.*
+ 2775f: 43e1.*
+ 27760: 49bc.*
+ 27761: 43e1.*
+ 27762: 49bd.*
+ 27763: 40e9.*
+ 27764: 49be.*
+ 27765: 41e9.*
+ 27766: 49bf.*
+ 27767: 40e9.*
+ 27768: 49c0.*
+ 27769: 42e9.*
+ 2776a: 49c1.*
+ 2776b: 43e9.*
+ 2776c: 49c2.*
+ 2776d: 43e9.*
+ 2776e: 49c3.*
+ 2776f: 40f1.*
+ 27770: 49c4.*
+ 27771: 41f1.*
+ 27772: 49c5.*
+ 27773: 40f1.*
+ 27774: 49c6.*
+ 27775: 42f1.*
+ 27776: 49c7.*
+ 27777: 43f1.*
+ 27778: 49c8.*
+ 27779: 43f1.*
+ 2777a: 49c9.*
+ 2777b: 40f8.*
+ 2777c: 49ca.*
+ 2777d: 41f8.*
+ 2777e: 49cb.*
+ 2777f: 40f8.*
+ 27780: 49cc.*
+ 27781: 42f8.*
+ 27782: 49cd.*
+ 27783: 43f8.*
+ 27784: 49ce.*
+ 27785: 43f8.*
+ 27786: 49cf.*
+ 27787: 4082.*
+ 27788: 4182.*
+ 27789: 4082.*
+ 2778a: 4282.*
+ 2778b: 4382.*
+ 2778c: 4382.*
+ 2778d: 408a.*
+ 2778e: 418a.*
+ 2778f: 408a.*
+ 27790: 428a.*
+ 27791: 438a.*
+ 27792: 438a.*
+ 27793: 4092.*
+ 27794: 4192.*
+ 27795: 4092.*
+ 27796: 4292.*
+ 27797: 4392.*
+ 27798: 4392.*
+ 27799: 40a2.*
+ 2779a: 41a2.*
+ 2779b: 40a2.*
+ 2779c: 42a2.*
+ 2779d: 43a2.*
+ 2779e: 43a2.*
+ 2779f: 40aa.*
+ 277a0: 41aa.*
+ 277a1: 40aa.*
+ 277a2: 42aa.*
+ 277a3: 43aa.*
+ 277a4: 43aa.*
+ 277a5: 40b2.*
+ 277a6: 41b2.*
+ 277a7: 40b2.*
+ 277a8: 42b2.*
+ 277a9: 43b2.*
+ 277aa: 43b2.*
+ 277ab: 40ba.*
+ 277ac: 41ba.*
+ 277ad: 40ba.*
+ 277ae: 42ba.*
+ 277af: 43ba.*
+ 277b0: 43ba.*
+ 277b1: 40c2.*
+ 277b2: 41c2.*
+ 277b3: 40c2.*
+ 277b4: 42c2.*
+ 277b5: 43c2.*
+ 277b6: 43c2.*
+ 277b7: 40ca.*
+ 277b8: 41ca.*
+ 277b9: 40ca.*
+ 277ba: 42ca.*
+ 277bb: 43ca.*
+ 277bc: 43ca.*
+ 277bd: 40d2.*
+ 277be: 41d2.*
+ 277bf: 40d2.*
+ 277c0: 42d2.*
+ 277c1: 43d2.*
+ 277c2: 43d2.*
+ 277c3: 40da.*
+ 277c4: 41da.*
+ 277c5: 40da.*
+ 277c6: 42da.*
+ 277c7: 43da.*
+ 277c8: 43da.*
+ 277c9: 40e2.*
+ 277ca: 49d0.*
+ 277cb: 41e2.*
+ 277cc: 49d1.*
+ 277cd: 40e2.*
+ 277ce: 49d2.*
+ 277cf: 42e2.*
+ 277d0: 49d3.*
+ 277d1: 43e2.*
+ 277d2: 49d4.*
+ 277d3: 43e2.*
+ 277d4: 49d5.*
+ 277d5: 40ea.*
+ 277d6: 49d6.*
+ 277d7: 41ea.*
+ 277d8: 49d7.*
+ 277d9: 40ea.*
+ 277da: 49d8.*
+ 277db: 42ea.*
+ 277dc: 49d9.*
+ 277dd: 43ea.*
+ 277de: 49da.*
+ 277df: 43ea.*
+ 277e0: 49db.*
+ 277e1: 40f2.*
+ 277e2: 49dc.*
+ 277e3: 41f2.*
+ 277e4: 49dd.*
+ 277e5: 40f2.*
+ 277e6: 49de.*
+ 277e7: 42f2.*
+ 277e8: 49df.*
+ 277e9: 43f2.*
+ 277ea: 49e0.*
+ 277eb: 43f2.*
+ 277ec: 49e1.*
+ 277ed: 40f8.*
+ 277ee: 49e2.*
+ 277ef: 41f8.*
+ 277f0: 49e3.*
+ 277f1: 40f8.*
+ 277f2: 49e4.*
+ 277f3: 42f8.*
+ 277f4: 49e5.*
+ 277f5: 43f8.*
+ 277f6: 49e6.*
+ 277f7: 43f8.*
+ 277f8: 49e7.*
+ 277f9: 4083.*
+ 277fa: 4183.*
+ 277fb: 4083.*
+ 277fc: 4283.*
+ 277fd: 4383.*
+ 277fe: 4383.*
+ 277ff: 408b.*
+ 27800: 418b.*
+ 27801: 408b.*
+ 27802: 428b.*
+ 27803: 438b.*
+ 27804: 438b.*
+ 27805: 4093.*
+ 27806: 4193.*
+ 27807: 4093.*
+ 27808: 4293.*
+ 27809: 4393.*
+ 2780a: 4393.*
+ 2780b: 40a3.*
+ 2780c: 41a3.*
+ 2780d: 40a3.*
+ 2780e: 42a3.*
+ 2780f: 43a3.*
+ 27810: 43a3.*
+ 27811: 40ab.*
+ 27812: 41ab.*
+ 27813: 40ab.*
+ 27814: 42ab.*
+ 27815: 43ab.*
+ 27816: 43ab.*
+ 27817: 40b3.*
+ 27818: 41b3.*
+ 27819: 40b3.*
+ 2781a: 42b3.*
+ 2781b: 43b3.*
+ 2781c: 43b3.*
+ 2781d: 40bb.*
+ 2781e: 41bb.*
+ 2781f: 40bb.*
+ 27820: 42bb.*
+ 27821: 43bb.*
+ 27822: 43bb.*
+ 27823: 40c3.*
+ 27824: 41c3.*
+ 27825: 40c3.*
+ 27826: 42c3.*
+ 27827: 43c3.*
+ 27828: 43c3.*
+ 27829: 40cb.*
+ 2782a: 41cb.*
+ 2782b: 40cb.*
+ 2782c: 42cb.*
+ 2782d: 43cb.*
+ 2782e: 43cb.*
+ 2782f: 40d3.*
+ 27830: 41d3.*
+ 27831: 40d3.*
+ 27832: 42d3.*
+ 27833: 43d3.*
+ 27834: 43d3.*
+ 27835: 40db.*
+ 27836: 41db.*
+ 27837: 40db.*
+ 27838: 42db.*
+ 27839: 43db.*
+ 2783a: 43db.*
+ 2783b: 40e3.*
+ 2783c: 49e8.*
+ 2783d: 41e3.*
+ 2783e: 49e9.*
+ 2783f: 40e3.*
+ 27840: 49ea.*
+ 27841: 42e3.*
+ 27842: 49eb.*
+ 27843: 43e3.*
+ 27844: 49ec.*
+ 27845: 43e3.*
+ 27846: 49ed.*
+ 27847: 40eb.*
+ 27848: 49ee.*
+ 27849: 41eb.*
+ 2784a: 49ef.*
+ 2784b: 40eb.*
+ 2784c: 49f0.*
+ 2784d: 42eb.*
+ 2784e: 49f1.*
+ 2784f: 43eb.*
+ 27850: 49f2.*
+ 27851: 43eb.*
+ 27852: 49f3.*
+ 27853: 40f3.*
+ 27854: 49f4.*
+ 27855: 41f3.*
+ 27856: 49f5.*
+ 27857: 40f3.*
+ 27858: 49f6.*
+ 27859: 42f3.*
+ 2785a: 49f7.*
+ 2785b: 43f3.*
+ 2785c: 49f8.*
+ 2785d: 43f3.*
+ 2785e: 49f9.*
+ 2785f: 40f8.*
+ 27860: 49fa.*
+ 27861: 41f8.*
+ 27862: 49fb.*
+ 27863: 40f8.*
+ 27864: 49fc.*
+ 27865: 42f8.*
+ 27866: 49fd.*
+ 27867: 43f8.*
+ 27868: 49fe.*
+ 27869: 43f8.*
+ 2786a: 49ff.*
+ 2786b: 4084.*
+ 2786c: 4184.*
+ 2786d: 4084.*
+ 2786e: 4284.*
+ 2786f: 4384.*
+ 27870: 4384.*
+ 27871: 408c.*
+ 27872: 418c.*
+ 27873: 408c.*
+ 27874: 428c.*
+ 27875: 438c.*
+ 27876: 438c.*
+ 27877: 4094.*
+ 27878: 4194.*
+ 27879: 4094.*
+ 2787a: 4294.*
+ 2787b: 4394.*
+ 2787c: 4394.*
+ 2787d: 40a4.*
+ 2787e: 41a4.*
+ 2787f: 40a4.*
+ 27880: 42a4.*
+ 27881: 43a4.*
+ 27882: 43a4.*
+ 27883: 40ac.*
+ 27884: 41ac.*
+ 27885: 40ac.*
+ 27886: 42ac.*
+ 27887: 43ac.*
+ 27888: 43ac.*
+ 27889: 40b4.*
+ 2788a: 41b4.*
+ 2788b: 40b4.*
+ 2788c: 42b4.*
+ 2788d: 43b4.*
+ 2788e: 43b4.*
+ 2788f: 40bc.*
+ 27890: 41bc.*
+ 27891: 40bc.*
+ 27892: 42bc.*
+ 27893: 43bc.*
+ 27894: 43bc.*
+ 27895: 40c4.*
+ 27896: 41c4.*
+ 27897: 40c4.*
+ 27898: 42c4.*
+ 27899: 43c4.*
+ 2789a: 43c4.*
+ 2789b: 40cc.*
+ 2789c: 41cc.*
+ 2789d: 40cc.*
+ 2789e: 42cc.*
+ 2789f: 43cc.*
+ 278a0: 43cc.*
+ 278a1: 40d4.*
+ 278a2: 41d4.*
+ 278a3: 40d4.*
+ 278a4: 42d4.*
+ 278a5: 43d4.*
+ 278a6: 43d4.*
+ 278a7: 40dc.*
+ 278a8: 41dc.*
+ 278a9: 40dc.*
+ 278aa: 42dc.*
+ 278ab: 43dc.*
+ 278ac: 43dc.*
+ 278ad: 40e4.*
+ 278ae: 4a00.*
+ 278af: 41e4.*
+ 278b0: 4a01.*
+ 278b1: 40e4.*
+ 278b2: 4a02.*
+ 278b3: 42e4.*
+ 278b4: 4a03.*
+ 278b5: 43e4.*
+ 278b6: 4a04.*
+ 278b7: 43e4.*
+ 278b8: 4a05.*
+ 278b9: 40ec.*
+ 278ba: 4a06.*
+ 278bb: 41ec.*
+ 278bc: 4a07.*
+ 278bd: 40ec.*
+ 278be: 4a08.*
+ 278bf: 42ec.*
+ 278c0: 4a09.*
+ 278c1: 43ec.*
+ 278c2: 4a0a.*
+ 278c3: 43ec.*
+ 278c4: 4a0b.*
+ 278c5: 40f4.*
+ 278c6: 4a0c.*
+ 278c7: 41f4.*
+ 278c8: 4a0d.*
+ 278c9: 40f4.*
+ 278ca: 4a0e.*
+ 278cb: 42f4.*
+ 278cc: 4a0f.*
+ 278cd: 43f4.*
+ 278ce: 4a10.*
+ 278cf: 43f4.*
+ 278d0: 4a11.*
+ 278d1: 40f8.*
+ 278d2: 4a12.*
+ 278d3: 41f8.*
+ 278d4: 4a13.*
+ 278d5: 40f8.*
+ 278d6: 4a14.*
+ 278d7: 42f8.*
+ 278d8: 4a15.*
+ 278d9: 43f8.*
+ 278da: 4a16.*
+ 278db: 43f8.*
+ 278dc: 4a17.*
+ 278dd: 4085.*
+ 278de: 4185.*
+ 278df: 4085.*
+ 278e0: 4285.*
+ 278e1: 4385.*
+ 278e2: 4385.*
+ 278e3: 408d.*
+ 278e4: 418d.*
+ 278e5: 408d.*
+ 278e6: 428d.*
+ 278e7: 438d.*
+ 278e8: 438d.*
+ 278e9: 4095.*
+ 278ea: 4195.*
+ 278eb: 4095.*
+ 278ec: 4295.*
+ 278ed: 4395.*
+ 278ee: 4395.*
+ 278ef: 40a5.*
+ 278f0: 41a5.*
+ 278f1: 40a5.*
+ 278f2: 42a5.*
+ 278f3: 43a5.*
+ 278f4: 43a5.*
+ 278f5: 40ad.*
+ 278f6: 41ad.*
+ 278f7: 40ad.*
+ 278f8: 42ad.*
+ 278f9: 43ad.*
+ 278fa: 43ad.*
+ 278fb: 40b5.*
+ 278fc: 41b5.*
+ 278fd: 40b5.*
+ 278fe: 42b5.*
+ 278ff: 43b5.*
+ 27900: 43b5.*
+ 27901: 40bd.*
+ 27902: 41bd.*
+ 27903: 40bd.*
+ 27904: 42bd.*
+ 27905: 43bd.*
+ 27906: 43bd.*
+ 27907: 40c5.*
+ 27908: 41c5.*
+ 27909: 40c5.*
+ 2790a: 42c5.*
+ 2790b: 43c5.*
+ 2790c: 43c5.*
+ 2790d: 40cd.*
+ 2790e: 41cd.*
+ 2790f: 40cd.*
+ 27910: 42cd.*
+ 27911: 43cd.*
+ 27912: 43cd.*
+ 27913: 40d5.*
+ 27914: 41d5.*
+ 27915: 40d5.*
+ 27916: 42d5.*
+ 27917: 43d5.*
+ 27918: 43d5.*
+ 27919: 40dd.*
+ 2791a: 41dd.*
+ 2791b: 40dd.*
+ 2791c: 42dd.*
+ 2791d: 43dd.*
+ 2791e: 43dd.*
+ 2791f: 40e5.*
+ 27920: 4a18.*
+ 27921: 41e5.*
+ 27922: 4a19.*
+ 27923: 40e5.*
+ 27924: 4a1a.*
+ 27925: 42e5.*
+ 27926: 4a1b.*
+ 27927: 43e5.*
+ 27928: 4a1c.*
+ 27929: 43e5.*
+ 2792a: 4a1d.*
+ 2792b: 40ed.*
+ 2792c: 4a1e.*
+ 2792d: 41ed.*
+ 2792e: 4a1f.*
+ 2792f: 40ed.*
+ 27930: 4a20.*
+ 27931: 42ed.*
+ 27932: 4a21.*
+ 27933: 43ed.*
+ 27934: 4a22.*
+ 27935: 43ed.*
+ 27936: 4a23.*
+ 27937: 40f5.*
+ 27938: 4a24.*
+ 27939: 41f5.*
+ 2793a: 4a25.*
+ 2793b: 40f5.*
+ 2793c: 4a26.*
+ 2793d: 42f5.*
+ 2793e: 4a27.*
+ 2793f: 43f5.*
+ 27940: 4a28.*
+ 27941: 43f5.*
+ 27942: 4a29.*
+ 27943: 40f8.*
+ 27944: 4a2a.*
+ 27945: 41f8.*
+ 27946: 4a2b.*
+ 27947: 40f8.*
+ 27948: 4a2c.*
+ 27949: 42f8.*
+ 2794a: 4a2d.*
+ 2794b: 43f8.*
+ 2794c: 4a2e.*
+ 2794d: 43f8.*
+ 2794e: 4a2f.*
+ 2794f: 4086.*
+ 27950: 4186.*
+ 27951: 4086.*
+ 27952: 4286.*
+ 27953: 4386.*
+ 27954: 4386.*
+ 27955: 408e.*
+ 27956: 418e.*
+ 27957: 408e.*
+ 27958: 428e.*
+ 27959: 438e.*
+ 2795a: 438e.*
+ 2795b: 4096.*
+ 2795c: 4196.*
+ 2795d: 4096.*
+ 2795e: 4296.*
+ 2795f: 4396.*
+ 27960: 4396.*
+ 27961: 40a6.*
+ 27962: 41a6.*
+ 27963: 40a6.*
+ 27964: 42a6.*
+ 27965: 43a6.*
+ 27966: 43a6.*
+ 27967: 40ae.*
+ 27968: 41ae.*
+ 27969: 40ae.*
+ 2796a: 42ae.*
+ 2796b: 43ae.*
+ 2796c: 43ae.*
+ 2796d: 40b6.*
+ 2796e: 41b6.*
+ 2796f: 40b6.*
+ 27970: 42b6.*
+ 27971: 43b6.*
+ 27972: 43b6.*
+ 27973: 40be.*
+ 27974: 41be.*
+ 27975: 40be.*
+ 27976: 42be.*
+ 27977: 43be.*
+ 27978: 43be.*
+ 27979: 40c6.*
+ 2797a: 41c6.*
+ 2797b: 40c6.*
+ 2797c: 42c6.*
+ 2797d: 43c6.*
+ 2797e: 43c6.*
+ 2797f: 40ce.*
+ 27980: 41ce.*
+ 27981: 40ce.*
+ 27982: 42ce.*
+ 27983: 43ce.*
+ 27984: 43ce.*
+ 27985: 40d6.*
+ 27986: 41d6.*
+ 27987: 40d6.*
+ 27988: 42d6.*
+ 27989: 43d6.*
+ 2798a: 43d6.*
+ 2798b: 40de.*
+ 2798c: 41de.*
+ 2798d: 40de.*
+ 2798e: 42de.*
+ 2798f: 43de.*
+ 27990: 43de.*
+ 27991: 40e6.*
+ 27992: 4a30.*
+ 27993: 41e6.*
+ 27994: 4a31.*
+ 27995: 40e6.*
+ 27996: 4a32.*
+ 27997: 42e6.*
+ 27998: 4a33.*
+ 27999: 43e6.*
+ 2799a: 4a34.*
+ 2799b: 43e6.*
+ 2799c: 4a35.*
+ 2799d: 40ee.*
+ 2799e: 4a36.*
+ 2799f: 41ee.*
+ 279a0: 4a37.*
+ 279a1: 40ee.*
+ 279a2: 4a38.*
+ 279a3: 42ee.*
+ 279a4: 4a39.*
+ 279a5: 43ee.*
+ 279a6: 4a3a.*
+ 279a7: 43ee.*
+ 279a8: 4a3b.*
+ 279a9: 40f6.*
+ 279aa: 4a3c.*
+ 279ab: 41f6.*
+ 279ac: 4a3d.*
+ 279ad: 40f6.*
+ 279ae: 4a3e.*
+ 279af: 42f6.*
+ 279b0: 4a3f.*
+ 279b1: 43f6.*
+ 279b2: 4a40.*
+ 279b3: 43f6.*
+ 279b4: 4a41.*
+ 279b5: 40f8.*
+ 279b6: 4a42.*
+ 279b7: 41f8.*
+ 279b8: 4a43.*
+ 279b9: 40f8.*
+ 279ba: 4a44.*
+ 279bb: 42f8.*
+ 279bc: 4a45.*
+ 279bd: 43f8.*
+ 279be: 4a46.*
+ 279bf: 43f8.*
+ 279c0: 4a47.*
+ 279c1: 4087.*
+ 279c2: 4187.*
+ 279c3: 4087.*
+ 279c4: 4287.*
+ 279c5: 4387.*
+ 279c6: 4387.*
+ 279c7: 408f.*
+ 279c8: 418f.*
+ 279c9: 408f.*
+ 279ca: 428f.*
+ 279cb: 438f.*
+ 279cc: 438f.*
+ 279cd: 4097.*
+ 279ce: 4197.*
+ 279cf: 4097.*
+ 279d0: 4297.*
+ 279d1: 4397.*
+ 279d2: 4397.*
+ 279d3: 40a7.*
+ 279d4: 41a7.*
+ 279d5: 40a7.*
+ 279d6: 42a7.*
+ 279d7: 43a7.*
+ 279d8: 43a7.*
+ 279d9: 40af.*
+ 279da: 41af.*
+ 279db: 40af.*
+ 279dc: 42af.*
+ 279dd: 43af.*
+ 279de: 43af.*
+ 279df: 40b7.*
+ 279e0: 41b7.*
+ 279e1: 40b7.*
+ 279e2: 42b7.*
+ 279e3: 43b7.*
+ 279e4: 43b7.*
+ 279e5: 40bf.*
+ 279e6: 41bf.*
+ 279e7: 40bf.*
+ 279e8: 42bf.*
+ 279e9: 43bf.*
+ 279ea: 43bf.*
+ 279eb: 40c7.*
+ 279ec: 41c7.*
+ 279ed: 40c7.*
+ 279ee: 42c7.*
+ 279ef: 43c7.*
+ 279f0: 43c7.*
+ 279f1: 40cf.*
+ 279f2: 41cf.*
+ 279f3: 40cf.*
+ 279f4: 42cf.*
+ 279f5: 43cf.*
+ 279f6: 43cf.*
+ 279f7: 40d7.*
+ 279f8: 41d7.*
+ 279f9: 40d7.*
+ 279fa: 42d7.*
+ 279fb: 43d7.*
+ 279fc: 43d7.*
+ 279fd: 40df.*
+ 279fe: 41df.*
+ 279ff: 40df.*
+ 27a00: 42df.*
+ 27a01: 43df.*
+ 27a02: 43df.*
+ 27a03: 40e7.*
+ 27a04: 4a48.*
+ 27a05: 41e7.*
+ 27a06: 4a49.*
+ 27a07: 40e7.*
+ 27a08: 4a4a.*
+ 27a09: 42e7.*
+ 27a0a: 4a4b.*
+ 27a0b: 43e7.*
+ 27a0c: 4a4c.*
+ 27a0d: 43e7.*
+ 27a0e: 4a4d.*
+ 27a0f: 40ef.*
+ 27a10: 4a4e.*
+ 27a11: 41ef.*
+ 27a12: 4a4f.*
+ 27a13: 40ef.*
+ 27a14: 4a50.*
+ 27a15: 42ef.*
+ 27a16: 4a51.*
+ 27a17: 43ef.*
+ 27a18: 4a52.*
+ 27a19: 43ef.*
+ 27a1a: 4a53.*
+ 27a1b: 40f7.*
+ 27a1c: 4a54.*
+ 27a1d: 41f7.*
+ 27a1e: 4a55.*
+ 27a1f: 40f7.*
+ 27a20: 4a56.*
+ 27a21: 42f7.*
+ 27a22: 4a57.*
+ 27a23: 43f7.*
+ 27a24: 4a58.*
+ 27a25: 43f7.*
+ 27a26: 4a59.*
+ 27a27: 40f8.*
+ 27a28: 4a5a.*
+ 27a29: 41f8.*
+ 27a2a: 4a5b.*
+ 27a2b: 40f8.*
+ 27a2c: 4a5c.*
+ 27a2d: 42f8.*
+ 27a2e: 4a5d.*
+ 27a2f: 43f8.*
+ 27a30: 4a5e.*
+ 27a31: 43f8.*
+ 27a32: 4a5f.*
+ 27a33: 0e16.*
+ 27a34: 0f17.*
+ 27a35: 0e80.*
+ 27a36: 0f80.*
+ 27a37: 0e88.*
+ 27a38: 0f88.*
+ 27a39: 0e90.*
+ 27a3a: 0f90.*
+ 27a3b: 0ea0.*
+ 27a3c: 0fa0.*
+ 27a3d: 0ea8.*
+ 27a3e: 0fa8.*
+ 27a3f: 0eb0.*
+ 27a40: 0fb0.*
+ 27a41: 0eb8.*
+ 27a42: 0fb8.*
+ 27a43: 0ec0.*
+ 27a44: 0fc0.*
+ 27a45: 0ec8.*
+ 27a46: 0fc8.*
+ 27a47: 0ed0.*
+ 27a48: 0fd0.*
+ 27a49: 0ed8.*
+ 27a4a: 0fd8.*
+ 27a4b: 0ee0.*
+ 27a4c: 4a60.*
+ 27a4d: 0fe0.*
+ 27a4e: 4a61.*
+ 27a4f: 0ee8.*
+ 27a50: 4a62.*
+ 27a51: 0fe8.*
+ 27a52: 4a63.*
+ 27a53: 0ef0.*
+ 27a54: 4a64.*
+ 27a55: 0ff0.*
+ 27a56: 4a65.*
+ 27a57: 0ef8.*
+ 27a58: 4a66.*
+ 27a59: 0ff8.*
+ 27a5a: 4a67.*
+ 27a5b: 0e81.*
+ 27a5c: 0f81.*
+ 27a5d: 0e89.*
+ 27a5e: 0f89.*
+ 27a5f: 0e91.*
+ 27a60: 0f91.*
+ 27a61: 0ea1.*
+ 27a62: 0fa1.*
+ 27a63: 0ea9.*
+ 27a64: 0fa9.*
+ 27a65: 0eb1.*
+ 27a66: 0fb1.*
+ 27a67: 0eb9.*
+ 27a68: 0fb9.*
+ 27a69: 0ec1.*
+ 27a6a: 0fc1.*
+ 27a6b: 0ec9.*
+ 27a6c: 0fc9.*
+ 27a6d: 0ed1.*
+ 27a6e: 0fd1.*
+ 27a6f: 0ed9.*
+ 27a70: 0fd9.*
+ 27a71: 0ee1.*
+ 27a72: 4a68.*
+ 27a73: 0fe1.*
+ 27a74: 4a69.*
+ 27a75: 0ee9.*
+ 27a76: 4a6a.*
+ 27a77: 0fe9.*
+ 27a78: 4a6b.*
+ 27a79: 0ef1.*
+ 27a7a: 4a6c.*
+ 27a7b: 0ff1.*
+ 27a7c: 4a6d.*
+ 27a7d: 0ef8.*
+ 27a7e: 4a6e.*
+ 27a7f: 0ff8.*
+ 27a80: 4a6f.*
+ 27a81: 0e82.*
+ 27a82: 0f82.*
+ 27a83: 0e8a.*
+ 27a84: 0f8a.*
+ 27a85: 0e92.*
+ 27a86: 0f92.*
+ 27a87: 0ea2.*
+ 27a88: 0fa2.*
+ 27a89: 0eaa.*
+ 27a8a: 0faa.*
+ 27a8b: 0eb2.*
+ 27a8c: 0fb2.*
+ 27a8d: 0eba.*
+ 27a8e: 0fba.*
+ 27a8f: 0ec2.*
+ 27a90: 0fc2.*
+ 27a91: 0eca.*
+ 27a92: 0fca.*
+ 27a93: 0ed2.*
+ 27a94: 0fd2.*
+ 27a95: 0eda.*
+ 27a96: 0fda.*
+ 27a97: 0ee2.*
+ 27a98: 4a70.*
+ 27a99: 0fe2.*
+ 27a9a: 4a71.*
+ 27a9b: 0eea.*
+ 27a9c: 4a72.*
+ 27a9d: 0fea.*
+ 27a9e: 4a73.*
+ 27a9f: 0ef2.*
+ 27aa0: 4a74.*
+ 27aa1: 0ff2.*
+ 27aa2: 4a75.*
+ 27aa3: 0ef8.*
+ 27aa4: 4a76.*
+ 27aa5: 0ff8.*
+ 27aa6: 4a77.*
+ 27aa7: 0e83.*
+ 27aa8: 0f83.*
+ 27aa9: 0e8b.*
+ 27aaa: 0f8b.*
+ 27aab: 0e93.*
+ 27aac: 0f93.*
+ 27aad: 0ea3.*
+ 27aae: 0fa3.*
+ 27aaf: 0eab.*
+ 27ab0: 0fab.*
+ 27ab1: 0eb3.*
+ 27ab2: 0fb3.*
+ 27ab3: 0ebb.*
+ 27ab4: 0fbb.*
+ 27ab5: 0ec3.*
+ 27ab6: 0fc3.*
+ 27ab7: 0ecb.*
+ 27ab8: 0fcb.*
+ 27ab9: 0ed3.*
+ 27aba: 0fd3.*
+ 27abb: 0edb.*
+ 27abc: 0fdb.*
+ 27abd: 0ee3.*
+ 27abe: 4a78.*
+ 27abf: 0fe3.*
+ 27ac0: 4a79.*
+ 27ac1: 0eeb.*
+ 27ac2: 4a7a.*
+ 27ac3: 0feb.*
+ 27ac4: 4a7b.*
+ 27ac5: 0ef3.*
+ 27ac6: 4a7c.*
+ 27ac7: 0ff3.*
+ 27ac8: 4a7d.*
+ 27ac9: 0ef8.*
+ 27aca: 4a7e.*
+ 27acb: 0ff8.*
+ 27acc: 4a7f.*
+ 27acd: 0e84.*
+ 27ace: 0f84.*
+ 27acf: 0e8c.*
+ 27ad0: 0f8c.*
+ 27ad1: 0e94.*
+ 27ad2: 0f94.*
+ 27ad3: 0ea4.*
+ 27ad4: 0fa4.*
+ 27ad5: 0eac.*
+ 27ad6: 0fac.*
+ 27ad7: 0eb4.*
+ 27ad8: 0fb4.*
+ 27ad9: 0ebc.*
+ 27ada: 0fbc.*
+ 27adb: 0ec4.*
+ 27adc: 0fc4.*
+ 27add: 0ecc.*
+ 27ade: 0fcc.*
+ 27adf: 0ed4.*
+ 27ae0: 0fd4.*
+ 27ae1: 0edc.*
+ 27ae2: 0fdc.*
+ 27ae3: 0ee4.*
+ 27ae4: 4a80.*
+ 27ae5: 0fe4.*
+ 27ae6: 4a81.*
+ 27ae7: 0eec.*
+ 27ae8: 4a82.*
+ 27ae9: 0fec.*
+ 27aea: 4a83.*
+ 27aeb: 0ef4.*
+ 27aec: 4a84.*
+ 27aed: 0ff4.*
+ 27aee: 4a85.*
+ 27aef: 0ef8.*
+ 27af0: 4a86.*
+ 27af1: 0ff8.*
+ 27af2: 4a87.*
+ 27af3: 0e85.*
+ 27af4: 0f85.*
+ 27af5: 0e8d.*
+ 27af6: 0f8d.*
+ 27af7: 0e95.*
+ 27af8: 0f95.*
+ 27af9: 0ea5.*
+ 27afa: 0fa5.*
+ 27afb: 0ead.*
+ 27afc: 0fad.*
+ 27afd: 0eb5.*
+ 27afe: 0fb5.*
+ 27aff: 0ebd.*
+ 27b00: 0fbd.*
+ 27b01: 0ec5.*
+ 27b02: 0fc5.*
+ 27b03: 0ecd.*
+ 27b04: 0fcd.*
+ 27b05: 0ed5.*
+ 27b06: 0fd5.*
+ 27b07: 0edd.*
+ 27b08: 0fdd.*
+ 27b09: 0ee5.*
+ 27b0a: 4a88.*
+ 27b0b: 0fe5.*
+ 27b0c: 4a89.*
+ 27b0d: 0eed.*
+ 27b0e: 4a8a.*
+ 27b0f: 0fed.*
+ 27b10: 4a8b.*
+ 27b11: 0ef5.*
+ 27b12: 4a8c.*
+ 27b13: 0ff5.*
+ 27b14: 4a8d.*
+ 27b15: 0ef8.*
+ 27b16: 4a8e.*
+ 27b17: 0ff8.*
+ 27b18: 4a8f.*
+ 27b19: 0e86.*
+ 27b1a: 0f86.*
+ 27b1b: 0e8e.*
+ 27b1c: 0f8e.*
+ 27b1d: 0e96.*
+ 27b1e: 0f96.*
+ 27b1f: 0ea6.*
+ 27b20: 0fa6.*
+ 27b21: 0eae.*
+ 27b22: 0fae.*
+ 27b23: 0eb6.*
+ 27b24: 0fb6.*
+ 27b25: 0ebe.*
+ 27b26: 0fbe.*
+ 27b27: 0ec6.*
+ 27b28: 0fc6.*
+ 27b29: 0ece.*
+ 27b2a: 0fce.*
+ 27b2b: 0ed6.*
+ 27b2c: 0fd6.*
+ 27b2d: 0ede.*
+ 27b2e: 0fde.*
+ 27b2f: 0ee6.*
+ 27b30: 4a90.*
+ 27b31: 0fe6.*
+ 27b32: 4a91.*
+ 27b33: 0eee.*
+ 27b34: 4a92.*
+ 27b35: 0fee.*
+ 27b36: 4a93.*
+ 27b37: 0ef6.*
+ 27b38: 4a94.*
+ 27b39: 0ff6.*
+ 27b3a: 4a95.*
+ 27b3b: 0ef8.*
+ 27b3c: 4a96.*
+ 27b3d: 0ff8.*
+ 27b3e: 4a97.*
+ 27b3f: 0e87.*
+ 27b40: 0f87.*
+ 27b41: 0e8f.*
+ 27b42: 0f8f.*
+ 27b43: 0e97.*
+ 27b44: 0f97.*
+ 27b45: 0ea7.*
+ 27b46: 0fa7.*
+ 27b47: 0eaf.*
+ 27b48: 0faf.*
+ 27b49: 0eb7.*
+ 27b4a: 0fb7.*
+ 27b4b: 0ebf.*
+ 27b4c: 0fbf.*
+ 27b4d: 0ec7.*
+ 27b4e: 0fc7.*
+ 27b4f: 0ecf.*
+ 27b50: 0fcf.*
+ 27b51: 0ed7.*
+ 27b52: 0fd7.*
+ 27b53: 0edf.*
+ 27b54: 0fdf.*
+ 27b55: 0ee7.*
+ 27b56: 4a98.*
+ 27b57: 0fe7.*
+ 27b58: 4a99.*
+ 27b59: 0eef.*
+ 27b5a: 4a9a.*
+ 27b5b: 0fef.*
+ 27b5c: 4a9b.*
+ 27b5d: 0ef7.*
+ 27b5e: 4a9c.*
+ 27b5f: 0ff7.*
+ 27b60: 4a9d.*
+ 27b61: 0ef8.*
+ 27b62: 4a9e.*
+ 27b63: 0ff8.*
+ 27b64: 4a9f.*
+ 27b65: 1e18.*
+ 27b66: 1f19.*
+ 27b67: 1e80.*
+ 27b68: 1f80.*
+ 27b69: 1e88.*
+ 27b6a: 1f88.*
+ 27b6b: 1e90.*
+ 27b6c: 1f90.*
+ 27b6d: 1ea0.*
+ 27b6e: 1fa0.*
+ 27b6f: 1ea8.*
+ 27b70: 1fa8.*
+ 27b71: 1eb0.*
+ 27b72: 1fb0.*
+ 27b73: 1eb8.*
+ 27b74: 1fb8.*
+ 27b75: 1ec0.*
+ 27b76: 1fc0.*
+ 27b77: 1ec8.*
+ 27b78: 1fc8.*
+ 27b79: 1ed0.*
+ 27b7a: 1fd0.*
+ 27b7b: 1ed8.*
+ 27b7c: 1fd8.*
+ 27b7d: 1ee0.*
+ 27b7e: 4aa0.*
+ 27b7f: 1fe0.*
+ 27b80: 4aa1.*
+ 27b81: 1ee8.*
+ 27b82: 4aa2.*
+ 27b83: 1fe8.*
+ 27b84: 4aa3.*
+ 27b85: 1ef0.*
+ 27b86: 4aa4.*
+ 27b87: 1ff0.*
+ 27b88: 4aa5.*
+ 27b89: 1ef8.*
+ 27b8a: 4aa6.*
+ 27b8b: 1ff8.*
+ 27b8c: 4aa7.*
+ 27b8d: 1e81.*
+ 27b8e: 1f81.*
+ 27b8f: 1e89.*
+ 27b90: 1f89.*
+ 27b91: 1e91.*
+ 27b92: 1f91.*
+ 27b93: 1ea1.*
+ 27b94: 1fa1.*
+ 27b95: 1ea9.*
+ 27b96: 1fa9.*
+ 27b97: 1eb1.*
+ 27b98: 1fb1.*
+ 27b99: 1eb9.*
+ 27b9a: 1fb9.*
+ 27b9b: 1ec1.*
+ 27b9c: 1fc1.*
+ 27b9d: 1ec9.*
+ 27b9e: 1fc9.*
+ 27b9f: 1ed1.*
+ 27ba0: 1fd1.*
+ 27ba1: 1ed9.*
+ 27ba2: 1fd9.*
+ 27ba3: 1ee1.*
+ 27ba4: 4aa8.*
+ 27ba5: 1fe1.*
+ 27ba6: 4aa9.*
+ 27ba7: 1ee9.*
+ 27ba8: 4aaa.*
+ 27ba9: 1fe9.*
+ 27baa: 4aab.*
+ 27bab: 1ef1.*
+ 27bac: 4aac.*
+ 27bad: 1ff1.*
+ 27bae: 4aad.*
+ 27baf: 1ef8.*
+ 27bb0: 4aae.*
+ 27bb1: 1ff8.*
+ 27bb2: 4aaf.*
+ 27bb3: 1e82.*
+ 27bb4: 1f82.*
+ 27bb5: 1e8a.*
+ 27bb6: 1f8a.*
+ 27bb7: 1e92.*
+ 27bb8: 1f92.*
+ 27bb9: 1ea2.*
+ 27bba: 1fa2.*
+ 27bbb: 1eaa.*
+ 27bbc: 1faa.*
+ 27bbd: 1eb2.*
+ 27bbe: 1fb2.*
+ 27bbf: 1eba.*
+ 27bc0: 1fba.*
+ 27bc1: 1ec2.*
+ 27bc2: 1fc2.*
+ 27bc3: 1eca.*
+ 27bc4: 1fca.*
+ 27bc5: 1ed2.*
+ 27bc6: 1fd2.*
+ 27bc7: 1eda.*
+ 27bc8: 1fda.*
+ 27bc9: 1ee2.*
+ 27bca: 4ab0.*
+ 27bcb: 1fe2.*
+ 27bcc: 4ab1.*
+ 27bcd: 1eea.*
+ 27bce: 4ab2.*
+ 27bcf: 1fea.*
+ 27bd0: 4ab3.*
+ 27bd1: 1ef2.*
+ 27bd2: 4ab4.*
+ 27bd3: 1ff2.*
+ 27bd4: 4ab5.*
+ 27bd5: 1ef8.*
+ 27bd6: 4ab6.*
+ 27bd7: 1ff8.*
+ 27bd8: 4ab7.*
+ 27bd9: 1e83.*
+ 27bda: 1f83.*
+ 27bdb: 1e8b.*
+ 27bdc: 1f8b.*
+ 27bdd: 1e93.*
+ 27bde: 1f93.*
+ 27bdf: 1ea3.*
+ 27be0: 1fa3.*
+ 27be1: 1eab.*
+ 27be2: 1fab.*
+ 27be3: 1eb3.*
+ 27be4: 1fb3.*
+ 27be5: 1ebb.*
+ 27be6: 1fbb.*
+ 27be7: 1ec3.*
+ 27be8: 1fc3.*
+ 27be9: 1ecb.*
+ 27bea: 1fcb.*
+ 27beb: 1ed3.*
+ 27bec: 1fd3.*
+ 27bed: 1edb.*
+ 27bee: 1fdb.*
+ 27bef: 1ee3.*
+ 27bf0: 4ab8.*
+ 27bf1: 1fe3.*
+ 27bf2: 4ab9.*
+ 27bf3: 1eeb.*
+ 27bf4: 4aba.*
+ 27bf5: 1feb.*
+ 27bf6: 4abb.*
+ 27bf7: 1ef3.*
+ 27bf8: 4abc.*
+ 27bf9: 1ff3.*
+ 27bfa: 4abd.*
+ 27bfb: 1ef8.*
+ 27bfc: 4abe.*
+ 27bfd: 1ff8.*
+ 27bfe: 4abf.*
+ 27bff: 1e84.*
+ 27c00: 1f84.*
+ 27c01: 1e8c.*
+ 27c02: 1f8c.*
+ 27c03: 1e94.*
+ 27c04: 1f94.*
+ 27c05: 1ea4.*
+ 27c06: 1fa4.*
+ 27c07: 1eac.*
+ 27c08: 1fac.*
+ 27c09: 1eb4.*
+ 27c0a: 1fb4.*
+ 27c0b: 1ebc.*
+ 27c0c: 1fbc.*
+ 27c0d: 1ec4.*
+ 27c0e: 1fc4.*
+ 27c0f: 1ecc.*
+ 27c10: 1fcc.*
+ 27c11: 1ed4.*
+ 27c12: 1fd4.*
+ 27c13: 1edc.*
+ 27c14: 1fdc.*
+ 27c15: 1ee4.*
+ 27c16: 4ac0.*
+ 27c17: 1fe4.*
+ 27c18: 4ac1.*
+ 27c19: 1eec.*
+ 27c1a: 4ac2.*
+ 27c1b: 1fec.*
+ 27c1c: 4ac3.*
+ 27c1d: 1ef4.*
+ 27c1e: 4ac4.*
+ 27c1f: 1ff4.*
+ 27c20: 4ac5.*
+ 27c21: 1ef8.*
+ 27c22: 4ac6.*
+ 27c23: 1ff8.*
+ 27c24: 4ac7.*
+ 27c25: 1e85.*
+ 27c26: 1f85.*
+ 27c27: 1e8d.*
+ 27c28: 1f8d.*
+ 27c29: 1e95.*
+ 27c2a: 1f95.*
+ 27c2b: 1ea5.*
+ 27c2c: 1fa5.*
+ 27c2d: 1ead.*
+ 27c2e: 1fad.*
+ 27c2f: 1eb5.*
+ 27c30: 1fb5.*
+ 27c31: 1ebd.*
+ 27c32: 1fbd.*
+ 27c33: 1ec5.*
+ 27c34: 1fc5.*
+ 27c35: 1ecd.*
+ 27c36: 1fcd.*
+ 27c37: 1ed5.*
+ 27c38: 1fd5.*
+ 27c39: 1edd.*
+ 27c3a: 1fdd.*
+ 27c3b: 1ee5.*
+ 27c3c: 4ac8.*
+ 27c3d: 1fe5.*
+ 27c3e: 4ac9.*
+ 27c3f: 1eed.*
+ 27c40: 4aca.*
+ 27c41: 1fed.*
+ 27c42: 4acb.*
+ 27c43: 1ef5.*
+ 27c44: 4acc.*
+ 27c45: 1ff5.*
+ 27c46: 4acd.*
+ 27c47: 1ef8.*
+ 27c48: 4ace.*
+ 27c49: 1ff8.*
+ 27c4a: 4acf.*
+ 27c4b: 1e86.*
+ 27c4c: 1f86.*
+ 27c4d: 1e8e.*
+ 27c4e: 1f8e.*
+ 27c4f: 1e96.*
+ 27c50: 1f96.*
+ 27c51: 1ea6.*
+ 27c52: 1fa6.*
+ 27c53: 1eae.*
+ 27c54: 1fae.*
+ 27c55: 1eb6.*
+ 27c56: 1fb6.*
+ 27c57: 1ebe.*
+ 27c58: 1fbe.*
+ 27c59: 1ec6.*
+ 27c5a: 1fc6.*
+ 27c5b: 1ece.*
+ 27c5c: 1fce.*
+ 27c5d: 1ed6.*
+ 27c5e: 1fd6.*
+ 27c5f: 1ede.*
+ 27c60: 1fde.*
+ 27c61: 1ee6.*
+ 27c62: 4ad0.*
+ 27c63: 1fe6.*
+ 27c64: 4ad1.*
+ 27c65: 1eee.*
+ 27c66: 4ad2.*
+ 27c67: 1fee.*
+ 27c68: 4ad3.*
+ 27c69: 1ef6.*
+ 27c6a: 4ad4.*
+ 27c6b: 1ff6.*
+ 27c6c: 4ad5.*
+ 27c6d: 1ef8.*
+ 27c6e: 4ad6.*
+ 27c6f: 1ff8.*
+ 27c70: 4ad7.*
+ 27c71: 1e87.*
+ 27c72: 1f87.*
+ 27c73: 1e8f.*
+ 27c74: 1f8f.*
+ 27c75: 1e97.*
+ 27c76: 1f97.*
+ 27c77: 1ea7.*
+ 27c78: 1fa7.*
+ 27c79: 1eaf.*
+ 27c7a: 1faf.*
+ 27c7b: 1eb7.*
+ 27c7c: 1fb7.*
+ 27c7d: 1ebf.*
+ 27c7e: 1fbf.*
+ 27c7f: 1ec7.*
+ 27c80: 1fc7.*
+ 27c81: 1ecf.*
+ 27c82: 1fcf.*
+ 27c83: 1ed7.*
+ 27c84: 1fd7.*
+ 27c85: 1edf.*
+ 27c86: 1fdf.*
+ 27c87: 1ee7.*
+ 27c88: 4ad8.*
+ 27c89: 1fe7.*
+ 27c8a: 4ad9.*
+ 27c8b: 1eef.*
+ 27c8c: 4ada.*
+ 27c8d: 1fef.*
+ 27c8e: 4adb.*
+ 27c8f: 1ef7.*
+ 27c90: 4adc.*
+ 27c91: 1ff7.*
+ 27c92: 4add.*
+ 27c93: 1ef8.*
+ 27c94: 4ade.*
+ 27c95: 1ff8.*
+ 27c96: 4adf.*
+ 27c97: 0a1a.*
+ 27c98: 0b1b.*
+ 27c99: 0a80.*
+ 27c9a: 0b80.*
+ 27c9b: 0a88.*
+ 27c9c: 0b88.*
+ 27c9d: 0a90.*
+ 27c9e: 0b90.*
+ 27c9f: 0aa0.*
+ 27ca0: 0ba0.*
+ 27ca1: 0aa8.*
+ 27ca2: 0ba8.*
+ 27ca3: 0ab0.*
+ 27ca4: 0bb0.*
+ 27ca5: 0ab8.*
+ 27ca6: 0bb8.*
+ 27ca7: 0ac0.*
+ 27ca8: 0bc0.*
+ 27ca9: 0ac8.*
+ 27caa: 0bc8.*
+ 27cab: 0ad0.*
+ 27cac: 0bd0.*
+ 27cad: 0ad8.*
+ 27cae: 0bd8.*
+ 27caf: 0ae0.*
+ 27cb0: 4ae0.*
+ 27cb1: 0be0.*
+ 27cb2: 4ae1.*
+ 27cb3: 0ae8.*
+ 27cb4: 4ae2.*
+ 27cb5: 0be8.*
+ 27cb6: 4ae3.*
+ 27cb7: 0af0.*
+ 27cb8: 4ae4.*
+ 27cb9: 0bf0.*
+ 27cba: 4ae5.*
+ 27cbb: 0af8.*
+ 27cbc: 4ae6.*
+ 27cbd: 0bf8.*
+ 27cbe: 4ae7.*
+ 27cbf: 0a81.*
+ 27cc0: 0b81.*
+ 27cc1: 0a89.*
+ 27cc2: 0b89.*
+ 27cc3: 0a91.*
+ 27cc4: 0b91.*
+ 27cc5: 0aa1.*
+ 27cc6: 0ba1.*
+ 27cc7: 0aa9.*
+ 27cc8: 0ba9.*
+ 27cc9: 0ab1.*
+ 27cca: 0bb1.*
+ 27ccb: 0ab9.*
+ 27ccc: 0bb9.*
+ 27ccd: 0ac1.*
+ 27cce: 0bc1.*
+ 27ccf: 0ac9.*
+ 27cd0: 0bc9.*
+ 27cd1: 0ad1.*
+ 27cd2: 0bd1.*
+ 27cd3: 0ad9.*
+ 27cd4: 0bd9.*
+ 27cd5: 0ae1.*
+ 27cd6: 4ae8.*
+ 27cd7: 0be1.*
+ 27cd8: 4ae9.*
+ 27cd9: 0ae9.*
+ 27cda: 4aea.*
+ 27cdb: 0be9.*
+ 27cdc: 4aeb.*
+ 27cdd: 0af1.*
+ 27cde: 4aec.*
+ 27cdf: 0bf1.*
+ 27ce0: 4aed.*
+ 27ce1: 0af8.*
+ 27ce2: 4aee.*
+ 27ce3: 0bf8.*
+ 27ce4: 4aef.*
+ 27ce5: 0a82.*
+ 27ce6: 0b82.*
+ 27ce7: 0a8a.*
+ 27ce8: 0b8a.*
+ 27ce9: 0a92.*
+ 27cea: 0b92.*
+ 27ceb: 0aa2.*
+ 27cec: 0ba2.*
+ 27ced: 0aaa.*
+ 27cee: 0baa.*
+ 27cef: 0ab2.*
+ 27cf0: 0bb2.*
+ 27cf1: 0aba.*
+ 27cf2: 0bba.*
+ 27cf3: 0ac2.*
+ 27cf4: 0bc2.*
+ 27cf5: 0aca.*
+ 27cf6: 0bca.*
+ 27cf7: 0ad2.*
+ 27cf8: 0bd2.*
+ 27cf9: 0ada.*
+ 27cfa: 0bda.*
+ 27cfb: 0ae2.*
+ 27cfc: 4af0.*
+ 27cfd: 0be2.*
+ 27cfe: 4af1.*
+ 27cff: 0aea.*
+ 27d00: 4af2.*
+ 27d01: 0bea.*
+ 27d02: 4af3.*
+ 27d03: 0af2.*
+ 27d04: 4af4.*
+ 27d05: 0bf2.*
+ 27d06: 4af5.*
+ 27d07: 0af8.*
+ 27d08: 4af6.*
+ 27d09: 0bf8.*
+ 27d0a: 4af7.*
+ 27d0b: 0a83.*
+ 27d0c: 0b83.*
+ 27d0d: 0a8b.*
+ 27d0e: 0b8b.*
+ 27d0f: 0a93.*
+ 27d10: 0b93.*
+ 27d11: 0aa3.*
+ 27d12: 0ba3.*
+ 27d13: 0aab.*
+ 27d14: 0bab.*
+ 27d15: 0ab3.*
+ 27d16: 0bb3.*
+ 27d17: 0abb.*
+ 27d18: 0bbb.*
+ 27d19: 0ac3.*
+ 27d1a: 0bc3.*
+ 27d1b: 0acb.*
+ 27d1c: 0bcb.*
+ 27d1d: 0ad3.*
+ 27d1e: 0bd3.*
+ 27d1f: 0adb.*
+ 27d20: 0bdb.*
+ 27d21: 0ae3.*
+ 27d22: 4af8.*
+ 27d23: 0be3.*
+ 27d24: 4af9.*
+ 27d25: 0aeb.*
+ 27d26: 4afa.*
+ 27d27: 0beb.*
+ 27d28: 4afb.*
+ 27d29: 0af3.*
+ 27d2a: 4afc.*
+ 27d2b: 0bf3.*
+ 27d2c: 4afd.*
+ 27d2d: 0af8.*
+ 27d2e: 4afe.*
+ 27d2f: 0bf8.*
+ 27d30: 4aff.*
+ 27d31: 0a84.*
+ 27d32: 0b84.*
+ 27d33: 0a8c.*
+ 27d34: 0b8c.*
+ 27d35: 0a94.*
+ 27d36: 0b94.*
+ 27d37: 0aa4.*
+ 27d38: 0ba4.*
+ 27d39: 0aac.*
+ 27d3a: 0bac.*
+ 27d3b: 0ab4.*
+ 27d3c: 0bb4.*
+ 27d3d: 0abc.*
+ 27d3e: 0bbc.*
+ 27d3f: 0ac4.*
+ 27d40: 0bc4.*
+ 27d41: 0acc.*
+ 27d42: 0bcc.*
+ 27d43: 0ad4.*
+ 27d44: 0bd4.*
+ 27d45: 0adc.*
+ 27d46: 0bdc.*
+ 27d47: 0ae4.*
+ 27d48: 4b00.*
+ 27d49: 0be4.*
+ 27d4a: 4b01.*
+ 27d4b: 0aec.*
+ 27d4c: 4b02.*
+ 27d4d: 0bec.*
+ 27d4e: 4b03.*
+ 27d4f: 0af4.*
+ 27d50: 4b04.*
+ 27d51: 0bf4.*
+ 27d52: 4b05.*
+ 27d53: 0af8.*
+ 27d54: 4b06.*
+ 27d55: 0bf8.*
+ 27d56: 4b07.*
+ 27d57: 0a85.*
+ 27d58: 0b85.*
+ 27d59: 0a8d.*
+ 27d5a: 0b8d.*
+ 27d5b: 0a95.*
+ 27d5c: 0b95.*
+ 27d5d: 0aa5.*
+ 27d5e: 0ba5.*
+ 27d5f: 0aad.*
+ 27d60: 0bad.*
+ 27d61: 0ab5.*
+ 27d62: 0bb5.*
+ 27d63: 0abd.*
+ 27d64: 0bbd.*
+ 27d65: 0ac5.*
+ 27d66: 0bc5.*
+ 27d67: 0acd.*
+ 27d68: 0bcd.*
+ 27d69: 0ad5.*
+ 27d6a: 0bd5.*
+ 27d6b: 0add.*
+ 27d6c: 0bdd.*
+ 27d6d: 0ae5.*
+ 27d6e: 4b08.*
+ 27d6f: 0be5.*
+ 27d70: 4b09.*
+ 27d71: 0aed.*
+ 27d72: 4b0a.*
+ 27d73: 0bed.*
+ 27d74: 4b0b.*
+ 27d75: 0af5.*
+ 27d76: 4b0c.*
+ 27d77: 0bf5.*
+ 27d78: 4b0d.*
+ 27d79: 0af8.*
+ 27d7a: 4b0e.*
+ 27d7b: 0bf8.*
+ 27d7c: 4b0f.*
+ 27d7d: 0a86.*
+ 27d7e: 0b86.*
+ 27d7f: 0a8e.*
+ 27d80: 0b8e.*
+ 27d81: 0a96.*
+ 27d82: 0b96.*
+ 27d83: 0aa6.*
+ 27d84: 0ba6.*
+ 27d85: 0aae.*
+ 27d86: 0bae.*
+ 27d87: 0ab6.*
+ 27d88: 0bb6.*
+ 27d89: 0abe.*
+ 27d8a: 0bbe.*
+ 27d8b: 0ac6.*
+ 27d8c: 0bc6.*
+ 27d8d: 0ace.*
+ 27d8e: 0bce.*
+ 27d8f: 0ad6.*
+ 27d90: 0bd6.*
+ 27d91: 0ade.*
+ 27d92: 0bde.*
+ 27d93: 0ae6.*
+ 27d94: 4b10.*
+ 27d95: 0be6.*
+ 27d96: 4b11.*
+ 27d97: 0aee.*
+ 27d98: 4b12.*
+ 27d99: 0bee.*
+ 27d9a: 4b13.*
+ 27d9b: 0af6.*
+ 27d9c: 4b14.*
+ 27d9d: 0bf6.*
+ 27d9e: 4b15.*
+ 27d9f: 0af8.*
+ 27da0: 4b16.*
+ 27da1: 0bf8.*
+ 27da2: 4b17.*
+ 27da3: 0a87.*
+ 27da4: 0b87.*
+ 27da5: 0a8f.*
+ 27da6: 0b8f.*
+ 27da7: 0a97.*
+ 27da8: 0b97.*
+ 27da9: 0aa7.*
+ 27daa: 0ba7.*
+ 27dab: 0aaf.*
+ 27dac: 0baf.*
+ 27dad: 0ab7.*
+ 27dae: 0bb7.*
+ 27daf: 0abf.*
+ 27db0: 0bbf.*
+ 27db1: 0ac7.*
+ 27db2: 0bc7.*
+ 27db3: 0acf.*
+ 27db4: 0bcf.*
+ 27db5: 0ad7.*
+ 27db6: 0bd7.*
+ 27db7: 0adf.*
+ 27db8: 0bdf.*
+ 27db9: 0ae7.*
+ 27dba: 4b18.*
+ 27dbb: 0be7.*
+ 27dbc: 4b19.*
+ 27dbd: 0aef.*
+ 27dbe: 4b1a.*
+ 27dbf: 0bef.*
+ 27dc0: 4b1b.*
+ 27dc1: 0af7.*
+ 27dc2: 4b1c.*
+ 27dc3: 0bf7.*
+ 27dc4: 4b1d.*
+ 27dc5: 0af8.*
+ 27dc6: 4b1e.*
+ 27dc7: 0bf8.*
+ 27dc8: 4b1f.*
+ 27dc9: f481.*
+ 27dca: f581.*
+ 27dcb: f481.*
+ 27dcc: f681.*
+ 27dcd: f781.*
+ 27dce: f781.*
+ 27dcf: f010.*
+ 27dd0: 4b20.*
+ 27dd1: f110.*
+ 27dd2: 4b21.*
+ 27dd3: f010.*
+ 27dd4: 4b22.*
+ 27dd5: f210.*
+ 27dd6: 4b23.*
+ 27dd7: f310.*
+ 27dd8: 4b24.*
+ 27dd9: f310.*
+ 27dda: 4b25.*
+ 27ddb: f010.*
+ 27ddc: 4b26.*
+ 27ddd: f110.*
+ 27dde: 4b27.*
+ 27ddf: f010.*
+ 27de0: 4b28.*
+ 27de1: f210.*
+ 27de2: 4b29.*
+ 27de3: f310.*
+ 27de4: 4b2a.*
+ 27de5: f310.*
+ 27de6: 4b2b.*
+ 27de7: f011.*
+ 27de8: 4b2c.*
+ 27de9: f111.*
+ 27dea: 4b2d.*
+ 27deb: f011.*
+ 27dec: 4b2e.*
+ 27ded: f211.*
+ 27dee: 4b2f.*
+ 27def: f311.*
+ 27df0: 4b30.*
+ 27df1: f311.*
+ 27df2: 4b31.*
+ 27df3: f012.*
+ 27df4: 4b32.*
+ 27df5: f112.*
+ 27df6: 4b33.*
+ 27df7: f012.*
+ 27df8: 4b34.*
+ 27df9: f212.*
+ 27dfa: 4b35.*
+ 27dfb: f312.*
+ 27dfc: 4b36.*
+ 27dfd: f312.*
+ 27dfe: 4b37.*
+ 27dff: f013.*
+ 27e00: 4b38.*
+ 27e01: f113.*
+ 27e02: 4b39.*
+ 27e03: f013.*
+ 27e04: 4b3a.*
+ 27e05: f213.*
+ 27e06: 4b3b.*
+ 27e07: f313.*
+ 27e08: 4b3c.*
+ 27e09: f313.*
+ 27e0a: 4b3d.*
+ 27e0b: f014.*
+ 27e0c: 4b3e.*
+ 27e0d: f114.*
+ 27e0e: 4b3f.*
+ 27e0f: f014.*
+ 27e10: 4b40.*
+ 27e11: f214.*
+ 27e12: 4b41.*
+ 27e13: f314.*
+ 27e14: 4b42.*
+ 27e15: f314.*
+ 27e16: 4b43.*
+ 27e17: f015.*
+ 27e18: 4b44.*
+ 27e19: f115.*
+ 27e1a: 4b45.*
+ 27e1b: f015.*
+ 27e1c: 4b46.*
+ 27e1d: f215.*
+ 27e1e: 4b47.*
+ 27e1f: f315.*
+ 27e20: 4b48.*
+ 27e21: f315.*
+ 27e22: 4b49.*
+ 27e23: f016.*
+ 27e24: 4b4a.*
+ 27e25: f116.*
+ 27e26: 4b4b.*
+ 27e27: f016.*
+ 27e28: 4b4c.*
+ 27e29: f216.*
+ 27e2a: 4b4d.*
+ 27e2b: f316.*
+ 27e2c: 4b4e.*
+ 27e2d: f316.*
+ 27e2e: 4b4f.*
+ 27e2f: f017.*
+ 27e30: 4b50.*
+ 27e31: f117.*
+ 27e32: 4b51.*
+ 27e33: f017.*
+ 27e34: 4b52.*
+ 27e35: f217.*
+ 27e36: 4b53.*
+ 27e37: f317.*
+ 27e38: 4b54.*
+ 27e39: f317.*
+ 27e3a: 4b55.*
+ 27e3b: f018.*
+ 27e3c: 4b56.*
+ 27e3d: f118.*
+ 27e3e: 4b57.*
+ 27e3f: f018.*
+ 27e40: 4b58.*
+ 27e41: f218.*
+ 27e42: 4b59.*
+ 27e43: f318.*
+ 27e44: 4b5a.*
+ 27e45: f318.*
+ 27e46: 4b5b.*
+ 27e47: f019.*
+ 27e48: 4b5c.*
+ 27e49: f119.*
+ 27e4a: 4b5d.*
+ 27e4b: f019.*
+ 27e4c: 4b5e.*
+ 27e4d: f219.*
+ 27e4e: 4b5f.*
+ 27e4f: f319.*
+ 27e50: 4b60.*
+ 27e51: f319.*
+ 27e52: 4b61.*
+ 27e53: f01a.*
+ 27e54: 4b62.*
+ 27e55: f11a.*
+ 27e56: 4b63.*
+ 27e57: f01a.*
+ 27e58: 4b64.*
+ 27e59: f21a.*
+ 27e5a: 4b65.*
+ 27e5b: f31a.*
+ 27e5c: 4b66.*
+ 27e5d: f31a.*
+ 27e5e: 4b67.*
+ 27e5f: f01b.*
+ 27e60: 4b68.*
+ 27e61: f11b.*
+ 27e62: 4b69.*
+ 27e63: f01b.*
+ 27e64: 4b6a.*
+ 27e65: f21b.*
+ 27e66: 4b6b.*
+ 27e67: f31b.*
+ 27e68: 4b6c.*
+ 27e69: f31b.*
+ 27e6a: 4b6d.*
+ 27e6b: f01c.*
+ 27e6c: 4b6e.*
+ 27e6d: f11c.*
+ 27e6e: 4b6f.*
+ 27e6f: f01c.*
+ 27e70: 4b70.*
+ 27e71: f21c.*
+ 27e72: 4b71.*
+ 27e73: f31c.*
+ 27e74: 4b72.*
+ 27e75: f31c.*
+ 27e76: 4b73.*
+ 27e77: f01d.*
+ 27e78: 4b74.*
+ 27e79: f11d.*
+ 27e7a: 4b75.*
+ 27e7b: f01d.*
+ 27e7c: 4b76.*
+ 27e7d: f21d.*
+ 27e7e: 4b77.*
+ 27e7f: f31d.*
+ 27e80: 4b78.*
+ 27e81: f31d.*
+ 27e82: 4b79.*
+ 27e83: f01e.*
+ 27e84: 4b7a.*
+ 27e85: f11e.*
+ 27e86: 4b7b.*
+ 27e87: f01e.*
+ 27e88: 4b7c.*
+ 27e89: f21e.*
+ 27e8a: 4b7d.*
+ 27e8b: f31e.*
+ 27e8c: 4b7e.*
+ 27e8d: f31e.*
+ 27e8e: 4b7f.*
+ 27e8f: f01f.*
+ 27e90: 4b80.*
+ 27e91: f11f.*
+ 27e92: 4b81.*
+ 27e93: f01f.*
+ 27e94: 4b82.*
+ 27e95: f21f.*
+ 27e96: 4b83.*
+ 27e97: f31f.*
+ 27e98: 4b84.*
+ 27e99: f31f.*
+ 27e9a: 4b85.*
+ 27e9b: f420.*
+ 27e9c: f520.*
+ 27e9d: f420.*
+ 27e9e: f430.*
+ 27e9f: f530.*
+ 27ea0: f430.*
+ 27ea1: f431.*
+ 27ea2: f531.*
+ 27ea3: f431.*
+ 27ea4: f432.*
+ 27ea5: f532.*
+ 27ea6: f432.*
+ 27ea7: f433.*
+ 27ea8: f533.*
+ 27ea9: f433.*
+ 27eaa: f434.*
+ 27eab: f534.*
+ 27eac: f434.*
+ 27ead: f435.*
+ 27eae: f535.*
+ 27eaf: f435.*
+ 27eb0: f436.*
+ 27eb1: f536.*
+ 27eb2: f436.*
+ 27eb3: f437.*
+ 27eb4: f537.*
+ 27eb5: f437.*
+ 27eb6: f438.*
+ 27eb7: f538.*
+ 27eb8: f438.*
+ 27eb9: f439.*
+ 27eba: f539.*
+ 27ebb: f439.*
+ 27ebc: f43a.*
+ 27ebd: f53a.*
+ 27ebe: f43a.*
+ 27ebf: f43b.*
+ 27ec0: f53b.*
+ 27ec1: f43b.*
+ 27ec2: f43c.*
+ 27ec3: f53c.*
+ 27ec4: f43c.*
+ 27ec5: f43d.*
+ 27ec6: f53d.*
+ 27ec7: f43d.*
+ 27ec8: f43e.*
+ 27ec9: f53e.*
+ 27eca: f43e.*
+ 27ecb: f43f.*
+ 27ecc: f53f.*
+ 27ecd: f43f.*
+ 27ece: f420.*
+ 27ecf: f520.*
+ 27ed0: f420.*
+ 27ed1: f421.*
+ 27ed2: f521.*
+ 27ed3: f421.*
+ 27ed4: f422.*
+ 27ed5: f522.*
+ 27ed6: f422.*
+ 27ed7: f423.*
+ 27ed8: f523.*
+ 27ed9: f423.*
+ 27eda: f424.*
+ 27edb: f524.*
+ 27edc: f424.*
+ 27edd: f425.*
+ 27ede: f525.*
+ 27edf: f425.*
+ 27ee0: f426.*
+ 27ee1: f526.*
+ 27ee2: f426.*
+ 27ee3: f427.*
+ 27ee4: f527.*
+ 27ee5: f427.*
+ 27ee6: f428.*
+ 27ee7: f528.*
+ 27ee8: f428.*
+ 27ee9: f429.*
+ 27eea: f529.*
+ 27eeb: f429.*
+ 27eec: f42a.*
+ 27eed: f52a.*
+ 27eee: f42a.*
+ 27eef: f42b.*
+ 27ef0: f52b.*
+ 27ef1: f42b.*
+ 27ef2: f42c.*
+ 27ef3: f52c.*
+ 27ef4: f42c.*
+ 27ef5: f42d.*
+ 27ef6: f52d.*
+ 27ef7: f42d.*
+ 27ef8: f42e.*
+ 27ef9: f52e.*
+ 27efa: f42e.*
+ 27efb: f42f.*
+ 27efc: f52f.*
+ 27efd: f42f.*
+ 27efe: f620.*
+ 27eff: f720.*
+ 27f00: f720.*
+ 27f01: f630.*
+ 27f02: f730.*
+ 27f03: f730.*
+ 27f04: f631.*
+ 27f05: f731.*
+ 27f06: f731.*
+ 27f07: f632.*
+ 27f08: f732.*
+ 27f09: f732.*
+ 27f0a: f633.*
+ 27f0b: f733.*
+ 27f0c: f733.*
+ 27f0d: f634.*
+ 27f0e: f734.*
+ 27f0f: f734.*
+ 27f10: f635.*
+ 27f11: f735.*
+ 27f12: f735.*
+ 27f13: f636.*
+ 27f14: f736.*
+ 27f15: f736.*
+ 27f16: f637.*
+ 27f17: f737.*
+ 27f18: f737.*
+ 27f19: f638.*
+ 27f1a: f738.*
+ 27f1b: f738.*
+ 27f1c: f639.*
+ 27f1d: f739.*
+ 27f1e: f739.*
+ 27f1f: f63a.*
+ 27f20: f73a.*
+ 27f21: f73a.*
+ 27f22: f63b.*
+ 27f23: f73b.*
+ 27f24: f73b.*
+ 27f25: f63c.*
+ 27f26: f73c.*
+ 27f27: f73c.*
+ 27f28: f63d.*
+ 27f29: f73d.*
+ 27f2a: f73d.*
+ 27f2b: f63e.*
+ 27f2c: f73e.*
+ 27f2d: f73e.*
+ 27f2e: f63f.*
+ 27f2f: f73f.*
+ 27f30: f73f.*
+ 27f31: f620.*
+ 27f32: f720.*
+ 27f33: f720.*
+ 27f34: f621.*
+ 27f35: f721.*
+ 27f36: f721.*
+ 27f37: f622.*
+ 27f38: f722.*
+ 27f39: f722.*
+ 27f3a: f623.*
+ 27f3b: f723.*
+ 27f3c: f723.*
+ 27f3d: f624.*
+ 27f3e: f724.*
+ 27f3f: f724.*
+ 27f40: f625.*
+ 27f41: f725.*
+ 27f42: f725.*
+ 27f43: f626.*
+ 27f44: f726.*
+ 27f45: f726.*
+ 27f46: f627.*
+ 27f47: f727.*
+ 27f48: f727.*
+ 27f49: f628.*
+ 27f4a: f728.*
+ 27f4b: f728.*
+ 27f4c: f629.*
+ 27f4d: f729.*
+ 27f4e: f729.*
+ 27f4f: f62a.*
+ 27f50: f72a.*
+ 27f51: f72a.*
+ 27f52: f62b.*
+ 27f53: f72b.*
+ 27f54: f72b.*
+ 27f55: f62c.*
+ 27f56: f72c.*
+ 27f57: f72c.*
+ 27f58: f62d.*
+ 27f59: f72d.*
+ 27f5a: f72d.*
+ 27f5b: f62e.*
+ 27f5c: f72e.*
+ 27f5d: f72e.*
+ 27f5e: f62f.*
+ 27f5f: f72f.*
+ 27f60: f72f.*
+ 27f61: 081c.*
+ 27f62: 091d.*
+ 27f63: 081e.*
+ 27f64: 6f1f.*
+ 27f65: 0d20.*
+ 27f66: 0820.*
+ 27f67: 6f21.*
+ 27f68: 0e20.*
+ 27f69: 0922.*
+ 27f6a: 0923.*
+ 27f6b: 6f24.*
+ 27f6c: 0c30.*
+ 27f6d: 6f25.*
+ 27f6e: 0d30.*
+ 27f6f: 6f26.*
+ 27f70: 0c30.*
+ 27f71: 6f27.*
+ 27f72: 0e30.*
+ 27f73: 6f28.*
+ 27f74: 0f30.*
+ 27f75: 6f29.*
+ 27f76: 0f30.*
+ 27f77: 6f2a.*
+ 27f78: 0c31.*
+ 27f79: 6f2b.*
+ 27f7a: 0d31.*
+ 27f7b: 6f2c.*
+ 27f7c: 0c31.*
+ 27f7d: 6f2d.*
+ 27f7e: 0e31.*
+ 27f7f: 6f2e.*
+ 27f80: 0f31.*
+ 27f81: 6f2f.*
+ 27f82: 0f31.*
+ 27f83: 6f30.*
+ 27f84: 0c32.*
+ 27f85: 6f31.*
+ 27f86: 0d32.*
+ 27f87: 6f32.*
+ 27f88: 0c32.*
+ 27f89: 6f33.*
+ 27f8a: 0e32.*
+ 27f8b: 6f34.*
+ 27f8c: 0f32.*
+ 27f8d: 6f35.*
+ 27f8e: 0f32.*
+ 27f8f: 6f36.*
+ 27f90: 0c33.*
+ 27f91: 6f37.*
+ 27f92: 0d33.*
+ 27f93: 6f38.*
+ 27f94: 0c33.*
+ 27f95: 6f39.*
+ 27f96: 0e33.*
+ 27f97: 6f3a.*
+ 27f98: 0f33.*
+ 27f99: 6f3b.*
+ 27f9a: 0f33.*
+ 27f9b: 6f3c.*
+ 27f9c: 0c34.*
+ 27f9d: 6f3d.*
+ 27f9e: 0d34.*
+ 27f9f: 6f3e.*
+ 27fa0: 0c34.*
+ 27fa1: 6f3f.*
+ 27fa2: 0e34.*
+ 27fa3: 6f40.*
+ 27fa4: 0f34.*
+ 27fa5: 6f41.*
+ 27fa6: 0f34.*
+ 27fa7: 6f42.*
+ 27fa8: 0c35.*
+ 27fa9: 6f43.*
+ 27faa: 0d35.*
+ 27fab: 6f44.*
+ 27fac: 0c35.*
+ 27fad: 6f45.*
+ 27fae: 0e35.*
+ 27faf: 6f46.*
+ 27fb0: 0f35.*
+ 27fb1: 6f47.*
+ 27fb2: 0f35.*
+ 27fb3: 6f48.*
+ 27fb4: 0c36.*
+ 27fb5: 6f49.*
+ 27fb6: 0d36.*
+ 27fb7: 6f4a.*
+ 27fb8: 0c36.*
+ 27fb9: 6f4b.*
+ 27fba: 0e36.*
+ 27fbb: 6f4c.*
+ 27fbc: 0f36.*
+ 27fbd: 6f4d.*
+ 27fbe: 0f36.*
+ 27fbf: 6f4e.*
+ 27fc0: 0c37.*
+ 27fc1: 6f4f.*
+ 27fc2: 0d37.*
+ 27fc3: 6f50.*
+ 27fc4: 0c37.*
+ 27fc5: 6f51.*
+ 27fc6: 0e37.*
+ 27fc7: 6f52.*
+ 27fc8: 0f37.*
+ 27fc9: 6f53.*
+ 27fca: 0f37.*
+ 27fcb: 6f54.*
+ 27fcc: 0c38.*
+ 27fcd: 6f55.*
+ 27fce: 0d38.*
+ 27fcf: 6f56.*
+ 27fd0: 0c38.*
+ 27fd1: 6f57.*
+ 27fd2: 0e38.*
+ 27fd3: 6f58.*
+ 27fd4: 0f38.*
+ 27fd5: 6f59.*
+ 27fd6: 0f38.*
+ 27fd7: 6f5a.*
+ 27fd8: 0c39.*
+ 27fd9: 6f5b.*
+ 27fda: 0d39.*
+ 27fdb: 6f5c.*
+ 27fdc: 0c39.*
+ 27fdd: 6f5d.*
+ 27fde: 0e39.*
+ 27fdf: 6f5e.*
+ 27fe0: 0f39.*
+ 27fe1: 6f5f.*
+ 27fe2: 0f39.*
+ 27fe3: 6f60.*
+ 27fe4: 0c3a.*
+ 27fe5: 6f61.*
+ 27fe6: 0d3a.*
+ 27fe7: 6f62.*
+ 27fe8: 0c3a.*
+ 27fe9: 6f63.*
+ 27fea: 0e3a.*
+ 27feb: 6f64.*
+ 27fec: 0f3a.*
+ 27fed: 6f65.*
+ 27fee: 0f3a.*
+ 27fef: 6f66.*
+ 27ff0: 0c3b.*
+ 27ff1: 6f67.*
+ 27ff2: 0d3b.*
+ 27ff3: 6f68.*
+ 27ff4: 0c3b.*
+ 27ff5: 6f69.*
+ 27ff6: 0e3b.*
+ 27ff7: 6f6a.*
+ 27ff8: 0f3b.*
+ 27ff9: 6f6b.*
+ 27ffa: 0f3b.*
+ 27ffb: 6f6c.*
+ 27ffc: 0c3c.*
+ 27ffd: 6f6d.*
+ 27ffe: 0d3c.*
+ 27fff: 6f6e.*
+ 28000: 0c3c.*
+ 28001: 6f6f.*
+ 28002: 0e3c.*
+ 28003: 6f70.*
+ 28004: 0f3c.*
+ 28005: 6f71.*
+ 28006: 0f3c.*
+ 28007: 6f72.*
+ 28008: 0c3d.*
+ 28009: 6f73.*
+ 2800a: 0d3d.*
+ 2800b: 6f74.*
+ 2800c: 0c3d.*
+ 2800d: 6f75.*
+ 2800e: 0e3d.*
+ 2800f: 6f76.*
+ 28010: 0f3d.*
+ 28011: 6f77.*
+ 28012: 0f3d.*
+ 28013: 6f78.*
+ 28014: 0c3e.*
+ 28015: 6f79.*
+ 28016: 0d3e.*
+ 28017: 6f7a.*
+ 28018: 0c3e.*
+ 28019: 6f7b.*
+ 2801a: 0e3e.*
+ 2801b: 6f7c.*
+ 2801c: 0f3e.*
+ 2801d: 6f7d.*
+ 2801e: 0f3e.*
+ 2801f: 6f7e.*
+ 28020: 0c3f.*
+ 28021: 6f7f.*
+ 28022: 0d3f.*
+ 28023: 6f00.*
+ 28024: 0c3f.*
+ 28025: 6f01.*
+ 28026: 0e3f.*
+ 28027: 6f02.*
+ 28028: 0f3f.*
+ 28029: 6f03.*
+ 2802a: 0f3f.*
+ 2802b: 0804.*
+ 2802c: 6f05.*
+ 2802d: 0d20.*
+ 2802e: 0806.*
+ 2802f: 6f07.*
+ 28030: 0e20.*
+ 28031: 0908.*
+ 28032: 0909.*
+ 28033: 6f0a.*
+ 28034: 0c21.*
+ 28035: 6f0b.*
+ 28036: 0d21.*
+ 28037: 6f0c.*
+ 28038: 0c21.*
+ 28039: 6f0d.*
+ 2803a: 0e21.*
+ 2803b: 6f0e.*
+ 2803c: 0f21.*
+ 2803d: 6f0f.*
+ 2803e: 0f21.*
+ 2803f: 6f10.*
+ 28040: 0c22.*
+ 28041: 6f11.*
+ 28042: 0d22.*
+ 28043: 6f12.*
+ 28044: 0c22.*
+ 28045: 6f13.*
+ 28046: 0e22.*
+ 28047: 6f14.*
+ 28048: 0f22.*
+ 28049: 6f15.*
+ 2804a: 0f22.*
+ 2804b: 6f16.*
+ 2804c: 0c23.*
+ 2804d: 6f17.*
+ 2804e: 0d23.*
+ 2804f: 6f18.*
+ 28050: 0c23.*
+ 28051: 6f19.*
+ 28052: 0e23.*
+ 28053: 6f1a.*
+ 28054: 0f23.*
+ 28055: 6f1b.*
+ 28056: 0f23.*
+ 28057: 6f1c.*
+ 28058: 0c24.*
+ 28059: 6f1d.*
+ 2805a: 0d24.*
+ 2805b: 6f1e.*
+ 2805c: 0c24.*
+ 2805d: 6f1f.*
+ 2805e: 0e24.*
+ 2805f: 6f20.*
+ 28060: 0f24.*
+ 28061: 6f21.*
+ 28062: 0f24.*
+ 28063: 6f22.*
+ 28064: 0c25.*
+ 28065: 6f23.*
+ 28066: 0d25.*
+ 28067: 6f24.*
+ 28068: 0c25.*
+ 28069: 6f25.*
+ 2806a: 0e25.*
+ 2806b: 6f26.*
+ 2806c: 0f25.*
+ 2806d: 6f27.*
+ 2806e: 0f25.*
+ 2806f: 6f28.*
+ 28070: 0c26.*
+ 28071: 6f29.*
+ 28072: 0d26.*
+ 28073: 6f2a.*
+ 28074: 0c26.*
+ 28075: 6f2b.*
+ 28076: 0e26.*
+ 28077: 6f2c.*
+ 28078: 0f26.*
+ 28079: 6f2d.*
+ 2807a: 0f26.*
+ 2807b: 6f2e.*
+ 2807c: 0c27.*
+ 2807d: 6f2f.*
+ 2807e: 0d27.*
+ 2807f: 6f30.*
+ 28080: 0c27.*
+ 28081: 6f31.*
+ 28082: 0e27.*
+ 28083: 6f32.*
+ 28084: 0f27.*
+ 28085: 6f33.*
+ 28086: 0f27.*
+ 28087: 6f34.*
+ 28088: 0c28.*
+ 28089: 6f35.*
+ 2808a: 0d28.*
+ 2808b: 6f36.*
+ 2808c: 0c28.*
+ 2808d: 6f37.*
+ 2808e: 0e28.*
+ 2808f: 6f38.*
+ 28090: 0f28.*
+ 28091: 6f39.*
+ 28092: 0f28.*
+ 28093: 6f3a.*
+ 28094: 0c29.*
+ 28095: 6f3b.*
+ 28096: 0d29.*
+ 28097: 6f3c.*
+ 28098: 0c29.*
+ 28099: 6f3d.*
+ 2809a: 0e29.*
+ 2809b: 6f3e.*
+ 2809c: 0f29.*
+ 2809d: 6f3f.*
+ 2809e: 0f29.*
+ 2809f: 6f40.*
+ 280a0: 0c2a.*
+ 280a1: 6f41.*
+ 280a2: 0d2a.*
+ 280a3: 6f42.*
+ 280a4: 0c2a.*
+ 280a5: 6f43.*
+ 280a6: 0e2a.*
+ 280a7: 6f44.*
+ 280a8: 0f2a.*
+ 280a9: 6f45.*
+ 280aa: 0f2a.*
+ 280ab: 6f46.*
+ 280ac: 0c2b.*
+ 280ad: 6f47.*
+ 280ae: 0d2b.*
+ 280af: 6f48.*
+ 280b0: 0c2b.*
+ 280b1: 6f49.*
+ 280b2: 0e2b.*
+ 280b3: 6f4a.*
+ 280b4: 0f2b.*
+ 280b5: 6f4b.*
+ 280b6: 0f2b.*
+ 280b7: 6f4c.*
+ 280b8: 0c2c.*
+ 280b9: 6f4d.*
+ 280ba: 0d2c.*
+ 280bb: 6f4e.*
+ 280bc: 0c2c.*
+ 280bd: 6f4f.*
+ 280be: 0e2c.*
+ 280bf: 6f50.*
+ 280c0: 0f2c.*
+ 280c1: 6f51.*
+ 280c2: 0f2c.*
+ 280c3: 6f52.*
+ 280c4: 0c2d.*
+ 280c5: 6f53.*
+ 280c6: 0d2d.*
+ 280c7: 6f54.*
+ 280c8: 0c2d.*
+ 280c9: 6f55.*
+ 280ca: 0e2d.*
+ 280cb: 6f56.*
+ 280cc: 0f2d.*
+ 280cd: 6f57.*
+ 280ce: 0f2d.*
+ 280cf: 6f58.*
+ 280d0: 0c2e.*
+ 280d1: 6f59.*
+ 280d2: 0d2e.*
+ 280d3: 6f5a.*
+ 280d4: 0c2e.*
+ 280d5: 6f5b.*
+ 280d6: 0e2e.*
+ 280d7: 6f5c.*
+ 280d8: 0f2e.*
+ 280d9: 6f5d.*
+ 280da: 0f2e.*
+ 280db: 6f5e.*
+ 280dc: 0c2f.*
+ 280dd: 6f5f.*
+ 280de: 0d2f.*
+ 280df: 6f60.*
+ 280e0: 0c2f.*
+ 280e1: 6f61.*
+ 280e2: 0e2f.*
+ 280e3: 6f62.*
+ 280e4: 0f2f.*
+ 280e5: 6f63.*
+ 280e6: 0f2f.*
+ 280e7: 0880.*
+ 280e8: 6f80.*
+ 280e9: 0d20.*
+ 280ea: 0880.*
+ 280eb: 6f80.*
+ 280ec: 0e20.*
+ 280ed: 0980.*
+ 280ee: 0980.*
+ 280ef: 6f80.*
+ 280f0: 0c30.*
+ 280f1: 6f80.*
+ 280f2: 0d30.*
+ 280f3: 6f80.*
+ 280f4: 0c30.*
+ 280f5: 6f80.*
+ 280f6: 0e30.*
+ 280f7: 6f80.*
+ 280f8: 0f30.*
+ 280f9: 6f80.*
+ 280fa: 0f30.*
+ 280fb: 6f80.*
+ 280fc: 0c31.*
+ 280fd: 6f80.*
+ 280fe: 0d31.*
+ 280ff: 6f80.*
+ 28100: 0c31.*
+ 28101: 6f80.*
+ 28102: 0e31.*
+ 28103: 6f80.*
+ 28104: 0f31.*
+ 28105: 6f80.*
+ 28106: 0f31.*
+ 28107: 6f80.*
+ 28108: 0c32.*
+ 28109: 6f80.*
+ 2810a: 0d32.*
+ 2810b: 6f80.*
+ 2810c: 0c32.*
+ 2810d: 6f80.*
+ 2810e: 0e32.*
+ 2810f: 6f80.*
+ 28110: 0f32.*
+ 28111: 6f80.*
+ 28112: 0f32.*
+ 28113: 6f80.*
+ 28114: 0c33.*
+ 28115: 6f80.*
+ 28116: 0d33.*
+ 28117: 6f80.*
+ 28118: 0c33.*
+ 28119: 6f80.*
+ 2811a: 0e33.*
+ 2811b: 6f80.*
+ 2811c: 0f33.*
+ 2811d: 6f80.*
+ 2811e: 0f33.*
+ 2811f: 6f80.*
+ 28120: 0c34.*
+ 28121: 6f80.*
+ 28122: 0d34.*
+ 28123: 6f80.*
+ 28124: 0c34.*
+ 28125: 6f80.*
+ 28126: 0e34.*
+ 28127: 6f80.*
+ 28128: 0f34.*
+ 28129: 6f80.*
+ 2812a: 0f34.*
+ 2812b: 6f80.*
+ 2812c: 0c35.*
+ 2812d: 6f80.*
+ 2812e: 0d35.*
+ 2812f: 6f80.*
+ 28130: 0c35.*
+ 28131: 6f80.*
+ 28132: 0e35.*
+ 28133: 6f80.*
+ 28134: 0f35.*
+ 28135: 6f80.*
+ 28136: 0f35.*
+ 28137: 6f80.*
+ 28138: 0c36.*
+ 28139: 6f80.*
+ 2813a: 0d36.*
+ 2813b: 6f80.*
+ 2813c: 0c36.*
+ 2813d: 6f80.*
+ 2813e: 0e36.*
+ 2813f: 6f80.*
+ 28140: 0f36.*
+ 28141: 6f80.*
+ 28142: 0f36.*
+ 28143: 6f80.*
+ 28144: 0c37.*
+ 28145: 6f80.*
+ 28146: 0d37.*
+ 28147: 6f80.*
+ 28148: 0c37.*
+ 28149: 6f80.*
+ 2814a: 0e37.*
+ 2814b: 6f80.*
+ 2814c: 0f37.*
+ 2814d: 6f80.*
+ 2814e: 0f37.*
+ 2814f: 6f80.*
+ 28150: 0c38.*
+ 28151: 6f80.*
+ 28152: 0d38.*
+ 28153: 6f80.*
+ 28154: 0c38.*
+ 28155: 6f80.*
+ 28156: 0e38.*
+ 28157: 6f80.*
+ 28158: 0f38.*
+ 28159: 6f80.*
+ 2815a: 0f38.*
+ 2815b: 6f80.*
+ 2815c: 0c39.*
+ 2815d: 6f80.*
+ 2815e: 0d39.*
+ 2815f: 6f80.*
+ 28160: 0c39.*
+ 28161: 6f80.*
+ 28162: 0e39.*
+ 28163: 6f80.*
+ 28164: 0f39.*
+ 28165: 6f80.*
+ 28166: 0f39.*
+ 28167: 6f80.*
+ 28168: 0c3a.*
+ 28169: 6f80.*
+ 2816a: 0d3a.*
+ 2816b: 6f80.*
+ 2816c: 0c3a.*
+ 2816d: 6f80.*
+ 2816e: 0e3a.*
+ 2816f: 6f80.*
+ 28170: 0f3a.*
+ 28171: 6f80.*
+ 28172: 0f3a.*
+ 28173: 6f80.*
+ 28174: 0c3b.*
+ 28175: 6f80.*
+ 28176: 0d3b.*
+ 28177: 6f80.*
+ 28178: 0c3b.*
+ 28179: 6f80.*
+ 2817a: 0e3b.*
+ 2817b: 6f80.*
+ 2817c: 0f3b.*
+ 2817d: 6f80.*
+ 2817e: 0f3b.*
+ 2817f: 6f80.*
+ 28180: 0c3c.*
+ 28181: 6f80.*
+ 28182: 0d3c.*
+ 28183: 6f80.*
+ 28184: 0c3c.*
+ 28185: 6f80.*
+ 28186: 0e3c.*
+ 28187: 6f80.*
+ 28188: 0f3c.*
+ 28189: 6f80.*
+ 2818a: 0f3c.*
+ 2818b: 6f80.*
+ 2818c: 0c3d.*
+ 2818d: 6f80.*
+ 2818e: 0d3d.*
+ 2818f: 6f80.*
+ 28190: 0c3d.*
+ 28191: 6f80.*
+ 28192: 0e3d.*
+ 28193: 6f80.*
+ 28194: 0f3d.*
+ 28195: 6f80.*
+ 28196: 0f3d.*
+ 28197: 6f80.*
+ 28198: 0c3e.*
+ 28199: 6f80.*
+ 2819a: 0d3e.*
+ 2819b: 6f80.*
+ 2819c: 0c3e.*
+ 2819d: 6f80.*
+ 2819e: 0e3e.*
+ 2819f: 6f80.*
+ 281a0: 0f3e.*
+ 281a1: 6f80.*
+ 281a2: 0f3e.*
+ 281a3: 6f80.*
+ 281a4: 0c3f.*
+ 281a5: 6f80.*
+ 281a6: 0d3f.*
+ 281a7: 6f80.*
+ 281a8: 0c3f.*
+ 281a9: 6f80.*
+ 281aa: 0e3f.*
+ 281ab: 6f80.*
+ 281ac: 0f3f.*
+ 281ad: 6f80.*
+ 281ae: 0f3f.*
+ 281af: 0880.*
+ 281b0: 6f80.*
+ 281b1: 0d20.*
+ 281b2: 0880.*
+ 281b3: 6f80.*
+ 281b4: 0e20.*
+ 281b5: 0980.*
+ 281b6: 0980.*
+ 281b7: 6f80.*
+ 281b8: 0c21.*
+ 281b9: 6f80.*
+ 281ba: 0d21.*
+ 281bb: 6f80.*
+ 281bc: 0c21.*
+ 281bd: 6f80.*
+ 281be: 0e21.*
+ 281bf: 6f80.*
+ 281c0: 0f21.*
+ 281c1: 6f80.*
+ 281c2: 0f21.*
+ 281c3: 6f80.*
+ 281c4: 0c22.*
+ 281c5: 6f80.*
+ 281c6: 0d22.*
+ 281c7: 6f80.*
+ 281c8: 0c22.*
+ 281c9: 6f80.*
+ 281ca: 0e22.*
+ 281cb: 6f80.*
+ 281cc: 0f22.*
+ 281cd: 6f80.*
+ 281ce: 0f22.*
+ 281cf: 6f80.*
+ 281d0: 0c23.*
+ 281d1: 6f80.*
+ 281d2: 0d23.*
+ 281d3: 6f80.*
+ 281d4: 0c23.*
+ 281d5: 6f80.*
+ 281d6: 0e23.*
+ 281d7: 6f80.*
+ 281d8: 0f23.*
+ 281d9: 6f80.*
+ 281da: 0f23.*
+ 281db: 6f80.*
+ 281dc: 0c24.*
+ 281dd: 6f80.*
+ 281de: 0d24.*
+ 281df: 6f80.*
+ 281e0: 0c24.*
+ 281e1: 6f80.*
+ 281e2: 0e24.*
+ 281e3: 6f80.*
+ 281e4: 0f24.*
+ 281e5: 6f80.*
+ 281e6: 0f24.*
+ 281e7: 6f80.*
+ 281e8: 0c25.*
+ 281e9: 6f80.*
+ 281ea: 0d25.*
+ 281eb: 6f80.*
+ 281ec: 0c25.*
+ 281ed: 6f80.*
+ 281ee: 0e25.*
+ 281ef: 6f80.*
+ 281f0: 0f25.*
+ 281f1: 6f80.*
+ 281f2: 0f25.*
+ 281f3: 6f80.*
+ 281f4: 0c26.*
+ 281f5: 6f80.*
+ 281f6: 0d26.*
+ 281f7: 6f80.*
+ 281f8: 0c26.*
+ 281f9: 6f80.*
+ 281fa: 0e26.*
+ 281fb: 6f80.*
+ 281fc: 0f26.*
+ 281fd: 6f80.*
+ 281fe: 0f26.*
+ 281ff: 6f80.*
+ 28200: 0c27.*
+ 28201: 6f80.*
+ 28202: 0d27.*
+ 28203: 6f80.*
+ 28204: 0c27.*
+ 28205: 6f80.*
+ 28206: 0e27.*
+ 28207: 6f80.*
+ 28208: 0f27.*
+ 28209: 6f80.*
+ 2820a: 0f27.*
+ 2820b: 6f80.*
+ 2820c: 0c28.*
+ 2820d: 6f80.*
+ 2820e: 0d28.*
+ 2820f: 6f80.*
+ 28210: 0c28.*
+ 28211: 6f80.*
+ 28212: 0e28.*
+ 28213: 6f80.*
+ 28214: 0f28.*
+ 28215: 6f80.*
+ 28216: 0f28.*
+ 28217: 6f80.*
+ 28218: 0c29.*
+ 28219: 6f80.*
+ 2821a: 0d29.*
+ 2821b: 6f80.*
+ 2821c: 0c29.*
+ 2821d: 6f80.*
+ 2821e: 0e29.*
+ 2821f: 6f80.*
+ 28220: 0f29.*
+ 28221: 6f80.*
+ 28222: 0f29.*
+ 28223: 6f80.*
+ 28224: 0c2a.*
+ 28225: 6f80.*
+ 28226: 0d2a.*
+ 28227: 6f80.*
+ 28228: 0c2a.*
+ 28229: 6f80.*
+ 2822a: 0e2a.*
+ 2822b: 6f80.*
+ 2822c: 0f2a.*
+ 2822d: 6f80.*
+ 2822e: 0f2a.*
+ 2822f: 6f80.*
+ 28230: 0c2b.*
+ 28231: 6f80.*
+ 28232: 0d2b.*
+ 28233: 6f80.*
+ 28234: 0c2b.*
+ 28235: 6f80.*
+ 28236: 0e2b.*
+ 28237: 6f80.*
+ 28238: 0f2b.*
+ 28239: 6f80.*
+ 2823a: 0f2b.*
+ 2823b: 6f80.*
+ 2823c: 0c2c.*
+ 2823d: 6f80.*
+ 2823e: 0d2c.*
+ 2823f: 6f80.*
+ 28240: 0c2c.*
+ 28241: 6f80.*
+ 28242: 0e2c.*
+ 28243: 6f80.*
+ 28244: 0f2c.*
+ 28245: 6f80.*
+ 28246: 0f2c.*
+ 28247: 6f80.*
+ 28248: 0c2d.*
+ 28249: 6f80.*
+ 2824a: 0d2d.*
+ 2824b: 6f80.*
+ 2824c: 0c2d.*
+ 2824d: 6f80.*
+ 2824e: 0e2d.*
+ 2824f: 6f80.*
+ 28250: 0f2d.*
+ 28251: 6f80.*
+ 28252: 0f2d.*
+ 28253: 6f80.*
+ 28254: 0c2e.*
+ 28255: 6f80.*
+ 28256: 0d2e.*
+ 28257: 6f80.*
+ 28258: 0c2e.*
+ 28259: 6f80.*
+ 2825a: 0e2e.*
+ 2825b: 6f80.*
+ 2825c: 0f2e.*
+ 2825d: 6f80.*
+ 2825e: 0f2e.*
+ 2825f: 6f80.*
+ 28260: 0c2f.*
+ 28261: 6f80.*
+ 28262: 0d2f.*
+ 28263: 6f80.*
+ 28264: 0c2f.*
+ 28265: 6f80.*
+ 28266: 0e2f.*
+ 28267: 6f80.*
+ 28268: 0f2f.*
+ 28269: 6f80.*
+ 2826a: 0f2f.*
+ 2826b: 0888.*
+ 2826c: 6f88.*
+ 2826d: 0d20.*
+ 2826e: 0888.*
+ 2826f: 6f88.*
+ 28270: 0e20.*
+ 28271: 0988.*
+ 28272: 0988.*
+ 28273: 6f88.*
+ 28274: 0c30.*
+ 28275: 6f88.*
+ 28276: 0d30.*
+ 28277: 6f88.*
+ 28278: 0c30.*
+ 28279: 6f88.*
+ 2827a: 0e30.*
+ 2827b: 6f88.*
+ 2827c: 0f30.*
+ 2827d: 6f88.*
+ 2827e: 0f30.*
+ 2827f: 6f88.*
+ 28280: 0c31.*
+ 28281: 6f88.*
+ 28282: 0d31.*
+ 28283: 6f88.*
+ 28284: 0c31.*
+ 28285: 6f88.*
+ 28286: 0e31.*
+ 28287: 6f88.*
+ 28288: 0f31.*
+ 28289: 6f88.*
+ 2828a: 0f31.*
+ 2828b: 6f88.*
+ 2828c: 0c32.*
+ 2828d: 6f88.*
+ 2828e: 0d32.*
+ 2828f: 6f88.*
+ 28290: 0c32.*
+ 28291: 6f88.*
+ 28292: 0e32.*
+ 28293: 6f88.*
+ 28294: 0f32.*
+ 28295: 6f88.*
+ 28296: 0f32.*
+ 28297: 6f88.*
+ 28298: 0c33.*
+ 28299: 6f88.*
+ 2829a: 0d33.*
+ 2829b: 6f88.*
+ 2829c: 0c33.*
+ 2829d: 6f88.*
+ 2829e: 0e33.*
+ 2829f: 6f88.*
+ 282a0: 0f33.*
+ 282a1: 6f88.*
+ 282a2: 0f33.*
+ 282a3: 6f88.*
+ 282a4: 0c34.*
+ 282a5: 6f88.*
+ 282a6: 0d34.*
+ 282a7: 6f88.*
+ 282a8: 0c34.*
+ 282a9: 6f88.*
+ 282aa: 0e34.*
+ 282ab: 6f88.*
+ 282ac: 0f34.*
+ 282ad: 6f88.*
+ 282ae: 0f34.*
+ 282af: 6f88.*
+ 282b0: 0c35.*
+ 282b1: 6f88.*
+ 282b2: 0d35.*
+ 282b3: 6f88.*
+ 282b4: 0c35.*
+ 282b5: 6f88.*
+ 282b6: 0e35.*
+ 282b7: 6f88.*
+ 282b8: 0f35.*
+ 282b9: 6f88.*
+ 282ba: 0f35.*
+ 282bb: 6f88.*
+ 282bc: 0c36.*
+ 282bd: 6f88.*
+ 282be: 0d36.*
+ 282bf: 6f88.*
+ 282c0: 0c36.*
+ 282c1: 6f88.*
+ 282c2: 0e36.*
+ 282c3: 6f88.*
+ 282c4: 0f36.*
+ 282c5: 6f88.*
+ 282c6: 0f36.*
+ 282c7: 6f88.*
+ 282c8: 0c37.*
+ 282c9: 6f88.*
+ 282ca: 0d37.*
+ 282cb: 6f88.*
+ 282cc: 0c37.*
+ 282cd: 6f88.*
+ 282ce: 0e37.*
+ 282cf: 6f88.*
+ 282d0: 0f37.*
+ 282d1: 6f88.*
+ 282d2: 0f37.*
+ 282d3: 6f88.*
+ 282d4: 0c38.*
+ 282d5: 6f88.*
+ 282d6: 0d38.*
+ 282d7: 6f88.*
+ 282d8: 0c38.*
+ 282d9: 6f88.*
+ 282da: 0e38.*
+ 282db: 6f88.*
+ 282dc: 0f38.*
+ 282dd: 6f88.*
+ 282de: 0f38.*
+ 282df: 6f88.*
+ 282e0: 0c39.*
+ 282e1: 6f88.*
+ 282e2: 0d39.*
+ 282e3: 6f88.*
+ 282e4: 0c39.*
+ 282e5: 6f88.*
+ 282e6: 0e39.*
+ 282e7: 6f88.*
+ 282e8: 0f39.*
+ 282e9: 6f88.*
+ 282ea: 0f39.*
+ 282eb: 6f88.*
+ 282ec: 0c3a.*
+ 282ed: 6f88.*
+ 282ee: 0d3a.*
+ 282ef: 6f88.*
+ 282f0: 0c3a.*
+ 282f1: 6f88.*
+ 282f2: 0e3a.*
+ 282f3: 6f88.*
+ 282f4: 0f3a.*
+ 282f5: 6f88.*
+ 282f6: 0f3a.*
+ 282f7: 6f88.*
+ 282f8: 0c3b.*
+ 282f9: 6f88.*
+ 282fa: 0d3b.*
+ 282fb: 6f88.*
+ 282fc: 0c3b.*
+ 282fd: 6f88.*
+ 282fe: 0e3b.*
+ 282ff: 6f88.*
+ 28300: 0f3b.*
+ 28301: 6f88.*
+ 28302: 0f3b.*
+ 28303: 6f88.*
+ 28304: 0c3c.*
+ 28305: 6f88.*
+ 28306: 0d3c.*
+ 28307: 6f88.*
+ 28308: 0c3c.*
+ 28309: 6f88.*
+ 2830a: 0e3c.*
+ 2830b: 6f88.*
+ 2830c: 0f3c.*
+ 2830d: 6f88.*
+ 2830e: 0f3c.*
+ 2830f: 6f88.*
+ 28310: 0c3d.*
+ 28311: 6f88.*
+ 28312: 0d3d.*
+ 28313: 6f88.*
+ 28314: 0c3d.*
+ 28315: 6f88.*
+ 28316: 0e3d.*
+ 28317: 6f88.*
+ 28318: 0f3d.*
+ 28319: 6f88.*
+ 2831a: 0f3d.*
+ 2831b: 6f88.*
+ 2831c: 0c3e.*
+ 2831d: 6f88.*
+ 2831e: 0d3e.*
+ 2831f: 6f88.*
+ 28320: 0c3e.*
+ 28321: 6f88.*
+ 28322: 0e3e.*
+ 28323: 6f88.*
+ 28324: 0f3e.*
+ 28325: 6f88.*
+ 28326: 0f3e.*
+ 28327: 6f88.*
+ 28328: 0c3f.*
+ 28329: 6f88.*
+ 2832a: 0d3f.*
+ 2832b: 6f88.*
+ 2832c: 0c3f.*
+ 2832d: 6f88.*
+ 2832e: 0e3f.*
+ 2832f: 6f88.*
+ 28330: 0f3f.*
+ 28331: 6f88.*
+ 28332: 0f3f.*
+ 28333: 0888.*
+ 28334: 6f88.*
+ 28335: 0d20.*
+ 28336: 0888.*
+ 28337: 6f88.*
+ 28338: 0e20.*
+ 28339: 0988.*
+ 2833a: 0988.*
+ 2833b: 6f88.*
+ 2833c: 0c21.*
+ 2833d: 6f88.*
+ 2833e: 0d21.*
+ 2833f: 6f88.*
+ 28340: 0c21.*
+ 28341: 6f88.*
+ 28342: 0e21.*
+ 28343: 6f88.*
+ 28344: 0f21.*
+ 28345: 6f88.*
+ 28346: 0f21.*
+ 28347: 6f88.*
+ 28348: 0c22.*
+ 28349: 6f88.*
+ 2834a: 0d22.*
+ 2834b: 6f88.*
+ 2834c: 0c22.*
+ 2834d: 6f88.*
+ 2834e: 0e22.*
+ 2834f: 6f88.*
+ 28350: 0f22.*
+ 28351: 6f88.*
+ 28352: 0f22.*
+ 28353: 6f88.*
+ 28354: 0c23.*
+ 28355: 6f88.*
+ 28356: 0d23.*
+ 28357: 6f88.*
+ 28358: 0c23.*
+ 28359: 6f88.*
+ 2835a: 0e23.*
+ 2835b: 6f88.*
+ 2835c: 0f23.*
+ 2835d: 6f88.*
+ 2835e: 0f23.*
+ 2835f: 6f88.*
+ 28360: 0c24.*
+ 28361: 6f88.*
+ 28362: 0d24.*
+ 28363: 6f88.*
+ 28364: 0c24.*
+ 28365: 6f88.*
+ 28366: 0e24.*
+ 28367: 6f88.*
+ 28368: 0f24.*
+ 28369: 6f88.*
+ 2836a: 0f24.*
+ 2836b: 6f88.*
+ 2836c: 0c25.*
+ 2836d: 6f88.*
+ 2836e: 0d25.*
+ 2836f: 6f88.*
+ 28370: 0c25.*
+ 28371: 6f88.*
+ 28372: 0e25.*
+ 28373: 6f88.*
+ 28374: 0f25.*
+ 28375: 6f88.*
+ 28376: 0f25.*
+ 28377: 6f88.*
+ 28378: 0c26.*
+ 28379: 6f88.*
+ 2837a: 0d26.*
+ 2837b: 6f88.*
+ 2837c: 0c26.*
+ 2837d: 6f88.*
+ 2837e: 0e26.*
+ 2837f: 6f88.*
+ 28380: 0f26.*
+ 28381: 6f88.*
+ 28382: 0f26.*
+ 28383: 6f88.*
+ 28384: 0c27.*
+ 28385: 6f88.*
+ 28386: 0d27.*
+ 28387: 6f88.*
+ 28388: 0c27.*
+ 28389: 6f88.*
+ 2838a: 0e27.*
+ 2838b: 6f88.*
+ 2838c: 0f27.*
+ 2838d: 6f88.*
+ 2838e: 0f27.*
+ 2838f: 6f88.*
+ 28390: 0c28.*
+ 28391: 6f88.*
+ 28392: 0d28.*
+ 28393: 6f88.*
+ 28394: 0c28.*
+ 28395: 6f88.*
+ 28396: 0e28.*
+ 28397: 6f88.*
+ 28398: 0f28.*
+ 28399: 6f88.*
+ 2839a: 0f28.*
+ 2839b: 6f88.*
+ 2839c: 0c29.*
+ 2839d: 6f88.*
+ 2839e: 0d29.*
+ 2839f: 6f88.*
+ 283a0: 0c29.*
+ 283a1: 6f88.*
+ 283a2: 0e29.*
+ 283a3: 6f88.*
+ 283a4: 0f29.*
+ 283a5: 6f88.*
+ 283a6: 0f29.*
+ 283a7: 6f88.*
+ 283a8: 0c2a.*
+ 283a9: 6f88.*
+ 283aa: 0d2a.*
+ 283ab: 6f88.*
+ 283ac: 0c2a.*
+ 283ad: 6f88.*
+ 283ae: 0e2a.*
+ 283af: 6f88.*
+ 283b0: 0f2a.*
+ 283b1: 6f88.*
+ 283b2: 0f2a.*
+ 283b3: 6f88.*
+ 283b4: 0c2b.*
+ 283b5: 6f88.*
+ 283b6: 0d2b.*
+ 283b7: 6f88.*
+ 283b8: 0c2b.*
+ 283b9: 6f88.*
+ 283ba: 0e2b.*
+ 283bb: 6f88.*
+ 283bc: 0f2b.*
+ 283bd: 6f88.*
+ 283be: 0f2b.*
+ 283bf: 6f88.*
+ 283c0: 0c2c.*
+ 283c1: 6f88.*
+ 283c2: 0d2c.*
+ 283c3: 6f88.*
+ 283c4: 0c2c.*
+ 283c5: 6f88.*
+ 283c6: 0e2c.*
+ 283c7: 6f88.*
+ 283c8: 0f2c.*
+ 283c9: 6f88.*
+ 283ca: 0f2c.*
+ 283cb: 6f88.*
+ 283cc: 0c2d.*
+ 283cd: 6f88.*
+ 283ce: 0d2d.*
+ 283cf: 6f88.*
+ 283d0: 0c2d.*
+ 283d1: 6f88.*
+ 283d2: 0e2d.*
+ 283d3: 6f88.*
+ 283d4: 0f2d.*
+ 283d5: 6f88.*
+ 283d6: 0f2d.*
+ 283d7: 6f88.*
+ 283d8: 0c2e.*
+ 283d9: 6f88.*
+ 283da: 0d2e.*
+ 283db: 6f88.*
+ 283dc: 0c2e.*
+ 283dd: 6f88.*
+ 283de: 0e2e.*
+ 283df: 6f88.*
+ 283e0: 0f2e.*
+ 283e1: 6f88.*
+ 283e2: 0f2e.*
+ 283e3: 6f88.*
+ 283e4: 0c2f.*
+ 283e5: 6f88.*
+ 283e6: 0d2f.*
+ 283e7: 6f88.*
+ 283e8: 0c2f.*
+ 283e9: 6f88.*
+ 283ea: 0e2f.*
+ 283eb: 6f88.*
+ 283ec: 0f2f.*
+ 283ed: 6f88.*
+ 283ee: 0f2f.*
+ 283ef: 0890.*
+ 283f0: 6f90.*
+ 283f1: 0d20.*
+ 283f2: 0890.*
+ 283f3: 6f90.*
+ 283f4: 0e20.*
+ 283f5: 0990.*
+ 283f6: 0990.*
+ 283f7: 6f90.*
+ 283f8: 0c30.*
+ 283f9: 6f90.*
+ 283fa: 0d30.*
+ 283fb: 6f90.*
+ 283fc: 0c30.*
+ 283fd: 6f90.*
+ 283fe: 0e30.*
+ 283ff: 6f90.*
+ 28400: 0f30.*
+ 28401: 6f90.*
+ 28402: 0f30.*
+ 28403: 6f90.*
+ 28404: 0c31.*
+ 28405: 6f90.*
+ 28406: 0d31.*
+ 28407: 6f90.*
+ 28408: 0c31.*
+ 28409: 6f90.*
+ 2840a: 0e31.*
+ 2840b: 6f90.*
+ 2840c: 0f31.*
+ 2840d: 6f90.*
+ 2840e: 0f31.*
+ 2840f: 6f90.*
+ 28410: 0c32.*
+ 28411: 6f90.*
+ 28412: 0d32.*
+ 28413: 6f90.*
+ 28414: 0c32.*
+ 28415: 6f90.*
+ 28416: 0e32.*
+ 28417: 6f90.*
+ 28418: 0f32.*
+ 28419: 6f90.*
+ 2841a: 0f32.*
+ 2841b: 6f90.*
+ 2841c: 0c33.*
+ 2841d: 6f90.*
+ 2841e: 0d33.*
+ 2841f: 6f90.*
+ 28420: 0c33.*
+ 28421: 6f90.*
+ 28422: 0e33.*
+ 28423: 6f90.*
+ 28424: 0f33.*
+ 28425: 6f90.*
+ 28426: 0f33.*
+ 28427: 6f90.*
+ 28428: 0c34.*
+ 28429: 6f90.*
+ 2842a: 0d34.*
+ 2842b: 6f90.*
+ 2842c: 0c34.*
+ 2842d: 6f90.*
+ 2842e: 0e34.*
+ 2842f: 6f90.*
+ 28430: 0f34.*
+ 28431: 6f90.*
+ 28432: 0f34.*
+ 28433: 6f90.*
+ 28434: 0c35.*
+ 28435: 6f90.*
+ 28436: 0d35.*
+ 28437: 6f90.*
+ 28438: 0c35.*
+ 28439: 6f90.*
+ 2843a: 0e35.*
+ 2843b: 6f90.*
+ 2843c: 0f35.*
+ 2843d: 6f90.*
+ 2843e: 0f35.*
+ 2843f: 6f90.*
+ 28440: 0c36.*
+ 28441: 6f90.*
+ 28442: 0d36.*
+ 28443: 6f90.*
+ 28444: 0c36.*
+ 28445: 6f90.*
+ 28446: 0e36.*
+ 28447: 6f90.*
+ 28448: 0f36.*
+ 28449: 6f90.*
+ 2844a: 0f36.*
+ 2844b: 6f90.*
+ 2844c: 0c37.*
+ 2844d: 6f90.*
+ 2844e: 0d37.*
+ 2844f: 6f90.*
+ 28450: 0c37.*
+ 28451: 6f90.*
+ 28452: 0e37.*
+ 28453: 6f90.*
+ 28454: 0f37.*
+ 28455: 6f90.*
+ 28456: 0f37.*
+ 28457: 6f90.*
+ 28458: 0c38.*
+ 28459: 6f90.*
+ 2845a: 0d38.*
+ 2845b: 6f90.*
+ 2845c: 0c38.*
+ 2845d: 6f90.*
+ 2845e: 0e38.*
+ 2845f: 6f90.*
+ 28460: 0f38.*
+ 28461: 6f90.*
+ 28462: 0f38.*
+ 28463: 6f90.*
+ 28464: 0c39.*
+ 28465: 6f90.*
+ 28466: 0d39.*
+ 28467: 6f90.*
+ 28468: 0c39.*
+ 28469: 6f90.*
+ 2846a: 0e39.*
+ 2846b: 6f90.*
+ 2846c: 0f39.*
+ 2846d: 6f90.*
+ 2846e: 0f39.*
+ 2846f: 6f90.*
+ 28470: 0c3a.*
+ 28471: 6f90.*
+ 28472: 0d3a.*
+ 28473: 6f90.*
+ 28474: 0c3a.*
+ 28475: 6f90.*
+ 28476: 0e3a.*
+ 28477: 6f90.*
+ 28478: 0f3a.*
+ 28479: 6f90.*
+ 2847a: 0f3a.*
+ 2847b: 6f90.*
+ 2847c: 0c3b.*
+ 2847d: 6f90.*
+ 2847e: 0d3b.*
+ 2847f: 6f90.*
+ 28480: 0c3b.*
+ 28481: 6f90.*
+ 28482: 0e3b.*
+ 28483: 6f90.*
+ 28484: 0f3b.*
+ 28485: 6f90.*
+ 28486: 0f3b.*
+ 28487: 6f90.*
+ 28488: 0c3c.*
+ 28489: 6f90.*
+ 2848a: 0d3c.*
+ 2848b: 6f90.*
+ 2848c: 0c3c.*
+ 2848d: 6f90.*
+ 2848e: 0e3c.*
+ 2848f: 6f90.*
+ 28490: 0f3c.*
+ 28491: 6f90.*
+ 28492: 0f3c.*
+ 28493: 6f90.*
+ 28494: 0c3d.*
+ 28495: 6f90.*
+ 28496: 0d3d.*
+ 28497: 6f90.*
+ 28498: 0c3d.*
+ 28499: 6f90.*
+ 2849a: 0e3d.*
+ 2849b: 6f90.*
+ 2849c: 0f3d.*
+ 2849d: 6f90.*
+ 2849e: 0f3d.*
+ 2849f: 6f90.*
+ 284a0: 0c3e.*
+ 284a1: 6f90.*
+ 284a2: 0d3e.*
+ 284a3: 6f90.*
+ 284a4: 0c3e.*
+ 284a5: 6f90.*
+ 284a6: 0e3e.*
+ 284a7: 6f90.*
+ 284a8: 0f3e.*
+ 284a9: 6f90.*
+ 284aa: 0f3e.*
+ 284ab: 6f90.*
+ 284ac: 0c3f.*
+ 284ad: 6f90.*
+ 284ae: 0d3f.*
+ 284af: 6f90.*
+ 284b0: 0c3f.*
+ 284b1: 6f90.*
+ 284b2: 0e3f.*
+ 284b3: 6f90.*
+ 284b4: 0f3f.*
+ 284b5: 6f90.*
+ 284b6: 0f3f.*
+ 284b7: 0890.*
+ 284b8: 6f90.*
+ 284b9: 0d20.*
+ 284ba: 0890.*
+ 284bb: 6f90.*
+ 284bc: 0e20.*
+ 284bd: 0990.*
+ 284be: 0990.*
+ 284bf: 6f90.*
+ 284c0: 0c21.*
+ 284c1: 6f90.*
+ 284c2: 0d21.*
+ 284c3: 6f90.*
+ 284c4: 0c21.*
+ 284c5: 6f90.*
+ 284c6: 0e21.*
+ 284c7: 6f90.*
+ 284c8: 0f21.*
+ 284c9: 6f90.*
+ 284ca: 0f21.*
+ 284cb: 6f90.*
+ 284cc: 0c22.*
+ 284cd: 6f90.*
+ 284ce: 0d22.*
+ 284cf: 6f90.*
+ 284d0: 0c22.*
+ 284d1: 6f90.*
+ 284d2: 0e22.*
+ 284d3: 6f90.*
+ 284d4: 0f22.*
+ 284d5: 6f90.*
+ 284d6: 0f22.*
+ 284d7: 6f90.*
+ 284d8: 0c23.*
+ 284d9: 6f90.*
+ 284da: 0d23.*
+ 284db: 6f90.*
+ 284dc: 0c23.*
+ 284dd: 6f90.*
+ 284de: 0e23.*
+ 284df: 6f90.*
+ 284e0: 0f23.*
+ 284e1: 6f90.*
+ 284e2: 0f23.*
+ 284e3: 6f90.*
+ 284e4: 0c24.*
+ 284e5: 6f90.*
+ 284e6: 0d24.*
+ 284e7: 6f90.*
+ 284e8: 0c24.*
+ 284e9: 6f90.*
+ 284ea: 0e24.*
+ 284eb: 6f90.*
+ 284ec: 0f24.*
+ 284ed: 6f90.*
+ 284ee: 0f24.*
+ 284ef: 6f90.*
+ 284f0: 0c25.*
+ 284f1: 6f90.*
+ 284f2: 0d25.*
+ 284f3: 6f90.*
+ 284f4: 0c25.*
+ 284f5: 6f90.*
+ 284f6: 0e25.*
+ 284f7: 6f90.*
+ 284f8: 0f25.*
+ 284f9: 6f90.*
+ 284fa: 0f25.*
+ 284fb: 6f90.*
+ 284fc: 0c26.*
+ 284fd: 6f90.*
+ 284fe: 0d26.*
+ 284ff: 6f90.*
+ 28500: 0c26.*
+ 28501: 6f90.*
+ 28502: 0e26.*
+ 28503: 6f90.*
+ 28504: 0f26.*
+ 28505: 6f90.*
+ 28506: 0f26.*
+ 28507: 6f90.*
+ 28508: 0c27.*
+ 28509: 6f90.*
+ 2850a: 0d27.*
+ 2850b: 6f90.*
+ 2850c: 0c27.*
+ 2850d: 6f90.*
+ 2850e: 0e27.*
+ 2850f: 6f90.*
+ 28510: 0f27.*
+ 28511: 6f90.*
+ 28512: 0f27.*
+ 28513: 6f90.*
+ 28514: 0c28.*
+ 28515: 6f90.*
+ 28516: 0d28.*
+ 28517: 6f90.*
+ 28518: 0c28.*
+ 28519: 6f90.*
+ 2851a: 0e28.*
+ 2851b: 6f90.*
+ 2851c: 0f28.*
+ 2851d: 6f90.*
+ 2851e: 0f28.*
+ 2851f: 6f90.*
+ 28520: 0c29.*
+ 28521: 6f90.*
+ 28522: 0d29.*
+ 28523: 6f90.*
+ 28524: 0c29.*
+ 28525: 6f90.*
+ 28526: 0e29.*
+ 28527: 6f90.*
+ 28528: 0f29.*
+ 28529: 6f90.*
+ 2852a: 0f29.*
+ 2852b: 6f90.*
+ 2852c: 0c2a.*
+ 2852d: 6f90.*
+ 2852e: 0d2a.*
+ 2852f: 6f90.*
+ 28530: 0c2a.*
+ 28531: 6f90.*
+ 28532: 0e2a.*
+ 28533: 6f90.*
+ 28534: 0f2a.*
+ 28535: 6f90.*
+ 28536: 0f2a.*
+ 28537: 6f90.*
+ 28538: 0c2b.*
+ 28539: 6f90.*
+ 2853a: 0d2b.*
+ 2853b: 6f90.*
+ 2853c: 0c2b.*
+ 2853d: 6f90.*
+ 2853e: 0e2b.*
+ 2853f: 6f90.*
+ 28540: 0f2b.*
+ 28541: 6f90.*
+ 28542: 0f2b.*
+ 28543: 6f90.*
+ 28544: 0c2c.*
+ 28545: 6f90.*
+ 28546: 0d2c.*
+ 28547: 6f90.*
+ 28548: 0c2c.*
+ 28549: 6f90.*
+ 2854a: 0e2c.*
+ 2854b: 6f90.*
+ 2854c: 0f2c.*
+ 2854d: 6f90.*
+ 2854e: 0f2c.*
+ 2854f: 6f90.*
+ 28550: 0c2d.*
+ 28551: 6f90.*
+ 28552: 0d2d.*
+ 28553: 6f90.*
+ 28554: 0c2d.*
+ 28555: 6f90.*
+ 28556: 0e2d.*
+ 28557: 6f90.*
+ 28558: 0f2d.*
+ 28559: 6f90.*
+ 2855a: 0f2d.*
+ 2855b: 6f90.*
+ 2855c: 0c2e.*
+ 2855d: 6f90.*
+ 2855e: 0d2e.*
+ 2855f: 6f90.*
+ 28560: 0c2e.*
+ 28561: 6f90.*
+ 28562: 0e2e.*
+ 28563: 6f90.*
+ 28564: 0f2e.*
+ 28565: 6f90.*
+ 28566: 0f2e.*
+ 28567: 6f90.*
+ 28568: 0c2f.*
+ 28569: 6f90.*
+ 2856a: 0d2f.*
+ 2856b: 6f90.*
+ 2856c: 0c2f.*
+ 2856d: 6f90.*
+ 2856e: 0e2f.*
+ 2856f: 6f90.*
+ 28570: 0f2f.*
+ 28571: 6f90.*
+ 28572: 0f2f.*
+ 28573: 08a0.*
+ 28574: 6fa0.*
+ 28575: 0d20.*
+ 28576: 08a0.*
+ 28577: 6fa0.*
+ 28578: 0e20.*
+ 28579: 09a0.*
+ 2857a: 09a0.*
+ 2857b: 6fa0.*
+ 2857c: 0c30.*
+ 2857d: 6fa0.*
+ 2857e: 0d30.*
+ 2857f: 6fa0.*
+ 28580: 0c30.*
+ 28581: 6fa0.*
+ 28582: 0e30.*
+ 28583: 6fa0.*
+ 28584: 0f30.*
+ 28585: 6fa0.*
+ 28586: 0f30.*
+ 28587: 6fa0.*
+ 28588: 0c31.*
+ 28589: 6fa0.*
+ 2858a: 0d31.*
+ 2858b: 6fa0.*
+ 2858c: 0c31.*
+ 2858d: 6fa0.*
+ 2858e: 0e31.*
+ 2858f: 6fa0.*
+ 28590: 0f31.*
+ 28591: 6fa0.*
+ 28592: 0f31.*
+ 28593: 6fa0.*
+ 28594: 0c32.*
+ 28595: 6fa0.*
+ 28596: 0d32.*
+ 28597: 6fa0.*
+ 28598: 0c32.*
+ 28599: 6fa0.*
+ 2859a: 0e32.*
+ 2859b: 6fa0.*
+ 2859c: 0f32.*
+ 2859d: 6fa0.*
+ 2859e: 0f32.*
+ 2859f: 6fa0.*
+ 285a0: 0c33.*
+ 285a1: 6fa0.*
+ 285a2: 0d33.*
+ 285a3: 6fa0.*
+ 285a4: 0c33.*
+ 285a5: 6fa0.*
+ 285a6: 0e33.*
+ 285a7: 6fa0.*
+ 285a8: 0f33.*
+ 285a9: 6fa0.*
+ 285aa: 0f33.*
+ 285ab: 6fa0.*
+ 285ac: 0c34.*
+ 285ad: 6fa0.*
+ 285ae: 0d34.*
+ 285af: 6fa0.*
+ 285b0: 0c34.*
+ 285b1: 6fa0.*
+ 285b2: 0e34.*
+ 285b3: 6fa0.*
+ 285b4: 0f34.*
+ 285b5: 6fa0.*
+ 285b6: 0f34.*
+ 285b7: 6fa0.*
+ 285b8: 0c35.*
+ 285b9: 6fa0.*
+ 285ba: 0d35.*
+ 285bb: 6fa0.*
+ 285bc: 0c35.*
+ 285bd: 6fa0.*
+ 285be: 0e35.*
+ 285bf: 6fa0.*
+ 285c0: 0f35.*
+ 285c1: 6fa0.*
+ 285c2: 0f35.*
+ 285c3: 6fa0.*
+ 285c4: 0c36.*
+ 285c5: 6fa0.*
+ 285c6: 0d36.*
+ 285c7: 6fa0.*
+ 285c8: 0c36.*
+ 285c9: 6fa0.*
+ 285ca: 0e36.*
+ 285cb: 6fa0.*
+ 285cc: 0f36.*
+ 285cd: 6fa0.*
+ 285ce: 0f36.*
+ 285cf: 6fa0.*
+ 285d0: 0c37.*
+ 285d1: 6fa0.*
+ 285d2: 0d37.*
+ 285d3: 6fa0.*
+ 285d4: 0c37.*
+ 285d5: 6fa0.*
+ 285d6: 0e37.*
+ 285d7: 6fa0.*
+ 285d8: 0f37.*
+ 285d9: 6fa0.*
+ 285da: 0f37.*
+ 285db: 6fa0.*
+ 285dc: 0c38.*
+ 285dd: 6fa0.*
+ 285de: 0d38.*
+ 285df: 6fa0.*
+ 285e0: 0c38.*
+ 285e1: 6fa0.*
+ 285e2: 0e38.*
+ 285e3: 6fa0.*
+ 285e4: 0f38.*
+ 285e5: 6fa0.*
+ 285e6: 0f38.*
+ 285e7: 6fa0.*
+ 285e8: 0c39.*
+ 285e9: 6fa0.*
+ 285ea: 0d39.*
+ 285eb: 6fa0.*
+ 285ec: 0c39.*
+ 285ed: 6fa0.*
+ 285ee: 0e39.*
+ 285ef: 6fa0.*
+ 285f0: 0f39.*
+ 285f1: 6fa0.*
+ 285f2: 0f39.*
+ 285f3: 6fa0.*
+ 285f4: 0c3a.*
+ 285f5: 6fa0.*
+ 285f6: 0d3a.*
+ 285f7: 6fa0.*
+ 285f8: 0c3a.*
+ 285f9: 6fa0.*
+ 285fa: 0e3a.*
+ 285fb: 6fa0.*
+ 285fc: 0f3a.*
+ 285fd: 6fa0.*
+ 285fe: 0f3a.*
+ 285ff: 6fa0.*
+ 28600: 0c3b.*
+ 28601: 6fa0.*
+ 28602: 0d3b.*
+ 28603: 6fa0.*
+ 28604: 0c3b.*
+ 28605: 6fa0.*
+ 28606: 0e3b.*
+ 28607: 6fa0.*
+ 28608: 0f3b.*
+ 28609: 6fa0.*
+ 2860a: 0f3b.*
+ 2860b: 6fa0.*
+ 2860c: 0c3c.*
+ 2860d: 6fa0.*
+ 2860e: 0d3c.*
+ 2860f: 6fa0.*
+ 28610: 0c3c.*
+ 28611: 6fa0.*
+ 28612: 0e3c.*
+ 28613: 6fa0.*
+ 28614: 0f3c.*
+ 28615: 6fa0.*
+ 28616: 0f3c.*
+ 28617: 6fa0.*
+ 28618: 0c3d.*
+ 28619: 6fa0.*
+ 2861a: 0d3d.*
+ 2861b: 6fa0.*
+ 2861c: 0c3d.*
+ 2861d: 6fa0.*
+ 2861e: 0e3d.*
+ 2861f: 6fa0.*
+ 28620: 0f3d.*
+ 28621: 6fa0.*
+ 28622: 0f3d.*
+ 28623: 6fa0.*
+ 28624: 0c3e.*
+ 28625: 6fa0.*
+ 28626: 0d3e.*
+ 28627: 6fa0.*
+ 28628: 0c3e.*
+ 28629: 6fa0.*
+ 2862a: 0e3e.*
+ 2862b: 6fa0.*
+ 2862c: 0f3e.*
+ 2862d: 6fa0.*
+ 2862e: 0f3e.*
+ 2862f: 6fa0.*
+ 28630: 0c3f.*
+ 28631: 6fa0.*
+ 28632: 0d3f.*
+ 28633: 6fa0.*
+ 28634: 0c3f.*
+ 28635: 6fa0.*
+ 28636: 0e3f.*
+ 28637: 6fa0.*
+ 28638: 0f3f.*
+ 28639: 6fa0.*
+ 2863a: 0f3f.*
+ 2863b: 08a0.*
+ 2863c: 6fa0.*
+ 2863d: 0d20.*
+ 2863e: 08a0.*
+ 2863f: 6fa0.*
+ 28640: 0e20.*
+ 28641: 09a0.*
+ 28642: 09a0.*
+ 28643: 6fa0.*
+ 28644: 0c21.*
+ 28645: 6fa0.*
+ 28646: 0d21.*
+ 28647: 6fa0.*
+ 28648: 0c21.*
+ 28649: 6fa0.*
+ 2864a: 0e21.*
+ 2864b: 6fa0.*
+ 2864c: 0f21.*
+ 2864d: 6fa0.*
+ 2864e: 0f21.*
+ 2864f: 6fa0.*
+ 28650: 0c22.*
+ 28651: 6fa0.*
+ 28652: 0d22.*
+ 28653: 6fa0.*
+ 28654: 0c22.*
+ 28655: 6fa0.*
+ 28656: 0e22.*
+ 28657: 6fa0.*
+ 28658: 0f22.*
+ 28659: 6fa0.*
+ 2865a: 0f22.*
+ 2865b: 6fa0.*
+ 2865c: 0c23.*
+ 2865d: 6fa0.*
+ 2865e: 0d23.*
+ 2865f: 6fa0.*
+ 28660: 0c23.*
+ 28661: 6fa0.*
+ 28662: 0e23.*
+ 28663: 6fa0.*
+ 28664: 0f23.*
+ 28665: 6fa0.*
+ 28666: 0f23.*
+ 28667: 6fa0.*
+ 28668: 0c24.*
+ 28669: 6fa0.*
+ 2866a: 0d24.*
+ 2866b: 6fa0.*
+ 2866c: 0c24.*
+ 2866d: 6fa0.*
+ 2866e: 0e24.*
+ 2866f: 6fa0.*
+ 28670: 0f24.*
+ 28671: 6fa0.*
+ 28672: 0f24.*
+ 28673: 6fa0.*
+ 28674: 0c25.*
+ 28675: 6fa0.*
+ 28676: 0d25.*
+ 28677: 6fa0.*
+ 28678: 0c25.*
+ 28679: 6fa0.*
+ 2867a: 0e25.*
+ 2867b: 6fa0.*
+ 2867c: 0f25.*
+ 2867d: 6fa0.*
+ 2867e: 0f25.*
+ 2867f: 6fa0.*
+ 28680: 0c26.*
+ 28681: 6fa0.*
+ 28682: 0d26.*
+ 28683: 6fa0.*
+ 28684: 0c26.*
+ 28685: 6fa0.*
+ 28686: 0e26.*
+ 28687: 6fa0.*
+ 28688: 0f26.*
+ 28689: 6fa0.*
+ 2868a: 0f26.*
+ 2868b: 6fa0.*
+ 2868c: 0c27.*
+ 2868d: 6fa0.*
+ 2868e: 0d27.*
+ 2868f: 6fa0.*
+ 28690: 0c27.*
+ 28691: 6fa0.*
+ 28692: 0e27.*
+ 28693: 6fa0.*
+ 28694: 0f27.*
+ 28695: 6fa0.*
+ 28696: 0f27.*
+ 28697: 6fa0.*
+ 28698: 0c28.*
+ 28699: 6fa0.*
+ 2869a: 0d28.*
+ 2869b: 6fa0.*
+ 2869c: 0c28.*
+ 2869d: 6fa0.*
+ 2869e: 0e28.*
+ 2869f: 6fa0.*
+ 286a0: 0f28.*
+ 286a1: 6fa0.*
+ 286a2: 0f28.*
+ 286a3: 6fa0.*
+ 286a4: 0c29.*
+ 286a5: 6fa0.*
+ 286a6: 0d29.*
+ 286a7: 6fa0.*
+ 286a8: 0c29.*
+ 286a9: 6fa0.*
+ 286aa: 0e29.*
+ 286ab: 6fa0.*
+ 286ac: 0f29.*
+ 286ad: 6fa0.*
+ 286ae: 0f29.*
+ 286af: 6fa0.*
+ 286b0: 0c2a.*
+ 286b1: 6fa0.*
+ 286b2: 0d2a.*
+ 286b3: 6fa0.*
+ 286b4: 0c2a.*
+ 286b5: 6fa0.*
+ 286b6: 0e2a.*
+ 286b7: 6fa0.*
+ 286b8: 0f2a.*
+ 286b9: 6fa0.*
+ 286ba: 0f2a.*
+ 286bb: 6fa0.*
+ 286bc: 0c2b.*
+ 286bd: 6fa0.*
+ 286be: 0d2b.*
+ 286bf: 6fa0.*
+ 286c0: 0c2b.*
+ 286c1: 6fa0.*
+ 286c2: 0e2b.*
+ 286c3: 6fa0.*
+ 286c4: 0f2b.*
+ 286c5: 6fa0.*
+ 286c6: 0f2b.*
+ 286c7: 6fa0.*
+ 286c8: 0c2c.*
+ 286c9: 6fa0.*
+ 286ca: 0d2c.*
+ 286cb: 6fa0.*
+ 286cc: 0c2c.*
+ 286cd: 6fa0.*
+ 286ce: 0e2c.*
+ 286cf: 6fa0.*
+ 286d0: 0f2c.*
+ 286d1: 6fa0.*
+ 286d2: 0f2c.*
+ 286d3: 6fa0.*
+ 286d4: 0c2d.*
+ 286d5: 6fa0.*
+ 286d6: 0d2d.*
+ 286d7: 6fa0.*
+ 286d8: 0c2d.*
+ 286d9: 6fa0.*
+ 286da: 0e2d.*
+ 286db: 6fa0.*
+ 286dc: 0f2d.*
+ 286dd: 6fa0.*
+ 286de: 0f2d.*
+ 286df: 6fa0.*
+ 286e0: 0c2e.*
+ 286e1: 6fa0.*
+ 286e2: 0d2e.*
+ 286e3: 6fa0.*
+ 286e4: 0c2e.*
+ 286e5: 6fa0.*
+ 286e6: 0e2e.*
+ 286e7: 6fa0.*
+ 286e8: 0f2e.*
+ 286e9: 6fa0.*
+ 286ea: 0f2e.*
+ 286eb: 6fa0.*
+ 286ec: 0c2f.*
+ 286ed: 6fa0.*
+ 286ee: 0d2f.*
+ 286ef: 6fa0.*
+ 286f0: 0c2f.*
+ 286f1: 6fa0.*
+ 286f2: 0e2f.*
+ 286f3: 6fa0.*
+ 286f4: 0f2f.*
+ 286f5: 6fa0.*
+ 286f6: 0f2f.*
+ 286f7: 08a8.*
+ 286f8: 6fa8.*
+ 286f9: 0d20.*
+ 286fa: 08a8.*
+ 286fb: 6fa8.*
+ 286fc: 0e20.*
+ 286fd: 09a8.*
+ 286fe: 09a8.*
+ 286ff: 6fa8.*
+ 28700: 0c30.*
+ 28701: 6fa8.*
+ 28702: 0d30.*
+ 28703: 6fa8.*
+ 28704: 0c30.*
+ 28705: 6fa8.*
+ 28706: 0e30.*
+ 28707: 6fa8.*
+ 28708: 0f30.*
+ 28709: 6fa8.*
+ 2870a: 0f30.*
+ 2870b: 6fa8.*
+ 2870c: 0c31.*
+ 2870d: 6fa8.*
+ 2870e: 0d31.*
+ 2870f: 6fa8.*
+ 28710: 0c31.*
+ 28711: 6fa8.*
+ 28712: 0e31.*
+ 28713: 6fa8.*
+ 28714: 0f31.*
+ 28715: 6fa8.*
+ 28716: 0f31.*
+ 28717: 6fa8.*
+ 28718: 0c32.*
+ 28719: 6fa8.*
+ 2871a: 0d32.*
+ 2871b: 6fa8.*
+ 2871c: 0c32.*
+ 2871d: 6fa8.*
+ 2871e: 0e32.*
+ 2871f: 6fa8.*
+ 28720: 0f32.*
+ 28721: 6fa8.*
+ 28722: 0f32.*
+ 28723: 6fa8.*
+ 28724: 0c33.*
+ 28725: 6fa8.*
+ 28726: 0d33.*
+ 28727: 6fa8.*
+ 28728: 0c33.*
+ 28729: 6fa8.*
+ 2872a: 0e33.*
+ 2872b: 6fa8.*
+ 2872c: 0f33.*
+ 2872d: 6fa8.*
+ 2872e: 0f33.*
+ 2872f: 6fa8.*
+ 28730: 0c34.*
+ 28731: 6fa8.*
+ 28732: 0d34.*
+ 28733: 6fa8.*
+ 28734: 0c34.*
+ 28735: 6fa8.*
+ 28736: 0e34.*
+ 28737: 6fa8.*
+ 28738: 0f34.*
+ 28739: 6fa8.*
+ 2873a: 0f34.*
+ 2873b: 6fa8.*
+ 2873c: 0c35.*
+ 2873d: 6fa8.*
+ 2873e: 0d35.*
+ 2873f: 6fa8.*
+ 28740: 0c35.*
+ 28741: 6fa8.*
+ 28742: 0e35.*
+ 28743: 6fa8.*
+ 28744: 0f35.*
+ 28745: 6fa8.*
+ 28746: 0f35.*
+ 28747: 6fa8.*
+ 28748: 0c36.*
+ 28749: 6fa8.*
+ 2874a: 0d36.*
+ 2874b: 6fa8.*
+ 2874c: 0c36.*
+ 2874d: 6fa8.*
+ 2874e: 0e36.*
+ 2874f: 6fa8.*
+ 28750: 0f36.*
+ 28751: 6fa8.*
+ 28752: 0f36.*
+ 28753: 6fa8.*
+ 28754: 0c37.*
+ 28755: 6fa8.*
+ 28756: 0d37.*
+ 28757: 6fa8.*
+ 28758: 0c37.*
+ 28759: 6fa8.*
+ 2875a: 0e37.*
+ 2875b: 6fa8.*
+ 2875c: 0f37.*
+ 2875d: 6fa8.*
+ 2875e: 0f37.*
+ 2875f: 6fa8.*
+ 28760: 0c38.*
+ 28761: 6fa8.*
+ 28762: 0d38.*
+ 28763: 6fa8.*
+ 28764: 0c38.*
+ 28765: 6fa8.*
+ 28766: 0e38.*
+ 28767: 6fa8.*
+ 28768: 0f38.*
+ 28769: 6fa8.*
+ 2876a: 0f38.*
+ 2876b: 6fa8.*
+ 2876c: 0c39.*
+ 2876d: 6fa8.*
+ 2876e: 0d39.*
+ 2876f: 6fa8.*
+ 28770: 0c39.*
+ 28771: 6fa8.*
+ 28772: 0e39.*
+ 28773: 6fa8.*
+ 28774: 0f39.*
+ 28775: 6fa8.*
+ 28776: 0f39.*
+ 28777: 6fa8.*
+ 28778: 0c3a.*
+ 28779: 6fa8.*
+ 2877a: 0d3a.*
+ 2877b: 6fa8.*
+ 2877c: 0c3a.*
+ 2877d: 6fa8.*
+ 2877e: 0e3a.*
+ 2877f: 6fa8.*
+ 28780: 0f3a.*
+ 28781: 6fa8.*
+ 28782: 0f3a.*
+ 28783: 6fa8.*
+ 28784: 0c3b.*
+ 28785: 6fa8.*
+ 28786: 0d3b.*
+ 28787: 6fa8.*
+ 28788: 0c3b.*
+ 28789: 6fa8.*
+ 2878a: 0e3b.*
+ 2878b: 6fa8.*
+ 2878c: 0f3b.*
+ 2878d: 6fa8.*
+ 2878e: 0f3b.*
+ 2878f: 6fa8.*
+ 28790: 0c3c.*
+ 28791: 6fa8.*
+ 28792: 0d3c.*
+ 28793: 6fa8.*
+ 28794: 0c3c.*
+ 28795: 6fa8.*
+ 28796: 0e3c.*
+ 28797: 6fa8.*
+ 28798: 0f3c.*
+ 28799: 6fa8.*
+ 2879a: 0f3c.*
+ 2879b: 6fa8.*
+ 2879c: 0c3d.*
+ 2879d: 6fa8.*
+ 2879e: 0d3d.*
+ 2879f: 6fa8.*
+ 287a0: 0c3d.*
+ 287a1: 6fa8.*
+ 287a2: 0e3d.*
+ 287a3: 6fa8.*
+ 287a4: 0f3d.*
+ 287a5: 6fa8.*
+ 287a6: 0f3d.*
+ 287a7: 6fa8.*
+ 287a8: 0c3e.*
+ 287a9: 6fa8.*
+ 287aa: 0d3e.*
+ 287ab: 6fa8.*
+ 287ac: 0c3e.*
+ 287ad: 6fa8.*
+ 287ae: 0e3e.*
+ 287af: 6fa8.*
+ 287b0: 0f3e.*
+ 287b1: 6fa8.*
+ 287b2: 0f3e.*
+ 287b3: 6fa8.*
+ 287b4: 0c3f.*
+ 287b5: 6fa8.*
+ 287b6: 0d3f.*
+ 287b7: 6fa8.*
+ 287b8: 0c3f.*
+ 287b9: 6fa8.*
+ 287ba: 0e3f.*
+ 287bb: 6fa8.*
+ 287bc: 0f3f.*
+ 287bd: 6fa8.*
+ 287be: 0f3f.*
+ 287bf: 08a8.*
+ 287c0: 6fa8.*
+ 287c1: 0d20.*
+ 287c2: 08a8.*
+ 287c3: 6fa8.*
+ 287c4: 0e20.*
+ 287c5: 09a8.*
+ 287c6: 09a8.*
+ 287c7: 6fa8.*
+ 287c8: 0c21.*
+ 287c9: 6fa8.*
+ 287ca: 0d21.*
+ 287cb: 6fa8.*
+ 287cc: 0c21.*
+ 287cd: 6fa8.*
+ 287ce: 0e21.*
+ 287cf: 6fa8.*
+ 287d0: 0f21.*
+ 287d1: 6fa8.*
+ 287d2: 0f21.*
+ 287d3: 6fa8.*
+ 287d4: 0c22.*
+ 287d5: 6fa8.*
+ 287d6: 0d22.*
+ 287d7: 6fa8.*
+ 287d8: 0c22.*
+ 287d9: 6fa8.*
+ 287da: 0e22.*
+ 287db: 6fa8.*
+ 287dc: 0f22.*
+ 287dd: 6fa8.*
+ 287de: 0f22.*
+ 287df: 6fa8.*
+ 287e0: 0c23.*
+ 287e1: 6fa8.*
+ 287e2: 0d23.*
+ 287e3: 6fa8.*
+ 287e4: 0c23.*
+ 287e5: 6fa8.*
+ 287e6: 0e23.*
+ 287e7: 6fa8.*
+ 287e8: 0f23.*
+ 287e9: 6fa8.*
+ 287ea: 0f23.*
+ 287eb: 6fa8.*
+ 287ec: 0c24.*
+ 287ed: 6fa8.*
+ 287ee: 0d24.*
+ 287ef: 6fa8.*
+ 287f0: 0c24.*
+ 287f1: 6fa8.*
+ 287f2: 0e24.*
+ 287f3: 6fa8.*
+ 287f4: 0f24.*
+ 287f5: 6fa8.*
+ 287f6: 0f24.*
+ 287f7: 6fa8.*
+ 287f8: 0c25.*
+ 287f9: 6fa8.*
+ 287fa: 0d25.*
+ 287fb: 6fa8.*
+ 287fc: 0c25.*
+ 287fd: 6fa8.*
+ 287fe: 0e25.*
+ 287ff: 6fa8.*
+ 28800: 0f25.*
+ 28801: 6fa8.*
+ 28802: 0f25.*
+ 28803: 6fa8.*
+ 28804: 0c26.*
+ 28805: 6fa8.*
+ 28806: 0d26.*
+ 28807: 6fa8.*
+ 28808: 0c26.*
+ 28809: 6fa8.*
+ 2880a: 0e26.*
+ 2880b: 6fa8.*
+ 2880c: 0f26.*
+ 2880d: 6fa8.*
+ 2880e: 0f26.*
+ 2880f: 6fa8.*
+ 28810: 0c27.*
+ 28811: 6fa8.*
+ 28812: 0d27.*
+ 28813: 6fa8.*
+ 28814: 0c27.*
+ 28815: 6fa8.*
+ 28816: 0e27.*
+ 28817: 6fa8.*
+ 28818: 0f27.*
+ 28819: 6fa8.*
+ 2881a: 0f27.*
+ 2881b: 6fa8.*
+ 2881c: 0c28.*
+ 2881d: 6fa8.*
+ 2881e: 0d28.*
+ 2881f: 6fa8.*
+ 28820: 0c28.*
+ 28821: 6fa8.*
+ 28822: 0e28.*
+ 28823: 6fa8.*
+ 28824: 0f28.*
+ 28825: 6fa8.*
+ 28826: 0f28.*
+ 28827: 6fa8.*
+ 28828: 0c29.*
+ 28829: 6fa8.*
+ 2882a: 0d29.*
+ 2882b: 6fa8.*
+ 2882c: 0c29.*
+ 2882d: 6fa8.*
+ 2882e: 0e29.*
+ 2882f: 6fa8.*
+ 28830: 0f29.*
+ 28831: 6fa8.*
+ 28832: 0f29.*
+ 28833: 6fa8.*
+ 28834: 0c2a.*
+ 28835: 6fa8.*
+ 28836: 0d2a.*
+ 28837: 6fa8.*
+ 28838: 0c2a.*
+ 28839: 6fa8.*
+ 2883a: 0e2a.*
+ 2883b: 6fa8.*
+ 2883c: 0f2a.*
+ 2883d: 6fa8.*
+ 2883e: 0f2a.*
+ 2883f: 6fa8.*
+ 28840: 0c2b.*
+ 28841: 6fa8.*
+ 28842: 0d2b.*
+ 28843: 6fa8.*
+ 28844: 0c2b.*
+ 28845: 6fa8.*
+ 28846: 0e2b.*
+ 28847: 6fa8.*
+ 28848: 0f2b.*
+ 28849: 6fa8.*
+ 2884a: 0f2b.*
+ 2884b: 6fa8.*
+ 2884c: 0c2c.*
+ 2884d: 6fa8.*
+ 2884e: 0d2c.*
+ 2884f: 6fa8.*
+ 28850: 0c2c.*
+ 28851: 6fa8.*
+ 28852: 0e2c.*
+ 28853: 6fa8.*
+ 28854: 0f2c.*
+ 28855: 6fa8.*
+ 28856: 0f2c.*
+ 28857: 6fa8.*
+ 28858: 0c2d.*
+ 28859: 6fa8.*
+ 2885a: 0d2d.*
+ 2885b: 6fa8.*
+ 2885c: 0c2d.*
+ 2885d: 6fa8.*
+ 2885e: 0e2d.*
+ 2885f: 6fa8.*
+ 28860: 0f2d.*
+ 28861: 6fa8.*
+ 28862: 0f2d.*
+ 28863: 6fa8.*
+ 28864: 0c2e.*
+ 28865: 6fa8.*
+ 28866: 0d2e.*
+ 28867: 6fa8.*
+ 28868: 0c2e.*
+ 28869: 6fa8.*
+ 2886a: 0e2e.*
+ 2886b: 6fa8.*
+ 2886c: 0f2e.*
+ 2886d: 6fa8.*
+ 2886e: 0f2e.*
+ 2886f: 6fa8.*
+ 28870: 0c2f.*
+ 28871: 6fa8.*
+ 28872: 0d2f.*
+ 28873: 6fa8.*
+ 28874: 0c2f.*
+ 28875: 6fa8.*
+ 28876: 0e2f.*
+ 28877: 6fa8.*
+ 28878: 0f2f.*
+ 28879: 6fa8.*
+ 2887a: 0f2f.*
+ 2887b: 08b0.*
+ 2887c: 6fb0.*
+ 2887d: 0d20.*
+ 2887e: 08b0.*
+ 2887f: 6fb0.*
+ 28880: 0e20.*
+ 28881: 09b0.*
+ 28882: 09b0.*
+ 28883: 6fb0.*
+ 28884: 0c30.*
+ 28885: 6fb0.*
+ 28886: 0d30.*
+ 28887: 6fb0.*
+ 28888: 0c30.*
+ 28889: 6fb0.*
+ 2888a: 0e30.*
+ 2888b: 6fb0.*
+ 2888c: 0f30.*
+ 2888d: 6fb0.*
+ 2888e: 0f30.*
+ 2888f: 6fb0.*
+ 28890: 0c31.*
+ 28891: 6fb0.*
+ 28892: 0d31.*
+ 28893: 6fb0.*
+ 28894: 0c31.*
+ 28895: 6fb0.*
+ 28896: 0e31.*
+ 28897: 6fb0.*
+ 28898: 0f31.*
+ 28899: 6fb0.*
+ 2889a: 0f31.*
+ 2889b: 6fb0.*
+ 2889c: 0c32.*
+ 2889d: 6fb0.*
+ 2889e: 0d32.*
+ 2889f: 6fb0.*
+ 288a0: 0c32.*
+ 288a1: 6fb0.*
+ 288a2: 0e32.*
+ 288a3: 6fb0.*
+ 288a4: 0f32.*
+ 288a5: 6fb0.*
+ 288a6: 0f32.*
+ 288a7: 6fb0.*
+ 288a8: 0c33.*
+ 288a9: 6fb0.*
+ 288aa: 0d33.*
+ 288ab: 6fb0.*
+ 288ac: 0c33.*
+ 288ad: 6fb0.*
+ 288ae: 0e33.*
+ 288af: 6fb0.*
+ 288b0: 0f33.*
+ 288b1: 6fb0.*
+ 288b2: 0f33.*
+ 288b3: 6fb0.*
+ 288b4: 0c34.*
+ 288b5: 6fb0.*
+ 288b6: 0d34.*
+ 288b7: 6fb0.*
+ 288b8: 0c34.*
+ 288b9: 6fb0.*
+ 288ba: 0e34.*
+ 288bb: 6fb0.*
+ 288bc: 0f34.*
+ 288bd: 6fb0.*
+ 288be: 0f34.*
+ 288bf: 6fb0.*
+ 288c0: 0c35.*
+ 288c1: 6fb0.*
+ 288c2: 0d35.*
+ 288c3: 6fb0.*
+ 288c4: 0c35.*
+ 288c5: 6fb0.*
+ 288c6: 0e35.*
+ 288c7: 6fb0.*
+ 288c8: 0f35.*
+ 288c9: 6fb0.*
+ 288ca: 0f35.*
+ 288cb: 6fb0.*
+ 288cc: 0c36.*
+ 288cd: 6fb0.*
+ 288ce: 0d36.*
+ 288cf: 6fb0.*
+ 288d0: 0c36.*
+ 288d1: 6fb0.*
+ 288d2: 0e36.*
+ 288d3: 6fb0.*
+ 288d4: 0f36.*
+ 288d5: 6fb0.*
+ 288d6: 0f36.*
+ 288d7: 6fb0.*
+ 288d8: 0c37.*
+ 288d9: 6fb0.*
+ 288da: 0d37.*
+ 288db: 6fb0.*
+ 288dc: 0c37.*
+ 288dd: 6fb0.*
+ 288de: 0e37.*
+ 288df: 6fb0.*
+ 288e0: 0f37.*
+ 288e1: 6fb0.*
+ 288e2: 0f37.*
+ 288e3: 6fb0.*
+ 288e4: 0c38.*
+ 288e5: 6fb0.*
+ 288e6: 0d38.*
+ 288e7: 6fb0.*
+ 288e8: 0c38.*
+ 288e9: 6fb0.*
+ 288ea: 0e38.*
+ 288eb: 6fb0.*
+ 288ec: 0f38.*
+ 288ed: 6fb0.*
+ 288ee: 0f38.*
+ 288ef: 6fb0.*
+ 288f0: 0c39.*
+ 288f1: 6fb0.*
+ 288f2: 0d39.*
+ 288f3: 6fb0.*
+ 288f4: 0c39.*
+ 288f5: 6fb0.*
+ 288f6: 0e39.*
+ 288f7: 6fb0.*
+ 288f8: 0f39.*
+ 288f9: 6fb0.*
+ 288fa: 0f39.*
+ 288fb: 6fb0.*
+ 288fc: 0c3a.*
+ 288fd: 6fb0.*
+ 288fe: 0d3a.*
+ 288ff: 6fb0.*
+ 28900: 0c3a.*
+ 28901: 6fb0.*
+ 28902: 0e3a.*
+ 28903: 6fb0.*
+ 28904: 0f3a.*
+ 28905: 6fb0.*
+ 28906: 0f3a.*
+ 28907: 6fb0.*
+ 28908: 0c3b.*
+ 28909: 6fb0.*
+ 2890a: 0d3b.*
+ 2890b: 6fb0.*
+ 2890c: 0c3b.*
+ 2890d: 6fb0.*
+ 2890e: 0e3b.*
+ 2890f: 6fb0.*
+ 28910: 0f3b.*
+ 28911: 6fb0.*
+ 28912: 0f3b.*
+ 28913: 6fb0.*
+ 28914: 0c3c.*
+ 28915: 6fb0.*
+ 28916: 0d3c.*
+ 28917: 6fb0.*
+ 28918: 0c3c.*
+ 28919: 6fb0.*
+ 2891a: 0e3c.*
+ 2891b: 6fb0.*
+ 2891c: 0f3c.*
+ 2891d: 6fb0.*
+ 2891e: 0f3c.*
+ 2891f: 6fb0.*
+ 28920: 0c3d.*
+ 28921: 6fb0.*
+ 28922: 0d3d.*
+ 28923: 6fb0.*
+ 28924: 0c3d.*
+ 28925: 6fb0.*
+ 28926: 0e3d.*
+ 28927: 6fb0.*
+ 28928: 0f3d.*
+ 28929: 6fb0.*
+ 2892a: 0f3d.*
+ 2892b: 6fb0.*
+ 2892c: 0c3e.*
+ 2892d: 6fb0.*
+ 2892e: 0d3e.*
+ 2892f: 6fb0.*
+ 28930: 0c3e.*
+ 28931: 6fb0.*
+ 28932: 0e3e.*
+ 28933: 6fb0.*
+ 28934: 0f3e.*
+ 28935: 6fb0.*
+ 28936: 0f3e.*
+ 28937: 6fb0.*
+ 28938: 0c3f.*
+ 28939: 6fb0.*
+ 2893a: 0d3f.*
+ 2893b: 6fb0.*
+ 2893c: 0c3f.*
+ 2893d: 6fb0.*
+ 2893e: 0e3f.*
+ 2893f: 6fb0.*
+ 28940: 0f3f.*
+ 28941: 6fb0.*
+ 28942: 0f3f.*
+ 28943: 08b0.*
+ 28944: 6fb0.*
+ 28945: 0d20.*
+ 28946: 08b0.*
+ 28947: 6fb0.*
+ 28948: 0e20.*
+ 28949: 09b0.*
+ 2894a: 09b0.*
+ 2894b: 6fb0.*
+ 2894c: 0c21.*
+ 2894d: 6fb0.*
+ 2894e: 0d21.*
+ 2894f: 6fb0.*
+ 28950: 0c21.*
+ 28951: 6fb0.*
+ 28952: 0e21.*
+ 28953: 6fb0.*
+ 28954: 0f21.*
+ 28955: 6fb0.*
+ 28956: 0f21.*
+ 28957: 6fb0.*
+ 28958: 0c22.*
+ 28959: 6fb0.*
+ 2895a: 0d22.*
+ 2895b: 6fb0.*
+ 2895c: 0c22.*
+ 2895d: 6fb0.*
+ 2895e: 0e22.*
+ 2895f: 6fb0.*
+ 28960: 0f22.*
+ 28961: 6fb0.*
+ 28962: 0f22.*
+ 28963: 6fb0.*
+ 28964: 0c23.*
+ 28965: 6fb0.*
+ 28966: 0d23.*
+ 28967: 6fb0.*
+ 28968: 0c23.*
+ 28969: 6fb0.*
+ 2896a: 0e23.*
+ 2896b: 6fb0.*
+ 2896c: 0f23.*
+ 2896d: 6fb0.*
+ 2896e: 0f23.*
+ 2896f: 6fb0.*
+ 28970: 0c24.*
+ 28971: 6fb0.*
+ 28972: 0d24.*
+ 28973: 6fb0.*
+ 28974: 0c24.*
+ 28975: 6fb0.*
+ 28976: 0e24.*
+ 28977: 6fb0.*
+ 28978: 0f24.*
+ 28979: 6fb0.*
+ 2897a: 0f24.*
+ 2897b: 6fb0.*
+ 2897c: 0c25.*
+ 2897d: 6fb0.*
+ 2897e: 0d25.*
+ 2897f: 6fb0.*
+ 28980: 0c25.*
+ 28981: 6fb0.*
+ 28982: 0e25.*
+ 28983: 6fb0.*
+ 28984: 0f25.*
+ 28985: 6fb0.*
+ 28986: 0f25.*
+ 28987: 6fb0.*
+ 28988: 0c26.*
+ 28989: 6fb0.*
+ 2898a: 0d26.*
+ 2898b: 6fb0.*
+ 2898c: 0c26.*
+ 2898d: 6fb0.*
+ 2898e: 0e26.*
+ 2898f: 6fb0.*
+ 28990: 0f26.*
+ 28991: 6fb0.*
+ 28992: 0f26.*
+ 28993: 6fb0.*
+ 28994: 0c27.*
+ 28995: 6fb0.*
+ 28996: 0d27.*
+ 28997: 6fb0.*
+ 28998: 0c27.*
+ 28999: 6fb0.*
+ 2899a: 0e27.*
+ 2899b: 6fb0.*
+ 2899c: 0f27.*
+ 2899d: 6fb0.*
+ 2899e: 0f27.*
+ 2899f: 6fb0.*
+ 289a0: 0c28.*
+ 289a1: 6fb0.*
+ 289a2: 0d28.*
+ 289a3: 6fb0.*
+ 289a4: 0c28.*
+ 289a5: 6fb0.*
+ 289a6: 0e28.*
+ 289a7: 6fb0.*
+ 289a8: 0f28.*
+ 289a9: 6fb0.*
+ 289aa: 0f28.*
+ 289ab: 6fb0.*
+ 289ac: 0c29.*
+ 289ad: 6fb0.*
+ 289ae: 0d29.*
+ 289af: 6fb0.*
+ 289b0: 0c29.*
+ 289b1: 6fb0.*
+ 289b2: 0e29.*
+ 289b3: 6fb0.*
+ 289b4: 0f29.*
+ 289b5: 6fb0.*
+ 289b6: 0f29.*
+ 289b7: 6fb0.*
+ 289b8: 0c2a.*
+ 289b9: 6fb0.*
+ 289ba: 0d2a.*
+ 289bb: 6fb0.*
+ 289bc: 0c2a.*
+ 289bd: 6fb0.*
+ 289be: 0e2a.*
+ 289bf: 6fb0.*
+ 289c0: 0f2a.*
+ 289c1: 6fb0.*
+ 289c2: 0f2a.*
+ 289c3: 6fb0.*
+ 289c4: 0c2b.*
+ 289c5: 6fb0.*
+ 289c6: 0d2b.*
+ 289c7: 6fb0.*
+ 289c8: 0c2b.*
+ 289c9: 6fb0.*
+ 289ca: 0e2b.*
+ 289cb: 6fb0.*
+ 289cc: 0f2b.*
+ 289cd: 6fb0.*
+ 289ce: 0f2b.*
+ 289cf: 6fb0.*
+ 289d0: 0c2c.*
+ 289d1: 6fb0.*
+ 289d2: 0d2c.*
+ 289d3: 6fb0.*
+ 289d4: 0c2c.*
+ 289d5: 6fb0.*
+ 289d6: 0e2c.*
+ 289d7: 6fb0.*
+ 289d8: 0f2c.*
+ 289d9: 6fb0.*
+ 289da: 0f2c.*
+ 289db: 6fb0.*
+ 289dc: 0c2d.*
+ 289dd: 6fb0.*
+ 289de: 0d2d.*
+ 289df: 6fb0.*
+ 289e0: 0c2d.*
+ 289e1: 6fb0.*
+ 289e2: 0e2d.*
+ 289e3: 6fb0.*
+ 289e4: 0f2d.*
+ 289e5: 6fb0.*
+ 289e6: 0f2d.*
+ 289e7: 6fb0.*
+ 289e8: 0c2e.*
+ 289e9: 6fb0.*
+ 289ea: 0d2e.*
+ 289eb: 6fb0.*
+ 289ec: 0c2e.*
+ 289ed: 6fb0.*
+ 289ee: 0e2e.*
+ 289ef: 6fb0.*
+ 289f0: 0f2e.*
+ 289f1: 6fb0.*
+ 289f2: 0f2e.*
+ 289f3: 6fb0.*
+ 289f4: 0c2f.*
+ 289f5: 6fb0.*
+ 289f6: 0d2f.*
+ 289f7: 6fb0.*
+ 289f8: 0c2f.*
+ 289f9: 6fb0.*
+ 289fa: 0e2f.*
+ 289fb: 6fb0.*
+ 289fc: 0f2f.*
+ 289fd: 6fb0.*
+ 289fe: 0f2f.*
+ 289ff: 08b8.*
+ 28a00: 6fb8.*
+ 28a01: 0d20.*
+ 28a02: 08b8.*
+ 28a03: 6fb8.*
+ 28a04: 0e20.*
+ 28a05: 09b8.*
+ 28a06: 09b8.*
+ 28a07: 6fb8.*
+ 28a08: 0c30.*
+ 28a09: 6fb8.*
+ 28a0a: 0d30.*
+ 28a0b: 6fb8.*
+ 28a0c: 0c30.*
+ 28a0d: 6fb8.*
+ 28a0e: 0e30.*
+ 28a0f: 6fb8.*
+ 28a10: 0f30.*
+ 28a11: 6fb8.*
+ 28a12: 0f30.*
+ 28a13: 6fb8.*
+ 28a14: 0c31.*
+ 28a15: 6fb8.*
+ 28a16: 0d31.*
+ 28a17: 6fb8.*
+ 28a18: 0c31.*
+ 28a19: 6fb8.*
+ 28a1a: 0e31.*
+ 28a1b: 6fb8.*
+ 28a1c: 0f31.*
+ 28a1d: 6fb8.*
+ 28a1e: 0f31.*
+ 28a1f: 6fb8.*
+ 28a20: 0c32.*
+ 28a21: 6fb8.*
+ 28a22: 0d32.*
+ 28a23: 6fb8.*
+ 28a24: 0c32.*
+ 28a25: 6fb8.*
+ 28a26: 0e32.*
+ 28a27: 6fb8.*
+ 28a28: 0f32.*
+ 28a29: 6fb8.*
+ 28a2a: 0f32.*
+ 28a2b: 6fb8.*
+ 28a2c: 0c33.*
+ 28a2d: 6fb8.*
+ 28a2e: 0d33.*
+ 28a2f: 6fb8.*
+ 28a30: 0c33.*
+ 28a31: 6fb8.*
+ 28a32: 0e33.*
+ 28a33: 6fb8.*
+ 28a34: 0f33.*
+ 28a35: 6fb8.*
+ 28a36: 0f33.*
+ 28a37: 6fb8.*
+ 28a38: 0c34.*
+ 28a39: 6fb8.*
+ 28a3a: 0d34.*
+ 28a3b: 6fb8.*
+ 28a3c: 0c34.*
+ 28a3d: 6fb8.*
+ 28a3e: 0e34.*
+ 28a3f: 6fb8.*
+ 28a40: 0f34.*
+ 28a41: 6fb8.*
+ 28a42: 0f34.*
+ 28a43: 6fb8.*
+ 28a44: 0c35.*
+ 28a45: 6fb8.*
+ 28a46: 0d35.*
+ 28a47: 6fb8.*
+ 28a48: 0c35.*
+ 28a49: 6fb8.*
+ 28a4a: 0e35.*
+ 28a4b: 6fb8.*
+ 28a4c: 0f35.*
+ 28a4d: 6fb8.*
+ 28a4e: 0f35.*
+ 28a4f: 6fb8.*
+ 28a50: 0c36.*
+ 28a51: 6fb8.*
+ 28a52: 0d36.*
+ 28a53: 6fb8.*
+ 28a54: 0c36.*
+ 28a55: 6fb8.*
+ 28a56: 0e36.*
+ 28a57: 6fb8.*
+ 28a58: 0f36.*
+ 28a59: 6fb8.*
+ 28a5a: 0f36.*
+ 28a5b: 6fb8.*
+ 28a5c: 0c37.*
+ 28a5d: 6fb8.*
+ 28a5e: 0d37.*
+ 28a5f: 6fb8.*
+ 28a60: 0c37.*
+ 28a61: 6fb8.*
+ 28a62: 0e37.*
+ 28a63: 6fb8.*
+ 28a64: 0f37.*
+ 28a65: 6fb8.*
+ 28a66: 0f37.*
+ 28a67: 6fb8.*
+ 28a68: 0c38.*
+ 28a69: 6fb8.*
+ 28a6a: 0d38.*
+ 28a6b: 6fb8.*
+ 28a6c: 0c38.*
+ 28a6d: 6fb8.*
+ 28a6e: 0e38.*
+ 28a6f: 6fb8.*
+ 28a70: 0f38.*
+ 28a71: 6fb8.*
+ 28a72: 0f38.*
+ 28a73: 6fb8.*
+ 28a74: 0c39.*
+ 28a75: 6fb8.*
+ 28a76: 0d39.*
+ 28a77: 6fb8.*
+ 28a78: 0c39.*
+ 28a79: 6fb8.*
+ 28a7a: 0e39.*
+ 28a7b: 6fb8.*
+ 28a7c: 0f39.*
+ 28a7d: 6fb8.*
+ 28a7e: 0f39.*
+ 28a7f: 6fb8.*
+ 28a80: 0c3a.*
+ 28a81: 6fb8.*
+ 28a82: 0d3a.*
+ 28a83: 6fb8.*
+ 28a84: 0c3a.*
+ 28a85: 6fb8.*
+ 28a86: 0e3a.*
+ 28a87: 6fb8.*
+ 28a88: 0f3a.*
+ 28a89: 6fb8.*
+ 28a8a: 0f3a.*
+ 28a8b: 6fb8.*
+ 28a8c: 0c3b.*
+ 28a8d: 6fb8.*
+ 28a8e: 0d3b.*
+ 28a8f: 6fb8.*
+ 28a90: 0c3b.*
+ 28a91: 6fb8.*
+ 28a92: 0e3b.*
+ 28a93: 6fb8.*
+ 28a94: 0f3b.*
+ 28a95: 6fb8.*
+ 28a96: 0f3b.*
+ 28a97: 6fb8.*
+ 28a98: 0c3c.*
+ 28a99: 6fb8.*
+ 28a9a: 0d3c.*
+ 28a9b: 6fb8.*
+ 28a9c: 0c3c.*
+ 28a9d: 6fb8.*
+ 28a9e: 0e3c.*
+ 28a9f: 6fb8.*
+ 28aa0: 0f3c.*
+ 28aa1: 6fb8.*
+ 28aa2: 0f3c.*
+ 28aa3: 6fb8.*
+ 28aa4: 0c3d.*
+ 28aa5: 6fb8.*
+ 28aa6: 0d3d.*
+ 28aa7: 6fb8.*
+ 28aa8: 0c3d.*
+ 28aa9: 6fb8.*
+ 28aaa: 0e3d.*
+ 28aab: 6fb8.*
+ 28aac: 0f3d.*
+ 28aad: 6fb8.*
+ 28aae: 0f3d.*
+ 28aaf: 6fb8.*
+ 28ab0: 0c3e.*
+ 28ab1: 6fb8.*
+ 28ab2: 0d3e.*
+ 28ab3: 6fb8.*
+ 28ab4: 0c3e.*
+ 28ab5: 6fb8.*
+ 28ab6: 0e3e.*
+ 28ab7: 6fb8.*
+ 28ab8: 0f3e.*
+ 28ab9: 6fb8.*
+ 28aba: 0f3e.*
+ 28abb: 6fb8.*
+ 28abc: 0c3f.*
+ 28abd: 6fb8.*
+ 28abe: 0d3f.*
+ 28abf: 6fb8.*
+ 28ac0: 0c3f.*
+ 28ac1: 6fb8.*
+ 28ac2: 0e3f.*
+ 28ac3: 6fb8.*
+ 28ac4: 0f3f.*
+ 28ac5: 6fb8.*
+ 28ac6: 0f3f.*
+ 28ac7: 08b8.*
+ 28ac8: 6fb8.*
+ 28ac9: 0d20.*
+ 28aca: 08b8.*
+ 28acb: 6fb8.*
+ 28acc: 0e20.*
+ 28acd: 09b8.*
+ 28ace: 09b8.*
+ 28acf: 6fb8.*
+ 28ad0: 0c21.*
+ 28ad1: 6fb8.*
+ 28ad2: 0d21.*
+ 28ad3: 6fb8.*
+ 28ad4: 0c21.*
+ 28ad5: 6fb8.*
+ 28ad6: 0e21.*
+ 28ad7: 6fb8.*
+ 28ad8: 0f21.*
+ 28ad9: 6fb8.*
+ 28ada: 0f21.*
+ 28adb: 6fb8.*
+ 28adc: 0c22.*
+ 28add: 6fb8.*
+ 28ade: 0d22.*
+ 28adf: 6fb8.*
+ 28ae0: 0c22.*
+ 28ae1: 6fb8.*
+ 28ae2: 0e22.*
+ 28ae3: 6fb8.*
+ 28ae4: 0f22.*
+ 28ae5: 6fb8.*
+ 28ae6: 0f22.*
+ 28ae7: 6fb8.*
+ 28ae8: 0c23.*
+ 28ae9: 6fb8.*
+ 28aea: 0d23.*
+ 28aeb: 6fb8.*
+ 28aec: 0c23.*
+ 28aed: 6fb8.*
+ 28aee: 0e23.*
+ 28aef: 6fb8.*
+ 28af0: 0f23.*
+ 28af1: 6fb8.*
+ 28af2: 0f23.*
+ 28af3: 6fb8.*
+ 28af4: 0c24.*
+ 28af5: 6fb8.*
+ 28af6: 0d24.*
+ 28af7: 6fb8.*
+ 28af8: 0c24.*
+ 28af9: 6fb8.*
+ 28afa: 0e24.*
+ 28afb: 6fb8.*
+ 28afc: 0f24.*
+ 28afd: 6fb8.*
+ 28afe: 0f24.*
+ 28aff: 6fb8.*
+ 28b00: 0c25.*
+ 28b01: 6fb8.*
+ 28b02: 0d25.*
+ 28b03: 6fb8.*
+ 28b04: 0c25.*
+ 28b05: 6fb8.*
+ 28b06: 0e25.*
+ 28b07: 6fb8.*
+ 28b08: 0f25.*
+ 28b09: 6fb8.*
+ 28b0a: 0f25.*
+ 28b0b: 6fb8.*
+ 28b0c: 0c26.*
+ 28b0d: 6fb8.*
+ 28b0e: 0d26.*
+ 28b0f: 6fb8.*
+ 28b10: 0c26.*
+ 28b11: 6fb8.*
+ 28b12: 0e26.*
+ 28b13: 6fb8.*
+ 28b14: 0f26.*
+ 28b15: 6fb8.*
+ 28b16: 0f26.*
+ 28b17: 6fb8.*
+ 28b18: 0c27.*
+ 28b19: 6fb8.*
+ 28b1a: 0d27.*
+ 28b1b: 6fb8.*
+ 28b1c: 0c27.*
+ 28b1d: 6fb8.*
+ 28b1e: 0e27.*
+ 28b1f: 6fb8.*
+ 28b20: 0f27.*
+ 28b21: 6fb8.*
+ 28b22: 0f27.*
+ 28b23: 6fb8.*
+ 28b24: 0c28.*
+ 28b25: 6fb8.*
+ 28b26: 0d28.*
+ 28b27: 6fb8.*
+ 28b28: 0c28.*
+ 28b29: 6fb8.*
+ 28b2a: 0e28.*
+ 28b2b: 6fb8.*
+ 28b2c: 0f28.*
+ 28b2d: 6fb8.*
+ 28b2e: 0f28.*
+ 28b2f: 6fb8.*
+ 28b30: 0c29.*
+ 28b31: 6fb8.*
+ 28b32: 0d29.*
+ 28b33: 6fb8.*
+ 28b34: 0c29.*
+ 28b35: 6fb8.*
+ 28b36: 0e29.*
+ 28b37: 6fb8.*
+ 28b38: 0f29.*
+ 28b39: 6fb8.*
+ 28b3a: 0f29.*
+ 28b3b: 6fb8.*
+ 28b3c: 0c2a.*
+ 28b3d: 6fb8.*
+ 28b3e: 0d2a.*
+ 28b3f: 6fb8.*
+ 28b40: 0c2a.*
+ 28b41: 6fb8.*
+ 28b42: 0e2a.*
+ 28b43: 6fb8.*
+ 28b44: 0f2a.*
+ 28b45: 6fb8.*
+ 28b46: 0f2a.*
+ 28b47: 6fb8.*
+ 28b48: 0c2b.*
+ 28b49: 6fb8.*
+ 28b4a: 0d2b.*
+ 28b4b: 6fb8.*
+ 28b4c: 0c2b.*
+ 28b4d: 6fb8.*
+ 28b4e: 0e2b.*
+ 28b4f: 6fb8.*
+ 28b50: 0f2b.*
+ 28b51: 6fb8.*
+ 28b52: 0f2b.*
+ 28b53: 6fb8.*
+ 28b54: 0c2c.*
+ 28b55: 6fb8.*
+ 28b56: 0d2c.*
+ 28b57: 6fb8.*
+ 28b58: 0c2c.*
+ 28b59: 6fb8.*
+ 28b5a: 0e2c.*
+ 28b5b: 6fb8.*
+ 28b5c: 0f2c.*
+ 28b5d: 6fb8.*
+ 28b5e: 0f2c.*
+ 28b5f: 6fb8.*
+ 28b60: 0c2d.*
+ 28b61: 6fb8.*
+ 28b62: 0d2d.*
+ 28b63: 6fb8.*
+ 28b64: 0c2d.*
+ 28b65: 6fb8.*
+ 28b66: 0e2d.*
+ 28b67: 6fb8.*
+ 28b68: 0f2d.*
+ 28b69: 6fb8.*
+ 28b6a: 0f2d.*
+ 28b6b: 6fb8.*
+ 28b6c: 0c2e.*
+ 28b6d: 6fb8.*
+ 28b6e: 0d2e.*
+ 28b6f: 6fb8.*
+ 28b70: 0c2e.*
+ 28b71: 6fb8.*
+ 28b72: 0e2e.*
+ 28b73: 6fb8.*
+ 28b74: 0f2e.*
+ 28b75: 6fb8.*
+ 28b76: 0f2e.*
+ 28b77: 6fb8.*
+ 28b78: 0c2f.*
+ 28b79: 6fb8.*
+ 28b7a: 0d2f.*
+ 28b7b: 6fb8.*
+ 28b7c: 0c2f.*
+ 28b7d: 6fb8.*
+ 28b7e: 0e2f.*
+ 28b7f: 6fb8.*
+ 28b80: 0f2f.*
+ 28b81: 6fb8.*
+ 28b82: 0f2f.*
+ 28b83: 08c0.*
+ 28b84: 6fc0.*
+ 28b85: 0d20.*
+ 28b86: 08c0.*
+ 28b87: 6fc0.*
+ 28b88: 0e20.*
+ 28b89: 09c0.*
+ 28b8a: 09c0.*
+ 28b8b: 6fc0.*
+ 28b8c: 0c30.*
+ 28b8d: 6fc0.*
+ 28b8e: 0d30.*
+ 28b8f: 6fc0.*
+ 28b90: 0c30.*
+ 28b91: 6fc0.*
+ 28b92: 0e30.*
+ 28b93: 6fc0.*
+ 28b94: 0f30.*
+ 28b95: 6fc0.*
+ 28b96: 0f30.*
+ 28b97: 6fc0.*
+ 28b98: 0c31.*
+ 28b99: 6fc0.*
+ 28b9a: 0d31.*
+ 28b9b: 6fc0.*
+ 28b9c: 0c31.*
+ 28b9d: 6fc0.*
+ 28b9e: 0e31.*
+ 28b9f: 6fc0.*
+ 28ba0: 0f31.*
+ 28ba1: 6fc0.*
+ 28ba2: 0f31.*
+ 28ba3: 6fc0.*
+ 28ba4: 0c32.*
+ 28ba5: 6fc0.*
+ 28ba6: 0d32.*
+ 28ba7: 6fc0.*
+ 28ba8: 0c32.*
+ 28ba9: 6fc0.*
+ 28baa: 0e32.*
+ 28bab: 6fc0.*
+ 28bac: 0f32.*
+ 28bad: 6fc0.*
+ 28bae: 0f32.*
+ 28baf: 6fc0.*
+ 28bb0: 0c33.*
+ 28bb1: 6fc0.*
+ 28bb2: 0d33.*
+ 28bb3: 6fc0.*
+ 28bb4: 0c33.*
+ 28bb5: 6fc0.*
+ 28bb6: 0e33.*
+ 28bb7: 6fc0.*
+ 28bb8: 0f33.*
+ 28bb9: 6fc0.*
+ 28bba: 0f33.*
+ 28bbb: 6fc0.*
+ 28bbc: 0c34.*
+ 28bbd: 6fc0.*
+ 28bbe: 0d34.*
+ 28bbf: 6fc0.*
+ 28bc0: 0c34.*
+ 28bc1: 6fc0.*
+ 28bc2: 0e34.*
+ 28bc3: 6fc0.*
+ 28bc4: 0f34.*
+ 28bc5: 6fc0.*
+ 28bc6: 0f34.*
+ 28bc7: 6fc0.*
+ 28bc8: 0c35.*
+ 28bc9: 6fc0.*
+ 28bca: 0d35.*
+ 28bcb: 6fc0.*
+ 28bcc: 0c35.*
+ 28bcd: 6fc0.*
+ 28bce: 0e35.*
+ 28bcf: 6fc0.*
+ 28bd0: 0f35.*
+ 28bd1: 6fc0.*
+ 28bd2: 0f35.*
+ 28bd3: 6fc0.*
+ 28bd4: 0c36.*
+ 28bd5: 6fc0.*
+ 28bd6: 0d36.*
+ 28bd7: 6fc0.*
+ 28bd8: 0c36.*
+ 28bd9: 6fc0.*
+ 28bda: 0e36.*
+ 28bdb: 6fc0.*
+ 28bdc: 0f36.*
+ 28bdd: 6fc0.*
+ 28bde: 0f36.*
+ 28bdf: 6fc0.*
+ 28be0: 0c37.*
+ 28be1: 6fc0.*
+ 28be2: 0d37.*
+ 28be3: 6fc0.*
+ 28be4: 0c37.*
+ 28be5: 6fc0.*
+ 28be6: 0e37.*
+ 28be7: 6fc0.*
+ 28be8: 0f37.*
+ 28be9: 6fc0.*
+ 28bea: 0f37.*
+ 28beb: 6fc0.*
+ 28bec: 0c38.*
+ 28bed: 6fc0.*
+ 28bee: 0d38.*
+ 28bef: 6fc0.*
+ 28bf0: 0c38.*
+ 28bf1: 6fc0.*
+ 28bf2: 0e38.*
+ 28bf3: 6fc0.*
+ 28bf4: 0f38.*
+ 28bf5: 6fc0.*
+ 28bf6: 0f38.*
+ 28bf7: 6fc0.*
+ 28bf8: 0c39.*
+ 28bf9: 6fc0.*
+ 28bfa: 0d39.*
+ 28bfb: 6fc0.*
+ 28bfc: 0c39.*
+ 28bfd: 6fc0.*
+ 28bfe: 0e39.*
+ 28bff: 6fc0.*
+ 28c00: 0f39.*
+ 28c01: 6fc0.*
+ 28c02: 0f39.*
+ 28c03: 6fc0.*
+ 28c04: 0c3a.*
+ 28c05: 6fc0.*
+ 28c06: 0d3a.*
+ 28c07: 6fc0.*
+ 28c08: 0c3a.*
+ 28c09: 6fc0.*
+ 28c0a: 0e3a.*
+ 28c0b: 6fc0.*
+ 28c0c: 0f3a.*
+ 28c0d: 6fc0.*
+ 28c0e: 0f3a.*
+ 28c0f: 6fc0.*
+ 28c10: 0c3b.*
+ 28c11: 6fc0.*
+ 28c12: 0d3b.*
+ 28c13: 6fc0.*
+ 28c14: 0c3b.*
+ 28c15: 6fc0.*
+ 28c16: 0e3b.*
+ 28c17: 6fc0.*
+ 28c18: 0f3b.*
+ 28c19: 6fc0.*
+ 28c1a: 0f3b.*
+ 28c1b: 6fc0.*
+ 28c1c: 0c3c.*
+ 28c1d: 6fc0.*
+ 28c1e: 0d3c.*
+ 28c1f: 6fc0.*
+ 28c20: 0c3c.*
+ 28c21: 6fc0.*
+ 28c22: 0e3c.*
+ 28c23: 6fc0.*
+ 28c24: 0f3c.*
+ 28c25: 6fc0.*
+ 28c26: 0f3c.*
+ 28c27: 6fc0.*
+ 28c28: 0c3d.*
+ 28c29: 6fc0.*
+ 28c2a: 0d3d.*
+ 28c2b: 6fc0.*
+ 28c2c: 0c3d.*
+ 28c2d: 6fc0.*
+ 28c2e: 0e3d.*
+ 28c2f: 6fc0.*
+ 28c30: 0f3d.*
+ 28c31: 6fc0.*
+ 28c32: 0f3d.*
+ 28c33: 6fc0.*
+ 28c34: 0c3e.*
+ 28c35: 6fc0.*
+ 28c36: 0d3e.*
+ 28c37: 6fc0.*
+ 28c38: 0c3e.*
+ 28c39: 6fc0.*
+ 28c3a: 0e3e.*
+ 28c3b: 6fc0.*
+ 28c3c: 0f3e.*
+ 28c3d: 6fc0.*
+ 28c3e: 0f3e.*
+ 28c3f: 6fc0.*
+ 28c40: 0c3f.*
+ 28c41: 6fc0.*
+ 28c42: 0d3f.*
+ 28c43: 6fc0.*
+ 28c44: 0c3f.*
+ 28c45: 6fc0.*
+ 28c46: 0e3f.*
+ 28c47: 6fc0.*
+ 28c48: 0f3f.*
+ 28c49: 6fc0.*
+ 28c4a: 0f3f.*
+ 28c4b: 08c0.*
+ 28c4c: 6fc0.*
+ 28c4d: 0d20.*
+ 28c4e: 08c0.*
+ 28c4f: 6fc0.*
+ 28c50: 0e20.*
+ 28c51: 09c0.*
+ 28c52: 09c0.*
+ 28c53: 6fc0.*
+ 28c54: 0c21.*
+ 28c55: 6fc0.*
+ 28c56: 0d21.*
+ 28c57: 6fc0.*
+ 28c58: 0c21.*
+ 28c59: 6fc0.*
+ 28c5a: 0e21.*
+ 28c5b: 6fc0.*
+ 28c5c: 0f21.*
+ 28c5d: 6fc0.*
+ 28c5e: 0f21.*
+ 28c5f: 6fc0.*
+ 28c60: 0c22.*
+ 28c61: 6fc0.*
+ 28c62: 0d22.*
+ 28c63: 6fc0.*
+ 28c64: 0c22.*
+ 28c65: 6fc0.*
+ 28c66: 0e22.*
+ 28c67: 6fc0.*
+ 28c68: 0f22.*
+ 28c69: 6fc0.*
+ 28c6a: 0f22.*
+ 28c6b: 6fc0.*
+ 28c6c: 0c23.*
+ 28c6d: 6fc0.*
+ 28c6e: 0d23.*
+ 28c6f: 6fc0.*
+ 28c70: 0c23.*
+ 28c71: 6fc0.*
+ 28c72: 0e23.*
+ 28c73: 6fc0.*
+ 28c74: 0f23.*
+ 28c75: 6fc0.*
+ 28c76: 0f23.*
+ 28c77: 6fc0.*
+ 28c78: 0c24.*
+ 28c79: 6fc0.*
+ 28c7a: 0d24.*
+ 28c7b: 6fc0.*
+ 28c7c: 0c24.*
+ 28c7d: 6fc0.*
+ 28c7e: 0e24.*
+ 28c7f: 6fc0.*
+ 28c80: 0f24.*
+ 28c81: 6fc0.*
+ 28c82: 0f24.*
+ 28c83: 6fc0.*
+ 28c84: 0c25.*
+ 28c85: 6fc0.*
+ 28c86: 0d25.*
+ 28c87: 6fc0.*
+ 28c88: 0c25.*
+ 28c89: 6fc0.*
+ 28c8a: 0e25.*
+ 28c8b: 6fc0.*
+ 28c8c: 0f25.*
+ 28c8d: 6fc0.*
+ 28c8e: 0f25.*
+ 28c8f: 6fc0.*
+ 28c90: 0c26.*
+ 28c91: 6fc0.*
+ 28c92: 0d26.*
+ 28c93: 6fc0.*
+ 28c94: 0c26.*
+ 28c95: 6fc0.*
+ 28c96: 0e26.*
+ 28c97: 6fc0.*
+ 28c98: 0f26.*
+ 28c99: 6fc0.*
+ 28c9a: 0f26.*
+ 28c9b: 6fc0.*
+ 28c9c: 0c27.*
+ 28c9d: 6fc0.*
+ 28c9e: 0d27.*
+ 28c9f: 6fc0.*
+ 28ca0: 0c27.*
+ 28ca1: 6fc0.*
+ 28ca2: 0e27.*
+ 28ca3: 6fc0.*
+ 28ca4: 0f27.*
+ 28ca5: 6fc0.*
+ 28ca6: 0f27.*
+ 28ca7: 6fc0.*
+ 28ca8: 0c28.*
+ 28ca9: 6fc0.*
+ 28caa: 0d28.*
+ 28cab: 6fc0.*
+ 28cac: 0c28.*
+ 28cad: 6fc0.*
+ 28cae: 0e28.*
+ 28caf: 6fc0.*
+ 28cb0: 0f28.*
+ 28cb1: 6fc0.*
+ 28cb2: 0f28.*
+ 28cb3: 6fc0.*
+ 28cb4: 0c29.*
+ 28cb5: 6fc0.*
+ 28cb6: 0d29.*
+ 28cb7: 6fc0.*
+ 28cb8: 0c29.*
+ 28cb9: 6fc0.*
+ 28cba: 0e29.*
+ 28cbb: 6fc0.*
+ 28cbc: 0f29.*
+ 28cbd: 6fc0.*
+ 28cbe: 0f29.*
+ 28cbf: 6fc0.*
+ 28cc0: 0c2a.*
+ 28cc1: 6fc0.*
+ 28cc2: 0d2a.*
+ 28cc3: 6fc0.*
+ 28cc4: 0c2a.*
+ 28cc5: 6fc0.*
+ 28cc6: 0e2a.*
+ 28cc7: 6fc0.*
+ 28cc8: 0f2a.*
+ 28cc9: 6fc0.*
+ 28cca: 0f2a.*
+ 28ccb: 6fc0.*
+ 28ccc: 0c2b.*
+ 28ccd: 6fc0.*
+ 28cce: 0d2b.*
+ 28ccf: 6fc0.*
+ 28cd0: 0c2b.*
+ 28cd1: 6fc0.*
+ 28cd2: 0e2b.*
+ 28cd3: 6fc0.*
+ 28cd4: 0f2b.*
+ 28cd5: 6fc0.*
+ 28cd6: 0f2b.*
+ 28cd7: 6fc0.*
+ 28cd8: 0c2c.*
+ 28cd9: 6fc0.*
+ 28cda: 0d2c.*
+ 28cdb: 6fc0.*
+ 28cdc: 0c2c.*
+ 28cdd: 6fc0.*
+ 28cde: 0e2c.*
+ 28cdf: 6fc0.*
+ 28ce0: 0f2c.*
+ 28ce1: 6fc0.*
+ 28ce2: 0f2c.*
+ 28ce3: 6fc0.*
+ 28ce4: 0c2d.*
+ 28ce5: 6fc0.*
+ 28ce6: 0d2d.*
+ 28ce7: 6fc0.*
+ 28ce8: 0c2d.*
+ 28ce9: 6fc0.*
+ 28cea: 0e2d.*
+ 28ceb: 6fc0.*
+ 28cec: 0f2d.*
+ 28ced: 6fc0.*
+ 28cee: 0f2d.*
+ 28cef: 6fc0.*
+ 28cf0: 0c2e.*
+ 28cf1: 6fc0.*
+ 28cf2: 0d2e.*
+ 28cf3: 6fc0.*
+ 28cf4: 0c2e.*
+ 28cf5: 6fc0.*
+ 28cf6: 0e2e.*
+ 28cf7: 6fc0.*
+ 28cf8: 0f2e.*
+ 28cf9: 6fc0.*
+ 28cfa: 0f2e.*
+ 28cfb: 6fc0.*
+ 28cfc: 0c2f.*
+ 28cfd: 6fc0.*
+ 28cfe: 0d2f.*
+ 28cff: 6fc0.*
+ 28d00: 0c2f.*
+ 28d01: 6fc0.*
+ 28d02: 0e2f.*
+ 28d03: 6fc0.*
+ 28d04: 0f2f.*
+ 28d05: 6fc0.*
+ 28d06: 0f2f.*
+ 28d07: 08c8.*
+ 28d08: 6fc8.*
+ 28d09: 0d20.*
+ 28d0a: 08c8.*
+ 28d0b: 6fc8.*
+ 28d0c: 0e20.*
+ 28d0d: 09c8.*
+ 28d0e: 09c8.*
+ 28d0f: 6fc8.*
+ 28d10: 0c30.*
+ 28d11: 6fc8.*
+ 28d12: 0d30.*
+ 28d13: 6fc8.*
+ 28d14: 0c30.*
+ 28d15: 6fc8.*
+ 28d16: 0e30.*
+ 28d17: 6fc8.*
+ 28d18: 0f30.*
+ 28d19: 6fc8.*
+ 28d1a: 0f30.*
+ 28d1b: 6fc8.*
+ 28d1c: 0c31.*
+ 28d1d: 6fc8.*
+ 28d1e: 0d31.*
+ 28d1f: 6fc8.*
+ 28d20: 0c31.*
+ 28d21: 6fc8.*
+ 28d22: 0e31.*
+ 28d23: 6fc8.*
+ 28d24: 0f31.*
+ 28d25: 6fc8.*
+ 28d26: 0f31.*
+ 28d27: 6fc8.*
+ 28d28: 0c32.*
+ 28d29: 6fc8.*
+ 28d2a: 0d32.*
+ 28d2b: 6fc8.*
+ 28d2c: 0c32.*
+ 28d2d: 6fc8.*
+ 28d2e: 0e32.*
+ 28d2f: 6fc8.*
+ 28d30: 0f32.*
+ 28d31: 6fc8.*
+ 28d32: 0f32.*
+ 28d33: 6fc8.*
+ 28d34: 0c33.*
+ 28d35: 6fc8.*
+ 28d36: 0d33.*
+ 28d37: 6fc8.*
+ 28d38: 0c33.*
+ 28d39: 6fc8.*
+ 28d3a: 0e33.*
+ 28d3b: 6fc8.*
+ 28d3c: 0f33.*
+ 28d3d: 6fc8.*
+ 28d3e: 0f33.*
+ 28d3f: 6fc8.*
+ 28d40: 0c34.*
+ 28d41: 6fc8.*
+ 28d42: 0d34.*
+ 28d43: 6fc8.*
+ 28d44: 0c34.*
+ 28d45: 6fc8.*
+ 28d46: 0e34.*
+ 28d47: 6fc8.*
+ 28d48: 0f34.*
+ 28d49: 6fc8.*
+ 28d4a: 0f34.*
+ 28d4b: 6fc8.*
+ 28d4c: 0c35.*
+ 28d4d: 6fc8.*
+ 28d4e: 0d35.*
+ 28d4f: 6fc8.*
+ 28d50: 0c35.*
+ 28d51: 6fc8.*
+ 28d52: 0e35.*
+ 28d53: 6fc8.*
+ 28d54: 0f35.*
+ 28d55: 6fc8.*
+ 28d56: 0f35.*
+ 28d57: 6fc8.*
+ 28d58: 0c36.*
+ 28d59: 6fc8.*
+ 28d5a: 0d36.*
+ 28d5b: 6fc8.*
+ 28d5c: 0c36.*
+ 28d5d: 6fc8.*
+ 28d5e: 0e36.*
+ 28d5f: 6fc8.*
+ 28d60: 0f36.*
+ 28d61: 6fc8.*
+ 28d62: 0f36.*
+ 28d63: 6fc8.*
+ 28d64: 0c37.*
+ 28d65: 6fc8.*
+ 28d66: 0d37.*
+ 28d67: 6fc8.*
+ 28d68: 0c37.*
+ 28d69: 6fc8.*
+ 28d6a: 0e37.*
+ 28d6b: 6fc8.*
+ 28d6c: 0f37.*
+ 28d6d: 6fc8.*
+ 28d6e: 0f37.*
+ 28d6f: 6fc8.*
+ 28d70: 0c38.*
+ 28d71: 6fc8.*
+ 28d72: 0d38.*
+ 28d73: 6fc8.*
+ 28d74: 0c38.*
+ 28d75: 6fc8.*
+ 28d76: 0e38.*
+ 28d77: 6fc8.*
+ 28d78: 0f38.*
+ 28d79: 6fc8.*
+ 28d7a: 0f38.*
+ 28d7b: 6fc8.*
+ 28d7c: 0c39.*
+ 28d7d: 6fc8.*
+ 28d7e: 0d39.*
+ 28d7f: 6fc8.*
+ 28d80: 0c39.*
+ 28d81: 6fc8.*
+ 28d82: 0e39.*
+ 28d83: 6fc8.*
+ 28d84: 0f39.*
+ 28d85: 6fc8.*
+ 28d86: 0f39.*
+ 28d87: 6fc8.*
+ 28d88: 0c3a.*
+ 28d89: 6fc8.*
+ 28d8a: 0d3a.*
+ 28d8b: 6fc8.*
+ 28d8c: 0c3a.*
+ 28d8d: 6fc8.*
+ 28d8e: 0e3a.*
+ 28d8f: 6fc8.*
+ 28d90: 0f3a.*
+ 28d91: 6fc8.*
+ 28d92: 0f3a.*
+ 28d93: 6fc8.*
+ 28d94: 0c3b.*
+ 28d95: 6fc8.*
+ 28d96: 0d3b.*
+ 28d97: 6fc8.*
+ 28d98: 0c3b.*
+ 28d99: 6fc8.*
+ 28d9a: 0e3b.*
+ 28d9b: 6fc8.*
+ 28d9c: 0f3b.*
+ 28d9d: 6fc8.*
+ 28d9e: 0f3b.*
+ 28d9f: 6fc8.*
+ 28da0: 0c3c.*
+ 28da1: 6fc8.*
+ 28da2: 0d3c.*
+ 28da3: 6fc8.*
+ 28da4: 0c3c.*
+ 28da5: 6fc8.*
+ 28da6: 0e3c.*
+ 28da7: 6fc8.*
+ 28da8: 0f3c.*
+ 28da9: 6fc8.*
+ 28daa: 0f3c.*
+ 28dab: 6fc8.*
+ 28dac: 0c3d.*
+ 28dad: 6fc8.*
+ 28dae: 0d3d.*
+ 28daf: 6fc8.*
+ 28db0: 0c3d.*
+ 28db1: 6fc8.*
+ 28db2: 0e3d.*
+ 28db3: 6fc8.*
+ 28db4: 0f3d.*
+ 28db5: 6fc8.*
+ 28db6: 0f3d.*
+ 28db7: 6fc8.*
+ 28db8: 0c3e.*
+ 28db9: 6fc8.*
+ 28dba: 0d3e.*
+ 28dbb: 6fc8.*
+ 28dbc: 0c3e.*
+ 28dbd: 6fc8.*
+ 28dbe: 0e3e.*
+ 28dbf: 6fc8.*
+ 28dc0: 0f3e.*
+ 28dc1: 6fc8.*
+ 28dc2: 0f3e.*
+ 28dc3: 6fc8.*
+ 28dc4: 0c3f.*
+ 28dc5: 6fc8.*
+ 28dc6: 0d3f.*
+ 28dc7: 6fc8.*
+ 28dc8: 0c3f.*
+ 28dc9: 6fc8.*
+ 28dca: 0e3f.*
+ 28dcb: 6fc8.*
+ 28dcc: 0f3f.*
+ 28dcd: 6fc8.*
+ 28dce: 0f3f.*
+ 28dcf: 08c8.*
+ 28dd0: 6fc8.*
+ 28dd1: 0d20.*
+ 28dd2: 08c8.*
+ 28dd3: 6fc8.*
+ 28dd4: 0e20.*
+ 28dd5: 09c8.*
+ 28dd6: 09c8.*
+ 28dd7: 6fc8.*
+ 28dd8: 0c21.*
+ 28dd9: 6fc8.*
+ 28dda: 0d21.*
+ 28ddb: 6fc8.*
+ 28ddc: 0c21.*
+ 28ddd: 6fc8.*
+ 28dde: 0e21.*
+ 28ddf: 6fc8.*
+ 28de0: 0f21.*
+ 28de1: 6fc8.*
+ 28de2: 0f21.*
+ 28de3: 6fc8.*
+ 28de4: 0c22.*
+ 28de5: 6fc8.*
+ 28de6: 0d22.*
+ 28de7: 6fc8.*
+ 28de8: 0c22.*
+ 28de9: 6fc8.*
+ 28dea: 0e22.*
+ 28deb: 6fc8.*
+ 28dec: 0f22.*
+ 28ded: 6fc8.*
+ 28dee: 0f22.*
+ 28def: 6fc8.*
+ 28df0: 0c23.*
+ 28df1: 6fc8.*
+ 28df2: 0d23.*
+ 28df3: 6fc8.*
+ 28df4: 0c23.*
+ 28df5: 6fc8.*
+ 28df6: 0e23.*
+ 28df7: 6fc8.*
+ 28df8: 0f23.*
+ 28df9: 6fc8.*
+ 28dfa: 0f23.*
+ 28dfb: 6fc8.*
+ 28dfc: 0c24.*
+ 28dfd: 6fc8.*
+ 28dfe: 0d24.*
+ 28dff: 6fc8.*
+ 28e00: 0c24.*
+ 28e01: 6fc8.*
+ 28e02: 0e24.*
+ 28e03: 6fc8.*
+ 28e04: 0f24.*
+ 28e05: 6fc8.*
+ 28e06: 0f24.*
+ 28e07: 6fc8.*
+ 28e08: 0c25.*
+ 28e09: 6fc8.*
+ 28e0a: 0d25.*
+ 28e0b: 6fc8.*
+ 28e0c: 0c25.*
+ 28e0d: 6fc8.*
+ 28e0e: 0e25.*
+ 28e0f: 6fc8.*
+ 28e10: 0f25.*
+ 28e11: 6fc8.*
+ 28e12: 0f25.*
+ 28e13: 6fc8.*
+ 28e14: 0c26.*
+ 28e15: 6fc8.*
+ 28e16: 0d26.*
+ 28e17: 6fc8.*
+ 28e18: 0c26.*
+ 28e19: 6fc8.*
+ 28e1a: 0e26.*
+ 28e1b: 6fc8.*
+ 28e1c: 0f26.*
+ 28e1d: 6fc8.*
+ 28e1e: 0f26.*
+ 28e1f: 6fc8.*
+ 28e20: 0c27.*
+ 28e21: 6fc8.*
+ 28e22: 0d27.*
+ 28e23: 6fc8.*
+ 28e24: 0c27.*
+ 28e25: 6fc8.*
+ 28e26: 0e27.*
+ 28e27: 6fc8.*
+ 28e28: 0f27.*
+ 28e29: 6fc8.*
+ 28e2a: 0f27.*
+ 28e2b: 6fc8.*
+ 28e2c: 0c28.*
+ 28e2d: 6fc8.*
+ 28e2e: 0d28.*
+ 28e2f: 6fc8.*
+ 28e30: 0c28.*
+ 28e31: 6fc8.*
+ 28e32: 0e28.*
+ 28e33: 6fc8.*
+ 28e34: 0f28.*
+ 28e35: 6fc8.*
+ 28e36: 0f28.*
+ 28e37: 6fc8.*
+ 28e38: 0c29.*
+ 28e39: 6fc8.*
+ 28e3a: 0d29.*
+ 28e3b: 6fc8.*
+ 28e3c: 0c29.*
+ 28e3d: 6fc8.*
+ 28e3e: 0e29.*
+ 28e3f: 6fc8.*
+ 28e40: 0f29.*
+ 28e41: 6fc8.*
+ 28e42: 0f29.*
+ 28e43: 6fc8.*
+ 28e44: 0c2a.*
+ 28e45: 6fc8.*
+ 28e46: 0d2a.*
+ 28e47: 6fc8.*
+ 28e48: 0c2a.*
+ 28e49: 6fc8.*
+ 28e4a: 0e2a.*
+ 28e4b: 6fc8.*
+ 28e4c: 0f2a.*
+ 28e4d: 6fc8.*
+ 28e4e: 0f2a.*
+ 28e4f: 6fc8.*
+ 28e50: 0c2b.*
+ 28e51: 6fc8.*
+ 28e52: 0d2b.*
+ 28e53: 6fc8.*
+ 28e54: 0c2b.*
+ 28e55: 6fc8.*
+ 28e56: 0e2b.*
+ 28e57: 6fc8.*
+ 28e58: 0f2b.*
+ 28e59: 6fc8.*
+ 28e5a: 0f2b.*
+ 28e5b: 6fc8.*
+ 28e5c: 0c2c.*
+ 28e5d: 6fc8.*
+ 28e5e: 0d2c.*
+ 28e5f: 6fc8.*
+ 28e60: 0c2c.*
+ 28e61: 6fc8.*
+ 28e62: 0e2c.*
+ 28e63: 6fc8.*
+ 28e64: 0f2c.*
+ 28e65: 6fc8.*
+ 28e66: 0f2c.*
+ 28e67: 6fc8.*
+ 28e68: 0c2d.*
+ 28e69: 6fc8.*
+ 28e6a: 0d2d.*
+ 28e6b: 6fc8.*
+ 28e6c: 0c2d.*
+ 28e6d: 6fc8.*
+ 28e6e: 0e2d.*
+ 28e6f: 6fc8.*
+ 28e70: 0f2d.*
+ 28e71: 6fc8.*
+ 28e72: 0f2d.*
+ 28e73: 6fc8.*
+ 28e74: 0c2e.*
+ 28e75: 6fc8.*
+ 28e76: 0d2e.*
+ 28e77: 6fc8.*
+ 28e78: 0c2e.*
+ 28e79: 6fc8.*
+ 28e7a: 0e2e.*
+ 28e7b: 6fc8.*
+ 28e7c: 0f2e.*
+ 28e7d: 6fc8.*
+ 28e7e: 0f2e.*
+ 28e7f: 6fc8.*
+ 28e80: 0c2f.*
+ 28e81: 6fc8.*
+ 28e82: 0d2f.*
+ 28e83: 6fc8.*
+ 28e84: 0c2f.*
+ 28e85: 6fc8.*
+ 28e86: 0e2f.*
+ 28e87: 6fc8.*
+ 28e88: 0f2f.*
+ 28e89: 6fc8.*
+ 28e8a: 0f2f.*
+ 28e8b: 08d0.*
+ 28e8c: 6fd0.*
+ 28e8d: 0d20.*
+ 28e8e: 08d0.*
+ 28e8f: 6fd0.*
+ 28e90: 0e20.*
+ 28e91: 09d0.*
+ 28e92: 09d0.*
+ 28e93: 6fd0.*
+ 28e94: 0c30.*
+ 28e95: 6fd0.*
+ 28e96: 0d30.*
+ 28e97: 6fd0.*
+ 28e98: 0c30.*
+ 28e99: 6fd0.*
+ 28e9a: 0e30.*
+ 28e9b: 6fd0.*
+ 28e9c: 0f30.*
+ 28e9d: 6fd0.*
+ 28e9e: 0f30.*
+ 28e9f: 6fd0.*
+ 28ea0: 0c31.*
+ 28ea1: 6fd0.*
+ 28ea2: 0d31.*
+ 28ea3: 6fd0.*
+ 28ea4: 0c31.*
+ 28ea5: 6fd0.*
+ 28ea6: 0e31.*
+ 28ea7: 6fd0.*
+ 28ea8: 0f31.*
+ 28ea9: 6fd0.*
+ 28eaa: 0f31.*
+ 28eab: 6fd0.*
+ 28eac: 0c32.*
+ 28ead: 6fd0.*
+ 28eae: 0d32.*
+ 28eaf: 6fd0.*
+ 28eb0: 0c32.*
+ 28eb1: 6fd0.*
+ 28eb2: 0e32.*
+ 28eb3: 6fd0.*
+ 28eb4: 0f32.*
+ 28eb5: 6fd0.*
+ 28eb6: 0f32.*
+ 28eb7: 6fd0.*
+ 28eb8: 0c33.*
+ 28eb9: 6fd0.*
+ 28eba: 0d33.*
+ 28ebb: 6fd0.*
+ 28ebc: 0c33.*
+ 28ebd: 6fd0.*
+ 28ebe: 0e33.*
+ 28ebf: 6fd0.*
+ 28ec0: 0f33.*
+ 28ec1: 6fd0.*
+ 28ec2: 0f33.*
+ 28ec3: 6fd0.*
+ 28ec4: 0c34.*
+ 28ec5: 6fd0.*
+ 28ec6: 0d34.*
+ 28ec7: 6fd0.*
+ 28ec8: 0c34.*
+ 28ec9: 6fd0.*
+ 28eca: 0e34.*
+ 28ecb: 6fd0.*
+ 28ecc: 0f34.*
+ 28ecd: 6fd0.*
+ 28ece: 0f34.*
+ 28ecf: 6fd0.*
+ 28ed0: 0c35.*
+ 28ed1: 6fd0.*
+ 28ed2: 0d35.*
+ 28ed3: 6fd0.*
+ 28ed4: 0c35.*
+ 28ed5: 6fd0.*
+ 28ed6: 0e35.*
+ 28ed7: 6fd0.*
+ 28ed8: 0f35.*
+ 28ed9: 6fd0.*
+ 28eda: 0f35.*
+ 28edb: 6fd0.*
+ 28edc: 0c36.*
+ 28edd: 6fd0.*
+ 28ede: 0d36.*
+ 28edf: 6fd0.*
+ 28ee0: 0c36.*
+ 28ee1: 6fd0.*
+ 28ee2: 0e36.*
+ 28ee3: 6fd0.*
+ 28ee4: 0f36.*
+ 28ee5: 6fd0.*
+ 28ee6: 0f36.*
+ 28ee7: 6fd0.*
+ 28ee8: 0c37.*
+ 28ee9: 6fd0.*
+ 28eea: 0d37.*
+ 28eeb: 6fd0.*
+ 28eec: 0c37.*
+ 28eed: 6fd0.*
+ 28eee: 0e37.*
+ 28eef: 6fd0.*
+ 28ef0: 0f37.*
+ 28ef1: 6fd0.*
+ 28ef2: 0f37.*
+ 28ef3: 6fd0.*
+ 28ef4: 0c38.*
+ 28ef5: 6fd0.*
+ 28ef6: 0d38.*
+ 28ef7: 6fd0.*
+ 28ef8: 0c38.*
+ 28ef9: 6fd0.*
+ 28efa: 0e38.*
+ 28efb: 6fd0.*
+ 28efc: 0f38.*
+ 28efd: 6fd0.*
+ 28efe: 0f38.*
+ 28eff: 6fd0.*
+ 28f00: 0c39.*
+ 28f01: 6fd0.*
+ 28f02: 0d39.*
+ 28f03: 6fd0.*
+ 28f04: 0c39.*
+ 28f05: 6fd0.*
+ 28f06: 0e39.*
+ 28f07: 6fd0.*
+ 28f08: 0f39.*
+ 28f09: 6fd0.*
+ 28f0a: 0f39.*
+ 28f0b: 6fd0.*
+ 28f0c: 0c3a.*
+ 28f0d: 6fd0.*
+ 28f0e: 0d3a.*
+ 28f0f: 6fd0.*
+ 28f10: 0c3a.*
+ 28f11: 6fd0.*
+ 28f12: 0e3a.*
+ 28f13: 6fd0.*
+ 28f14: 0f3a.*
+ 28f15: 6fd0.*
+ 28f16: 0f3a.*
+ 28f17: 6fd0.*
+ 28f18: 0c3b.*
+ 28f19: 6fd0.*
+ 28f1a: 0d3b.*
+ 28f1b: 6fd0.*
+ 28f1c: 0c3b.*
+ 28f1d: 6fd0.*
+ 28f1e: 0e3b.*
+ 28f1f: 6fd0.*
+ 28f20: 0f3b.*
+ 28f21: 6fd0.*
+ 28f22: 0f3b.*
+ 28f23: 6fd0.*
+ 28f24: 0c3c.*
+ 28f25: 6fd0.*
+ 28f26: 0d3c.*
+ 28f27: 6fd0.*
+ 28f28: 0c3c.*
+ 28f29: 6fd0.*
+ 28f2a: 0e3c.*
+ 28f2b: 6fd0.*
+ 28f2c: 0f3c.*
+ 28f2d: 6fd0.*
+ 28f2e: 0f3c.*
+ 28f2f: 6fd0.*
+ 28f30: 0c3d.*
+ 28f31: 6fd0.*
+ 28f32: 0d3d.*
+ 28f33: 6fd0.*
+ 28f34: 0c3d.*
+ 28f35: 6fd0.*
+ 28f36: 0e3d.*
+ 28f37: 6fd0.*
+ 28f38: 0f3d.*
+ 28f39: 6fd0.*
+ 28f3a: 0f3d.*
+ 28f3b: 6fd0.*
+ 28f3c: 0c3e.*
+ 28f3d: 6fd0.*
+ 28f3e: 0d3e.*
+ 28f3f: 6fd0.*
+ 28f40: 0c3e.*
+ 28f41: 6fd0.*
+ 28f42: 0e3e.*
+ 28f43: 6fd0.*
+ 28f44: 0f3e.*
+ 28f45: 6fd0.*
+ 28f46: 0f3e.*
+ 28f47: 6fd0.*
+ 28f48: 0c3f.*
+ 28f49: 6fd0.*
+ 28f4a: 0d3f.*
+ 28f4b: 6fd0.*
+ 28f4c: 0c3f.*
+ 28f4d: 6fd0.*
+ 28f4e: 0e3f.*
+ 28f4f: 6fd0.*
+ 28f50: 0f3f.*
+ 28f51: 6fd0.*
+ 28f52: 0f3f.*
+ 28f53: 08d0.*
+ 28f54: 6fd0.*
+ 28f55: 0d20.*
+ 28f56: 08d0.*
+ 28f57: 6fd0.*
+ 28f58: 0e20.*
+ 28f59: 09d0.*
+ 28f5a: 09d0.*
+ 28f5b: 6fd0.*
+ 28f5c: 0c21.*
+ 28f5d: 6fd0.*
+ 28f5e: 0d21.*
+ 28f5f: 6fd0.*
+ 28f60: 0c21.*
+ 28f61: 6fd0.*
+ 28f62: 0e21.*
+ 28f63: 6fd0.*
+ 28f64: 0f21.*
+ 28f65: 6fd0.*
+ 28f66: 0f21.*
+ 28f67: 6fd0.*
+ 28f68: 0c22.*
+ 28f69: 6fd0.*
+ 28f6a: 0d22.*
+ 28f6b: 6fd0.*
+ 28f6c: 0c22.*
+ 28f6d: 6fd0.*
+ 28f6e: 0e22.*
+ 28f6f: 6fd0.*
+ 28f70: 0f22.*
+ 28f71: 6fd0.*
+ 28f72: 0f22.*
+ 28f73: 6fd0.*
+ 28f74: 0c23.*
+ 28f75: 6fd0.*
+ 28f76: 0d23.*
+ 28f77: 6fd0.*
+ 28f78: 0c23.*
+ 28f79: 6fd0.*
+ 28f7a: 0e23.*
+ 28f7b: 6fd0.*
+ 28f7c: 0f23.*
+ 28f7d: 6fd0.*
+ 28f7e: 0f23.*
+ 28f7f: 6fd0.*
+ 28f80: 0c24.*
+ 28f81: 6fd0.*
+ 28f82: 0d24.*
+ 28f83: 6fd0.*
+ 28f84: 0c24.*
+ 28f85: 6fd0.*
+ 28f86: 0e24.*
+ 28f87: 6fd0.*
+ 28f88: 0f24.*
+ 28f89: 6fd0.*
+ 28f8a: 0f24.*
+ 28f8b: 6fd0.*
+ 28f8c: 0c25.*
+ 28f8d: 6fd0.*
+ 28f8e: 0d25.*
+ 28f8f: 6fd0.*
+ 28f90: 0c25.*
+ 28f91: 6fd0.*
+ 28f92: 0e25.*
+ 28f93: 6fd0.*
+ 28f94: 0f25.*
+ 28f95: 6fd0.*
+ 28f96: 0f25.*
+ 28f97: 6fd0.*
+ 28f98: 0c26.*
+ 28f99: 6fd0.*
+ 28f9a: 0d26.*
+ 28f9b: 6fd0.*
+ 28f9c: 0c26.*
+ 28f9d: 6fd0.*
+ 28f9e: 0e26.*
+ 28f9f: 6fd0.*
+ 28fa0: 0f26.*
+ 28fa1: 6fd0.*
+ 28fa2: 0f26.*
+ 28fa3: 6fd0.*
+ 28fa4: 0c27.*
+ 28fa5: 6fd0.*
+ 28fa6: 0d27.*
+ 28fa7: 6fd0.*
+ 28fa8: 0c27.*
+ 28fa9: 6fd0.*
+ 28faa: 0e27.*
+ 28fab: 6fd0.*
+ 28fac: 0f27.*
+ 28fad: 6fd0.*
+ 28fae: 0f27.*
+ 28faf: 6fd0.*
+ 28fb0: 0c28.*
+ 28fb1: 6fd0.*
+ 28fb2: 0d28.*
+ 28fb3: 6fd0.*
+ 28fb4: 0c28.*
+ 28fb5: 6fd0.*
+ 28fb6: 0e28.*
+ 28fb7: 6fd0.*
+ 28fb8: 0f28.*
+ 28fb9: 6fd0.*
+ 28fba: 0f28.*
+ 28fbb: 6fd0.*
+ 28fbc: 0c29.*
+ 28fbd: 6fd0.*
+ 28fbe: 0d29.*
+ 28fbf: 6fd0.*
+ 28fc0: 0c29.*
+ 28fc1: 6fd0.*
+ 28fc2: 0e29.*
+ 28fc3: 6fd0.*
+ 28fc4: 0f29.*
+ 28fc5: 6fd0.*
+ 28fc6: 0f29.*
+ 28fc7: 6fd0.*
+ 28fc8: 0c2a.*
+ 28fc9: 6fd0.*
+ 28fca: 0d2a.*
+ 28fcb: 6fd0.*
+ 28fcc: 0c2a.*
+ 28fcd: 6fd0.*
+ 28fce: 0e2a.*
+ 28fcf: 6fd0.*
+ 28fd0: 0f2a.*
+ 28fd1: 6fd0.*
+ 28fd2: 0f2a.*
+ 28fd3: 6fd0.*
+ 28fd4: 0c2b.*
+ 28fd5: 6fd0.*
+ 28fd6: 0d2b.*
+ 28fd7: 6fd0.*
+ 28fd8: 0c2b.*
+ 28fd9: 6fd0.*
+ 28fda: 0e2b.*
+ 28fdb: 6fd0.*
+ 28fdc: 0f2b.*
+ 28fdd: 6fd0.*
+ 28fde: 0f2b.*
+ 28fdf: 6fd0.*
+ 28fe0: 0c2c.*
+ 28fe1: 6fd0.*
+ 28fe2: 0d2c.*
+ 28fe3: 6fd0.*
+ 28fe4: 0c2c.*
+ 28fe5: 6fd0.*
+ 28fe6: 0e2c.*
+ 28fe7: 6fd0.*
+ 28fe8: 0f2c.*
+ 28fe9: 6fd0.*
+ 28fea: 0f2c.*
+ 28feb: 6fd0.*
+ 28fec: 0c2d.*
+ 28fed: 6fd0.*
+ 28fee: 0d2d.*
+ 28fef: 6fd0.*
+ 28ff0: 0c2d.*
+ 28ff1: 6fd0.*
+ 28ff2: 0e2d.*
+ 28ff3: 6fd0.*
+ 28ff4: 0f2d.*
+ 28ff5: 6fd0.*
+ 28ff6: 0f2d.*
+ 28ff7: 6fd0.*
+ 28ff8: 0c2e.*
+ 28ff9: 6fd0.*
+ 28ffa: 0d2e.*
+ 28ffb: 6fd0.*
+ 28ffc: 0c2e.*
+ 28ffd: 6fd0.*
+ 28ffe: 0e2e.*
+ 28fff: 6fd0.*
+ 29000: 0f2e.*
+ 29001: 6fd0.*
+ 29002: 0f2e.*
+ 29003: 6fd0.*
+ 29004: 0c2f.*
+ 29005: 6fd0.*
+ 29006: 0d2f.*
+ 29007: 6fd0.*
+ 29008: 0c2f.*
+ 29009: 6fd0.*
+ 2900a: 0e2f.*
+ 2900b: 6fd0.*
+ 2900c: 0f2f.*
+ 2900d: 6fd0.*
+ 2900e: 0f2f.*
+ 2900f: 08d8.*
+ 29010: 6fd8.*
+ 29011: 0d20.*
+ 29012: 08d8.*
+ 29013: 6fd8.*
+ 29014: 0e20.*
+ 29015: 09d8.*
+ 29016: 09d8.*
+ 29017: 6fd8.*
+ 29018: 0c30.*
+ 29019: 6fd8.*
+ 2901a: 0d30.*
+ 2901b: 6fd8.*
+ 2901c: 0c30.*
+ 2901d: 6fd8.*
+ 2901e: 0e30.*
+ 2901f: 6fd8.*
+ 29020: 0f30.*
+ 29021: 6fd8.*
+ 29022: 0f30.*
+ 29023: 6fd8.*
+ 29024: 0c31.*
+ 29025: 6fd8.*
+ 29026: 0d31.*
+ 29027: 6fd8.*
+ 29028: 0c31.*
+ 29029: 6fd8.*
+ 2902a: 0e31.*
+ 2902b: 6fd8.*
+ 2902c: 0f31.*
+ 2902d: 6fd8.*
+ 2902e: 0f31.*
+ 2902f: 6fd8.*
+ 29030: 0c32.*
+ 29031: 6fd8.*
+ 29032: 0d32.*
+ 29033: 6fd8.*
+ 29034: 0c32.*
+ 29035: 6fd8.*
+ 29036: 0e32.*
+ 29037: 6fd8.*
+ 29038: 0f32.*
+ 29039: 6fd8.*
+ 2903a: 0f32.*
+ 2903b: 6fd8.*
+ 2903c: 0c33.*
+ 2903d: 6fd8.*
+ 2903e: 0d33.*
+ 2903f: 6fd8.*
+ 29040: 0c33.*
+ 29041: 6fd8.*
+ 29042: 0e33.*
+ 29043: 6fd8.*
+ 29044: 0f33.*
+ 29045: 6fd8.*
+ 29046: 0f33.*
+ 29047: 6fd8.*
+ 29048: 0c34.*
+ 29049: 6fd8.*
+ 2904a: 0d34.*
+ 2904b: 6fd8.*
+ 2904c: 0c34.*
+ 2904d: 6fd8.*
+ 2904e: 0e34.*
+ 2904f: 6fd8.*
+ 29050: 0f34.*
+ 29051: 6fd8.*
+ 29052: 0f34.*
+ 29053: 6fd8.*
+ 29054: 0c35.*
+ 29055: 6fd8.*
+ 29056: 0d35.*
+ 29057: 6fd8.*
+ 29058: 0c35.*
+ 29059: 6fd8.*
+ 2905a: 0e35.*
+ 2905b: 6fd8.*
+ 2905c: 0f35.*
+ 2905d: 6fd8.*
+ 2905e: 0f35.*
+ 2905f: 6fd8.*
+ 29060: 0c36.*
+ 29061: 6fd8.*
+ 29062: 0d36.*
+ 29063: 6fd8.*
+ 29064: 0c36.*
+ 29065: 6fd8.*
+ 29066: 0e36.*
+ 29067: 6fd8.*
+ 29068: 0f36.*
+ 29069: 6fd8.*
+ 2906a: 0f36.*
+ 2906b: 6fd8.*
+ 2906c: 0c37.*
+ 2906d: 6fd8.*
+ 2906e: 0d37.*
+ 2906f: 6fd8.*
+ 29070: 0c37.*
+ 29071: 6fd8.*
+ 29072: 0e37.*
+ 29073: 6fd8.*
+ 29074: 0f37.*
+ 29075: 6fd8.*
+ 29076: 0f37.*
+ 29077: 6fd8.*
+ 29078: 0c38.*
+ 29079: 6fd8.*
+ 2907a: 0d38.*
+ 2907b: 6fd8.*
+ 2907c: 0c38.*
+ 2907d: 6fd8.*
+ 2907e: 0e38.*
+ 2907f: 6fd8.*
+ 29080: 0f38.*
+ 29081: 6fd8.*
+ 29082: 0f38.*
+ 29083: 6fd8.*
+ 29084: 0c39.*
+ 29085: 6fd8.*
+ 29086: 0d39.*
+ 29087: 6fd8.*
+ 29088: 0c39.*
+ 29089: 6fd8.*
+ 2908a: 0e39.*
+ 2908b: 6fd8.*
+ 2908c: 0f39.*
+ 2908d: 6fd8.*
+ 2908e: 0f39.*
+ 2908f: 6fd8.*
+ 29090: 0c3a.*
+ 29091: 6fd8.*
+ 29092: 0d3a.*
+ 29093: 6fd8.*
+ 29094: 0c3a.*
+ 29095: 6fd8.*
+ 29096: 0e3a.*
+ 29097: 6fd8.*
+ 29098: 0f3a.*
+ 29099: 6fd8.*
+ 2909a: 0f3a.*
+ 2909b: 6fd8.*
+ 2909c: 0c3b.*
+ 2909d: 6fd8.*
+ 2909e: 0d3b.*
+ 2909f: 6fd8.*
+ 290a0: 0c3b.*
+ 290a1: 6fd8.*
+ 290a2: 0e3b.*
+ 290a3: 6fd8.*
+ 290a4: 0f3b.*
+ 290a5: 6fd8.*
+ 290a6: 0f3b.*
+ 290a7: 6fd8.*
+ 290a8: 0c3c.*
+ 290a9: 6fd8.*
+ 290aa: 0d3c.*
+ 290ab: 6fd8.*
+ 290ac: 0c3c.*
+ 290ad: 6fd8.*
+ 290ae: 0e3c.*
+ 290af: 6fd8.*
+ 290b0: 0f3c.*
+ 290b1: 6fd8.*
+ 290b2: 0f3c.*
+ 290b3: 6fd8.*
+ 290b4: 0c3d.*
+ 290b5: 6fd8.*
+ 290b6: 0d3d.*
+ 290b7: 6fd8.*
+ 290b8: 0c3d.*
+ 290b9: 6fd8.*
+ 290ba: 0e3d.*
+ 290bb: 6fd8.*
+ 290bc: 0f3d.*
+ 290bd: 6fd8.*
+ 290be: 0f3d.*
+ 290bf: 6fd8.*
+ 290c0: 0c3e.*
+ 290c1: 6fd8.*
+ 290c2: 0d3e.*
+ 290c3: 6fd8.*
+ 290c4: 0c3e.*
+ 290c5: 6fd8.*
+ 290c6: 0e3e.*
+ 290c7: 6fd8.*
+ 290c8: 0f3e.*
+ 290c9: 6fd8.*
+ 290ca: 0f3e.*
+ 290cb: 6fd8.*
+ 290cc: 0c3f.*
+ 290cd: 6fd8.*
+ 290ce: 0d3f.*
+ 290cf: 6fd8.*
+ 290d0: 0c3f.*
+ 290d1: 6fd8.*
+ 290d2: 0e3f.*
+ 290d3: 6fd8.*
+ 290d4: 0f3f.*
+ 290d5: 6fd8.*
+ 290d6: 0f3f.*
+ 290d7: 08d8.*
+ 290d8: 6fd8.*
+ 290d9: 0d20.*
+ 290da: 08d8.*
+ 290db: 6fd8.*
+ 290dc: 0e20.*
+ 290dd: 09d8.*
+ 290de: 09d8.*
+ 290df: 6fd8.*
+ 290e0: 0c21.*
+ 290e1: 6fd8.*
+ 290e2: 0d21.*
+ 290e3: 6fd8.*
+ 290e4: 0c21.*
+ 290e5: 6fd8.*
+ 290e6: 0e21.*
+ 290e7: 6fd8.*
+ 290e8: 0f21.*
+ 290e9: 6fd8.*
+ 290ea: 0f21.*
+ 290eb: 6fd8.*
+ 290ec: 0c22.*
+ 290ed: 6fd8.*
+ 290ee: 0d22.*
+ 290ef: 6fd8.*
+ 290f0: 0c22.*
+ 290f1: 6fd8.*
+ 290f2: 0e22.*
+ 290f3: 6fd8.*
+ 290f4: 0f22.*
+ 290f5: 6fd8.*
+ 290f6: 0f22.*
+ 290f7: 6fd8.*
+ 290f8: 0c23.*
+ 290f9: 6fd8.*
+ 290fa: 0d23.*
+ 290fb: 6fd8.*
+ 290fc: 0c23.*
+ 290fd: 6fd8.*
+ 290fe: 0e23.*
+ 290ff: 6fd8.*
+ 29100: 0f23.*
+ 29101: 6fd8.*
+ 29102: 0f23.*
+ 29103: 6fd8.*
+ 29104: 0c24.*
+ 29105: 6fd8.*
+ 29106: 0d24.*
+ 29107: 6fd8.*
+ 29108: 0c24.*
+ 29109: 6fd8.*
+ 2910a: 0e24.*
+ 2910b: 6fd8.*
+ 2910c: 0f24.*
+ 2910d: 6fd8.*
+ 2910e: 0f24.*
+ 2910f: 6fd8.*
+ 29110: 0c25.*
+ 29111: 6fd8.*
+ 29112: 0d25.*
+ 29113: 6fd8.*
+ 29114: 0c25.*
+ 29115: 6fd8.*
+ 29116: 0e25.*
+ 29117: 6fd8.*
+ 29118: 0f25.*
+ 29119: 6fd8.*
+ 2911a: 0f25.*
+ 2911b: 6fd8.*
+ 2911c: 0c26.*
+ 2911d: 6fd8.*
+ 2911e: 0d26.*
+ 2911f: 6fd8.*
+ 29120: 0c26.*
+ 29121: 6fd8.*
+ 29122: 0e26.*
+ 29123: 6fd8.*
+ 29124: 0f26.*
+ 29125: 6fd8.*
+ 29126: 0f26.*
+ 29127: 6fd8.*
+ 29128: 0c27.*
+ 29129: 6fd8.*
+ 2912a: 0d27.*
+ 2912b: 6fd8.*
+ 2912c: 0c27.*
+ 2912d: 6fd8.*
+ 2912e: 0e27.*
+ 2912f: 6fd8.*
+ 29130: 0f27.*
+ 29131: 6fd8.*
+ 29132: 0f27.*
+ 29133: 6fd8.*
+ 29134: 0c28.*
+ 29135: 6fd8.*
+ 29136: 0d28.*
+ 29137: 6fd8.*
+ 29138: 0c28.*
+ 29139: 6fd8.*
+ 2913a: 0e28.*
+ 2913b: 6fd8.*
+ 2913c: 0f28.*
+ 2913d: 6fd8.*
+ 2913e: 0f28.*
+ 2913f: 6fd8.*
+ 29140: 0c29.*
+ 29141: 6fd8.*
+ 29142: 0d29.*
+ 29143: 6fd8.*
+ 29144: 0c29.*
+ 29145: 6fd8.*
+ 29146: 0e29.*
+ 29147: 6fd8.*
+ 29148: 0f29.*
+ 29149: 6fd8.*
+ 2914a: 0f29.*
+ 2914b: 6fd8.*
+ 2914c: 0c2a.*
+ 2914d: 6fd8.*
+ 2914e: 0d2a.*
+ 2914f: 6fd8.*
+ 29150: 0c2a.*
+ 29151: 6fd8.*
+ 29152: 0e2a.*
+ 29153: 6fd8.*
+ 29154: 0f2a.*
+ 29155: 6fd8.*
+ 29156: 0f2a.*
+ 29157: 6fd8.*
+ 29158: 0c2b.*
+ 29159: 6fd8.*
+ 2915a: 0d2b.*
+ 2915b: 6fd8.*
+ 2915c: 0c2b.*
+ 2915d: 6fd8.*
+ 2915e: 0e2b.*
+ 2915f: 6fd8.*
+ 29160: 0f2b.*
+ 29161: 6fd8.*
+ 29162: 0f2b.*
+ 29163: 6fd8.*
+ 29164: 0c2c.*
+ 29165: 6fd8.*
+ 29166: 0d2c.*
+ 29167: 6fd8.*
+ 29168: 0c2c.*
+ 29169: 6fd8.*
+ 2916a: 0e2c.*
+ 2916b: 6fd8.*
+ 2916c: 0f2c.*
+ 2916d: 6fd8.*
+ 2916e: 0f2c.*
+ 2916f: 6fd8.*
+ 29170: 0c2d.*
+ 29171: 6fd8.*
+ 29172: 0d2d.*
+ 29173: 6fd8.*
+ 29174: 0c2d.*
+ 29175: 6fd8.*
+ 29176: 0e2d.*
+ 29177: 6fd8.*
+ 29178: 0f2d.*
+ 29179: 6fd8.*
+ 2917a: 0f2d.*
+ 2917b: 6fd8.*
+ 2917c: 0c2e.*
+ 2917d: 6fd8.*
+ 2917e: 0d2e.*
+ 2917f: 6fd8.*
+ 29180: 0c2e.*
+ 29181: 6fd8.*
+ 29182: 0e2e.*
+ 29183: 6fd8.*
+ 29184: 0f2e.*
+ 29185: 6fd8.*
+ 29186: 0f2e.*
+ 29187: 6fd8.*
+ 29188: 0c2f.*
+ 29189: 6fd8.*
+ 2918a: 0d2f.*
+ 2918b: 6fd8.*
+ 2918c: 0c2f.*
+ 2918d: 6fd8.*
+ 2918e: 0e2f.*
+ 2918f: 6fd8.*
+ 29190: 0f2f.*
+ 29191: 6fd8.*
+ 29192: 0f2f.*
+ 29193: 08e0.*
+ 29194: 4b86.*
+ 29195: 6fe0.*
+ 29196: 4b87.*
+ 29197: 0d20.*
+ 29198: 08e0.*
+ 29199: 4b88.*
+ 2919a: 6fe0.*
+ 2919b: 4b89.*
+ 2919c: 0e20.*
+ 2919d: 09e0.*
+ 2919e: 4b8a.*
+ 2919f: 09e0.*
+ 291a0: 4b8b.*
+ 291a1: 6fe0.*
+ 291a2: 4b8c.*
+ 291a3: 0c30.*
+ 291a4: 6fe0.*
+ 291a5: 4b8d.*
+ 291a6: 0d30.*
+ 291a7: 6fe0.*
+ 291a8: 4b8e.*
+ 291a9: 0c30.*
+ 291aa: 6fe0.*
+ 291ab: 4b8f.*
+ 291ac: 0e30.*
+ 291ad: 6fe0.*
+ 291ae: 4b90.*
+ 291af: 0f30.*
+ 291b0: 6fe0.*
+ 291b1: 4b91.*
+ 291b2: 0f30.*
+ 291b3: 6fe0.*
+ 291b4: 4b92.*
+ 291b5: 0c31.*
+ 291b6: 6fe0.*
+ 291b7: 4b93.*
+ 291b8: 0d31.*
+ 291b9: 6fe0.*
+ 291ba: 4b94.*
+ 291bb: 0c31.*
+ 291bc: 6fe0.*
+ 291bd: 4b95.*
+ 291be: 0e31.*
+ 291bf: 6fe0.*
+ 291c0: 4b96.*
+ 291c1: 0f31.*
+ 291c2: 6fe0.*
+ 291c3: 4b97.*
+ 291c4: 0f31.*
+ 291c5: 6fe0.*
+ 291c6: 4b98.*
+ 291c7: 0c32.*
+ 291c8: 6fe0.*
+ 291c9: 4b99.*
+ 291ca: 0d32.*
+ 291cb: 6fe0.*
+ 291cc: 4b9a.*
+ 291cd: 0c32.*
+ 291ce: 6fe0.*
+ 291cf: 4b9b.*
+ 291d0: 0e32.*
+ 291d1: 6fe0.*
+ 291d2: 4b9c.*
+ 291d3: 0f32.*
+ 291d4: 6fe0.*
+ 291d5: 4b9d.*
+ 291d6: 0f32.*
+ 291d7: 6fe0.*
+ 291d8: 4b9e.*
+ 291d9: 0c33.*
+ 291da: 6fe0.*
+ 291db: 4b9f.*
+ 291dc: 0d33.*
+ 291dd: 6fe0.*
+ 291de: 4ba0.*
+ 291df: 0c33.*
+ 291e0: 6fe0.*
+ 291e1: 4ba1.*
+ 291e2: 0e33.*
+ 291e3: 6fe0.*
+ 291e4: 4ba2.*
+ 291e5: 0f33.*
+ 291e6: 6fe0.*
+ 291e7: 4ba3.*
+ 291e8: 0f33.*
+ 291e9: 6fe0.*
+ 291ea: 4ba4.*
+ 291eb: 0c34.*
+ 291ec: 6fe0.*
+ 291ed: 4ba5.*
+ 291ee: 0d34.*
+ 291ef: 6fe0.*
+ 291f0: 4ba6.*
+ 291f1: 0c34.*
+ 291f2: 6fe0.*
+ 291f3: 4ba7.*
+ 291f4: 0e34.*
+ 291f5: 6fe0.*
+ 291f6: 4ba8.*
+ 291f7: 0f34.*
+ 291f8: 6fe0.*
+ 291f9: 4ba9.*
+ 291fa: 0f34.*
+ 291fb: 6fe0.*
+ 291fc: 4baa.*
+ 291fd: 0c35.*
+ 291fe: 6fe0.*
+ 291ff: 4bab.*
+ 29200: 0d35.*
+ 29201: 6fe0.*
+ 29202: 4bac.*
+ 29203: 0c35.*
+ 29204: 6fe0.*
+ 29205: 4bad.*
+ 29206: 0e35.*
+ 29207: 6fe0.*
+ 29208: 4bae.*
+ 29209: 0f35.*
+ 2920a: 6fe0.*
+ 2920b: 4baf.*
+ 2920c: 0f35.*
+ 2920d: 6fe0.*
+ 2920e: 4bb0.*
+ 2920f: 0c36.*
+ 29210: 6fe0.*
+ 29211: 4bb1.*
+ 29212: 0d36.*
+ 29213: 6fe0.*
+ 29214: 4bb2.*
+ 29215: 0c36.*
+ 29216: 6fe0.*
+ 29217: 4bb3.*
+ 29218: 0e36.*
+ 29219: 6fe0.*
+ 2921a: 4bb4.*
+ 2921b: 0f36.*
+ 2921c: 6fe0.*
+ 2921d: 4bb5.*
+ 2921e: 0f36.*
+ 2921f: 6fe0.*
+ 29220: 4bb6.*
+ 29221: 0c37.*
+ 29222: 6fe0.*
+ 29223: 4bb7.*
+ 29224: 0d37.*
+ 29225: 6fe0.*
+ 29226: 4bb8.*
+ 29227: 0c37.*
+ 29228: 6fe0.*
+ 29229: 4bb9.*
+ 2922a: 0e37.*
+ 2922b: 6fe0.*
+ 2922c: 4bba.*
+ 2922d: 0f37.*
+ 2922e: 6fe0.*
+ 2922f: 4bbb.*
+ 29230: 0f37.*
+ 29231: 6fe0.*
+ 29232: 4bbc.*
+ 29233: 0c38.*
+ 29234: 6fe0.*
+ 29235: 4bbd.*
+ 29236: 0d38.*
+ 29237: 6fe0.*
+ 29238: 4bbe.*
+ 29239: 0c38.*
+ 2923a: 6fe0.*
+ 2923b: 4bbf.*
+ 2923c: 0e38.*
+ 2923d: 6fe0.*
+ 2923e: 4bc0.*
+ 2923f: 0f38.*
+ 29240: 6fe0.*
+ 29241: 4bc1.*
+ 29242: 0f38.*
+ 29243: 6fe0.*
+ 29244: 4bc2.*
+ 29245: 0c39.*
+ 29246: 6fe0.*
+ 29247: 4bc3.*
+ 29248: 0d39.*
+ 29249: 6fe0.*
+ 2924a: 4bc4.*
+ 2924b: 0c39.*
+ 2924c: 6fe0.*
+ 2924d: 4bc5.*
+ 2924e: 0e39.*
+ 2924f: 6fe0.*
+ 29250: 4bc6.*
+ 29251: 0f39.*
+ 29252: 6fe0.*
+ 29253: 4bc7.*
+ 29254: 0f39.*
+ 29255: 6fe0.*
+ 29256: 4bc8.*
+ 29257: 0c3a.*
+ 29258: 6fe0.*
+ 29259: 4bc9.*
+ 2925a: 0d3a.*
+ 2925b: 6fe0.*
+ 2925c: 4bca.*
+ 2925d: 0c3a.*
+ 2925e: 6fe0.*
+ 2925f: 4bcb.*
+ 29260: 0e3a.*
+ 29261: 6fe0.*
+ 29262: 4bcc.*
+ 29263: 0f3a.*
+ 29264: 6fe0.*
+ 29265: 4bcd.*
+ 29266: 0f3a.*
+ 29267: 6fe0.*
+ 29268: 4bce.*
+ 29269: 0c3b.*
+ 2926a: 6fe0.*
+ 2926b: 4bcf.*
+ 2926c: 0d3b.*
+ 2926d: 6fe0.*
+ 2926e: 4bd0.*
+ 2926f: 0c3b.*
+ 29270: 6fe0.*
+ 29271: 4bd1.*
+ 29272: 0e3b.*
+ 29273: 6fe0.*
+ 29274: 4bd2.*
+ 29275: 0f3b.*
+ 29276: 6fe0.*
+ 29277: 4bd3.*
+ 29278: 0f3b.*
+ 29279: 6fe0.*
+ 2927a: 4bd4.*
+ 2927b: 0c3c.*
+ 2927c: 6fe0.*
+ 2927d: 4bd5.*
+ 2927e: 0d3c.*
+ 2927f: 6fe0.*
+ 29280: 4bd6.*
+ 29281: 0c3c.*
+ 29282: 6fe0.*
+ 29283: 4bd7.*
+ 29284: 0e3c.*
+ 29285: 6fe0.*
+ 29286: 4bd8.*
+ 29287: 0f3c.*
+ 29288: 6fe0.*
+ 29289: 4bd9.*
+ 2928a: 0f3c.*
+ 2928b: 6fe0.*
+ 2928c: 4bda.*
+ 2928d: 0c3d.*
+ 2928e: 6fe0.*
+ 2928f: 4bdb.*
+ 29290: 0d3d.*
+ 29291: 6fe0.*
+ 29292: 4bdc.*
+ 29293: 0c3d.*
+ 29294: 6fe0.*
+ 29295: 4bdd.*
+ 29296: 0e3d.*
+ 29297: 6fe0.*
+ 29298: 4bde.*
+ 29299: 0f3d.*
+ 2929a: 6fe0.*
+ 2929b: 4bdf.*
+ 2929c: 0f3d.*
+ 2929d: 6fe0.*
+ 2929e: 4be0.*
+ 2929f: 0c3e.*
+ 292a0: 6fe0.*
+ 292a1: 4be1.*
+ 292a2: 0d3e.*
+ 292a3: 6fe0.*
+ 292a4: 4be2.*
+ 292a5: 0c3e.*
+ 292a6: 6fe0.*
+ 292a7: 4be3.*
+ 292a8: 0e3e.*
+ 292a9: 6fe0.*
+ 292aa: 4be4.*
+ 292ab: 0f3e.*
+ 292ac: 6fe0.*
+ 292ad: 4be5.*
+ 292ae: 0f3e.*
+ 292af: 6fe0.*
+ 292b0: 4be6.*
+ 292b1: 0c3f.*
+ 292b2: 6fe0.*
+ 292b3: 4be7.*
+ 292b4: 0d3f.*
+ 292b5: 6fe0.*
+ 292b6: 4be8.*
+ 292b7: 0c3f.*
+ 292b8: 6fe0.*
+ 292b9: 4be9.*
+ 292ba: 0e3f.*
+ 292bb: 6fe0.*
+ 292bc: 4bea.*
+ 292bd: 0f3f.*
+ 292be: 6fe0.*
+ 292bf: 4beb.*
+ 292c0: 0f3f.*
+ 292c1: 08e0.*
+ 292c2: 4bec.*
+ 292c3: 6fe0.*
+ 292c4: 4bed.*
+ 292c5: 0d20.*
+ 292c6: 08e0.*
+ 292c7: 4bee.*
+ 292c8: 6fe0.*
+ 292c9: 4bef.*
+ 292ca: 0e20.*
+ 292cb: 09e0.*
+ 292cc: 4bf0.*
+ 292cd: 09e0.*
+ 292ce: 4bf1.*
+ 292cf: 6fe0.*
+ 292d0: 4bf2.*
+ 292d1: 0c21.*
+ 292d2: 6fe0.*
+ 292d3: 4bf3.*
+ 292d4: 0d21.*
+ 292d5: 6fe0.*
+ 292d6: 4bf4.*
+ 292d7: 0c21.*
+ 292d8: 6fe0.*
+ 292d9: 4bf5.*
+ 292da: 0e21.*
+ 292db: 6fe0.*
+ 292dc: 4bf6.*
+ 292dd: 0f21.*
+ 292de: 6fe0.*
+ 292df: 4bf7.*
+ 292e0: 0f21.*
+ 292e1: 6fe0.*
+ 292e2: 4bf8.*
+ 292e3: 0c22.*
+ 292e4: 6fe0.*
+ 292e5: 4bf9.*
+ 292e6: 0d22.*
+ 292e7: 6fe0.*
+ 292e8: 4bfa.*
+ 292e9: 0c22.*
+ 292ea: 6fe0.*
+ 292eb: 4bfb.*
+ 292ec: 0e22.*
+ 292ed: 6fe0.*
+ 292ee: 4bfc.*
+ 292ef: 0f22.*
+ 292f0: 6fe0.*
+ 292f1: 4bfd.*
+ 292f2: 0f22.*
+ 292f3: 6fe0.*
+ 292f4: 4bfe.*
+ 292f5: 0c23.*
+ 292f6: 6fe0.*
+ 292f7: 4bff.*
+ 292f8: 0d23.*
+ 292f9: 6fe0.*
+ 292fa: 4c00.*
+ 292fb: 0c23.*
+ 292fc: 6fe0.*
+ 292fd: 4c01.*
+ 292fe: 0e23.*
+ 292ff: 6fe0.*
+ 29300: 4c02.*
+ 29301: 0f23.*
+ 29302: 6fe0.*
+ 29303: 4c03.*
+ 29304: 0f23.*
+ 29305: 6fe0.*
+ 29306: 4c04.*
+ 29307: 0c24.*
+ 29308: 6fe0.*
+ 29309: 4c05.*
+ 2930a: 0d24.*
+ 2930b: 6fe0.*
+ 2930c: 4c06.*
+ 2930d: 0c24.*
+ 2930e: 6fe0.*
+ 2930f: 4c07.*
+ 29310: 0e24.*
+ 29311: 6fe0.*
+ 29312: 4c08.*
+ 29313: 0f24.*
+ 29314: 6fe0.*
+ 29315: 4c09.*
+ 29316: 0f24.*
+ 29317: 6fe0.*
+ 29318: 4c0a.*
+ 29319: 0c25.*
+ 2931a: 6fe0.*
+ 2931b: 4c0b.*
+ 2931c: 0d25.*
+ 2931d: 6fe0.*
+ 2931e: 4c0c.*
+ 2931f: 0c25.*
+ 29320: 6fe0.*
+ 29321: 4c0d.*
+ 29322: 0e25.*
+ 29323: 6fe0.*
+ 29324: 4c0e.*
+ 29325: 0f25.*
+ 29326: 6fe0.*
+ 29327: 4c0f.*
+ 29328: 0f25.*
+ 29329: 6fe0.*
+ 2932a: 4c10.*
+ 2932b: 0c26.*
+ 2932c: 6fe0.*
+ 2932d: 4c11.*
+ 2932e: 0d26.*
+ 2932f: 6fe0.*
+ 29330: 4c12.*
+ 29331: 0c26.*
+ 29332: 6fe0.*
+ 29333: 4c13.*
+ 29334: 0e26.*
+ 29335: 6fe0.*
+ 29336: 4c14.*
+ 29337: 0f26.*
+ 29338: 6fe0.*
+ 29339: 4c15.*
+ 2933a: 0f26.*
+ 2933b: 6fe0.*
+ 2933c: 4c16.*
+ 2933d: 0c27.*
+ 2933e: 6fe0.*
+ 2933f: 4c17.*
+ 29340: 0d27.*
+ 29341: 6fe0.*
+ 29342: 4c18.*
+ 29343: 0c27.*
+ 29344: 6fe0.*
+ 29345: 4c19.*
+ 29346: 0e27.*
+ 29347: 6fe0.*
+ 29348: 4c1a.*
+ 29349: 0f27.*
+ 2934a: 6fe0.*
+ 2934b: 4c1b.*
+ 2934c: 0f27.*
+ 2934d: 6fe0.*
+ 2934e: 4c1c.*
+ 2934f: 0c28.*
+ 29350: 6fe0.*
+ 29351: 4c1d.*
+ 29352: 0d28.*
+ 29353: 6fe0.*
+ 29354: 4c1e.*
+ 29355: 0c28.*
+ 29356: 6fe0.*
+ 29357: 4c1f.*
+ 29358: 0e28.*
+ 29359: 6fe0.*
+ 2935a: 4c20.*
+ 2935b: 0f28.*
+ 2935c: 6fe0.*
+ 2935d: 4c21.*
+ 2935e: 0f28.*
+ 2935f: 6fe0.*
+ 29360: 4c22.*
+ 29361: 0c29.*
+ 29362: 6fe0.*
+ 29363: 4c23.*
+ 29364: 0d29.*
+ 29365: 6fe0.*
+ 29366: 4c24.*
+ 29367: 0c29.*
+ 29368: 6fe0.*
+ 29369: 4c25.*
+ 2936a: 0e29.*
+ 2936b: 6fe0.*
+ 2936c: 4c26.*
+ 2936d: 0f29.*
+ 2936e: 6fe0.*
+ 2936f: 4c27.*
+ 29370: 0f29.*
+ 29371: 6fe0.*
+ 29372: 4c28.*
+ 29373: 0c2a.*
+ 29374: 6fe0.*
+ 29375: 4c29.*
+ 29376: 0d2a.*
+ 29377: 6fe0.*
+ 29378: 4c2a.*
+ 29379: 0c2a.*
+ 2937a: 6fe0.*
+ 2937b: 4c2b.*
+ 2937c: 0e2a.*
+ 2937d: 6fe0.*
+ 2937e: 4c2c.*
+ 2937f: 0f2a.*
+ 29380: 6fe0.*
+ 29381: 4c2d.*
+ 29382: 0f2a.*
+ 29383: 6fe0.*
+ 29384: 4c2e.*
+ 29385: 0c2b.*
+ 29386: 6fe0.*
+ 29387: 4c2f.*
+ 29388: 0d2b.*
+ 29389: 6fe0.*
+ 2938a: 4c30.*
+ 2938b: 0c2b.*
+ 2938c: 6fe0.*
+ 2938d: 4c31.*
+ 2938e: 0e2b.*
+ 2938f: 6fe0.*
+ 29390: 4c32.*
+ 29391: 0f2b.*
+ 29392: 6fe0.*
+ 29393: 4c33.*
+ 29394: 0f2b.*
+ 29395: 6fe0.*
+ 29396: 4c34.*
+ 29397: 0c2c.*
+ 29398: 6fe0.*
+ 29399: 4c35.*
+ 2939a: 0d2c.*
+ 2939b: 6fe0.*
+ 2939c: 4c36.*
+ 2939d: 0c2c.*
+ 2939e: 6fe0.*
+ 2939f: 4c37.*
+ 293a0: 0e2c.*
+ 293a1: 6fe0.*
+ 293a2: 4c38.*
+ 293a3: 0f2c.*
+ 293a4: 6fe0.*
+ 293a5: 4c39.*
+ 293a6: 0f2c.*
+ 293a7: 6fe0.*
+ 293a8: 4c3a.*
+ 293a9: 0c2d.*
+ 293aa: 6fe0.*
+ 293ab: 4c3b.*
+ 293ac: 0d2d.*
+ 293ad: 6fe0.*
+ 293ae: 4c3c.*
+ 293af: 0c2d.*
+ 293b0: 6fe0.*
+ 293b1: 4c3d.*
+ 293b2: 0e2d.*
+ 293b3: 6fe0.*
+ 293b4: 4c3e.*
+ 293b5: 0f2d.*
+ 293b6: 6fe0.*
+ 293b7: 4c3f.*
+ 293b8: 0f2d.*
+ 293b9: 6fe0.*
+ 293ba: 4c40.*
+ 293bb: 0c2e.*
+ 293bc: 6fe0.*
+ 293bd: 4c41.*
+ 293be: 0d2e.*
+ 293bf: 6fe0.*
+ 293c0: 4c42.*
+ 293c1: 0c2e.*
+ 293c2: 6fe0.*
+ 293c3: 4c43.*
+ 293c4: 0e2e.*
+ 293c5: 6fe0.*
+ 293c6: 4c44.*
+ 293c7: 0f2e.*
+ 293c8: 6fe0.*
+ 293c9: 4c45.*
+ 293ca: 0f2e.*
+ 293cb: 6fe0.*
+ 293cc: 4c46.*
+ 293cd: 0c2f.*
+ 293ce: 6fe0.*
+ 293cf: 4c47.*
+ 293d0: 0d2f.*
+ 293d1: 6fe0.*
+ 293d2: 4c48.*
+ 293d3: 0c2f.*
+ 293d4: 6fe0.*
+ 293d5: 4c49.*
+ 293d6: 0e2f.*
+ 293d7: 6fe0.*
+ 293d8: 4c4a.*
+ 293d9: 0f2f.*
+ 293da: 6fe0.*
+ 293db: 4c4b.*
+ 293dc: 0f2f.*
+ 293dd: 08e8.*
+ 293de: 4c4c.*
+ 293df: 6fe8.*
+ 293e0: 4c4d.*
+ 293e1: 0d20.*
+ 293e2: 08e8.*
+ 293e3: 4c4e.*
+ 293e4: 6fe8.*
+ 293e5: 4c4f.*
+ 293e6: 0e20.*
+ 293e7: 09e8.*
+ 293e8: 4c50.*
+ 293e9: 09e8.*
+ 293ea: 4c51.*
+ 293eb: 6fe8.*
+ 293ec: 4c52.*
+ 293ed: 0c30.*
+ 293ee: 6fe8.*
+ 293ef: 4c53.*
+ 293f0: 0d30.*
+ 293f1: 6fe8.*
+ 293f2: 4c54.*
+ 293f3: 0c30.*
+ 293f4: 6fe8.*
+ 293f5: 4c55.*
+ 293f6: 0e30.*
+ 293f7: 6fe8.*
+ 293f8: 4c56.*
+ 293f9: 0f30.*
+ 293fa: 6fe8.*
+ 293fb: 4c57.*
+ 293fc: 0f30.*
+ 293fd: 6fe8.*
+ 293fe: 4c58.*
+ 293ff: 0c31.*
+ 29400: 6fe8.*
+ 29401: 4c59.*
+ 29402: 0d31.*
+ 29403: 6fe8.*
+ 29404: 4c5a.*
+ 29405: 0c31.*
+ 29406: 6fe8.*
+ 29407: 4c5b.*
+ 29408: 0e31.*
+ 29409: 6fe8.*
+ 2940a: 4c5c.*
+ 2940b: 0f31.*
+ 2940c: 6fe8.*
+ 2940d: 4c5d.*
+ 2940e: 0f31.*
+ 2940f: 6fe8.*
+ 29410: 4c5e.*
+ 29411: 0c32.*
+ 29412: 6fe8.*
+ 29413: 4c5f.*
+ 29414: 0d32.*
+ 29415: 6fe8.*
+ 29416: 4c60.*
+ 29417: 0c32.*
+ 29418: 6fe8.*
+ 29419: 4c61.*
+ 2941a: 0e32.*
+ 2941b: 6fe8.*
+ 2941c: 4c62.*
+ 2941d: 0f32.*
+ 2941e: 6fe8.*
+ 2941f: 4c63.*
+ 29420: 0f32.*
+ 29421: 6fe8.*
+ 29422: 4c64.*
+ 29423: 0c33.*
+ 29424: 6fe8.*
+ 29425: 4c65.*
+ 29426: 0d33.*
+ 29427: 6fe8.*
+ 29428: 4c66.*
+ 29429: 0c33.*
+ 2942a: 6fe8.*
+ 2942b: 4c67.*
+ 2942c: 0e33.*
+ 2942d: 6fe8.*
+ 2942e: 4c68.*
+ 2942f: 0f33.*
+ 29430: 6fe8.*
+ 29431: 4c69.*
+ 29432: 0f33.*
+ 29433: 6fe8.*
+ 29434: 4c6a.*
+ 29435: 0c34.*
+ 29436: 6fe8.*
+ 29437: 4c6b.*
+ 29438: 0d34.*
+ 29439: 6fe8.*
+ 2943a: 4c6c.*
+ 2943b: 0c34.*
+ 2943c: 6fe8.*
+ 2943d: 4c6d.*
+ 2943e: 0e34.*
+ 2943f: 6fe8.*
+ 29440: 4c6e.*
+ 29441: 0f34.*
+ 29442: 6fe8.*
+ 29443: 4c6f.*
+ 29444: 0f34.*
+ 29445: 6fe8.*
+ 29446: 4c70.*
+ 29447: 0c35.*
+ 29448: 6fe8.*
+ 29449: 4c71.*
+ 2944a: 0d35.*
+ 2944b: 6fe8.*
+ 2944c: 4c72.*
+ 2944d: 0c35.*
+ 2944e: 6fe8.*
+ 2944f: 4c73.*
+ 29450: 0e35.*
+ 29451: 6fe8.*
+ 29452: 4c74.*
+ 29453: 0f35.*
+ 29454: 6fe8.*
+ 29455: 4c75.*
+ 29456: 0f35.*
+ 29457: 6fe8.*
+ 29458: 4c76.*
+ 29459: 0c36.*
+ 2945a: 6fe8.*
+ 2945b: 4c77.*
+ 2945c: 0d36.*
+ 2945d: 6fe8.*
+ 2945e: 4c78.*
+ 2945f: 0c36.*
+ 29460: 6fe8.*
+ 29461: 4c79.*
+ 29462: 0e36.*
+ 29463: 6fe8.*
+ 29464: 4c7a.*
+ 29465: 0f36.*
+ 29466: 6fe8.*
+ 29467: 4c7b.*
+ 29468: 0f36.*
+ 29469: 6fe8.*
+ 2946a: 4c7c.*
+ 2946b: 0c37.*
+ 2946c: 6fe8.*
+ 2946d: 4c7d.*
+ 2946e: 0d37.*
+ 2946f: 6fe8.*
+ 29470: 4c7e.*
+ 29471: 0c37.*
+ 29472: 6fe8.*
+ 29473: 4c7f.*
+ 29474: 0e37.*
+ 29475: 6fe8.*
+ 29476: 4c80.*
+ 29477: 0f37.*
+ 29478: 6fe8.*
+ 29479: 4c81.*
+ 2947a: 0f37.*
+ 2947b: 6fe8.*
+ 2947c: 4c82.*
+ 2947d: 0c38.*
+ 2947e: 6fe8.*
+ 2947f: 4c83.*
+ 29480: 0d38.*
+ 29481: 6fe8.*
+ 29482: 4c84.*
+ 29483: 0c38.*
+ 29484: 6fe8.*
+ 29485: 4c85.*
+ 29486: 0e38.*
+ 29487: 6fe8.*
+ 29488: 4c86.*
+ 29489: 0f38.*
+ 2948a: 6fe8.*
+ 2948b: 4c87.*
+ 2948c: 0f38.*
+ 2948d: 6fe8.*
+ 2948e: 4c88.*
+ 2948f: 0c39.*
+ 29490: 6fe8.*
+ 29491: 4c89.*
+ 29492: 0d39.*
+ 29493: 6fe8.*
+ 29494: 4c8a.*
+ 29495: 0c39.*
+ 29496: 6fe8.*
+ 29497: 4c8b.*
+ 29498: 0e39.*
+ 29499: 6fe8.*
+ 2949a: 4c8c.*
+ 2949b: 0f39.*
+ 2949c: 6fe8.*
+ 2949d: 4c8d.*
+ 2949e: 0f39.*
+ 2949f: 6fe8.*
+ 294a0: 4c8e.*
+ 294a1: 0c3a.*
+ 294a2: 6fe8.*
+ 294a3: 4c8f.*
+ 294a4: 0d3a.*
+ 294a5: 6fe8.*
+ 294a6: 4c90.*
+ 294a7: 0c3a.*
+ 294a8: 6fe8.*
+ 294a9: 4c91.*
+ 294aa: 0e3a.*
+ 294ab: 6fe8.*
+ 294ac: 4c92.*
+ 294ad: 0f3a.*
+ 294ae: 6fe8.*
+ 294af: 4c93.*
+ 294b0: 0f3a.*
+ 294b1: 6fe8.*
+ 294b2: 4c94.*
+ 294b3: 0c3b.*
+ 294b4: 6fe8.*
+ 294b5: 4c95.*
+ 294b6: 0d3b.*
+ 294b7: 6fe8.*
+ 294b8: 4c96.*
+ 294b9: 0c3b.*
+ 294ba: 6fe8.*
+ 294bb: 4c97.*
+ 294bc: 0e3b.*
+ 294bd: 6fe8.*
+ 294be: 4c98.*
+ 294bf: 0f3b.*
+ 294c0: 6fe8.*
+ 294c1: 4c99.*
+ 294c2: 0f3b.*
+ 294c3: 6fe8.*
+ 294c4: 4c9a.*
+ 294c5: 0c3c.*
+ 294c6: 6fe8.*
+ 294c7: 4c9b.*
+ 294c8: 0d3c.*
+ 294c9: 6fe8.*
+ 294ca: 4c9c.*
+ 294cb: 0c3c.*
+ 294cc: 6fe8.*
+ 294cd: 4c9d.*
+ 294ce: 0e3c.*
+ 294cf: 6fe8.*
+ 294d0: 4c9e.*
+ 294d1: 0f3c.*
+ 294d2: 6fe8.*
+ 294d3: 4c9f.*
+ 294d4: 0f3c.*
+ 294d5: 6fe8.*
+ 294d6: 4ca0.*
+ 294d7: 0c3d.*
+ 294d8: 6fe8.*
+ 294d9: 4ca1.*
+ 294da: 0d3d.*
+ 294db: 6fe8.*
+ 294dc: 4ca2.*
+ 294dd: 0c3d.*
+ 294de: 6fe8.*
+ 294df: 4ca3.*
+ 294e0: 0e3d.*
+ 294e1: 6fe8.*
+ 294e2: 4ca4.*
+ 294e3: 0f3d.*
+ 294e4: 6fe8.*
+ 294e5: 4ca5.*
+ 294e6: 0f3d.*
+ 294e7: 6fe8.*
+ 294e8: 4ca6.*
+ 294e9: 0c3e.*
+ 294ea: 6fe8.*
+ 294eb: 4ca7.*
+ 294ec: 0d3e.*
+ 294ed: 6fe8.*
+ 294ee: 4ca8.*
+ 294ef: 0c3e.*
+ 294f0: 6fe8.*
+ 294f1: 4ca9.*
+ 294f2: 0e3e.*
+ 294f3: 6fe8.*
+ 294f4: 4caa.*
+ 294f5: 0f3e.*
+ 294f6: 6fe8.*
+ 294f7: 4cab.*
+ 294f8: 0f3e.*
+ 294f9: 6fe8.*
+ 294fa: 4cac.*
+ 294fb: 0c3f.*
+ 294fc: 6fe8.*
+ 294fd: 4cad.*
+ 294fe: 0d3f.*
+ 294ff: 6fe8.*
+ 29500: 4cae.*
+ 29501: 0c3f.*
+ 29502: 6fe8.*
+ 29503: 4caf.*
+ 29504: 0e3f.*
+ 29505: 6fe8.*
+ 29506: 4cb0.*
+ 29507: 0f3f.*
+ 29508: 6fe8.*
+ 29509: 4cb1.*
+ 2950a: 0f3f.*
+ 2950b: 08e8.*
+ 2950c: 4cb2.*
+ 2950d: 6fe8.*
+ 2950e: 4cb3.*
+ 2950f: 0d20.*
+ 29510: 08e8.*
+ 29511: 4cb4.*
+ 29512: 6fe8.*
+ 29513: 4cb5.*
+ 29514: 0e20.*
+ 29515: 09e8.*
+ 29516: 4cb6.*
+ 29517: 09e8.*
+ 29518: 4cb7.*
+ 29519: 6fe8.*
+ 2951a: 4cb8.*
+ 2951b: 0c21.*
+ 2951c: 6fe8.*
+ 2951d: 4cb9.*
+ 2951e: 0d21.*
+ 2951f: 6fe8.*
+ 29520: 4cba.*
+ 29521: 0c21.*
+ 29522: 6fe8.*
+ 29523: 4cbb.*
+ 29524: 0e21.*
+ 29525: 6fe8.*
+ 29526: 4cbc.*
+ 29527: 0f21.*
+ 29528: 6fe8.*
+ 29529: 4cbd.*
+ 2952a: 0f21.*
+ 2952b: 6fe8.*
+ 2952c: 4cbe.*
+ 2952d: 0c22.*
+ 2952e: 6fe8.*
+ 2952f: 4cbf.*
+ 29530: 0d22.*
+ 29531: 6fe8.*
+ 29532: 4cc0.*
+ 29533: 0c22.*
+ 29534: 6fe8.*
+ 29535: 4cc1.*
+ 29536: 0e22.*
+ 29537: 6fe8.*
+ 29538: 4cc2.*
+ 29539: 0f22.*
+ 2953a: 6fe8.*
+ 2953b: 4cc3.*
+ 2953c: 0f22.*
+ 2953d: 6fe8.*
+ 2953e: 4cc4.*
+ 2953f: 0c23.*
+ 29540: 6fe8.*
+ 29541: 4cc5.*
+ 29542: 0d23.*
+ 29543: 6fe8.*
+ 29544: 4cc6.*
+ 29545: 0c23.*
+ 29546: 6fe8.*
+ 29547: 4cc7.*
+ 29548: 0e23.*
+ 29549: 6fe8.*
+ 2954a: 4cc8.*
+ 2954b: 0f23.*
+ 2954c: 6fe8.*
+ 2954d: 4cc9.*
+ 2954e: 0f23.*
+ 2954f: 6fe8.*
+ 29550: 4cca.*
+ 29551: 0c24.*
+ 29552: 6fe8.*
+ 29553: 4ccb.*
+ 29554: 0d24.*
+ 29555: 6fe8.*
+ 29556: 4ccc.*
+ 29557: 0c24.*
+ 29558: 6fe8.*
+ 29559: 4ccd.*
+ 2955a: 0e24.*
+ 2955b: 6fe8.*
+ 2955c: 4cce.*
+ 2955d: 0f24.*
+ 2955e: 6fe8.*
+ 2955f: 4ccf.*
+ 29560: 0f24.*
+ 29561: 6fe8.*
+ 29562: 4cd0.*
+ 29563: 0c25.*
+ 29564: 6fe8.*
+ 29565: 4cd1.*
+ 29566: 0d25.*
+ 29567: 6fe8.*
+ 29568: 4cd2.*
+ 29569: 0c25.*
+ 2956a: 6fe8.*
+ 2956b: 4cd3.*
+ 2956c: 0e25.*
+ 2956d: 6fe8.*
+ 2956e: 4cd4.*
+ 2956f: 0f25.*
+ 29570: 6fe8.*
+ 29571: 4cd5.*
+ 29572: 0f25.*
+ 29573: 6fe8.*
+ 29574: 4cd6.*
+ 29575: 0c26.*
+ 29576: 6fe8.*
+ 29577: 4cd7.*
+ 29578: 0d26.*
+ 29579: 6fe8.*
+ 2957a: 4cd8.*
+ 2957b: 0c26.*
+ 2957c: 6fe8.*
+ 2957d: 4cd9.*
+ 2957e: 0e26.*
+ 2957f: 6fe8.*
+ 29580: 4cda.*
+ 29581: 0f26.*
+ 29582: 6fe8.*
+ 29583: 4cdb.*
+ 29584: 0f26.*
+ 29585: 6fe8.*
+ 29586: 4cdc.*
+ 29587: 0c27.*
+ 29588: 6fe8.*
+ 29589: 4cdd.*
+ 2958a: 0d27.*
+ 2958b: 6fe8.*
+ 2958c: 4cde.*
+ 2958d: 0c27.*
+ 2958e: 6fe8.*
+ 2958f: 4cdf.*
+ 29590: 0e27.*
+ 29591: 6fe8.*
+ 29592: 4ce0.*
+ 29593: 0f27.*
+ 29594: 6fe8.*
+ 29595: 4ce1.*
+ 29596: 0f27.*
+ 29597: 6fe8.*
+ 29598: 4ce2.*
+ 29599: 0c28.*
+ 2959a: 6fe8.*
+ 2959b: 4ce3.*
+ 2959c: 0d28.*
+ 2959d: 6fe8.*
+ 2959e: 4ce4.*
+ 2959f: 0c28.*
+ 295a0: 6fe8.*
+ 295a1: 4ce5.*
+ 295a2: 0e28.*
+ 295a3: 6fe8.*
+ 295a4: 4ce6.*
+ 295a5: 0f28.*
+ 295a6: 6fe8.*
+ 295a7: 4ce7.*
+ 295a8: 0f28.*
+ 295a9: 6fe8.*
+ 295aa: 4ce8.*
+ 295ab: 0c29.*
+ 295ac: 6fe8.*
+ 295ad: 4ce9.*
+ 295ae: 0d29.*
+ 295af: 6fe8.*
+ 295b0: 4cea.*
+ 295b1: 0c29.*
+ 295b2: 6fe8.*
+ 295b3: 4ceb.*
+ 295b4: 0e29.*
+ 295b5: 6fe8.*
+ 295b6: 4cec.*
+ 295b7: 0f29.*
+ 295b8: 6fe8.*
+ 295b9: 4ced.*
+ 295ba: 0f29.*
+ 295bb: 6fe8.*
+ 295bc: 4cee.*
+ 295bd: 0c2a.*
+ 295be: 6fe8.*
+ 295bf: 4cef.*
+ 295c0: 0d2a.*
+ 295c1: 6fe8.*
+ 295c2: 4cf0.*
+ 295c3: 0c2a.*
+ 295c4: 6fe8.*
+ 295c5: 4cf1.*
+ 295c6: 0e2a.*
+ 295c7: 6fe8.*
+ 295c8: 4cf2.*
+ 295c9: 0f2a.*
+ 295ca: 6fe8.*
+ 295cb: 4cf3.*
+ 295cc: 0f2a.*
+ 295cd: 6fe8.*
+ 295ce: 4cf4.*
+ 295cf: 0c2b.*
+ 295d0: 6fe8.*
+ 295d1: 4cf5.*
+ 295d2: 0d2b.*
+ 295d3: 6fe8.*
+ 295d4: 4cf6.*
+ 295d5: 0c2b.*
+ 295d6: 6fe8.*
+ 295d7: 4cf7.*
+ 295d8: 0e2b.*
+ 295d9: 6fe8.*
+ 295da: 4cf8.*
+ 295db: 0f2b.*
+ 295dc: 6fe8.*
+ 295dd: 4cf9.*
+ 295de: 0f2b.*
+ 295df: 6fe8.*
+ 295e0: 4cfa.*
+ 295e1: 0c2c.*
+ 295e2: 6fe8.*
+ 295e3: 4cfb.*
+ 295e4: 0d2c.*
+ 295e5: 6fe8.*
+ 295e6: 4cfc.*
+ 295e7: 0c2c.*
+ 295e8: 6fe8.*
+ 295e9: 4cfd.*
+ 295ea: 0e2c.*
+ 295eb: 6fe8.*
+ 295ec: 4cfe.*
+ 295ed: 0f2c.*
+ 295ee: 6fe8.*
+ 295ef: 4cff.*
+ 295f0: 0f2c.*
+ 295f1: 6fe8.*
+ 295f2: 4d00.*
+ 295f3: 0c2d.*
+ 295f4: 6fe8.*
+ 295f5: 4d01.*
+ 295f6: 0d2d.*
+ 295f7: 6fe8.*
+ 295f8: 4d02.*
+ 295f9: 0c2d.*
+ 295fa: 6fe8.*
+ 295fb: 4d03.*
+ 295fc: 0e2d.*
+ 295fd: 6fe8.*
+ 295fe: 4d04.*
+ 295ff: 0f2d.*
+ 29600: 6fe8.*
+ 29601: 4d05.*
+ 29602: 0f2d.*
+ 29603: 6fe8.*
+ 29604: 4d06.*
+ 29605: 0c2e.*
+ 29606: 6fe8.*
+ 29607: 4d07.*
+ 29608: 0d2e.*
+ 29609: 6fe8.*
+ 2960a: 4d08.*
+ 2960b: 0c2e.*
+ 2960c: 6fe8.*
+ 2960d: 4d09.*
+ 2960e: 0e2e.*
+ 2960f: 6fe8.*
+ 29610: 4d0a.*
+ 29611: 0f2e.*
+ 29612: 6fe8.*
+ 29613: 4d0b.*
+ 29614: 0f2e.*
+ 29615: 6fe8.*
+ 29616: 4d0c.*
+ 29617: 0c2f.*
+ 29618: 6fe8.*
+ 29619: 4d0d.*
+ 2961a: 0d2f.*
+ 2961b: 6fe8.*
+ 2961c: 4d0e.*
+ 2961d: 0c2f.*
+ 2961e: 6fe8.*
+ 2961f: 4d0f.*
+ 29620: 0e2f.*
+ 29621: 6fe8.*
+ 29622: 4d10.*
+ 29623: 0f2f.*
+ 29624: 6fe8.*
+ 29625: 4d11.*
+ 29626: 0f2f.*
+ 29627: 08f0.*
+ 29628: 4d12.*
+ 29629: 6ff0.*
+ 2962a: 4d13.*
+ 2962b: 0d20.*
+ 2962c: 08f0.*
+ 2962d: 4d14.*
+ 2962e: 6ff0.*
+ 2962f: 4d15.*
+ 29630: 0e20.*
+ 29631: 09f0.*
+ 29632: 4d16.*
+ 29633: 09f0.*
+ 29634: 4d17.*
+ 29635: 6ff0.*
+ 29636: 4d18.*
+ 29637: 0c30.*
+ 29638: 6ff0.*
+ 29639: 4d19.*
+ 2963a: 0d30.*
+ 2963b: 6ff0.*
+ 2963c: 4d1a.*
+ 2963d: 0c30.*
+ 2963e: 6ff0.*
+ 2963f: 4d1b.*
+ 29640: 0e30.*
+ 29641: 6ff0.*
+ 29642: 4d1c.*
+ 29643: 0f30.*
+ 29644: 6ff0.*
+ 29645: 4d1d.*
+ 29646: 0f30.*
+ 29647: 6ff0.*
+ 29648: 4d1e.*
+ 29649: 0c31.*
+ 2964a: 6ff0.*
+ 2964b: 4d1f.*
+ 2964c: 0d31.*
+ 2964d: 6ff0.*
+ 2964e: 4d20.*
+ 2964f: 0c31.*
+ 29650: 6ff0.*
+ 29651: 4d21.*
+ 29652: 0e31.*
+ 29653: 6ff0.*
+ 29654: 4d22.*
+ 29655: 0f31.*
+ 29656: 6ff0.*
+ 29657: 4d23.*
+ 29658: 0f31.*
+ 29659: 6ff0.*
+ 2965a: 4d24.*
+ 2965b: 0c32.*
+ 2965c: 6ff0.*
+ 2965d: 4d25.*
+ 2965e: 0d32.*
+ 2965f: 6ff0.*
+ 29660: 4d26.*
+ 29661: 0c32.*
+ 29662: 6ff0.*
+ 29663: 4d27.*
+ 29664: 0e32.*
+ 29665: 6ff0.*
+ 29666: 4d28.*
+ 29667: 0f32.*
+ 29668: 6ff0.*
+ 29669: 4d29.*
+ 2966a: 0f32.*
+ 2966b: 6ff0.*
+ 2966c: 4d2a.*
+ 2966d: 0c33.*
+ 2966e: 6ff0.*
+ 2966f: 4d2b.*
+ 29670: 0d33.*
+ 29671: 6ff0.*
+ 29672: 4d2c.*
+ 29673: 0c33.*
+ 29674: 6ff0.*
+ 29675: 4d2d.*
+ 29676: 0e33.*
+ 29677: 6ff0.*
+ 29678: 4d2e.*
+ 29679: 0f33.*
+ 2967a: 6ff0.*
+ 2967b: 4d2f.*
+ 2967c: 0f33.*
+ 2967d: 6ff0.*
+ 2967e: 4d30.*
+ 2967f: 0c34.*
+ 29680: 6ff0.*
+ 29681: 4d31.*
+ 29682: 0d34.*
+ 29683: 6ff0.*
+ 29684: 4d32.*
+ 29685: 0c34.*
+ 29686: 6ff0.*
+ 29687: 4d33.*
+ 29688: 0e34.*
+ 29689: 6ff0.*
+ 2968a: 4d34.*
+ 2968b: 0f34.*
+ 2968c: 6ff0.*
+ 2968d: 4d35.*
+ 2968e: 0f34.*
+ 2968f: 6ff0.*
+ 29690: 4d36.*
+ 29691: 0c35.*
+ 29692: 6ff0.*
+ 29693: 4d37.*
+ 29694: 0d35.*
+ 29695: 6ff0.*
+ 29696: 4d38.*
+ 29697: 0c35.*
+ 29698: 6ff0.*
+ 29699: 4d39.*
+ 2969a: 0e35.*
+ 2969b: 6ff0.*
+ 2969c: 4d3a.*
+ 2969d: 0f35.*
+ 2969e: 6ff0.*
+ 2969f: 4d3b.*
+ 296a0: 0f35.*
+ 296a1: 6ff0.*
+ 296a2: 4d3c.*
+ 296a3: 0c36.*
+ 296a4: 6ff0.*
+ 296a5: 4d3d.*
+ 296a6: 0d36.*
+ 296a7: 6ff0.*
+ 296a8: 4d3e.*
+ 296a9: 0c36.*
+ 296aa: 6ff0.*
+ 296ab: 4d3f.*
+ 296ac: 0e36.*
+ 296ad: 6ff0.*
+ 296ae: 4d40.*
+ 296af: 0f36.*
+ 296b0: 6ff0.*
+ 296b1: 4d41.*
+ 296b2: 0f36.*
+ 296b3: 6ff0.*
+ 296b4: 4d42.*
+ 296b5: 0c37.*
+ 296b6: 6ff0.*
+ 296b7: 4d43.*
+ 296b8: 0d37.*
+ 296b9: 6ff0.*
+ 296ba: 4d44.*
+ 296bb: 0c37.*
+ 296bc: 6ff0.*
+ 296bd: 4d45.*
+ 296be: 0e37.*
+ 296bf: 6ff0.*
+ 296c0: 4d46.*
+ 296c1: 0f37.*
+ 296c2: 6ff0.*
+ 296c3: 4d47.*
+ 296c4: 0f37.*
+ 296c5: 6ff0.*
+ 296c6: 4d48.*
+ 296c7: 0c38.*
+ 296c8: 6ff0.*
+ 296c9: 4d49.*
+ 296ca: 0d38.*
+ 296cb: 6ff0.*
+ 296cc: 4d4a.*
+ 296cd: 0c38.*
+ 296ce: 6ff0.*
+ 296cf: 4d4b.*
+ 296d0: 0e38.*
+ 296d1: 6ff0.*
+ 296d2: 4d4c.*
+ 296d3: 0f38.*
+ 296d4: 6ff0.*
+ 296d5: 4d4d.*
+ 296d6: 0f38.*
+ 296d7: 6ff0.*
+ 296d8: 4d4e.*
+ 296d9: 0c39.*
+ 296da: 6ff0.*
+ 296db: 4d4f.*
+ 296dc: 0d39.*
+ 296dd: 6ff0.*
+ 296de: 4d50.*
+ 296df: 0c39.*
+ 296e0: 6ff0.*
+ 296e1: 4d51.*
+ 296e2: 0e39.*
+ 296e3: 6ff0.*
+ 296e4: 4d52.*
+ 296e5: 0f39.*
+ 296e6: 6ff0.*
+ 296e7: 4d53.*
+ 296e8: 0f39.*
+ 296e9: 6ff0.*
+ 296ea: 4d54.*
+ 296eb: 0c3a.*
+ 296ec: 6ff0.*
+ 296ed: 4d55.*
+ 296ee: 0d3a.*
+ 296ef: 6ff0.*
+ 296f0: 4d56.*
+ 296f1: 0c3a.*
+ 296f2: 6ff0.*
+ 296f3: 4d57.*
+ 296f4: 0e3a.*
+ 296f5: 6ff0.*
+ 296f6: 4d58.*
+ 296f7: 0f3a.*
+ 296f8: 6ff0.*
+ 296f9: 4d59.*
+ 296fa: 0f3a.*
+ 296fb: 6ff0.*
+ 296fc: 4d5a.*
+ 296fd: 0c3b.*
+ 296fe: 6ff0.*
+ 296ff: 4d5b.*
+ 29700: 0d3b.*
+ 29701: 6ff0.*
+ 29702: 4d5c.*
+ 29703: 0c3b.*
+ 29704: 6ff0.*
+ 29705: 4d5d.*
+ 29706: 0e3b.*
+ 29707: 6ff0.*
+ 29708: 4d5e.*
+ 29709: 0f3b.*
+ 2970a: 6ff0.*
+ 2970b: 4d5f.*
+ 2970c: 0f3b.*
+ 2970d: 6ff0.*
+ 2970e: 4d60.*
+ 2970f: 0c3c.*
+ 29710: 6ff0.*
+ 29711: 4d61.*
+ 29712: 0d3c.*
+ 29713: 6ff0.*
+ 29714: 4d62.*
+ 29715: 0c3c.*
+ 29716: 6ff0.*
+ 29717: 4d63.*
+ 29718: 0e3c.*
+ 29719: 6ff0.*
+ 2971a: 4d64.*
+ 2971b: 0f3c.*
+ 2971c: 6ff0.*
+ 2971d: 4d65.*
+ 2971e: 0f3c.*
+ 2971f: 6ff0.*
+ 29720: 4d66.*
+ 29721: 0c3d.*
+ 29722: 6ff0.*
+ 29723: 4d67.*
+ 29724: 0d3d.*
+ 29725: 6ff0.*
+ 29726: 4d68.*
+ 29727: 0c3d.*
+ 29728: 6ff0.*
+ 29729: 4d69.*
+ 2972a: 0e3d.*
+ 2972b: 6ff0.*
+ 2972c: 4d6a.*
+ 2972d: 0f3d.*
+ 2972e: 6ff0.*
+ 2972f: 4d6b.*
+ 29730: 0f3d.*
+ 29731: 6ff0.*
+ 29732: 4d6c.*
+ 29733: 0c3e.*
+ 29734: 6ff0.*
+ 29735: 4d6d.*
+ 29736: 0d3e.*
+ 29737: 6ff0.*
+ 29738: 4d6e.*
+ 29739: 0c3e.*
+ 2973a: 6ff0.*
+ 2973b: 4d6f.*
+ 2973c: 0e3e.*
+ 2973d: 6ff0.*
+ 2973e: 4d70.*
+ 2973f: 0f3e.*
+ 29740: 6ff0.*
+ 29741: 4d71.*
+ 29742: 0f3e.*
+ 29743: 6ff0.*
+ 29744: 4d72.*
+ 29745: 0c3f.*
+ 29746: 6ff0.*
+ 29747: 4d73.*
+ 29748: 0d3f.*
+ 29749: 6ff0.*
+ 2974a: 4d74.*
+ 2974b: 0c3f.*
+ 2974c: 6ff0.*
+ 2974d: 4d75.*
+ 2974e: 0e3f.*
+ 2974f: 6ff0.*
+ 29750: 4d76.*
+ 29751: 0f3f.*
+ 29752: 6ff0.*
+ 29753: 4d77.*
+ 29754: 0f3f.*
+ 29755: 08f0.*
+ 29756: 4d78.*
+ 29757: 6ff0.*
+ 29758: 4d79.*
+ 29759: 0d20.*
+ 2975a: 08f0.*
+ 2975b: 4d7a.*
+ 2975c: 6ff0.*
+ 2975d: 4d7b.*
+ 2975e: 0e20.*
+ 2975f: 09f0.*
+ 29760: 4d7c.*
+ 29761: 09f0.*
+ 29762: 4d7d.*
+ 29763: 6ff0.*
+ 29764: 4d7e.*
+ 29765: 0c21.*
+ 29766: 6ff0.*
+ 29767: 4d7f.*
+ 29768: 0d21.*
+ 29769: 6ff0.*
+ 2976a: 4d80.*
+ 2976b: 0c21.*
+ 2976c: 6ff0.*
+ 2976d: 4d81.*
+ 2976e: 0e21.*
+ 2976f: 6ff0.*
+ 29770: 4d82.*
+ 29771: 0f21.*
+ 29772: 6ff0.*
+ 29773: 4d83.*
+ 29774: 0f21.*
+ 29775: 6ff0.*
+ 29776: 4d84.*
+ 29777: 0c22.*
+ 29778: 6ff0.*
+ 29779: 4d85.*
+ 2977a: 0d22.*
+ 2977b: 6ff0.*
+ 2977c: 4d86.*
+ 2977d: 0c22.*
+ 2977e: 6ff0.*
+ 2977f: 4d87.*
+ 29780: 0e22.*
+ 29781: 6ff0.*
+ 29782: 4d88.*
+ 29783: 0f22.*
+ 29784: 6ff0.*
+ 29785: 4d89.*
+ 29786: 0f22.*
+ 29787: 6ff0.*
+ 29788: 4d8a.*
+ 29789: 0c23.*
+ 2978a: 6ff0.*
+ 2978b: 4d8b.*
+ 2978c: 0d23.*
+ 2978d: 6ff0.*
+ 2978e: 4d8c.*
+ 2978f: 0c23.*
+ 29790: 6ff0.*
+ 29791: 4d8d.*
+ 29792: 0e23.*
+ 29793: 6ff0.*
+ 29794: 4d8e.*
+ 29795: 0f23.*
+ 29796: 6ff0.*
+ 29797: 4d8f.*
+ 29798: 0f23.*
+ 29799: 6ff0.*
+ 2979a: 4d90.*
+ 2979b: 0c24.*
+ 2979c: 6ff0.*
+ 2979d: 4d91.*
+ 2979e: 0d24.*
+ 2979f: 6ff0.*
+ 297a0: 4d92.*
+ 297a1: 0c24.*
+ 297a2: 6ff0.*
+ 297a3: 4d93.*
+ 297a4: 0e24.*
+ 297a5: 6ff0.*
+ 297a6: 4d94.*
+ 297a7: 0f24.*
+ 297a8: 6ff0.*
+ 297a9: 4d95.*
+ 297aa: 0f24.*
+ 297ab: 6ff0.*
+ 297ac: 4d96.*
+ 297ad: 0c25.*
+ 297ae: 6ff0.*
+ 297af: 4d97.*
+ 297b0: 0d25.*
+ 297b1: 6ff0.*
+ 297b2: 4d98.*
+ 297b3: 0c25.*
+ 297b4: 6ff0.*
+ 297b5: 4d99.*
+ 297b6: 0e25.*
+ 297b7: 6ff0.*
+ 297b8: 4d9a.*
+ 297b9: 0f25.*
+ 297ba: 6ff0.*
+ 297bb: 4d9b.*
+ 297bc: 0f25.*
+ 297bd: 6ff0.*
+ 297be: 4d9c.*
+ 297bf: 0c26.*
+ 297c0: 6ff0.*
+ 297c1: 4d9d.*
+ 297c2: 0d26.*
+ 297c3: 6ff0.*
+ 297c4: 4d9e.*
+ 297c5: 0c26.*
+ 297c6: 6ff0.*
+ 297c7: 4d9f.*
+ 297c8: 0e26.*
+ 297c9: 6ff0.*
+ 297ca: 4da0.*
+ 297cb: 0f26.*
+ 297cc: 6ff0.*
+ 297cd: 4da1.*
+ 297ce: 0f26.*
+ 297cf: 6ff0.*
+ 297d0: 4da2.*
+ 297d1: 0c27.*
+ 297d2: 6ff0.*
+ 297d3: 4da3.*
+ 297d4: 0d27.*
+ 297d5: 6ff0.*
+ 297d6: 4da4.*
+ 297d7: 0c27.*
+ 297d8: 6ff0.*
+ 297d9: 4da5.*
+ 297da: 0e27.*
+ 297db: 6ff0.*
+ 297dc: 4da6.*
+ 297dd: 0f27.*
+ 297de: 6ff0.*
+ 297df: 4da7.*
+ 297e0: 0f27.*
+ 297e1: 6ff0.*
+ 297e2: 4da8.*
+ 297e3: 0c28.*
+ 297e4: 6ff0.*
+ 297e5: 4da9.*
+ 297e6: 0d28.*
+ 297e7: 6ff0.*
+ 297e8: 4daa.*
+ 297e9: 0c28.*
+ 297ea: 6ff0.*
+ 297eb: 4dab.*
+ 297ec: 0e28.*
+ 297ed: 6ff0.*
+ 297ee: 4dac.*
+ 297ef: 0f28.*
+ 297f0: 6ff0.*
+ 297f1: 4dad.*
+ 297f2: 0f28.*
+ 297f3: 6ff0.*
+ 297f4: 4dae.*
+ 297f5: 0c29.*
+ 297f6: 6ff0.*
+ 297f7: 4daf.*
+ 297f8: 0d29.*
+ 297f9: 6ff0.*
+ 297fa: 4db0.*
+ 297fb: 0c29.*
+ 297fc: 6ff0.*
+ 297fd: 4db1.*
+ 297fe: 0e29.*
+ 297ff: 6ff0.*
+ 29800: 4db2.*
+ 29801: 0f29.*
+ 29802: 6ff0.*
+ 29803: 4db3.*
+ 29804: 0f29.*
+ 29805: 6ff0.*
+ 29806: 4db4.*
+ 29807: 0c2a.*
+ 29808: 6ff0.*
+ 29809: 4db5.*
+ 2980a: 0d2a.*
+ 2980b: 6ff0.*
+ 2980c: 4db6.*
+ 2980d: 0c2a.*
+ 2980e: 6ff0.*
+ 2980f: 4db7.*
+ 29810: 0e2a.*
+ 29811: 6ff0.*
+ 29812: 4db8.*
+ 29813: 0f2a.*
+ 29814: 6ff0.*
+ 29815: 4db9.*
+ 29816: 0f2a.*
+ 29817: 6ff0.*
+ 29818: 4dba.*
+ 29819: 0c2b.*
+ 2981a: 6ff0.*
+ 2981b: 4dbb.*
+ 2981c: 0d2b.*
+ 2981d: 6ff0.*
+ 2981e: 4dbc.*
+ 2981f: 0c2b.*
+ 29820: 6ff0.*
+ 29821: 4dbd.*
+ 29822: 0e2b.*
+ 29823: 6ff0.*
+ 29824: 4dbe.*
+ 29825: 0f2b.*
+ 29826: 6ff0.*
+ 29827: 4dbf.*
+ 29828: 0f2b.*
+ 29829: 6ff0.*
+ 2982a: 4dc0.*
+ 2982b: 0c2c.*
+ 2982c: 6ff0.*
+ 2982d: 4dc1.*
+ 2982e: 0d2c.*
+ 2982f: 6ff0.*
+ 29830: 4dc2.*
+ 29831: 0c2c.*
+ 29832: 6ff0.*
+ 29833: 4dc3.*
+ 29834: 0e2c.*
+ 29835: 6ff0.*
+ 29836: 4dc4.*
+ 29837: 0f2c.*
+ 29838: 6ff0.*
+ 29839: 4dc5.*
+ 2983a: 0f2c.*
+ 2983b: 6ff0.*
+ 2983c: 4dc6.*
+ 2983d: 0c2d.*
+ 2983e: 6ff0.*
+ 2983f: 4dc7.*
+ 29840: 0d2d.*
+ 29841: 6ff0.*
+ 29842: 4dc8.*
+ 29843: 0c2d.*
+ 29844: 6ff0.*
+ 29845: 4dc9.*
+ 29846: 0e2d.*
+ 29847: 6ff0.*
+ 29848: 4dca.*
+ 29849: 0f2d.*
+ 2984a: 6ff0.*
+ 2984b: 4dcb.*
+ 2984c: 0f2d.*
+ 2984d: 6ff0.*
+ 2984e: 4dcc.*
+ 2984f: 0c2e.*
+ 29850: 6ff0.*
+ 29851: 4dcd.*
+ 29852: 0d2e.*
+ 29853: 6ff0.*
+ 29854: 4dce.*
+ 29855: 0c2e.*
+ 29856: 6ff0.*
+ 29857: 4dcf.*
+ 29858: 0e2e.*
+ 29859: 6ff0.*
+ 2985a: 4dd0.*
+ 2985b: 0f2e.*
+ 2985c: 6ff0.*
+ 2985d: 4dd1.*
+ 2985e: 0f2e.*
+ 2985f: 6ff0.*
+ 29860: 4dd2.*
+ 29861: 0c2f.*
+ 29862: 6ff0.*
+ 29863: 4dd3.*
+ 29864: 0d2f.*
+ 29865: 6ff0.*
+ 29866: 4dd4.*
+ 29867: 0c2f.*
+ 29868: 6ff0.*
+ 29869: 4dd5.*
+ 2986a: 0e2f.*
+ 2986b: 6ff0.*
+ 2986c: 4dd6.*
+ 2986d: 0f2f.*
+ 2986e: 6ff0.*
+ 2986f: 4dd7.*
+ 29870: 0f2f.*
+ 29871: 08f8.*
+ 29872: 4dd8.*
+ 29873: 6ff8.*
+ 29874: 4dd9.*
+ 29875: 0d20.*
+ 29876: 08f8.*
+ 29877: 4dda.*
+ 29878: 6ff8.*
+ 29879: 4ddb.*
+ 2987a: 0e20.*
+ 2987b: 09f8.*
+ 2987c: 4ddc.*
+ 2987d: 09f8.*
+ 2987e: 4ddd.*
+ 2987f: 6ff8.*
+ 29880: 4dde.*
+ 29881: 0c30.*
+ 29882: 6ff8.*
+ 29883: 4ddf.*
+ 29884: 0d30.*
+ 29885: 6ff8.*
+ 29886: 4de0.*
+ 29887: 0c30.*
+ 29888: 6ff8.*
+ 29889: 4de1.*
+ 2988a: 0e30.*
+ 2988b: 6ff8.*
+ 2988c: 4de2.*
+ 2988d: 0f30.*
+ 2988e: 6ff8.*
+ 2988f: 4de3.*
+ 29890: 0f30.*
+ 29891: 6ff8.*
+ 29892: 4de4.*
+ 29893: 0c31.*
+ 29894: 6ff8.*
+ 29895: 4de5.*
+ 29896: 0d31.*
+ 29897: 6ff8.*
+ 29898: 4de6.*
+ 29899: 0c31.*
+ 2989a: 6ff8.*
+ 2989b: 4de7.*
+ 2989c: 0e31.*
+ 2989d: 6ff8.*
+ 2989e: 4de8.*
+ 2989f: 0f31.*
+ 298a0: 6ff8.*
+ 298a1: 4de9.*
+ 298a2: 0f31.*
+ 298a3: 6ff8.*
+ 298a4: 4dea.*
+ 298a5: 0c32.*
+ 298a6: 6ff8.*
+ 298a7: 4deb.*
+ 298a8: 0d32.*
+ 298a9: 6ff8.*
+ 298aa: 4dec.*
+ 298ab: 0c32.*
+ 298ac: 6ff8.*
+ 298ad: 4ded.*
+ 298ae: 0e32.*
+ 298af: 6ff8.*
+ 298b0: 4dee.*
+ 298b1: 0f32.*
+ 298b2: 6ff8.*
+ 298b3: 4def.*
+ 298b4: 0f32.*
+ 298b5: 6ff8.*
+ 298b6: 4df0.*
+ 298b7: 0c33.*
+ 298b8: 6ff8.*
+ 298b9: 4df1.*
+ 298ba: 0d33.*
+ 298bb: 6ff8.*
+ 298bc: 4df2.*
+ 298bd: 0c33.*
+ 298be: 6ff8.*
+ 298bf: 4df3.*
+ 298c0: 0e33.*
+ 298c1: 6ff8.*
+ 298c2: 4df4.*
+ 298c3: 0f33.*
+ 298c4: 6ff8.*
+ 298c5: 4df5.*
+ 298c6: 0f33.*
+ 298c7: 6ff8.*
+ 298c8: 4df6.*
+ 298c9: 0c34.*
+ 298ca: 6ff8.*
+ 298cb: 4df7.*
+ 298cc: 0d34.*
+ 298cd: 6ff8.*
+ 298ce: 4df8.*
+ 298cf: 0c34.*
+ 298d0: 6ff8.*
+ 298d1: 4df9.*
+ 298d2: 0e34.*
+ 298d3: 6ff8.*
+ 298d4: 4dfa.*
+ 298d5: 0f34.*
+ 298d6: 6ff8.*
+ 298d7: 4dfb.*
+ 298d8: 0f34.*
+ 298d9: 6ff8.*
+ 298da: 4dfc.*
+ 298db: 0c35.*
+ 298dc: 6ff8.*
+ 298dd: 4dfd.*
+ 298de: 0d35.*
+ 298df: 6ff8.*
+ 298e0: 4dfe.*
+ 298e1: 0c35.*
+ 298e2: 6ff8.*
+ 298e3: 4dff.*
+ 298e4: 0e35.*
+ 298e5: 6ff8.*
+ 298e6: 4e00.*
+ 298e7: 0f35.*
+ 298e8: 6ff8.*
+ 298e9: 4e01.*
+ 298ea: 0f35.*
+ 298eb: 6ff8.*
+ 298ec: 4e02.*
+ 298ed: 0c36.*
+ 298ee: 6ff8.*
+ 298ef: 4e03.*
+ 298f0: 0d36.*
+ 298f1: 6ff8.*
+ 298f2: 4e04.*
+ 298f3: 0c36.*
+ 298f4: 6ff8.*
+ 298f5: 4e05.*
+ 298f6: 0e36.*
+ 298f7: 6ff8.*
+ 298f8: 4e06.*
+ 298f9: 0f36.*
+ 298fa: 6ff8.*
+ 298fb: 4e07.*
+ 298fc: 0f36.*
+ 298fd: 6ff8.*
+ 298fe: 4e08.*
+ 298ff: 0c37.*
+ 29900: 6ff8.*
+ 29901: 4e09.*
+ 29902: 0d37.*
+ 29903: 6ff8.*
+ 29904: 4e0a.*
+ 29905: 0c37.*
+ 29906: 6ff8.*
+ 29907: 4e0b.*
+ 29908: 0e37.*
+ 29909: 6ff8.*
+ 2990a: 4e0c.*
+ 2990b: 0f37.*
+ 2990c: 6ff8.*
+ 2990d: 4e0d.*
+ 2990e: 0f37.*
+ 2990f: 6ff8.*
+ 29910: 4e0e.*
+ 29911: 0c38.*
+ 29912: 6ff8.*
+ 29913: 4e0f.*
+ 29914: 0d38.*
+ 29915: 6ff8.*
+ 29916: 4e10.*
+ 29917: 0c38.*
+ 29918: 6ff8.*
+ 29919: 4e11.*
+ 2991a: 0e38.*
+ 2991b: 6ff8.*
+ 2991c: 4e12.*
+ 2991d: 0f38.*
+ 2991e: 6ff8.*
+ 2991f: 4e13.*
+ 29920: 0f38.*
+ 29921: 6ff8.*
+ 29922: 4e14.*
+ 29923: 0c39.*
+ 29924: 6ff8.*
+ 29925: 4e15.*
+ 29926: 0d39.*
+ 29927: 6ff8.*
+ 29928: 4e16.*
+ 29929: 0c39.*
+ 2992a: 6ff8.*
+ 2992b: 4e17.*
+ 2992c: 0e39.*
+ 2992d: 6ff8.*
+ 2992e: 4e18.*
+ 2992f: 0f39.*
+ 29930: 6ff8.*
+ 29931: 4e19.*
+ 29932: 0f39.*
+ 29933: 6ff8.*
+ 29934: 4e1a.*
+ 29935: 0c3a.*
+ 29936: 6ff8.*
+ 29937: 4e1b.*
+ 29938: 0d3a.*
+ 29939: 6ff8.*
+ 2993a: 4e1c.*
+ 2993b: 0c3a.*
+ 2993c: 6ff8.*
+ 2993d: 4e1d.*
+ 2993e: 0e3a.*
+ 2993f: 6ff8.*
+ 29940: 4e1e.*
+ 29941: 0f3a.*
+ 29942: 6ff8.*
+ 29943: 4e1f.*
+ 29944: 0f3a.*
+ 29945: 6ff8.*
+ 29946: 4e20.*
+ 29947: 0c3b.*
+ 29948: 6ff8.*
+ 29949: 4e21.*
+ 2994a: 0d3b.*
+ 2994b: 6ff8.*
+ 2994c: 4e22.*
+ 2994d: 0c3b.*
+ 2994e: 6ff8.*
+ 2994f: 4e23.*
+ 29950: 0e3b.*
+ 29951: 6ff8.*
+ 29952: 4e24.*
+ 29953: 0f3b.*
+ 29954: 6ff8.*
+ 29955: 4e25.*
+ 29956: 0f3b.*
+ 29957: 6ff8.*
+ 29958: 4e26.*
+ 29959: 0c3c.*
+ 2995a: 6ff8.*
+ 2995b: 4e27.*
+ 2995c: 0d3c.*
+ 2995d: 6ff8.*
+ 2995e: 4e28.*
+ 2995f: 0c3c.*
+ 29960: 6ff8.*
+ 29961: 4e29.*
+ 29962: 0e3c.*
+ 29963: 6ff8.*
+ 29964: 4e2a.*
+ 29965: 0f3c.*
+ 29966: 6ff8.*
+ 29967: 4e2b.*
+ 29968: 0f3c.*
+ 29969: 6ff8.*
+ 2996a: 4e2c.*
+ 2996b: 0c3d.*
+ 2996c: 6ff8.*
+ 2996d: 4e2d.*
+ 2996e: 0d3d.*
+ 2996f: 6ff8.*
+ 29970: 4e2e.*
+ 29971: 0c3d.*
+ 29972: 6ff8.*
+ 29973: 4e2f.*
+ 29974: 0e3d.*
+ 29975: 6ff8.*
+ 29976: 4e30.*
+ 29977: 0f3d.*
+ 29978: 6ff8.*
+ 29979: 4e31.*
+ 2997a: 0f3d.*
+ 2997b: 6ff8.*
+ 2997c: 4e32.*
+ 2997d: 0c3e.*
+ 2997e: 6ff8.*
+ 2997f: 4e33.*
+ 29980: 0d3e.*
+ 29981: 6ff8.*
+ 29982: 4e34.*
+ 29983: 0c3e.*
+ 29984: 6ff8.*
+ 29985: 4e35.*
+ 29986: 0e3e.*
+ 29987: 6ff8.*
+ 29988: 4e36.*
+ 29989: 0f3e.*
+ 2998a: 6ff8.*
+ 2998b: 4e37.*
+ 2998c: 0f3e.*
+ 2998d: 6ff8.*
+ 2998e: 4e38.*
+ 2998f: 0c3f.*
+ 29990: 6ff8.*
+ 29991: 4e39.*
+ 29992: 0d3f.*
+ 29993: 6ff8.*
+ 29994: 4e3a.*
+ 29995: 0c3f.*
+ 29996: 6ff8.*
+ 29997: 4e3b.*
+ 29998: 0e3f.*
+ 29999: 6ff8.*
+ 2999a: 4e3c.*
+ 2999b: 0f3f.*
+ 2999c: 6ff8.*
+ 2999d: 4e3d.*
+ 2999e: 0f3f.*
+ 2999f: 08f8.*
+ 299a0: 4e3e.*
+ 299a1: 6ff8.*
+ 299a2: 4e3f.*
+ 299a3: 0d20.*
+ 299a4: 08f8.*
+ 299a5: 4e40.*
+ 299a6: 6ff8.*
+ 299a7: 4e41.*
+ 299a8: 0e20.*
+ 299a9: 09f8.*
+ 299aa: 4e42.*
+ 299ab: 09f8.*
+ 299ac: 4e43.*
+ 299ad: 6ff8.*
+ 299ae: 4e44.*
+ 299af: 0c21.*
+ 299b0: 6ff8.*
+ 299b1: 4e45.*
+ 299b2: 0d21.*
+ 299b3: 6ff8.*
+ 299b4: 4e46.*
+ 299b5: 0c21.*
+ 299b6: 6ff8.*
+ 299b7: 4e47.*
+ 299b8: 0e21.*
+ 299b9: 6ff8.*
+ 299ba: 4e48.*
+ 299bb: 0f21.*
+ 299bc: 6ff8.*
+ 299bd: 4e49.*
+ 299be: 0f21.*
+ 299bf: 6ff8.*
+ 299c0: 4e4a.*
+ 299c1: 0c22.*
+ 299c2: 6ff8.*
+ 299c3: 4e4b.*
+ 299c4: 0d22.*
+ 299c5: 6ff8.*
+ 299c6: 4e4c.*
+ 299c7: 0c22.*
+ 299c8: 6ff8.*
+ 299c9: 4e4d.*
+ 299ca: 0e22.*
+ 299cb: 6ff8.*
+ 299cc: 4e4e.*
+ 299cd: 0f22.*
+ 299ce: 6ff8.*
+ 299cf: 4e4f.*
+ 299d0: 0f22.*
+ 299d1: 6ff8.*
+ 299d2: 4e50.*
+ 299d3: 0c23.*
+ 299d4: 6ff8.*
+ 299d5: 4e51.*
+ 299d6: 0d23.*
+ 299d7: 6ff8.*
+ 299d8: 4e52.*
+ 299d9: 0c23.*
+ 299da: 6ff8.*
+ 299db: 4e53.*
+ 299dc: 0e23.*
+ 299dd: 6ff8.*
+ 299de: 4e54.*
+ 299df: 0f23.*
+ 299e0: 6ff8.*
+ 299e1: 4e55.*
+ 299e2: 0f23.*
+ 299e3: 6ff8.*
+ 299e4: 4e56.*
+ 299e5: 0c24.*
+ 299e6: 6ff8.*
+ 299e7: 4e57.*
+ 299e8: 0d24.*
+ 299e9: 6ff8.*
+ 299ea: 4e58.*
+ 299eb: 0c24.*
+ 299ec: 6ff8.*
+ 299ed: 4e59.*
+ 299ee: 0e24.*
+ 299ef: 6ff8.*
+ 299f0: 4e5a.*
+ 299f1: 0f24.*
+ 299f2: 6ff8.*
+ 299f3: 4e5b.*
+ 299f4: 0f24.*
+ 299f5: 6ff8.*
+ 299f6: 4e5c.*
+ 299f7: 0c25.*
+ 299f8: 6ff8.*
+ 299f9: 4e5d.*
+ 299fa: 0d25.*
+ 299fb: 6ff8.*
+ 299fc: 4e5e.*
+ 299fd: 0c25.*
+ 299fe: 6ff8.*
+ 299ff: 4e5f.*
+ 29a00: 0e25.*
+ 29a01: 6ff8.*
+ 29a02: 4e60.*
+ 29a03: 0f25.*
+ 29a04: 6ff8.*
+ 29a05: 4e61.*
+ 29a06: 0f25.*
+ 29a07: 6ff8.*
+ 29a08: 4e62.*
+ 29a09: 0c26.*
+ 29a0a: 6ff8.*
+ 29a0b: 4e63.*
+ 29a0c: 0d26.*
+ 29a0d: 6ff8.*
+ 29a0e: 4e64.*
+ 29a0f: 0c26.*
+ 29a10: 6ff8.*
+ 29a11: 4e65.*
+ 29a12: 0e26.*
+ 29a13: 6ff8.*
+ 29a14: 4e66.*
+ 29a15: 0f26.*
+ 29a16: 6ff8.*
+ 29a17: 4e67.*
+ 29a18: 0f26.*
+ 29a19: 6ff8.*
+ 29a1a: 4e68.*
+ 29a1b: 0c27.*
+ 29a1c: 6ff8.*
+ 29a1d: 4e69.*
+ 29a1e: 0d27.*
+ 29a1f: 6ff8.*
+ 29a20: 4e6a.*
+ 29a21: 0c27.*
+ 29a22: 6ff8.*
+ 29a23: 4e6b.*
+ 29a24: 0e27.*
+ 29a25: 6ff8.*
+ 29a26: 4e6c.*
+ 29a27: 0f27.*
+ 29a28: 6ff8.*
+ 29a29: 4e6d.*
+ 29a2a: 0f27.*
+ 29a2b: 6ff8.*
+ 29a2c: 4e6e.*
+ 29a2d: 0c28.*
+ 29a2e: 6ff8.*
+ 29a2f: 4e6f.*
+ 29a30: 0d28.*
+ 29a31: 6ff8.*
+ 29a32: 4e70.*
+ 29a33: 0c28.*
+ 29a34: 6ff8.*
+ 29a35: 4e71.*
+ 29a36: 0e28.*
+ 29a37: 6ff8.*
+ 29a38: 4e72.*
+ 29a39: 0f28.*
+ 29a3a: 6ff8.*
+ 29a3b: 4e73.*
+ 29a3c: 0f28.*
+ 29a3d: 6ff8.*
+ 29a3e: 4e74.*
+ 29a3f: 0c29.*
+ 29a40: 6ff8.*
+ 29a41: 4e75.*
+ 29a42: 0d29.*
+ 29a43: 6ff8.*
+ 29a44: 4e76.*
+ 29a45: 0c29.*
+ 29a46: 6ff8.*
+ 29a47: 4e77.*
+ 29a48: 0e29.*
+ 29a49: 6ff8.*
+ 29a4a: 4e78.*
+ 29a4b: 0f29.*
+ 29a4c: 6ff8.*
+ 29a4d: 4e79.*
+ 29a4e: 0f29.*
+ 29a4f: 6ff8.*
+ 29a50: 4e7a.*
+ 29a51: 0c2a.*
+ 29a52: 6ff8.*
+ 29a53: 4e7b.*
+ 29a54: 0d2a.*
+ 29a55: 6ff8.*
+ 29a56: 4e7c.*
+ 29a57: 0c2a.*
+ 29a58: 6ff8.*
+ 29a59: 4e7d.*
+ 29a5a: 0e2a.*
+ 29a5b: 6ff8.*
+ 29a5c: 4e7e.*
+ 29a5d: 0f2a.*
+ 29a5e: 6ff8.*
+ 29a5f: 4e7f.*
+ 29a60: 0f2a.*
+ 29a61: 6ff8.*
+ 29a62: 4e80.*
+ 29a63: 0c2b.*
+ 29a64: 6ff8.*
+ 29a65: 4e81.*
+ 29a66: 0d2b.*
+ 29a67: 6ff8.*
+ 29a68: 4e82.*
+ 29a69: 0c2b.*
+ 29a6a: 6ff8.*
+ 29a6b: 4e83.*
+ 29a6c: 0e2b.*
+ 29a6d: 6ff8.*
+ 29a6e: 4e84.*
+ 29a6f: 0f2b.*
+ 29a70: 6ff8.*
+ 29a71: 4e85.*
+ 29a72: 0f2b.*
+ 29a73: 6ff8.*
+ 29a74: 4e86.*
+ 29a75: 0c2c.*
+ 29a76: 6ff8.*
+ 29a77: 4e87.*
+ 29a78: 0d2c.*
+ 29a79: 6ff8.*
+ 29a7a: 4e88.*
+ 29a7b: 0c2c.*
+ 29a7c: 6ff8.*
+ 29a7d: 4e89.*
+ 29a7e: 0e2c.*
+ 29a7f: 6ff8.*
+ 29a80: 4e8a.*
+ 29a81: 0f2c.*
+ 29a82: 6ff8.*
+ 29a83: 4e8b.*
+ 29a84: 0f2c.*
+ 29a85: 6ff8.*
+ 29a86: 4e8c.*
+ 29a87: 0c2d.*
+ 29a88: 6ff8.*
+ 29a89: 4e8d.*
+ 29a8a: 0d2d.*
+ 29a8b: 6ff8.*
+ 29a8c: 4e8e.*
+ 29a8d: 0c2d.*
+ 29a8e: 6ff8.*
+ 29a8f: 4e8f.*
+ 29a90: 0e2d.*
+ 29a91: 6ff8.*
+ 29a92: 4e90.*
+ 29a93: 0f2d.*
+ 29a94: 6ff8.*
+ 29a95: 4e91.*
+ 29a96: 0f2d.*
+ 29a97: 6ff8.*
+ 29a98: 4e92.*
+ 29a99: 0c2e.*
+ 29a9a: 6ff8.*
+ 29a9b: 4e93.*
+ 29a9c: 0d2e.*
+ 29a9d: 6ff8.*
+ 29a9e: 4e94.*
+ 29a9f: 0c2e.*
+ 29aa0: 6ff8.*
+ 29aa1: 4e95.*
+ 29aa2: 0e2e.*
+ 29aa3: 6ff8.*
+ 29aa4: 4e96.*
+ 29aa5: 0f2e.*
+ 29aa6: 6ff8.*
+ 29aa7: 4e97.*
+ 29aa8: 0f2e.*
+ 29aa9: 6ff8.*
+ 29aaa: 4e98.*
+ 29aab: 0c2f.*
+ 29aac: 6ff8.*
+ 29aad: 4e99.*
+ 29aae: 0d2f.*
+ 29aaf: 6ff8.*
+ 29ab0: 4e9a.*
+ 29ab1: 0c2f.*
+ 29ab2: 6ff8.*
+ 29ab3: 4e9b.*
+ 29ab4: 0e2f.*
+ 29ab5: 6ff8.*
+ 29ab6: 4e9c.*
+ 29ab7: 0f2f.*
+ 29ab8: 6ff8.*
+ 29ab9: 4e9d.*
+ 29aba: 0f2f.*
+ 29abb: 0881.*
+ 29abc: 6f81.*
+ 29abd: 0d20.*
+ 29abe: 0881.*
+ 29abf: 6f81.*
+ 29ac0: 0e20.*
+ 29ac1: 0981.*
+ 29ac2: 0981.*
+ 29ac3: 6f81.*
+ 29ac4: 0c30.*
+ 29ac5: 6f81.*
+ 29ac6: 0d30.*
+ 29ac7: 6f81.*
+ 29ac8: 0c30.*
+ 29ac9: 6f81.*
+ 29aca: 0e30.*
+ 29acb: 6f81.*
+ 29acc: 0f30.*
+ 29acd: 6f81.*
+ 29ace: 0f30.*
+ 29acf: 6f81.*
+ 29ad0: 0c31.*
+ 29ad1: 6f81.*
+ 29ad2: 0d31.*
+ 29ad3: 6f81.*
+ 29ad4: 0c31.*
+ 29ad5: 6f81.*
+ 29ad6: 0e31.*
+ 29ad7: 6f81.*
+ 29ad8: 0f31.*
+ 29ad9: 6f81.*
+ 29ada: 0f31.*
+ 29adb: 6f81.*
+ 29adc: 0c32.*
+ 29add: 6f81.*
+ 29ade: 0d32.*
+ 29adf: 6f81.*
+ 29ae0: 0c32.*
+ 29ae1: 6f81.*
+ 29ae2: 0e32.*
+ 29ae3: 6f81.*
+ 29ae4: 0f32.*
+ 29ae5: 6f81.*
+ 29ae6: 0f32.*
+ 29ae7: 6f81.*
+ 29ae8: 0c33.*
+ 29ae9: 6f81.*
+ 29aea: 0d33.*
+ 29aeb: 6f81.*
+ 29aec: 0c33.*
+ 29aed: 6f81.*
+ 29aee: 0e33.*
+ 29aef: 6f81.*
+ 29af0: 0f33.*
+ 29af1: 6f81.*
+ 29af2: 0f33.*
+ 29af3: 6f81.*
+ 29af4: 0c34.*
+ 29af5: 6f81.*
+ 29af6: 0d34.*
+ 29af7: 6f81.*
+ 29af8: 0c34.*
+ 29af9: 6f81.*
+ 29afa: 0e34.*
+ 29afb: 6f81.*
+ 29afc: 0f34.*
+ 29afd: 6f81.*
+ 29afe: 0f34.*
+ 29aff: 6f81.*
+ 29b00: 0c35.*
+ 29b01: 6f81.*
+ 29b02: 0d35.*
+ 29b03: 6f81.*
+ 29b04: 0c35.*
+ 29b05: 6f81.*
+ 29b06: 0e35.*
+ 29b07: 6f81.*
+ 29b08: 0f35.*
+ 29b09: 6f81.*
+ 29b0a: 0f35.*
+ 29b0b: 6f81.*
+ 29b0c: 0c36.*
+ 29b0d: 6f81.*
+ 29b0e: 0d36.*
+ 29b0f: 6f81.*
+ 29b10: 0c36.*
+ 29b11: 6f81.*
+ 29b12: 0e36.*
+ 29b13: 6f81.*
+ 29b14: 0f36.*
+ 29b15: 6f81.*
+ 29b16: 0f36.*
+ 29b17: 6f81.*
+ 29b18: 0c37.*
+ 29b19: 6f81.*
+ 29b1a: 0d37.*
+ 29b1b: 6f81.*
+ 29b1c: 0c37.*
+ 29b1d: 6f81.*
+ 29b1e: 0e37.*
+ 29b1f: 6f81.*
+ 29b20: 0f37.*
+ 29b21: 6f81.*
+ 29b22: 0f37.*
+ 29b23: 6f81.*
+ 29b24: 0c38.*
+ 29b25: 6f81.*
+ 29b26: 0d38.*
+ 29b27: 6f81.*
+ 29b28: 0c38.*
+ 29b29: 6f81.*
+ 29b2a: 0e38.*
+ 29b2b: 6f81.*
+ 29b2c: 0f38.*
+ 29b2d: 6f81.*
+ 29b2e: 0f38.*
+ 29b2f: 6f81.*
+ 29b30: 0c39.*
+ 29b31: 6f81.*
+ 29b32: 0d39.*
+ 29b33: 6f81.*
+ 29b34: 0c39.*
+ 29b35: 6f81.*
+ 29b36: 0e39.*
+ 29b37: 6f81.*
+ 29b38: 0f39.*
+ 29b39: 6f81.*
+ 29b3a: 0f39.*
+ 29b3b: 6f81.*
+ 29b3c: 0c3a.*
+ 29b3d: 6f81.*
+ 29b3e: 0d3a.*
+ 29b3f: 6f81.*
+ 29b40: 0c3a.*
+ 29b41: 6f81.*
+ 29b42: 0e3a.*
+ 29b43: 6f81.*
+ 29b44: 0f3a.*
+ 29b45: 6f81.*
+ 29b46: 0f3a.*
+ 29b47: 6f81.*
+ 29b48: 0c3b.*
+ 29b49: 6f81.*
+ 29b4a: 0d3b.*
+ 29b4b: 6f81.*
+ 29b4c: 0c3b.*
+ 29b4d: 6f81.*
+ 29b4e: 0e3b.*
+ 29b4f: 6f81.*
+ 29b50: 0f3b.*
+ 29b51: 6f81.*
+ 29b52: 0f3b.*
+ 29b53: 6f81.*
+ 29b54: 0c3c.*
+ 29b55: 6f81.*
+ 29b56: 0d3c.*
+ 29b57: 6f81.*
+ 29b58: 0c3c.*
+ 29b59: 6f81.*
+ 29b5a: 0e3c.*
+ 29b5b: 6f81.*
+ 29b5c: 0f3c.*
+ 29b5d: 6f81.*
+ 29b5e: 0f3c.*
+ 29b5f: 6f81.*
+ 29b60: 0c3d.*
+ 29b61: 6f81.*
+ 29b62: 0d3d.*
+ 29b63: 6f81.*
+ 29b64: 0c3d.*
+ 29b65: 6f81.*
+ 29b66: 0e3d.*
+ 29b67: 6f81.*
+ 29b68: 0f3d.*
+ 29b69: 6f81.*
+ 29b6a: 0f3d.*
+ 29b6b: 6f81.*
+ 29b6c: 0c3e.*
+ 29b6d: 6f81.*
+ 29b6e: 0d3e.*
+ 29b6f: 6f81.*
+ 29b70: 0c3e.*
+ 29b71: 6f81.*
+ 29b72: 0e3e.*
+ 29b73: 6f81.*
+ 29b74: 0f3e.*
+ 29b75: 6f81.*
+ 29b76: 0f3e.*
+ 29b77: 6f81.*
+ 29b78: 0c3f.*
+ 29b79: 6f81.*
+ 29b7a: 0d3f.*
+ 29b7b: 6f81.*
+ 29b7c: 0c3f.*
+ 29b7d: 6f81.*
+ 29b7e: 0e3f.*
+ 29b7f: 6f81.*
+ 29b80: 0f3f.*
+ 29b81: 6f81.*
+ 29b82: 0f3f.*
+ 29b83: 0881.*
+ 29b84: 6f81.*
+ 29b85: 0d20.*
+ 29b86: 0881.*
+ 29b87: 6f81.*
+ 29b88: 0e20.*
+ 29b89: 0981.*
+ 29b8a: 0981.*
+ 29b8b: 6f81.*
+ 29b8c: 0c21.*
+ 29b8d: 6f81.*
+ 29b8e: 0d21.*
+ 29b8f: 6f81.*
+ 29b90: 0c21.*
+ 29b91: 6f81.*
+ 29b92: 0e21.*
+ 29b93: 6f81.*
+ 29b94: 0f21.*
+ 29b95: 6f81.*
+ 29b96: 0f21.*
+ 29b97: 6f81.*
+ 29b98: 0c22.*
+ 29b99: 6f81.*
+ 29b9a: 0d22.*
+ 29b9b: 6f81.*
+ 29b9c: 0c22.*
+ 29b9d: 6f81.*
+ 29b9e: 0e22.*
+ 29b9f: 6f81.*
+ 29ba0: 0f22.*
+ 29ba1: 6f81.*
+ 29ba2: 0f22.*
+ 29ba3: 6f81.*
+ 29ba4: 0c23.*
+ 29ba5: 6f81.*
+ 29ba6: 0d23.*
+ 29ba7: 6f81.*
+ 29ba8: 0c23.*
+ 29ba9: 6f81.*
+ 29baa: 0e23.*
+ 29bab: 6f81.*
+ 29bac: 0f23.*
+ 29bad: 6f81.*
+ 29bae: 0f23.*
+ 29baf: 6f81.*
+ 29bb0: 0c24.*
+ 29bb1: 6f81.*
+ 29bb2: 0d24.*
+ 29bb3: 6f81.*
+ 29bb4: 0c24.*
+ 29bb5: 6f81.*
+ 29bb6: 0e24.*
+ 29bb7: 6f81.*
+ 29bb8: 0f24.*
+ 29bb9: 6f81.*
+ 29bba: 0f24.*
+ 29bbb: 6f81.*
+ 29bbc: 0c25.*
+ 29bbd: 6f81.*
+ 29bbe: 0d25.*
+ 29bbf: 6f81.*
+ 29bc0: 0c25.*
+ 29bc1: 6f81.*
+ 29bc2: 0e25.*
+ 29bc3: 6f81.*
+ 29bc4: 0f25.*
+ 29bc5: 6f81.*
+ 29bc6: 0f25.*
+ 29bc7: 6f81.*
+ 29bc8: 0c26.*
+ 29bc9: 6f81.*
+ 29bca: 0d26.*
+ 29bcb: 6f81.*
+ 29bcc: 0c26.*
+ 29bcd: 6f81.*
+ 29bce: 0e26.*
+ 29bcf: 6f81.*
+ 29bd0: 0f26.*
+ 29bd1: 6f81.*
+ 29bd2: 0f26.*
+ 29bd3: 6f81.*
+ 29bd4: 0c27.*
+ 29bd5: 6f81.*
+ 29bd6: 0d27.*
+ 29bd7: 6f81.*
+ 29bd8: 0c27.*
+ 29bd9: 6f81.*
+ 29bda: 0e27.*
+ 29bdb: 6f81.*
+ 29bdc: 0f27.*
+ 29bdd: 6f81.*
+ 29bde: 0f27.*
+ 29bdf: 6f81.*
+ 29be0: 0c28.*
+ 29be1: 6f81.*
+ 29be2: 0d28.*
+ 29be3: 6f81.*
+ 29be4: 0c28.*
+ 29be5: 6f81.*
+ 29be6: 0e28.*
+ 29be7: 6f81.*
+ 29be8: 0f28.*
+ 29be9: 6f81.*
+ 29bea: 0f28.*
+ 29beb: 6f81.*
+ 29bec: 0c29.*
+ 29bed: 6f81.*
+ 29bee: 0d29.*
+ 29bef: 6f81.*
+ 29bf0: 0c29.*
+ 29bf1: 6f81.*
+ 29bf2: 0e29.*
+ 29bf3: 6f81.*
+ 29bf4: 0f29.*
+ 29bf5: 6f81.*
+ 29bf6: 0f29.*
+ 29bf7: 6f81.*
+ 29bf8: 0c2a.*
+ 29bf9: 6f81.*
+ 29bfa: 0d2a.*
+ 29bfb: 6f81.*
+ 29bfc: 0c2a.*
+ 29bfd: 6f81.*
+ 29bfe: 0e2a.*
+ 29bff: 6f81.*
+ 29c00: 0f2a.*
+ 29c01: 6f81.*
+ 29c02: 0f2a.*
+ 29c03: 6f81.*
+ 29c04: 0c2b.*
+ 29c05: 6f81.*
+ 29c06: 0d2b.*
+ 29c07: 6f81.*
+ 29c08: 0c2b.*
+ 29c09: 6f81.*
+ 29c0a: 0e2b.*
+ 29c0b: 6f81.*
+ 29c0c: 0f2b.*
+ 29c0d: 6f81.*
+ 29c0e: 0f2b.*
+ 29c0f: 6f81.*
+ 29c10: 0c2c.*
+ 29c11: 6f81.*
+ 29c12: 0d2c.*
+ 29c13: 6f81.*
+ 29c14: 0c2c.*
+ 29c15: 6f81.*
+ 29c16: 0e2c.*
+ 29c17: 6f81.*
+ 29c18: 0f2c.*
+ 29c19: 6f81.*
+ 29c1a: 0f2c.*
+ 29c1b: 6f81.*
+ 29c1c: 0c2d.*
+ 29c1d: 6f81.*
+ 29c1e: 0d2d.*
+ 29c1f: 6f81.*
+ 29c20: 0c2d.*
+ 29c21: 6f81.*
+ 29c22: 0e2d.*
+ 29c23: 6f81.*
+ 29c24: 0f2d.*
+ 29c25: 6f81.*
+ 29c26: 0f2d.*
+ 29c27: 6f81.*
+ 29c28: 0c2e.*
+ 29c29: 6f81.*
+ 29c2a: 0d2e.*
+ 29c2b: 6f81.*
+ 29c2c: 0c2e.*
+ 29c2d: 6f81.*
+ 29c2e: 0e2e.*
+ 29c2f: 6f81.*
+ 29c30: 0f2e.*
+ 29c31: 6f81.*
+ 29c32: 0f2e.*
+ 29c33: 6f81.*
+ 29c34: 0c2f.*
+ 29c35: 6f81.*
+ 29c36: 0d2f.*
+ 29c37: 6f81.*
+ 29c38: 0c2f.*
+ 29c39: 6f81.*
+ 29c3a: 0e2f.*
+ 29c3b: 6f81.*
+ 29c3c: 0f2f.*
+ 29c3d: 6f81.*
+ 29c3e: 0f2f.*
+ 29c3f: 0889.*
+ 29c40: 6f89.*
+ 29c41: 0d20.*
+ 29c42: 0889.*
+ 29c43: 6f89.*
+ 29c44: 0e20.*
+ 29c45: 0989.*
+ 29c46: 0989.*
+ 29c47: 6f89.*
+ 29c48: 0c30.*
+ 29c49: 6f89.*
+ 29c4a: 0d30.*
+ 29c4b: 6f89.*
+ 29c4c: 0c30.*
+ 29c4d: 6f89.*
+ 29c4e: 0e30.*
+ 29c4f: 6f89.*
+ 29c50: 0f30.*
+ 29c51: 6f89.*
+ 29c52: 0f30.*
+ 29c53: 6f89.*
+ 29c54: 0c31.*
+ 29c55: 6f89.*
+ 29c56: 0d31.*
+ 29c57: 6f89.*
+ 29c58: 0c31.*
+ 29c59: 6f89.*
+ 29c5a: 0e31.*
+ 29c5b: 6f89.*
+ 29c5c: 0f31.*
+ 29c5d: 6f89.*
+ 29c5e: 0f31.*
+ 29c5f: 6f89.*
+ 29c60: 0c32.*
+ 29c61: 6f89.*
+ 29c62: 0d32.*
+ 29c63: 6f89.*
+ 29c64: 0c32.*
+ 29c65: 6f89.*
+ 29c66: 0e32.*
+ 29c67: 6f89.*
+ 29c68: 0f32.*
+ 29c69: 6f89.*
+ 29c6a: 0f32.*
+ 29c6b: 6f89.*
+ 29c6c: 0c33.*
+ 29c6d: 6f89.*
+ 29c6e: 0d33.*
+ 29c6f: 6f89.*
+ 29c70: 0c33.*
+ 29c71: 6f89.*
+ 29c72: 0e33.*
+ 29c73: 6f89.*
+ 29c74: 0f33.*
+ 29c75: 6f89.*
+ 29c76: 0f33.*
+ 29c77: 6f89.*
+ 29c78: 0c34.*
+ 29c79: 6f89.*
+ 29c7a: 0d34.*
+ 29c7b: 6f89.*
+ 29c7c: 0c34.*
+ 29c7d: 6f89.*
+ 29c7e: 0e34.*
+ 29c7f: 6f89.*
+ 29c80: 0f34.*
+ 29c81: 6f89.*
+ 29c82: 0f34.*
+ 29c83: 6f89.*
+ 29c84: 0c35.*
+ 29c85: 6f89.*
+ 29c86: 0d35.*
+ 29c87: 6f89.*
+ 29c88: 0c35.*
+ 29c89: 6f89.*
+ 29c8a: 0e35.*
+ 29c8b: 6f89.*
+ 29c8c: 0f35.*
+ 29c8d: 6f89.*
+ 29c8e: 0f35.*
+ 29c8f: 6f89.*
+ 29c90: 0c36.*
+ 29c91: 6f89.*
+ 29c92: 0d36.*
+ 29c93: 6f89.*
+ 29c94: 0c36.*
+ 29c95: 6f89.*
+ 29c96: 0e36.*
+ 29c97: 6f89.*
+ 29c98: 0f36.*
+ 29c99: 6f89.*
+ 29c9a: 0f36.*
+ 29c9b: 6f89.*
+ 29c9c: 0c37.*
+ 29c9d: 6f89.*
+ 29c9e: 0d37.*
+ 29c9f: 6f89.*
+ 29ca0: 0c37.*
+ 29ca1: 6f89.*
+ 29ca2: 0e37.*
+ 29ca3: 6f89.*
+ 29ca4: 0f37.*
+ 29ca5: 6f89.*
+ 29ca6: 0f37.*
+ 29ca7: 6f89.*
+ 29ca8: 0c38.*
+ 29ca9: 6f89.*
+ 29caa: 0d38.*
+ 29cab: 6f89.*
+ 29cac: 0c38.*
+ 29cad: 6f89.*
+ 29cae: 0e38.*
+ 29caf: 6f89.*
+ 29cb0: 0f38.*
+ 29cb1: 6f89.*
+ 29cb2: 0f38.*
+ 29cb3: 6f89.*
+ 29cb4: 0c39.*
+ 29cb5: 6f89.*
+ 29cb6: 0d39.*
+ 29cb7: 6f89.*
+ 29cb8: 0c39.*
+ 29cb9: 6f89.*
+ 29cba: 0e39.*
+ 29cbb: 6f89.*
+ 29cbc: 0f39.*
+ 29cbd: 6f89.*
+ 29cbe: 0f39.*
+ 29cbf: 6f89.*
+ 29cc0: 0c3a.*
+ 29cc1: 6f89.*
+ 29cc2: 0d3a.*
+ 29cc3: 6f89.*
+ 29cc4: 0c3a.*
+ 29cc5: 6f89.*
+ 29cc6: 0e3a.*
+ 29cc7: 6f89.*
+ 29cc8: 0f3a.*
+ 29cc9: 6f89.*
+ 29cca: 0f3a.*
+ 29ccb: 6f89.*
+ 29ccc: 0c3b.*
+ 29ccd: 6f89.*
+ 29cce: 0d3b.*
+ 29ccf: 6f89.*
+ 29cd0: 0c3b.*
+ 29cd1: 6f89.*
+ 29cd2: 0e3b.*
+ 29cd3: 6f89.*
+ 29cd4: 0f3b.*
+ 29cd5: 6f89.*
+ 29cd6: 0f3b.*
+ 29cd7: 6f89.*
+ 29cd8: 0c3c.*
+ 29cd9: 6f89.*
+ 29cda: 0d3c.*
+ 29cdb: 6f89.*
+ 29cdc: 0c3c.*
+ 29cdd: 6f89.*
+ 29cde: 0e3c.*
+ 29cdf: 6f89.*
+ 29ce0: 0f3c.*
+ 29ce1: 6f89.*
+ 29ce2: 0f3c.*
+ 29ce3: 6f89.*
+ 29ce4: 0c3d.*
+ 29ce5: 6f89.*
+ 29ce6: 0d3d.*
+ 29ce7: 6f89.*
+ 29ce8: 0c3d.*
+ 29ce9: 6f89.*
+ 29cea: 0e3d.*
+ 29ceb: 6f89.*
+ 29cec: 0f3d.*
+ 29ced: 6f89.*
+ 29cee: 0f3d.*
+ 29cef: 6f89.*
+ 29cf0: 0c3e.*
+ 29cf1: 6f89.*
+ 29cf2: 0d3e.*
+ 29cf3: 6f89.*
+ 29cf4: 0c3e.*
+ 29cf5: 6f89.*
+ 29cf6: 0e3e.*
+ 29cf7: 6f89.*
+ 29cf8: 0f3e.*
+ 29cf9: 6f89.*
+ 29cfa: 0f3e.*
+ 29cfb: 6f89.*
+ 29cfc: 0c3f.*
+ 29cfd: 6f89.*
+ 29cfe: 0d3f.*
+ 29cff: 6f89.*
+ 29d00: 0c3f.*
+ 29d01: 6f89.*
+ 29d02: 0e3f.*
+ 29d03: 6f89.*
+ 29d04: 0f3f.*
+ 29d05: 6f89.*
+ 29d06: 0f3f.*
+ 29d07: 0889.*
+ 29d08: 6f89.*
+ 29d09: 0d20.*
+ 29d0a: 0889.*
+ 29d0b: 6f89.*
+ 29d0c: 0e20.*
+ 29d0d: 0989.*
+ 29d0e: 0989.*
+ 29d0f: 6f89.*
+ 29d10: 0c21.*
+ 29d11: 6f89.*
+ 29d12: 0d21.*
+ 29d13: 6f89.*
+ 29d14: 0c21.*
+ 29d15: 6f89.*
+ 29d16: 0e21.*
+ 29d17: 6f89.*
+ 29d18: 0f21.*
+ 29d19: 6f89.*
+ 29d1a: 0f21.*
+ 29d1b: 6f89.*
+ 29d1c: 0c22.*
+ 29d1d: 6f89.*
+ 29d1e: 0d22.*
+ 29d1f: 6f89.*
+ 29d20: 0c22.*
+ 29d21: 6f89.*
+ 29d22: 0e22.*
+ 29d23: 6f89.*
+ 29d24: 0f22.*
+ 29d25: 6f89.*
+ 29d26: 0f22.*
+ 29d27: 6f89.*
+ 29d28: 0c23.*
+ 29d29: 6f89.*
+ 29d2a: 0d23.*
+ 29d2b: 6f89.*
+ 29d2c: 0c23.*
+ 29d2d: 6f89.*
+ 29d2e: 0e23.*
+ 29d2f: 6f89.*
+ 29d30: 0f23.*
+ 29d31: 6f89.*
+ 29d32: 0f23.*
+ 29d33: 6f89.*
+ 29d34: 0c24.*
+ 29d35: 6f89.*
+ 29d36: 0d24.*
+ 29d37: 6f89.*
+ 29d38: 0c24.*
+ 29d39: 6f89.*
+ 29d3a: 0e24.*
+ 29d3b: 6f89.*
+ 29d3c: 0f24.*
+ 29d3d: 6f89.*
+ 29d3e: 0f24.*
+ 29d3f: 6f89.*
+ 29d40: 0c25.*
+ 29d41: 6f89.*
+ 29d42: 0d25.*
+ 29d43: 6f89.*
+ 29d44: 0c25.*
+ 29d45: 6f89.*
+ 29d46: 0e25.*
+ 29d47: 6f89.*
+ 29d48: 0f25.*
+ 29d49: 6f89.*
+ 29d4a: 0f25.*
+ 29d4b: 6f89.*
+ 29d4c: 0c26.*
+ 29d4d: 6f89.*
+ 29d4e: 0d26.*
+ 29d4f: 6f89.*
+ 29d50: 0c26.*
+ 29d51: 6f89.*
+ 29d52: 0e26.*
+ 29d53: 6f89.*
+ 29d54: 0f26.*
+ 29d55: 6f89.*
+ 29d56: 0f26.*
+ 29d57: 6f89.*
+ 29d58: 0c27.*
+ 29d59: 6f89.*
+ 29d5a: 0d27.*
+ 29d5b: 6f89.*
+ 29d5c: 0c27.*
+ 29d5d: 6f89.*
+ 29d5e: 0e27.*
+ 29d5f: 6f89.*
+ 29d60: 0f27.*
+ 29d61: 6f89.*
+ 29d62: 0f27.*
+ 29d63: 6f89.*
+ 29d64: 0c28.*
+ 29d65: 6f89.*
+ 29d66: 0d28.*
+ 29d67: 6f89.*
+ 29d68: 0c28.*
+ 29d69: 6f89.*
+ 29d6a: 0e28.*
+ 29d6b: 6f89.*
+ 29d6c: 0f28.*
+ 29d6d: 6f89.*
+ 29d6e: 0f28.*
+ 29d6f: 6f89.*
+ 29d70: 0c29.*
+ 29d71: 6f89.*
+ 29d72: 0d29.*
+ 29d73: 6f89.*
+ 29d74: 0c29.*
+ 29d75: 6f89.*
+ 29d76: 0e29.*
+ 29d77: 6f89.*
+ 29d78: 0f29.*
+ 29d79: 6f89.*
+ 29d7a: 0f29.*
+ 29d7b: 6f89.*
+ 29d7c: 0c2a.*
+ 29d7d: 6f89.*
+ 29d7e: 0d2a.*
+ 29d7f: 6f89.*
+ 29d80: 0c2a.*
+ 29d81: 6f89.*
+ 29d82: 0e2a.*
+ 29d83: 6f89.*
+ 29d84: 0f2a.*
+ 29d85: 6f89.*
+ 29d86: 0f2a.*
+ 29d87: 6f89.*
+ 29d88: 0c2b.*
+ 29d89: 6f89.*
+ 29d8a: 0d2b.*
+ 29d8b: 6f89.*
+ 29d8c: 0c2b.*
+ 29d8d: 6f89.*
+ 29d8e: 0e2b.*
+ 29d8f: 6f89.*
+ 29d90: 0f2b.*
+ 29d91: 6f89.*
+ 29d92: 0f2b.*
+ 29d93: 6f89.*
+ 29d94: 0c2c.*
+ 29d95: 6f89.*
+ 29d96: 0d2c.*
+ 29d97: 6f89.*
+ 29d98: 0c2c.*
+ 29d99: 6f89.*
+ 29d9a: 0e2c.*
+ 29d9b: 6f89.*
+ 29d9c: 0f2c.*
+ 29d9d: 6f89.*
+ 29d9e: 0f2c.*
+ 29d9f: 6f89.*
+ 29da0: 0c2d.*
+ 29da1: 6f89.*
+ 29da2: 0d2d.*
+ 29da3: 6f89.*
+ 29da4: 0c2d.*
+ 29da5: 6f89.*
+ 29da6: 0e2d.*
+ 29da7: 6f89.*
+ 29da8: 0f2d.*
+ 29da9: 6f89.*
+ 29daa: 0f2d.*
+ 29dab: 6f89.*
+ 29dac: 0c2e.*
+ 29dad: 6f89.*
+ 29dae: 0d2e.*
+ 29daf: 6f89.*
+ 29db0: 0c2e.*
+ 29db1: 6f89.*
+ 29db2: 0e2e.*
+ 29db3: 6f89.*
+ 29db4: 0f2e.*
+ 29db5: 6f89.*
+ 29db6: 0f2e.*
+ 29db7: 6f89.*
+ 29db8: 0c2f.*
+ 29db9: 6f89.*
+ 29dba: 0d2f.*
+ 29dbb: 6f89.*
+ 29dbc: 0c2f.*
+ 29dbd: 6f89.*
+ 29dbe: 0e2f.*
+ 29dbf: 6f89.*
+ 29dc0: 0f2f.*
+ 29dc1: 6f89.*
+ 29dc2: 0f2f.*
+ 29dc3: 0891.*
+ 29dc4: 6f91.*
+ 29dc5: 0d20.*
+ 29dc6: 0891.*
+ 29dc7: 6f91.*
+ 29dc8: 0e20.*
+ 29dc9: 0991.*
+ 29dca: 0991.*
+ 29dcb: 6f91.*
+ 29dcc: 0c30.*
+ 29dcd: 6f91.*
+ 29dce: 0d30.*
+ 29dcf: 6f91.*
+ 29dd0: 0c30.*
+ 29dd1: 6f91.*
+ 29dd2: 0e30.*
+ 29dd3: 6f91.*
+ 29dd4: 0f30.*
+ 29dd5: 6f91.*
+ 29dd6: 0f30.*
+ 29dd7: 6f91.*
+ 29dd8: 0c31.*
+ 29dd9: 6f91.*
+ 29dda: 0d31.*
+ 29ddb: 6f91.*
+ 29ddc: 0c31.*
+ 29ddd: 6f91.*
+ 29dde: 0e31.*
+ 29ddf: 6f91.*
+ 29de0: 0f31.*
+ 29de1: 6f91.*
+ 29de2: 0f31.*
+ 29de3: 6f91.*
+ 29de4: 0c32.*
+ 29de5: 6f91.*
+ 29de6: 0d32.*
+ 29de7: 6f91.*
+ 29de8: 0c32.*
+ 29de9: 6f91.*
+ 29dea: 0e32.*
+ 29deb: 6f91.*
+ 29dec: 0f32.*
+ 29ded: 6f91.*
+ 29dee: 0f32.*
+ 29def: 6f91.*
+ 29df0: 0c33.*
+ 29df1: 6f91.*
+ 29df2: 0d33.*
+ 29df3: 6f91.*
+ 29df4: 0c33.*
+ 29df5: 6f91.*
+ 29df6: 0e33.*
+ 29df7: 6f91.*
+ 29df8: 0f33.*
+ 29df9: 6f91.*
+ 29dfa: 0f33.*
+ 29dfb: 6f91.*
+ 29dfc: 0c34.*
+ 29dfd: 6f91.*
+ 29dfe: 0d34.*
+ 29dff: 6f91.*
+ 29e00: 0c34.*
+ 29e01: 6f91.*
+ 29e02: 0e34.*
+ 29e03: 6f91.*
+ 29e04: 0f34.*
+ 29e05: 6f91.*
+ 29e06: 0f34.*
+ 29e07: 6f91.*
+ 29e08: 0c35.*
+ 29e09: 6f91.*
+ 29e0a: 0d35.*
+ 29e0b: 6f91.*
+ 29e0c: 0c35.*
+ 29e0d: 6f91.*
+ 29e0e: 0e35.*
+ 29e0f: 6f91.*
+ 29e10: 0f35.*
+ 29e11: 6f91.*
+ 29e12: 0f35.*
+ 29e13: 6f91.*
+ 29e14: 0c36.*
+ 29e15: 6f91.*
+ 29e16: 0d36.*
+ 29e17: 6f91.*
+ 29e18: 0c36.*
+ 29e19: 6f91.*
+ 29e1a: 0e36.*
+ 29e1b: 6f91.*
+ 29e1c: 0f36.*
+ 29e1d: 6f91.*
+ 29e1e: 0f36.*
+ 29e1f: 6f91.*
+ 29e20: 0c37.*
+ 29e21: 6f91.*
+ 29e22: 0d37.*
+ 29e23: 6f91.*
+ 29e24: 0c37.*
+ 29e25: 6f91.*
+ 29e26: 0e37.*
+ 29e27: 6f91.*
+ 29e28: 0f37.*
+ 29e29: 6f91.*
+ 29e2a: 0f37.*
+ 29e2b: 6f91.*
+ 29e2c: 0c38.*
+ 29e2d: 6f91.*
+ 29e2e: 0d38.*
+ 29e2f: 6f91.*
+ 29e30: 0c38.*
+ 29e31: 6f91.*
+ 29e32: 0e38.*
+ 29e33: 6f91.*
+ 29e34: 0f38.*
+ 29e35: 6f91.*
+ 29e36: 0f38.*
+ 29e37: 6f91.*
+ 29e38: 0c39.*
+ 29e39: 6f91.*
+ 29e3a: 0d39.*
+ 29e3b: 6f91.*
+ 29e3c: 0c39.*
+ 29e3d: 6f91.*
+ 29e3e: 0e39.*
+ 29e3f: 6f91.*
+ 29e40: 0f39.*
+ 29e41: 6f91.*
+ 29e42: 0f39.*
+ 29e43: 6f91.*
+ 29e44: 0c3a.*
+ 29e45: 6f91.*
+ 29e46: 0d3a.*
+ 29e47: 6f91.*
+ 29e48: 0c3a.*
+ 29e49: 6f91.*
+ 29e4a: 0e3a.*
+ 29e4b: 6f91.*
+ 29e4c: 0f3a.*
+ 29e4d: 6f91.*
+ 29e4e: 0f3a.*
+ 29e4f: 6f91.*
+ 29e50: 0c3b.*
+ 29e51: 6f91.*
+ 29e52: 0d3b.*
+ 29e53: 6f91.*
+ 29e54: 0c3b.*
+ 29e55: 6f91.*
+ 29e56: 0e3b.*
+ 29e57: 6f91.*
+ 29e58: 0f3b.*
+ 29e59: 6f91.*
+ 29e5a: 0f3b.*
+ 29e5b: 6f91.*
+ 29e5c: 0c3c.*
+ 29e5d: 6f91.*
+ 29e5e: 0d3c.*
+ 29e5f: 6f91.*
+ 29e60: 0c3c.*
+ 29e61: 6f91.*
+ 29e62: 0e3c.*
+ 29e63: 6f91.*
+ 29e64: 0f3c.*
+ 29e65: 6f91.*
+ 29e66: 0f3c.*
+ 29e67: 6f91.*
+ 29e68: 0c3d.*
+ 29e69: 6f91.*
+ 29e6a: 0d3d.*
+ 29e6b: 6f91.*
+ 29e6c: 0c3d.*
+ 29e6d: 6f91.*
+ 29e6e: 0e3d.*
+ 29e6f: 6f91.*
+ 29e70: 0f3d.*
+ 29e71: 6f91.*
+ 29e72: 0f3d.*
+ 29e73: 6f91.*
+ 29e74: 0c3e.*
+ 29e75: 6f91.*
+ 29e76: 0d3e.*
+ 29e77: 6f91.*
+ 29e78: 0c3e.*
+ 29e79: 6f91.*
+ 29e7a: 0e3e.*
+ 29e7b: 6f91.*
+ 29e7c: 0f3e.*
+ 29e7d: 6f91.*
+ 29e7e: 0f3e.*
+ 29e7f: 6f91.*
+ 29e80: 0c3f.*
+ 29e81: 6f91.*
+ 29e82: 0d3f.*
+ 29e83: 6f91.*
+ 29e84: 0c3f.*
+ 29e85: 6f91.*
+ 29e86: 0e3f.*
+ 29e87: 6f91.*
+ 29e88: 0f3f.*
+ 29e89: 6f91.*
+ 29e8a: 0f3f.*
+ 29e8b: 0891.*
+ 29e8c: 6f91.*
+ 29e8d: 0d20.*
+ 29e8e: 0891.*
+ 29e8f: 6f91.*
+ 29e90: 0e20.*
+ 29e91: 0991.*
+ 29e92: 0991.*
+ 29e93: 6f91.*
+ 29e94: 0c21.*
+ 29e95: 6f91.*
+ 29e96: 0d21.*
+ 29e97: 6f91.*
+ 29e98: 0c21.*
+ 29e99: 6f91.*
+ 29e9a: 0e21.*
+ 29e9b: 6f91.*
+ 29e9c: 0f21.*
+ 29e9d: 6f91.*
+ 29e9e: 0f21.*
+ 29e9f: 6f91.*
+ 29ea0: 0c22.*
+ 29ea1: 6f91.*
+ 29ea2: 0d22.*
+ 29ea3: 6f91.*
+ 29ea4: 0c22.*
+ 29ea5: 6f91.*
+ 29ea6: 0e22.*
+ 29ea7: 6f91.*
+ 29ea8: 0f22.*
+ 29ea9: 6f91.*
+ 29eaa: 0f22.*
+ 29eab: 6f91.*
+ 29eac: 0c23.*
+ 29ead: 6f91.*
+ 29eae: 0d23.*
+ 29eaf: 6f91.*
+ 29eb0: 0c23.*
+ 29eb1: 6f91.*
+ 29eb2: 0e23.*
+ 29eb3: 6f91.*
+ 29eb4: 0f23.*
+ 29eb5: 6f91.*
+ 29eb6: 0f23.*
+ 29eb7: 6f91.*
+ 29eb8: 0c24.*
+ 29eb9: 6f91.*
+ 29eba: 0d24.*
+ 29ebb: 6f91.*
+ 29ebc: 0c24.*
+ 29ebd: 6f91.*
+ 29ebe: 0e24.*
+ 29ebf: 6f91.*
+ 29ec0: 0f24.*
+ 29ec1: 6f91.*
+ 29ec2: 0f24.*
+ 29ec3: 6f91.*
+ 29ec4: 0c25.*
+ 29ec5: 6f91.*
+ 29ec6: 0d25.*
+ 29ec7: 6f91.*
+ 29ec8: 0c25.*
+ 29ec9: 6f91.*
+ 29eca: 0e25.*
+ 29ecb: 6f91.*
+ 29ecc: 0f25.*
+ 29ecd: 6f91.*
+ 29ece: 0f25.*
+ 29ecf: 6f91.*
+ 29ed0: 0c26.*
+ 29ed1: 6f91.*
+ 29ed2: 0d26.*
+ 29ed3: 6f91.*
+ 29ed4: 0c26.*
+ 29ed5: 6f91.*
+ 29ed6: 0e26.*
+ 29ed7: 6f91.*
+ 29ed8: 0f26.*
+ 29ed9: 6f91.*
+ 29eda: 0f26.*
+ 29edb: 6f91.*
+ 29edc: 0c27.*
+ 29edd: 6f91.*
+ 29ede: 0d27.*
+ 29edf: 6f91.*
+ 29ee0: 0c27.*
+ 29ee1: 6f91.*
+ 29ee2: 0e27.*
+ 29ee3: 6f91.*
+ 29ee4: 0f27.*
+ 29ee5: 6f91.*
+ 29ee6: 0f27.*
+ 29ee7: 6f91.*
+ 29ee8: 0c28.*
+ 29ee9: 6f91.*
+ 29eea: 0d28.*
+ 29eeb: 6f91.*
+ 29eec: 0c28.*
+ 29eed: 6f91.*
+ 29eee: 0e28.*
+ 29eef: 6f91.*
+ 29ef0: 0f28.*
+ 29ef1: 6f91.*
+ 29ef2: 0f28.*
+ 29ef3: 6f91.*
+ 29ef4: 0c29.*
+ 29ef5: 6f91.*
+ 29ef6: 0d29.*
+ 29ef7: 6f91.*
+ 29ef8: 0c29.*
+ 29ef9: 6f91.*
+ 29efa: 0e29.*
+ 29efb: 6f91.*
+ 29efc: 0f29.*
+ 29efd: 6f91.*
+ 29efe: 0f29.*
+ 29eff: 6f91.*
+ 29f00: 0c2a.*
+ 29f01: 6f91.*
+ 29f02: 0d2a.*
+ 29f03: 6f91.*
+ 29f04: 0c2a.*
+ 29f05: 6f91.*
+ 29f06: 0e2a.*
+ 29f07: 6f91.*
+ 29f08: 0f2a.*
+ 29f09: 6f91.*
+ 29f0a: 0f2a.*
+ 29f0b: 6f91.*
+ 29f0c: 0c2b.*
+ 29f0d: 6f91.*
+ 29f0e: 0d2b.*
+ 29f0f: 6f91.*
+ 29f10: 0c2b.*
+ 29f11: 6f91.*
+ 29f12: 0e2b.*
+ 29f13: 6f91.*
+ 29f14: 0f2b.*
+ 29f15: 6f91.*
+ 29f16: 0f2b.*
+ 29f17: 6f91.*
+ 29f18: 0c2c.*
+ 29f19: 6f91.*
+ 29f1a: 0d2c.*
+ 29f1b: 6f91.*
+ 29f1c: 0c2c.*
+ 29f1d: 6f91.*
+ 29f1e: 0e2c.*
+ 29f1f: 6f91.*
+ 29f20: 0f2c.*
+ 29f21: 6f91.*
+ 29f22: 0f2c.*
+ 29f23: 6f91.*
+ 29f24: 0c2d.*
+ 29f25: 6f91.*
+ 29f26: 0d2d.*
+ 29f27: 6f91.*
+ 29f28: 0c2d.*
+ 29f29: 6f91.*
+ 29f2a: 0e2d.*
+ 29f2b: 6f91.*
+ 29f2c: 0f2d.*
+ 29f2d: 6f91.*
+ 29f2e: 0f2d.*
+ 29f2f: 6f91.*
+ 29f30: 0c2e.*
+ 29f31: 6f91.*
+ 29f32: 0d2e.*
+ 29f33: 6f91.*
+ 29f34: 0c2e.*
+ 29f35: 6f91.*
+ 29f36: 0e2e.*
+ 29f37: 6f91.*
+ 29f38: 0f2e.*
+ 29f39: 6f91.*
+ 29f3a: 0f2e.*
+ 29f3b: 6f91.*
+ 29f3c: 0c2f.*
+ 29f3d: 6f91.*
+ 29f3e: 0d2f.*
+ 29f3f: 6f91.*
+ 29f40: 0c2f.*
+ 29f41: 6f91.*
+ 29f42: 0e2f.*
+ 29f43: 6f91.*
+ 29f44: 0f2f.*
+ 29f45: 6f91.*
+ 29f46: 0f2f.*
+ 29f47: 08a1.*
+ 29f48: 6fa1.*
+ 29f49: 0d20.*
+ 29f4a: 08a1.*
+ 29f4b: 6fa1.*
+ 29f4c: 0e20.*
+ 29f4d: 09a1.*
+ 29f4e: 09a1.*
+ 29f4f: 6fa1.*
+ 29f50: 0c30.*
+ 29f51: 6fa1.*
+ 29f52: 0d30.*
+ 29f53: 6fa1.*
+ 29f54: 0c30.*
+ 29f55: 6fa1.*
+ 29f56: 0e30.*
+ 29f57: 6fa1.*
+ 29f58: 0f30.*
+ 29f59: 6fa1.*
+ 29f5a: 0f30.*
+ 29f5b: 6fa1.*
+ 29f5c: 0c31.*
+ 29f5d: 6fa1.*
+ 29f5e: 0d31.*
+ 29f5f: 6fa1.*
+ 29f60: 0c31.*
+ 29f61: 6fa1.*
+ 29f62: 0e31.*
+ 29f63: 6fa1.*
+ 29f64: 0f31.*
+ 29f65: 6fa1.*
+ 29f66: 0f31.*
+ 29f67: 6fa1.*
+ 29f68: 0c32.*
+ 29f69: 6fa1.*
+ 29f6a: 0d32.*
+ 29f6b: 6fa1.*
+ 29f6c: 0c32.*
+ 29f6d: 6fa1.*
+ 29f6e: 0e32.*
+ 29f6f: 6fa1.*
+ 29f70: 0f32.*
+ 29f71: 6fa1.*
+ 29f72: 0f32.*
+ 29f73: 6fa1.*
+ 29f74: 0c33.*
+ 29f75: 6fa1.*
+ 29f76: 0d33.*
+ 29f77: 6fa1.*
+ 29f78: 0c33.*
+ 29f79: 6fa1.*
+ 29f7a: 0e33.*
+ 29f7b: 6fa1.*
+ 29f7c: 0f33.*
+ 29f7d: 6fa1.*
+ 29f7e: 0f33.*
+ 29f7f: 6fa1.*
+ 29f80: 0c34.*
+ 29f81: 6fa1.*
+ 29f82: 0d34.*
+ 29f83: 6fa1.*
+ 29f84: 0c34.*
+ 29f85: 6fa1.*
+ 29f86: 0e34.*
+ 29f87: 6fa1.*
+ 29f88: 0f34.*
+ 29f89: 6fa1.*
+ 29f8a: 0f34.*
+ 29f8b: 6fa1.*
+ 29f8c: 0c35.*
+ 29f8d: 6fa1.*
+ 29f8e: 0d35.*
+ 29f8f: 6fa1.*
+ 29f90: 0c35.*
+ 29f91: 6fa1.*
+ 29f92: 0e35.*
+ 29f93: 6fa1.*
+ 29f94: 0f35.*
+ 29f95: 6fa1.*
+ 29f96: 0f35.*
+ 29f97: 6fa1.*
+ 29f98: 0c36.*
+ 29f99: 6fa1.*
+ 29f9a: 0d36.*
+ 29f9b: 6fa1.*
+ 29f9c: 0c36.*
+ 29f9d: 6fa1.*
+ 29f9e: 0e36.*
+ 29f9f: 6fa1.*
+ 29fa0: 0f36.*
+ 29fa1: 6fa1.*
+ 29fa2: 0f36.*
+ 29fa3: 6fa1.*
+ 29fa4: 0c37.*
+ 29fa5: 6fa1.*
+ 29fa6: 0d37.*
+ 29fa7: 6fa1.*
+ 29fa8: 0c37.*
+ 29fa9: 6fa1.*
+ 29faa: 0e37.*
+ 29fab: 6fa1.*
+ 29fac: 0f37.*
+ 29fad: 6fa1.*
+ 29fae: 0f37.*
+ 29faf: 6fa1.*
+ 29fb0: 0c38.*
+ 29fb1: 6fa1.*
+ 29fb2: 0d38.*
+ 29fb3: 6fa1.*
+ 29fb4: 0c38.*
+ 29fb5: 6fa1.*
+ 29fb6: 0e38.*
+ 29fb7: 6fa1.*
+ 29fb8: 0f38.*
+ 29fb9: 6fa1.*
+ 29fba: 0f38.*
+ 29fbb: 6fa1.*
+ 29fbc: 0c39.*
+ 29fbd: 6fa1.*
+ 29fbe: 0d39.*
+ 29fbf: 6fa1.*
+ 29fc0: 0c39.*
+ 29fc1: 6fa1.*
+ 29fc2: 0e39.*
+ 29fc3: 6fa1.*
+ 29fc4: 0f39.*
+ 29fc5: 6fa1.*
+ 29fc6: 0f39.*
+ 29fc7: 6fa1.*
+ 29fc8: 0c3a.*
+ 29fc9: 6fa1.*
+ 29fca: 0d3a.*
+ 29fcb: 6fa1.*
+ 29fcc: 0c3a.*
+ 29fcd: 6fa1.*
+ 29fce: 0e3a.*
+ 29fcf: 6fa1.*
+ 29fd0: 0f3a.*
+ 29fd1: 6fa1.*
+ 29fd2: 0f3a.*
+ 29fd3: 6fa1.*
+ 29fd4: 0c3b.*
+ 29fd5: 6fa1.*
+ 29fd6: 0d3b.*
+ 29fd7: 6fa1.*
+ 29fd8: 0c3b.*
+ 29fd9: 6fa1.*
+ 29fda: 0e3b.*
+ 29fdb: 6fa1.*
+ 29fdc: 0f3b.*
+ 29fdd: 6fa1.*
+ 29fde: 0f3b.*
+ 29fdf: 6fa1.*
+ 29fe0: 0c3c.*
+ 29fe1: 6fa1.*
+ 29fe2: 0d3c.*
+ 29fe3: 6fa1.*
+ 29fe4: 0c3c.*
+ 29fe5: 6fa1.*
+ 29fe6: 0e3c.*
+ 29fe7: 6fa1.*
+ 29fe8: 0f3c.*
+ 29fe9: 6fa1.*
+ 29fea: 0f3c.*
+ 29feb: 6fa1.*
+ 29fec: 0c3d.*
+ 29fed: 6fa1.*
+ 29fee: 0d3d.*
+ 29fef: 6fa1.*
+ 29ff0: 0c3d.*
+ 29ff1: 6fa1.*
+ 29ff2: 0e3d.*
+ 29ff3: 6fa1.*
+ 29ff4: 0f3d.*
+ 29ff5: 6fa1.*
+ 29ff6: 0f3d.*
+ 29ff7: 6fa1.*
+ 29ff8: 0c3e.*
+ 29ff9: 6fa1.*
+ 29ffa: 0d3e.*
+ 29ffb: 6fa1.*
+ 29ffc: 0c3e.*
+ 29ffd: 6fa1.*
+ 29ffe: 0e3e.*
+ 29fff: 6fa1.*
+ 2a000: 0f3e.*
+ 2a001: 6fa1.*
+ 2a002: 0f3e.*
+ 2a003: 6fa1.*
+ 2a004: 0c3f.*
+ 2a005: 6fa1.*
+ 2a006: 0d3f.*
+ 2a007: 6fa1.*
+ 2a008: 0c3f.*
+ 2a009: 6fa1.*
+ 2a00a: 0e3f.*
+ 2a00b: 6fa1.*
+ 2a00c: 0f3f.*
+ 2a00d: 6fa1.*
+ 2a00e: 0f3f.*
+ 2a00f: 08a1.*
+ 2a010: 6fa1.*
+ 2a011: 0d20.*
+ 2a012: 08a1.*
+ 2a013: 6fa1.*
+ 2a014: 0e20.*
+ 2a015: 09a1.*
+ 2a016: 09a1.*
+ 2a017: 6fa1.*
+ 2a018: 0c21.*
+ 2a019: 6fa1.*
+ 2a01a: 0d21.*
+ 2a01b: 6fa1.*
+ 2a01c: 0c21.*
+ 2a01d: 6fa1.*
+ 2a01e: 0e21.*
+ 2a01f: 6fa1.*
+ 2a020: 0f21.*
+ 2a021: 6fa1.*
+ 2a022: 0f21.*
+ 2a023: 6fa1.*
+ 2a024: 0c22.*
+ 2a025: 6fa1.*
+ 2a026: 0d22.*
+ 2a027: 6fa1.*
+ 2a028: 0c22.*
+ 2a029: 6fa1.*
+ 2a02a: 0e22.*
+ 2a02b: 6fa1.*
+ 2a02c: 0f22.*
+ 2a02d: 6fa1.*
+ 2a02e: 0f22.*
+ 2a02f: 6fa1.*
+ 2a030: 0c23.*
+ 2a031: 6fa1.*
+ 2a032: 0d23.*
+ 2a033: 6fa1.*
+ 2a034: 0c23.*
+ 2a035: 6fa1.*
+ 2a036: 0e23.*
+ 2a037: 6fa1.*
+ 2a038: 0f23.*
+ 2a039: 6fa1.*
+ 2a03a: 0f23.*
+ 2a03b: 6fa1.*
+ 2a03c: 0c24.*
+ 2a03d: 6fa1.*
+ 2a03e: 0d24.*
+ 2a03f: 6fa1.*
+ 2a040: 0c24.*
+ 2a041: 6fa1.*
+ 2a042: 0e24.*
+ 2a043: 6fa1.*
+ 2a044: 0f24.*
+ 2a045: 6fa1.*
+ 2a046: 0f24.*
+ 2a047: 6fa1.*
+ 2a048: 0c25.*
+ 2a049: 6fa1.*
+ 2a04a: 0d25.*
+ 2a04b: 6fa1.*
+ 2a04c: 0c25.*
+ 2a04d: 6fa1.*
+ 2a04e: 0e25.*
+ 2a04f: 6fa1.*
+ 2a050: 0f25.*
+ 2a051: 6fa1.*
+ 2a052: 0f25.*
+ 2a053: 6fa1.*
+ 2a054: 0c26.*
+ 2a055: 6fa1.*
+ 2a056: 0d26.*
+ 2a057: 6fa1.*
+ 2a058: 0c26.*
+ 2a059: 6fa1.*
+ 2a05a: 0e26.*
+ 2a05b: 6fa1.*
+ 2a05c: 0f26.*
+ 2a05d: 6fa1.*
+ 2a05e: 0f26.*
+ 2a05f: 6fa1.*
+ 2a060: 0c27.*
+ 2a061: 6fa1.*
+ 2a062: 0d27.*
+ 2a063: 6fa1.*
+ 2a064: 0c27.*
+ 2a065: 6fa1.*
+ 2a066: 0e27.*
+ 2a067: 6fa1.*
+ 2a068: 0f27.*
+ 2a069: 6fa1.*
+ 2a06a: 0f27.*
+ 2a06b: 6fa1.*
+ 2a06c: 0c28.*
+ 2a06d: 6fa1.*
+ 2a06e: 0d28.*
+ 2a06f: 6fa1.*
+ 2a070: 0c28.*
+ 2a071: 6fa1.*
+ 2a072: 0e28.*
+ 2a073: 6fa1.*
+ 2a074: 0f28.*
+ 2a075: 6fa1.*
+ 2a076: 0f28.*
+ 2a077: 6fa1.*
+ 2a078: 0c29.*
+ 2a079: 6fa1.*
+ 2a07a: 0d29.*
+ 2a07b: 6fa1.*
+ 2a07c: 0c29.*
+ 2a07d: 6fa1.*
+ 2a07e: 0e29.*
+ 2a07f: 6fa1.*
+ 2a080: 0f29.*
+ 2a081: 6fa1.*
+ 2a082: 0f29.*
+ 2a083: 6fa1.*
+ 2a084: 0c2a.*
+ 2a085: 6fa1.*
+ 2a086: 0d2a.*
+ 2a087: 6fa1.*
+ 2a088: 0c2a.*
+ 2a089: 6fa1.*
+ 2a08a: 0e2a.*
+ 2a08b: 6fa1.*
+ 2a08c: 0f2a.*
+ 2a08d: 6fa1.*
+ 2a08e: 0f2a.*
+ 2a08f: 6fa1.*
+ 2a090: 0c2b.*
+ 2a091: 6fa1.*
+ 2a092: 0d2b.*
+ 2a093: 6fa1.*
+ 2a094: 0c2b.*
+ 2a095: 6fa1.*
+ 2a096: 0e2b.*
+ 2a097: 6fa1.*
+ 2a098: 0f2b.*
+ 2a099: 6fa1.*
+ 2a09a: 0f2b.*
+ 2a09b: 6fa1.*
+ 2a09c: 0c2c.*
+ 2a09d: 6fa1.*
+ 2a09e: 0d2c.*
+ 2a09f: 6fa1.*
+ 2a0a0: 0c2c.*
+ 2a0a1: 6fa1.*
+ 2a0a2: 0e2c.*
+ 2a0a3: 6fa1.*
+ 2a0a4: 0f2c.*
+ 2a0a5: 6fa1.*
+ 2a0a6: 0f2c.*
+ 2a0a7: 6fa1.*
+ 2a0a8: 0c2d.*
+ 2a0a9: 6fa1.*
+ 2a0aa: 0d2d.*
+ 2a0ab: 6fa1.*
+ 2a0ac: 0c2d.*
+ 2a0ad: 6fa1.*
+ 2a0ae: 0e2d.*
+ 2a0af: 6fa1.*
+ 2a0b0: 0f2d.*
+ 2a0b1: 6fa1.*
+ 2a0b2: 0f2d.*
+ 2a0b3: 6fa1.*
+ 2a0b4: 0c2e.*
+ 2a0b5: 6fa1.*
+ 2a0b6: 0d2e.*
+ 2a0b7: 6fa1.*
+ 2a0b8: 0c2e.*
+ 2a0b9: 6fa1.*
+ 2a0ba: 0e2e.*
+ 2a0bb: 6fa1.*
+ 2a0bc: 0f2e.*
+ 2a0bd: 6fa1.*
+ 2a0be: 0f2e.*
+ 2a0bf: 6fa1.*
+ 2a0c0: 0c2f.*
+ 2a0c1: 6fa1.*
+ 2a0c2: 0d2f.*
+ 2a0c3: 6fa1.*
+ 2a0c4: 0c2f.*
+ 2a0c5: 6fa1.*
+ 2a0c6: 0e2f.*
+ 2a0c7: 6fa1.*
+ 2a0c8: 0f2f.*
+ 2a0c9: 6fa1.*
+ 2a0ca: 0f2f.*
+ 2a0cb: 08a9.*
+ 2a0cc: 6fa9.*
+ 2a0cd: 0d20.*
+ 2a0ce: 08a9.*
+ 2a0cf: 6fa9.*
+ 2a0d0: 0e20.*
+ 2a0d1: 09a9.*
+ 2a0d2: 09a9.*
+ 2a0d3: 6fa9.*
+ 2a0d4: 0c30.*
+ 2a0d5: 6fa9.*
+ 2a0d6: 0d30.*
+ 2a0d7: 6fa9.*
+ 2a0d8: 0c30.*
+ 2a0d9: 6fa9.*
+ 2a0da: 0e30.*
+ 2a0db: 6fa9.*
+ 2a0dc: 0f30.*
+ 2a0dd: 6fa9.*
+ 2a0de: 0f30.*
+ 2a0df: 6fa9.*
+ 2a0e0: 0c31.*
+ 2a0e1: 6fa9.*
+ 2a0e2: 0d31.*
+ 2a0e3: 6fa9.*
+ 2a0e4: 0c31.*
+ 2a0e5: 6fa9.*
+ 2a0e6: 0e31.*
+ 2a0e7: 6fa9.*
+ 2a0e8: 0f31.*
+ 2a0e9: 6fa9.*
+ 2a0ea: 0f31.*
+ 2a0eb: 6fa9.*
+ 2a0ec: 0c32.*
+ 2a0ed: 6fa9.*
+ 2a0ee: 0d32.*
+ 2a0ef: 6fa9.*
+ 2a0f0: 0c32.*
+ 2a0f1: 6fa9.*
+ 2a0f2: 0e32.*
+ 2a0f3: 6fa9.*
+ 2a0f4: 0f32.*
+ 2a0f5: 6fa9.*
+ 2a0f6: 0f32.*
+ 2a0f7: 6fa9.*
+ 2a0f8: 0c33.*
+ 2a0f9: 6fa9.*
+ 2a0fa: 0d33.*
+ 2a0fb: 6fa9.*
+ 2a0fc: 0c33.*
+ 2a0fd: 6fa9.*
+ 2a0fe: 0e33.*
+ 2a0ff: 6fa9.*
+ 2a100: 0f33.*
+ 2a101: 6fa9.*
+ 2a102: 0f33.*
+ 2a103: 6fa9.*
+ 2a104: 0c34.*
+ 2a105: 6fa9.*
+ 2a106: 0d34.*
+ 2a107: 6fa9.*
+ 2a108: 0c34.*
+ 2a109: 6fa9.*
+ 2a10a: 0e34.*
+ 2a10b: 6fa9.*
+ 2a10c: 0f34.*
+ 2a10d: 6fa9.*
+ 2a10e: 0f34.*
+ 2a10f: 6fa9.*
+ 2a110: 0c35.*
+ 2a111: 6fa9.*
+ 2a112: 0d35.*
+ 2a113: 6fa9.*
+ 2a114: 0c35.*
+ 2a115: 6fa9.*
+ 2a116: 0e35.*
+ 2a117: 6fa9.*
+ 2a118: 0f35.*
+ 2a119: 6fa9.*
+ 2a11a: 0f35.*
+ 2a11b: 6fa9.*
+ 2a11c: 0c36.*
+ 2a11d: 6fa9.*
+ 2a11e: 0d36.*
+ 2a11f: 6fa9.*
+ 2a120: 0c36.*
+ 2a121: 6fa9.*
+ 2a122: 0e36.*
+ 2a123: 6fa9.*
+ 2a124: 0f36.*
+ 2a125: 6fa9.*
+ 2a126: 0f36.*
+ 2a127: 6fa9.*
+ 2a128: 0c37.*
+ 2a129: 6fa9.*
+ 2a12a: 0d37.*
+ 2a12b: 6fa9.*
+ 2a12c: 0c37.*
+ 2a12d: 6fa9.*
+ 2a12e: 0e37.*
+ 2a12f: 6fa9.*
+ 2a130: 0f37.*
+ 2a131: 6fa9.*
+ 2a132: 0f37.*
+ 2a133: 6fa9.*
+ 2a134: 0c38.*
+ 2a135: 6fa9.*
+ 2a136: 0d38.*
+ 2a137: 6fa9.*
+ 2a138: 0c38.*
+ 2a139: 6fa9.*
+ 2a13a: 0e38.*
+ 2a13b: 6fa9.*
+ 2a13c: 0f38.*
+ 2a13d: 6fa9.*
+ 2a13e: 0f38.*
+ 2a13f: 6fa9.*
+ 2a140: 0c39.*
+ 2a141: 6fa9.*
+ 2a142: 0d39.*
+ 2a143: 6fa9.*
+ 2a144: 0c39.*
+ 2a145: 6fa9.*
+ 2a146: 0e39.*
+ 2a147: 6fa9.*
+ 2a148: 0f39.*
+ 2a149: 6fa9.*
+ 2a14a: 0f39.*
+ 2a14b: 6fa9.*
+ 2a14c: 0c3a.*
+ 2a14d: 6fa9.*
+ 2a14e: 0d3a.*
+ 2a14f: 6fa9.*
+ 2a150: 0c3a.*
+ 2a151: 6fa9.*
+ 2a152: 0e3a.*
+ 2a153: 6fa9.*
+ 2a154: 0f3a.*
+ 2a155: 6fa9.*
+ 2a156: 0f3a.*
+ 2a157: 6fa9.*
+ 2a158: 0c3b.*
+ 2a159: 6fa9.*
+ 2a15a: 0d3b.*
+ 2a15b: 6fa9.*
+ 2a15c: 0c3b.*
+ 2a15d: 6fa9.*
+ 2a15e: 0e3b.*
+ 2a15f: 6fa9.*
+ 2a160: 0f3b.*
+ 2a161: 6fa9.*
+ 2a162: 0f3b.*
+ 2a163: 6fa9.*
+ 2a164: 0c3c.*
+ 2a165: 6fa9.*
+ 2a166: 0d3c.*
+ 2a167: 6fa9.*
+ 2a168: 0c3c.*
+ 2a169: 6fa9.*
+ 2a16a: 0e3c.*
+ 2a16b: 6fa9.*
+ 2a16c: 0f3c.*
+ 2a16d: 6fa9.*
+ 2a16e: 0f3c.*
+ 2a16f: 6fa9.*
+ 2a170: 0c3d.*
+ 2a171: 6fa9.*
+ 2a172: 0d3d.*
+ 2a173: 6fa9.*
+ 2a174: 0c3d.*
+ 2a175: 6fa9.*
+ 2a176: 0e3d.*
+ 2a177: 6fa9.*
+ 2a178: 0f3d.*
+ 2a179: 6fa9.*
+ 2a17a: 0f3d.*
+ 2a17b: 6fa9.*
+ 2a17c: 0c3e.*
+ 2a17d: 6fa9.*
+ 2a17e: 0d3e.*
+ 2a17f: 6fa9.*
+ 2a180: 0c3e.*
+ 2a181: 6fa9.*
+ 2a182: 0e3e.*
+ 2a183: 6fa9.*
+ 2a184: 0f3e.*
+ 2a185: 6fa9.*
+ 2a186: 0f3e.*
+ 2a187: 6fa9.*
+ 2a188: 0c3f.*
+ 2a189: 6fa9.*
+ 2a18a: 0d3f.*
+ 2a18b: 6fa9.*
+ 2a18c: 0c3f.*
+ 2a18d: 6fa9.*
+ 2a18e: 0e3f.*
+ 2a18f: 6fa9.*
+ 2a190: 0f3f.*
+ 2a191: 6fa9.*
+ 2a192: 0f3f.*
+ 2a193: 08a9.*
+ 2a194: 6fa9.*
+ 2a195: 0d20.*
+ 2a196: 08a9.*
+ 2a197: 6fa9.*
+ 2a198: 0e20.*
+ 2a199: 09a9.*
+ 2a19a: 09a9.*
+ 2a19b: 6fa9.*
+ 2a19c: 0c21.*
+ 2a19d: 6fa9.*
+ 2a19e: 0d21.*
+ 2a19f: 6fa9.*
+ 2a1a0: 0c21.*
+ 2a1a1: 6fa9.*
+ 2a1a2: 0e21.*
+ 2a1a3: 6fa9.*
+ 2a1a4: 0f21.*
+ 2a1a5: 6fa9.*
+ 2a1a6: 0f21.*
+ 2a1a7: 6fa9.*
+ 2a1a8: 0c22.*
+ 2a1a9: 6fa9.*
+ 2a1aa: 0d22.*
+ 2a1ab: 6fa9.*
+ 2a1ac: 0c22.*
+ 2a1ad: 6fa9.*
+ 2a1ae: 0e22.*
+ 2a1af: 6fa9.*
+ 2a1b0: 0f22.*
+ 2a1b1: 6fa9.*
+ 2a1b2: 0f22.*
+ 2a1b3: 6fa9.*
+ 2a1b4: 0c23.*
+ 2a1b5: 6fa9.*
+ 2a1b6: 0d23.*
+ 2a1b7: 6fa9.*
+ 2a1b8: 0c23.*
+ 2a1b9: 6fa9.*
+ 2a1ba: 0e23.*
+ 2a1bb: 6fa9.*
+ 2a1bc: 0f23.*
+ 2a1bd: 6fa9.*
+ 2a1be: 0f23.*
+ 2a1bf: 6fa9.*
+ 2a1c0: 0c24.*
+ 2a1c1: 6fa9.*
+ 2a1c2: 0d24.*
+ 2a1c3: 6fa9.*
+ 2a1c4: 0c24.*
+ 2a1c5: 6fa9.*
+ 2a1c6: 0e24.*
+ 2a1c7: 6fa9.*
+ 2a1c8: 0f24.*
+ 2a1c9: 6fa9.*
+ 2a1ca: 0f24.*
+ 2a1cb: 6fa9.*
+ 2a1cc: 0c25.*
+ 2a1cd: 6fa9.*
+ 2a1ce: 0d25.*
+ 2a1cf: 6fa9.*
+ 2a1d0: 0c25.*
+ 2a1d1: 6fa9.*
+ 2a1d2: 0e25.*
+ 2a1d3: 6fa9.*
+ 2a1d4: 0f25.*
+ 2a1d5: 6fa9.*
+ 2a1d6: 0f25.*
+ 2a1d7: 6fa9.*
+ 2a1d8: 0c26.*
+ 2a1d9: 6fa9.*
+ 2a1da: 0d26.*
+ 2a1db: 6fa9.*
+ 2a1dc: 0c26.*
+ 2a1dd: 6fa9.*
+ 2a1de: 0e26.*
+ 2a1df: 6fa9.*
+ 2a1e0: 0f26.*
+ 2a1e1: 6fa9.*
+ 2a1e2: 0f26.*
+ 2a1e3: 6fa9.*
+ 2a1e4: 0c27.*
+ 2a1e5: 6fa9.*
+ 2a1e6: 0d27.*
+ 2a1e7: 6fa9.*
+ 2a1e8: 0c27.*
+ 2a1e9: 6fa9.*
+ 2a1ea: 0e27.*
+ 2a1eb: 6fa9.*
+ 2a1ec: 0f27.*
+ 2a1ed: 6fa9.*
+ 2a1ee: 0f27.*
+ 2a1ef: 6fa9.*
+ 2a1f0: 0c28.*
+ 2a1f1: 6fa9.*
+ 2a1f2: 0d28.*
+ 2a1f3: 6fa9.*
+ 2a1f4: 0c28.*
+ 2a1f5: 6fa9.*
+ 2a1f6: 0e28.*
+ 2a1f7: 6fa9.*
+ 2a1f8: 0f28.*
+ 2a1f9: 6fa9.*
+ 2a1fa: 0f28.*
+ 2a1fb: 6fa9.*
+ 2a1fc: 0c29.*
+ 2a1fd: 6fa9.*
+ 2a1fe: 0d29.*
+ 2a1ff: 6fa9.*
+ 2a200: 0c29.*
+ 2a201: 6fa9.*
+ 2a202: 0e29.*
+ 2a203: 6fa9.*
+ 2a204: 0f29.*
+ 2a205: 6fa9.*
+ 2a206: 0f29.*
+ 2a207: 6fa9.*
+ 2a208: 0c2a.*
+ 2a209: 6fa9.*
+ 2a20a: 0d2a.*
+ 2a20b: 6fa9.*
+ 2a20c: 0c2a.*
+ 2a20d: 6fa9.*
+ 2a20e: 0e2a.*
+ 2a20f: 6fa9.*
+ 2a210: 0f2a.*
+ 2a211: 6fa9.*
+ 2a212: 0f2a.*
+ 2a213: 6fa9.*
+ 2a214: 0c2b.*
+ 2a215: 6fa9.*
+ 2a216: 0d2b.*
+ 2a217: 6fa9.*
+ 2a218: 0c2b.*
+ 2a219: 6fa9.*
+ 2a21a: 0e2b.*
+ 2a21b: 6fa9.*
+ 2a21c: 0f2b.*
+ 2a21d: 6fa9.*
+ 2a21e: 0f2b.*
+ 2a21f: 6fa9.*
+ 2a220: 0c2c.*
+ 2a221: 6fa9.*
+ 2a222: 0d2c.*
+ 2a223: 6fa9.*
+ 2a224: 0c2c.*
+ 2a225: 6fa9.*
+ 2a226: 0e2c.*
+ 2a227: 6fa9.*
+ 2a228: 0f2c.*
+ 2a229: 6fa9.*
+ 2a22a: 0f2c.*
+ 2a22b: 6fa9.*
+ 2a22c: 0c2d.*
+ 2a22d: 6fa9.*
+ 2a22e: 0d2d.*
+ 2a22f: 6fa9.*
+ 2a230: 0c2d.*
+ 2a231: 6fa9.*
+ 2a232: 0e2d.*
+ 2a233: 6fa9.*
+ 2a234: 0f2d.*
+ 2a235: 6fa9.*
+ 2a236: 0f2d.*
+ 2a237: 6fa9.*
+ 2a238: 0c2e.*
+ 2a239: 6fa9.*
+ 2a23a: 0d2e.*
+ 2a23b: 6fa9.*
+ 2a23c: 0c2e.*
+ 2a23d: 6fa9.*
+ 2a23e: 0e2e.*
+ 2a23f: 6fa9.*
+ 2a240: 0f2e.*
+ 2a241: 6fa9.*
+ 2a242: 0f2e.*
+ 2a243: 6fa9.*
+ 2a244: 0c2f.*
+ 2a245: 6fa9.*
+ 2a246: 0d2f.*
+ 2a247: 6fa9.*
+ 2a248: 0c2f.*
+ 2a249: 6fa9.*
+ 2a24a: 0e2f.*
+ 2a24b: 6fa9.*
+ 2a24c: 0f2f.*
+ 2a24d: 6fa9.*
+ 2a24e: 0f2f.*
+ 2a24f: 08b1.*
+ 2a250: 6fb1.*
+ 2a251: 0d20.*
+ 2a252: 08b1.*
+ 2a253: 6fb1.*
+ 2a254: 0e20.*
+ 2a255: 09b1.*
+ 2a256: 09b1.*
+ 2a257: 6fb1.*
+ 2a258: 0c30.*
+ 2a259: 6fb1.*
+ 2a25a: 0d30.*
+ 2a25b: 6fb1.*
+ 2a25c: 0c30.*
+ 2a25d: 6fb1.*
+ 2a25e: 0e30.*
+ 2a25f: 6fb1.*
+ 2a260: 0f30.*
+ 2a261: 6fb1.*
+ 2a262: 0f30.*
+ 2a263: 6fb1.*
+ 2a264: 0c31.*
+ 2a265: 6fb1.*
+ 2a266: 0d31.*
+ 2a267: 6fb1.*
+ 2a268: 0c31.*
+ 2a269: 6fb1.*
+ 2a26a: 0e31.*
+ 2a26b: 6fb1.*
+ 2a26c: 0f31.*
+ 2a26d: 6fb1.*
+ 2a26e: 0f31.*
+ 2a26f: 6fb1.*
+ 2a270: 0c32.*
+ 2a271: 6fb1.*
+ 2a272: 0d32.*
+ 2a273: 6fb1.*
+ 2a274: 0c32.*
+ 2a275: 6fb1.*
+ 2a276: 0e32.*
+ 2a277: 6fb1.*
+ 2a278: 0f32.*
+ 2a279: 6fb1.*
+ 2a27a: 0f32.*
+ 2a27b: 6fb1.*
+ 2a27c: 0c33.*
+ 2a27d: 6fb1.*
+ 2a27e: 0d33.*
+ 2a27f: 6fb1.*
+ 2a280: 0c33.*
+ 2a281: 6fb1.*
+ 2a282: 0e33.*
+ 2a283: 6fb1.*
+ 2a284: 0f33.*
+ 2a285: 6fb1.*
+ 2a286: 0f33.*
+ 2a287: 6fb1.*
+ 2a288: 0c34.*
+ 2a289: 6fb1.*
+ 2a28a: 0d34.*
+ 2a28b: 6fb1.*
+ 2a28c: 0c34.*
+ 2a28d: 6fb1.*
+ 2a28e: 0e34.*
+ 2a28f: 6fb1.*
+ 2a290: 0f34.*
+ 2a291: 6fb1.*
+ 2a292: 0f34.*
+ 2a293: 6fb1.*
+ 2a294: 0c35.*
+ 2a295: 6fb1.*
+ 2a296: 0d35.*
+ 2a297: 6fb1.*
+ 2a298: 0c35.*
+ 2a299: 6fb1.*
+ 2a29a: 0e35.*
+ 2a29b: 6fb1.*
+ 2a29c: 0f35.*
+ 2a29d: 6fb1.*
+ 2a29e: 0f35.*
+ 2a29f: 6fb1.*
+ 2a2a0: 0c36.*
+ 2a2a1: 6fb1.*
+ 2a2a2: 0d36.*
+ 2a2a3: 6fb1.*
+ 2a2a4: 0c36.*
+ 2a2a5: 6fb1.*
+ 2a2a6: 0e36.*
+ 2a2a7: 6fb1.*
+ 2a2a8: 0f36.*
+ 2a2a9: 6fb1.*
+ 2a2aa: 0f36.*
+ 2a2ab: 6fb1.*
+ 2a2ac: 0c37.*
+ 2a2ad: 6fb1.*
+ 2a2ae: 0d37.*
+ 2a2af: 6fb1.*
+ 2a2b0: 0c37.*
+ 2a2b1: 6fb1.*
+ 2a2b2: 0e37.*
+ 2a2b3: 6fb1.*
+ 2a2b4: 0f37.*
+ 2a2b5: 6fb1.*
+ 2a2b6: 0f37.*
+ 2a2b7: 6fb1.*
+ 2a2b8: 0c38.*
+ 2a2b9: 6fb1.*
+ 2a2ba: 0d38.*
+ 2a2bb: 6fb1.*
+ 2a2bc: 0c38.*
+ 2a2bd: 6fb1.*
+ 2a2be: 0e38.*
+ 2a2bf: 6fb1.*
+ 2a2c0: 0f38.*
+ 2a2c1: 6fb1.*
+ 2a2c2: 0f38.*
+ 2a2c3: 6fb1.*
+ 2a2c4: 0c39.*
+ 2a2c5: 6fb1.*
+ 2a2c6: 0d39.*
+ 2a2c7: 6fb1.*
+ 2a2c8: 0c39.*
+ 2a2c9: 6fb1.*
+ 2a2ca: 0e39.*
+ 2a2cb: 6fb1.*
+ 2a2cc: 0f39.*
+ 2a2cd: 6fb1.*
+ 2a2ce: 0f39.*
+ 2a2cf: 6fb1.*
+ 2a2d0: 0c3a.*
+ 2a2d1: 6fb1.*
+ 2a2d2: 0d3a.*
+ 2a2d3: 6fb1.*
+ 2a2d4: 0c3a.*
+ 2a2d5: 6fb1.*
+ 2a2d6: 0e3a.*
+ 2a2d7: 6fb1.*
+ 2a2d8: 0f3a.*
+ 2a2d9: 6fb1.*
+ 2a2da: 0f3a.*
+ 2a2db: 6fb1.*
+ 2a2dc: 0c3b.*
+ 2a2dd: 6fb1.*
+ 2a2de: 0d3b.*
+ 2a2df: 6fb1.*
+ 2a2e0: 0c3b.*
+ 2a2e1: 6fb1.*
+ 2a2e2: 0e3b.*
+ 2a2e3: 6fb1.*
+ 2a2e4: 0f3b.*
+ 2a2e5: 6fb1.*
+ 2a2e6: 0f3b.*
+ 2a2e7: 6fb1.*
+ 2a2e8: 0c3c.*
+ 2a2e9: 6fb1.*
+ 2a2ea: 0d3c.*
+ 2a2eb: 6fb1.*
+ 2a2ec: 0c3c.*
+ 2a2ed: 6fb1.*
+ 2a2ee: 0e3c.*
+ 2a2ef: 6fb1.*
+ 2a2f0: 0f3c.*
+ 2a2f1: 6fb1.*
+ 2a2f2: 0f3c.*
+ 2a2f3: 6fb1.*
+ 2a2f4: 0c3d.*
+ 2a2f5: 6fb1.*
+ 2a2f6: 0d3d.*
+ 2a2f7: 6fb1.*
+ 2a2f8: 0c3d.*
+ 2a2f9: 6fb1.*
+ 2a2fa: 0e3d.*
+ 2a2fb: 6fb1.*
+ 2a2fc: 0f3d.*
+ 2a2fd: 6fb1.*
+ 2a2fe: 0f3d.*
+ 2a2ff: 6fb1.*
+ 2a300: 0c3e.*
+ 2a301: 6fb1.*
+ 2a302: 0d3e.*
+ 2a303: 6fb1.*
+ 2a304: 0c3e.*
+ 2a305: 6fb1.*
+ 2a306: 0e3e.*
+ 2a307: 6fb1.*
+ 2a308: 0f3e.*
+ 2a309: 6fb1.*
+ 2a30a: 0f3e.*
+ 2a30b: 6fb1.*
+ 2a30c: 0c3f.*
+ 2a30d: 6fb1.*
+ 2a30e: 0d3f.*
+ 2a30f: 6fb1.*
+ 2a310: 0c3f.*
+ 2a311: 6fb1.*
+ 2a312: 0e3f.*
+ 2a313: 6fb1.*
+ 2a314: 0f3f.*
+ 2a315: 6fb1.*
+ 2a316: 0f3f.*
+ 2a317: 08b1.*
+ 2a318: 6fb1.*
+ 2a319: 0d20.*
+ 2a31a: 08b1.*
+ 2a31b: 6fb1.*
+ 2a31c: 0e20.*
+ 2a31d: 09b1.*
+ 2a31e: 09b1.*
+ 2a31f: 6fb1.*
+ 2a320: 0c21.*
+ 2a321: 6fb1.*
+ 2a322: 0d21.*
+ 2a323: 6fb1.*
+ 2a324: 0c21.*
+ 2a325: 6fb1.*
+ 2a326: 0e21.*
+ 2a327: 6fb1.*
+ 2a328: 0f21.*
+ 2a329: 6fb1.*
+ 2a32a: 0f21.*
+ 2a32b: 6fb1.*
+ 2a32c: 0c22.*
+ 2a32d: 6fb1.*
+ 2a32e: 0d22.*
+ 2a32f: 6fb1.*
+ 2a330: 0c22.*
+ 2a331: 6fb1.*
+ 2a332: 0e22.*
+ 2a333: 6fb1.*
+ 2a334: 0f22.*
+ 2a335: 6fb1.*
+ 2a336: 0f22.*
+ 2a337: 6fb1.*
+ 2a338: 0c23.*
+ 2a339: 6fb1.*
+ 2a33a: 0d23.*
+ 2a33b: 6fb1.*
+ 2a33c: 0c23.*
+ 2a33d: 6fb1.*
+ 2a33e: 0e23.*
+ 2a33f: 6fb1.*
+ 2a340: 0f23.*
+ 2a341: 6fb1.*
+ 2a342: 0f23.*
+ 2a343: 6fb1.*
+ 2a344: 0c24.*
+ 2a345: 6fb1.*
+ 2a346: 0d24.*
+ 2a347: 6fb1.*
+ 2a348: 0c24.*
+ 2a349: 6fb1.*
+ 2a34a: 0e24.*
+ 2a34b: 6fb1.*
+ 2a34c: 0f24.*
+ 2a34d: 6fb1.*
+ 2a34e: 0f24.*
+ 2a34f: 6fb1.*
+ 2a350: 0c25.*
+ 2a351: 6fb1.*
+ 2a352: 0d25.*
+ 2a353: 6fb1.*
+ 2a354: 0c25.*
+ 2a355: 6fb1.*
+ 2a356: 0e25.*
+ 2a357: 6fb1.*
+ 2a358: 0f25.*
+ 2a359: 6fb1.*
+ 2a35a: 0f25.*
+ 2a35b: 6fb1.*
+ 2a35c: 0c26.*
+ 2a35d: 6fb1.*
+ 2a35e: 0d26.*
+ 2a35f: 6fb1.*
+ 2a360: 0c26.*
+ 2a361: 6fb1.*
+ 2a362: 0e26.*
+ 2a363: 6fb1.*
+ 2a364: 0f26.*
+ 2a365: 6fb1.*
+ 2a366: 0f26.*
+ 2a367: 6fb1.*
+ 2a368: 0c27.*
+ 2a369: 6fb1.*
+ 2a36a: 0d27.*
+ 2a36b: 6fb1.*
+ 2a36c: 0c27.*
+ 2a36d: 6fb1.*
+ 2a36e: 0e27.*
+ 2a36f: 6fb1.*
+ 2a370: 0f27.*
+ 2a371: 6fb1.*
+ 2a372: 0f27.*
+ 2a373: 6fb1.*
+ 2a374: 0c28.*
+ 2a375: 6fb1.*
+ 2a376: 0d28.*
+ 2a377: 6fb1.*
+ 2a378: 0c28.*
+ 2a379: 6fb1.*
+ 2a37a: 0e28.*
+ 2a37b: 6fb1.*
+ 2a37c: 0f28.*
+ 2a37d: 6fb1.*
+ 2a37e: 0f28.*
+ 2a37f: 6fb1.*
+ 2a380: 0c29.*
+ 2a381: 6fb1.*
+ 2a382: 0d29.*
+ 2a383: 6fb1.*
+ 2a384: 0c29.*
+ 2a385: 6fb1.*
+ 2a386: 0e29.*
+ 2a387: 6fb1.*
+ 2a388: 0f29.*
+ 2a389: 6fb1.*
+ 2a38a: 0f29.*
+ 2a38b: 6fb1.*
+ 2a38c: 0c2a.*
+ 2a38d: 6fb1.*
+ 2a38e: 0d2a.*
+ 2a38f: 6fb1.*
+ 2a390: 0c2a.*
+ 2a391: 6fb1.*
+ 2a392: 0e2a.*
+ 2a393: 6fb1.*
+ 2a394: 0f2a.*
+ 2a395: 6fb1.*
+ 2a396: 0f2a.*
+ 2a397: 6fb1.*
+ 2a398: 0c2b.*
+ 2a399: 6fb1.*
+ 2a39a: 0d2b.*
+ 2a39b: 6fb1.*
+ 2a39c: 0c2b.*
+ 2a39d: 6fb1.*
+ 2a39e: 0e2b.*
+ 2a39f: 6fb1.*
+ 2a3a0: 0f2b.*
+ 2a3a1: 6fb1.*
+ 2a3a2: 0f2b.*
+ 2a3a3: 6fb1.*
+ 2a3a4: 0c2c.*
+ 2a3a5: 6fb1.*
+ 2a3a6: 0d2c.*
+ 2a3a7: 6fb1.*
+ 2a3a8: 0c2c.*
+ 2a3a9: 6fb1.*
+ 2a3aa: 0e2c.*
+ 2a3ab: 6fb1.*
+ 2a3ac: 0f2c.*
+ 2a3ad: 6fb1.*
+ 2a3ae: 0f2c.*
+ 2a3af: 6fb1.*
+ 2a3b0: 0c2d.*
+ 2a3b1: 6fb1.*
+ 2a3b2: 0d2d.*
+ 2a3b3: 6fb1.*
+ 2a3b4: 0c2d.*
+ 2a3b5: 6fb1.*
+ 2a3b6: 0e2d.*
+ 2a3b7: 6fb1.*
+ 2a3b8: 0f2d.*
+ 2a3b9: 6fb1.*
+ 2a3ba: 0f2d.*
+ 2a3bb: 6fb1.*
+ 2a3bc: 0c2e.*
+ 2a3bd: 6fb1.*
+ 2a3be: 0d2e.*
+ 2a3bf: 6fb1.*
+ 2a3c0: 0c2e.*
+ 2a3c1: 6fb1.*
+ 2a3c2: 0e2e.*
+ 2a3c3: 6fb1.*
+ 2a3c4: 0f2e.*
+ 2a3c5: 6fb1.*
+ 2a3c6: 0f2e.*
+ 2a3c7: 6fb1.*
+ 2a3c8: 0c2f.*
+ 2a3c9: 6fb1.*
+ 2a3ca: 0d2f.*
+ 2a3cb: 6fb1.*
+ 2a3cc: 0c2f.*
+ 2a3cd: 6fb1.*
+ 2a3ce: 0e2f.*
+ 2a3cf: 6fb1.*
+ 2a3d0: 0f2f.*
+ 2a3d1: 6fb1.*
+ 2a3d2: 0f2f.*
+ 2a3d3: 08b9.*
+ 2a3d4: 6fb9.*
+ 2a3d5: 0d20.*
+ 2a3d6: 08b9.*
+ 2a3d7: 6fb9.*
+ 2a3d8: 0e20.*
+ 2a3d9: 09b9.*
+ 2a3da: 09b9.*
+ 2a3db: 6fb9.*
+ 2a3dc: 0c30.*
+ 2a3dd: 6fb9.*
+ 2a3de: 0d30.*
+ 2a3df: 6fb9.*
+ 2a3e0: 0c30.*
+ 2a3e1: 6fb9.*
+ 2a3e2: 0e30.*
+ 2a3e3: 6fb9.*
+ 2a3e4: 0f30.*
+ 2a3e5: 6fb9.*
+ 2a3e6: 0f30.*
+ 2a3e7: 6fb9.*
+ 2a3e8: 0c31.*
+ 2a3e9: 6fb9.*
+ 2a3ea: 0d31.*
+ 2a3eb: 6fb9.*
+ 2a3ec: 0c31.*
+ 2a3ed: 6fb9.*
+ 2a3ee: 0e31.*
+ 2a3ef: 6fb9.*
+ 2a3f0: 0f31.*
+ 2a3f1: 6fb9.*
+ 2a3f2: 0f31.*
+ 2a3f3: 6fb9.*
+ 2a3f4: 0c32.*
+ 2a3f5: 6fb9.*
+ 2a3f6: 0d32.*
+ 2a3f7: 6fb9.*
+ 2a3f8: 0c32.*
+ 2a3f9: 6fb9.*
+ 2a3fa: 0e32.*
+ 2a3fb: 6fb9.*
+ 2a3fc: 0f32.*
+ 2a3fd: 6fb9.*
+ 2a3fe: 0f32.*
+ 2a3ff: 6fb9.*
+ 2a400: 0c33.*
+ 2a401: 6fb9.*
+ 2a402: 0d33.*
+ 2a403: 6fb9.*
+ 2a404: 0c33.*
+ 2a405: 6fb9.*
+ 2a406: 0e33.*
+ 2a407: 6fb9.*
+ 2a408: 0f33.*
+ 2a409: 6fb9.*
+ 2a40a: 0f33.*
+ 2a40b: 6fb9.*
+ 2a40c: 0c34.*
+ 2a40d: 6fb9.*
+ 2a40e: 0d34.*
+ 2a40f: 6fb9.*
+ 2a410: 0c34.*
+ 2a411: 6fb9.*
+ 2a412: 0e34.*
+ 2a413: 6fb9.*
+ 2a414: 0f34.*
+ 2a415: 6fb9.*
+ 2a416: 0f34.*
+ 2a417: 6fb9.*
+ 2a418: 0c35.*
+ 2a419: 6fb9.*
+ 2a41a: 0d35.*
+ 2a41b: 6fb9.*
+ 2a41c: 0c35.*
+ 2a41d: 6fb9.*
+ 2a41e: 0e35.*
+ 2a41f: 6fb9.*
+ 2a420: 0f35.*
+ 2a421: 6fb9.*
+ 2a422: 0f35.*
+ 2a423: 6fb9.*
+ 2a424: 0c36.*
+ 2a425: 6fb9.*
+ 2a426: 0d36.*
+ 2a427: 6fb9.*
+ 2a428: 0c36.*
+ 2a429: 6fb9.*
+ 2a42a: 0e36.*
+ 2a42b: 6fb9.*
+ 2a42c: 0f36.*
+ 2a42d: 6fb9.*
+ 2a42e: 0f36.*
+ 2a42f: 6fb9.*
+ 2a430: 0c37.*
+ 2a431: 6fb9.*
+ 2a432: 0d37.*
+ 2a433: 6fb9.*
+ 2a434: 0c37.*
+ 2a435: 6fb9.*
+ 2a436: 0e37.*
+ 2a437: 6fb9.*
+ 2a438: 0f37.*
+ 2a439: 6fb9.*
+ 2a43a: 0f37.*
+ 2a43b: 6fb9.*
+ 2a43c: 0c38.*
+ 2a43d: 6fb9.*
+ 2a43e: 0d38.*
+ 2a43f: 6fb9.*
+ 2a440: 0c38.*
+ 2a441: 6fb9.*
+ 2a442: 0e38.*
+ 2a443: 6fb9.*
+ 2a444: 0f38.*
+ 2a445: 6fb9.*
+ 2a446: 0f38.*
+ 2a447: 6fb9.*
+ 2a448: 0c39.*
+ 2a449: 6fb9.*
+ 2a44a: 0d39.*
+ 2a44b: 6fb9.*
+ 2a44c: 0c39.*
+ 2a44d: 6fb9.*
+ 2a44e: 0e39.*
+ 2a44f: 6fb9.*
+ 2a450: 0f39.*
+ 2a451: 6fb9.*
+ 2a452: 0f39.*
+ 2a453: 6fb9.*
+ 2a454: 0c3a.*
+ 2a455: 6fb9.*
+ 2a456: 0d3a.*
+ 2a457: 6fb9.*
+ 2a458: 0c3a.*
+ 2a459: 6fb9.*
+ 2a45a: 0e3a.*
+ 2a45b: 6fb9.*
+ 2a45c: 0f3a.*
+ 2a45d: 6fb9.*
+ 2a45e: 0f3a.*
+ 2a45f: 6fb9.*
+ 2a460: 0c3b.*
+ 2a461: 6fb9.*
+ 2a462: 0d3b.*
+ 2a463: 6fb9.*
+ 2a464: 0c3b.*
+ 2a465: 6fb9.*
+ 2a466: 0e3b.*
+ 2a467: 6fb9.*
+ 2a468: 0f3b.*
+ 2a469: 6fb9.*
+ 2a46a: 0f3b.*
+ 2a46b: 6fb9.*
+ 2a46c: 0c3c.*
+ 2a46d: 6fb9.*
+ 2a46e: 0d3c.*
+ 2a46f: 6fb9.*
+ 2a470: 0c3c.*
+ 2a471: 6fb9.*
+ 2a472: 0e3c.*
+ 2a473: 6fb9.*
+ 2a474: 0f3c.*
+ 2a475: 6fb9.*
+ 2a476: 0f3c.*
+ 2a477: 6fb9.*
+ 2a478: 0c3d.*
+ 2a479: 6fb9.*
+ 2a47a: 0d3d.*
+ 2a47b: 6fb9.*
+ 2a47c: 0c3d.*
+ 2a47d: 6fb9.*
+ 2a47e: 0e3d.*
+ 2a47f: 6fb9.*
+ 2a480: 0f3d.*
+ 2a481: 6fb9.*
+ 2a482: 0f3d.*
+ 2a483: 6fb9.*
+ 2a484: 0c3e.*
+ 2a485: 6fb9.*
+ 2a486: 0d3e.*
+ 2a487: 6fb9.*
+ 2a488: 0c3e.*
+ 2a489: 6fb9.*
+ 2a48a: 0e3e.*
+ 2a48b: 6fb9.*
+ 2a48c: 0f3e.*
+ 2a48d: 6fb9.*
+ 2a48e: 0f3e.*
+ 2a48f: 6fb9.*
+ 2a490: 0c3f.*
+ 2a491: 6fb9.*
+ 2a492: 0d3f.*
+ 2a493: 6fb9.*
+ 2a494: 0c3f.*
+ 2a495: 6fb9.*
+ 2a496: 0e3f.*
+ 2a497: 6fb9.*
+ 2a498: 0f3f.*
+ 2a499: 6fb9.*
+ 2a49a: 0f3f.*
+ 2a49b: 08b9.*
+ 2a49c: 6fb9.*
+ 2a49d: 0d20.*
+ 2a49e: 08b9.*
+ 2a49f: 6fb9.*
+ 2a4a0: 0e20.*
+ 2a4a1: 09b9.*
+ 2a4a2: 09b9.*
+ 2a4a3: 6fb9.*
+ 2a4a4: 0c21.*
+ 2a4a5: 6fb9.*
+ 2a4a6: 0d21.*
+ 2a4a7: 6fb9.*
+ 2a4a8: 0c21.*
+ 2a4a9: 6fb9.*
+ 2a4aa: 0e21.*
+ 2a4ab: 6fb9.*
+ 2a4ac: 0f21.*
+ 2a4ad: 6fb9.*
+ 2a4ae: 0f21.*
+ 2a4af: 6fb9.*
+ 2a4b0: 0c22.*
+ 2a4b1: 6fb9.*
+ 2a4b2: 0d22.*
+ 2a4b3: 6fb9.*
+ 2a4b4: 0c22.*
+ 2a4b5: 6fb9.*
+ 2a4b6: 0e22.*
+ 2a4b7: 6fb9.*
+ 2a4b8: 0f22.*
+ 2a4b9: 6fb9.*
+ 2a4ba: 0f22.*
+ 2a4bb: 6fb9.*
+ 2a4bc: 0c23.*
+ 2a4bd: 6fb9.*
+ 2a4be: 0d23.*
+ 2a4bf: 6fb9.*
+ 2a4c0: 0c23.*
+ 2a4c1: 6fb9.*
+ 2a4c2: 0e23.*
+ 2a4c3: 6fb9.*
+ 2a4c4: 0f23.*
+ 2a4c5: 6fb9.*
+ 2a4c6: 0f23.*
+ 2a4c7: 6fb9.*
+ 2a4c8: 0c24.*
+ 2a4c9: 6fb9.*
+ 2a4ca: 0d24.*
+ 2a4cb: 6fb9.*
+ 2a4cc: 0c24.*
+ 2a4cd: 6fb9.*
+ 2a4ce: 0e24.*
+ 2a4cf: 6fb9.*
+ 2a4d0: 0f24.*
+ 2a4d1: 6fb9.*
+ 2a4d2: 0f24.*
+ 2a4d3: 6fb9.*
+ 2a4d4: 0c25.*
+ 2a4d5: 6fb9.*
+ 2a4d6: 0d25.*
+ 2a4d7: 6fb9.*
+ 2a4d8: 0c25.*
+ 2a4d9: 6fb9.*
+ 2a4da: 0e25.*
+ 2a4db: 6fb9.*
+ 2a4dc: 0f25.*
+ 2a4dd: 6fb9.*
+ 2a4de: 0f25.*
+ 2a4df: 6fb9.*
+ 2a4e0: 0c26.*
+ 2a4e1: 6fb9.*
+ 2a4e2: 0d26.*
+ 2a4e3: 6fb9.*
+ 2a4e4: 0c26.*
+ 2a4e5: 6fb9.*
+ 2a4e6: 0e26.*
+ 2a4e7: 6fb9.*
+ 2a4e8: 0f26.*
+ 2a4e9: 6fb9.*
+ 2a4ea: 0f26.*
+ 2a4eb: 6fb9.*
+ 2a4ec: 0c27.*
+ 2a4ed: 6fb9.*
+ 2a4ee: 0d27.*
+ 2a4ef: 6fb9.*
+ 2a4f0: 0c27.*
+ 2a4f1: 6fb9.*
+ 2a4f2: 0e27.*
+ 2a4f3: 6fb9.*
+ 2a4f4: 0f27.*
+ 2a4f5: 6fb9.*
+ 2a4f6: 0f27.*
+ 2a4f7: 6fb9.*
+ 2a4f8: 0c28.*
+ 2a4f9: 6fb9.*
+ 2a4fa: 0d28.*
+ 2a4fb: 6fb9.*
+ 2a4fc: 0c28.*
+ 2a4fd: 6fb9.*
+ 2a4fe: 0e28.*
+ 2a4ff: 6fb9.*
+ 2a500: 0f28.*
+ 2a501: 6fb9.*
+ 2a502: 0f28.*
+ 2a503: 6fb9.*
+ 2a504: 0c29.*
+ 2a505: 6fb9.*
+ 2a506: 0d29.*
+ 2a507: 6fb9.*
+ 2a508: 0c29.*
+ 2a509: 6fb9.*
+ 2a50a: 0e29.*
+ 2a50b: 6fb9.*
+ 2a50c: 0f29.*
+ 2a50d: 6fb9.*
+ 2a50e: 0f29.*
+ 2a50f: 6fb9.*
+ 2a510: 0c2a.*
+ 2a511: 6fb9.*
+ 2a512: 0d2a.*
+ 2a513: 6fb9.*
+ 2a514: 0c2a.*
+ 2a515: 6fb9.*
+ 2a516: 0e2a.*
+ 2a517: 6fb9.*
+ 2a518: 0f2a.*
+ 2a519: 6fb9.*
+ 2a51a: 0f2a.*
+ 2a51b: 6fb9.*
+ 2a51c: 0c2b.*
+ 2a51d: 6fb9.*
+ 2a51e: 0d2b.*
+ 2a51f: 6fb9.*
+ 2a520: 0c2b.*
+ 2a521: 6fb9.*
+ 2a522: 0e2b.*
+ 2a523: 6fb9.*
+ 2a524: 0f2b.*
+ 2a525: 6fb9.*
+ 2a526: 0f2b.*
+ 2a527: 6fb9.*
+ 2a528: 0c2c.*
+ 2a529: 6fb9.*
+ 2a52a: 0d2c.*
+ 2a52b: 6fb9.*
+ 2a52c: 0c2c.*
+ 2a52d: 6fb9.*
+ 2a52e: 0e2c.*
+ 2a52f: 6fb9.*
+ 2a530: 0f2c.*
+ 2a531: 6fb9.*
+ 2a532: 0f2c.*
+ 2a533: 6fb9.*
+ 2a534: 0c2d.*
+ 2a535: 6fb9.*
+ 2a536: 0d2d.*
+ 2a537: 6fb9.*
+ 2a538: 0c2d.*
+ 2a539: 6fb9.*
+ 2a53a: 0e2d.*
+ 2a53b: 6fb9.*
+ 2a53c: 0f2d.*
+ 2a53d: 6fb9.*
+ 2a53e: 0f2d.*
+ 2a53f: 6fb9.*
+ 2a540: 0c2e.*
+ 2a541: 6fb9.*
+ 2a542: 0d2e.*
+ 2a543: 6fb9.*
+ 2a544: 0c2e.*
+ 2a545: 6fb9.*
+ 2a546: 0e2e.*
+ 2a547: 6fb9.*
+ 2a548: 0f2e.*
+ 2a549: 6fb9.*
+ 2a54a: 0f2e.*
+ 2a54b: 6fb9.*
+ 2a54c: 0c2f.*
+ 2a54d: 6fb9.*
+ 2a54e: 0d2f.*
+ 2a54f: 6fb9.*
+ 2a550: 0c2f.*
+ 2a551: 6fb9.*
+ 2a552: 0e2f.*
+ 2a553: 6fb9.*
+ 2a554: 0f2f.*
+ 2a555: 6fb9.*
+ 2a556: 0f2f.*
+ 2a557: 08c1.*
+ 2a558: 6fc1.*
+ 2a559: 0d20.*
+ 2a55a: 08c1.*
+ 2a55b: 6fc1.*
+ 2a55c: 0e20.*
+ 2a55d: 09c1.*
+ 2a55e: 09c1.*
+ 2a55f: 6fc1.*
+ 2a560: 0c30.*
+ 2a561: 6fc1.*
+ 2a562: 0d30.*
+ 2a563: 6fc1.*
+ 2a564: 0c30.*
+ 2a565: 6fc1.*
+ 2a566: 0e30.*
+ 2a567: 6fc1.*
+ 2a568: 0f30.*
+ 2a569: 6fc1.*
+ 2a56a: 0f30.*
+ 2a56b: 6fc1.*
+ 2a56c: 0c31.*
+ 2a56d: 6fc1.*
+ 2a56e: 0d31.*
+ 2a56f: 6fc1.*
+ 2a570: 0c31.*
+ 2a571: 6fc1.*
+ 2a572: 0e31.*
+ 2a573: 6fc1.*
+ 2a574: 0f31.*
+ 2a575: 6fc1.*
+ 2a576: 0f31.*
+ 2a577: 6fc1.*
+ 2a578: 0c32.*
+ 2a579: 6fc1.*
+ 2a57a: 0d32.*
+ 2a57b: 6fc1.*
+ 2a57c: 0c32.*
+ 2a57d: 6fc1.*
+ 2a57e: 0e32.*
+ 2a57f: 6fc1.*
+ 2a580: 0f32.*
+ 2a581: 6fc1.*
+ 2a582: 0f32.*
+ 2a583: 6fc1.*
+ 2a584: 0c33.*
+ 2a585: 6fc1.*
+ 2a586: 0d33.*
+ 2a587: 6fc1.*
+ 2a588: 0c33.*
+ 2a589: 6fc1.*
+ 2a58a: 0e33.*
+ 2a58b: 6fc1.*
+ 2a58c: 0f33.*
+ 2a58d: 6fc1.*
+ 2a58e: 0f33.*
+ 2a58f: 6fc1.*
+ 2a590: 0c34.*
+ 2a591: 6fc1.*
+ 2a592: 0d34.*
+ 2a593: 6fc1.*
+ 2a594: 0c34.*
+ 2a595: 6fc1.*
+ 2a596: 0e34.*
+ 2a597: 6fc1.*
+ 2a598: 0f34.*
+ 2a599: 6fc1.*
+ 2a59a: 0f34.*
+ 2a59b: 6fc1.*
+ 2a59c: 0c35.*
+ 2a59d: 6fc1.*
+ 2a59e: 0d35.*
+ 2a59f: 6fc1.*
+ 2a5a0: 0c35.*
+ 2a5a1: 6fc1.*
+ 2a5a2: 0e35.*
+ 2a5a3: 6fc1.*
+ 2a5a4: 0f35.*
+ 2a5a5: 6fc1.*
+ 2a5a6: 0f35.*
+ 2a5a7: 6fc1.*
+ 2a5a8: 0c36.*
+ 2a5a9: 6fc1.*
+ 2a5aa: 0d36.*
+ 2a5ab: 6fc1.*
+ 2a5ac: 0c36.*
+ 2a5ad: 6fc1.*
+ 2a5ae: 0e36.*
+ 2a5af: 6fc1.*
+ 2a5b0: 0f36.*
+ 2a5b1: 6fc1.*
+ 2a5b2: 0f36.*
+ 2a5b3: 6fc1.*
+ 2a5b4: 0c37.*
+ 2a5b5: 6fc1.*
+ 2a5b6: 0d37.*
+ 2a5b7: 6fc1.*
+ 2a5b8: 0c37.*
+ 2a5b9: 6fc1.*
+ 2a5ba: 0e37.*
+ 2a5bb: 6fc1.*
+ 2a5bc: 0f37.*
+ 2a5bd: 6fc1.*
+ 2a5be: 0f37.*
+ 2a5bf: 6fc1.*
+ 2a5c0: 0c38.*
+ 2a5c1: 6fc1.*
+ 2a5c2: 0d38.*
+ 2a5c3: 6fc1.*
+ 2a5c4: 0c38.*
+ 2a5c5: 6fc1.*
+ 2a5c6: 0e38.*
+ 2a5c7: 6fc1.*
+ 2a5c8: 0f38.*
+ 2a5c9: 6fc1.*
+ 2a5ca: 0f38.*
+ 2a5cb: 6fc1.*
+ 2a5cc: 0c39.*
+ 2a5cd: 6fc1.*
+ 2a5ce: 0d39.*
+ 2a5cf: 6fc1.*
+ 2a5d0: 0c39.*
+ 2a5d1: 6fc1.*
+ 2a5d2: 0e39.*
+ 2a5d3: 6fc1.*
+ 2a5d4: 0f39.*
+ 2a5d5: 6fc1.*
+ 2a5d6: 0f39.*
+ 2a5d7: 6fc1.*
+ 2a5d8: 0c3a.*
+ 2a5d9: 6fc1.*
+ 2a5da: 0d3a.*
+ 2a5db: 6fc1.*
+ 2a5dc: 0c3a.*
+ 2a5dd: 6fc1.*
+ 2a5de: 0e3a.*
+ 2a5df: 6fc1.*
+ 2a5e0: 0f3a.*
+ 2a5e1: 6fc1.*
+ 2a5e2: 0f3a.*
+ 2a5e3: 6fc1.*
+ 2a5e4: 0c3b.*
+ 2a5e5: 6fc1.*
+ 2a5e6: 0d3b.*
+ 2a5e7: 6fc1.*
+ 2a5e8: 0c3b.*
+ 2a5e9: 6fc1.*
+ 2a5ea: 0e3b.*
+ 2a5eb: 6fc1.*
+ 2a5ec: 0f3b.*
+ 2a5ed: 6fc1.*
+ 2a5ee: 0f3b.*
+ 2a5ef: 6fc1.*
+ 2a5f0: 0c3c.*
+ 2a5f1: 6fc1.*
+ 2a5f2: 0d3c.*
+ 2a5f3: 6fc1.*
+ 2a5f4: 0c3c.*
+ 2a5f5: 6fc1.*
+ 2a5f6: 0e3c.*
+ 2a5f7: 6fc1.*
+ 2a5f8: 0f3c.*
+ 2a5f9: 6fc1.*
+ 2a5fa: 0f3c.*
+ 2a5fb: 6fc1.*
+ 2a5fc: 0c3d.*
+ 2a5fd: 6fc1.*
+ 2a5fe: 0d3d.*
+ 2a5ff: 6fc1.*
+ 2a600: 0c3d.*
+ 2a601: 6fc1.*
+ 2a602: 0e3d.*
+ 2a603: 6fc1.*
+ 2a604: 0f3d.*
+ 2a605: 6fc1.*
+ 2a606: 0f3d.*
+ 2a607: 6fc1.*
+ 2a608: 0c3e.*
+ 2a609: 6fc1.*
+ 2a60a: 0d3e.*
+ 2a60b: 6fc1.*
+ 2a60c: 0c3e.*
+ 2a60d: 6fc1.*
+ 2a60e: 0e3e.*
+ 2a60f: 6fc1.*
+ 2a610: 0f3e.*
+ 2a611: 6fc1.*
+ 2a612: 0f3e.*
+ 2a613: 6fc1.*
+ 2a614: 0c3f.*
+ 2a615: 6fc1.*
+ 2a616: 0d3f.*
+ 2a617: 6fc1.*
+ 2a618: 0c3f.*
+ 2a619: 6fc1.*
+ 2a61a: 0e3f.*
+ 2a61b: 6fc1.*
+ 2a61c: 0f3f.*
+ 2a61d: 6fc1.*
+ 2a61e: 0f3f.*
+ 2a61f: 08c1.*
+ 2a620: 6fc1.*
+ 2a621: 0d20.*
+ 2a622: 08c1.*
+ 2a623: 6fc1.*
+ 2a624: 0e20.*
+ 2a625: 09c1.*
+ 2a626: 09c1.*
+ 2a627: 6fc1.*
+ 2a628: 0c21.*
+ 2a629: 6fc1.*
+ 2a62a: 0d21.*
+ 2a62b: 6fc1.*
+ 2a62c: 0c21.*
+ 2a62d: 6fc1.*
+ 2a62e: 0e21.*
+ 2a62f: 6fc1.*
+ 2a630: 0f21.*
+ 2a631: 6fc1.*
+ 2a632: 0f21.*
+ 2a633: 6fc1.*
+ 2a634: 0c22.*
+ 2a635: 6fc1.*
+ 2a636: 0d22.*
+ 2a637: 6fc1.*
+ 2a638: 0c22.*
+ 2a639: 6fc1.*
+ 2a63a: 0e22.*
+ 2a63b: 6fc1.*
+ 2a63c: 0f22.*
+ 2a63d: 6fc1.*
+ 2a63e: 0f22.*
+ 2a63f: 6fc1.*
+ 2a640: 0c23.*
+ 2a641: 6fc1.*
+ 2a642: 0d23.*
+ 2a643: 6fc1.*
+ 2a644: 0c23.*
+ 2a645: 6fc1.*
+ 2a646: 0e23.*
+ 2a647: 6fc1.*
+ 2a648: 0f23.*
+ 2a649: 6fc1.*
+ 2a64a: 0f23.*
+ 2a64b: 6fc1.*
+ 2a64c: 0c24.*
+ 2a64d: 6fc1.*
+ 2a64e: 0d24.*
+ 2a64f: 6fc1.*
+ 2a650: 0c24.*
+ 2a651: 6fc1.*
+ 2a652: 0e24.*
+ 2a653: 6fc1.*
+ 2a654: 0f24.*
+ 2a655: 6fc1.*
+ 2a656: 0f24.*
+ 2a657: 6fc1.*
+ 2a658: 0c25.*
+ 2a659: 6fc1.*
+ 2a65a: 0d25.*
+ 2a65b: 6fc1.*
+ 2a65c: 0c25.*
+ 2a65d: 6fc1.*
+ 2a65e: 0e25.*
+ 2a65f: 6fc1.*
+ 2a660: 0f25.*
+ 2a661: 6fc1.*
+ 2a662: 0f25.*
+ 2a663: 6fc1.*
+ 2a664: 0c26.*
+ 2a665: 6fc1.*
+ 2a666: 0d26.*
+ 2a667: 6fc1.*
+ 2a668: 0c26.*
+ 2a669: 6fc1.*
+ 2a66a: 0e26.*
+ 2a66b: 6fc1.*
+ 2a66c: 0f26.*
+ 2a66d: 6fc1.*
+ 2a66e: 0f26.*
+ 2a66f: 6fc1.*
+ 2a670: 0c27.*
+ 2a671: 6fc1.*
+ 2a672: 0d27.*
+ 2a673: 6fc1.*
+ 2a674: 0c27.*
+ 2a675: 6fc1.*
+ 2a676: 0e27.*
+ 2a677: 6fc1.*
+ 2a678: 0f27.*
+ 2a679: 6fc1.*
+ 2a67a: 0f27.*
+ 2a67b: 6fc1.*
+ 2a67c: 0c28.*
+ 2a67d: 6fc1.*
+ 2a67e: 0d28.*
+ 2a67f: 6fc1.*
+ 2a680: 0c28.*
+ 2a681: 6fc1.*
+ 2a682: 0e28.*
+ 2a683: 6fc1.*
+ 2a684: 0f28.*
+ 2a685: 6fc1.*
+ 2a686: 0f28.*
+ 2a687: 6fc1.*
+ 2a688: 0c29.*
+ 2a689: 6fc1.*
+ 2a68a: 0d29.*
+ 2a68b: 6fc1.*
+ 2a68c: 0c29.*
+ 2a68d: 6fc1.*
+ 2a68e: 0e29.*
+ 2a68f: 6fc1.*
+ 2a690: 0f29.*
+ 2a691: 6fc1.*
+ 2a692: 0f29.*
+ 2a693: 6fc1.*
+ 2a694: 0c2a.*
+ 2a695: 6fc1.*
+ 2a696: 0d2a.*
+ 2a697: 6fc1.*
+ 2a698: 0c2a.*
+ 2a699: 6fc1.*
+ 2a69a: 0e2a.*
+ 2a69b: 6fc1.*
+ 2a69c: 0f2a.*
+ 2a69d: 6fc1.*
+ 2a69e: 0f2a.*
+ 2a69f: 6fc1.*
+ 2a6a0: 0c2b.*
+ 2a6a1: 6fc1.*
+ 2a6a2: 0d2b.*
+ 2a6a3: 6fc1.*
+ 2a6a4: 0c2b.*
+ 2a6a5: 6fc1.*
+ 2a6a6: 0e2b.*
+ 2a6a7: 6fc1.*
+ 2a6a8: 0f2b.*
+ 2a6a9: 6fc1.*
+ 2a6aa: 0f2b.*
+ 2a6ab: 6fc1.*
+ 2a6ac: 0c2c.*
+ 2a6ad: 6fc1.*
+ 2a6ae: 0d2c.*
+ 2a6af: 6fc1.*
+ 2a6b0: 0c2c.*
+ 2a6b1: 6fc1.*
+ 2a6b2: 0e2c.*
+ 2a6b3: 6fc1.*
+ 2a6b4: 0f2c.*
+ 2a6b5: 6fc1.*
+ 2a6b6: 0f2c.*
+ 2a6b7: 6fc1.*
+ 2a6b8: 0c2d.*
+ 2a6b9: 6fc1.*
+ 2a6ba: 0d2d.*
+ 2a6bb: 6fc1.*
+ 2a6bc: 0c2d.*
+ 2a6bd: 6fc1.*
+ 2a6be: 0e2d.*
+ 2a6bf: 6fc1.*
+ 2a6c0: 0f2d.*
+ 2a6c1: 6fc1.*
+ 2a6c2: 0f2d.*
+ 2a6c3: 6fc1.*
+ 2a6c4: 0c2e.*
+ 2a6c5: 6fc1.*
+ 2a6c6: 0d2e.*
+ 2a6c7: 6fc1.*
+ 2a6c8: 0c2e.*
+ 2a6c9: 6fc1.*
+ 2a6ca: 0e2e.*
+ 2a6cb: 6fc1.*
+ 2a6cc: 0f2e.*
+ 2a6cd: 6fc1.*
+ 2a6ce: 0f2e.*
+ 2a6cf: 6fc1.*
+ 2a6d0: 0c2f.*
+ 2a6d1: 6fc1.*
+ 2a6d2: 0d2f.*
+ 2a6d3: 6fc1.*
+ 2a6d4: 0c2f.*
+ 2a6d5: 6fc1.*
+ 2a6d6: 0e2f.*
+ 2a6d7: 6fc1.*
+ 2a6d8: 0f2f.*
+ 2a6d9: 6fc1.*
+ 2a6da: 0f2f.*
+ 2a6db: 08c9.*
+ 2a6dc: 6fc9.*
+ 2a6dd: 0d20.*
+ 2a6de: 08c9.*
+ 2a6df: 6fc9.*
+ 2a6e0: 0e20.*
+ 2a6e1: 09c9.*
+ 2a6e2: 09c9.*
+ 2a6e3: 6fc9.*
+ 2a6e4: 0c30.*
+ 2a6e5: 6fc9.*
+ 2a6e6: 0d30.*
+ 2a6e7: 6fc9.*
+ 2a6e8: 0c30.*
+ 2a6e9: 6fc9.*
+ 2a6ea: 0e30.*
+ 2a6eb: 6fc9.*
+ 2a6ec: 0f30.*
+ 2a6ed: 6fc9.*
+ 2a6ee: 0f30.*
+ 2a6ef: 6fc9.*
+ 2a6f0: 0c31.*
+ 2a6f1: 6fc9.*
+ 2a6f2: 0d31.*
+ 2a6f3: 6fc9.*
+ 2a6f4: 0c31.*
+ 2a6f5: 6fc9.*
+ 2a6f6: 0e31.*
+ 2a6f7: 6fc9.*
+ 2a6f8: 0f31.*
+ 2a6f9: 6fc9.*
+ 2a6fa: 0f31.*
+ 2a6fb: 6fc9.*
+ 2a6fc: 0c32.*
+ 2a6fd: 6fc9.*
+ 2a6fe: 0d32.*
+ 2a6ff: 6fc9.*
+ 2a700: 0c32.*
+ 2a701: 6fc9.*
+ 2a702: 0e32.*
+ 2a703: 6fc9.*
+ 2a704: 0f32.*
+ 2a705: 6fc9.*
+ 2a706: 0f32.*
+ 2a707: 6fc9.*
+ 2a708: 0c33.*
+ 2a709: 6fc9.*
+ 2a70a: 0d33.*
+ 2a70b: 6fc9.*
+ 2a70c: 0c33.*
+ 2a70d: 6fc9.*
+ 2a70e: 0e33.*
+ 2a70f: 6fc9.*
+ 2a710: 0f33.*
+ 2a711: 6fc9.*
+ 2a712: 0f33.*
+ 2a713: 6fc9.*
+ 2a714: 0c34.*
+ 2a715: 6fc9.*
+ 2a716: 0d34.*
+ 2a717: 6fc9.*
+ 2a718: 0c34.*
+ 2a719: 6fc9.*
+ 2a71a: 0e34.*
+ 2a71b: 6fc9.*
+ 2a71c: 0f34.*
+ 2a71d: 6fc9.*
+ 2a71e: 0f34.*
+ 2a71f: 6fc9.*
+ 2a720: 0c35.*
+ 2a721: 6fc9.*
+ 2a722: 0d35.*
+ 2a723: 6fc9.*
+ 2a724: 0c35.*
+ 2a725: 6fc9.*
+ 2a726: 0e35.*
+ 2a727: 6fc9.*
+ 2a728: 0f35.*
+ 2a729: 6fc9.*
+ 2a72a: 0f35.*
+ 2a72b: 6fc9.*
+ 2a72c: 0c36.*
+ 2a72d: 6fc9.*
+ 2a72e: 0d36.*
+ 2a72f: 6fc9.*
+ 2a730: 0c36.*
+ 2a731: 6fc9.*
+ 2a732: 0e36.*
+ 2a733: 6fc9.*
+ 2a734: 0f36.*
+ 2a735: 6fc9.*
+ 2a736: 0f36.*
+ 2a737: 6fc9.*
+ 2a738: 0c37.*
+ 2a739: 6fc9.*
+ 2a73a: 0d37.*
+ 2a73b: 6fc9.*
+ 2a73c: 0c37.*
+ 2a73d: 6fc9.*
+ 2a73e: 0e37.*
+ 2a73f: 6fc9.*
+ 2a740: 0f37.*
+ 2a741: 6fc9.*
+ 2a742: 0f37.*
+ 2a743: 6fc9.*
+ 2a744: 0c38.*
+ 2a745: 6fc9.*
+ 2a746: 0d38.*
+ 2a747: 6fc9.*
+ 2a748: 0c38.*
+ 2a749: 6fc9.*
+ 2a74a: 0e38.*
+ 2a74b: 6fc9.*
+ 2a74c: 0f38.*
+ 2a74d: 6fc9.*
+ 2a74e: 0f38.*
+ 2a74f: 6fc9.*
+ 2a750: 0c39.*
+ 2a751: 6fc9.*
+ 2a752: 0d39.*
+ 2a753: 6fc9.*
+ 2a754: 0c39.*
+ 2a755: 6fc9.*
+ 2a756: 0e39.*
+ 2a757: 6fc9.*
+ 2a758: 0f39.*
+ 2a759: 6fc9.*
+ 2a75a: 0f39.*
+ 2a75b: 6fc9.*
+ 2a75c: 0c3a.*
+ 2a75d: 6fc9.*
+ 2a75e: 0d3a.*
+ 2a75f: 6fc9.*
+ 2a760: 0c3a.*
+ 2a761: 6fc9.*
+ 2a762: 0e3a.*
+ 2a763: 6fc9.*
+ 2a764: 0f3a.*
+ 2a765: 6fc9.*
+ 2a766: 0f3a.*
+ 2a767: 6fc9.*
+ 2a768: 0c3b.*
+ 2a769: 6fc9.*
+ 2a76a: 0d3b.*
+ 2a76b: 6fc9.*
+ 2a76c: 0c3b.*
+ 2a76d: 6fc9.*
+ 2a76e: 0e3b.*
+ 2a76f: 6fc9.*
+ 2a770: 0f3b.*
+ 2a771: 6fc9.*
+ 2a772: 0f3b.*
+ 2a773: 6fc9.*
+ 2a774: 0c3c.*
+ 2a775: 6fc9.*
+ 2a776: 0d3c.*
+ 2a777: 6fc9.*
+ 2a778: 0c3c.*
+ 2a779: 6fc9.*
+ 2a77a: 0e3c.*
+ 2a77b: 6fc9.*
+ 2a77c: 0f3c.*
+ 2a77d: 6fc9.*
+ 2a77e: 0f3c.*
+ 2a77f: 6fc9.*
+ 2a780: 0c3d.*
+ 2a781: 6fc9.*
+ 2a782: 0d3d.*
+ 2a783: 6fc9.*
+ 2a784: 0c3d.*
+ 2a785: 6fc9.*
+ 2a786: 0e3d.*
+ 2a787: 6fc9.*
+ 2a788: 0f3d.*
+ 2a789: 6fc9.*
+ 2a78a: 0f3d.*
+ 2a78b: 6fc9.*
+ 2a78c: 0c3e.*
+ 2a78d: 6fc9.*
+ 2a78e: 0d3e.*
+ 2a78f: 6fc9.*
+ 2a790: 0c3e.*
+ 2a791: 6fc9.*
+ 2a792: 0e3e.*
+ 2a793: 6fc9.*
+ 2a794: 0f3e.*
+ 2a795: 6fc9.*
+ 2a796: 0f3e.*
+ 2a797: 6fc9.*
+ 2a798: 0c3f.*
+ 2a799: 6fc9.*
+ 2a79a: 0d3f.*
+ 2a79b: 6fc9.*
+ 2a79c: 0c3f.*
+ 2a79d: 6fc9.*
+ 2a79e: 0e3f.*
+ 2a79f: 6fc9.*
+ 2a7a0: 0f3f.*
+ 2a7a1: 6fc9.*
+ 2a7a2: 0f3f.*
+ 2a7a3: 08c9.*
+ 2a7a4: 6fc9.*
+ 2a7a5: 0d20.*
+ 2a7a6: 08c9.*
+ 2a7a7: 6fc9.*
+ 2a7a8: 0e20.*
+ 2a7a9: 09c9.*
+ 2a7aa: 09c9.*
+ 2a7ab: 6fc9.*
+ 2a7ac: 0c21.*
+ 2a7ad: 6fc9.*
+ 2a7ae: 0d21.*
+ 2a7af: 6fc9.*
+ 2a7b0: 0c21.*
+ 2a7b1: 6fc9.*
+ 2a7b2: 0e21.*
+ 2a7b3: 6fc9.*
+ 2a7b4: 0f21.*
+ 2a7b5: 6fc9.*
+ 2a7b6: 0f21.*
+ 2a7b7: 6fc9.*
+ 2a7b8: 0c22.*
+ 2a7b9: 6fc9.*
+ 2a7ba: 0d22.*
+ 2a7bb: 6fc9.*
+ 2a7bc: 0c22.*
+ 2a7bd: 6fc9.*
+ 2a7be: 0e22.*
+ 2a7bf: 6fc9.*
+ 2a7c0: 0f22.*
+ 2a7c1: 6fc9.*
+ 2a7c2: 0f22.*
+ 2a7c3: 6fc9.*
+ 2a7c4: 0c23.*
+ 2a7c5: 6fc9.*
+ 2a7c6: 0d23.*
+ 2a7c7: 6fc9.*
+ 2a7c8: 0c23.*
+ 2a7c9: 6fc9.*
+ 2a7ca: 0e23.*
+ 2a7cb: 6fc9.*
+ 2a7cc: 0f23.*
+ 2a7cd: 6fc9.*
+ 2a7ce: 0f23.*
+ 2a7cf: 6fc9.*
+ 2a7d0: 0c24.*
+ 2a7d1: 6fc9.*
+ 2a7d2: 0d24.*
+ 2a7d3: 6fc9.*
+ 2a7d4: 0c24.*
+ 2a7d5: 6fc9.*
+ 2a7d6: 0e24.*
+ 2a7d7: 6fc9.*
+ 2a7d8: 0f24.*
+ 2a7d9: 6fc9.*
+ 2a7da: 0f24.*
+ 2a7db: 6fc9.*
+ 2a7dc: 0c25.*
+ 2a7dd: 6fc9.*
+ 2a7de: 0d25.*
+ 2a7df: 6fc9.*
+ 2a7e0: 0c25.*
+ 2a7e1: 6fc9.*
+ 2a7e2: 0e25.*
+ 2a7e3: 6fc9.*
+ 2a7e4: 0f25.*
+ 2a7e5: 6fc9.*
+ 2a7e6: 0f25.*
+ 2a7e7: 6fc9.*
+ 2a7e8: 0c26.*
+ 2a7e9: 6fc9.*
+ 2a7ea: 0d26.*
+ 2a7eb: 6fc9.*
+ 2a7ec: 0c26.*
+ 2a7ed: 6fc9.*
+ 2a7ee: 0e26.*
+ 2a7ef: 6fc9.*
+ 2a7f0: 0f26.*
+ 2a7f1: 6fc9.*
+ 2a7f2: 0f26.*
+ 2a7f3: 6fc9.*
+ 2a7f4: 0c27.*
+ 2a7f5: 6fc9.*
+ 2a7f6: 0d27.*
+ 2a7f7: 6fc9.*
+ 2a7f8: 0c27.*
+ 2a7f9: 6fc9.*
+ 2a7fa: 0e27.*
+ 2a7fb: 6fc9.*
+ 2a7fc: 0f27.*
+ 2a7fd: 6fc9.*
+ 2a7fe: 0f27.*
+ 2a7ff: 6fc9.*
+ 2a800: 0c28.*
+ 2a801: 6fc9.*
+ 2a802: 0d28.*
+ 2a803: 6fc9.*
+ 2a804: 0c28.*
+ 2a805: 6fc9.*
+ 2a806: 0e28.*
+ 2a807: 6fc9.*
+ 2a808: 0f28.*
+ 2a809: 6fc9.*
+ 2a80a: 0f28.*
+ 2a80b: 6fc9.*
+ 2a80c: 0c29.*
+ 2a80d: 6fc9.*
+ 2a80e: 0d29.*
+ 2a80f: 6fc9.*
+ 2a810: 0c29.*
+ 2a811: 6fc9.*
+ 2a812: 0e29.*
+ 2a813: 6fc9.*
+ 2a814: 0f29.*
+ 2a815: 6fc9.*
+ 2a816: 0f29.*
+ 2a817: 6fc9.*
+ 2a818: 0c2a.*
+ 2a819: 6fc9.*
+ 2a81a: 0d2a.*
+ 2a81b: 6fc9.*
+ 2a81c: 0c2a.*
+ 2a81d: 6fc9.*
+ 2a81e: 0e2a.*
+ 2a81f: 6fc9.*
+ 2a820: 0f2a.*
+ 2a821: 6fc9.*
+ 2a822: 0f2a.*
+ 2a823: 6fc9.*
+ 2a824: 0c2b.*
+ 2a825: 6fc9.*
+ 2a826: 0d2b.*
+ 2a827: 6fc9.*
+ 2a828: 0c2b.*
+ 2a829: 6fc9.*
+ 2a82a: 0e2b.*
+ 2a82b: 6fc9.*
+ 2a82c: 0f2b.*
+ 2a82d: 6fc9.*
+ 2a82e: 0f2b.*
+ 2a82f: 6fc9.*
+ 2a830: 0c2c.*
+ 2a831: 6fc9.*
+ 2a832: 0d2c.*
+ 2a833: 6fc9.*
+ 2a834: 0c2c.*
+ 2a835: 6fc9.*
+ 2a836: 0e2c.*
+ 2a837: 6fc9.*
+ 2a838: 0f2c.*
+ 2a839: 6fc9.*
+ 2a83a: 0f2c.*
+ 2a83b: 6fc9.*
+ 2a83c: 0c2d.*
+ 2a83d: 6fc9.*
+ 2a83e: 0d2d.*
+ 2a83f: 6fc9.*
+ 2a840: 0c2d.*
+ 2a841: 6fc9.*
+ 2a842: 0e2d.*
+ 2a843: 6fc9.*
+ 2a844: 0f2d.*
+ 2a845: 6fc9.*
+ 2a846: 0f2d.*
+ 2a847: 6fc9.*
+ 2a848: 0c2e.*
+ 2a849: 6fc9.*
+ 2a84a: 0d2e.*
+ 2a84b: 6fc9.*
+ 2a84c: 0c2e.*
+ 2a84d: 6fc9.*
+ 2a84e: 0e2e.*
+ 2a84f: 6fc9.*
+ 2a850: 0f2e.*
+ 2a851: 6fc9.*
+ 2a852: 0f2e.*
+ 2a853: 6fc9.*
+ 2a854: 0c2f.*
+ 2a855: 6fc9.*
+ 2a856: 0d2f.*
+ 2a857: 6fc9.*
+ 2a858: 0c2f.*
+ 2a859: 6fc9.*
+ 2a85a: 0e2f.*
+ 2a85b: 6fc9.*
+ 2a85c: 0f2f.*
+ 2a85d: 6fc9.*
+ 2a85e: 0f2f.*
+ 2a85f: 08d1.*
+ 2a860: 6fd1.*
+ 2a861: 0d20.*
+ 2a862: 08d1.*
+ 2a863: 6fd1.*
+ 2a864: 0e20.*
+ 2a865: 09d1.*
+ 2a866: 09d1.*
+ 2a867: 6fd1.*
+ 2a868: 0c30.*
+ 2a869: 6fd1.*
+ 2a86a: 0d30.*
+ 2a86b: 6fd1.*
+ 2a86c: 0c30.*
+ 2a86d: 6fd1.*
+ 2a86e: 0e30.*
+ 2a86f: 6fd1.*
+ 2a870: 0f30.*
+ 2a871: 6fd1.*
+ 2a872: 0f30.*
+ 2a873: 6fd1.*
+ 2a874: 0c31.*
+ 2a875: 6fd1.*
+ 2a876: 0d31.*
+ 2a877: 6fd1.*
+ 2a878: 0c31.*
+ 2a879: 6fd1.*
+ 2a87a: 0e31.*
+ 2a87b: 6fd1.*
+ 2a87c: 0f31.*
+ 2a87d: 6fd1.*
+ 2a87e: 0f31.*
+ 2a87f: 6fd1.*
+ 2a880: 0c32.*
+ 2a881: 6fd1.*
+ 2a882: 0d32.*
+ 2a883: 6fd1.*
+ 2a884: 0c32.*
+ 2a885: 6fd1.*
+ 2a886: 0e32.*
+ 2a887: 6fd1.*
+ 2a888: 0f32.*
+ 2a889: 6fd1.*
+ 2a88a: 0f32.*
+ 2a88b: 6fd1.*
+ 2a88c: 0c33.*
+ 2a88d: 6fd1.*
+ 2a88e: 0d33.*
+ 2a88f: 6fd1.*
+ 2a890: 0c33.*
+ 2a891: 6fd1.*
+ 2a892: 0e33.*
+ 2a893: 6fd1.*
+ 2a894: 0f33.*
+ 2a895: 6fd1.*
+ 2a896: 0f33.*
+ 2a897: 6fd1.*
+ 2a898: 0c34.*
+ 2a899: 6fd1.*
+ 2a89a: 0d34.*
+ 2a89b: 6fd1.*
+ 2a89c: 0c34.*
+ 2a89d: 6fd1.*
+ 2a89e: 0e34.*
+ 2a89f: 6fd1.*
+ 2a8a0: 0f34.*
+ 2a8a1: 6fd1.*
+ 2a8a2: 0f34.*
+ 2a8a3: 6fd1.*
+ 2a8a4: 0c35.*
+ 2a8a5: 6fd1.*
+ 2a8a6: 0d35.*
+ 2a8a7: 6fd1.*
+ 2a8a8: 0c35.*
+ 2a8a9: 6fd1.*
+ 2a8aa: 0e35.*
+ 2a8ab: 6fd1.*
+ 2a8ac: 0f35.*
+ 2a8ad: 6fd1.*
+ 2a8ae: 0f35.*
+ 2a8af: 6fd1.*
+ 2a8b0: 0c36.*
+ 2a8b1: 6fd1.*
+ 2a8b2: 0d36.*
+ 2a8b3: 6fd1.*
+ 2a8b4: 0c36.*
+ 2a8b5: 6fd1.*
+ 2a8b6: 0e36.*
+ 2a8b7: 6fd1.*
+ 2a8b8: 0f36.*
+ 2a8b9: 6fd1.*
+ 2a8ba: 0f36.*
+ 2a8bb: 6fd1.*
+ 2a8bc: 0c37.*
+ 2a8bd: 6fd1.*
+ 2a8be: 0d37.*
+ 2a8bf: 6fd1.*
+ 2a8c0: 0c37.*
+ 2a8c1: 6fd1.*
+ 2a8c2: 0e37.*
+ 2a8c3: 6fd1.*
+ 2a8c4: 0f37.*
+ 2a8c5: 6fd1.*
+ 2a8c6: 0f37.*
+ 2a8c7: 6fd1.*
+ 2a8c8: 0c38.*
+ 2a8c9: 6fd1.*
+ 2a8ca: 0d38.*
+ 2a8cb: 6fd1.*
+ 2a8cc: 0c38.*
+ 2a8cd: 6fd1.*
+ 2a8ce: 0e38.*
+ 2a8cf: 6fd1.*
+ 2a8d0: 0f38.*
+ 2a8d1: 6fd1.*
+ 2a8d2: 0f38.*
+ 2a8d3: 6fd1.*
+ 2a8d4: 0c39.*
+ 2a8d5: 6fd1.*
+ 2a8d6: 0d39.*
+ 2a8d7: 6fd1.*
+ 2a8d8: 0c39.*
+ 2a8d9: 6fd1.*
+ 2a8da: 0e39.*
+ 2a8db: 6fd1.*
+ 2a8dc: 0f39.*
+ 2a8dd: 6fd1.*
+ 2a8de: 0f39.*
+ 2a8df: 6fd1.*
+ 2a8e0: 0c3a.*
+ 2a8e1: 6fd1.*
+ 2a8e2: 0d3a.*
+ 2a8e3: 6fd1.*
+ 2a8e4: 0c3a.*
+ 2a8e5: 6fd1.*
+ 2a8e6: 0e3a.*
+ 2a8e7: 6fd1.*
+ 2a8e8: 0f3a.*
+ 2a8e9: 6fd1.*
+ 2a8ea: 0f3a.*
+ 2a8eb: 6fd1.*
+ 2a8ec: 0c3b.*
+ 2a8ed: 6fd1.*
+ 2a8ee: 0d3b.*
+ 2a8ef: 6fd1.*
+ 2a8f0: 0c3b.*
+ 2a8f1: 6fd1.*
+ 2a8f2: 0e3b.*
+ 2a8f3: 6fd1.*
+ 2a8f4: 0f3b.*
+ 2a8f5: 6fd1.*
+ 2a8f6: 0f3b.*
+ 2a8f7: 6fd1.*
+ 2a8f8: 0c3c.*
+ 2a8f9: 6fd1.*
+ 2a8fa: 0d3c.*
+ 2a8fb: 6fd1.*
+ 2a8fc: 0c3c.*
+ 2a8fd: 6fd1.*
+ 2a8fe: 0e3c.*
+ 2a8ff: 6fd1.*
+ 2a900: 0f3c.*
+ 2a901: 6fd1.*
+ 2a902: 0f3c.*
+ 2a903: 6fd1.*
+ 2a904: 0c3d.*
+ 2a905: 6fd1.*
+ 2a906: 0d3d.*
+ 2a907: 6fd1.*
+ 2a908: 0c3d.*
+ 2a909: 6fd1.*
+ 2a90a: 0e3d.*
+ 2a90b: 6fd1.*
+ 2a90c: 0f3d.*
+ 2a90d: 6fd1.*
+ 2a90e: 0f3d.*
+ 2a90f: 6fd1.*
+ 2a910: 0c3e.*
+ 2a911: 6fd1.*
+ 2a912: 0d3e.*
+ 2a913: 6fd1.*
+ 2a914: 0c3e.*
+ 2a915: 6fd1.*
+ 2a916: 0e3e.*
+ 2a917: 6fd1.*
+ 2a918: 0f3e.*
+ 2a919: 6fd1.*
+ 2a91a: 0f3e.*
+ 2a91b: 6fd1.*
+ 2a91c: 0c3f.*
+ 2a91d: 6fd1.*
+ 2a91e: 0d3f.*
+ 2a91f: 6fd1.*
+ 2a920: 0c3f.*
+ 2a921: 6fd1.*
+ 2a922: 0e3f.*
+ 2a923: 6fd1.*
+ 2a924: 0f3f.*
+ 2a925: 6fd1.*
+ 2a926: 0f3f.*
+ 2a927: 08d1.*
+ 2a928: 6fd1.*
+ 2a929: 0d20.*
+ 2a92a: 08d1.*
+ 2a92b: 6fd1.*
+ 2a92c: 0e20.*
+ 2a92d: 09d1.*
+ 2a92e: 09d1.*
+ 2a92f: 6fd1.*
+ 2a930: 0c21.*
+ 2a931: 6fd1.*
+ 2a932: 0d21.*
+ 2a933: 6fd1.*
+ 2a934: 0c21.*
+ 2a935: 6fd1.*
+ 2a936: 0e21.*
+ 2a937: 6fd1.*
+ 2a938: 0f21.*
+ 2a939: 6fd1.*
+ 2a93a: 0f21.*
+ 2a93b: 6fd1.*
+ 2a93c: 0c22.*
+ 2a93d: 6fd1.*
+ 2a93e: 0d22.*
+ 2a93f: 6fd1.*
+ 2a940: 0c22.*
+ 2a941: 6fd1.*
+ 2a942: 0e22.*
+ 2a943: 6fd1.*
+ 2a944: 0f22.*
+ 2a945: 6fd1.*
+ 2a946: 0f22.*
+ 2a947: 6fd1.*
+ 2a948: 0c23.*
+ 2a949: 6fd1.*
+ 2a94a: 0d23.*
+ 2a94b: 6fd1.*
+ 2a94c: 0c23.*
+ 2a94d: 6fd1.*
+ 2a94e: 0e23.*
+ 2a94f: 6fd1.*
+ 2a950: 0f23.*
+ 2a951: 6fd1.*
+ 2a952: 0f23.*
+ 2a953: 6fd1.*
+ 2a954: 0c24.*
+ 2a955: 6fd1.*
+ 2a956: 0d24.*
+ 2a957: 6fd1.*
+ 2a958: 0c24.*
+ 2a959: 6fd1.*
+ 2a95a: 0e24.*
+ 2a95b: 6fd1.*
+ 2a95c: 0f24.*
+ 2a95d: 6fd1.*
+ 2a95e: 0f24.*
+ 2a95f: 6fd1.*
+ 2a960: 0c25.*
+ 2a961: 6fd1.*
+ 2a962: 0d25.*
+ 2a963: 6fd1.*
+ 2a964: 0c25.*
+ 2a965: 6fd1.*
+ 2a966: 0e25.*
+ 2a967: 6fd1.*
+ 2a968: 0f25.*
+ 2a969: 6fd1.*
+ 2a96a: 0f25.*
+ 2a96b: 6fd1.*
+ 2a96c: 0c26.*
+ 2a96d: 6fd1.*
+ 2a96e: 0d26.*
+ 2a96f: 6fd1.*
+ 2a970: 0c26.*
+ 2a971: 6fd1.*
+ 2a972: 0e26.*
+ 2a973: 6fd1.*
+ 2a974: 0f26.*
+ 2a975: 6fd1.*
+ 2a976: 0f26.*
+ 2a977: 6fd1.*
+ 2a978: 0c27.*
+ 2a979: 6fd1.*
+ 2a97a: 0d27.*
+ 2a97b: 6fd1.*
+ 2a97c: 0c27.*
+ 2a97d: 6fd1.*
+ 2a97e: 0e27.*
+ 2a97f: 6fd1.*
+ 2a980: 0f27.*
+ 2a981: 6fd1.*
+ 2a982: 0f27.*
+ 2a983: 6fd1.*
+ 2a984: 0c28.*
+ 2a985: 6fd1.*
+ 2a986: 0d28.*
+ 2a987: 6fd1.*
+ 2a988: 0c28.*
+ 2a989: 6fd1.*
+ 2a98a: 0e28.*
+ 2a98b: 6fd1.*
+ 2a98c: 0f28.*
+ 2a98d: 6fd1.*
+ 2a98e: 0f28.*
+ 2a98f: 6fd1.*
+ 2a990: 0c29.*
+ 2a991: 6fd1.*
+ 2a992: 0d29.*
+ 2a993: 6fd1.*
+ 2a994: 0c29.*
+ 2a995: 6fd1.*
+ 2a996: 0e29.*
+ 2a997: 6fd1.*
+ 2a998: 0f29.*
+ 2a999: 6fd1.*
+ 2a99a: 0f29.*
+ 2a99b: 6fd1.*
+ 2a99c: 0c2a.*
+ 2a99d: 6fd1.*
+ 2a99e: 0d2a.*
+ 2a99f: 6fd1.*
+ 2a9a0: 0c2a.*
+ 2a9a1: 6fd1.*
+ 2a9a2: 0e2a.*
+ 2a9a3: 6fd1.*
+ 2a9a4: 0f2a.*
+ 2a9a5: 6fd1.*
+ 2a9a6: 0f2a.*
+ 2a9a7: 6fd1.*
+ 2a9a8: 0c2b.*
+ 2a9a9: 6fd1.*
+ 2a9aa: 0d2b.*
+ 2a9ab: 6fd1.*
+ 2a9ac: 0c2b.*
+ 2a9ad: 6fd1.*
+ 2a9ae: 0e2b.*
+ 2a9af: 6fd1.*
+ 2a9b0: 0f2b.*
+ 2a9b1: 6fd1.*
+ 2a9b2: 0f2b.*
+ 2a9b3: 6fd1.*
+ 2a9b4: 0c2c.*
+ 2a9b5: 6fd1.*
+ 2a9b6: 0d2c.*
+ 2a9b7: 6fd1.*
+ 2a9b8: 0c2c.*
+ 2a9b9: 6fd1.*
+ 2a9ba: 0e2c.*
+ 2a9bb: 6fd1.*
+ 2a9bc: 0f2c.*
+ 2a9bd: 6fd1.*
+ 2a9be: 0f2c.*
+ 2a9bf: 6fd1.*
+ 2a9c0: 0c2d.*
+ 2a9c1: 6fd1.*
+ 2a9c2: 0d2d.*
+ 2a9c3: 6fd1.*
+ 2a9c4: 0c2d.*
+ 2a9c5: 6fd1.*
+ 2a9c6: 0e2d.*
+ 2a9c7: 6fd1.*
+ 2a9c8: 0f2d.*
+ 2a9c9: 6fd1.*
+ 2a9ca: 0f2d.*
+ 2a9cb: 6fd1.*
+ 2a9cc: 0c2e.*
+ 2a9cd: 6fd1.*
+ 2a9ce: 0d2e.*
+ 2a9cf: 6fd1.*
+ 2a9d0: 0c2e.*
+ 2a9d1: 6fd1.*
+ 2a9d2: 0e2e.*
+ 2a9d3: 6fd1.*
+ 2a9d4: 0f2e.*
+ 2a9d5: 6fd1.*
+ 2a9d6: 0f2e.*
+ 2a9d7: 6fd1.*
+ 2a9d8: 0c2f.*
+ 2a9d9: 6fd1.*
+ 2a9da: 0d2f.*
+ 2a9db: 6fd1.*
+ 2a9dc: 0c2f.*
+ 2a9dd: 6fd1.*
+ 2a9de: 0e2f.*
+ 2a9df: 6fd1.*
+ 2a9e0: 0f2f.*
+ 2a9e1: 6fd1.*
+ 2a9e2: 0f2f.*
+ 2a9e3: 08d9.*
+ 2a9e4: 6fd9.*
+ 2a9e5: 0d20.*
+ 2a9e6: 08d9.*
+ 2a9e7: 6fd9.*
+ 2a9e8: 0e20.*
+ 2a9e9: 09d9.*
+ 2a9ea: 09d9.*
+ 2a9eb: 6fd9.*
+ 2a9ec: 0c30.*
+ 2a9ed: 6fd9.*
+ 2a9ee: 0d30.*
+ 2a9ef: 6fd9.*
+ 2a9f0: 0c30.*
+ 2a9f1: 6fd9.*
+ 2a9f2: 0e30.*
+ 2a9f3: 6fd9.*
+ 2a9f4: 0f30.*
+ 2a9f5: 6fd9.*
+ 2a9f6: 0f30.*
+ 2a9f7: 6fd9.*
+ 2a9f8: 0c31.*
+ 2a9f9: 6fd9.*
+ 2a9fa: 0d31.*
+ 2a9fb: 6fd9.*
+ 2a9fc: 0c31.*
+ 2a9fd: 6fd9.*
+ 2a9fe: 0e31.*
+ 2a9ff: 6fd9.*
+ 2aa00: 0f31.*
+ 2aa01: 6fd9.*
+ 2aa02: 0f31.*
+ 2aa03: 6fd9.*
+ 2aa04: 0c32.*
+ 2aa05: 6fd9.*
+ 2aa06: 0d32.*
+ 2aa07: 6fd9.*
+ 2aa08: 0c32.*
+ 2aa09: 6fd9.*
+ 2aa0a: 0e32.*
+ 2aa0b: 6fd9.*
+ 2aa0c: 0f32.*
+ 2aa0d: 6fd9.*
+ 2aa0e: 0f32.*
+ 2aa0f: 6fd9.*
+ 2aa10: 0c33.*
+ 2aa11: 6fd9.*
+ 2aa12: 0d33.*
+ 2aa13: 6fd9.*
+ 2aa14: 0c33.*
+ 2aa15: 6fd9.*
+ 2aa16: 0e33.*
+ 2aa17: 6fd9.*
+ 2aa18: 0f33.*
+ 2aa19: 6fd9.*
+ 2aa1a: 0f33.*
+ 2aa1b: 6fd9.*
+ 2aa1c: 0c34.*
+ 2aa1d: 6fd9.*
+ 2aa1e: 0d34.*
+ 2aa1f: 6fd9.*
+ 2aa20: 0c34.*
+ 2aa21: 6fd9.*
+ 2aa22: 0e34.*
+ 2aa23: 6fd9.*
+ 2aa24: 0f34.*
+ 2aa25: 6fd9.*
+ 2aa26: 0f34.*
+ 2aa27: 6fd9.*
+ 2aa28: 0c35.*
+ 2aa29: 6fd9.*
+ 2aa2a: 0d35.*
+ 2aa2b: 6fd9.*
+ 2aa2c: 0c35.*
+ 2aa2d: 6fd9.*
+ 2aa2e: 0e35.*
+ 2aa2f: 6fd9.*
+ 2aa30: 0f35.*
+ 2aa31: 6fd9.*
+ 2aa32: 0f35.*
+ 2aa33: 6fd9.*
+ 2aa34: 0c36.*
+ 2aa35: 6fd9.*
+ 2aa36: 0d36.*
+ 2aa37: 6fd9.*
+ 2aa38: 0c36.*
+ 2aa39: 6fd9.*
+ 2aa3a: 0e36.*
+ 2aa3b: 6fd9.*
+ 2aa3c: 0f36.*
+ 2aa3d: 6fd9.*
+ 2aa3e: 0f36.*
+ 2aa3f: 6fd9.*
+ 2aa40: 0c37.*
+ 2aa41: 6fd9.*
+ 2aa42: 0d37.*
+ 2aa43: 6fd9.*
+ 2aa44: 0c37.*
+ 2aa45: 6fd9.*
+ 2aa46: 0e37.*
+ 2aa47: 6fd9.*
+ 2aa48: 0f37.*
+ 2aa49: 6fd9.*
+ 2aa4a: 0f37.*
+ 2aa4b: 6fd9.*
+ 2aa4c: 0c38.*
+ 2aa4d: 6fd9.*
+ 2aa4e: 0d38.*
+ 2aa4f: 6fd9.*
+ 2aa50: 0c38.*
+ 2aa51: 6fd9.*
+ 2aa52: 0e38.*
+ 2aa53: 6fd9.*
+ 2aa54: 0f38.*
+ 2aa55: 6fd9.*
+ 2aa56: 0f38.*
+ 2aa57: 6fd9.*
+ 2aa58: 0c39.*
+ 2aa59: 6fd9.*
+ 2aa5a: 0d39.*
+ 2aa5b: 6fd9.*
+ 2aa5c: 0c39.*
+ 2aa5d: 6fd9.*
+ 2aa5e: 0e39.*
+ 2aa5f: 6fd9.*
+ 2aa60: 0f39.*
+ 2aa61: 6fd9.*
+ 2aa62: 0f39.*
+ 2aa63: 6fd9.*
+ 2aa64: 0c3a.*
+ 2aa65: 6fd9.*
+ 2aa66: 0d3a.*
+ 2aa67: 6fd9.*
+ 2aa68: 0c3a.*
+ 2aa69: 6fd9.*
+ 2aa6a: 0e3a.*
+ 2aa6b: 6fd9.*
+ 2aa6c: 0f3a.*
+ 2aa6d: 6fd9.*
+ 2aa6e: 0f3a.*
+ 2aa6f: 6fd9.*
+ 2aa70: 0c3b.*
+ 2aa71: 6fd9.*
+ 2aa72: 0d3b.*
+ 2aa73: 6fd9.*
+ 2aa74: 0c3b.*
+ 2aa75: 6fd9.*
+ 2aa76: 0e3b.*
+ 2aa77: 6fd9.*
+ 2aa78: 0f3b.*
+ 2aa79: 6fd9.*
+ 2aa7a: 0f3b.*
+ 2aa7b: 6fd9.*
+ 2aa7c: 0c3c.*
+ 2aa7d: 6fd9.*
+ 2aa7e: 0d3c.*
+ 2aa7f: 6fd9.*
+ 2aa80: 0c3c.*
+ 2aa81: 6fd9.*
+ 2aa82: 0e3c.*
+ 2aa83: 6fd9.*
+ 2aa84: 0f3c.*
+ 2aa85: 6fd9.*
+ 2aa86: 0f3c.*
+ 2aa87: 6fd9.*
+ 2aa88: 0c3d.*
+ 2aa89: 6fd9.*
+ 2aa8a: 0d3d.*
+ 2aa8b: 6fd9.*
+ 2aa8c: 0c3d.*
+ 2aa8d: 6fd9.*
+ 2aa8e: 0e3d.*
+ 2aa8f: 6fd9.*
+ 2aa90: 0f3d.*
+ 2aa91: 6fd9.*
+ 2aa92: 0f3d.*
+ 2aa93: 6fd9.*
+ 2aa94: 0c3e.*
+ 2aa95: 6fd9.*
+ 2aa96: 0d3e.*
+ 2aa97: 6fd9.*
+ 2aa98: 0c3e.*
+ 2aa99: 6fd9.*
+ 2aa9a: 0e3e.*
+ 2aa9b: 6fd9.*
+ 2aa9c: 0f3e.*
+ 2aa9d: 6fd9.*
+ 2aa9e: 0f3e.*
+ 2aa9f: 6fd9.*
+ 2aaa0: 0c3f.*
+ 2aaa1: 6fd9.*
+ 2aaa2: 0d3f.*
+ 2aaa3: 6fd9.*
+ 2aaa4: 0c3f.*
+ 2aaa5: 6fd9.*
+ 2aaa6: 0e3f.*
+ 2aaa7: 6fd9.*
+ 2aaa8: 0f3f.*
+ 2aaa9: 6fd9.*
+ 2aaaa: 0f3f.*
+ 2aaab: 08d9.*
+ 2aaac: 6fd9.*
+ 2aaad: 0d20.*
+ 2aaae: 08d9.*
+ 2aaaf: 6fd9.*
+ 2aab0: 0e20.*
+ 2aab1: 09d9.*
+ 2aab2: 09d9.*
+ 2aab3: 6fd9.*
+ 2aab4: 0c21.*
+ 2aab5: 6fd9.*
+ 2aab6: 0d21.*
+ 2aab7: 6fd9.*
+ 2aab8: 0c21.*
+ 2aab9: 6fd9.*
+ 2aaba: 0e21.*
+ 2aabb: 6fd9.*
+ 2aabc: 0f21.*
+ 2aabd: 6fd9.*
+ 2aabe: 0f21.*
+ 2aabf: 6fd9.*
+ 2aac0: 0c22.*
+ 2aac1: 6fd9.*
+ 2aac2: 0d22.*
+ 2aac3: 6fd9.*
+ 2aac4: 0c22.*
+ 2aac5: 6fd9.*
+ 2aac6: 0e22.*
+ 2aac7: 6fd9.*
+ 2aac8: 0f22.*
+ 2aac9: 6fd9.*
+ 2aaca: 0f22.*
+ 2aacb: 6fd9.*
+ 2aacc: 0c23.*
+ 2aacd: 6fd9.*
+ 2aace: 0d23.*
+ 2aacf: 6fd9.*
+ 2aad0: 0c23.*
+ 2aad1: 6fd9.*
+ 2aad2: 0e23.*
+ 2aad3: 6fd9.*
+ 2aad4: 0f23.*
+ 2aad5: 6fd9.*
+ 2aad6: 0f23.*
+ 2aad7: 6fd9.*
+ 2aad8: 0c24.*
+ 2aad9: 6fd9.*
+ 2aada: 0d24.*
+ 2aadb: 6fd9.*
+ 2aadc: 0c24.*
+ 2aadd: 6fd9.*
+ 2aade: 0e24.*
+ 2aadf: 6fd9.*
+ 2aae0: 0f24.*
+ 2aae1: 6fd9.*
+ 2aae2: 0f24.*
+ 2aae3: 6fd9.*
+ 2aae4: 0c25.*
+ 2aae5: 6fd9.*
+ 2aae6: 0d25.*
+ 2aae7: 6fd9.*
+ 2aae8: 0c25.*
+ 2aae9: 6fd9.*
+ 2aaea: 0e25.*
+ 2aaeb: 6fd9.*
+ 2aaec: 0f25.*
+ 2aaed: 6fd9.*
+ 2aaee: 0f25.*
+ 2aaef: 6fd9.*
+ 2aaf0: 0c26.*
+ 2aaf1: 6fd9.*
+ 2aaf2: 0d26.*
+ 2aaf3: 6fd9.*
+ 2aaf4: 0c26.*
+ 2aaf5: 6fd9.*
+ 2aaf6: 0e26.*
+ 2aaf7: 6fd9.*
+ 2aaf8: 0f26.*
+ 2aaf9: 6fd9.*
+ 2aafa: 0f26.*
+ 2aafb: 6fd9.*
+ 2aafc: 0c27.*
+ 2aafd: 6fd9.*
+ 2aafe: 0d27.*
+ 2aaff: 6fd9.*
+ 2ab00: 0c27.*
+ 2ab01: 6fd9.*
+ 2ab02: 0e27.*
+ 2ab03: 6fd9.*
+ 2ab04: 0f27.*
+ 2ab05: 6fd9.*
+ 2ab06: 0f27.*
+ 2ab07: 6fd9.*
+ 2ab08: 0c28.*
+ 2ab09: 6fd9.*
+ 2ab0a: 0d28.*
+ 2ab0b: 6fd9.*
+ 2ab0c: 0c28.*
+ 2ab0d: 6fd9.*
+ 2ab0e: 0e28.*
+ 2ab0f: 6fd9.*
+ 2ab10: 0f28.*
+ 2ab11: 6fd9.*
+ 2ab12: 0f28.*
+ 2ab13: 6fd9.*
+ 2ab14: 0c29.*
+ 2ab15: 6fd9.*
+ 2ab16: 0d29.*
+ 2ab17: 6fd9.*
+ 2ab18: 0c29.*
+ 2ab19: 6fd9.*
+ 2ab1a: 0e29.*
+ 2ab1b: 6fd9.*
+ 2ab1c: 0f29.*
+ 2ab1d: 6fd9.*
+ 2ab1e: 0f29.*
+ 2ab1f: 6fd9.*
+ 2ab20: 0c2a.*
+ 2ab21: 6fd9.*
+ 2ab22: 0d2a.*
+ 2ab23: 6fd9.*
+ 2ab24: 0c2a.*
+ 2ab25: 6fd9.*
+ 2ab26: 0e2a.*
+ 2ab27: 6fd9.*
+ 2ab28: 0f2a.*
+ 2ab29: 6fd9.*
+ 2ab2a: 0f2a.*
+ 2ab2b: 6fd9.*
+ 2ab2c: 0c2b.*
+ 2ab2d: 6fd9.*
+ 2ab2e: 0d2b.*
+ 2ab2f: 6fd9.*
+ 2ab30: 0c2b.*
+ 2ab31: 6fd9.*
+ 2ab32: 0e2b.*
+ 2ab33: 6fd9.*
+ 2ab34: 0f2b.*
+ 2ab35: 6fd9.*
+ 2ab36: 0f2b.*
+ 2ab37: 6fd9.*
+ 2ab38: 0c2c.*
+ 2ab39: 6fd9.*
+ 2ab3a: 0d2c.*
+ 2ab3b: 6fd9.*
+ 2ab3c: 0c2c.*
+ 2ab3d: 6fd9.*
+ 2ab3e: 0e2c.*
+ 2ab3f: 6fd9.*
+ 2ab40: 0f2c.*
+ 2ab41: 6fd9.*
+ 2ab42: 0f2c.*
+ 2ab43: 6fd9.*
+ 2ab44: 0c2d.*
+ 2ab45: 6fd9.*
+ 2ab46: 0d2d.*
+ 2ab47: 6fd9.*
+ 2ab48: 0c2d.*
+ 2ab49: 6fd9.*
+ 2ab4a: 0e2d.*
+ 2ab4b: 6fd9.*
+ 2ab4c: 0f2d.*
+ 2ab4d: 6fd9.*
+ 2ab4e: 0f2d.*
+ 2ab4f: 6fd9.*
+ 2ab50: 0c2e.*
+ 2ab51: 6fd9.*
+ 2ab52: 0d2e.*
+ 2ab53: 6fd9.*
+ 2ab54: 0c2e.*
+ 2ab55: 6fd9.*
+ 2ab56: 0e2e.*
+ 2ab57: 6fd9.*
+ 2ab58: 0f2e.*
+ 2ab59: 6fd9.*
+ 2ab5a: 0f2e.*
+ 2ab5b: 6fd9.*
+ 2ab5c: 0c2f.*
+ 2ab5d: 6fd9.*
+ 2ab5e: 0d2f.*
+ 2ab5f: 6fd9.*
+ 2ab60: 0c2f.*
+ 2ab61: 6fd9.*
+ 2ab62: 0e2f.*
+ 2ab63: 6fd9.*
+ 2ab64: 0f2f.*
+ 2ab65: 6fd9.*
+ 2ab66: 0f2f.*
+ 2ab67: 08e1.*
+ 2ab68: 4e9e.*
+ 2ab69: 6fe1.*
+ 2ab6a: 4e9f.*
+ 2ab6b: 0d20.*
+ 2ab6c: 08e1.*
+ 2ab6d: 4ea0.*
+ 2ab6e: 6fe1.*
+ 2ab6f: 4ea1.*
+ 2ab70: 0e20.*
+ 2ab71: 09e1.*
+ 2ab72: 4ea2.*
+ 2ab73: 09e1.*
+ 2ab74: 4ea3.*
+ 2ab75: 6fe1.*
+ 2ab76: 4ea4.*
+ 2ab77: 0c30.*
+ 2ab78: 6fe1.*
+ 2ab79: 4ea5.*
+ 2ab7a: 0d30.*
+ 2ab7b: 6fe1.*
+ 2ab7c: 4ea6.*
+ 2ab7d: 0c30.*
+ 2ab7e: 6fe1.*
+ 2ab7f: 4ea7.*
+ 2ab80: 0e30.*
+ 2ab81: 6fe1.*
+ 2ab82: 4ea8.*
+ 2ab83: 0f30.*
+ 2ab84: 6fe1.*
+ 2ab85: 4ea9.*
+ 2ab86: 0f30.*
+ 2ab87: 6fe1.*
+ 2ab88: 4eaa.*
+ 2ab89: 0c31.*
+ 2ab8a: 6fe1.*
+ 2ab8b: 4eab.*
+ 2ab8c: 0d31.*
+ 2ab8d: 6fe1.*
+ 2ab8e: 4eac.*
+ 2ab8f: 0c31.*
+ 2ab90: 6fe1.*
+ 2ab91: 4ead.*
+ 2ab92: 0e31.*
+ 2ab93: 6fe1.*
+ 2ab94: 4eae.*
+ 2ab95: 0f31.*
+ 2ab96: 6fe1.*
+ 2ab97: 4eaf.*
+ 2ab98: 0f31.*
+ 2ab99: 6fe1.*
+ 2ab9a: 4eb0.*
+ 2ab9b: 0c32.*
+ 2ab9c: 6fe1.*
+ 2ab9d: 4eb1.*
+ 2ab9e: 0d32.*
+ 2ab9f: 6fe1.*
+ 2aba0: 4eb2.*
+ 2aba1: 0c32.*
+ 2aba2: 6fe1.*
+ 2aba3: 4eb3.*
+ 2aba4: 0e32.*
+ 2aba5: 6fe1.*
+ 2aba6: 4eb4.*
+ 2aba7: 0f32.*
+ 2aba8: 6fe1.*
+ 2aba9: 4eb5.*
+ 2abaa: 0f32.*
+ 2abab: 6fe1.*
+ 2abac: 4eb6.*
+ 2abad: 0c33.*
+ 2abae: 6fe1.*
+ 2abaf: 4eb7.*
+ 2abb0: 0d33.*
+ 2abb1: 6fe1.*
+ 2abb2: 4eb8.*
+ 2abb3: 0c33.*
+ 2abb4: 6fe1.*
+ 2abb5: 4eb9.*
+ 2abb6: 0e33.*
+ 2abb7: 6fe1.*
+ 2abb8: 4eba.*
+ 2abb9: 0f33.*
+ 2abba: 6fe1.*
+ 2abbb: 4ebb.*
+ 2abbc: 0f33.*
+ 2abbd: 6fe1.*
+ 2abbe: 4ebc.*
+ 2abbf: 0c34.*
+ 2abc0: 6fe1.*
+ 2abc1: 4ebd.*
+ 2abc2: 0d34.*
+ 2abc3: 6fe1.*
+ 2abc4: 4ebe.*
+ 2abc5: 0c34.*
+ 2abc6: 6fe1.*
+ 2abc7: 4ebf.*
+ 2abc8: 0e34.*
+ 2abc9: 6fe1.*
+ 2abca: 4ec0.*
+ 2abcb: 0f34.*
+ 2abcc: 6fe1.*
+ 2abcd: 4ec1.*
+ 2abce: 0f34.*
+ 2abcf: 6fe1.*
+ 2abd0: 4ec2.*
+ 2abd1: 0c35.*
+ 2abd2: 6fe1.*
+ 2abd3: 4ec3.*
+ 2abd4: 0d35.*
+ 2abd5: 6fe1.*
+ 2abd6: 4ec4.*
+ 2abd7: 0c35.*
+ 2abd8: 6fe1.*
+ 2abd9: 4ec5.*
+ 2abda: 0e35.*
+ 2abdb: 6fe1.*
+ 2abdc: 4ec6.*
+ 2abdd: 0f35.*
+ 2abde: 6fe1.*
+ 2abdf: 4ec7.*
+ 2abe0: 0f35.*
+ 2abe1: 6fe1.*
+ 2abe2: 4ec8.*
+ 2abe3: 0c36.*
+ 2abe4: 6fe1.*
+ 2abe5: 4ec9.*
+ 2abe6: 0d36.*
+ 2abe7: 6fe1.*
+ 2abe8: 4eca.*
+ 2abe9: 0c36.*
+ 2abea: 6fe1.*
+ 2abeb: 4ecb.*
+ 2abec: 0e36.*
+ 2abed: 6fe1.*
+ 2abee: 4ecc.*
+ 2abef: 0f36.*
+ 2abf0: 6fe1.*
+ 2abf1: 4ecd.*
+ 2abf2: 0f36.*
+ 2abf3: 6fe1.*
+ 2abf4: 4ece.*
+ 2abf5: 0c37.*
+ 2abf6: 6fe1.*
+ 2abf7: 4ecf.*
+ 2abf8: 0d37.*
+ 2abf9: 6fe1.*
+ 2abfa: 4ed0.*
+ 2abfb: 0c37.*
+ 2abfc: 6fe1.*
+ 2abfd: 4ed1.*
+ 2abfe: 0e37.*
+ 2abff: 6fe1.*
+ 2ac00: 4ed2.*
+ 2ac01: 0f37.*
+ 2ac02: 6fe1.*
+ 2ac03: 4ed3.*
+ 2ac04: 0f37.*
+ 2ac05: 6fe1.*
+ 2ac06: 4ed4.*
+ 2ac07: 0c38.*
+ 2ac08: 6fe1.*
+ 2ac09: 4ed5.*
+ 2ac0a: 0d38.*
+ 2ac0b: 6fe1.*
+ 2ac0c: 4ed6.*
+ 2ac0d: 0c38.*
+ 2ac0e: 6fe1.*
+ 2ac0f: 4ed7.*
+ 2ac10: 0e38.*
+ 2ac11: 6fe1.*
+ 2ac12: 4ed8.*
+ 2ac13: 0f38.*
+ 2ac14: 6fe1.*
+ 2ac15: 4ed9.*
+ 2ac16: 0f38.*
+ 2ac17: 6fe1.*
+ 2ac18: 4eda.*
+ 2ac19: 0c39.*
+ 2ac1a: 6fe1.*
+ 2ac1b: 4edb.*
+ 2ac1c: 0d39.*
+ 2ac1d: 6fe1.*
+ 2ac1e: 4edc.*
+ 2ac1f: 0c39.*
+ 2ac20: 6fe1.*
+ 2ac21: 4edd.*
+ 2ac22: 0e39.*
+ 2ac23: 6fe1.*
+ 2ac24: 4ede.*
+ 2ac25: 0f39.*
+ 2ac26: 6fe1.*
+ 2ac27: 4edf.*
+ 2ac28: 0f39.*
+ 2ac29: 6fe1.*
+ 2ac2a: 4ee0.*
+ 2ac2b: 0c3a.*
+ 2ac2c: 6fe1.*
+ 2ac2d: 4ee1.*
+ 2ac2e: 0d3a.*
+ 2ac2f: 6fe1.*
+ 2ac30: 4ee2.*
+ 2ac31: 0c3a.*
+ 2ac32: 6fe1.*
+ 2ac33: 4ee3.*
+ 2ac34: 0e3a.*
+ 2ac35: 6fe1.*
+ 2ac36: 4ee4.*
+ 2ac37: 0f3a.*
+ 2ac38: 6fe1.*
+ 2ac39: 4ee5.*
+ 2ac3a: 0f3a.*
+ 2ac3b: 6fe1.*
+ 2ac3c: 4ee6.*
+ 2ac3d: 0c3b.*
+ 2ac3e: 6fe1.*
+ 2ac3f: 4ee7.*
+ 2ac40: 0d3b.*
+ 2ac41: 6fe1.*
+ 2ac42: 4ee8.*
+ 2ac43: 0c3b.*
+ 2ac44: 6fe1.*
+ 2ac45: 4ee9.*
+ 2ac46: 0e3b.*
+ 2ac47: 6fe1.*
+ 2ac48: 4eea.*
+ 2ac49: 0f3b.*
+ 2ac4a: 6fe1.*
+ 2ac4b: 4eeb.*
+ 2ac4c: 0f3b.*
+ 2ac4d: 6fe1.*
+ 2ac4e: 4eec.*
+ 2ac4f: 0c3c.*
+ 2ac50: 6fe1.*
+ 2ac51: 4eed.*
+ 2ac52: 0d3c.*
+ 2ac53: 6fe1.*
+ 2ac54: 4eee.*
+ 2ac55: 0c3c.*
+ 2ac56: 6fe1.*
+ 2ac57: 4eef.*
+ 2ac58: 0e3c.*
+ 2ac59: 6fe1.*
+ 2ac5a: 4ef0.*
+ 2ac5b: 0f3c.*
+ 2ac5c: 6fe1.*
+ 2ac5d: 4ef1.*
+ 2ac5e: 0f3c.*
+ 2ac5f: 6fe1.*
+ 2ac60: 4ef2.*
+ 2ac61: 0c3d.*
+ 2ac62: 6fe1.*
+ 2ac63: 4ef3.*
+ 2ac64: 0d3d.*
+ 2ac65: 6fe1.*
+ 2ac66: 4ef4.*
+ 2ac67: 0c3d.*
+ 2ac68: 6fe1.*
+ 2ac69: 4ef5.*
+ 2ac6a: 0e3d.*
+ 2ac6b: 6fe1.*
+ 2ac6c: 4ef6.*
+ 2ac6d: 0f3d.*
+ 2ac6e: 6fe1.*
+ 2ac6f: 4ef7.*
+ 2ac70: 0f3d.*
+ 2ac71: 6fe1.*
+ 2ac72: 4ef8.*
+ 2ac73: 0c3e.*
+ 2ac74: 6fe1.*
+ 2ac75: 4ef9.*
+ 2ac76: 0d3e.*
+ 2ac77: 6fe1.*
+ 2ac78: 4efa.*
+ 2ac79: 0c3e.*
+ 2ac7a: 6fe1.*
+ 2ac7b: 4efb.*
+ 2ac7c: 0e3e.*
+ 2ac7d: 6fe1.*
+ 2ac7e: 4efc.*
+ 2ac7f: 0f3e.*
+ 2ac80: 6fe1.*
+ 2ac81: 4efd.*
+ 2ac82: 0f3e.*
+ 2ac83: 6fe1.*
+ 2ac84: 4efe.*
+ 2ac85: 0c3f.*
+ 2ac86: 6fe1.*
+ 2ac87: 4eff.*
+ 2ac88: 0d3f.*
+ 2ac89: 6fe1.*
+ 2ac8a: 4f00.*
+ 2ac8b: 0c3f.*
+ 2ac8c: 6fe1.*
+ 2ac8d: 4f01.*
+ 2ac8e: 0e3f.*
+ 2ac8f: 6fe1.*
+ 2ac90: 4f02.*
+ 2ac91: 0f3f.*
+ 2ac92: 6fe1.*
+ 2ac93: 4f03.*
+ 2ac94: 0f3f.*
+ 2ac95: 08e1.*
+ 2ac96: 4f04.*
+ 2ac97: 6fe1.*
+ 2ac98: 4f05.*
+ 2ac99: 0d20.*
+ 2ac9a: 08e1.*
+ 2ac9b: 4f06.*
+ 2ac9c: 6fe1.*
+ 2ac9d: 4f07.*
+ 2ac9e: 0e20.*
+ 2ac9f: 09e1.*
+ 2aca0: 4f08.*
+ 2aca1: 09e1.*
+ 2aca2: 4f09.*
+ 2aca3: 6fe1.*
+ 2aca4: 4f0a.*
+ 2aca5: 0c21.*
+ 2aca6: 6fe1.*
+ 2aca7: 4f0b.*
+ 2aca8: 0d21.*
+ 2aca9: 6fe1.*
+ 2acaa: 4f0c.*
+ 2acab: 0c21.*
+ 2acac: 6fe1.*
+ 2acad: 4f0d.*
+ 2acae: 0e21.*
+ 2acaf: 6fe1.*
+ 2acb0: 4f0e.*
+ 2acb1: 0f21.*
+ 2acb2: 6fe1.*
+ 2acb3: 4f0f.*
+ 2acb4: 0f21.*
+ 2acb5: 6fe1.*
+ 2acb6: 4f10.*
+ 2acb7: 0c22.*
+ 2acb8: 6fe1.*
+ 2acb9: 4f11.*
+ 2acba: 0d22.*
+ 2acbb: 6fe1.*
+ 2acbc: 4f12.*
+ 2acbd: 0c22.*
+ 2acbe: 6fe1.*
+ 2acbf: 4f13.*
+ 2acc0: 0e22.*
+ 2acc1: 6fe1.*
+ 2acc2: 4f14.*
+ 2acc3: 0f22.*
+ 2acc4: 6fe1.*
+ 2acc5: 4f15.*
+ 2acc6: 0f22.*
+ 2acc7: 6fe1.*
+ 2acc8: 4f16.*
+ 2acc9: 0c23.*
+ 2acca: 6fe1.*
+ 2accb: 4f17.*
+ 2accc: 0d23.*
+ 2accd: 6fe1.*
+ 2acce: 4f18.*
+ 2accf: 0c23.*
+ 2acd0: 6fe1.*
+ 2acd1: 4f19.*
+ 2acd2: 0e23.*
+ 2acd3: 6fe1.*
+ 2acd4: 4f1a.*
+ 2acd5: 0f23.*
+ 2acd6: 6fe1.*
+ 2acd7: 4f1b.*
+ 2acd8: 0f23.*
+ 2acd9: 6fe1.*
+ 2acda: 4f1c.*
+ 2acdb: 0c24.*
+ 2acdc: 6fe1.*
+ 2acdd: 4f1d.*
+ 2acde: 0d24.*
+ 2acdf: 6fe1.*
+ 2ace0: 4f1e.*
+ 2ace1: 0c24.*
+ 2ace2: 6fe1.*
+ 2ace3: 4f1f.*
+ 2ace4: 0e24.*
+ 2ace5: 6fe1.*
+ 2ace6: 4f20.*
+ 2ace7: 0f24.*
+ 2ace8: 6fe1.*
+ 2ace9: 4f21.*
+ 2acea: 0f24.*
+ 2aceb: 6fe1.*
+ 2acec: 4f22.*
+ 2aced: 0c25.*
+ 2acee: 6fe1.*
+ 2acef: 4f23.*
+ 2acf0: 0d25.*
+ 2acf1: 6fe1.*
+ 2acf2: 4f24.*
+ 2acf3: 0c25.*
+ 2acf4: 6fe1.*
+ 2acf5: 4f25.*
+ 2acf6: 0e25.*
+ 2acf7: 6fe1.*
+ 2acf8: 4f26.*
+ 2acf9: 0f25.*
+ 2acfa: 6fe1.*
+ 2acfb: 4f27.*
+ 2acfc: 0f25.*
+ 2acfd: 6fe1.*
+ 2acfe: 4f28.*
+ 2acff: 0c26.*
+ 2ad00: 6fe1.*
+ 2ad01: 4f29.*
+ 2ad02: 0d26.*
+ 2ad03: 6fe1.*
+ 2ad04: 4f2a.*
+ 2ad05: 0c26.*
+ 2ad06: 6fe1.*
+ 2ad07: 4f2b.*
+ 2ad08: 0e26.*
+ 2ad09: 6fe1.*
+ 2ad0a: 4f2c.*
+ 2ad0b: 0f26.*
+ 2ad0c: 6fe1.*
+ 2ad0d: 4f2d.*
+ 2ad0e: 0f26.*
+ 2ad0f: 6fe1.*
+ 2ad10: 4f2e.*
+ 2ad11: 0c27.*
+ 2ad12: 6fe1.*
+ 2ad13: 4f2f.*
+ 2ad14: 0d27.*
+ 2ad15: 6fe1.*
+ 2ad16: 4f30.*
+ 2ad17: 0c27.*
+ 2ad18: 6fe1.*
+ 2ad19: 4f31.*
+ 2ad1a: 0e27.*
+ 2ad1b: 6fe1.*
+ 2ad1c: 4f32.*
+ 2ad1d: 0f27.*
+ 2ad1e: 6fe1.*
+ 2ad1f: 4f33.*
+ 2ad20: 0f27.*
+ 2ad21: 6fe1.*
+ 2ad22: 4f34.*
+ 2ad23: 0c28.*
+ 2ad24: 6fe1.*
+ 2ad25: 4f35.*
+ 2ad26: 0d28.*
+ 2ad27: 6fe1.*
+ 2ad28: 4f36.*
+ 2ad29: 0c28.*
+ 2ad2a: 6fe1.*
+ 2ad2b: 4f37.*
+ 2ad2c: 0e28.*
+ 2ad2d: 6fe1.*
+ 2ad2e: 4f38.*
+ 2ad2f: 0f28.*
+ 2ad30: 6fe1.*
+ 2ad31: 4f39.*
+ 2ad32: 0f28.*
+ 2ad33: 6fe1.*
+ 2ad34: 4f3a.*
+ 2ad35: 0c29.*
+ 2ad36: 6fe1.*
+ 2ad37: 4f3b.*
+ 2ad38: 0d29.*
+ 2ad39: 6fe1.*
+ 2ad3a: 4f3c.*
+ 2ad3b: 0c29.*
+ 2ad3c: 6fe1.*
+ 2ad3d: 4f3d.*
+ 2ad3e: 0e29.*
+ 2ad3f: 6fe1.*
+ 2ad40: 4f3e.*
+ 2ad41: 0f29.*
+ 2ad42: 6fe1.*
+ 2ad43: 4f3f.*
+ 2ad44: 0f29.*
+ 2ad45: 6fe1.*
+ 2ad46: 4f40.*
+ 2ad47: 0c2a.*
+ 2ad48: 6fe1.*
+ 2ad49: 4f41.*
+ 2ad4a: 0d2a.*
+ 2ad4b: 6fe1.*
+ 2ad4c: 4f42.*
+ 2ad4d: 0c2a.*
+ 2ad4e: 6fe1.*
+ 2ad4f: 4f43.*
+ 2ad50: 0e2a.*
+ 2ad51: 6fe1.*
+ 2ad52: 4f44.*
+ 2ad53: 0f2a.*
+ 2ad54: 6fe1.*
+ 2ad55: 4f45.*
+ 2ad56: 0f2a.*
+ 2ad57: 6fe1.*
+ 2ad58: 4f46.*
+ 2ad59: 0c2b.*
+ 2ad5a: 6fe1.*
+ 2ad5b: 4f47.*
+ 2ad5c: 0d2b.*
+ 2ad5d: 6fe1.*
+ 2ad5e: 4f48.*
+ 2ad5f: 0c2b.*
+ 2ad60: 6fe1.*
+ 2ad61: 4f49.*
+ 2ad62: 0e2b.*
+ 2ad63: 6fe1.*
+ 2ad64: 4f4a.*
+ 2ad65: 0f2b.*
+ 2ad66: 6fe1.*
+ 2ad67: 4f4b.*
+ 2ad68: 0f2b.*
+ 2ad69: 6fe1.*
+ 2ad6a: 4f4c.*
+ 2ad6b: 0c2c.*
+ 2ad6c: 6fe1.*
+ 2ad6d: 4f4d.*
+ 2ad6e: 0d2c.*
+ 2ad6f: 6fe1.*
+ 2ad70: 4f4e.*
+ 2ad71: 0c2c.*
+ 2ad72: 6fe1.*
+ 2ad73: 4f4f.*
+ 2ad74: 0e2c.*
+ 2ad75: 6fe1.*
+ 2ad76: 4f50.*
+ 2ad77: 0f2c.*
+ 2ad78: 6fe1.*
+ 2ad79: 4f51.*
+ 2ad7a: 0f2c.*
+ 2ad7b: 6fe1.*
+ 2ad7c: 4f52.*
+ 2ad7d: 0c2d.*
+ 2ad7e: 6fe1.*
+ 2ad7f: 4f53.*
+ 2ad80: 0d2d.*
+ 2ad81: 6fe1.*
+ 2ad82: 4f54.*
+ 2ad83: 0c2d.*
+ 2ad84: 6fe1.*
+ 2ad85: 4f55.*
+ 2ad86: 0e2d.*
+ 2ad87: 6fe1.*
+ 2ad88: 4f56.*
+ 2ad89: 0f2d.*
+ 2ad8a: 6fe1.*
+ 2ad8b: 4f57.*
+ 2ad8c: 0f2d.*
+ 2ad8d: 6fe1.*
+ 2ad8e: 4f58.*
+ 2ad8f: 0c2e.*
+ 2ad90: 6fe1.*
+ 2ad91: 4f59.*
+ 2ad92: 0d2e.*
+ 2ad93: 6fe1.*
+ 2ad94: 4f5a.*
+ 2ad95: 0c2e.*
+ 2ad96: 6fe1.*
+ 2ad97: 4f5b.*
+ 2ad98: 0e2e.*
+ 2ad99: 6fe1.*
+ 2ad9a: 4f5c.*
+ 2ad9b: 0f2e.*
+ 2ad9c: 6fe1.*
+ 2ad9d: 4f5d.*
+ 2ad9e: 0f2e.*
+ 2ad9f: 6fe1.*
+ 2ada0: 4f5e.*
+ 2ada1: 0c2f.*
+ 2ada2: 6fe1.*
+ 2ada3: 4f5f.*
+ 2ada4: 0d2f.*
+ 2ada5: 6fe1.*
+ 2ada6: 4f60.*
+ 2ada7: 0c2f.*
+ 2ada8: 6fe1.*
+ 2ada9: 4f61.*
+ 2adaa: 0e2f.*
+ 2adab: 6fe1.*
+ 2adac: 4f62.*
+ 2adad: 0f2f.*
+ 2adae: 6fe1.*
+ 2adaf: 4f63.*
+ 2adb0: 0f2f.*
+ 2adb1: 08e9.*
+ 2adb2: 4f64.*
+ 2adb3: 6fe9.*
+ 2adb4: 4f65.*
+ 2adb5: 0d20.*
+ 2adb6: 08e9.*
+ 2adb7: 4f66.*
+ 2adb8: 6fe9.*
+ 2adb9: 4f67.*
+ 2adba: 0e20.*
+ 2adbb: 09e9.*
+ 2adbc: 4f68.*
+ 2adbd: 09e9.*
+ 2adbe: 4f69.*
+ 2adbf: 6fe9.*
+ 2adc0: 4f6a.*
+ 2adc1: 0c30.*
+ 2adc2: 6fe9.*
+ 2adc3: 4f6b.*
+ 2adc4: 0d30.*
+ 2adc5: 6fe9.*
+ 2adc6: 4f6c.*
+ 2adc7: 0c30.*
+ 2adc8: 6fe9.*
+ 2adc9: 4f6d.*
+ 2adca: 0e30.*
+ 2adcb: 6fe9.*
+ 2adcc: 4f6e.*
+ 2adcd: 0f30.*
+ 2adce: 6fe9.*
+ 2adcf: 4f6f.*
+ 2add0: 0f30.*
+ 2add1: 6fe9.*
+ 2add2: 4f70.*
+ 2add3: 0c31.*
+ 2add4: 6fe9.*
+ 2add5: 4f71.*
+ 2add6: 0d31.*
+ 2add7: 6fe9.*
+ 2add8: 4f72.*
+ 2add9: 0c31.*
+ 2adda: 6fe9.*
+ 2addb: 4f73.*
+ 2addc: 0e31.*
+ 2addd: 6fe9.*
+ 2adde: 4f74.*
+ 2addf: 0f31.*
+ 2ade0: 6fe9.*
+ 2ade1: 4f75.*
+ 2ade2: 0f31.*
+ 2ade3: 6fe9.*
+ 2ade4: 4f76.*
+ 2ade5: 0c32.*
+ 2ade6: 6fe9.*
+ 2ade7: 4f77.*
+ 2ade8: 0d32.*
+ 2ade9: 6fe9.*
+ 2adea: 4f78.*
+ 2adeb: 0c32.*
+ 2adec: 6fe9.*
+ 2aded: 4f79.*
+ 2adee: 0e32.*
+ 2adef: 6fe9.*
+ 2adf0: 4f7a.*
+ 2adf1: 0f32.*
+ 2adf2: 6fe9.*
+ 2adf3: 4f7b.*
+ 2adf4: 0f32.*
+ 2adf5: 6fe9.*
+ 2adf6: 4f7c.*
+ 2adf7: 0c33.*
+ 2adf8: 6fe9.*
+ 2adf9: 4f7d.*
+ 2adfa: 0d33.*
+ 2adfb: 6fe9.*
+ 2adfc: 4f7e.*
+ 2adfd: 0c33.*
+ 2adfe: 6fe9.*
+ 2adff: 4f7f.*
+ 2ae00: 0e33.*
+ 2ae01: 6fe9.*
+ 2ae02: 4f80.*
+ 2ae03: 0f33.*
+ 2ae04: 6fe9.*
+ 2ae05: 4f81.*
+ 2ae06: 0f33.*
+ 2ae07: 6fe9.*
+ 2ae08: 4f82.*
+ 2ae09: 0c34.*
+ 2ae0a: 6fe9.*
+ 2ae0b: 4f83.*
+ 2ae0c: 0d34.*
+ 2ae0d: 6fe9.*
+ 2ae0e: 4f84.*
+ 2ae0f: 0c34.*
+ 2ae10: 6fe9.*
+ 2ae11: 4f85.*
+ 2ae12: 0e34.*
+ 2ae13: 6fe9.*
+ 2ae14: 4f86.*
+ 2ae15: 0f34.*
+ 2ae16: 6fe9.*
+ 2ae17: 4f87.*
+ 2ae18: 0f34.*
+ 2ae19: 6fe9.*
+ 2ae1a: 4f88.*
+ 2ae1b: 0c35.*
+ 2ae1c: 6fe9.*
+ 2ae1d: 4f89.*
+ 2ae1e: 0d35.*
+ 2ae1f: 6fe9.*
+ 2ae20: 4f8a.*
+ 2ae21: 0c35.*
+ 2ae22: 6fe9.*
+ 2ae23: 4f8b.*
+ 2ae24: 0e35.*
+ 2ae25: 6fe9.*
+ 2ae26: 4f8c.*
+ 2ae27: 0f35.*
+ 2ae28: 6fe9.*
+ 2ae29: 4f8d.*
+ 2ae2a: 0f35.*
+ 2ae2b: 6fe9.*
+ 2ae2c: 4f8e.*
+ 2ae2d: 0c36.*
+ 2ae2e: 6fe9.*
+ 2ae2f: 4f8f.*
+ 2ae30: 0d36.*
+ 2ae31: 6fe9.*
+ 2ae32: 4f90.*
+ 2ae33: 0c36.*
+ 2ae34: 6fe9.*
+ 2ae35: 4f91.*
+ 2ae36: 0e36.*
+ 2ae37: 6fe9.*
+ 2ae38: 4f92.*
+ 2ae39: 0f36.*
+ 2ae3a: 6fe9.*
+ 2ae3b: 4f93.*
+ 2ae3c: 0f36.*
+ 2ae3d: 6fe9.*
+ 2ae3e: 4f94.*
+ 2ae3f: 0c37.*
+ 2ae40: 6fe9.*
+ 2ae41: 4f95.*
+ 2ae42: 0d37.*
+ 2ae43: 6fe9.*
+ 2ae44: 4f96.*
+ 2ae45: 0c37.*
+ 2ae46: 6fe9.*
+ 2ae47: 4f97.*
+ 2ae48: 0e37.*
+ 2ae49: 6fe9.*
+ 2ae4a: 4f98.*
+ 2ae4b: 0f37.*
+ 2ae4c: 6fe9.*
+ 2ae4d: 4f99.*
+ 2ae4e: 0f37.*
+ 2ae4f: 6fe9.*
+ 2ae50: 4f9a.*
+ 2ae51: 0c38.*
+ 2ae52: 6fe9.*
+ 2ae53: 4f9b.*
+ 2ae54: 0d38.*
+ 2ae55: 6fe9.*
+ 2ae56: 4f9c.*
+ 2ae57: 0c38.*
+ 2ae58: 6fe9.*
+ 2ae59: 4f9d.*
+ 2ae5a: 0e38.*
+ 2ae5b: 6fe9.*
+ 2ae5c: 4f9e.*
+ 2ae5d: 0f38.*
+ 2ae5e: 6fe9.*
+ 2ae5f: 4f9f.*
+ 2ae60: 0f38.*
+ 2ae61: 6fe9.*
+ 2ae62: 4fa0.*
+ 2ae63: 0c39.*
+ 2ae64: 6fe9.*
+ 2ae65: 4fa1.*
+ 2ae66: 0d39.*
+ 2ae67: 6fe9.*
+ 2ae68: 4fa2.*
+ 2ae69: 0c39.*
+ 2ae6a: 6fe9.*
+ 2ae6b: 4fa3.*
+ 2ae6c: 0e39.*
+ 2ae6d: 6fe9.*
+ 2ae6e: 4fa4.*
+ 2ae6f: 0f39.*
+ 2ae70: 6fe9.*
+ 2ae71: 4fa5.*
+ 2ae72: 0f39.*
+ 2ae73: 6fe9.*
+ 2ae74: 4fa6.*
+ 2ae75: 0c3a.*
+ 2ae76: 6fe9.*
+ 2ae77: 4fa7.*
+ 2ae78: 0d3a.*
+ 2ae79: 6fe9.*
+ 2ae7a: 4fa8.*
+ 2ae7b: 0c3a.*
+ 2ae7c: 6fe9.*
+ 2ae7d: 4fa9.*
+ 2ae7e: 0e3a.*
+ 2ae7f: 6fe9.*
+ 2ae80: 4faa.*
+ 2ae81: 0f3a.*
+ 2ae82: 6fe9.*
+ 2ae83: 4fab.*
+ 2ae84: 0f3a.*
+ 2ae85: 6fe9.*
+ 2ae86: 4fac.*
+ 2ae87: 0c3b.*
+ 2ae88: 6fe9.*
+ 2ae89: 4fad.*
+ 2ae8a: 0d3b.*
+ 2ae8b: 6fe9.*
+ 2ae8c: 4fae.*
+ 2ae8d: 0c3b.*
+ 2ae8e: 6fe9.*
+ 2ae8f: 4faf.*
+ 2ae90: 0e3b.*
+ 2ae91: 6fe9.*
+ 2ae92: 4fb0.*
+ 2ae93: 0f3b.*
+ 2ae94: 6fe9.*
+ 2ae95: 4fb1.*
+ 2ae96: 0f3b.*
+ 2ae97: 6fe9.*
+ 2ae98: 4fb2.*
+ 2ae99: 0c3c.*
+ 2ae9a: 6fe9.*
+ 2ae9b: 4fb3.*
+ 2ae9c: 0d3c.*
+ 2ae9d: 6fe9.*
+ 2ae9e: 4fb4.*
+ 2ae9f: 0c3c.*
+ 2aea0: 6fe9.*
+ 2aea1: 4fb5.*
+ 2aea2: 0e3c.*
+ 2aea3: 6fe9.*
+ 2aea4: 4fb6.*
+ 2aea5: 0f3c.*
+ 2aea6: 6fe9.*
+ 2aea7: 4fb7.*
+ 2aea8: 0f3c.*
+ 2aea9: 6fe9.*
+ 2aeaa: 4fb8.*
+ 2aeab: 0c3d.*
+ 2aeac: 6fe9.*
+ 2aead: 4fb9.*
+ 2aeae: 0d3d.*
+ 2aeaf: 6fe9.*
+ 2aeb0: 4fba.*
+ 2aeb1: 0c3d.*
+ 2aeb2: 6fe9.*
+ 2aeb3: 4fbb.*
+ 2aeb4: 0e3d.*
+ 2aeb5: 6fe9.*
+ 2aeb6: 4fbc.*
+ 2aeb7: 0f3d.*
+ 2aeb8: 6fe9.*
+ 2aeb9: 4fbd.*
+ 2aeba: 0f3d.*
+ 2aebb: 6fe9.*
+ 2aebc: 4fbe.*
+ 2aebd: 0c3e.*
+ 2aebe: 6fe9.*
+ 2aebf: 4fbf.*
+ 2aec0: 0d3e.*
+ 2aec1: 6fe9.*
+ 2aec2: 4fc0.*
+ 2aec3: 0c3e.*
+ 2aec4: 6fe9.*
+ 2aec5: 4fc1.*
+ 2aec6: 0e3e.*
+ 2aec7: 6fe9.*
+ 2aec8: 4fc2.*
+ 2aec9: 0f3e.*
+ 2aeca: 6fe9.*
+ 2aecb: 4fc3.*
+ 2aecc: 0f3e.*
+ 2aecd: 6fe9.*
+ 2aece: 4fc4.*
+ 2aecf: 0c3f.*
+ 2aed0: 6fe9.*
+ 2aed1: 4fc5.*
+ 2aed2: 0d3f.*
+ 2aed3: 6fe9.*
+ 2aed4: 4fc6.*
+ 2aed5: 0c3f.*
+ 2aed6: 6fe9.*
+ 2aed7: 4fc7.*
+ 2aed8: 0e3f.*
+ 2aed9: 6fe9.*
+ 2aeda: 4fc8.*
+ 2aedb: 0f3f.*
+ 2aedc: 6fe9.*
+ 2aedd: 4fc9.*
+ 2aede: 0f3f.*
+ 2aedf: 08e9.*
+ 2aee0: 4fca.*
+ 2aee1: 6fe9.*
+ 2aee2: 4fcb.*
+ 2aee3: 0d20.*
+ 2aee4: 08e9.*
+ 2aee5: 4fcc.*
+ 2aee6: 6fe9.*
+ 2aee7: 4fcd.*
+ 2aee8: 0e20.*
+ 2aee9: 09e9.*
+ 2aeea: 4fce.*
+ 2aeeb: 09e9.*
+ 2aeec: 4fcf.*
+ 2aeed: 6fe9.*
+ 2aeee: 4fd0.*
+ 2aeef: 0c21.*
+ 2aef0: 6fe9.*
+ 2aef1: 4fd1.*
+ 2aef2: 0d21.*
+ 2aef3: 6fe9.*
+ 2aef4: 4fd2.*
+ 2aef5: 0c21.*
+ 2aef6: 6fe9.*
+ 2aef7: 4fd3.*
+ 2aef8: 0e21.*
+ 2aef9: 6fe9.*
+ 2aefa: 4fd4.*
+ 2aefb: 0f21.*
+ 2aefc: 6fe9.*
+ 2aefd: 4fd5.*
+ 2aefe: 0f21.*
+ 2aeff: 6fe9.*
+ 2af00: 4fd6.*
+ 2af01: 0c22.*
+ 2af02: 6fe9.*
+ 2af03: 4fd7.*
+ 2af04: 0d22.*
+ 2af05: 6fe9.*
+ 2af06: 4fd8.*
+ 2af07: 0c22.*
+ 2af08: 6fe9.*
+ 2af09: 4fd9.*
+ 2af0a: 0e22.*
+ 2af0b: 6fe9.*
+ 2af0c: 4fda.*
+ 2af0d: 0f22.*
+ 2af0e: 6fe9.*
+ 2af0f: 4fdb.*
+ 2af10: 0f22.*
+ 2af11: 6fe9.*
+ 2af12: 4fdc.*
+ 2af13: 0c23.*
+ 2af14: 6fe9.*
+ 2af15: 4fdd.*
+ 2af16: 0d23.*
+ 2af17: 6fe9.*
+ 2af18: 4fde.*
+ 2af19: 0c23.*
+ 2af1a: 6fe9.*
+ 2af1b: 4fdf.*
+ 2af1c: 0e23.*
+ 2af1d: 6fe9.*
+ 2af1e: 4fe0.*
+ 2af1f: 0f23.*
+ 2af20: 6fe9.*
+ 2af21: 4fe1.*
+ 2af22: 0f23.*
+ 2af23: 6fe9.*
+ 2af24: 4fe2.*
+ 2af25: 0c24.*
+ 2af26: 6fe9.*
+ 2af27: 4fe3.*
+ 2af28: 0d24.*
+ 2af29: 6fe9.*
+ 2af2a: 4fe4.*
+ 2af2b: 0c24.*
+ 2af2c: 6fe9.*
+ 2af2d: 4fe5.*
+ 2af2e: 0e24.*
+ 2af2f: 6fe9.*
+ 2af30: 4fe6.*
+ 2af31: 0f24.*
+ 2af32: 6fe9.*
+ 2af33: 4fe7.*
+ 2af34: 0f24.*
+ 2af35: 6fe9.*
+ 2af36: 4fe8.*
+ 2af37: 0c25.*
+ 2af38: 6fe9.*
+ 2af39: 4fe9.*
+ 2af3a: 0d25.*
+ 2af3b: 6fe9.*
+ 2af3c: 4fea.*
+ 2af3d: 0c25.*
+ 2af3e: 6fe9.*
+ 2af3f: 4feb.*
+ 2af40: 0e25.*
+ 2af41: 6fe9.*
+ 2af42: 4fec.*
+ 2af43: 0f25.*
+ 2af44: 6fe9.*
+ 2af45: 4fed.*
+ 2af46: 0f25.*
+ 2af47: 6fe9.*
+ 2af48: 4fee.*
+ 2af49: 0c26.*
+ 2af4a: 6fe9.*
+ 2af4b: 4fef.*
+ 2af4c: 0d26.*
+ 2af4d: 6fe9.*
+ 2af4e: 4ff0.*
+ 2af4f: 0c26.*
+ 2af50: 6fe9.*
+ 2af51: 4ff1.*
+ 2af52: 0e26.*
+ 2af53: 6fe9.*
+ 2af54: 4ff2.*
+ 2af55: 0f26.*
+ 2af56: 6fe9.*
+ 2af57: 4ff3.*
+ 2af58: 0f26.*
+ 2af59: 6fe9.*
+ 2af5a: 4ff4.*
+ 2af5b: 0c27.*
+ 2af5c: 6fe9.*
+ 2af5d: 4ff5.*
+ 2af5e: 0d27.*
+ 2af5f: 6fe9.*
+ 2af60: 4ff6.*
+ 2af61: 0c27.*
+ 2af62: 6fe9.*
+ 2af63: 4ff7.*
+ 2af64: 0e27.*
+ 2af65: 6fe9.*
+ 2af66: 4ff8.*
+ 2af67: 0f27.*
+ 2af68: 6fe9.*
+ 2af69: 4ff9.*
+ 2af6a: 0f27.*
+ 2af6b: 6fe9.*
+ 2af6c: 4ffa.*
+ 2af6d: 0c28.*
+ 2af6e: 6fe9.*
+ 2af6f: 4ffb.*
+ 2af70: 0d28.*
+ 2af71: 6fe9.*
+ 2af72: 4ffc.*
+ 2af73: 0c28.*
+ 2af74: 6fe9.*
+ 2af75: 4ffd.*
+ 2af76: 0e28.*
+ 2af77: 6fe9.*
+ 2af78: 4ffe.*
+ 2af79: 0f28.*
+ 2af7a: 6fe9.*
+ 2af7b: 4fff.*
+ 2af7c: 0f28.*
+ 2af7d: 6fe9.*
+ 2af7e: 5000.*
+ 2af7f: 0c29.*
+ 2af80: 6fe9.*
+ 2af81: 5001.*
+ 2af82: 0d29.*
+ 2af83: 6fe9.*
+ 2af84: 5002.*
+ 2af85: 0c29.*
+ 2af86: 6fe9.*
+ 2af87: 5003.*
+ 2af88: 0e29.*
+ 2af89: 6fe9.*
+ 2af8a: 5004.*
+ 2af8b: 0f29.*
+ 2af8c: 6fe9.*
+ 2af8d: 5005.*
+ 2af8e: 0f29.*
+ 2af8f: 6fe9.*
+ 2af90: 5006.*
+ 2af91: 0c2a.*
+ 2af92: 6fe9.*
+ 2af93: 5007.*
+ 2af94: 0d2a.*
+ 2af95: 6fe9.*
+ 2af96: 5008.*
+ 2af97: 0c2a.*
+ 2af98: 6fe9.*
+ 2af99: 5009.*
+ 2af9a: 0e2a.*
+ 2af9b: 6fe9.*
+ 2af9c: 500a.*
+ 2af9d: 0f2a.*
+ 2af9e: 6fe9.*
+ 2af9f: 500b.*
+ 2afa0: 0f2a.*
+ 2afa1: 6fe9.*
+ 2afa2: 500c.*
+ 2afa3: 0c2b.*
+ 2afa4: 6fe9.*
+ 2afa5: 500d.*
+ 2afa6: 0d2b.*
+ 2afa7: 6fe9.*
+ 2afa8: 500e.*
+ 2afa9: 0c2b.*
+ 2afaa: 6fe9.*
+ 2afab: 500f.*
+ 2afac: 0e2b.*
+ 2afad: 6fe9.*
+ 2afae: 5010.*
+ 2afaf: 0f2b.*
+ 2afb0: 6fe9.*
+ 2afb1: 5011.*
+ 2afb2: 0f2b.*
+ 2afb3: 6fe9.*
+ 2afb4: 5012.*
+ 2afb5: 0c2c.*
+ 2afb6: 6fe9.*
+ 2afb7: 5013.*
+ 2afb8: 0d2c.*
+ 2afb9: 6fe9.*
+ 2afba: 5014.*
+ 2afbb: 0c2c.*
+ 2afbc: 6fe9.*
+ 2afbd: 5015.*
+ 2afbe: 0e2c.*
+ 2afbf: 6fe9.*
+ 2afc0: 5016.*
+ 2afc1: 0f2c.*
+ 2afc2: 6fe9.*
+ 2afc3: 5017.*
+ 2afc4: 0f2c.*
+ 2afc5: 6fe9.*
+ 2afc6: 5018.*
+ 2afc7: 0c2d.*
+ 2afc8: 6fe9.*
+ 2afc9: 5019.*
+ 2afca: 0d2d.*
+ 2afcb: 6fe9.*
+ 2afcc: 501a.*
+ 2afcd: 0c2d.*
+ 2afce: 6fe9.*
+ 2afcf: 501b.*
+ 2afd0: 0e2d.*
+ 2afd1: 6fe9.*
+ 2afd2: 501c.*
+ 2afd3: 0f2d.*
+ 2afd4: 6fe9.*
+ 2afd5: 501d.*
+ 2afd6: 0f2d.*
+ 2afd7: 6fe9.*
+ 2afd8: 501e.*
+ 2afd9: 0c2e.*
+ 2afda: 6fe9.*
+ 2afdb: 501f.*
+ 2afdc: 0d2e.*
+ 2afdd: 6fe9.*
+ 2afde: 5020.*
+ 2afdf: 0c2e.*
+ 2afe0: 6fe9.*
+ 2afe1: 5021.*
+ 2afe2: 0e2e.*
+ 2afe3: 6fe9.*
+ 2afe4: 5022.*
+ 2afe5: 0f2e.*
+ 2afe6: 6fe9.*
+ 2afe7: 5023.*
+ 2afe8: 0f2e.*
+ 2afe9: 6fe9.*
+ 2afea: 5024.*
+ 2afeb: 0c2f.*
+ 2afec: 6fe9.*
+ 2afed: 5025.*
+ 2afee: 0d2f.*
+ 2afef: 6fe9.*
+ 2aff0: 5026.*
+ 2aff1: 0c2f.*
+ 2aff2: 6fe9.*
+ 2aff3: 5027.*
+ 2aff4: 0e2f.*
+ 2aff5: 6fe9.*
+ 2aff6: 5028.*
+ 2aff7: 0f2f.*
+ 2aff8: 6fe9.*
+ 2aff9: 5029.*
+ 2affa: 0f2f.*
+ 2affb: 08f1.*
+ 2affc: 502a.*
+ 2affd: 6ff1.*
+ 2affe: 502b.*
+ 2afff: 0d20.*
+ 2b000: 08f1.*
+ 2b001: 502c.*
+ 2b002: 6ff1.*
+ 2b003: 502d.*
+ 2b004: 0e20.*
+ 2b005: 09f1.*
+ 2b006: 502e.*
+ 2b007: 09f1.*
+ 2b008: 502f.*
+ 2b009: 6ff1.*
+ 2b00a: 5030.*
+ 2b00b: 0c30.*
+ 2b00c: 6ff1.*
+ 2b00d: 5031.*
+ 2b00e: 0d30.*
+ 2b00f: 6ff1.*
+ 2b010: 5032.*
+ 2b011: 0c30.*
+ 2b012: 6ff1.*
+ 2b013: 5033.*
+ 2b014: 0e30.*
+ 2b015: 6ff1.*
+ 2b016: 5034.*
+ 2b017: 0f30.*
+ 2b018: 6ff1.*
+ 2b019: 5035.*
+ 2b01a: 0f30.*
+ 2b01b: 6ff1.*
+ 2b01c: 5036.*
+ 2b01d: 0c31.*
+ 2b01e: 6ff1.*
+ 2b01f: 5037.*
+ 2b020: 0d31.*
+ 2b021: 6ff1.*
+ 2b022: 5038.*
+ 2b023: 0c31.*
+ 2b024: 6ff1.*
+ 2b025: 5039.*
+ 2b026: 0e31.*
+ 2b027: 6ff1.*
+ 2b028: 503a.*
+ 2b029: 0f31.*
+ 2b02a: 6ff1.*
+ 2b02b: 503b.*
+ 2b02c: 0f31.*
+ 2b02d: 6ff1.*
+ 2b02e: 503c.*
+ 2b02f: 0c32.*
+ 2b030: 6ff1.*
+ 2b031: 503d.*
+ 2b032: 0d32.*
+ 2b033: 6ff1.*
+ 2b034: 503e.*
+ 2b035: 0c32.*
+ 2b036: 6ff1.*
+ 2b037: 503f.*
+ 2b038: 0e32.*
+ 2b039: 6ff1.*
+ 2b03a: 5040.*
+ 2b03b: 0f32.*
+ 2b03c: 6ff1.*
+ 2b03d: 5041.*
+ 2b03e: 0f32.*
+ 2b03f: 6ff1.*
+ 2b040: 5042.*
+ 2b041: 0c33.*
+ 2b042: 6ff1.*
+ 2b043: 5043.*
+ 2b044: 0d33.*
+ 2b045: 6ff1.*
+ 2b046: 5044.*
+ 2b047: 0c33.*
+ 2b048: 6ff1.*
+ 2b049: 5045.*
+ 2b04a: 0e33.*
+ 2b04b: 6ff1.*
+ 2b04c: 5046.*
+ 2b04d: 0f33.*
+ 2b04e: 6ff1.*
+ 2b04f: 5047.*
+ 2b050: 0f33.*
+ 2b051: 6ff1.*
+ 2b052: 5048.*
+ 2b053: 0c34.*
+ 2b054: 6ff1.*
+ 2b055: 5049.*
+ 2b056: 0d34.*
+ 2b057: 6ff1.*
+ 2b058: 504a.*
+ 2b059: 0c34.*
+ 2b05a: 6ff1.*
+ 2b05b: 504b.*
+ 2b05c: 0e34.*
+ 2b05d: 6ff1.*
+ 2b05e: 504c.*
+ 2b05f: 0f34.*
+ 2b060: 6ff1.*
+ 2b061: 504d.*
+ 2b062: 0f34.*
+ 2b063: 6ff1.*
+ 2b064: 504e.*
+ 2b065: 0c35.*
+ 2b066: 6ff1.*
+ 2b067: 504f.*
+ 2b068: 0d35.*
+ 2b069: 6ff1.*
+ 2b06a: 5050.*
+ 2b06b: 0c35.*
+ 2b06c: 6ff1.*
+ 2b06d: 5051.*
+ 2b06e: 0e35.*
+ 2b06f: 6ff1.*
+ 2b070: 5052.*
+ 2b071: 0f35.*
+ 2b072: 6ff1.*
+ 2b073: 5053.*
+ 2b074: 0f35.*
+ 2b075: 6ff1.*
+ 2b076: 5054.*
+ 2b077: 0c36.*
+ 2b078: 6ff1.*
+ 2b079: 5055.*
+ 2b07a: 0d36.*
+ 2b07b: 6ff1.*
+ 2b07c: 5056.*
+ 2b07d: 0c36.*
+ 2b07e: 6ff1.*
+ 2b07f: 5057.*
+ 2b080: 0e36.*
+ 2b081: 6ff1.*
+ 2b082: 5058.*
+ 2b083: 0f36.*
+ 2b084: 6ff1.*
+ 2b085: 5059.*
+ 2b086: 0f36.*
+ 2b087: 6ff1.*
+ 2b088: 505a.*
+ 2b089: 0c37.*
+ 2b08a: 6ff1.*
+ 2b08b: 505b.*
+ 2b08c: 0d37.*
+ 2b08d: 6ff1.*
+ 2b08e: 505c.*
+ 2b08f: 0c37.*
+ 2b090: 6ff1.*
+ 2b091: 505d.*
+ 2b092: 0e37.*
+ 2b093: 6ff1.*
+ 2b094: 505e.*
+ 2b095: 0f37.*
+ 2b096: 6ff1.*
+ 2b097: 505f.*
+ 2b098: 0f37.*
+ 2b099: 6ff1.*
+ 2b09a: 5060.*
+ 2b09b: 0c38.*
+ 2b09c: 6ff1.*
+ 2b09d: 5061.*
+ 2b09e: 0d38.*
+ 2b09f: 6ff1.*
+ 2b0a0: 5062.*
+ 2b0a1: 0c38.*
+ 2b0a2: 6ff1.*
+ 2b0a3: 5063.*
+ 2b0a4: 0e38.*
+ 2b0a5: 6ff1.*
+ 2b0a6: 5064.*
+ 2b0a7: 0f38.*
+ 2b0a8: 6ff1.*
+ 2b0a9: 5065.*
+ 2b0aa: 0f38.*
+ 2b0ab: 6ff1.*
+ 2b0ac: 5066.*
+ 2b0ad: 0c39.*
+ 2b0ae: 6ff1.*
+ 2b0af: 5067.*
+ 2b0b0: 0d39.*
+ 2b0b1: 6ff1.*
+ 2b0b2: 5068.*
+ 2b0b3: 0c39.*
+ 2b0b4: 6ff1.*
+ 2b0b5: 5069.*
+ 2b0b6: 0e39.*
+ 2b0b7: 6ff1.*
+ 2b0b8: 506a.*
+ 2b0b9: 0f39.*
+ 2b0ba: 6ff1.*
+ 2b0bb: 506b.*
+ 2b0bc: 0f39.*
+ 2b0bd: 6ff1.*
+ 2b0be: 506c.*
+ 2b0bf: 0c3a.*
+ 2b0c0: 6ff1.*
+ 2b0c1: 506d.*
+ 2b0c2: 0d3a.*
+ 2b0c3: 6ff1.*
+ 2b0c4: 506e.*
+ 2b0c5: 0c3a.*
+ 2b0c6: 6ff1.*
+ 2b0c7: 506f.*
+ 2b0c8: 0e3a.*
+ 2b0c9: 6ff1.*
+ 2b0ca: 5070.*
+ 2b0cb: 0f3a.*
+ 2b0cc: 6ff1.*
+ 2b0cd: 5071.*
+ 2b0ce: 0f3a.*
+ 2b0cf: 6ff1.*
+ 2b0d0: 5072.*
+ 2b0d1: 0c3b.*
+ 2b0d2: 6ff1.*
+ 2b0d3: 5073.*
+ 2b0d4: 0d3b.*
+ 2b0d5: 6ff1.*
+ 2b0d6: 5074.*
+ 2b0d7: 0c3b.*
+ 2b0d8: 6ff1.*
+ 2b0d9: 5075.*
+ 2b0da: 0e3b.*
+ 2b0db: 6ff1.*
+ 2b0dc: 5076.*
+ 2b0dd: 0f3b.*
+ 2b0de: 6ff1.*
+ 2b0df: 5077.*
+ 2b0e0: 0f3b.*
+ 2b0e1: 6ff1.*
+ 2b0e2: 5078.*
+ 2b0e3: 0c3c.*
+ 2b0e4: 6ff1.*
+ 2b0e5: 5079.*
+ 2b0e6: 0d3c.*
+ 2b0e7: 6ff1.*
+ 2b0e8: 507a.*
+ 2b0e9: 0c3c.*
+ 2b0ea: 6ff1.*
+ 2b0eb: 507b.*
+ 2b0ec: 0e3c.*
+ 2b0ed: 6ff1.*
+ 2b0ee: 507c.*
+ 2b0ef: 0f3c.*
+ 2b0f0: 6ff1.*
+ 2b0f1: 507d.*
+ 2b0f2: 0f3c.*
+ 2b0f3: 6ff1.*
+ 2b0f4: 507e.*
+ 2b0f5: 0c3d.*
+ 2b0f6: 6ff1.*
+ 2b0f7: 507f.*
+ 2b0f8: 0d3d.*
+ 2b0f9: 6ff1.*
+ 2b0fa: 5080.*
+ 2b0fb: 0c3d.*
+ 2b0fc: 6ff1.*
+ 2b0fd: 5081.*
+ 2b0fe: 0e3d.*
+ 2b0ff: 6ff1.*
+ 2b100: 5082.*
+ 2b101: 0f3d.*
+ 2b102: 6ff1.*
+ 2b103: 5083.*
+ 2b104: 0f3d.*
+ 2b105: 6ff1.*
+ 2b106: 5084.*
+ 2b107: 0c3e.*
+ 2b108: 6ff1.*
+ 2b109: 5085.*
+ 2b10a: 0d3e.*
+ 2b10b: 6ff1.*
+ 2b10c: 5086.*
+ 2b10d: 0c3e.*
+ 2b10e: 6ff1.*
+ 2b10f: 5087.*
+ 2b110: 0e3e.*
+ 2b111: 6ff1.*
+ 2b112: 5088.*
+ 2b113: 0f3e.*
+ 2b114: 6ff1.*
+ 2b115: 5089.*
+ 2b116: 0f3e.*
+ 2b117: 6ff1.*
+ 2b118: 508a.*
+ 2b119: 0c3f.*
+ 2b11a: 6ff1.*
+ 2b11b: 508b.*
+ 2b11c: 0d3f.*
+ 2b11d: 6ff1.*
+ 2b11e: 508c.*
+ 2b11f: 0c3f.*
+ 2b120: 6ff1.*
+ 2b121: 508d.*
+ 2b122: 0e3f.*
+ 2b123: 6ff1.*
+ 2b124: 508e.*
+ 2b125: 0f3f.*
+ 2b126: 6ff1.*
+ 2b127: 508f.*
+ 2b128: 0f3f.*
+ 2b129: 08f1.*
+ 2b12a: 5090.*
+ 2b12b: 6ff1.*
+ 2b12c: 5091.*
+ 2b12d: 0d20.*
+ 2b12e: 08f1.*
+ 2b12f: 5092.*
+ 2b130: 6ff1.*
+ 2b131: 5093.*
+ 2b132: 0e20.*
+ 2b133: 09f1.*
+ 2b134: 5094.*
+ 2b135: 09f1.*
+ 2b136: 5095.*
+ 2b137: 6ff1.*
+ 2b138: 5096.*
+ 2b139: 0c21.*
+ 2b13a: 6ff1.*
+ 2b13b: 5097.*
+ 2b13c: 0d21.*
+ 2b13d: 6ff1.*
+ 2b13e: 5098.*
+ 2b13f: 0c21.*
+ 2b140: 6ff1.*
+ 2b141: 5099.*
+ 2b142: 0e21.*
+ 2b143: 6ff1.*
+ 2b144: 509a.*
+ 2b145: 0f21.*
+ 2b146: 6ff1.*
+ 2b147: 509b.*
+ 2b148: 0f21.*
+ 2b149: 6ff1.*
+ 2b14a: 509c.*
+ 2b14b: 0c22.*
+ 2b14c: 6ff1.*
+ 2b14d: 509d.*
+ 2b14e: 0d22.*
+ 2b14f: 6ff1.*
+ 2b150: 509e.*
+ 2b151: 0c22.*
+ 2b152: 6ff1.*
+ 2b153: 509f.*
+ 2b154: 0e22.*
+ 2b155: 6ff1.*
+ 2b156: 50a0.*
+ 2b157: 0f22.*
+ 2b158: 6ff1.*
+ 2b159: 50a1.*
+ 2b15a: 0f22.*
+ 2b15b: 6ff1.*
+ 2b15c: 50a2.*
+ 2b15d: 0c23.*
+ 2b15e: 6ff1.*
+ 2b15f: 50a3.*
+ 2b160: 0d23.*
+ 2b161: 6ff1.*
+ 2b162: 50a4.*
+ 2b163: 0c23.*
+ 2b164: 6ff1.*
+ 2b165: 50a5.*
+ 2b166: 0e23.*
+ 2b167: 6ff1.*
+ 2b168: 50a6.*
+ 2b169: 0f23.*
+ 2b16a: 6ff1.*
+ 2b16b: 50a7.*
+ 2b16c: 0f23.*
+ 2b16d: 6ff1.*
+ 2b16e: 50a8.*
+ 2b16f: 0c24.*
+ 2b170: 6ff1.*
+ 2b171: 50a9.*
+ 2b172: 0d24.*
+ 2b173: 6ff1.*
+ 2b174: 50aa.*
+ 2b175: 0c24.*
+ 2b176: 6ff1.*
+ 2b177: 50ab.*
+ 2b178: 0e24.*
+ 2b179: 6ff1.*
+ 2b17a: 50ac.*
+ 2b17b: 0f24.*
+ 2b17c: 6ff1.*
+ 2b17d: 50ad.*
+ 2b17e: 0f24.*
+ 2b17f: 6ff1.*
+ 2b180: 50ae.*
+ 2b181: 0c25.*
+ 2b182: 6ff1.*
+ 2b183: 50af.*
+ 2b184: 0d25.*
+ 2b185: 6ff1.*
+ 2b186: 50b0.*
+ 2b187: 0c25.*
+ 2b188: 6ff1.*
+ 2b189: 50b1.*
+ 2b18a: 0e25.*
+ 2b18b: 6ff1.*
+ 2b18c: 50b2.*
+ 2b18d: 0f25.*
+ 2b18e: 6ff1.*
+ 2b18f: 50b3.*
+ 2b190: 0f25.*
+ 2b191: 6ff1.*
+ 2b192: 50b4.*
+ 2b193: 0c26.*
+ 2b194: 6ff1.*
+ 2b195: 50b5.*
+ 2b196: 0d26.*
+ 2b197: 6ff1.*
+ 2b198: 50b6.*
+ 2b199: 0c26.*
+ 2b19a: 6ff1.*
+ 2b19b: 50b7.*
+ 2b19c: 0e26.*
+ 2b19d: 6ff1.*
+ 2b19e: 50b8.*
+ 2b19f: 0f26.*
+ 2b1a0: 6ff1.*
+ 2b1a1: 50b9.*
+ 2b1a2: 0f26.*
+ 2b1a3: 6ff1.*
+ 2b1a4: 50ba.*
+ 2b1a5: 0c27.*
+ 2b1a6: 6ff1.*
+ 2b1a7: 50bb.*
+ 2b1a8: 0d27.*
+ 2b1a9: 6ff1.*
+ 2b1aa: 50bc.*
+ 2b1ab: 0c27.*
+ 2b1ac: 6ff1.*
+ 2b1ad: 50bd.*
+ 2b1ae: 0e27.*
+ 2b1af: 6ff1.*
+ 2b1b0: 50be.*
+ 2b1b1: 0f27.*
+ 2b1b2: 6ff1.*
+ 2b1b3: 50bf.*
+ 2b1b4: 0f27.*
+ 2b1b5: 6ff1.*
+ 2b1b6: 50c0.*
+ 2b1b7: 0c28.*
+ 2b1b8: 6ff1.*
+ 2b1b9: 50c1.*
+ 2b1ba: 0d28.*
+ 2b1bb: 6ff1.*
+ 2b1bc: 50c2.*
+ 2b1bd: 0c28.*
+ 2b1be: 6ff1.*
+ 2b1bf: 50c3.*
+ 2b1c0: 0e28.*
+ 2b1c1: 6ff1.*
+ 2b1c2: 50c4.*
+ 2b1c3: 0f28.*
+ 2b1c4: 6ff1.*
+ 2b1c5: 50c5.*
+ 2b1c6: 0f28.*
+ 2b1c7: 6ff1.*
+ 2b1c8: 50c6.*
+ 2b1c9: 0c29.*
+ 2b1ca: 6ff1.*
+ 2b1cb: 50c7.*
+ 2b1cc: 0d29.*
+ 2b1cd: 6ff1.*
+ 2b1ce: 50c8.*
+ 2b1cf: 0c29.*
+ 2b1d0: 6ff1.*
+ 2b1d1: 50c9.*
+ 2b1d2: 0e29.*
+ 2b1d3: 6ff1.*
+ 2b1d4: 50ca.*
+ 2b1d5: 0f29.*
+ 2b1d6: 6ff1.*
+ 2b1d7: 50cb.*
+ 2b1d8: 0f29.*
+ 2b1d9: 6ff1.*
+ 2b1da: 50cc.*
+ 2b1db: 0c2a.*
+ 2b1dc: 6ff1.*
+ 2b1dd: 50cd.*
+ 2b1de: 0d2a.*
+ 2b1df: 6ff1.*
+ 2b1e0: 50ce.*
+ 2b1e1: 0c2a.*
+ 2b1e2: 6ff1.*
+ 2b1e3: 50cf.*
+ 2b1e4: 0e2a.*
+ 2b1e5: 6ff1.*
+ 2b1e6: 50d0.*
+ 2b1e7: 0f2a.*
+ 2b1e8: 6ff1.*
+ 2b1e9: 50d1.*
+ 2b1ea: 0f2a.*
+ 2b1eb: 6ff1.*
+ 2b1ec: 50d2.*
+ 2b1ed: 0c2b.*
+ 2b1ee: 6ff1.*
+ 2b1ef: 50d3.*
+ 2b1f0: 0d2b.*
+ 2b1f1: 6ff1.*
+ 2b1f2: 50d4.*
+ 2b1f3: 0c2b.*
+ 2b1f4: 6ff1.*
+ 2b1f5: 50d5.*
+ 2b1f6: 0e2b.*
+ 2b1f7: 6ff1.*
+ 2b1f8: 50d6.*
+ 2b1f9: 0f2b.*
+ 2b1fa: 6ff1.*
+ 2b1fb: 50d7.*
+ 2b1fc: 0f2b.*
+ 2b1fd: 6ff1.*
+ 2b1fe: 50d8.*
+ 2b1ff: 0c2c.*
+ 2b200: 6ff1.*
+ 2b201: 50d9.*
+ 2b202: 0d2c.*
+ 2b203: 6ff1.*
+ 2b204: 50da.*
+ 2b205: 0c2c.*
+ 2b206: 6ff1.*
+ 2b207: 50db.*
+ 2b208: 0e2c.*
+ 2b209: 6ff1.*
+ 2b20a: 50dc.*
+ 2b20b: 0f2c.*
+ 2b20c: 6ff1.*
+ 2b20d: 50dd.*
+ 2b20e: 0f2c.*
+ 2b20f: 6ff1.*
+ 2b210: 50de.*
+ 2b211: 0c2d.*
+ 2b212: 6ff1.*
+ 2b213: 50df.*
+ 2b214: 0d2d.*
+ 2b215: 6ff1.*
+ 2b216: 50e0.*
+ 2b217: 0c2d.*
+ 2b218: 6ff1.*
+ 2b219: 50e1.*
+ 2b21a: 0e2d.*
+ 2b21b: 6ff1.*
+ 2b21c: 50e2.*
+ 2b21d: 0f2d.*
+ 2b21e: 6ff1.*
+ 2b21f: 50e3.*
+ 2b220: 0f2d.*
+ 2b221: 6ff1.*
+ 2b222: 50e4.*
+ 2b223: 0c2e.*
+ 2b224: 6ff1.*
+ 2b225: 50e5.*
+ 2b226: 0d2e.*
+ 2b227: 6ff1.*
+ 2b228: 50e6.*
+ 2b229: 0c2e.*
+ 2b22a: 6ff1.*
+ 2b22b: 50e7.*
+ 2b22c: 0e2e.*
+ 2b22d: 6ff1.*
+ 2b22e: 50e8.*
+ 2b22f: 0f2e.*
+ 2b230: 6ff1.*
+ 2b231: 50e9.*
+ 2b232: 0f2e.*
+ 2b233: 6ff1.*
+ 2b234: 50ea.*
+ 2b235: 0c2f.*
+ 2b236: 6ff1.*
+ 2b237: 50eb.*
+ 2b238: 0d2f.*
+ 2b239: 6ff1.*
+ 2b23a: 50ec.*
+ 2b23b: 0c2f.*
+ 2b23c: 6ff1.*
+ 2b23d: 50ed.*
+ 2b23e: 0e2f.*
+ 2b23f: 6ff1.*
+ 2b240: 50ee.*
+ 2b241: 0f2f.*
+ 2b242: 6ff1.*
+ 2b243: 50ef.*
+ 2b244: 0f2f.*
+ 2b245: 08f8.*
+ 2b246: 50f0.*
+ 2b247: 6ff8.*
+ 2b248: 50f1.*
+ 2b249: 0d20.*
+ 2b24a: 08f8.*
+ 2b24b: 50f2.*
+ 2b24c: 6ff8.*
+ 2b24d: 50f3.*
+ 2b24e: 0e20.*
+ 2b24f: 09f8.*
+ 2b250: 50f4.*
+ 2b251: 09f8.*
+ 2b252: 50f5.*
+ 2b253: 6ff8.*
+ 2b254: 50f6.*
+ 2b255: 0c30.*
+ 2b256: 6ff8.*
+ 2b257: 50f7.*
+ 2b258: 0d30.*
+ 2b259: 6ff8.*
+ 2b25a: 50f8.*
+ 2b25b: 0c30.*
+ 2b25c: 6ff8.*
+ 2b25d: 50f9.*
+ 2b25e: 0e30.*
+ 2b25f: 6ff8.*
+ 2b260: 50fa.*
+ 2b261: 0f30.*
+ 2b262: 6ff8.*
+ 2b263: 50fb.*
+ 2b264: 0f30.*
+ 2b265: 6ff8.*
+ 2b266: 50fc.*
+ 2b267: 0c31.*
+ 2b268: 6ff8.*
+ 2b269: 50fd.*
+ 2b26a: 0d31.*
+ 2b26b: 6ff8.*
+ 2b26c: 50fe.*
+ 2b26d: 0c31.*
+ 2b26e: 6ff8.*
+ 2b26f: 50ff.*
+ 2b270: 0e31.*
+ 2b271: 6ff8.*
+ 2b272: 5100.*
+ 2b273: 0f31.*
+ 2b274: 6ff8.*
+ 2b275: 5101.*
+ 2b276: 0f31.*
+ 2b277: 6ff8.*
+ 2b278: 5102.*
+ 2b279: 0c32.*
+ 2b27a: 6ff8.*
+ 2b27b: 5103.*
+ 2b27c: 0d32.*
+ 2b27d: 6ff8.*
+ 2b27e: 5104.*
+ 2b27f: 0c32.*
+ 2b280: 6ff8.*
+ 2b281: 5105.*
+ 2b282: 0e32.*
+ 2b283: 6ff8.*
+ 2b284: 5106.*
+ 2b285: 0f32.*
+ 2b286: 6ff8.*
+ 2b287: 5107.*
+ 2b288: 0f32.*
+ 2b289: 6ff8.*
+ 2b28a: 5108.*
+ 2b28b: 0c33.*
+ 2b28c: 6ff8.*
+ 2b28d: 5109.*
+ 2b28e: 0d33.*
+ 2b28f: 6ff8.*
+ 2b290: 510a.*
+ 2b291: 0c33.*
+ 2b292: 6ff8.*
+ 2b293: 510b.*
+ 2b294: 0e33.*
+ 2b295: 6ff8.*
+ 2b296: 510c.*
+ 2b297: 0f33.*
+ 2b298: 6ff8.*
+ 2b299: 510d.*
+ 2b29a: 0f33.*
+ 2b29b: 6ff8.*
+ 2b29c: 510e.*
+ 2b29d: 0c34.*
+ 2b29e: 6ff8.*
+ 2b29f: 510f.*
+ 2b2a0: 0d34.*
+ 2b2a1: 6ff8.*
+ 2b2a2: 5110.*
+ 2b2a3: 0c34.*
+ 2b2a4: 6ff8.*
+ 2b2a5: 5111.*
+ 2b2a6: 0e34.*
+ 2b2a7: 6ff8.*
+ 2b2a8: 5112.*
+ 2b2a9: 0f34.*
+ 2b2aa: 6ff8.*
+ 2b2ab: 5113.*
+ 2b2ac: 0f34.*
+ 2b2ad: 6ff8.*
+ 2b2ae: 5114.*
+ 2b2af: 0c35.*
+ 2b2b0: 6ff8.*
+ 2b2b1: 5115.*
+ 2b2b2: 0d35.*
+ 2b2b3: 6ff8.*
+ 2b2b4: 5116.*
+ 2b2b5: 0c35.*
+ 2b2b6: 6ff8.*
+ 2b2b7: 5117.*
+ 2b2b8: 0e35.*
+ 2b2b9: 6ff8.*
+ 2b2ba: 5118.*
+ 2b2bb: 0f35.*
+ 2b2bc: 6ff8.*
+ 2b2bd: 5119.*
+ 2b2be: 0f35.*
+ 2b2bf: 6ff8.*
+ 2b2c0: 511a.*
+ 2b2c1: 0c36.*
+ 2b2c2: 6ff8.*
+ 2b2c3: 511b.*
+ 2b2c4: 0d36.*
+ 2b2c5: 6ff8.*
+ 2b2c6: 511c.*
+ 2b2c7: 0c36.*
+ 2b2c8: 6ff8.*
+ 2b2c9: 511d.*
+ 2b2ca: 0e36.*
+ 2b2cb: 6ff8.*
+ 2b2cc: 511e.*
+ 2b2cd: 0f36.*
+ 2b2ce: 6ff8.*
+ 2b2cf: 511f.*
+ 2b2d0: 0f36.*
+ 2b2d1: 6ff8.*
+ 2b2d2: 5120.*
+ 2b2d3: 0c37.*
+ 2b2d4: 6ff8.*
+ 2b2d5: 5121.*
+ 2b2d6: 0d37.*
+ 2b2d7: 6ff8.*
+ 2b2d8: 5122.*
+ 2b2d9: 0c37.*
+ 2b2da: 6ff8.*
+ 2b2db: 5123.*
+ 2b2dc: 0e37.*
+ 2b2dd: 6ff8.*
+ 2b2de: 5124.*
+ 2b2df: 0f37.*
+ 2b2e0: 6ff8.*
+ 2b2e1: 5125.*
+ 2b2e2: 0f37.*
+ 2b2e3: 6ff8.*
+ 2b2e4: 5126.*
+ 2b2e5: 0c38.*
+ 2b2e6: 6ff8.*
+ 2b2e7: 5127.*
+ 2b2e8: 0d38.*
+ 2b2e9: 6ff8.*
+ 2b2ea: 5128.*
+ 2b2eb: 0c38.*
+ 2b2ec: 6ff8.*
+ 2b2ed: 5129.*
+ 2b2ee: 0e38.*
+ 2b2ef: 6ff8.*
+ 2b2f0: 512a.*
+ 2b2f1: 0f38.*
+ 2b2f2: 6ff8.*
+ 2b2f3: 512b.*
+ 2b2f4: 0f38.*
+ 2b2f5: 6ff8.*
+ 2b2f6: 512c.*
+ 2b2f7: 0c39.*
+ 2b2f8: 6ff8.*
+ 2b2f9: 512d.*
+ 2b2fa: 0d39.*
+ 2b2fb: 6ff8.*
+ 2b2fc: 512e.*
+ 2b2fd: 0c39.*
+ 2b2fe: 6ff8.*
+ 2b2ff: 512f.*
+ 2b300: 0e39.*
+ 2b301: 6ff8.*
+ 2b302: 5130.*
+ 2b303: 0f39.*
+ 2b304: 6ff8.*
+ 2b305: 5131.*
+ 2b306: 0f39.*
+ 2b307: 6ff8.*
+ 2b308: 5132.*
+ 2b309: 0c3a.*
+ 2b30a: 6ff8.*
+ 2b30b: 5133.*
+ 2b30c: 0d3a.*
+ 2b30d: 6ff8.*
+ 2b30e: 5134.*
+ 2b30f: 0c3a.*
+ 2b310: 6ff8.*
+ 2b311: 5135.*
+ 2b312: 0e3a.*
+ 2b313: 6ff8.*
+ 2b314: 5136.*
+ 2b315: 0f3a.*
+ 2b316: 6ff8.*
+ 2b317: 5137.*
+ 2b318: 0f3a.*
+ 2b319: 6ff8.*
+ 2b31a: 5138.*
+ 2b31b: 0c3b.*
+ 2b31c: 6ff8.*
+ 2b31d: 5139.*
+ 2b31e: 0d3b.*
+ 2b31f: 6ff8.*
+ 2b320: 513a.*
+ 2b321: 0c3b.*
+ 2b322: 6ff8.*
+ 2b323: 513b.*
+ 2b324: 0e3b.*
+ 2b325: 6ff8.*
+ 2b326: 513c.*
+ 2b327: 0f3b.*
+ 2b328: 6ff8.*
+ 2b329: 513d.*
+ 2b32a: 0f3b.*
+ 2b32b: 6ff8.*
+ 2b32c: 513e.*
+ 2b32d: 0c3c.*
+ 2b32e: 6ff8.*
+ 2b32f: 513f.*
+ 2b330: 0d3c.*
+ 2b331: 6ff8.*
+ 2b332: 5140.*
+ 2b333: 0c3c.*
+ 2b334: 6ff8.*
+ 2b335: 5141.*
+ 2b336: 0e3c.*
+ 2b337: 6ff8.*
+ 2b338: 5142.*
+ 2b339: 0f3c.*
+ 2b33a: 6ff8.*
+ 2b33b: 5143.*
+ 2b33c: 0f3c.*
+ 2b33d: 6ff8.*
+ 2b33e: 5144.*
+ 2b33f: 0c3d.*
+ 2b340: 6ff8.*
+ 2b341: 5145.*
+ 2b342: 0d3d.*
+ 2b343: 6ff8.*
+ 2b344: 5146.*
+ 2b345: 0c3d.*
+ 2b346: 6ff8.*
+ 2b347: 5147.*
+ 2b348: 0e3d.*
+ 2b349: 6ff8.*
+ 2b34a: 5148.*
+ 2b34b: 0f3d.*
+ 2b34c: 6ff8.*
+ 2b34d: 5149.*
+ 2b34e: 0f3d.*
+ 2b34f: 6ff8.*
+ 2b350: 514a.*
+ 2b351: 0c3e.*
+ 2b352: 6ff8.*
+ 2b353: 514b.*
+ 2b354: 0d3e.*
+ 2b355: 6ff8.*
+ 2b356: 514c.*
+ 2b357: 0c3e.*
+ 2b358: 6ff8.*
+ 2b359: 514d.*
+ 2b35a: 0e3e.*
+ 2b35b: 6ff8.*
+ 2b35c: 514e.*
+ 2b35d: 0f3e.*
+ 2b35e: 6ff8.*
+ 2b35f: 514f.*
+ 2b360: 0f3e.*
+ 2b361: 6ff8.*
+ 2b362: 5150.*
+ 2b363: 0c3f.*
+ 2b364: 6ff8.*
+ 2b365: 5151.*
+ 2b366: 0d3f.*
+ 2b367: 6ff8.*
+ 2b368: 5152.*
+ 2b369: 0c3f.*
+ 2b36a: 6ff8.*
+ 2b36b: 5153.*
+ 2b36c: 0e3f.*
+ 2b36d: 6ff8.*
+ 2b36e: 5154.*
+ 2b36f: 0f3f.*
+ 2b370: 6ff8.*
+ 2b371: 5155.*
+ 2b372: 0f3f.*
+ 2b373: 08f8.*
+ 2b374: 5156.*
+ 2b375: 6ff8.*
+ 2b376: 5157.*
+ 2b377: 0d20.*
+ 2b378: 08f8.*
+ 2b379: 5158.*
+ 2b37a: 6ff8.*
+ 2b37b: 5159.*
+ 2b37c: 0e20.*
+ 2b37d: 09f8.*
+ 2b37e: 515a.*
+ 2b37f: 09f8.*
+ 2b380: 515b.*
+ 2b381: 6ff8.*
+ 2b382: 515c.*
+ 2b383: 0c21.*
+ 2b384: 6ff8.*
+ 2b385: 515d.*
+ 2b386: 0d21.*
+ 2b387: 6ff8.*
+ 2b388: 515e.*
+ 2b389: 0c21.*
+ 2b38a: 6ff8.*
+ 2b38b: 515f.*
+ 2b38c: 0e21.*
+ 2b38d: 6ff8.*
+ 2b38e: 5160.*
+ 2b38f: 0f21.*
+ 2b390: 6ff8.*
+ 2b391: 5161.*
+ 2b392: 0f21.*
+ 2b393: 6ff8.*
+ 2b394: 5162.*
+ 2b395: 0c22.*
+ 2b396: 6ff8.*
+ 2b397: 5163.*
+ 2b398: 0d22.*
+ 2b399: 6ff8.*
+ 2b39a: 5164.*
+ 2b39b: 0c22.*
+ 2b39c: 6ff8.*
+ 2b39d: 5165.*
+ 2b39e: 0e22.*
+ 2b39f: 6ff8.*
+ 2b3a0: 5166.*
+ 2b3a1: 0f22.*
+ 2b3a2: 6ff8.*
+ 2b3a3: 5167.*
+ 2b3a4: 0f22.*
+ 2b3a5: 6ff8.*
+ 2b3a6: 5168.*
+ 2b3a7: 0c23.*
+ 2b3a8: 6ff8.*
+ 2b3a9: 5169.*
+ 2b3aa: 0d23.*
+ 2b3ab: 6ff8.*
+ 2b3ac: 516a.*
+ 2b3ad: 0c23.*
+ 2b3ae: 6ff8.*
+ 2b3af: 516b.*
+ 2b3b0: 0e23.*
+ 2b3b1: 6ff8.*
+ 2b3b2: 516c.*
+ 2b3b3: 0f23.*
+ 2b3b4: 6ff8.*
+ 2b3b5: 516d.*
+ 2b3b6: 0f23.*
+ 2b3b7: 6ff8.*
+ 2b3b8: 516e.*
+ 2b3b9: 0c24.*
+ 2b3ba: 6ff8.*
+ 2b3bb: 516f.*
+ 2b3bc: 0d24.*
+ 2b3bd: 6ff8.*
+ 2b3be: 5170.*
+ 2b3bf: 0c24.*
+ 2b3c0: 6ff8.*
+ 2b3c1: 5171.*
+ 2b3c2: 0e24.*
+ 2b3c3: 6ff8.*
+ 2b3c4: 5172.*
+ 2b3c5: 0f24.*
+ 2b3c6: 6ff8.*
+ 2b3c7: 5173.*
+ 2b3c8: 0f24.*
+ 2b3c9: 6ff8.*
+ 2b3ca: 5174.*
+ 2b3cb: 0c25.*
+ 2b3cc: 6ff8.*
+ 2b3cd: 5175.*
+ 2b3ce: 0d25.*
+ 2b3cf: 6ff8.*
+ 2b3d0: 5176.*
+ 2b3d1: 0c25.*
+ 2b3d2: 6ff8.*
+ 2b3d3: 5177.*
+ 2b3d4: 0e25.*
+ 2b3d5: 6ff8.*
+ 2b3d6: 5178.*
+ 2b3d7: 0f25.*
+ 2b3d8: 6ff8.*
+ 2b3d9: 5179.*
+ 2b3da: 0f25.*
+ 2b3db: 6ff8.*
+ 2b3dc: 517a.*
+ 2b3dd: 0c26.*
+ 2b3de: 6ff8.*
+ 2b3df: 517b.*
+ 2b3e0: 0d26.*
+ 2b3e1: 6ff8.*
+ 2b3e2: 517c.*
+ 2b3e3: 0c26.*
+ 2b3e4: 6ff8.*
+ 2b3e5: 517d.*
+ 2b3e6: 0e26.*
+ 2b3e7: 6ff8.*
+ 2b3e8: 517e.*
+ 2b3e9: 0f26.*
+ 2b3ea: 6ff8.*
+ 2b3eb: 517f.*
+ 2b3ec: 0f26.*
+ 2b3ed: 6ff8.*
+ 2b3ee: 5180.*
+ 2b3ef: 0c27.*
+ 2b3f0: 6ff8.*
+ 2b3f1: 5181.*
+ 2b3f2: 0d27.*
+ 2b3f3: 6ff8.*
+ 2b3f4: 5182.*
+ 2b3f5: 0c27.*
+ 2b3f6: 6ff8.*
+ 2b3f7: 5183.*
+ 2b3f8: 0e27.*
+ 2b3f9: 6ff8.*
+ 2b3fa: 5184.*
+ 2b3fb: 0f27.*
+ 2b3fc: 6ff8.*
+ 2b3fd: 5185.*
+ 2b3fe: 0f27.*
+ 2b3ff: 6ff8.*
+ 2b400: 5186.*
+ 2b401: 0c28.*
+ 2b402: 6ff8.*
+ 2b403: 5187.*
+ 2b404: 0d28.*
+ 2b405: 6ff8.*
+ 2b406: 5188.*
+ 2b407: 0c28.*
+ 2b408: 6ff8.*
+ 2b409: 5189.*
+ 2b40a: 0e28.*
+ 2b40b: 6ff8.*
+ 2b40c: 518a.*
+ 2b40d: 0f28.*
+ 2b40e: 6ff8.*
+ 2b40f: 518b.*
+ 2b410: 0f28.*
+ 2b411: 6ff8.*
+ 2b412: 518c.*
+ 2b413: 0c29.*
+ 2b414: 6ff8.*
+ 2b415: 518d.*
+ 2b416: 0d29.*
+ 2b417: 6ff8.*
+ 2b418: 518e.*
+ 2b419: 0c29.*
+ 2b41a: 6ff8.*
+ 2b41b: 518f.*
+ 2b41c: 0e29.*
+ 2b41d: 6ff8.*
+ 2b41e: 5190.*
+ 2b41f: 0f29.*
+ 2b420: 6ff8.*
+ 2b421: 5191.*
+ 2b422: 0f29.*
+ 2b423: 6ff8.*
+ 2b424: 5192.*
+ 2b425: 0c2a.*
+ 2b426: 6ff8.*
+ 2b427: 5193.*
+ 2b428: 0d2a.*
+ 2b429: 6ff8.*
+ 2b42a: 5194.*
+ 2b42b: 0c2a.*
+ 2b42c: 6ff8.*
+ 2b42d: 5195.*
+ 2b42e: 0e2a.*
+ 2b42f: 6ff8.*
+ 2b430: 5196.*
+ 2b431: 0f2a.*
+ 2b432: 6ff8.*
+ 2b433: 5197.*
+ 2b434: 0f2a.*
+ 2b435: 6ff8.*
+ 2b436: 5198.*
+ 2b437: 0c2b.*
+ 2b438: 6ff8.*
+ 2b439: 5199.*
+ 2b43a: 0d2b.*
+ 2b43b: 6ff8.*
+ 2b43c: 519a.*
+ 2b43d: 0c2b.*
+ 2b43e: 6ff8.*
+ 2b43f: 519b.*
+ 2b440: 0e2b.*
+ 2b441: 6ff8.*
+ 2b442: 519c.*
+ 2b443: 0f2b.*
+ 2b444: 6ff8.*
+ 2b445: 519d.*
+ 2b446: 0f2b.*
+ 2b447: 6ff8.*
+ 2b448: 519e.*
+ 2b449: 0c2c.*
+ 2b44a: 6ff8.*
+ 2b44b: 519f.*
+ 2b44c: 0d2c.*
+ 2b44d: 6ff8.*
+ 2b44e: 51a0.*
+ 2b44f: 0c2c.*
+ 2b450: 6ff8.*
+ 2b451: 51a1.*
+ 2b452: 0e2c.*
+ 2b453: 6ff8.*
+ 2b454: 51a2.*
+ 2b455: 0f2c.*
+ 2b456: 6ff8.*
+ 2b457: 51a3.*
+ 2b458: 0f2c.*
+ 2b459: 6ff8.*
+ 2b45a: 51a4.*
+ 2b45b: 0c2d.*
+ 2b45c: 6ff8.*
+ 2b45d: 51a5.*
+ 2b45e: 0d2d.*
+ 2b45f: 6ff8.*
+ 2b460: 51a6.*
+ 2b461: 0c2d.*
+ 2b462: 6ff8.*
+ 2b463: 51a7.*
+ 2b464: 0e2d.*
+ 2b465: 6ff8.*
+ 2b466: 51a8.*
+ 2b467: 0f2d.*
+ 2b468: 6ff8.*
+ 2b469: 51a9.*
+ 2b46a: 0f2d.*
+ 2b46b: 6ff8.*
+ 2b46c: 51aa.*
+ 2b46d: 0c2e.*
+ 2b46e: 6ff8.*
+ 2b46f: 51ab.*
+ 2b470: 0d2e.*
+ 2b471: 6ff8.*
+ 2b472: 51ac.*
+ 2b473: 0c2e.*
+ 2b474: 6ff8.*
+ 2b475: 51ad.*
+ 2b476: 0e2e.*
+ 2b477: 6ff8.*
+ 2b478: 51ae.*
+ 2b479: 0f2e.*
+ 2b47a: 6ff8.*
+ 2b47b: 51af.*
+ 2b47c: 0f2e.*
+ 2b47d: 6ff8.*
+ 2b47e: 51b0.*
+ 2b47f: 0c2f.*
+ 2b480: 6ff8.*
+ 2b481: 51b1.*
+ 2b482: 0d2f.*
+ 2b483: 6ff8.*
+ 2b484: 51b2.*
+ 2b485: 0c2f.*
+ 2b486: 6ff8.*
+ 2b487: 51b3.*
+ 2b488: 0e2f.*
+ 2b489: 6ff8.*
+ 2b48a: 51b4.*
+ 2b48b: 0f2f.*
+ 2b48c: 6ff8.*
+ 2b48d: 51b5.*
+ 2b48e: 0f2f.*
+ 2b48f: 0882.*
+ 2b490: 6f82.*
+ 2b491: 0d20.*
+ 2b492: 0882.*
+ 2b493: 6f82.*
+ 2b494: 0e20.*
+ 2b495: 0982.*
+ 2b496: 0982.*
+ 2b497: 6f82.*
+ 2b498: 0c30.*
+ 2b499: 6f82.*
+ 2b49a: 0d30.*
+ 2b49b: 6f82.*
+ 2b49c: 0c30.*
+ 2b49d: 6f82.*
+ 2b49e: 0e30.*
+ 2b49f: 6f82.*
+ 2b4a0: 0f30.*
+ 2b4a1: 6f82.*
+ 2b4a2: 0f30.*
+ 2b4a3: 6f82.*
+ 2b4a4: 0c31.*
+ 2b4a5: 6f82.*
+ 2b4a6: 0d31.*
+ 2b4a7: 6f82.*
+ 2b4a8: 0c31.*
+ 2b4a9: 6f82.*
+ 2b4aa: 0e31.*
+ 2b4ab: 6f82.*
+ 2b4ac: 0f31.*
+ 2b4ad: 6f82.*
+ 2b4ae: 0f31.*
+ 2b4af: 6f82.*
+ 2b4b0: 0c32.*
+ 2b4b1: 6f82.*
+ 2b4b2: 0d32.*
+ 2b4b3: 6f82.*
+ 2b4b4: 0c32.*
+ 2b4b5: 6f82.*
+ 2b4b6: 0e32.*
+ 2b4b7: 6f82.*
+ 2b4b8: 0f32.*
+ 2b4b9: 6f82.*
+ 2b4ba: 0f32.*
+ 2b4bb: 6f82.*
+ 2b4bc: 0c33.*
+ 2b4bd: 6f82.*
+ 2b4be: 0d33.*
+ 2b4bf: 6f82.*
+ 2b4c0: 0c33.*
+ 2b4c1: 6f82.*
+ 2b4c2: 0e33.*
+ 2b4c3: 6f82.*
+ 2b4c4: 0f33.*
+ 2b4c5: 6f82.*
+ 2b4c6: 0f33.*
+ 2b4c7: 6f82.*
+ 2b4c8: 0c34.*
+ 2b4c9: 6f82.*
+ 2b4ca: 0d34.*
+ 2b4cb: 6f82.*
+ 2b4cc: 0c34.*
+ 2b4cd: 6f82.*
+ 2b4ce: 0e34.*
+ 2b4cf: 6f82.*
+ 2b4d0: 0f34.*
+ 2b4d1: 6f82.*
+ 2b4d2: 0f34.*
+ 2b4d3: 6f82.*
+ 2b4d4: 0c35.*
+ 2b4d5: 6f82.*
+ 2b4d6: 0d35.*
+ 2b4d7: 6f82.*
+ 2b4d8: 0c35.*
+ 2b4d9: 6f82.*
+ 2b4da: 0e35.*
+ 2b4db: 6f82.*
+ 2b4dc: 0f35.*
+ 2b4dd: 6f82.*
+ 2b4de: 0f35.*
+ 2b4df: 6f82.*
+ 2b4e0: 0c36.*
+ 2b4e1: 6f82.*
+ 2b4e2: 0d36.*
+ 2b4e3: 6f82.*
+ 2b4e4: 0c36.*
+ 2b4e5: 6f82.*
+ 2b4e6: 0e36.*
+ 2b4e7: 6f82.*
+ 2b4e8: 0f36.*
+ 2b4e9: 6f82.*
+ 2b4ea: 0f36.*
+ 2b4eb: 6f82.*
+ 2b4ec: 0c37.*
+ 2b4ed: 6f82.*
+ 2b4ee: 0d37.*
+ 2b4ef: 6f82.*
+ 2b4f0: 0c37.*
+ 2b4f1: 6f82.*
+ 2b4f2: 0e37.*
+ 2b4f3: 6f82.*
+ 2b4f4: 0f37.*
+ 2b4f5: 6f82.*
+ 2b4f6: 0f37.*
+ 2b4f7: 6f82.*
+ 2b4f8: 0c38.*
+ 2b4f9: 6f82.*
+ 2b4fa: 0d38.*
+ 2b4fb: 6f82.*
+ 2b4fc: 0c38.*
+ 2b4fd: 6f82.*
+ 2b4fe: 0e38.*
+ 2b4ff: 6f82.*
+ 2b500: 0f38.*
+ 2b501: 6f82.*
+ 2b502: 0f38.*
+ 2b503: 6f82.*
+ 2b504: 0c39.*
+ 2b505: 6f82.*
+ 2b506: 0d39.*
+ 2b507: 6f82.*
+ 2b508: 0c39.*
+ 2b509: 6f82.*
+ 2b50a: 0e39.*
+ 2b50b: 6f82.*
+ 2b50c: 0f39.*
+ 2b50d: 6f82.*
+ 2b50e: 0f39.*
+ 2b50f: 6f82.*
+ 2b510: 0c3a.*
+ 2b511: 6f82.*
+ 2b512: 0d3a.*
+ 2b513: 6f82.*
+ 2b514: 0c3a.*
+ 2b515: 6f82.*
+ 2b516: 0e3a.*
+ 2b517: 6f82.*
+ 2b518: 0f3a.*
+ 2b519: 6f82.*
+ 2b51a: 0f3a.*
+ 2b51b: 6f82.*
+ 2b51c: 0c3b.*
+ 2b51d: 6f82.*
+ 2b51e: 0d3b.*
+ 2b51f: 6f82.*
+ 2b520: 0c3b.*
+ 2b521: 6f82.*
+ 2b522: 0e3b.*
+ 2b523: 6f82.*
+ 2b524: 0f3b.*
+ 2b525: 6f82.*
+ 2b526: 0f3b.*
+ 2b527: 6f82.*
+ 2b528: 0c3c.*
+ 2b529: 6f82.*
+ 2b52a: 0d3c.*
+ 2b52b: 6f82.*
+ 2b52c: 0c3c.*
+ 2b52d: 6f82.*
+ 2b52e: 0e3c.*
+ 2b52f: 6f82.*
+ 2b530: 0f3c.*
+ 2b531: 6f82.*
+ 2b532: 0f3c.*
+ 2b533: 6f82.*
+ 2b534: 0c3d.*
+ 2b535: 6f82.*
+ 2b536: 0d3d.*
+ 2b537: 6f82.*
+ 2b538: 0c3d.*
+ 2b539: 6f82.*
+ 2b53a: 0e3d.*
+ 2b53b: 6f82.*
+ 2b53c: 0f3d.*
+ 2b53d: 6f82.*
+ 2b53e: 0f3d.*
+ 2b53f: 6f82.*
+ 2b540: 0c3e.*
+ 2b541: 6f82.*
+ 2b542: 0d3e.*
+ 2b543: 6f82.*
+ 2b544: 0c3e.*
+ 2b545: 6f82.*
+ 2b546: 0e3e.*
+ 2b547: 6f82.*
+ 2b548: 0f3e.*
+ 2b549: 6f82.*
+ 2b54a: 0f3e.*
+ 2b54b: 6f82.*
+ 2b54c: 0c3f.*
+ 2b54d: 6f82.*
+ 2b54e: 0d3f.*
+ 2b54f: 6f82.*
+ 2b550: 0c3f.*
+ 2b551: 6f82.*
+ 2b552: 0e3f.*
+ 2b553: 6f82.*
+ 2b554: 0f3f.*
+ 2b555: 6f82.*
+ 2b556: 0f3f.*
+ 2b557: 0882.*
+ 2b558: 6f82.*
+ 2b559: 0d20.*
+ 2b55a: 0882.*
+ 2b55b: 6f82.*
+ 2b55c: 0e20.*
+ 2b55d: 0982.*
+ 2b55e: 0982.*
+ 2b55f: 9201.*
+ 2b560: 6f82.*
+ 2b561: 0d21.*
+ 2b562: 9201.*
+ 2b563: 6f82.*
+ 2b564: 0e21.*
+ 2b565: 9301.*
+ 2b566: 9301.*
+ 2b567: 9202.*
+ 2b568: 6f82.*
+ 2b569: 0d22.*
+ 2b56a: 9202.*
+ 2b56b: 6f82.*
+ 2b56c: 0e22.*
+ 2b56d: 9302.*
+ 2b56e: 9302.*
+ 2b56f: 9203.*
+ 2b570: 6f82.*
+ 2b571: 0d23.*
+ 2b572: 9203.*
+ 2b573: 6f82.*
+ 2b574: 0e23.*
+ 2b575: 9303.*
+ 2b576: 9303.*
+ 2b577: 9204.*
+ 2b578: 6f82.*
+ 2b579: 0d24.*
+ 2b57a: 9204.*
+ 2b57b: 6f82.*
+ 2b57c: 0e24.*
+ 2b57d: 9304.*
+ 2b57e: 9304.*
+ 2b57f: 9205.*
+ 2b580: 6f82.*
+ 2b581: 0d25.*
+ 2b582: 9205.*
+ 2b583: 6f82.*
+ 2b584: 0e25.*
+ 2b585: 9305.*
+ 2b586: 9305.*
+ 2b587: 9206.*
+ 2b588: 6f82.*
+ 2b589: 0d26.*
+ 2b58a: 9206.*
+ 2b58b: 6f82.*
+ 2b58c: 0e26.*
+ 2b58d: 9306.*
+ 2b58e: 9306.*
+ 2b58f: 9207.*
+ 2b590: 6f82.*
+ 2b591: 0d27.*
+ 2b592: 9207.*
+ 2b593: 6f82.*
+ 2b594: 0e27.*
+ 2b595: 9307.*
+ 2b596: 9307.*
+ 2b597: 9208.*
+ 2b598: 6f82.*
+ 2b599: 0d28.*
+ 2b59a: 9208.*
+ 2b59b: 6f82.*
+ 2b59c: 0e28.*
+ 2b59d: 9308.*
+ 2b59e: 9308.*
+ 2b59f: 9209.*
+ 2b5a0: 6f82.*
+ 2b5a1: 0d29.*
+ 2b5a2: 9209.*
+ 2b5a3: 6f82.*
+ 2b5a4: 0e29.*
+ 2b5a5: 9309.*
+ 2b5a6: 9309.*
+ 2b5a7: 920a.*
+ 2b5a8: 6f82.*
+ 2b5a9: 0d2a.*
+ 2b5aa: 920a.*
+ 2b5ab: 6f82.*
+ 2b5ac: 0e2a.*
+ 2b5ad: 930a.*
+ 2b5ae: 930a.*
+ 2b5af: 920b.*
+ 2b5b0: 6f82.*
+ 2b5b1: 0d2b.*
+ 2b5b2: 920b.*
+ 2b5b3: 6f82.*
+ 2b5b4: 0e2b.*
+ 2b5b5: 930b.*
+ 2b5b6: 930b.*
+ 2b5b7: 920c.*
+ 2b5b8: 6f82.*
+ 2b5b9: 0d2c.*
+ 2b5ba: 920c.*
+ 2b5bb: 6f82.*
+ 2b5bc: 0e2c.*
+ 2b5bd: 930c.*
+ 2b5be: 930c.*
+ 2b5bf: 920d.*
+ 2b5c0: 6f82.*
+ 2b5c1: 0d2d.*
+ 2b5c2: 920d.*
+ 2b5c3: 6f82.*
+ 2b5c4: 0e2d.*
+ 2b5c5: 930d.*
+ 2b5c6: 930d.*
+ 2b5c7: 920e.*
+ 2b5c8: 6f82.*
+ 2b5c9: 0d2e.*
+ 2b5ca: 920e.*
+ 2b5cb: 6f82.*
+ 2b5cc: 0e2e.*
+ 2b5cd: 930e.*
+ 2b5ce: 930e.*
+ 2b5cf: 920f.*
+ 2b5d0: 6f82.*
+ 2b5d1: 0d2f.*
+ 2b5d2: 920f.*
+ 2b5d3: 6f82.*
+ 2b5d4: 0e2f.*
+ 2b5d5: 930f.*
+ 2b5d6: 930f.*
+ 2b5d7: 088a.*
+ 2b5d8: 6f8a.*
+ 2b5d9: 0d20.*
+ 2b5da: 088a.*
+ 2b5db: 6f8a.*
+ 2b5dc: 0e20.*
+ 2b5dd: 098a.*
+ 2b5de: 098a.*
+ 2b5df: 6f8a.*
+ 2b5e0: 0c30.*
+ 2b5e1: 6f8a.*
+ 2b5e2: 0d30.*
+ 2b5e3: 6f8a.*
+ 2b5e4: 0c30.*
+ 2b5e5: 6f8a.*
+ 2b5e6: 0e30.*
+ 2b5e7: 6f8a.*
+ 2b5e8: 0f30.*
+ 2b5e9: 6f8a.*
+ 2b5ea: 0f30.*
+ 2b5eb: 6f8a.*
+ 2b5ec: 0c31.*
+ 2b5ed: 6f8a.*
+ 2b5ee: 0d31.*
+ 2b5ef: 6f8a.*
+ 2b5f0: 0c31.*
+ 2b5f1: 6f8a.*
+ 2b5f2: 0e31.*
+ 2b5f3: 6f8a.*
+ 2b5f4: 0f31.*
+ 2b5f5: 6f8a.*
+ 2b5f6: 0f31.*
+ 2b5f7: 6f8a.*
+ 2b5f8: 0c32.*
+ 2b5f9: 6f8a.*
+ 2b5fa: 0d32.*
+ 2b5fb: 6f8a.*
+ 2b5fc: 0c32.*
+ 2b5fd: 6f8a.*
+ 2b5fe: 0e32.*
+ 2b5ff: 6f8a.*
+ 2b600: 0f32.*
+ 2b601: 6f8a.*
+ 2b602: 0f32.*
+ 2b603: 6f8a.*
+ 2b604: 0c33.*
+ 2b605: 6f8a.*
+ 2b606: 0d33.*
+ 2b607: 6f8a.*
+ 2b608: 0c33.*
+ 2b609: 6f8a.*
+ 2b60a: 0e33.*
+ 2b60b: 6f8a.*
+ 2b60c: 0f33.*
+ 2b60d: 6f8a.*
+ 2b60e: 0f33.*
+ 2b60f: 6f8a.*
+ 2b610: 0c34.*
+ 2b611: 6f8a.*
+ 2b612: 0d34.*
+ 2b613: 6f8a.*
+ 2b614: 0c34.*
+ 2b615: 6f8a.*
+ 2b616: 0e34.*
+ 2b617: 6f8a.*
+ 2b618: 0f34.*
+ 2b619: 6f8a.*
+ 2b61a: 0f34.*
+ 2b61b: 6f8a.*
+ 2b61c: 0c35.*
+ 2b61d: 6f8a.*
+ 2b61e: 0d35.*
+ 2b61f: 6f8a.*
+ 2b620: 0c35.*
+ 2b621: 6f8a.*
+ 2b622: 0e35.*
+ 2b623: 6f8a.*
+ 2b624: 0f35.*
+ 2b625: 6f8a.*
+ 2b626: 0f35.*
+ 2b627: 6f8a.*
+ 2b628: 0c36.*
+ 2b629: 6f8a.*
+ 2b62a: 0d36.*
+ 2b62b: 6f8a.*
+ 2b62c: 0c36.*
+ 2b62d: 6f8a.*
+ 2b62e: 0e36.*
+ 2b62f: 6f8a.*
+ 2b630: 0f36.*
+ 2b631: 6f8a.*
+ 2b632: 0f36.*
+ 2b633: 6f8a.*
+ 2b634: 0c37.*
+ 2b635: 6f8a.*
+ 2b636: 0d37.*
+ 2b637: 6f8a.*
+ 2b638: 0c37.*
+ 2b639: 6f8a.*
+ 2b63a: 0e37.*
+ 2b63b: 6f8a.*
+ 2b63c: 0f37.*
+ 2b63d: 6f8a.*
+ 2b63e: 0f37.*
+ 2b63f: 6f8a.*
+ 2b640: 0c38.*
+ 2b641: 6f8a.*
+ 2b642: 0d38.*
+ 2b643: 6f8a.*
+ 2b644: 0c38.*
+ 2b645: 6f8a.*
+ 2b646: 0e38.*
+ 2b647: 6f8a.*
+ 2b648: 0f38.*
+ 2b649: 6f8a.*
+ 2b64a: 0f38.*
+ 2b64b: 6f8a.*
+ 2b64c: 0c39.*
+ 2b64d: 6f8a.*
+ 2b64e: 0d39.*
+ 2b64f: 6f8a.*
+ 2b650: 0c39.*
+ 2b651: 6f8a.*
+ 2b652: 0e39.*
+ 2b653: 6f8a.*
+ 2b654: 0f39.*
+ 2b655: 6f8a.*
+ 2b656: 0f39.*
+ 2b657: 6f8a.*
+ 2b658: 0c3a.*
+ 2b659: 6f8a.*
+ 2b65a: 0d3a.*
+ 2b65b: 6f8a.*
+ 2b65c: 0c3a.*
+ 2b65d: 6f8a.*
+ 2b65e: 0e3a.*
+ 2b65f: 6f8a.*
+ 2b660: 0f3a.*
+ 2b661: 6f8a.*
+ 2b662: 0f3a.*
+ 2b663: 6f8a.*
+ 2b664: 0c3b.*
+ 2b665: 6f8a.*
+ 2b666: 0d3b.*
+ 2b667: 6f8a.*
+ 2b668: 0c3b.*
+ 2b669: 6f8a.*
+ 2b66a: 0e3b.*
+ 2b66b: 6f8a.*
+ 2b66c: 0f3b.*
+ 2b66d: 6f8a.*
+ 2b66e: 0f3b.*
+ 2b66f: 6f8a.*
+ 2b670: 0c3c.*
+ 2b671: 6f8a.*
+ 2b672: 0d3c.*
+ 2b673: 6f8a.*
+ 2b674: 0c3c.*
+ 2b675: 6f8a.*
+ 2b676: 0e3c.*
+ 2b677: 6f8a.*
+ 2b678: 0f3c.*
+ 2b679: 6f8a.*
+ 2b67a: 0f3c.*
+ 2b67b: 6f8a.*
+ 2b67c: 0c3d.*
+ 2b67d: 6f8a.*
+ 2b67e: 0d3d.*
+ 2b67f: 6f8a.*
+ 2b680: 0c3d.*
+ 2b681: 6f8a.*
+ 2b682: 0e3d.*
+ 2b683: 6f8a.*
+ 2b684: 0f3d.*
+ 2b685: 6f8a.*
+ 2b686: 0f3d.*
+ 2b687: 6f8a.*
+ 2b688: 0c3e.*
+ 2b689: 6f8a.*
+ 2b68a: 0d3e.*
+ 2b68b: 6f8a.*
+ 2b68c: 0c3e.*
+ 2b68d: 6f8a.*
+ 2b68e: 0e3e.*
+ 2b68f: 6f8a.*
+ 2b690: 0f3e.*
+ 2b691: 6f8a.*
+ 2b692: 0f3e.*
+ 2b693: 6f8a.*
+ 2b694: 0c3f.*
+ 2b695: 6f8a.*
+ 2b696: 0d3f.*
+ 2b697: 6f8a.*
+ 2b698: 0c3f.*
+ 2b699: 6f8a.*
+ 2b69a: 0e3f.*
+ 2b69b: 6f8a.*
+ 2b69c: 0f3f.*
+ 2b69d: 6f8a.*
+ 2b69e: 0f3f.*
+ 2b69f: 088a.*
+ 2b6a0: 6f8a.*
+ 2b6a1: 0d20.*
+ 2b6a2: 088a.*
+ 2b6a3: 6f8a.*
+ 2b6a4: 0e20.*
+ 2b6a5: 098a.*
+ 2b6a6: 098a.*
+ 2b6a7: 9241.*
+ 2b6a8: 6f8a.*
+ 2b6a9: 0d21.*
+ 2b6aa: 9241.*
+ 2b6ab: 6f8a.*
+ 2b6ac: 0e21.*
+ 2b6ad: 9341.*
+ 2b6ae: 9341.*
+ 2b6af: 9242.*
+ 2b6b0: 6f8a.*
+ 2b6b1: 0d22.*
+ 2b6b2: 9242.*
+ 2b6b3: 6f8a.*
+ 2b6b4: 0e22.*
+ 2b6b5: 9342.*
+ 2b6b6: 9342.*
+ 2b6b7: 9243.*
+ 2b6b8: 6f8a.*
+ 2b6b9: 0d23.*
+ 2b6ba: 9243.*
+ 2b6bb: 6f8a.*
+ 2b6bc: 0e23.*
+ 2b6bd: 9343.*
+ 2b6be: 9343.*
+ 2b6bf: 9244.*
+ 2b6c0: 6f8a.*
+ 2b6c1: 0d24.*
+ 2b6c2: 9244.*
+ 2b6c3: 6f8a.*
+ 2b6c4: 0e24.*
+ 2b6c5: 9344.*
+ 2b6c6: 9344.*
+ 2b6c7: 9245.*
+ 2b6c8: 6f8a.*
+ 2b6c9: 0d25.*
+ 2b6ca: 9245.*
+ 2b6cb: 6f8a.*
+ 2b6cc: 0e25.*
+ 2b6cd: 9345.*
+ 2b6ce: 9345.*
+ 2b6cf: 9246.*
+ 2b6d0: 6f8a.*
+ 2b6d1: 0d26.*
+ 2b6d2: 9246.*
+ 2b6d3: 6f8a.*
+ 2b6d4: 0e26.*
+ 2b6d5: 9346.*
+ 2b6d6: 9346.*
+ 2b6d7: 9247.*
+ 2b6d8: 6f8a.*
+ 2b6d9: 0d27.*
+ 2b6da: 9247.*
+ 2b6db: 6f8a.*
+ 2b6dc: 0e27.*
+ 2b6dd: 9347.*
+ 2b6de: 9347.*
+ 2b6df: 9248.*
+ 2b6e0: 6f8a.*
+ 2b6e1: 0d28.*
+ 2b6e2: 9248.*
+ 2b6e3: 6f8a.*
+ 2b6e4: 0e28.*
+ 2b6e5: 9348.*
+ 2b6e6: 9348.*
+ 2b6e7: 9249.*
+ 2b6e8: 6f8a.*
+ 2b6e9: 0d29.*
+ 2b6ea: 9249.*
+ 2b6eb: 6f8a.*
+ 2b6ec: 0e29.*
+ 2b6ed: 9349.*
+ 2b6ee: 9349.*
+ 2b6ef: 924a.*
+ 2b6f0: 6f8a.*
+ 2b6f1: 0d2a.*
+ 2b6f2: 924a.*
+ 2b6f3: 6f8a.*
+ 2b6f4: 0e2a.*
+ 2b6f5: 934a.*
+ 2b6f6: 934a.*
+ 2b6f7: 924b.*
+ 2b6f8: 6f8a.*
+ 2b6f9: 0d2b.*
+ 2b6fa: 924b.*
+ 2b6fb: 6f8a.*
+ 2b6fc: 0e2b.*
+ 2b6fd: 934b.*
+ 2b6fe: 934b.*
+ 2b6ff: 924c.*
+ 2b700: 6f8a.*
+ 2b701: 0d2c.*
+ 2b702: 924c.*
+ 2b703: 6f8a.*
+ 2b704: 0e2c.*
+ 2b705: 934c.*
+ 2b706: 934c.*
+ 2b707: 924d.*
+ 2b708: 6f8a.*
+ 2b709: 0d2d.*
+ 2b70a: 924d.*
+ 2b70b: 6f8a.*
+ 2b70c: 0e2d.*
+ 2b70d: 934d.*
+ 2b70e: 934d.*
+ 2b70f: 924e.*
+ 2b710: 6f8a.*
+ 2b711: 0d2e.*
+ 2b712: 924e.*
+ 2b713: 6f8a.*
+ 2b714: 0e2e.*
+ 2b715: 934e.*
+ 2b716: 934e.*
+ 2b717: 924f.*
+ 2b718: 6f8a.*
+ 2b719: 0d2f.*
+ 2b71a: 924f.*
+ 2b71b: 6f8a.*
+ 2b71c: 0e2f.*
+ 2b71d: 934f.*
+ 2b71e: 934f.*
+ 2b71f: 0892.*
+ 2b720: 6f92.*
+ 2b721: 0d20.*
+ 2b722: 0892.*
+ 2b723: 6f92.*
+ 2b724: 0e20.*
+ 2b725: 0992.*
+ 2b726: 0992.*
+ 2b727: 6f92.*
+ 2b728: 0c30.*
+ 2b729: 6f92.*
+ 2b72a: 0d30.*
+ 2b72b: 6f92.*
+ 2b72c: 0c30.*
+ 2b72d: 6f92.*
+ 2b72e: 0e30.*
+ 2b72f: 6f92.*
+ 2b730: 0f30.*
+ 2b731: 6f92.*
+ 2b732: 0f30.*
+ 2b733: 6f92.*
+ 2b734: 0c31.*
+ 2b735: 6f92.*
+ 2b736: 0d31.*
+ 2b737: 6f92.*
+ 2b738: 0c31.*
+ 2b739: 6f92.*
+ 2b73a: 0e31.*
+ 2b73b: 6f92.*
+ 2b73c: 0f31.*
+ 2b73d: 6f92.*
+ 2b73e: 0f31.*
+ 2b73f: 6f92.*
+ 2b740: 0c32.*
+ 2b741: 6f92.*
+ 2b742: 0d32.*
+ 2b743: 6f92.*
+ 2b744: 0c32.*
+ 2b745: 6f92.*
+ 2b746: 0e32.*
+ 2b747: 6f92.*
+ 2b748: 0f32.*
+ 2b749: 6f92.*
+ 2b74a: 0f32.*
+ 2b74b: 6f92.*
+ 2b74c: 0c33.*
+ 2b74d: 6f92.*
+ 2b74e: 0d33.*
+ 2b74f: 6f92.*
+ 2b750: 0c33.*
+ 2b751: 6f92.*
+ 2b752: 0e33.*
+ 2b753: 6f92.*
+ 2b754: 0f33.*
+ 2b755: 6f92.*
+ 2b756: 0f33.*
+ 2b757: 6f92.*
+ 2b758: 0c34.*
+ 2b759: 6f92.*
+ 2b75a: 0d34.*
+ 2b75b: 6f92.*
+ 2b75c: 0c34.*
+ 2b75d: 6f92.*
+ 2b75e: 0e34.*
+ 2b75f: 6f92.*
+ 2b760: 0f34.*
+ 2b761: 6f92.*
+ 2b762: 0f34.*
+ 2b763: 6f92.*
+ 2b764: 0c35.*
+ 2b765: 6f92.*
+ 2b766: 0d35.*
+ 2b767: 6f92.*
+ 2b768: 0c35.*
+ 2b769: 6f92.*
+ 2b76a: 0e35.*
+ 2b76b: 6f92.*
+ 2b76c: 0f35.*
+ 2b76d: 6f92.*
+ 2b76e: 0f35.*
+ 2b76f: 6f92.*
+ 2b770: 0c36.*
+ 2b771: 6f92.*
+ 2b772: 0d36.*
+ 2b773: 6f92.*
+ 2b774: 0c36.*
+ 2b775: 6f92.*
+ 2b776: 0e36.*
+ 2b777: 6f92.*
+ 2b778: 0f36.*
+ 2b779: 6f92.*
+ 2b77a: 0f36.*
+ 2b77b: 6f92.*
+ 2b77c: 0c37.*
+ 2b77d: 6f92.*
+ 2b77e: 0d37.*
+ 2b77f: 6f92.*
+ 2b780: 0c37.*
+ 2b781: 6f92.*
+ 2b782: 0e37.*
+ 2b783: 6f92.*
+ 2b784: 0f37.*
+ 2b785: 6f92.*
+ 2b786: 0f37.*
+ 2b787: 6f92.*
+ 2b788: 0c38.*
+ 2b789: 6f92.*
+ 2b78a: 0d38.*
+ 2b78b: 6f92.*
+ 2b78c: 0c38.*
+ 2b78d: 6f92.*
+ 2b78e: 0e38.*
+ 2b78f: 6f92.*
+ 2b790: 0f38.*
+ 2b791: 6f92.*
+ 2b792: 0f38.*
+ 2b793: 6f92.*
+ 2b794: 0c39.*
+ 2b795: 6f92.*
+ 2b796: 0d39.*
+ 2b797: 6f92.*
+ 2b798: 0c39.*
+ 2b799: 6f92.*
+ 2b79a: 0e39.*
+ 2b79b: 6f92.*
+ 2b79c: 0f39.*
+ 2b79d: 6f92.*
+ 2b79e: 0f39.*
+ 2b79f: 6f92.*
+ 2b7a0: 0c3a.*
+ 2b7a1: 6f92.*
+ 2b7a2: 0d3a.*
+ 2b7a3: 6f92.*
+ 2b7a4: 0c3a.*
+ 2b7a5: 6f92.*
+ 2b7a6: 0e3a.*
+ 2b7a7: 6f92.*
+ 2b7a8: 0f3a.*
+ 2b7a9: 6f92.*
+ 2b7aa: 0f3a.*
+ 2b7ab: 6f92.*
+ 2b7ac: 0c3b.*
+ 2b7ad: 6f92.*
+ 2b7ae: 0d3b.*
+ 2b7af: 6f92.*
+ 2b7b0: 0c3b.*
+ 2b7b1: 6f92.*
+ 2b7b2: 0e3b.*
+ 2b7b3: 6f92.*
+ 2b7b4: 0f3b.*
+ 2b7b5: 6f92.*
+ 2b7b6: 0f3b.*
+ 2b7b7: 6f92.*
+ 2b7b8: 0c3c.*
+ 2b7b9: 6f92.*
+ 2b7ba: 0d3c.*
+ 2b7bb: 6f92.*
+ 2b7bc: 0c3c.*
+ 2b7bd: 6f92.*
+ 2b7be: 0e3c.*
+ 2b7bf: 6f92.*
+ 2b7c0: 0f3c.*
+ 2b7c1: 6f92.*
+ 2b7c2: 0f3c.*
+ 2b7c3: 6f92.*
+ 2b7c4: 0c3d.*
+ 2b7c5: 6f92.*
+ 2b7c6: 0d3d.*
+ 2b7c7: 6f92.*
+ 2b7c8: 0c3d.*
+ 2b7c9: 6f92.*
+ 2b7ca: 0e3d.*
+ 2b7cb: 6f92.*
+ 2b7cc: 0f3d.*
+ 2b7cd: 6f92.*
+ 2b7ce: 0f3d.*
+ 2b7cf: 6f92.*
+ 2b7d0: 0c3e.*
+ 2b7d1: 6f92.*
+ 2b7d2: 0d3e.*
+ 2b7d3: 6f92.*
+ 2b7d4: 0c3e.*
+ 2b7d5: 6f92.*
+ 2b7d6: 0e3e.*
+ 2b7d7: 6f92.*
+ 2b7d8: 0f3e.*
+ 2b7d9: 6f92.*
+ 2b7da: 0f3e.*
+ 2b7db: 6f92.*
+ 2b7dc: 0c3f.*
+ 2b7dd: 6f92.*
+ 2b7de: 0d3f.*
+ 2b7df: 6f92.*
+ 2b7e0: 0c3f.*
+ 2b7e1: 6f92.*
+ 2b7e2: 0e3f.*
+ 2b7e3: 6f92.*
+ 2b7e4: 0f3f.*
+ 2b7e5: 6f92.*
+ 2b7e6: 0f3f.*
+ 2b7e7: 0892.*
+ 2b7e8: 6f92.*
+ 2b7e9: 0d20.*
+ 2b7ea: 0892.*
+ 2b7eb: 6f92.*
+ 2b7ec: 0e20.*
+ 2b7ed: 0992.*
+ 2b7ee: 0992.*
+ 2b7ef: 9281.*
+ 2b7f0: 6f92.*
+ 2b7f1: 0d21.*
+ 2b7f2: 9281.*
+ 2b7f3: 6f92.*
+ 2b7f4: 0e21.*
+ 2b7f5: 9381.*
+ 2b7f6: 9381.*
+ 2b7f7: 9282.*
+ 2b7f8: 6f92.*
+ 2b7f9: 0d22.*
+ 2b7fa: 9282.*
+ 2b7fb: 6f92.*
+ 2b7fc: 0e22.*
+ 2b7fd: 9382.*
+ 2b7fe: 9382.*
+ 2b7ff: 9283.*
+ 2b800: 6f92.*
+ 2b801: 0d23.*
+ 2b802: 9283.*
+ 2b803: 6f92.*
+ 2b804: 0e23.*
+ 2b805: 9383.*
+ 2b806: 9383.*
+ 2b807: 9284.*
+ 2b808: 6f92.*
+ 2b809: 0d24.*
+ 2b80a: 9284.*
+ 2b80b: 6f92.*
+ 2b80c: 0e24.*
+ 2b80d: 9384.*
+ 2b80e: 9384.*
+ 2b80f: 9285.*
+ 2b810: 6f92.*
+ 2b811: 0d25.*
+ 2b812: 9285.*
+ 2b813: 6f92.*
+ 2b814: 0e25.*
+ 2b815: 9385.*
+ 2b816: 9385.*
+ 2b817: 9286.*
+ 2b818: 6f92.*
+ 2b819: 0d26.*
+ 2b81a: 9286.*
+ 2b81b: 6f92.*
+ 2b81c: 0e26.*
+ 2b81d: 9386.*
+ 2b81e: 9386.*
+ 2b81f: 9287.*
+ 2b820: 6f92.*
+ 2b821: 0d27.*
+ 2b822: 9287.*
+ 2b823: 6f92.*
+ 2b824: 0e27.*
+ 2b825: 9387.*
+ 2b826: 9387.*
+ 2b827: 9288.*
+ 2b828: 6f92.*
+ 2b829: 0d28.*
+ 2b82a: 9288.*
+ 2b82b: 6f92.*
+ 2b82c: 0e28.*
+ 2b82d: 9388.*
+ 2b82e: 9388.*
+ 2b82f: 9289.*
+ 2b830: 6f92.*
+ 2b831: 0d29.*
+ 2b832: 9289.*
+ 2b833: 6f92.*
+ 2b834: 0e29.*
+ 2b835: 9389.*
+ 2b836: 9389.*
+ 2b837: 928a.*
+ 2b838: 6f92.*
+ 2b839: 0d2a.*
+ 2b83a: 928a.*
+ 2b83b: 6f92.*
+ 2b83c: 0e2a.*
+ 2b83d: 938a.*
+ 2b83e: 938a.*
+ 2b83f: 928b.*
+ 2b840: 6f92.*
+ 2b841: 0d2b.*
+ 2b842: 928b.*
+ 2b843: 6f92.*
+ 2b844: 0e2b.*
+ 2b845: 938b.*
+ 2b846: 938b.*
+ 2b847: 928c.*
+ 2b848: 6f92.*
+ 2b849: 0d2c.*
+ 2b84a: 928c.*
+ 2b84b: 6f92.*
+ 2b84c: 0e2c.*
+ 2b84d: 938c.*
+ 2b84e: 938c.*
+ 2b84f: 928d.*
+ 2b850: 6f92.*
+ 2b851: 0d2d.*
+ 2b852: 928d.*
+ 2b853: 6f92.*
+ 2b854: 0e2d.*
+ 2b855: 938d.*
+ 2b856: 938d.*
+ 2b857: 928e.*
+ 2b858: 6f92.*
+ 2b859: 0d2e.*
+ 2b85a: 928e.*
+ 2b85b: 6f92.*
+ 2b85c: 0e2e.*
+ 2b85d: 938e.*
+ 2b85e: 938e.*
+ 2b85f: 928f.*
+ 2b860: 6f92.*
+ 2b861: 0d2f.*
+ 2b862: 928f.*
+ 2b863: 6f92.*
+ 2b864: 0e2f.*
+ 2b865: 938f.*
+ 2b866: 938f.*
+ 2b867: 08a2.*
+ 2b868: 6fa2.*
+ 2b869: 0d20.*
+ 2b86a: 08a2.*
+ 2b86b: 6fa2.*
+ 2b86c: 0e20.*
+ 2b86d: 09a2.*
+ 2b86e: 09a2.*
+ 2b86f: 6fa2.*
+ 2b870: 0c30.*
+ 2b871: 6fa2.*
+ 2b872: 0d30.*
+ 2b873: 6fa2.*
+ 2b874: 0c30.*
+ 2b875: 6fa2.*
+ 2b876: 0e30.*
+ 2b877: 6fa2.*
+ 2b878: 0f30.*
+ 2b879: 6fa2.*
+ 2b87a: 0f30.*
+ 2b87b: 6fa2.*
+ 2b87c: 0c31.*
+ 2b87d: 6fa2.*
+ 2b87e: 0d31.*
+ 2b87f: 6fa2.*
+ 2b880: 0c31.*
+ 2b881: 6fa2.*
+ 2b882: 0e31.*
+ 2b883: 6fa2.*
+ 2b884: 0f31.*
+ 2b885: 6fa2.*
+ 2b886: 0f31.*
+ 2b887: 6fa2.*
+ 2b888: 0c32.*
+ 2b889: 6fa2.*
+ 2b88a: 0d32.*
+ 2b88b: 6fa2.*
+ 2b88c: 0c32.*
+ 2b88d: 6fa2.*
+ 2b88e: 0e32.*
+ 2b88f: 6fa2.*
+ 2b890: 0f32.*
+ 2b891: 6fa2.*
+ 2b892: 0f32.*
+ 2b893: 6fa2.*
+ 2b894: 0c33.*
+ 2b895: 6fa2.*
+ 2b896: 0d33.*
+ 2b897: 6fa2.*
+ 2b898: 0c33.*
+ 2b899: 6fa2.*
+ 2b89a: 0e33.*
+ 2b89b: 6fa2.*
+ 2b89c: 0f33.*
+ 2b89d: 6fa2.*
+ 2b89e: 0f33.*
+ 2b89f: 6fa2.*
+ 2b8a0: 0c34.*
+ 2b8a1: 6fa2.*
+ 2b8a2: 0d34.*
+ 2b8a3: 6fa2.*
+ 2b8a4: 0c34.*
+ 2b8a5: 6fa2.*
+ 2b8a6: 0e34.*
+ 2b8a7: 6fa2.*
+ 2b8a8: 0f34.*
+ 2b8a9: 6fa2.*
+ 2b8aa: 0f34.*
+ 2b8ab: 6fa2.*
+ 2b8ac: 0c35.*
+ 2b8ad: 6fa2.*
+ 2b8ae: 0d35.*
+ 2b8af: 6fa2.*
+ 2b8b0: 0c35.*
+ 2b8b1: 6fa2.*
+ 2b8b2: 0e35.*
+ 2b8b3: 6fa2.*
+ 2b8b4: 0f35.*
+ 2b8b5: 6fa2.*
+ 2b8b6: 0f35.*
+ 2b8b7: 6fa2.*
+ 2b8b8: 0c36.*
+ 2b8b9: 6fa2.*
+ 2b8ba: 0d36.*
+ 2b8bb: 6fa2.*
+ 2b8bc: 0c36.*
+ 2b8bd: 6fa2.*
+ 2b8be: 0e36.*
+ 2b8bf: 6fa2.*
+ 2b8c0: 0f36.*
+ 2b8c1: 6fa2.*
+ 2b8c2: 0f36.*
+ 2b8c3: 6fa2.*
+ 2b8c4: 0c37.*
+ 2b8c5: 6fa2.*
+ 2b8c6: 0d37.*
+ 2b8c7: 6fa2.*
+ 2b8c8: 0c37.*
+ 2b8c9: 6fa2.*
+ 2b8ca: 0e37.*
+ 2b8cb: 6fa2.*
+ 2b8cc: 0f37.*
+ 2b8cd: 6fa2.*
+ 2b8ce: 0f37.*
+ 2b8cf: 6fa2.*
+ 2b8d0: 0c38.*
+ 2b8d1: 6fa2.*
+ 2b8d2: 0d38.*
+ 2b8d3: 6fa2.*
+ 2b8d4: 0c38.*
+ 2b8d5: 6fa2.*
+ 2b8d6: 0e38.*
+ 2b8d7: 6fa2.*
+ 2b8d8: 0f38.*
+ 2b8d9: 6fa2.*
+ 2b8da: 0f38.*
+ 2b8db: 6fa2.*
+ 2b8dc: 0c39.*
+ 2b8dd: 6fa2.*
+ 2b8de: 0d39.*
+ 2b8df: 6fa2.*
+ 2b8e0: 0c39.*
+ 2b8e1: 6fa2.*
+ 2b8e2: 0e39.*
+ 2b8e3: 6fa2.*
+ 2b8e4: 0f39.*
+ 2b8e5: 6fa2.*
+ 2b8e6: 0f39.*
+ 2b8e7: 6fa2.*
+ 2b8e8: 0c3a.*
+ 2b8e9: 6fa2.*
+ 2b8ea: 0d3a.*
+ 2b8eb: 6fa2.*
+ 2b8ec: 0c3a.*
+ 2b8ed: 6fa2.*
+ 2b8ee: 0e3a.*
+ 2b8ef: 6fa2.*
+ 2b8f0: 0f3a.*
+ 2b8f1: 6fa2.*
+ 2b8f2: 0f3a.*
+ 2b8f3: 6fa2.*
+ 2b8f4: 0c3b.*
+ 2b8f5: 6fa2.*
+ 2b8f6: 0d3b.*
+ 2b8f7: 6fa2.*
+ 2b8f8: 0c3b.*
+ 2b8f9: 6fa2.*
+ 2b8fa: 0e3b.*
+ 2b8fb: 6fa2.*
+ 2b8fc: 0f3b.*
+ 2b8fd: 6fa2.*
+ 2b8fe: 0f3b.*
+ 2b8ff: 6fa2.*
+ 2b900: 0c3c.*
+ 2b901: 6fa2.*
+ 2b902: 0d3c.*
+ 2b903: 6fa2.*
+ 2b904: 0c3c.*
+ 2b905: 6fa2.*
+ 2b906: 0e3c.*
+ 2b907: 6fa2.*
+ 2b908: 0f3c.*
+ 2b909: 6fa2.*
+ 2b90a: 0f3c.*
+ 2b90b: 6fa2.*
+ 2b90c: 0c3d.*
+ 2b90d: 6fa2.*
+ 2b90e: 0d3d.*
+ 2b90f: 6fa2.*
+ 2b910: 0c3d.*
+ 2b911: 6fa2.*
+ 2b912: 0e3d.*
+ 2b913: 6fa2.*
+ 2b914: 0f3d.*
+ 2b915: 6fa2.*
+ 2b916: 0f3d.*
+ 2b917: 6fa2.*
+ 2b918: 0c3e.*
+ 2b919: 6fa2.*
+ 2b91a: 0d3e.*
+ 2b91b: 6fa2.*
+ 2b91c: 0c3e.*
+ 2b91d: 6fa2.*
+ 2b91e: 0e3e.*
+ 2b91f: 6fa2.*
+ 2b920: 0f3e.*
+ 2b921: 6fa2.*
+ 2b922: 0f3e.*
+ 2b923: 6fa2.*
+ 2b924: 0c3f.*
+ 2b925: 6fa2.*
+ 2b926: 0d3f.*
+ 2b927: 6fa2.*
+ 2b928: 0c3f.*
+ 2b929: 6fa2.*
+ 2b92a: 0e3f.*
+ 2b92b: 6fa2.*
+ 2b92c: 0f3f.*
+ 2b92d: 6fa2.*
+ 2b92e: 0f3f.*
+ 2b92f: 08a2.*
+ 2b930: 6fa2.*
+ 2b931: 0d20.*
+ 2b932: 08a2.*
+ 2b933: 6fa2.*
+ 2b934: 0e20.*
+ 2b935: 09a2.*
+ 2b936: 09a2.*
+ 2b937: 6fa2.*
+ 2b938: 0c21.*
+ 2b939: 6fa2.*
+ 2b93a: 0d21.*
+ 2b93b: 6fa2.*
+ 2b93c: 0c21.*
+ 2b93d: 6fa2.*
+ 2b93e: 0e21.*
+ 2b93f: 6fa2.*
+ 2b940: 0f21.*
+ 2b941: 6fa2.*
+ 2b942: 0f21.*
+ 2b943: 6fa2.*
+ 2b944: 0c22.*
+ 2b945: 6fa2.*
+ 2b946: 0d22.*
+ 2b947: 6fa2.*
+ 2b948: 0c22.*
+ 2b949: 6fa2.*
+ 2b94a: 0e22.*
+ 2b94b: 6fa2.*
+ 2b94c: 0f22.*
+ 2b94d: 6fa2.*
+ 2b94e: 0f22.*
+ 2b94f: 6fa2.*
+ 2b950: 0c23.*
+ 2b951: 6fa2.*
+ 2b952: 0d23.*
+ 2b953: 6fa2.*
+ 2b954: 0c23.*
+ 2b955: 6fa2.*
+ 2b956: 0e23.*
+ 2b957: 6fa2.*
+ 2b958: 0f23.*
+ 2b959: 6fa2.*
+ 2b95a: 0f23.*
+ 2b95b: 6fa2.*
+ 2b95c: 0c24.*
+ 2b95d: 6fa2.*
+ 2b95e: 0d24.*
+ 2b95f: 6fa2.*
+ 2b960: 0c24.*
+ 2b961: 6fa2.*
+ 2b962: 0e24.*
+ 2b963: 6fa2.*
+ 2b964: 0f24.*
+ 2b965: 6fa2.*
+ 2b966: 0f24.*
+ 2b967: 6fa2.*
+ 2b968: 0c25.*
+ 2b969: 6fa2.*
+ 2b96a: 0d25.*
+ 2b96b: 6fa2.*
+ 2b96c: 0c25.*
+ 2b96d: 6fa2.*
+ 2b96e: 0e25.*
+ 2b96f: 6fa2.*
+ 2b970: 0f25.*
+ 2b971: 6fa2.*
+ 2b972: 0f25.*
+ 2b973: 6fa2.*
+ 2b974: 0c26.*
+ 2b975: 6fa2.*
+ 2b976: 0d26.*
+ 2b977: 6fa2.*
+ 2b978: 0c26.*
+ 2b979: 6fa2.*
+ 2b97a: 0e26.*
+ 2b97b: 6fa2.*
+ 2b97c: 0f26.*
+ 2b97d: 6fa2.*
+ 2b97e: 0f26.*
+ 2b97f: 6fa2.*
+ 2b980: 0c27.*
+ 2b981: 6fa2.*
+ 2b982: 0d27.*
+ 2b983: 6fa2.*
+ 2b984: 0c27.*
+ 2b985: 6fa2.*
+ 2b986: 0e27.*
+ 2b987: 6fa2.*
+ 2b988: 0f27.*
+ 2b989: 6fa2.*
+ 2b98a: 0f27.*
+ 2b98b: 6fa2.*
+ 2b98c: 0c28.*
+ 2b98d: 6fa2.*
+ 2b98e: 0d28.*
+ 2b98f: 6fa2.*
+ 2b990: 0c28.*
+ 2b991: 6fa2.*
+ 2b992: 0e28.*
+ 2b993: 6fa2.*
+ 2b994: 0f28.*
+ 2b995: 6fa2.*
+ 2b996: 0f28.*
+ 2b997: 6fa2.*
+ 2b998: 0c29.*
+ 2b999: 6fa2.*
+ 2b99a: 0d29.*
+ 2b99b: 6fa2.*
+ 2b99c: 0c29.*
+ 2b99d: 6fa2.*
+ 2b99e: 0e29.*
+ 2b99f: 6fa2.*
+ 2b9a0: 0f29.*
+ 2b9a1: 6fa2.*
+ 2b9a2: 0f29.*
+ 2b9a3: 6fa2.*
+ 2b9a4: 0c2a.*
+ 2b9a5: 6fa2.*
+ 2b9a6: 0d2a.*
+ 2b9a7: 6fa2.*
+ 2b9a8: 0c2a.*
+ 2b9a9: 6fa2.*
+ 2b9aa: 0e2a.*
+ 2b9ab: 6fa2.*
+ 2b9ac: 0f2a.*
+ 2b9ad: 6fa2.*
+ 2b9ae: 0f2a.*
+ 2b9af: 6fa2.*
+ 2b9b0: 0c2b.*
+ 2b9b1: 6fa2.*
+ 2b9b2: 0d2b.*
+ 2b9b3: 6fa2.*
+ 2b9b4: 0c2b.*
+ 2b9b5: 6fa2.*
+ 2b9b6: 0e2b.*
+ 2b9b7: 6fa2.*
+ 2b9b8: 0f2b.*
+ 2b9b9: 6fa2.*
+ 2b9ba: 0f2b.*
+ 2b9bb: 6fa2.*
+ 2b9bc: 0c2c.*
+ 2b9bd: 6fa2.*
+ 2b9be: 0d2c.*
+ 2b9bf: 6fa2.*
+ 2b9c0: 0c2c.*
+ 2b9c1: 6fa2.*
+ 2b9c2: 0e2c.*
+ 2b9c3: 6fa2.*
+ 2b9c4: 0f2c.*
+ 2b9c5: 6fa2.*
+ 2b9c6: 0f2c.*
+ 2b9c7: 6fa2.*
+ 2b9c8: 0c2d.*
+ 2b9c9: 6fa2.*
+ 2b9ca: 0d2d.*
+ 2b9cb: 6fa2.*
+ 2b9cc: 0c2d.*
+ 2b9cd: 6fa2.*
+ 2b9ce: 0e2d.*
+ 2b9cf: 6fa2.*
+ 2b9d0: 0f2d.*
+ 2b9d1: 6fa2.*
+ 2b9d2: 0f2d.*
+ 2b9d3: 6fa2.*
+ 2b9d4: 0c2e.*
+ 2b9d5: 6fa2.*
+ 2b9d6: 0d2e.*
+ 2b9d7: 6fa2.*
+ 2b9d8: 0c2e.*
+ 2b9d9: 6fa2.*
+ 2b9da: 0e2e.*
+ 2b9db: 6fa2.*
+ 2b9dc: 0f2e.*
+ 2b9dd: 6fa2.*
+ 2b9de: 0f2e.*
+ 2b9df: 6fa2.*
+ 2b9e0: 0c2f.*
+ 2b9e1: 6fa2.*
+ 2b9e2: 0d2f.*
+ 2b9e3: 6fa2.*
+ 2b9e4: 0c2f.*
+ 2b9e5: 6fa2.*
+ 2b9e6: 0e2f.*
+ 2b9e7: 6fa2.*
+ 2b9e8: 0f2f.*
+ 2b9e9: 6fa2.*
+ 2b9ea: 0f2f.*
+ 2b9eb: 08aa.*
+ 2b9ec: 6faa.*
+ 2b9ed: 0d20.*
+ 2b9ee: 08aa.*
+ 2b9ef: 6faa.*
+ 2b9f0: 0e20.*
+ 2b9f1: 09aa.*
+ 2b9f2: 09aa.*
+ 2b9f3: 6faa.*
+ 2b9f4: 0c30.*
+ 2b9f5: 6faa.*
+ 2b9f6: 0d30.*
+ 2b9f7: 6faa.*
+ 2b9f8: 0c30.*
+ 2b9f9: 6faa.*
+ 2b9fa: 0e30.*
+ 2b9fb: 6faa.*
+ 2b9fc: 0f30.*
+ 2b9fd: 6faa.*
+ 2b9fe: 0f30.*
+ 2b9ff: 6faa.*
+ 2ba00: 0c31.*
+ 2ba01: 6faa.*
+ 2ba02: 0d31.*
+ 2ba03: 6faa.*
+ 2ba04: 0c31.*
+ 2ba05: 6faa.*
+ 2ba06: 0e31.*
+ 2ba07: 6faa.*
+ 2ba08: 0f31.*
+ 2ba09: 6faa.*
+ 2ba0a: 0f31.*
+ 2ba0b: 6faa.*
+ 2ba0c: 0c32.*
+ 2ba0d: 6faa.*
+ 2ba0e: 0d32.*
+ 2ba0f: 6faa.*
+ 2ba10: 0c32.*
+ 2ba11: 6faa.*
+ 2ba12: 0e32.*
+ 2ba13: 6faa.*
+ 2ba14: 0f32.*
+ 2ba15: 6faa.*
+ 2ba16: 0f32.*
+ 2ba17: 6faa.*
+ 2ba18: 0c33.*
+ 2ba19: 6faa.*
+ 2ba1a: 0d33.*
+ 2ba1b: 6faa.*
+ 2ba1c: 0c33.*
+ 2ba1d: 6faa.*
+ 2ba1e: 0e33.*
+ 2ba1f: 6faa.*
+ 2ba20: 0f33.*
+ 2ba21: 6faa.*
+ 2ba22: 0f33.*
+ 2ba23: 6faa.*
+ 2ba24: 0c34.*
+ 2ba25: 6faa.*
+ 2ba26: 0d34.*
+ 2ba27: 6faa.*
+ 2ba28: 0c34.*
+ 2ba29: 6faa.*
+ 2ba2a: 0e34.*
+ 2ba2b: 6faa.*
+ 2ba2c: 0f34.*
+ 2ba2d: 6faa.*
+ 2ba2e: 0f34.*
+ 2ba2f: 6faa.*
+ 2ba30: 0c35.*
+ 2ba31: 6faa.*
+ 2ba32: 0d35.*
+ 2ba33: 6faa.*
+ 2ba34: 0c35.*
+ 2ba35: 6faa.*
+ 2ba36: 0e35.*
+ 2ba37: 6faa.*
+ 2ba38: 0f35.*
+ 2ba39: 6faa.*
+ 2ba3a: 0f35.*
+ 2ba3b: 6faa.*
+ 2ba3c: 0c36.*
+ 2ba3d: 6faa.*
+ 2ba3e: 0d36.*
+ 2ba3f: 6faa.*
+ 2ba40: 0c36.*
+ 2ba41: 6faa.*
+ 2ba42: 0e36.*
+ 2ba43: 6faa.*
+ 2ba44: 0f36.*
+ 2ba45: 6faa.*
+ 2ba46: 0f36.*
+ 2ba47: 6faa.*
+ 2ba48: 0c37.*
+ 2ba49: 6faa.*
+ 2ba4a: 0d37.*
+ 2ba4b: 6faa.*
+ 2ba4c: 0c37.*
+ 2ba4d: 6faa.*
+ 2ba4e: 0e37.*
+ 2ba4f: 6faa.*
+ 2ba50: 0f37.*
+ 2ba51: 6faa.*
+ 2ba52: 0f37.*
+ 2ba53: 6faa.*
+ 2ba54: 0c38.*
+ 2ba55: 6faa.*
+ 2ba56: 0d38.*
+ 2ba57: 6faa.*
+ 2ba58: 0c38.*
+ 2ba59: 6faa.*
+ 2ba5a: 0e38.*
+ 2ba5b: 6faa.*
+ 2ba5c: 0f38.*
+ 2ba5d: 6faa.*
+ 2ba5e: 0f38.*
+ 2ba5f: 6faa.*
+ 2ba60: 0c39.*
+ 2ba61: 6faa.*
+ 2ba62: 0d39.*
+ 2ba63: 6faa.*
+ 2ba64: 0c39.*
+ 2ba65: 6faa.*
+ 2ba66: 0e39.*
+ 2ba67: 6faa.*
+ 2ba68: 0f39.*
+ 2ba69: 6faa.*
+ 2ba6a: 0f39.*
+ 2ba6b: 6faa.*
+ 2ba6c: 0c3a.*
+ 2ba6d: 6faa.*
+ 2ba6e: 0d3a.*
+ 2ba6f: 6faa.*
+ 2ba70: 0c3a.*
+ 2ba71: 6faa.*
+ 2ba72: 0e3a.*
+ 2ba73: 6faa.*
+ 2ba74: 0f3a.*
+ 2ba75: 6faa.*
+ 2ba76: 0f3a.*
+ 2ba77: 6faa.*
+ 2ba78: 0c3b.*
+ 2ba79: 6faa.*
+ 2ba7a: 0d3b.*
+ 2ba7b: 6faa.*
+ 2ba7c: 0c3b.*
+ 2ba7d: 6faa.*
+ 2ba7e: 0e3b.*
+ 2ba7f: 6faa.*
+ 2ba80: 0f3b.*
+ 2ba81: 6faa.*
+ 2ba82: 0f3b.*
+ 2ba83: 6faa.*
+ 2ba84: 0c3c.*
+ 2ba85: 6faa.*
+ 2ba86: 0d3c.*
+ 2ba87: 6faa.*
+ 2ba88: 0c3c.*
+ 2ba89: 6faa.*
+ 2ba8a: 0e3c.*
+ 2ba8b: 6faa.*
+ 2ba8c: 0f3c.*
+ 2ba8d: 6faa.*
+ 2ba8e: 0f3c.*
+ 2ba8f: 6faa.*
+ 2ba90: 0c3d.*
+ 2ba91: 6faa.*
+ 2ba92: 0d3d.*
+ 2ba93: 6faa.*
+ 2ba94: 0c3d.*
+ 2ba95: 6faa.*
+ 2ba96: 0e3d.*
+ 2ba97: 6faa.*
+ 2ba98: 0f3d.*
+ 2ba99: 6faa.*
+ 2ba9a: 0f3d.*
+ 2ba9b: 6faa.*
+ 2ba9c: 0c3e.*
+ 2ba9d: 6faa.*
+ 2ba9e: 0d3e.*
+ 2ba9f: 6faa.*
+ 2baa0: 0c3e.*
+ 2baa1: 6faa.*
+ 2baa2: 0e3e.*
+ 2baa3: 6faa.*
+ 2baa4: 0f3e.*
+ 2baa5: 6faa.*
+ 2baa6: 0f3e.*
+ 2baa7: 6faa.*
+ 2baa8: 0c3f.*
+ 2baa9: 6faa.*
+ 2baaa: 0d3f.*
+ 2baab: 6faa.*
+ 2baac: 0c3f.*
+ 2baad: 6faa.*
+ 2baae: 0e3f.*
+ 2baaf: 6faa.*
+ 2bab0: 0f3f.*
+ 2bab1: 6faa.*
+ 2bab2: 0f3f.*
+ 2bab3: 08aa.*
+ 2bab4: 6faa.*
+ 2bab5: 0d20.*
+ 2bab6: 08aa.*
+ 2bab7: 6faa.*
+ 2bab8: 0e20.*
+ 2bab9: 09aa.*
+ 2baba: 09aa.*
+ 2babb: 6faa.*
+ 2babc: 0c21.*
+ 2babd: 6faa.*
+ 2babe: 0d21.*
+ 2babf: 6faa.*
+ 2bac0: 0c21.*
+ 2bac1: 6faa.*
+ 2bac2: 0e21.*
+ 2bac3: 6faa.*
+ 2bac4: 0f21.*
+ 2bac5: 6faa.*
+ 2bac6: 0f21.*
+ 2bac7: 6faa.*
+ 2bac8: 0c22.*
+ 2bac9: 6faa.*
+ 2baca: 0d22.*
+ 2bacb: 6faa.*
+ 2bacc: 0c22.*
+ 2bacd: 6faa.*
+ 2bace: 0e22.*
+ 2bacf: 6faa.*
+ 2bad0: 0f22.*
+ 2bad1: 6faa.*
+ 2bad2: 0f22.*
+ 2bad3: 6faa.*
+ 2bad4: 0c23.*
+ 2bad5: 6faa.*
+ 2bad6: 0d23.*
+ 2bad7: 6faa.*
+ 2bad8: 0c23.*
+ 2bad9: 6faa.*
+ 2bada: 0e23.*
+ 2badb: 6faa.*
+ 2badc: 0f23.*
+ 2badd: 6faa.*
+ 2bade: 0f23.*
+ 2badf: 6faa.*
+ 2bae0: 0c24.*
+ 2bae1: 6faa.*
+ 2bae2: 0d24.*
+ 2bae3: 6faa.*
+ 2bae4: 0c24.*
+ 2bae5: 6faa.*
+ 2bae6: 0e24.*
+ 2bae7: 6faa.*
+ 2bae8: 0f24.*
+ 2bae9: 6faa.*
+ 2baea: 0f24.*
+ 2baeb: 6faa.*
+ 2baec: 0c25.*
+ 2baed: 6faa.*
+ 2baee: 0d25.*
+ 2baef: 6faa.*
+ 2baf0: 0c25.*
+ 2baf1: 6faa.*
+ 2baf2: 0e25.*
+ 2baf3: 6faa.*
+ 2baf4: 0f25.*
+ 2baf5: 6faa.*
+ 2baf6: 0f25.*
+ 2baf7: 6faa.*
+ 2baf8: 0c26.*
+ 2baf9: 6faa.*
+ 2bafa: 0d26.*
+ 2bafb: 6faa.*
+ 2bafc: 0c26.*
+ 2bafd: 6faa.*
+ 2bafe: 0e26.*
+ 2baff: 6faa.*
+ 2bb00: 0f26.*
+ 2bb01: 6faa.*
+ 2bb02: 0f26.*
+ 2bb03: 6faa.*
+ 2bb04: 0c27.*
+ 2bb05: 6faa.*
+ 2bb06: 0d27.*
+ 2bb07: 6faa.*
+ 2bb08: 0c27.*
+ 2bb09: 6faa.*
+ 2bb0a: 0e27.*
+ 2bb0b: 6faa.*
+ 2bb0c: 0f27.*
+ 2bb0d: 6faa.*
+ 2bb0e: 0f27.*
+ 2bb0f: 6faa.*
+ 2bb10: 0c28.*
+ 2bb11: 6faa.*
+ 2bb12: 0d28.*
+ 2bb13: 6faa.*
+ 2bb14: 0c28.*
+ 2bb15: 6faa.*
+ 2bb16: 0e28.*
+ 2bb17: 6faa.*
+ 2bb18: 0f28.*
+ 2bb19: 6faa.*
+ 2bb1a: 0f28.*
+ 2bb1b: 6faa.*
+ 2bb1c: 0c29.*
+ 2bb1d: 6faa.*
+ 2bb1e: 0d29.*
+ 2bb1f: 6faa.*
+ 2bb20: 0c29.*
+ 2bb21: 6faa.*
+ 2bb22: 0e29.*
+ 2bb23: 6faa.*
+ 2bb24: 0f29.*
+ 2bb25: 6faa.*
+ 2bb26: 0f29.*
+ 2bb27: 6faa.*
+ 2bb28: 0c2a.*
+ 2bb29: 6faa.*
+ 2bb2a: 0d2a.*
+ 2bb2b: 6faa.*
+ 2bb2c: 0c2a.*
+ 2bb2d: 6faa.*
+ 2bb2e: 0e2a.*
+ 2bb2f: 6faa.*
+ 2bb30: 0f2a.*
+ 2bb31: 6faa.*
+ 2bb32: 0f2a.*
+ 2bb33: 6faa.*
+ 2bb34: 0c2b.*
+ 2bb35: 6faa.*
+ 2bb36: 0d2b.*
+ 2bb37: 6faa.*
+ 2bb38: 0c2b.*
+ 2bb39: 6faa.*
+ 2bb3a: 0e2b.*
+ 2bb3b: 6faa.*
+ 2bb3c: 0f2b.*
+ 2bb3d: 6faa.*
+ 2bb3e: 0f2b.*
+ 2bb3f: 6faa.*
+ 2bb40: 0c2c.*
+ 2bb41: 6faa.*
+ 2bb42: 0d2c.*
+ 2bb43: 6faa.*
+ 2bb44: 0c2c.*
+ 2bb45: 6faa.*
+ 2bb46: 0e2c.*
+ 2bb47: 6faa.*
+ 2bb48: 0f2c.*
+ 2bb49: 6faa.*
+ 2bb4a: 0f2c.*
+ 2bb4b: 6faa.*
+ 2bb4c: 0c2d.*
+ 2bb4d: 6faa.*
+ 2bb4e: 0d2d.*
+ 2bb4f: 6faa.*
+ 2bb50: 0c2d.*
+ 2bb51: 6faa.*
+ 2bb52: 0e2d.*
+ 2bb53: 6faa.*
+ 2bb54: 0f2d.*
+ 2bb55: 6faa.*
+ 2bb56: 0f2d.*
+ 2bb57: 6faa.*
+ 2bb58: 0c2e.*
+ 2bb59: 6faa.*
+ 2bb5a: 0d2e.*
+ 2bb5b: 6faa.*
+ 2bb5c: 0c2e.*
+ 2bb5d: 6faa.*
+ 2bb5e: 0e2e.*
+ 2bb5f: 6faa.*
+ 2bb60: 0f2e.*
+ 2bb61: 6faa.*
+ 2bb62: 0f2e.*
+ 2bb63: 6faa.*
+ 2bb64: 0c2f.*
+ 2bb65: 6faa.*
+ 2bb66: 0d2f.*
+ 2bb67: 6faa.*
+ 2bb68: 0c2f.*
+ 2bb69: 6faa.*
+ 2bb6a: 0e2f.*
+ 2bb6b: 6faa.*
+ 2bb6c: 0f2f.*
+ 2bb6d: 6faa.*
+ 2bb6e: 0f2f.*
+ 2bb6f: 08b2.*
+ 2bb70: 6fb2.*
+ 2bb71: 0d20.*
+ 2bb72: 08b2.*
+ 2bb73: 6fb2.*
+ 2bb74: 0e20.*
+ 2bb75: 09b2.*
+ 2bb76: 09b2.*
+ 2bb77: 6fb2.*
+ 2bb78: 0c30.*
+ 2bb79: 6fb2.*
+ 2bb7a: 0d30.*
+ 2bb7b: 6fb2.*
+ 2bb7c: 0c30.*
+ 2bb7d: 6fb2.*
+ 2bb7e: 0e30.*
+ 2bb7f: 6fb2.*
+ 2bb80: 0f30.*
+ 2bb81: 6fb2.*
+ 2bb82: 0f30.*
+ 2bb83: 6fb2.*
+ 2bb84: 0c31.*
+ 2bb85: 6fb2.*
+ 2bb86: 0d31.*
+ 2bb87: 6fb2.*
+ 2bb88: 0c31.*
+ 2bb89: 6fb2.*
+ 2bb8a: 0e31.*
+ 2bb8b: 6fb2.*
+ 2bb8c: 0f31.*
+ 2bb8d: 6fb2.*
+ 2bb8e: 0f31.*
+ 2bb8f: 6fb2.*
+ 2bb90: 0c32.*
+ 2bb91: 6fb2.*
+ 2bb92: 0d32.*
+ 2bb93: 6fb2.*
+ 2bb94: 0c32.*
+ 2bb95: 6fb2.*
+ 2bb96: 0e32.*
+ 2bb97: 6fb2.*
+ 2bb98: 0f32.*
+ 2bb99: 6fb2.*
+ 2bb9a: 0f32.*
+ 2bb9b: 6fb2.*
+ 2bb9c: 0c33.*
+ 2bb9d: 6fb2.*
+ 2bb9e: 0d33.*
+ 2bb9f: 6fb2.*
+ 2bba0: 0c33.*
+ 2bba1: 6fb2.*
+ 2bba2: 0e33.*
+ 2bba3: 6fb2.*
+ 2bba4: 0f33.*
+ 2bba5: 6fb2.*
+ 2bba6: 0f33.*
+ 2bba7: 6fb2.*
+ 2bba8: 0c34.*
+ 2bba9: 6fb2.*
+ 2bbaa: 0d34.*
+ 2bbab: 6fb2.*
+ 2bbac: 0c34.*
+ 2bbad: 6fb2.*
+ 2bbae: 0e34.*
+ 2bbaf: 6fb2.*
+ 2bbb0: 0f34.*
+ 2bbb1: 6fb2.*
+ 2bbb2: 0f34.*
+ 2bbb3: 6fb2.*
+ 2bbb4: 0c35.*
+ 2bbb5: 6fb2.*
+ 2bbb6: 0d35.*
+ 2bbb7: 6fb2.*
+ 2bbb8: 0c35.*
+ 2bbb9: 6fb2.*
+ 2bbba: 0e35.*
+ 2bbbb: 6fb2.*
+ 2bbbc: 0f35.*
+ 2bbbd: 6fb2.*
+ 2bbbe: 0f35.*
+ 2bbbf: 6fb2.*
+ 2bbc0: 0c36.*
+ 2bbc1: 6fb2.*
+ 2bbc2: 0d36.*
+ 2bbc3: 6fb2.*
+ 2bbc4: 0c36.*
+ 2bbc5: 6fb2.*
+ 2bbc6: 0e36.*
+ 2bbc7: 6fb2.*
+ 2bbc8: 0f36.*
+ 2bbc9: 6fb2.*
+ 2bbca: 0f36.*
+ 2bbcb: 6fb2.*
+ 2bbcc: 0c37.*
+ 2bbcd: 6fb2.*
+ 2bbce: 0d37.*
+ 2bbcf: 6fb2.*
+ 2bbd0: 0c37.*
+ 2bbd1: 6fb2.*
+ 2bbd2: 0e37.*
+ 2bbd3: 6fb2.*
+ 2bbd4: 0f37.*
+ 2bbd5: 6fb2.*
+ 2bbd6: 0f37.*
+ 2bbd7: 6fb2.*
+ 2bbd8: 0c38.*
+ 2bbd9: 6fb2.*
+ 2bbda: 0d38.*
+ 2bbdb: 6fb2.*
+ 2bbdc: 0c38.*
+ 2bbdd: 6fb2.*
+ 2bbde: 0e38.*
+ 2bbdf: 6fb2.*
+ 2bbe0: 0f38.*
+ 2bbe1: 6fb2.*
+ 2bbe2: 0f38.*
+ 2bbe3: 6fb2.*
+ 2bbe4: 0c39.*
+ 2bbe5: 6fb2.*
+ 2bbe6: 0d39.*
+ 2bbe7: 6fb2.*
+ 2bbe8: 0c39.*
+ 2bbe9: 6fb2.*
+ 2bbea: 0e39.*
+ 2bbeb: 6fb2.*
+ 2bbec: 0f39.*
+ 2bbed: 6fb2.*
+ 2bbee: 0f39.*
+ 2bbef: 6fb2.*
+ 2bbf0: 0c3a.*
+ 2bbf1: 6fb2.*
+ 2bbf2: 0d3a.*
+ 2bbf3: 6fb2.*
+ 2bbf4: 0c3a.*
+ 2bbf5: 6fb2.*
+ 2bbf6: 0e3a.*
+ 2bbf7: 6fb2.*
+ 2bbf8: 0f3a.*
+ 2bbf9: 6fb2.*
+ 2bbfa: 0f3a.*
+ 2bbfb: 6fb2.*
+ 2bbfc: 0c3b.*
+ 2bbfd: 6fb2.*
+ 2bbfe: 0d3b.*
+ 2bbff: 6fb2.*
+ 2bc00: 0c3b.*
+ 2bc01: 6fb2.*
+ 2bc02: 0e3b.*
+ 2bc03: 6fb2.*
+ 2bc04: 0f3b.*
+ 2bc05: 6fb2.*
+ 2bc06: 0f3b.*
+ 2bc07: 6fb2.*
+ 2bc08: 0c3c.*
+ 2bc09: 6fb2.*
+ 2bc0a: 0d3c.*
+ 2bc0b: 6fb2.*
+ 2bc0c: 0c3c.*
+ 2bc0d: 6fb2.*
+ 2bc0e: 0e3c.*
+ 2bc0f: 6fb2.*
+ 2bc10: 0f3c.*
+ 2bc11: 6fb2.*
+ 2bc12: 0f3c.*
+ 2bc13: 6fb2.*
+ 2bc14: 0c3d.*
+ 2bc15: 6fb2.*
+ 2bc16: 0d3d.*
+ 2bc17: 6fb2.*
+ 2bc18: 0c3d.*
+ 2bc19: 6fb2.*
+ 2bc1a: 0e3d.*
+ 2bc1b: 6fb2.*
+ 2bc1c: 0f3d.*
+ 2bc1d: 6fb2.*
+ 2bc1e: 0f3d.*
+ 2bc1f: 6fb2.*
+ 2bc20: 0c3e.*
+ 2bc21: 6fb2.*
+ 2bc22: 0d3e.*
+ 2bc23: 6fb2.*
+ 2bc24: 0c3e.*
+ 2bc25: 6fb2.*
+ 2bc26: 0e3e.*
+ 2bc27: 6fb2.*
+ 2bc28: 0f3e.*
+ 2bc29: 6fb2.*
+ 2bc2a: 0f3e.*
+ 2bc2b: 6fb2.*
+ 2bc2c: 0c3f.*
+ 2bc2d: 6fb2.*
+ 2bc2e: 0d3f.*
+ 2bc2f: 6fb2.*
+ 2bc30: 0c3f.*
+ 2bc31: 6fb2.*
+ 2bc32: 0e3f.*
+ 2bc33: 6fb2.*
+ 2bc34: 0f3f.*
+ 2bc35: 6fb2.*
+ 2bc36: 0f3f.*
+ 2bc37: 08b2.*
+ 2bc38: 6fb2.*
+ 2bc39: 0d20.*
+ 2bc3a: 08b2.*
+ 2bc3b: 6fb2.*
+ 2bc3c: 0e20.*
+ 2bc3d: 09b2.*
+ 2bc3e: 09b2.*
+ 2bc3f: 6fb2.*
+ 2bc40: 0c21.*
+ 2bc41: 6fb2.*
+ 2bc42: 0d21.*
+ 2bc43: 6fb2.*
+ 2bc44: 0c21.*
+ 2bc45: 6fb2.*
+ 2bc46: 0e21.*
+ 2bc47: 6fb2.*
+ 2bc48: 0f21.*
+ 2bc49: 6fb2.*
+ 2bc4a: 0f21.*
+ 2bc4b: 6fb2.*
+ 2bc4c: 0c22.*
+ 2bc4d: 6fb2.*
+ 2bc4e: 0d22.*
+ 2bc4f: 6fb2.*
+ 2bc50: 0c22.*
+ 2bc51: 6fb2.*
+ 2bc52: 0e22.*
+ 2bc53: 6fb2.*
+ 2bc54: 0f22.*
+ 2bc55: 6fb2.*
+ 2bc56: 0f22.*
+ 2bc57: 6fb2.*
+ 2bc58: 0c23.*
+ 2bc59: 6fb2.*
+ 2bc5a: 0d23.*
+ 2bc5b: 6fb2.*
+ 2bc5c: 0c23.*
+ 2bc5d: 6fb2.*
+ 2bc5e: 0e23.*
+ 2bc5f: 6fb2.*
+ 2bc60: 0f23.*
+ 2bc61: 6fb2.*
+ 2bc62: 0f23.*
+ 2bc63: 6fb2.*
+ 2bc64: 0c24.*
+ 2bc65: 6fb2.*
+ 2bc66: 0d24.*
+ 2bc67: 6fb2.*
+ 2bc68: 0c24.*
+ 2bc69: 6fb2.*
+ 2bc6a: 0e24.*
+ 2bc6b: 6fb2.*
+ 2bc6c: 0f24.*
+ 2bc6d: 6fb2.*
+ 2bc6e: 0f24.*
+ 2bc6f: 6fb2.*
+ 2bc70: 0c25.*
+ 2bc71: 6fb2.*
+ 2bc72: 0d25.*
+ 2bc73: 6fb2.*
+ 2bc74: 0c25.*
+ 2bc75: 6fb2.*
+ 2bc76: 0e25.*
+ 2bc77: 6fb2.*
+ 2bc78: 0f25.*
+ 2bc79: 6fb2.*
+ 2bc7a: 0f25.*
+ 2bc7b: 6fb2.*
+ 2bc7c: 0c26.*
+ 2bc7d: 6fb2.*
+ 2bc7e: 0d26.*
+ 2bc7f: 6fb2.*
+ 2bc80: 0c26.*
+ 2bc81: 6fb2.*
+ 2bc82: 0e26.*
+ 2bc83: 6fb2.*
+ 2bc84: 0f26.*
+ 2bc85: 6fb2.*
+ 2bc86: 0f26.*
+ 2bc87: 6fb2.*
+ 2bc88: 0c27.*
+ 2bc89: 6fb2.*
+ 2bc8a: 0d27.*
+ 2bc8b: 6fb2.*
+ 2bc8c: 0c27.*
+ 2bc8d: 6fb2.*
+ 2bc8e: 0e27.*
+ 2bc8f: 6fb2.*
+ 2bc90: 0f27.*
+ 2bc91: 6fb2.*
+ 2bc92: 0f27.*
+ 2bc93: 6fb2.*
+ 2bc94: 0c28.*
+ 2bc95: 6fb2.*
+ 2bc96: 0d28.*
+ 2bc97: 6fb2.*
+ 2bc98: 0c28.*
+ 2bc99: 6fb2.*
+ 2bc9a: 0e28.*
+ 2bc9b: 6fb2.*
+ 2bc9c: 0f28.*
+ 2bc9d: 6fb2.*
+ 2bc9e: 0f28.*
+ 2bc9f: 6fb2.*
+ 2bca0: 0c29.*
+ 2bca1: 6fb2.*
+ 2bca2: 0d29.*
+ 2bca3: 6fb2.*
+ 2bca4: 0c29.*
+ 2bca5: 6fb2.*
+ 2bca6: 0e29.*
+ 2bca7: 6fb2.*
+ 2bca8: 0f29.*
+ 2bca9: 6fb2.*
+ 2bcaa: 0f29.*
+ 2bcab: 6fb2.*
+ 2bcac: 0c2a.*
+ 2bcad: 6fb2.*
+ 2bcae: 0d2a.*
+ 2bcaf: 6fb2.*
+ 2bcb0: 0c2a.*
+ 2bcb1: 6fb2.*
+ 2bcb2: 0e2a.*
+ 2bcb3: 6fb2.*
+ 2bcb4: 0f2a.*
+ 2bcb5: 6fb2.*
+ 2bcb6: 0f2a.*
+ 2bcb7: 6fb2.*
+ 2bcb8: 0c2b.*
+ 2bcb9: 6fb2.*
+ 2bcba: 0d2b.*
+ 2bcbb: 6fb2.*
+ 2bcbc: 0c2b.*
+ 2bcbd: 6fb2.*
+ 2bcbe: 0e2b.*
+ 2bcbf: 6fb2.*
+ 2bcc0: 0f2b.*
+ 2bcc1: 6fb2.*
+ 2bcc2: 0f2b.*
+ 2bcc3: 6fb2.*
+ 2bcc4: 0c2c.*
+ 2bcc5: 6fb2.*
+ 2bcc6: 0d2c.*
+ 2bcc7: 6fb2.*
+ 2bcc8: 0c2c.*
+ 2bcc9: 6fb2.*
+ 2bcca: 0e2c.*
+ 2bccb: 6fb2.*
+ 2bccc: 0f2c.*
+ 2bccd: 6fb2.*
+ 2bcce: 0f2c.*
+ 2bccf: 6fb2.*
+ 2bcd0: 0c2d.*
+ 2bcd1: 6fb2.*
+ 2bcd2: 0d2d.*
+ 2bcd3: 6fb2.*
+ 2bcd4: 0c2d.*
+ 2bcd5: 6fb2.*
+ 2bcd6: 0e2d.*
+ 2bcd7: 6fb2.*
+ 2bcd8: 0f2d.*
+ 2bcd9: 6fb2.*
+ 2bcda: 0f2d.*
+ 2bcdb: 6fb2.*
+ 2bcdc: 0c2e.*
+ 2bcdd: 6fb2.*
+ 2bcde: 0d2e.*
+ 2bcdf: 6fb2.*
+ 2bce0: 0c2e.*
+ 2bce1: 6fb2.*
+ 2bce2: 0e2e.*
+ 2bce3: 6fb2.*
+ 2bce4: 0f2e.*
+ 2bce5: 6fb2.*
+ 2bce6: 0f2e.*
+ 2bce7: 6fb2.*
+ 2bce8: 0c2f.*
+ 2bce9: 6fb2.*
+ 2bcea: 0d2f.*
+ 2bceb: 6fb2.*
+ 2bcec: 0c2f.*
+ 2bced: 6fb2.*
+ 2bcee: 0e2f.*
+ 2bcef: 6fb2.*
+ 2bcf0: 0f2f.*
+ 2bcf1: 6fb2.*
+ 2bcf2: 0f2f.*
+ 2bcf3: 08ba.*
+ 2bcf4: 6fba.*
+ 2bcf5: 0d20.*
+ 2bcf6: 08ba.*
+ 2bcf7: 6fba.*
+ 2bcf8: 0e20.*
+ 2bcf9: 09ba.*
+ 2bcfa: 09ba.*
+ 2bcfb: 6fba.*
+ 2bcfc: 0c30.*
+ 2bcfd: 6fba.*
+ 2bcfe: 0d30.*
+ 2bcff: 6fba.*
+ 2bd00: 0c30.*
+ 2bd01: 6fba.*
+ 2bd02: 0e30.*
+ 2bd03: 6fba.*
+ 2bd04: 0f30.*
+ 2bd05: 6fba.*
+ 2bd06: 0f30.*
+ 2bd07: 6fba.*
+ 2bd08: 0c31.*
+ 2bd09: 6fba.*
+ 2bd0a: 0d31.*
+ 2bd0b: 6fba.*
+ 2bd0c: 0c31.*
+ 2bd0d: 6fba.*
+ 2bd0e: 0e31.*
+ 2bd0f: 6fba.*
+ 2bd10: 0f31.*
+ 2bd11: 6fba.*
+ 2bd12: 0f31.*
+ 2bd13: 6fba.*
+ 2bd14: 0c32.*
+ 2bd15: 6fba.*
+ 2bd16: 0d32.*
+ 2bd17: 6fba.*
+ 2bd18: 0c32.*
+ 2bd19: 6fba.*
+ 2bd1a: 0e32.*
+ 2bd1b: 6fba.*
+ 2bd1c: 0f32.*
+ 2bd1d: 6fba.*
+ 2bd1e: 0f32.*
+ 2bd1f: 6fba.*
+ 2bd20: 0c33.*
+ 2bd21: 6fba.*
+ 2bd22: 0d33.*
+ 2bd23: 6fba.*
+ 2bd24: 0c33.*
+ 2bd25: 6fba.*
+ 2bd26: 0e33.*
+ 2bd27: 6fba.*
+ 2bd28: 0f33.*
+ 2bd29: 6fba.*
+ 2bd2a: 0f33.*
+ 2bd2b: 6fba.*
+ 2bd2c: 0c34.*
+ 2bd2d: 6fba.*
+ 2bd2e: 0d34.*
+ 2bd2f: 6fba.*
+ 2bd30: 0c34.*
+ 2bd31: 6fba.*
+ 2bd32: 0e34.*
+ 2bd33: 6fba.*
+ 2bd34: 0f34.*
+ 2bd35: 6fba.*
+ 2bd36: 0f34.*
+ 2bd37: 6fba.*
+ 2bd38: 0c35.*
+ 2bd39: 6fba.*
+ 2bd3a: 0d35.*
+ 2bd3b: 6fba.*
+ 2bd3c: 0c35.*
+ 2bd3d: 6fba.*
+ 2bd3e: 0e35.*
+ 2bd3f: 6fba.*
+ 2bd40: 0f35.*
+ 2bd41: 6fba.*
+ 2bd42: 0f35.*
+ 2bd43: 6fba.*
+ 2bd44: 0c36.*
+ 2bd45: 6fba.*
+ 2bd46: 0d36.*
+ 2bd47: 6fba.*
+ 2bd48: 0c36.*
+ 2bd49: 6fba.*
+ 2bd4a: 0e36.*
+ 2bd4b: 6fba.*
+ 2bd4c: 0f36.*
+ 2bd4d: 6fba.*
+ 2bd4e: 0f36.*
+ 2bd4f: 6fba.*
+ 2bd50: 0c37.*
+ 2bd51: 6fba.*
+ 2bd52: 0d37.*
+ 2bd53: 6fba.*
+ 2bd54: 0c37.*
+ 2bd55: 6fba.*
+ 2bd56: 0e37.*
+ 2bd57: 6fba.*
+ 2bd58: 0f37.*
+ 2bd59: 6fba.*
+ 2bd5a: 0f37.*
+ 2bd5b: 6fba.*
+ 2bd5c: 0c38.*
+ 2bd5d: 6fba.*
+ 2bd5e: 0d38.*
+ 2bd5f: 6fba.*
+ 2bd60: 0c38.*
+ 2bd61: 6fba.*
+ 2bd62: 0e38.*
+ 2bd63: 6fba.*
+ 2bd64: 0f38.*
+ 2bd65: 6fba.*
+ 2bd66: 0f38.*
+ 2bd67: 6fba.*
+ 2bd68: 0c39.*
+ 2bd69: 6fba.*
+ 2bd6a: 0d39.*
+ 2bd6b: 6fba.*
+ 2bd6c: 0c39.*
+ 2bd6d: 6fba.*
+ 2bd6e: 0e39.*
+ 2bd6f: 6fba.*
+ 2bd70: 0f39.*
+ 2bd71: 6fba.*
+ 2bd72: 0f39.*
+ 2bd73: 6fba.*
+ 2bd74: 0c3a.*
+ 2bd75: 6fba.*
+ 2bd76: 0d3a.*
+ 2bd77: 6fba.*
+ 2bd78: 0c3a.*
+ 2bd79: 6fba.*
+ 2bd7a: 0e3a.*
+ 2bd7b: 6fba.*
+ 2bd7c: 0f3a.*
+ 2bd7d: 6fba.*
+ 2bd7e: 0f3a.*
+ 2bd7f: 6fba.*
+ 2bd80: 0c3b.*
+ 2bd81: 6fba.*
+ 2bd82: 0d3b.*
+ 2bd83: 6fba.*
+ 2bd84: 0c3b.*
+ 2bd85: 6fba.*
+ 2bd86: 0e3b.*
+ 2bd87: 6fba.*
+ 2bd88: 0f3b.*
+ 2bd89: 6fba.*
+ 2bd8a: 0f3b.*
+ 2bd8b: 6fba.*
+ 2bd8c: 0c3c.*
+ 2bd8d: 6fba.*
+ 2bd8e: 0d3c.*
+ 2bd8f: 6fba.*
+ 2bd90: 0c3c.*
+ 2bd91: 6fba.*
+ 2bd92: 0e3c.*
+ 2bd93: 6fba.*
+ 2bd94: 0f3c.*
+ 2bd95: 6fba.*
+ 2bd96: 0f3c.*
+ 2bd97: 6fba.*
+ 2bd98: 0c3d.*
+ 2bd99: 6fba.*
+ 2bd9a: 0d3d.*
+ 2bd9b: 6fba.*
+ 2bd9c: 0c3d.*
+ 2bd9d: 6fba.*
+ 2bd9e: 0e3d.*
+ 2bd9f: 6fba.*
+ 2bda0: 0f3d.*
+ 2bda1: 6fba.*
+ 2bda2: 0f3d.*
+ 2bda3: 6fba.*
+ 2bda4: 0c3e.*
+ 2bda5: 6fba.*
+ 2bda6: 0d3e.*
+ 2bda7: 6fba.*
+ 2bda8: 0c3e.*
+ 2bda9: 6fba.*
+ 2bdaa: 0e3e.*
+ 2bdab: 6fba.*
+ 2bdac: 0f3e.*
+ 2bdad: 6fba.*
+ 2bdae: 0f3e.*
+ 2bdaf: 6fba.*
+ 2bdb0: 0c3f.*
+ 2bdb1: 6fba.*
+ 2bdb2: 0d3f.*
+ 2bdb3: 6fba.*
+ 2bdb4: 0c3f.*
+ 2bdb5: 6fba.*
+ 2bdb6: 0e3f.*
+ 2bdb7: 6fba.*
+ 2bdb8: 0f3f.*
+ 2bdb9: 6fba.*
+ 2bdba: 0f3f.*
+ 2bdbb: 08ba.*
+ 2bdbc: 6fba.*
+ 2bdbd: 0d20.*
+ 2bdbe: 08ba.*
+ 2bdbf: 6fba.*
+ 2bdc0: 0e20.*
+ 2bdc1: 09ba.*
+ 2bdc2: 09ba.*
+ 2bdc3: 6fba.*
+ 2bdc4: 0c21.*
+ 2bdc5: 6fba.*
+ 2bdc6: 0d21.*
+ 2bdc7: 6fba.*
+ 2bdc8: 0c21.*
+ 2bdc9: 6fba.*
+ 2bdca: 0e21.*
+ 2bdcb: 6fba.*
+ 2bdcc: 0f21.*
+ 2bdcd: 6fba.*
+ 2bdce: 0f21.*
+ 2bdcf: 6fba.*
+ 2bdd0: 0c22.*
+ 2bdd1: 6fba.*
+ 2bdd2: 0d22.*
+ 2bdd3: 6fba.*
+ 2bdd4: 0c22.*
+ 2bdd5: 6fba.*
+ 2bdd6: 0e22.*
+ 2bdd7: 6fba.*
+ 2bdd8: 0f22.*
+ 2bdd9: 6fba.*
+ 2bdda: 0f22.*
+ 2bddb: 6fba.*
+ 2bddc: 0c23.*
+ 2bddd: 6fba.*
+ 2bdde: 0d23.*
+ 2bddf: 6fba.*
+ 2bde0: 0c23.*
+ 2bde1: 6fba.*
+ 2bde2: 0e23.*
+ 2bde3: 6fba.*
+ 2bde4: 0f23.*
+ 2bde5: 6fba.*
+ 2bde6: 0f23.*
+ 2bde7: 6fba.*
+ 2bde8: 0c24.*
+ 2bde9: 6fba.*
+ 2bdea: 0d24.*
+ 2bdeb: 6fba.*
+ 2bdec: 0c24.*
+ 2bded: 6fba.*
+ 2bdee: 0e24.*
+ 2bdef: 6fba.*
+ 2bdf0: 0f24.*
+ 2bdf1: 6fba.*
+ 2bdf2: 0f24.*
+ 2bdf3: 6fba.*
+ 2bdf4: 0c25.*
+ 2bdf5: 6fba.*
+ 2bdf6: 0d25.*
+ 2bdf7: 6fba.*
+ 2bdf8: 0c25.*
+ 2bdf9: 6fba.*
+ 2bdfa: 0e25.*
+ 2bdfb: 6fba.*
+ 2bdfc: 0f25.*
+ 2bdfd: 6fba.*
+ 2bdfe: 0f25.*
+ 2bdff: 6fba.*
+ 2be00: 0c26.*
+ 2be01: 6fba.*
+ 2be02: 0d26.*
+ 2be03: 6fba.*
+ 2be04: 0c26.*
+ 2be05: 6fba.*
+ 2be06: 0e26.*
+ 2be07: 6fba.*
+ 2be08: 0f26.*
+ 2be09: 6fba.*
+ 2be0a: 0f26.*
+ 2be0b: 6fba.*
+ 2be0c: 0c27.*
+ 2be0d: 6fba.*
+ 2be0e: 0d27.*
+ 2be0f: 6fba.*
+ 2be10: 0c27.*
+ 2be11: 6fba.*
+ 2be12: 0e27.*
+ 2be13: 6fba.*
+ 2be14: 0f27.*
+ 2be15: 6fba.*
+ 2be16: 0f27.*
+ 2be17: 6fba.*
+ 2be18: 0c28.*
+ 2be19: 6fba.*
+ 2be1a: 0d28.*
+ 2be1b: 6fba.*
+ 2be1c: 0c28.*
+ 2be1d: 6fba.*
+ 2be1e: 0e28.*
+ 2be1f: 6fba.*
+ 2be20: 0f28.*
+ 2be21: 6fba.*
+ 2be22: 0f28.*
+ 2be23: 6fba.*
+ 2be24: 0c29.*
+ 2be25: 6fba.*
+ 2be26: 0d29.*
+ 2be27: 6fba.*
+ 2be28: 0c29.*
+ 2be29: 6fba.*
+ 2be2a: 0e29.*
+ 2be2b: 6fba.*
+ 2be2c: 0f29.*
+ 2be2d: 6fba.*
+ 2be2e: 0f29.*
+ 2be2f: 6fba.*
+ 2be30: 0c2a.*
+ 2be31: 6fba.*
+ 2be32: 0d2a.*
+ 2be33: 6fba.*
+ 2be34: 0c2a.*
+ 2be35: 6fba.*
+ 2be36: 0e2a.*
+ 2be37: 6fba.*
+ 2be38: 0f2a.*
+ 2be39: 6fba.*
+ 2be3a: 0f2a.*
+ 2be3b: 6fba.*
+ 2be3c: 0c2b.*
+ 2be3d: 6fba.*
+ 2be3e: 0d2b.*
+ 2be3f: 6fba.*
+ 2be40: 0c2b.*
+ 2be41: 6fba.*
+ 2be42: 0e2b.*
+ 2be43: 6fba.*
+ 2be44: 0f2b.*
+ 2be45: 6fba.*
+ 2be46: 0f2b.*
+ 2be47: 6fba.*
+ 2be48: 0c2c.*
+ 2be49: 6fba.*
+ 2be4a: 0d2c.*
+ 2be4b: 6fba.*
+ 2be4c: 0c2c.*
+ 2be4d: 6fba.*
+ 2be4e: 0e2c.*
+ 2be4f: 6fba.*
+ 2be50: 0f2c.*
+ 2be51: 6fba.*
+ 2be52: 0f2c.*
+ 2be53: 6fba.*
+ 2be54: 0c2d.*
+ 2be55: 6fba.*
+ 2be56: 0d2d.*
+ 2be57: 6fba.*
+ 2be58: 0c2d.*
+ 2be59: 6fba.*
+ 2be5a: 0e2d.*
+ 2be5b: 6fba.*
+ 2be5c: 0f2d.*
+ 2be5d: 6fba.*
+ 2be5e: 0f2d.*
+ 2be5f: 6fba.*
+ 2be60: 0c2e.*
+ 2be61: 6fba.*
+ 2be62: 0d2e.*
+ 2be63: 6fba.*
+ 2be64: 0c2e.*
+ 2be65: 6fba.*
+ 2be66: 0e2e.*
+ 2be67: 6fba.*
+ 2be68: 0f2e.*
+ 2be69: 6fba.*
+ 2be6a: 0f2e.*
+ 2be6b: 6fba.*
+ 2be6c: 0c2f.*
+ 2be6d: 6fba.*
+ 2be6e: 0d2f.*
+ 2be6f: 6fba.*
+ 2be70: 0c2f.*
+ 2be71: 6fba.*
+ 2be72: 0e2f.*
+ 2be73: 6fba.*
+ 2be74: 0f2f.*
+ 2be75: 6fba.*
+ 2be76: 0f2f.*
+ 2be77: 08c2.*
+ 2be78: 6fc2.*
+ 2be79: 0d20.*
+ 2be7a: 08c2.*
+ 2be7b: 6fc2.*
+ 2be7c: 0e20.*
+ 2be7d: 09c2.*
+ 2be7e: 09c2.*
+ 2be7f: 6fc2.*
+ 2be80: 0c30.*
+ 2be81: 6fc2.*
+ 2be82: 0d30.*
+ 2be83: 6fc2.*
+ 2be84: 0c30.*
+ 2be85: 6fc2.*
+ 2be86: 0e30.*
+ 2be87: 6fc2.*
+ 2be88: 0f30.*
+ 2be89: 6fc2.*
+ 2be8a: 0f30.*
+ 2be8b: 6fc2.*
+ 2be8c: 0c31.*
+ 2be8d: 6fc2.*
+ 2be8e: 0d31.*
+ 2be8f: 6fc2.*
+ 2be90: 0c31.*
+ 2be91: 6fc2.*
+ 2be92: 0e31.*
+ 2be93: 6fc2.*
+ 2be94: 0f31.*
+ 2be95: 6fc2.*
+ 2be96: 0f31.*
+ 2be97: 6fc2.*
+ 2be98: 0c32.*
+ 2be99: 6fc2.*
+ 2be9a: 0d32.*
+ 2be9b: 6fc2.*
+ 2be9c: 0c32.*
+ 2be9d: 6fc2.*
+ 2be9e: 0e32.*
+ 2be9f: 6fc2.*
+ 2bea0: 0f32.*
+ 2bea1: 6fc2.*
+ 2bea2: 0f32.*
+ 2bea3: 6fc2.*
+ 2bea4: 0c33.*
+ 2bea5: 6fc2.*
+ 2bea6: 0d33.*
+ 2bea7: 6fc2.*
+ 2bea8: 0c33.*
+ 2bea9: 6fc2.*
+ 2beaa: 0e33.*
+ 2beab: 6fc2.*
+ 2beac: 0f33.*
+ 2bead: 6fc2.*
+ 2beae: 0f33.*
+ 2beaf: 6fc2.*
+ 2beb0: 0c34.*
+ 2beb1: 6fc2.*
+ 2beb2: 0d34.*
+ 2beb3: 6fc2.*
+ 2beb4: 0c34.*
+ 2beb5: 6fc2.*
+ 2beb6: 0e34.*
+ 2beb7: 6fc2.*
+ 2beb8: 0f34.*
+ 2beb9: 6fc2.*
+ 2beba: 0f34.*
+ 2bebb: 6fc2.*
+ 2bebc: 0c35.*
+ 2bebd: 6fc2.*
+ 2bebe: 0d35.*
+ 2bebf: 6fc2.*
+ 2bec0: 0c35.*
+ 2bec1: 6fc2.*
+ 2bec2: 0e35.*
+ 2bec3: 6fc2.*
+ 2bec4: 0f35.*
+ 2bec5: 6fc2.*
+ 2bec6: 0f35.*
+ 2bec7: 6fc2.*
+ 2bec8: 0c36.*
+ 2bec9: 6fc2.*
+ 2beca: 0d36.*
+ 2becb: 6fc2.*
+ 2becc: 0c36.*
+ 2becd: 6fc2.*
+ 2bece: 0e36.*
+ 2becf: 6fc2.*
+ 2bed0: 0f36.*
+ 2bed1: 6fc2.*
+ 2bed2: 0f36.*
+ 2bed3: 6fc2.*
+ 2bed4: 0c37.*
+ 2bed5: 6fc2.*
+ 2bed6: 0d37.*
+ 2bed7: 6fc2.*
+ 2bed8: 0c37.*
+ 2bed9: 6fc2.*
+ 2beda: 0e37.*
+ 2bedb: 6fc2.*
+ 2bedc: 0f37.*
+ 2bedd: 6fc2.*
+ 2bede: 0f37.*
+ 2bedf: 6fc2.*
+ 2bee0: 0c38.*
+ 2bee1: 6fc2.*
+ 2bee2: 0d38.*
+ 2bee3: 6fc2.*
+ 2bee4: 0c38.*
+ 2bee5: 6fc2.*
+ 2bee6: 0e38.*
+ 2bee7: 6fc2.*
+ 2bee8: 0f38.*
+ 2bee9: 6fc2.*
+ 2beea: 0f38.*
+ 2beeb: 6fc2.*
+ 2beec: 0c39.*
+ 2beed: 6fc2.*
+ 2beee: 0d39.*
+ 2beef: 6fc2.*
+ 2bef0: 0c39.*
+ 2bef1: 6fc2.*
+ 2bef2: 0e39.*
+ 2bef3: 6fc2.*
+ 2bef4: 0f39.*
+ 2bef5: 6fc2.*
+ 2bef6: 0f39.*
+ 2bef7: 6fc2.*
+ 2bef8: 0c3a.*
+ 2bef9: 6fc2.*
+ 2befa: 0d3a.*
+ 2befb: 6fc2.*
+ 2befc: 0c3a.*
+ 2befd: 6fc2.*
+ 2befe: 0e3a.*
+ 2beff: 6fc2.*
+ 2bf00: 0f3a.*
+ 2bf01: 6fc2.*
+ 2bf02: 0f3a.*
+ 2bf03: 6fc2.*
+ 2bf04: 0c3b.*
+ 2bf05: 6fc2.*
+ 2bf06: 0d3b.*
+ 2bf07: 6fc2.*
+ 2bf08: 0c3b.*
+ 2bf09: 6fc2.*
+ 2bf0a: 0e3b.*
+ 2bf0b: 6fc2.*
+ 2bf0c: 0f3b.*
+ 2bf0d: 6fc2.*
+ 2bf0e: 0f3b.*
+ 2bf0f: 6fc2.*
+ 2bf10: 0c3c.*
+ 2bf11: 6fc2.*
+ 2bf12: 0d3c.*
+ 2bf13: 6fc2.*
+ 2bf14: 0c3c.*
+ 2bf15: 6fc2.*
+ 2bf16: 0e3c.*
+ 2bf17: 6fc2.*
+ 2bf18: 0f3c.*
+ 2bf19: 6fc2.*
+ 2bf1a: 0f3c.*
+ 2bf1b: 6fc2.*
+ 2bf1c: 0c3d.*
+ 2bf1d: 6fc2.*
+ 2bf1e: 0d3d.*
+ 2bf1f: 6fc2.*
+ 2bf20: 0c3d.*
+ 2bf21: 6fc2.*
+ 2bf22: 0e3d.*
+ 2bf23: 6fc2.*
+ 2bf24: 0f3d.*
+ 2bf25: 6fc2.*
+ 2bf26: 0f3d.*
+ 2bf27: 6fc2.*
+ 2bf28: 0c3e.*
+ 2bf29: 6fc2.*
+ 2bf2a: 0d3e.*
+ 2bf2b: 6fc2.*
+ 2bf2c: 0c3e.*
+ 2bf2d: 6fc2.*
+ 2bf2e: 0e3e.*
+ 2bf2f: 6fc2.*
+ 2bf30: 0f3e.*
+ 2bf31: 6fc2.*
+ 2bf32: 0f3e.*
+ 2bf33: 6fc2.*
+ 2bf34: 0c3f.*
+ 2bf35: 6fc2.*
+ 2bf36: 0d3f.*
+ 2bf37: 6fc2.*
+ 2bf38: 0c3f.*
+ 2bf39: 6fc2.*
+ 2bf3a: 0e3f.*
+ 2bf3b: 6fc2.*
+ 2bf3c: 0f3f.*
+ 2bf3d: 6fc2.*
+ 2bf3e: 0f3f.*
+ 2bf3f: 08c2.*
+ 2bf40: 6fc2.*
+ 2bf41: 0d20.*
+ 2bf42: 08c2.*
+ 2bf43: 6fc2.*
+ 2bf44: 0e20.*
+ 2bf45: 09c2.*
+ 2bf46: 09c2.*
+ 2bf47: 6fc2.*
+ 2bf48: 0c21.*
+ 2bf49: 6fc2.*
+ 2bf4a: 0d21.*
+ 2bf4b: 6fc2.*
+ 2bf4c: 0c21.*
+ 2bf4d: 6fc2.*
+ 2bf4e: 0e21.*
+ 2bf4f: 6fc2.*
+ 2bf50: 0f21.*
+ 2bf51: 6fc2.*
+ 2bf52: 0f21.*
+ 2bf53: 6fc2.*
+ 2bf54: 0c22.*
+ 2bf55: 6fc2.*
+ 2bf56: 0d22.*
+ 2bf57: 6fc2.*
+ 2bf58: 0c22.*
+ 2bf59: 6fc2.*
+ 2bf5a: 0e22.*
+ 2bf5b: 6fc2.*
+ 2bf5c: 0f22.*
+ 2bf5d: 6fc2.*
+ 2bf5e: 0f22.*
+ 2bf5f: 6fc2.*
+ 2bf60: 0c23.*
+ 2bf61: 6fc2.*
+ 2bf62: 0d23.*
+ 2bf63: 6fc2.*
+ 2bf64: 0c23.*
+ 2bf65: 6fc2.*
+ 2bf66: 0e23.*
+ 2bf67: 6fc2.*
+ 2bf68: 0f23.*
+ 2bf69: 6fc2.*
+ 2bf6a: 0f23.*
+ 2bf6b: 6fc2.*
+ 2bf6c: 0c24.*
+ 2bf6d: 6fc2.*
+ 2bf6e: 0d24.*
+ 2bf6f: 6fc2.*
+ 2bf70: 0c24.*
+ 2bf71: 6fc2.*
+ 2bf72: 0e24.*
+ 2bf73: 6fc2.*
+ 2bf74: 0f24.*
+ 2bf75: 6fc2.*
+ 2bf76: 0f24.*
+ 2bf77: 6fc2.*
+ 2bf78: 0c25.*
+ 2bf79: 6fc2.*
+ 2bf7a: 0d25.*
+ 2bf7b: 6fc2.*
+ 2bf7c: 0c25.*
+ 2bf7d: 6fc2.*
+ 2bf7e: 0e25.*
+ 2bf7f: 6fc2.*
+ 2bf80: 0f25.*
+ 2bf81: 6fc2.*
+ 2bf82: 0f25.*
+ 2bf83: 6fc2.*
+ 2bf84: 0c26.*
+ 2bf85: 6fc2.*
+ 2bf86: 0d26.*
+ 2bf87: 6fc2.*
+ 2bf88: 0c26.*
+ 2bf89: 6fc2.*
+ 2bf8a: 0e26.*
+ 2bf8b: 6fc2.*
+ 2bf8c: 0f26.*
+ 2bf8d: 6fc2.*
+ 2bf8e: 0f26.*
+ 2bf8f: 6fc2.*
+ 2bf90: 0c27.*
+ 2bf91: 6fc2.*
+ 2bf92: 0d27.*
+ 2bf93: 6fc2.*
+ 2bf94: 0c27.*
+ 2bf95: 6fc2.*
+ 2bf96: 0e27.*
+ 2bf97: 6fc2.*
+ 2bf98: 0f27.*
+ 2bf99: 6fc2.*
+ 2bf9a: 0f27.*
+ 2bf9b: 6fc2.*
+ 2bf9c: 0c28.*
+ 2bf9d: 6fc2.*
+ 2bf9e: 0d28.*
+ 2bf9f: 6fc2.*
+ 2bfa0: 0c28.*
+ 2bfa1: 6fc2.*
+ 2bfa2: 0e28.*
+ 2bfa3: 6fc2.*
+ 2bfa4: 0f28.*
+ 2bfa5: 6fc2.*
+ 2bfa6: 0f28.*
+ 2bfa7: 6fc2.*
+ 2bfa8: 0c29.*
+ 2bfa9: 6fc2.*
+ 2bfaa: 0d29.*
+ 2bfab: 6fc2.*
+ 2bfac: 0c29.*
+ 2bfad: 6fc2.*
+ 2bfae: 0e29.*
+ 2bfaf: 6fc2.*
+ 2bfb0: 0f29.*
+ 2bfb1: 6fc2.*
+ 2bfb2: 0f29.*
+ 2bfb3: 6fc2.*
+ 2bfb4: 0c2a.*
+ 2bfb5: 6fc2.*
+ 2bfb6: 0d2a.*
+ 2bfb7: 6fc2.*
+ 2bfb8: 0c2a.*
+ 2bfb9: 6fc2.*
+ 2bfba: 0e2a.*
+ 2bfbb: 6fc2.*
+ 2bfbc: 0f2a.*
+ 2bfbd: 6fc2.*
+ 2bfbe: 0f2a.*
+ 2bfbf: 6fc2.*
+ 2bfc0: 0c2b.*
+ 2bfc1: 6fc2.*
+ 2bfc2: 0d2b.*
+ 2bfc3: 6fc2.*
+ 2bfc4: 0c2b.*
+ 2bfc5: 6fc2.*
+ 2bfc6: 0e2b.*
+ 2bfc7: 6fc2.*
+ 2bfc8: 0f2b.*
+ 2bfc9: 6fc2.*
+ 2bfca: 0f2b.*
+ 2bfcb: 6fc2.*
+ 2bfcc: 0c2c.*
+ 2bfcd: 6fc2.*
+ 2bfce: 0d2c.*
+ 2bfcf: 6fc2.*
+ 2bfd0: 0c2c.*
+ 2bfd1: 6fc2.*
+ 2bfd2: 0e2c.*
+ 2bfd3: 6fc2.*
+ 2bfd4: 0f2c.*
+ 2bfd5: 6fc2.*
+ 2bfd6: 0f2c.*
+ 2bfd7: 6fc2.*
+ 2bfd8: 0c2d.*
+ 2bfd9: 6fc2.*
+ 2bfda: 0d2d.*
+ 2bfdb: 6fc2.*
+ 2bfdc: 0c2d.*
+ 2bfdd: 6fc2.*
+ 2bfde: 0e2d.*
+ 2bfdf: 6fc2.*
+ 2bfe0: 0f2d.*
+ 2bfe1: 6fc2.*
+ 2bfe2: 0f2d.*
+ 2bfe3: 6fc2.*
+ 2bfe4: 0c2e.*
+ 2bfe5: 6fc2.*
+ 2bfe6: 0d2e.*
+ 2bfe7: 6fc2.*
+ 2bfe8: 0c2e.*
+ 2bfe9: 6fc2.*
+ 2bfea: 0e2e.*
+ 2bfeb: 6fc2.*
+ 2bfec: 0f2e.*
+ 2bfed: 6fc2.*
+ 2bfee: 0f2e.*
+ 2bfef: 6fc2.*
+ 2bff0: 0c2f.*
+ 2bff1: 6fc2.*
+ 2bff2: 0d2f.*
+ 2bff3: 6fc2.*
+ 2bff4: 0c2f.*
+ 2bff5: 6fc2.*
+ 2bff6: 0e2f.*
+ 2bff7: 6fc2.*
+ 2bff8: 0f2f.*
+ 2bff9: 6fc2.*
+ 2bffa: 0f2f.*
+ 2bffb: 08ca.*
+ 2bffc: 6fca.*
+ 2bffd: 0d20.*
+ 2bffe: 08ca.*
+ 2bfff: 6fca.*
+ 2c000: 0e20.*
+ 2c001: 09ca.*
+ 2c002: 09ca.*
+ 2c003: 6fca.*
+ 2c004: 0c30.*
+ 2c005: 6fca.*
+ 2c006: 0d30.*
+ 2c007: 6fca.*
+ 2c008: 0c30.*
+ 2c009: 6fca.*
+ 2c00a: 0e30.*
+ 2c00b: 6fca.*
+ 2c00c: 0f30.*
+ 2c00d: 6fca.*
+ 2c00e: 0f30.*
+ 2c00f: 6fca.*
+ 2c010: 0c31.*
+ 2c011: 6fca.*
+ 2c012: 0d31.*
+ 2c013: 6fca.*
+ 2c014: 0c31.*
+ 2c015: 6fca.*
+ 2c016: 0e31.*
+ 2c017: 6fca.*
+ 2c018: 0f31.*
+ 2c019: 6fca.*
+ 2c01a: 0f31.*
+ 2c01b: 6fca.*
+ 2c01c: 0c32.*
+ 2c01d: 6fca.*
+ 2c01e: 0d32.*
+ 2c01f: 6fca.*
+ 2c020: 0c32.*
+ 2c021: 6fca.*
+ 2c022: 0e32.*
+ 2c023: 6fca.*
+ 2c024: 0f32.*
+ 2c025: 6fca.*
+ 2c026: 0f32.*
+ 2c027: 6fca.*
+ 2c028: 0c33.*
+ 2c029: 6fca.*
+ 2c02a: 0d33.*
+ 2c02b: 6fca.*
+ 2c02c: 0c33.*
+ 2c02d: 6fca.*
+ 2c02e: 0e33.*
+ 2c02f: 6fca.*
+ 2c030: 0f33.*
+ 2c031: 6fca.*
+ 2c032: 0f33.*
+ 2c033: 6fca.*
+ 2c034: 0c34.*
+ 2c035: 6fca.*
+ 2c036: 0d34.*
+ 2c037: 6fca.*
+ 2c038: 0c34.*
+ 2c039: 6fca.*
+ 2c03a: 0e34.*
+ 2c03b: 6fca.*
+ 2c03c: 0f34.*
+ 2c03d: 6fca.*
+ 2c03e: 0f34.*
+ 2c03f: 6fca.*
+ 2c040: 0c35.*
+ 2c041: 6fca.*
+ 2c042: 0d35.*
+ 2c043: 6fca.*
+ 2c044: 0c35.*
+ 2c045: 6fca.*
+ 2c046: 0e35.*
+ 2c047: 6fca.*
+ 2c048: 0f35.*
+ 2c049: 6fca.*
+ 2c04a: 0f35.*
+ 2c04b: 6fca.*
+ 2c04c: 0c36.*
+ 2c04d: 6fca.*
+ 2c04e: 0d36.*
+ 2c04f: 6fca.*
+ 2c050: 0c36.*
+ 2c051: 6fca.*
+ 2c052: 0e36.*
+ 2c053: 6fca.*
+ 2c054: 0f36.*
+ 2c055: 6fca.*
+ 2c056: 0f36.*
+ 2c057: 6fca.*
+ 2c058: 0c37.*
+ 2c059: 6fca.*
+ 2c05a: 0d37.*
+ 2c05b: 6fca.*
+ 2c05c: 0c37.*
+ 2c05d: 6fca.*
+ 2c05e: 0e37.*
+ 2c05f: 6fca.*
+ 2c060: 0f37.*
+ 2c061: 6fca.*
+ 2c062: 0f37.*
+ 2c063: 6fca.*
+ 2c064: 0c38.*
+ 2c065: 6fca.*
+ 2c066: 0d38.*
+ 2c067: 6fca.*
+ 2c068: 0c38.*
+ 2c069: 6fca.*
+ 2c06a: 0e38.*
+ 2c06b: 6fca.*
+ 2c06c: 0f38.*
+ 2c06d: 6fca.*
+ 2c06e: 0f38.*
+ 2c06f: 6fca.*
+ 2c070: 0c39.*
+ 2c071: 6fca.*
+ 2c072: 0d39.*
+ 2c073: 6fca.*
+ 2c074: 0c39.*
+ 2c075: 6fca.*
+ 2c076: 0e39.*
+ 2c077: 6fca.*
+ 2c078: 0f39.*
+ 2c079: 6fca.*
+ 2c07a: 0f39.*
+ 2c07b: 6fca.*
+ 2c07c: 0c3a.*
+ 2c07d: 6fca.*
+ 2c07e: 0d3a.*
+ 2c07f: 6fca.*
+ 2c080: 0c3a.*
+ 2c081: 6fca.*
+ 2c082: 0e3a.*
+ 2c083: 6fca.*
+ 2c084: 0f3a.*
+ 2c085: 6fca.*
+ 2c086: 0f3a.*
+ 2c087: 6fca.*
+ 2c088: 0c3b.*
+ 2c089: 6fca.*
+ 2c08a: 0d3b.*
+ 2c08b: 6fca.*
+ 2c08c: 0c3b.*
+ 2c08d: 6fca.*
+ 2c08e: 0e3b.*
+ 2c08f: 6fca.*
+ 2c090: 0f3b.*
+ 2c091: 6fca.*
+ 2c092: 0f3b.*
+ 2c093: 6fca.*
+ 2c094: 0c3c.*
+ 2c095: 6fca.*
+ 2c096: 0d3c.*
+ 2c097: 6fca.*
+ 2c098: 0c3c.*
+ 2c099: 6fca.*
+ 2c09a: 0e3c.*
+ 2c09b: 6fca.*
+ 2c09c: 0f3c.*
+ 2c09d: 6fca.*
+ 2c09e: 0f3c.*
+ 2c09f: 6fca.*
+ 2c0a0: 0c3d.*
+ 2c0a1: 6fca.*
+ 2c0a2: 0d3d.*
+ 2c0a3: 6fca.*
+ 2c0a4: 0c3d.*
+ 2c0a5: 6fca.*
+ 2c0a6: 0e3d.*
+ 2c0a7: 6fca.*
+ 2c0a8: 0f3d.*
+ 2c0a9: 6fca.*
+ 2c0aa: 0f3d.*
+ 2c0ab: 6fca.*
+ 2c0ac: 0c3e.*
+ 2c0ad: 6fca.*
+ 2c0ae: 0d3e.*
+ 2c0af: 6fca.*
+ 2c0b0: 0c3e.*
+ 2c0b1: 6fca.*
+ 2c0b2: 0e3e.*
+ 2c0b3: 6fca.*
+ 2c0b4: 0f3e.*
+ 2c0b5: 6fca.*
+ 2c0b6: 0f3e.*
+ 2c0b7: 6fca.*
+ 2c0b8: 0c3f.*
+ 2c0b9: 6fca.*
+ 2c0ba: 0d3f.*
+ 2c0bb: 6fca.*
+ 2c0bc: 0c3f.*
+ 2c0bd: 6fca.*
+ 2c0be: 0e3f.*
+ 2c0bf: 6fca.*
+ 2c0c0: 0f3f.*
+ 2c0c1: 6fca.*
+ 2c0c2: 0f3f.*
+ 2c0c3: 08ca.*
+ 2c0c4: 6fca.*
+ 2c0c5: 0d20.*
+ 2c0c6: 08ca.*
+ 2c0c7: 6fca.*
+ 2c0c8: 0e20.*
+ 2c0c9: 09ca.*
+ 2c0ca: 09ca.*
+ 2c0cb: 6fca.*
+ 2c0cc: 0c21.*
+ 2c0cd: 6fca.*
+ 2c0ce: 0d21.*
+ 2c0cf: 6fca.*
+ 2c0d0: 0c21.*
+ 2c0d1: 6fca.*
+ 2c0d2: 0e21.*
+ 2c0d3: 6fca.*
+ 2c0d4: 0f21.*
+ 2c0d5: 6fca.*
+ 2c0d6: 0f21.*
+ 2c0d7: 6fca.*
+ 2c0d8: 0c22.*
+ 2c0d9: 6fca.*
+ 2c0da: 0d22.*
+ 2c0db: 6fca.*
+ 2c0dc: 0c22.*
+ 2c0dd: 6fca.*
+ 2c0de: 0e22.*
+ 2c0df: 6fca.*
+ 2c0e0: 0f22.*
+ 2c0e1: 6fca.*
+ 2c0e2: 0f22.*
+ 2c0e3: 6fca.*
+ 2c0e4: 0c23.*
+ 2c0e5: 6fca.*
+ 2c0e6: 0d23.*
+ 2c0e7: 6fca.*
+ 2c0e8: 0c23.*
+ 2c0e9: 6fca.*
+ 2c0ea: 0e23.*
+ 2c0eb: 6fca.*
+ 2c0ec: 0f23.*
+ 2c0ed: 6fca.*
+ 2c0ee: 0f23.*
+ 2c0ef: 6fca.*
+ 2c0f0: 0c24.*
+ 2c0f1: 6fca.*
+ 2c0f2: 0d24.*
+ 2c0f3: 6fca.*
+ 2c0f4: 0c24.*
+ 2c0f5: 6fca.*
+ 2c0f6: 0e24.*
+ 2c0f7: 6fca.*
+ 2c0f8: 0f24.*
+ 2c0f9: 6fca.*
+ 2c0fa: 0f24.*
+ 2c0fb: 6fca.*
+ 2c0fc: 0c25.*
+ 2c0fd: 6fca.*
+ 2c0fe: 0d25.*
+ 2c0ff: 6fca.*
+ 2c100: 0c25.*
+ 2c101: 6fca.*
+ 2c102: 0e25.*
+ 2c103: 6fca.*
+ 2c104: 0f25.*
+ 2c105: 6fca.*
+ 2c106: 0f25.*
+ 2c107: 6fca.*
+ 2c108: 0c26.*
+ 2c109: 6fca.*
+ 2c10a: 0d26.*
+ 2c10b: 6fca.*
+ 2c10c: 0c26.*
+ 2c10d: 6fca.*
+ 2c10e: 0e26.*
+ 2c10f: 6fca.*
+ 2c110: 0f26.*
+ 2c111: 6fca.*
+ 2c112: 0f26.*
+ 2c113: 6fca.*
+ 2c114: 0c27.*
+ 2c115: 6fca.*
+ 2c116: 0d27.*
+ 2c117: 6fca.*
+ 2c118: 0c27.*
+ 2c119: 6fca.*
+ 2c11a: 0e27.*
+ 2c11b: 6fca.*
+ 2c11c: 0f27.*
+ 2c11d: 6fca.*
+ 2c11e: 0f27.*
+ 2c11f: 6fca.*
+ 2c120: 0c28.*
+ 2c121: 6fca.*
+ 2c122: 0d28.*
+ 2c123: 6fca.*
+ 2c124: 0c28.*
+ 2c125: 6fca.*
+ 2c126: 0e28.*
+ 2c127: 6fca.*
+ 2c128: 0f28.*
+ 2c129: 6fca.*
+ 2c12a: 0f28.*
+ 2c12b: 6fca.*
+ 2c12c: 0c29.*
+ 2c12d: 6fca.*
+ 2c12e: 0d29.*
+ 2c12f: 6fca.*
+ 2c130: 0c29.*
+ 2c131: 6fca.*
+ 2c132: 0e29.*
+ 2c133: 6fca.*
+ 2c134: 0f29.*
+ 2c135: 6fca.*
+ 2c136: 0f29.*
+ 2c137: 6fca.*
+ 2c138: 0c2a.*
+ 2c139: 6fca.*
+ 2c13a: 0d2a.*
+ 2c13b: 6fca.*
+ 2c13c: 0c2a.*
+ 2c13d: 6fca.*
+ 2c13e: 0e2a.*
+ 2c13f: 6fca.*
+ 2c140: 0f2a.*
+ 2c141: 6fca.*
+ 2c142: 0f2a.*
+ 2c143: 6fca.*
+ 2c144: 0c2b.*
+ 2c145: 6fca.*
+ 2c146: 0d2b.*
+ 2c147: 6fca.*
+ 2c148: 0c2b.*
+ 2c149: 6fca.*
+ 2c14a: 0e2b.*
+ 2c14b: 6fca.*
+ 2c14c: 0f2b.*
+ 2c14d: 6fca.*
+ 2c14e: 0f2b.*
+ 2c14f: 6fca.*
+ 2c150: 0c2c.*
+ 2c151: 6fca.*
+ 2c152: 0d2c.*
+ 2c153: 6fca.*
+ 2c154: 0c2c.*
+ 2c155: 6fca.*
+ 2c156: 0e2c.*
+ 2c157: 6fca.*
+ 2c158: 0f2c.*
+ 2c159: 6fca.*
+ 2c15a: 0f2c.*
+ 2c15b: 6fca.*
+ 2c15c: 0c2d.*
+ 2c15d: 6fca.*
+ 2c15e: 0d2d.*
+ 2c15f: 6fca.*
+ 2c160: 0c2d.*
+ 2c161: 6fca.*
+ 2c162: 0e2d.*
+ 2c163: 6fca.*
+ 2c164: 0f2d.*
+ 2c165: 6fca.*
+ 2c166: 0f2d.*
+ 2c167: 6fca.*
+ 2c168: 0c2e.*
+ 2c169: 6fca.*
+ 2c16a: 0d2e.*
+ 2c16b: 6fca.*
+ 2c16c: 0c2e.*
+ 2c16d: 6fca.*
+ 2c16e: 0e2e.*
+ 2c16f: 6fca.*
+ 2c170: 0f2e.*
+ 2c171: 6fca.*
+ 2c172: 0f2e.*
+ 2c173: 6fca.*
+ 2c174: 0c2f.*
+ 2c175: 6fca.*
+ 2c176: 0d2f.*
+ 2c177: 6fca.*
+ 2c178: 0c2f.*
+ 2c179: 6fca.*
+ 2c17a: 0e2f.*
+ 2c17b: 6fca.*
+ 2c17c: 0f2f.*
+ 2c17d: 6fca.*
+ 2c17e: 0f2f.*
+ 2c17f: 08d2.*
+ 2c180: 6fd2.*
+ 2c181: 0d20.*
+ 2c182: 08d2.*
+ 2c183: 6fd2.*
+ 2c184: 0e20.*
+ 2c185: 09d2.*
+ 2c186: 09d2.*
+ 2c187: 6fd2.*
+ 2c188: 0c30.*
+ 2c189: 6fd2.*
+ 2c18a: 0d30.*
+ 2c18b: 6fd2.*
+ 2c18c: 0c30.*
+ 2c18d: 6fd2.*
+ 2c18e: 0e30.*
+ 2c18f: 6fd2.*
+ 2c190: 0f30.*
+ 2c191: 6fd2.*
+ 2c192: 0f30.*
+ 2c193: 6fd2.*
+ 2c194: 0c31.*
+ 2c195: 6fd2.*
+ 2c196: 0d31.*
+ 2c197: 6fd2.*
+ 2c198: 0c31.*
+ 2c199: 6fd2.*
+ 2c19a: 0e31.*
+ 2c19b: 6fd2.*
+ 2c19c: 0f31.*
+ 2c19d: 6fd2.*
+ 2c19e: 0f31.*
+ 2c19f: 6fd2.*
+ 2c1a0: 0c32.*
+ 2c1a1: 6fd2.*
+ 2c1a2: 0d32.*
+ 2c1a3: 6fd2.*
+ 2c1a4: 0c32.*
+ 2c1a5: 6fd2.*
+ 2c1a6: 0e32.*
+ 2c1a7: 6fd2.*
+ 2c1a8: 0f32.*
+ 2c1a9: 6fd2.*
+ 2c1aa: 0f32.*
+ 2c1ab: 6fd2.*
+ 2c1ac: 0c33.*
+ 2c1ad: 6fd2.*
+ 2c1ae: 0d33.*
+ 2c1af: 6fd2.*
+ 2c1b0: 0c33.*
+ 2c1b1: 6fd2.*
+ 2c1b2: 0e33.*
+ 2c1b3: 6fd2.*
+ 2c1b4: 0f33.*
+ 2c1b5: 6fd2.*
+ 2c1b6: 0f33.*
+ 2c1b7: 6fd2.*
+ 2c1b8: 0c34.*
+ 2c1b9: 6fd2.*
+ 2c1ba: 0d34.*
+ 2c1bb: 6fd2.*
+ 2c1bc: 0c34.*
+ 2c1bd: 6fd2.*
+ 2c1be: 0e34.*
+ 2c1bf: 6fd2.*
+ 2c1c0: 0f34.*
+ 2c1c1: 6fd2.*
+ 2c1c2: 0f34.*
+ 2c1c3: 6fd2.*
+ 2c1c4: 0c35.*
+ 2c1c5: 6fd2.*
+ 2c1c6: 0d35.*
+ 2c1c7: 6fd2.*
+ 2c1c8: 0c35.*
+ 2c1c9: 6fd2.*
+ 2c1ca: 0e35.*
+ 2c1cb: 6fd2.*
+ 2c1cc: 0f35.*
+ 2c1cd: 6fd2.*
+ 2c1ce: 0f35.*
+ 2c1cf: 6fd2.*
+ 2c1d0: 0c36.*
+ 2c1d1: 6fd2.*
+ 2c1d2: 0d36.*
+ 2c1d3: 6fd2.*
+ 2c1d4: 0c36.*
+ 2c1d5: 6fd2.*
+ 2c1d6: 0e36.*
+ 2c1d7: 6fd2.*
+ 2c1d8: 0f36.*
+ 2c1d9: 6fd2.*
+ 2c1da: 0f36.*
+ 2c1db: 6fd2.*
+ 2c1dc: 0c37.*
+ 2c1dd: 6fd2.*
+ 2c1de: 0d37.*
+ 2c1df: 6fd2.*
+ 2c1e0: 0c37.*
+ 2c1e1: 6fd2.*
+ 2c1e2: 0e37.*
+ 2c1e3: 6fd2.*
+ 2c1e4: 0f37.*
+ 2c1e5: 6fd2.*
+ 2c1e6: 0f37.*
+ 2c1e7: 6fd2.*
+ 2c1e8: 0c38.*
+ 2c1e9: 6fd2.*
+ 2c1ea: 0d38.*
+ 2c1eb: 6fd2.*
+ 2c1ec: 0c38.*
+ 2c1ed: 6fd2.*
+ 2c1ee: 0e38.*
+ 2c1ef: 6fd2.*
+ 2c1f0: 0f38.*
+ 2c1f1: 6fd2.*
+ 2c1f2: 0f38.*
+ 2c1f3: 6fd2.*
+ 2c1f4: 0c39.*
+ 2c1f5: 6fd2.*
+ 2c1f6: 0d39.*
+ 2c1f7: 6fd2.*
+ 2c1f8: 0c39.*
+ 2c1f9: 6fd2.*
+ 2c1fa: 0e39.*
+ 2c1fb: 6fd2.*
+ 2c1fc: 0f39.*
+ 2c1fd: 6fd2.*
+ 2c1fe: 0f39.*
+ 2c1ff: 6fd2.*
+ 2c200: 0c3a.*
+ 2c201: 6fd2.*
+ 2c202: 0d3a.*
+ 2c203: 6fd2.*
+ 2c204: 0c3a.*
+ 2c205: 6fd2.*
+ 2c206: 0e3a.*
+ 2c207: 6fd2.*
+ 2c208: 0f3a.*
+ 2c209: 6fd2.*
+ 2c20a: 0f3a.*
+ 2c20b: 6fd2.*
+ 2c20c: 0c3b.*
+ 2c20d: 6fd2.*
+ 2c20e: 0d3b.*
+ 2c20f: 6fd2.*
+ 2c210: 0c3b.*
+ 2c211: 6fd2.*
+ 2c212: 0e3b.*
+ 2c213: 6fd2.*
+ 2c214: 0f3b.*
+ 2c215: 6fd2.*
+ 2c216: 0f3b.*
+ 2c217: 6fd2.*
+ 2c218: 0c3c.*
+ 2c219: 6fd2.*
+ 2c21a: 0d3c.*
+ 2c21b: 6fd2.*
+ 2c21c: 0c3c.*
+ 2c21d: 6fd2.*
+ 2c21e: 0e3c.*
+ 2c21f: 6fd2.*
+ 2c220: 0f3c.*
+ 2c221: 6fd2.*
+ 2c222: 0f3c.*
+ 2c223: 6fd2.*
+ 2c224: 0c3d.*
+ 2c225: 6fd2.*
+ 2c226: 0d3d.*
+ 2c227: 6fd2.*
+ 2c228: 0c3d.*
+ 2c229: 6fd2.*
+ 2c22a: 0e3d.*
+ 2c22b: 6fd2.*
+ 2c22c: 0f3d.*
+ 2c22d: 6fd2.*
+ 2c22e: 0f3d.*
+ 2c22f: 6fd2.*
+ 2c230: 0c3e.*
+ 2c231: 6fd2.*
+ 2c232: 0d3e.*
+ 2c233: 6fd2.*
+ 2c234: 0c3e.*
+ 2c235: 6fd2.*
+ 2c236: 0e3e.*
+ 2c237: 6fd2.*
+ 2c238: 0f3e.*
+ 2c239: 6fd2.*
+ 2c23a: 0f3e.*
+ 2c23b: 6fd2.*
+ 2c23c: 0c3f.*
+ 2c23d: 6fd2.*
+ 2c23e: 0d3f.*
+ 2c23f: 6fd2.*
+ 2c240: 0c3f.*
+ 2c241: 6fd2.*
+ 2c242: 0e3f.*
+ 2c243: 6fd2.*
+ 2c244: 0f3f.*
+ 2c245: 6fd2.*
+ 2c246: 0f3f.*
+ 2c247: 08d2.*
+ 2c248: 6fd2.*
+ 2c249: 0d20.*
+ 2c24a: 08d2.*
+ 2c24b: 6fd2.*
+ 2c24c: 0e20.*
+ 2c24d: 09d2.*
+ 2c24e: 09d2.*
+ 2c24f: 6fd2.*
+ 2c250: 0c21.*
+ 2c251: 6fd2.*
+ 2c252: 0d21.*
+ 2c253: 6fd2.*
+ 2c254: 0c21.*
+ 2c255: 6fd2.*
+ 2c256: 0e21.*
+ 2c257: 6fd2.*
+ 2c258: 0f21.*
+ 2c259: 6fd2.*
+ 2c25a: 0f21.*
+ 2c25b: 6fd2.*
+ 2c25c: 0c22.*
+ 2c25d: 6fd2.*
+ 2c25e: 0d22.*
+ 2c25f: 6fd2.*
+ 2c260: 0c22.*
+ 2c261: 6fd2.*
+ 2c262: 0e22.*
+ 2c263: 6fd2.*
+ 2c264: 0f22.*
+ 2c265: 6fd2.*
+ 2c266: 0f22.*
+ 2c267: 6fd2.*
+ 2c268: 0c23.*
+ 2c269: 6fd2.*
+ 2c26a: 0d23.*
+ 2c26b: 6fd2.*
+ 2c26c: 0c23.*
+ 2c26d: 6fd2.*
+ 2c26e: 0e23.*
+ 2c26f: 6fd2.*
+ 2c270: 0f23.*
+ 2c271: 6fd2.*
+ 2c272: 0f23.*
+ 2c273: 6fd2.*
+ 2c274: 0c24.*
+ 2c275: 6fd2.*
+ 2c276: 0d24.*
+ 2c277: 6fd2.*
+ 2c278: 0c24.*
+ 2c279: 6fd2.*
+ 2c27a: 0e24.*
+ 2c27b: 6fd2.*
+ 2c27c: 0f24.*
+ 2c27d: 6fd2.*
+ 2c27e: 0f24.*
+ 2c27f: 6fd2.*
+ 2c280: 0c25.*
+ 2c281: 6fd2.*
+ 2c282: 0d25.*
+ 2c283: 6fd2.*
+ 2c284: 0c25.*
+ 2c285: 6fd2.*
+ 2c286: 0e25.*
+ 2c287: 6fd2.*
+ 2c288: 0f25.*
+ 2c289: 6fd2.*
+ 2c28a: 0f25.*
+ 2c28b: 6fd2.*
+ 2c28c: 0c26.*
+ 2c28d: 6fd2.*
+ 2c28e: 0d26.*
+ 2c28f: 6fd2.*
+ 2c290: 0c26.*
+ 2c291: 6fd2.*
+ 2c292: 0e26.*
+ 2c293: 6fd2.*
+ 2c294: 0f26.*
+ 2c295: 6fd2.*
+ 2c296: 0f26.*
+ 2c297: 6fd2.*
+ 2c298: 0c27.*
+ 2c299: 6fd2.*
+ 2c29a: 0d27.*
+ 2c29b: 6fd2.*
+ 2c29c: 0c27.*
+ 2c29d: 6fd2.*
+ 2c29e: 0e27.*
+ 2c29f: 6fd2.*
+ 2c2a0: 0f27.*
+ 2c2a1: 6fd2.*
+ 2c2a2: 0f27.*
+ 2c2a3: 6fd2.*
+ 2c2a4: 0c28.*
+ 2c2a5: 6fd2.*
+ 2c2a6: 0d28.*
+ 2c2a7: 6fd2.*
+ 2c2a8: 0c28.*
+ 2c2a9: 6fd2.*
+ 2c2aa: 0e28.*
+ 2c2ab: 6fd2.*
+ 2c2ac: 0f28.*
+ 2c2ad: 6fd2.*
+ 2c2ae: 0f28.*
+ 2c2af: 6fd2.*
+ 2c2b0: 0c29.*
+ 2c2b1: 6fd2.*
+ 2c2b2: 0d29.*
+ 2c2b3: 6fd2.*
+ 2c2b4: 0c29.*
+ 2c2b5: 6fd2.*
+ 2c2b6: 0e29.*
+ 2c2b7: 6fd2.*
+ 2c2b8: 0f29.*
+ 2c2b9: 6fd2.*
+ 2c2ba: 0f29.*
+ 2c2bb: 6fd2.*
+ 2c2bc: 0c2a.*
+ 2c2bd: 6fd2.*
+ 2c2be: 0d2a.*
+ 2c2bf: 6fd2.*
+ 2c2c0: 0c2a.*
+ 2c2c1: 6fd2.*
+ 2c2c2: 0e2a.*
+ 2c2c3: 6fd2.*
+ 2c2c4: 0f2a.*
+ 2c2c5: 6fd2.*
+ 2c2c6: 0f2a.*
+ 2c2c7: 6fd2.*
+ 2c2c8: 0c2b.*
+ 2c2c9: 6fd2.*
+ 2c2ca: 0d2b.*
+ 2c2cb: 6fd2.*
+ 2c2cc: 0c2b.*
+ 2c2cd: 6fd2.*
+ 2c2ce: 0e2b.*
+ 2c2cf: 6fd2.*
+ 2c2d0: 0f2b.*
+ 2c2d1: 6fd2.*
+ 2c2d2: 0f2b.*
+ 2c2d3: 6fd2.*
+ 2c2d4: 0c2c.*
+ 2c2d5: 6fd2.*
+ 2c2d6: 0d2c.*
+ 2c2d7: 6fd2.*
+ 2c2d8: 0c2c.*
+ 2c2d9: 6fd2.*
+ 2c2da: 0e2c.*
+ 2c2db: 6fd2.*
+ 2c2dc: 0f2c.*
+ 2c2dd: 6fd2.*
+ 2c2de: 0f2c.*
+ 2c2df: 6fd2.*
+ 2c2e0: 0c2d.*
+ 2c2e1: 6fd2.*
+ 2c2e2: 0d2d.*
+ 2c2e3: 6fd2.*
+ 2c2e4: 0c2d.*
+ 2c2e5: 6fd2.*
+ 2c2e6: 0e2d.*
+ 2c2e7: 6fd2.*
+ 2c2e8: 0f2d.*
+ 2c2e9: 6fd2.*
+ 2c2ea: 0f2d.*
+ 2c2eb: 6fd2.*
+ 2c2ec: 0c2e.*
+ 2c2ed: 6fd2.*
+ 2c2ee: 0d2e.*
+ 2c2ef: 6fd2.*
+ 2c2f0: 0c2e.*
+ 2c2f1: 6fd2.*
+ 2c2f2: 0e2e.*
+ 2c2f3: 6fd2.*
+ 2c2f4: 0f2e.*
+ 2c2f5: 6fd2.*
+ 2c2f6: 0f2e.*
+ 2c2f7: 6fd2.*
+ 2c2f8: 0c2f.*
+ 2c2f9: 6fd2.*
+ 2c2fa: 0d2f.*
+ 2c2fb: 6fd2.*
+ 2c2fc: 0c2f.*
+ 2c2fd: 6fd2.*
+ 2c2fe: 0e2f.*
+ 2c2ff: 6fd2.*
+ 2c300: 0f2f.*
+ 2c301: 6fd2.*
+ 2c302: 0f2f.*
+ 2c303: 08da.*
+ 2c304: 6fda.*
+ 2c305: 0d20.*
+ 2c306: 08da.*
+ 2c307: 6fda.*
+ 2c308: 0e20.*
+ 2c309: 09da.*
+ 2c30a: 09da.*
+ 2c30b: 6fda.*
+ 2c30c: 0c30.*
+ 2c30d: 6fda.*
+ 2c30e: 0d30.*
+ 2c30f: 6fda.*
+ 2c310: 0c30.*
+ 2c311: 6fda.*
+ 2c312: 0e30.*
+ 2c313: 6fda.*
+ 2c314: 0f30.*
+ 2c315: 6fda.*
+ 2c316: 0f30.*
+ 2c317: 6fda.*
+ 2c318: 0c31.*
+ 2c319: 6fda.*
+ 2c31a: 0d31.*
+ 2c31b: 6fda.*
+ 2c31c: 0c31.*
+ 2c31d: 6fda.*
+ 2c31e: 0e31.*
+ 2c31f: 6fda.*
+ 2c320: 0f31.*
+ 2c321: 6fda.*
+ 2c322: 0f31.*
+ 2c323: 6fda.*
+ 2c324: 0c32.*
+ 2c325: 6fda.*
+ 2c326: 0d32.*
+ 2c327: 6fda.*
+ 2c328: 0c32.*
+ 2c329: 6fda.*
+ 2c32a: 0e32.*
+ 2c32b: 6fda.*
+ 2c32c: 0f32.*
+ 2c32d: 6fda.*
+ 2c32e: 0f32.*
+ 2c32f: 6fda.*
+ 2c330: 0c33.*
+ 2c331: 6fda.*
+ 2c332: 0d33.*
+ 2c333: 6fda.*
+ 2c334: 0c33.*
+ 2c335: 6fda.*
+ 2c336: 0e33.*
+ 2c337: 6fda.*
+ 2c338: 0f33.*
+ 2c339: 6fda.*
+ 2c33a: 0f33.*
+ 2c33b: 6fda.*
+ 2c33c: 0c34.*
+ 2c33d: 6fda.*
+ 2c33e: 0d34.*
+ 2c33f: 6fda.*
+ 2c340: 0c34.*
+ 2c341: 6fda.*
+ 2c342: 0e34.*
+ 2c343: 6fda.*
+ 2c344: 0f34.*
+ 2c345: 6fda.*
+ 2c346: 0f34.*
+ 2c347: 6fda.*
+ 2c348: 0c35.*
+ 2c349: 6fda.*
+ 2c34a: 0d35.*
+ 2c34b: 6fda.*
+ 2c34c: 0c35.*
+ 2c34d: 6fda.*
+ 2c34e: 0e35.*
+ 2c34f: 6fda.*
+ 2c350: 0f35.*
+ 2c351: 6fda.*
+ 2c352: 0f35.*
+ 2c353: 6fda.*
+ 2c354: 0c36.*
+ 2c355: 6fda.*
+ 2c356: 0d36.*
+ 2c357: 6fda.*
+ 2c358: 0c36.*
+ 2c359: 6fda.*
+ 2c35a: 0e36.*
+ 2c35b: 6fda.*
+ 2c35c: 0f36.*
+ 2c35d: 6fda.*
+ 2c35e: 0f36.*
+ 2c35f: 6fda.*
+ 2c360: 0c37.*
+ 2c361: 6fda.*
+ 2c362: 0d37.*
+ 2c363: 6fda.*
+ 2c364: 0c37.*
+ 2c365: 6fda.*
+ 2c366: 0e37.*
+ 2c367: 6fda.*
+ 2c368: 0f37.*
+ 2c369: 6fda.*
+ 2c36a: 0f37.*
+ 2c36b: 6fda.*
+ 2c36c: 0c38.*
+ 2c36d: 6fda.*
+ 2c36e: 0d38.*
+ 2c36f: 6fda.*
+ 2c370: 0c38.*
+ 2c371: 6fda.*
+ 2c372: 0e38.*
+ 2c373: 6fda.*
+ 2c374: 0f38.*
+ 2c375: 6fda.*
+ 2c376: 0f38.*
+ 2c377: 6fda.*
+ 2c378: 0c39.*
+ 2c379: 6fda.*
+ 2c37a: 0d39.*
+ 2c37b: 6fda.*
+ 2c37c: 0c39.*
+ 2c37d: 6fda.*
+ 2c37e: 0e39.*
+ 2c37f: 6fda.*
+ 2c380: 0f39.*
+ 2c381: 6fda.*
+ 2c382: 0f39.*
+ 2c383: 6fda.*
+ 2c384: 0c3a.*
+ 2c385: 6fda.*
+ 2c386: 0d3a.*
+ 2c387: 6fda.*
+ 2c388: 0c3a.*
+ 2c389: 6fda.*
+ 2c38a: 0e3a.*
+ 2c38b: 6fda.*
+ 2c38c: 0f3a.*
+ 2c38d: 6fda.*
+ 2c38e: 0f3a.*
+ 2c38f: 6fda.*
+ 2c390: 0c3b.*
+ 2c391: 6fda.*
+ 2c392: 0d3b.*
+ 2c393: 6fda.*
+ 2c394: 0c3b.*
+ 2c395: 6fda.*
+ 2c396: 0e3b.*
+ 2c397: 6fda.*
+ 2c398: 0f3b.*
+ 2c399: 6fda.*
+ 2c39a: 0f3b.*
+ 2c39b: 6fda.*
+ 2c39c: 0c3c.*
+ 2c39d: 6fda.*
+ 2c39e: 0d3c.*
+ 2c39f: 6fda.*
+ 2c3a0: 0c3c.*
+ 2c3a1: 6fda.*
+ 2c3a2: 0e3c.*
+ 2c3a3: 6fda.*
+ 2c3a4: 0f3c.*
+ 2c3a5: 6fda.*
+ 2c3a6: 0f3c.*
+ 2c3a7: 6fda.*
+ 2c3a8: 0c3d.*
+ 2c3a9: 6fda.*
+ 2c3aa: 0d3d.*
+ 2c3ab: 6fda.*
+ 2c3ac: 0c3d.*
+ 2c3ad: 6fda.*
+ 2c3ae: 0e3d.*
+ 2c3af: 6fda.*
+ 2c3b0: 0f3d.*
+ 2c3b1: 6fda.*
+ 2c3b2: 0f3d.*
+ 2c3b3: 6fda.*
+ 2c3b4: 0c3e.*
+ 2c3b5: 6fda.*
+ 2c3b6: 0d3e.*
+ 2c3b7: 6fda.*
+ 2c3b8: 0c3e.*
+ 2c3b9: 6fda.*
+ 2c3ba: 0e3e.*
+ 2c3bb: 6fda.*
+ 2c3bc: 0f3e.*
+ 2c3bd: 6fda.*
+ 2c3be: 0f3e.*
+ 2c3bf: 6fda.*
+ 2c3c0: 0c3f.*
+ 2c3c1: 6fda.*
+ 2c3c2: 0d3f.*
+ 2c3c3: 6fda.*
+ 2c3c4: 0c3f.*
+ 2c3c5: 6fda.*
+ 2c3c6: 0e3f.*
+ 2c3c7: 6fda.*
+ 2c3c8: 0f3f.*
+ 2c3c9: 6fda.*
+ 2c3ca: 0f3f.*
+ 2c3cb: 08da.*
+ 2c3cc: 6fda.*
+ 2c3cd: 0d20.*
+ 2c3ce: 08da.*
+ 2c3cf: 6fda.*
+ 2c3d0: 0e20.*
+ 2c3d1: 09da.*
+ 2c3d2: 09da.*
+ 2c3d3: 92c1.*
+ 2c3d4: 6fda.*
+ 2c3d5: 0d21.*
+ 2c3d6: 92c1.*
+ 2c3d7: 6fda.*
+ 2c3d8: 0e21.*
+ 2c3d9: 93c1.*
+ 2c3da: 93c1.*
+ 2c3db: 92c2.*
+ 2c3dc: 6fda.*
+ 2c3dd: 0d22.*
+ 2c3de: 92c2.*
+ 2c3df: 6fda.*
+ 2c3e0: 0e22.*
+ 2c3e1: 93c2.*
+ 2c3e2: 93c2.*
+ 2c3e3: 92c3.*
+ 2c3e4: 6fda.*
+ 2c3e5: 0d23.*
+ 2c3e6: 92c3.*
+ 2c3e7: 6fda.*
+ 2c3e8: 0e23.*
+ 2c3e9: 93c3.*
+ 2c3ea: 93c3.*
+ 2c3eb: 92c4.*
+ 2c3ec: 6fda.*
+ 2c3ed: 0d24.*
+ 2c3ee: 92c4.*
+ 2c3ef: 6fda.*
+ 2c3f0: 0e24.*
+ 2c3f1: 93c4.*
+ 2c3f2: 93c4.*
+ 2c3f3: 92c5.*
+ 2c3f4: 6fda.*
+ 2c3f5: 0d25.*
+ 2c3f6: 92c5.*
+ 2c3f7: 6fda.*
+ 2c3f8: 0e25.*
+ 2c3f9: 93c5.*
+ 2c3fa: 93c5.*
+ 2c3fb: 92c6.*
+ 2c3fc: 6fda.*
+ 2c3fd: 0d26.*
+ 2c3fe: 92c6.*
+ 2c3ff: 6fda.*
+ 2c400: 0e26.*
+ 2c401: 93c6.*
+ 2c402: 93c6.*
+ 2c403: 92c7.*
+ 2c404: 6fda.*
+ 2c405: 0d27.*
+ 2c406: 92c7.*
+ 2c407: 6fda.*
+ 2c408: 0e27.*
+ 2c409: 93c7.*
+ 2c40a: 93c7.*
+ 2c40b: 92c8.*
+ 2c40c: 6fda.*
+ 2c40d: 0d28.*
+ 2c40e: 92c8.*
+ 2c40f: 6fda.*
+ 2c410: 0e28.*
+ 2c411: 93c8.*
+ 2c412: 93c8.*
+ 2c413: 92c9.*
+ 2c414: 6fda.*
+ 2c415: 0d29.*
+ 2c416: 92c9.*
+ 2c417: 6fda.*
+ 2c418: 0e29.*
+ 2c419: 93c9.*
+ 2c41a: 93c9.*
+ 2c41b: 92ca.*
+ 2c41c: 6fda.*
+ 2c41d: 0d2a.*
+ 2c41e: 92ca.*
+ 2c41f: 6fda.*
+ 2c420: 0e2a.*
+ 2c421: 93ca.*
+ 2c422: 93ca.*
+ 2c423: 92cb.*
+ 2c424: 6fda.*
+ 2c425: 0d2b.*
+ 2c426: 92cb.*
+ 2c427: 6fda.*
+ 2c428: 0e2b.*
+ 2c429: 93cb.*
+ 2c42a: 93cb.*
+ 2c42b: 92cc.*
+ 2c42c: 6fda.*
+ 2c42d: 0d2c.*
+ 2c42e: 92cc.*
+ 2c42f: 6fda.*
+ 2c430: 0e2c.*
+ 2c431: 93cc.*
+ 2c432: 93cc.*
+ 2c433: 92cd.*
+ 2c434: 6fda.*
+ 2c435: 0d2d.*
+ 2c436: 92cd.*
+ 2c437: 6fda.*
+ 2c438: 0e2d.*
+ 2c439: 93cd.*
+ 2c43a: 93cd.*
+ 2c43b: 92ce.*
+ 2c43c: 6fda.*
+ 2c43d: 0d2e.*
+ 2c43e: 92ce.*
+ 2c43f: 6fda.*
+ 2c440: 0e2e.*
+ 2c441: 93ce.*
+ 2c442: 93ce.*
+ 2c443: 92cf.*
+ 2c444: 6fda.*
+ 2c445: 0d2f.*
+ 2c446: 92cf.*
+ 2c447: 6fda.*
+ 2c448: 0e2f.*
+ 2c449: 93cf.*
+ 2c44a: 93cf.*
+ 2c44b: 08e2.*
+ 2c44c: 51b6.*
+ 2c44d: 6fe2.*
+ 2c44e: 51b7.*
+ 2c44f: 0d20.*
+ 2c450: 08e2.*
+ 2c451: 51b8.*
+ 2c452: 6fe2.*
+ 2c453: 51b9.*
+ 2c454: 0e20.*
+ 2c455: 09e2.*
+ 2c456: 51ba.*
+ 2c457: 09e2.*
+ 2c458: 51bb.*
+ 2c459: 6fe2.*
+ 2c45a: 51bc.*
+ 2c45b: 0c30.*
+ 2c45c: 6fe2.*
+ 2c45d: 51bd.*
+ 2c45e: 0d30.*
+ 2c45f: 6fe2.*
+ 2c460: 51be.*
+ 2c461: 0c30.*
+ 2c462: 6fe2.*
+ 2c463: 51bf.*
+ 2c464: 0e30.*
+ 2c465: 6fe2.*
+ 2c466: 51c0.*
+ 2c467: 0f30.*
+ 2c468: 6fe2.*
+ 2c469: 51c1.*
+ 2c46a: 0f30.*
+ 2c46b: 6fe2.*
+ 2c46c: 51c2.*
+ 2c46d: 0c31.*
+ 2c46e: 6fe2.*
+ 2c46f: 51c3.*
+ 2c470: 0d31.*
+ 2c471: 6fe2.*
+ 2c472: 51c4.*
+ 2c473: 0c31.*
+ 2c474: 6fe2.*
+ 2c475: 51c5.*
+ 2c476: 0e31.*
+ 2c477: 6fe2.*
+ 2c478: 51c6.*
+ 2c479: 0f31.*
+ 2c47a: 6fe2.*
+ 2c47b: 51c7.*
+ 2c47c: 0f31.*
+ 2c47d: 6fe2.*
+ 2c47e: 51c8.*
+ 2c47f: 0c32.*
+ 2c480: 6fe2.*
+ 2c481: 51c9.*
+ 2c482: 0d32.*
+ 2c483: 6fe2.*
+ 2c484: 51ca.*
+ 2c485: 0c32.*
+ 2c486: 6fe2.*
+ 2c487: 51cb.*
+ 2c488: 0e32.*
+ 2c489: 6fe2.*
+ 2c48a: 51cc.*
+ 2c48b: 0f32.*
+ 2c48c: 6fe2.*
+ 2c48d: 51cd.*
+ 2c48e: 0f32.*
+ 2c48f: 6fe2.*
+ 2c490: 51ce.*
+ 2c491: 0c33.*
+ 2c492: 6fe2.*
+ 2c493: 51cf.*
+ 2c494: 0d33.*
+ 2c495: 6fe2.*
+ 2c496: 51d0.*
+ 2c497: 0c33.*
+ 2c498: 6fe2.*
+ 2c499: 51d1.*
+ 2c49a: 0e33.*
+ 2c49b: 6fe2.*
+ 2c49c: 51d2.*
+ 2c49d: 0f33.*
+ 2c49e: 6fe2.*
+ 2c49f: 51d3.*
+ 2c4a0: 0f33.*
+ 2c4a1: 6fe2.*
+ 2c4a2: 51d4.*
+ 2c4a3: 0c34.*
+ 2c4a4: 6fe2.*
+ 2c4a5: 51d5.*
+ 2c4a6: 0d34.*
+ 2c4a7: 6fe2.*
+ 2c4a8: 51d6.*
+ 2c4a9: 0c34.*
+ 2c4aa: 6fe2.*
+ 2c4ab: 51d7.*
+ 2c4ac: 0e34.*
+ 2c4ad: 6fe2.*
+ 2c4ae: 51d8.*
+ 2c4af: 0f34.*
+ 2c4b0: 6fe2.*
+ 2c4b1: 51d9.*
+ 2c4b2: 0f34.*
+ 2c4b3: 6fe2.*
+ 2c4b4: 51da.*
+ 2c4b5: 0c35.*
+ 2c4b6: 6fe2.*
+ 2c4b7: 51db.*
+ 2c4b8: 0d35.*
+ 2c4b9: 6fe2.*
+ 2c4ba: 51dc.*
+ 2c4bb: 0c35.*
+ 2c4bc: 6fe2.*
+ 2c4bd: 51dd.*
+ 2c4be: 0e35.*
+ 2c4bf: 6fe2.*
+ 2c4c0: 51de.*
+ 2c4c1: 0f35.*
+ 2c4c2: 6fe2.*
+ 2c4c3: 51df.*
+ 2c4c4: 0f35.*
+ 2c4c5: 6fe2.*
+ 2c4c6: 51e0.*
+ 2c4c7: 0c36.*
+ 2c4c8: 6fe2.*
+ 2c4c9: 51e1.*
+ 2c4ca: 0d36.*
+ 2c4cb: 6fe2.*
+ 2c4cc: 51e2.*
+ 2c4cd: 0c36.*
+ 2c4ce: 6fe2.*
+ 2c4cf: 51e3.*
+ 2c4d0: 0e36.*
+ 2c4d1: 6fe2.*
+ 2c4d2: 51e4.*
+ 2c4d3: 0f36.*
+ 2c4d4: 6fe2.*
+ 2c4d5: 51e5.*
+ 2c4d6: 0f36.*
+ 2c4d7: 6fe2.*
+ 2c4d8: 51e6.*
+ 2c4d9: 0c37.*
+ 2c4da: 6fe2.*
+ 2c4db: 51e7.*
+ 2c4dc: 0d37.*
+ 2c4dd: 6fe2.*
+ 2c4de: 51e8.*
+ 2c4df: 0c37.*
+ 2c4e0: 6fe2.*
+ 2c4e1: 51e9.*
+ 2c4e2: 0e37.*
+ 2c4e3: 6fe2.*
+ 2c4e4: 51ea.*
+ 2c4e5: 0f37.*
+ 2c4e6: 6fe2.*
+ 2c4e7: 51eb.*
+ 2c4e8: 0f37.*
+ 2c4e9: 6fe2.*
+ 2c4ea: 51ec.*
+ 2c4eb: 0c38.*
+ 2c4ec: 6fe2.*
+ 2c4ed: 51ed.*
+ 2c4ee: 0d38.*
+ 2c4ef: 6fe2.*
+ 2c4f0: 51ee.*
+ 2c4f1: 0c38.*
+ 2c4f2: 6fe2.*
+ 2c4f3: 51ef.*
+ 2c4f4: 0e38.*
+ 2c4f5: 6fe2.*
+ 2c4f6: 51f0.*
+ 2c4f7: 0f38.*
+ 2c4f8: 6fe2.*
+ 2c4f9: 51f1.*
+ 2c4fa: 0f38.*
+ 2c4fb: 6fe2.*
+ 2c4fc: 51f2.*
+ 2c4fd: 0c39.*
+ 2c4fe: 6fe2.*
+ 2c4ff: 51f3.*
+ 2c500: 0d39.*
+ 2c501: 6fe2.*
+ 2c502: 51f4.*
+ 2c503: 0c39.*
+ 2c504: 6fe2.*
+ 2c505: 51f5.*
+ 2c506: 0e39.*
+ 2c507: 6fe2.*
+ 2c508: 51f6.*
+ 2c509: 0f39.*
+ 2c50a: 6fe2.*
+ 2c50b: 51f7.*
+ 2c50c: 0f39.*
+ 2c50d: 6fe2.*
+ 2c50e: 51f8.*
+ 2c50f: 0c3a.*
+ 2c510: 6fe2.*
+ 2c511: 51f9.*
+ 2c512: 0d3a.*
+ 2c513: 6fe2.*
+ 2c514: 51fa.*
+ 2c515: 0c3a.*
+ 2c516: 6fe2.*
+ 2c517: 51fb.*
+ 2c518: 0e3a.*
+ 2c519: 6fe2.*
+ 2c51a: 51fc.*
+ 2c51b: 0f3a.*
+ 2c51c: 6fe2.*
+ 2c51d: 51fd.*
+ 2c51e: 0f3a.*
+ 2c51f: 6fe2.*
+ 2c520: 51fe.*
+ 2c521: 0c3b.*
+ 2c522: 6fe2.*
+ 2c523: 51ff.*
+ 2c524: 0d3b.*
+ 2c525: 6fe2.*
+ 2c526: 5200.*
+ 2c527: 0c3b.*
+ 2c528: 6fe2.*
+ 2c529: 5201.*
+ 2c52a: 0e3b.*
+ 2c52b: 6fe2.*
+ 2c52c: 5202.*
+ 2c52d: 0f3b.*
+ 2c52e: 6fe2.*
+ 2c52f: 5203.*
+ 2c530: 0f3b.*
+ 2c531: 6fe2.*
+ 2c532: 5204.*
+ 2c533: 0c3c.*
+ 2c534: 6fe2.*
+ 2c535: 5205.*
+ 2c536: 0d3c.*
+ 2c537: 6fe2.*
+ 2c538: 5206.*
+ 2c539: 0c3c.*
+ 2c53a: 6fe2.*
+ 2c53b: 5207.*
+ 2c53c: 0e3c.*
+ 2c53d: 6fe2.*
+ 2c53e: 5208.*
+ 2c53f: 0f3c.*
+ 2c540: 6fe2.*
+ 2c541: 5209.*
+ 2c542: 0f3c.*
+ 2c543: 6fe2.*
+ 2c544: 520a.*
+ 2c545: 0c3d.*
+ 2c546: 6fe2.*
+ 2c547: 520b.*
+ 2c548: 0d3d.*
+ 2c549: 6fe2.*
+ 2c54a: 520c.*
+ 2c54b: 0c3d.*
+ 2c54c: 6fe2.*
+ 2c54d: 520d.*
+ 2c54e: 0e3d.*
+ 2c54f: 6fe2.*
+ 2c550: 520e.*
+ 2c551: 0f3d.*
+ 2c552: 6fe2.*
+ 2c553: 520f.*
+ 2c554: 0f3d.*
+ 2c555: 6fe2.*
+ 2c556: 5210.*
+ 2c557: 0c3e.*
+ 2c558: 6fe2.*
+ 2c559: 5211.*
+ 2c55a: 0d3e.*
+ 2c55b: 6fe2.*
+ 2c55c: 5212.*
+ 2c55d: 0c3e.*
+ 2c55e: 6fe2.*
+ 2c55f: 5213.*
+ 2c560: 0e3e.*
+ 2c561: 6fe2.*
+ 2c562: 5214.*
+ 2c563: 0f3e.*
+ 2c564: 6fe2.*
+ 2c565: 5215.*
+ 2c566: 0f3e.*
+ 2c567: 6fe2.*
+ 2c568: 5216.*
+ 2c569: 0c3f.*
+ 2c56a: 6fe2.*
+ 2c56b: 5217.*
+ 2c56c: 0d3f.*
+ 2c56d: 6fe2.*
+ 2c56e: 5218.*
+ 2c56f: 0c3f.*
+ 2c570: 6fe2.*
+ 2c571: 5219.*
+ 2c572: 0e3f.*
+ 2c573: 6fe2.*
+ 2c574: 521a.*
+ 2c575: 0f3f.*
+ 2c576: 6fe2.*
+ 2c577: 521b.*
+ 2c578: 0f3f.*
+ 2c579: 08e2.*
+ 2c57a: 521c.*
+ 2c57b: 6fe2.*
+ 2c57c: 521d.*
+ 2c57d: 0d20.*
+ 2c57e: 08e2.*
+ 2c57f: 521e.*
+ 2c580: 6fe2.*
+ 2c581: 521f.*
+ 2c582: 0e20.*
+ 2c583: 09e2.*
+ 2c584: 5220.*
+ 2c585: 09e2.*
+ 2c586: 5221.*
+ 2c587: 6fe2.*
+ 2c588: 5222.*
+ 2c589: 0c21.*
+ 2c58a: 6fe2.*
+ 2c58b: 5223.*
+ 2c58c: 0d21.*
+ 2c58d: 6fe2.*
+ 2c58e: 5224.*
+ 2c58f: 0c21.*
+ 2c590: 6fe2.*
+ 2c591: 5225.*
+ 2c592: 0e21.*
+ 2c593: 6fe2.*
+ 2c594: 5226.*
+ 2c595: 0f21.*
+ 2c596: 6fe2.*
+ 2c597: 5227.*
+ 2c598: 0f21.*
+ 2c599: 6fe2.*
+ 2c59a: 5228.*
+ 2c59b: 0c22.*
+ 2c59c: 6fe2.*
+ 2c59d: 5229.*
+ 2c59e: 0d22.*
+ 2c59f: 6fe2.*
+ 2c5a0: 522a.*
+ 2c5a1: 0c22.*
+ 2c5a2: 6fe2.*
+ 2c5a3: 522b.*
+ 2c5a4: 0e22.*
+ 2c5a5: 6fe2.*
+ 2c5a6: 522c.*
+ 2c5a7: 0f22.*
+ 2c5a8: 6fe2.*
+ 2c5a9: 522d.*
+ 2c5aa: 0f22.*
+ 2c5ab: 6fe2.*
+ 2c5ac: 522e.*
+ 2c5ad: 0c23.*
+ 2c5ae: 6fe2.*
+ 2c5af: 522f.*
+ 2c5b0: 0d23.*
+ 2c5b1: 6fe2.*
+ 2c5b2: 5230.*
+ 2c5b3: 0c23.*
+ 2c5b4: 6fe2.*
+ 2c5b5: 5231.*
+ 2c5b6: 0e23.*
+ 2c5b7: 6fe2.*
+ 2c5b8: 5232.*
+ 2c5b9: 0f23.*
+ 2c5ba: 6fe2.*
+ 2c5bb: 5233.*
+ 2c5bc: 0f23.*
+ 2c5bd: 6fe2.*
+ 2c5be: 5234.*
+ 2c5bf: 0c24.*
+ 2c5c0: 6fe2.*
+ 2c5c1: 5235.*
+ 2c5c2: 0d24.*
+ 2c5c3: 6fe2.*
+ 2c5c4: 5236.*
+ 2c5c5: 0c24.*
+ 2c5c6: 6fe2.*
+ 2c5c7: 5237.*
+ 2c5c8: 0e24.*
+ 2c5c9: 6fe2.*
+ 2c5ca: 5238.*
+ 2c5cb: 0f24.*
+ 2c5cc: 6fe2.*
+ 2c5cd: 5239.*
+ 2c5ce: 0f24.*
+ 2c5cf: 6fe2.*
+ 2c5d0: 523a.*
+ 2c5d1: 0c25.*
+ 2c5d2: 6fe2.*
+ 2c5d3: 523b.*
+ 2c5d4: 0d25.*
+ 2c5d5: 6fe2.*
+ 2c5d6: 523c.*
+ 2c5d7: 0c25.*
+ 2c5d8: 6fe2.*
+ 2c5d9: 523d.*
+ 2c5da: 0e25.*
+ 2c5db: 6fe2.*
+ 2c5dc: 523e.*
+ 2c5dd: 0f25.*
+ 2c5de: 6fe2.*
+ 2c5df: 523f.*
+ 2c5e0: 0f25.*
+ 2c5e1: 6fe2.*
+ 2c5e2: 5240.*
+ 2c5e3: 0c26.*
+ 2c5e4: 6fe2.*
+ 2c5e5: 5241.*
+ 2c5e6: 0d26.*
+ 2c5e7: 6fe2.*
+ 2c5e8: 5242.*
+ 2c5e9: 0c26.*
+ 2c5ea: 6fe2.*
+ 2c5eb: 5243.*
+ 2c5ec: 0e26.*
+ 2c5ed: 6fe2.*
+ 2c5ee: 5244.*
+ 2c5ef: 0f26.*
+ 2c5f0: 6fe2.*
+ 2c5f1: 5245.*
+ 2c5f2: 0f26.*
+ 2c5f3: 6fe2.*
+ 2c5f4: 5246.*
+ 2c5f5: 0c27.*
+ 2c5f6: 6fe2.*
+ 2c5f7: 5247.*
+ 2c5f8: 0d27.*
+ 2c5f9: 6fe2.*
+ 2c5fa: 5248.*
+ 2c5fb: 0c27.*
+ 2c5fc: 6fe2.*
+ 2c5fd: 5249.*
+ 2c5fe: 0e27.*
+ 2c5ff: 6fe2.*
+ 2c600: 524a.*
+ 2c601: 0f27.*
+ 2c602: 6fe2.*
+ 2c603: 524b.*
+ 2c604: 0f27.*
+ 2c605: 6fe2.*
+ 2c606: 524c.*
+ 2c607: 0c28.*
+ 2c608: 6fe2.*
+ 2c609: 524d.*
+ 2c60a: 0d28.*
+ 2c60b: 6fe2.*
+ 2c60c: 524e.*
+ 2c60d: 0c28.*
+ 2c60e: 6fe2.*
+ 2c60f: 524f.*
+ 2c610: 0e28.*
+ 2c611: 6fe2.*
+ 2c612: 5250.*
+ 2c613: 0f28.*
+ 2c614: 6fe2.*
+ 2c615: 5251.*
+ 2c616: 0f28.*
+ 2c617: 6fe2.*
+ 2c618: 5252.*
+ 2c619: 0c29.*
+ 2c61a: 6fe2.*
+ 2c61b: 5253.*
+ 2c61c: 0d29.*
+ 2c61d: 6fe2.*
+ 2c61e: 5254.*
+ 2c61f: 0c29.*
+ 2c620: 6fe2.*
+ 2c621: 5255.*
+ 2c622: 0e29.*
+ 2c623: 6fe2.*
+ 2c624: 5256.*
+ 2c625: 0f29.*
+ 2c626: 6fe2.*
+ 2c627: 5257.*
+ 2c628: 0f29.*
+ 2c629: 6fe2.*
+ 2c62a: 5258.*
+ 2c62b: 0c2a.*
+ 2c62c: 6fe2.*
+ 2c62d: 5259.*
+ 2c62e: 0d2a.*
+ 2c62f: 6fe2.*
+ 2c630: 525a.*
+ 2c631: 0c2a.*
+ 2c632: 6fe2.*
+ 2c633: 525b.*
+ 2c634: 0e2a.*
+ 2c635: 6fe2.*
+ 2c636: 525c.*
+ 2c637: 0f2a.*
+ 2c638: 6fe2.*
+ 2c639: 525d.*
+ 2c63a: 0f2a.*
+ 2c63b: 6fe2.*
+ 2c63c: 525e.*
+ 2c63d: 0c2b.*
+ 2c63e: 6fe2.*
+ 2c63f: 525f.*
+ 2c640: 0d2b.*
+ 2c641: 6fe2.*
+ 2c642: 5260.*
+ 2c643: 0c2b.*
+ 2c644: 6fe2.*
+ 2c645: 5261.*
+ 2c646: 0e2b.*
+ 2c647: 6fe2.*
+ 2c648: 5262.*
+ 2c649: 0f2b.*
+ 2c64a: 6fe2.*
+ 2c64b: 5263.*
+ 2c64c: 0f2b.*
+ 2c64d: 6fe2.*
+ 2c64e: 5264.*
+ 2c64f: 0c2c.*
+ 2c650: 6fe2.*
+ 2c651: 5265.*
+ 2c652: 0d2c.*
+ 2c653: 6fe2.*
+ 2c654: 5266.*
+ 2c655: 0c2c.*
+ 2c656: 6fe2.*
+ 2c657: 5267.*
+ 2c658: 0e2c.*
+ 2c659: 6fe2.*
+ 2c65a: 5268.*
+ 2c65b: 0f2c.*
+ 2c65c: 6fe2.*
+ 2c65d: 5269.*
+ 2c65e: 0f2c.*
+ 2c65f: 6fe2.*
+ 2c660: 526a.*
+ 2c661: 0c2d.*
+ 2c662: 6fe2.*
+ 2c663: 526b.*
+ 2c664: 0d2d.*
+ 2c665: 6fe2.*
+ 2c666: 526c.*
+ 2c667: 0c2d.*
+ 2c668: 6fe2.*
+ 2c669: 526d.*
+ 2c66a: 0e2d.*
+ 2c66b: 6fe2.*
+ 2c66c: 526e.*
+ 2c66d: 0f2d.*
+ 2c66e: 6fe2.*
+ 2c66f: 526f.*
+ 2c670: 0f2d.*
+ 2c671: 6fe2.*
+ 2c672: 5270.*
+ 2c673: 0c2e.*
+ 2c674: 6fe2.*
+ 2c675: 5271.*
+ 2c676: 0d2e.*
+ 2c677: 6fe2.*
+ 2c678: 5272.*
+ 2c679: 0c2e.*
+ 2c67a: 6fe2.*
+ 2c67b: 5273.*
+ 2c67c: 0e2e.*
+ 2c67d: 6fe2.*
+ 2c67e: 5274.*
+ 2c67f: 0f2e.*
+ 2c680: 6fe2.*
+ 2c681: 5275.*
+ 2c682: 0f2e.*
+ 2c683: 6fe2.*
+ 2c684: 5276.*
+ 2c685: 0c2f.*
+ 2c686: 6fe2.*
+ 2c687: 5277.*
+ 2c688: 0d2f.*
+ 2c689: 6fe2.*
+ 2c68a: 5278.*
+ 2c68b: 0c2f.*
+ 2c68c: 6fe2.*
+ 2c68d: 5279.*
+ 2c68e: 0e2f.*
+ 2c68f: 6fe2.*
+ 2c690: 527a.*
+ 2c691: 0f2f.*
+ 2c692: 6fe2.*
+ 2c693: 527b.*
+ 2c694: 0f2f.*
+ 2c695: 08ea.*
+ 2c696: 527c.*
+ 2c697: 6fea.*
+ 2c698: 527d.*
+ 2c699: 0d20.*
+ 2c69a: 08ea.*
+ 2c69b: 527e.*
+ 2c69c: 6fea.*
+ 2c69d: 527f.*
+ 2c69e: 0e20.*
+ 2c69f: 09ea.*
+ 2c6a0: 5280.*
+ 2c6a1: 09ea.*
+ 2c6a2: 5281.*
+ 2c6a3: 6fea.*
+ 2c6a4: 5282.*
+ 2c6a5: 0c30.*
+ 2c6a6: 6fea.*
+ 2c6a7: 5283.*
+ 2c6a8: 0d30.*
+ 2c6a9: 6fea.*
+ 2c6aa: 5284.*
+ 2c6ab: 0c30.*
+ 2c6ac: 6fea.*
+ 2c6ad: 5285.*
+ 2c6ae: 0e30.*
+ 2c6af: 6fea.*
+ 2c6b0: 5286.*
+ 2c6b1: 0f30.*
+ 2c6b2: 6fea.*
+ 2c6b3: 5287.*
+ 2c6b4: 0f30.*
+ 2c6b5: 6fea.*
+ 2c6b6: 5288.*
+ 2c6b7: 0c31.*
+ 2c6b8: 6fea.*
+ 2c6b9: 5289.*
+ 2c6ba: 0d31.*
+ 2c6bb: 6fea.*
+ 2c6bc: 528a.*
+ 2c6bd: 0c31.*
+ 2c6be: 6fea.*
+ 2c6bf: 528b.*
+ 2c6c0: 0e31.*
+ 2c6c1: 6fea.*
+ 2c6c2: 528c.*
+ 2c6c3: 0f31.*
+ 2c6c4: 6fea.*
+ 2c6c5: 528d.*
+ 2c6c6: 0f31.*
+ 2c6c7: 6fea.*
+ 2c6c8: 528e.*
+ 2c6c9: 0c32.*
+ 2c6ca: 6fea.*
+ 2c6cb: 528f.*
+ 2c6cc: 0d32.*
+ 2c6cd: 6fea.*
+ 2c6ce: 5290.*
+ 2c6cf: 0c32.*
+ 2c6d0: 6fea.*
+ 2c6d1: 5291.*
+ 2c6d2: 0e32.*
+ 2c6d3: 6fea.*
+ 2c6d4: 5292.*
+ 2c6d5: 0f32.*
+ 2c6d6: 6fea.*
+ 2c6d7: 5293.*
+ 2c6d8: 0f32.*
+ 2c6d9: 6fea.*
+ 2c6da: 5294.*
+ 2c6db: 0c33.*
+ 2c6dc: 6fea.*
+ 2c6dd: 5295.*
+ 2c6de: 0d33.*
+ 2c6df: 6fea.*
+ 2c6e0: 5296.*
+ 2c6e1: 0c33.*
+ 2c6e2: 6fea.*
+ 2c6e3: 5297.*
+ 2c6e4: 0e33.*
+ 2c6e5: 6fea.*
+ 2c6e6: 5298.*
+ 2c6e7: 0f33.*
+ 2c6e8: 6fea.*
+ 2c6e9: 5299.*
+ 2c6ea: 0f33.*
+ 2c6eb: 6fea.*
+ 2c6ec: 529a.*
+ 2c6ed: 0c34.*
+ 2c6ee: 6fea.*
+ 2c6ef: 529b.*
+ 2c6f0: 0d34.*
+ 2c6f1: 6fea.*
+ 2c6f2: 529c.*
+ 2c6f3: 0c34.*
+ 2c6f4: 6fea.*
+ 2c6f5: 529d.*
+ 2c6f6: 0e34.*
+ 2c6f7: 6fea.*
+ 2c6f8: 529e.*
+ 2c6f9: 0f34.*
+ 2c6fa: 6fea.*
+ 2c6fb: 529f.*
+ 2c6fc: 0f34.*
+ 2c6fd: 6fea.*
+ 2c6fe: 52a0.*
+ 2c6ff: 0c35.*
+ 2c700: 6fea.*
+ 2c701: 52a1.*
+ 2c702: 0d35.*
+ 2c703: 6fea.*
+ 2c704: 52a2.*
+ 2c705: 0c35.*
+ 2c706: 6fea.*
+ 2c707: 52a3.*
+ 2c708: 0e35.*
+ 2c709: 6fea.*
+ 2c70a: 52a4.*
+ 2c70b: 0f35.*
+ 2c70c: 6fea.*
+ 2c70d: 52a5.*
+ 2c70e: 0f35.*
+ 2c70f: 6fea.*
+ 2c710: 52a6.*
+ 2c711: 0c36.*
+ 2c712: 6fea.*
+ 2c713: 52a7.*
+ 2c714: 0d36.*
+ 2c715: 6fea.*
+ 2c716: 52a8.*
+ 2c717: 0c36.*
+ 2c718: 6fea.*
+ 2c719: 52a9.*
+ 2c71a: 0e36.*
+ 2c71b: 6fea.*
+ 2c71c: 52aa.*
+ 2c71d: 0f36.*
+ 2c71e: 6fea.*
+ 2c71f: 52ab.*
+ 2c720: 0f36.*
+ 2c721: 6fea.*
+ 2c722: 52ac.*
+ 2c723: 0c37.*
+ 2c724: 6fea.*
+ 2c725: 52ad.*
+ 2c726: 0d37.*
+ 2c727: 6fea.*
+ 2c728: 52ae.*
+ 2c729: 0c37.*
+ 2c72a: 6fea.*
+ 2c72b: 52af.*
+ 2c72c: 0e37.*
+ 2c72d: 6fea.*
+ 2c72e: 52b0.*
+ 2c72f: 0f37.*
+ 2c730: 6fea.*
+ 2c731: 52b1.*
+ 2c732: 0f37.*
+ 2c733: 6fea.*
+ 2c734: 52b2.*
+ 2c735: 0c38.*
+ 2c736: 6fea.*
+ 2c737: 52b3.*
+ 2c738: 0d38.*
+ 2c739: 6fea.*
+ 2c73a: 52b4.*
+ 2c73b: 0c38.*
+ 2c73c: 6fea.*
+ 2c73d: 52b5.*
+ 2c73e: 0e38.*
+ 2c73f: 6fea.*
+ 2c740: 52b6.*
+ 2c741: 0f38.*
+ 2c742: 6fea.*
+ 2c743: 52b7.*
+ 2c744: 0f38.*
+ 2c745: 6fea.*
+ 2c746: 52b8.*
+ 2c747: 0c39.*
+ 2c748: 6fea.*
+ 2c749: 52b9.*
+ 2c74a: 0d39.*
+ 2c74b: 6fea.*
+ 2c74c: 52ba.*
+ 2c74d: 0c39.*
+ 2c74e: 6fea.*
+ 2c74f: 52bb.*
+ 2c750: 0e39.*
+ 2c751: 6fea.*
+ 2c752: 52bc.*
+ 2c753: 0f39.*
+ 2c754: 6fea.*
+ 2c755: 52bd.*
+ 2c756: 0f39.*
+ 2c757: 6fea.*
+ 2c758: 52be.*
+ 2c759: 0c3a.*
+ 2c75a: 6fea.*
+ 2c75b: 52bf.*
+ 2c75c: 0d3a.*
+ 2c75d: 6fea.*
+ 2c75e: 52c0.*
+ 2c75f: 0c3a.*
+ 2c760: 6fea.*
+ 2c761: 52c1.*
+ 2c762: 0e3a.*
+ 2c763: 6fea.*
+ 2c764: 52c2.*
+ 2c765: 0f3a.*
+ 2c766: 6fea.*
+ 2c767: 52c3.*
+ 2c768: 0f3a.*
+ 2c769: 6fea.*
+ 2c76a: 52c4.*
+ 2c76b: 0c3b.*
+ 2c76c: 6fea.*
+ 2c76d: 52c5.*
+ 2c76e: 0d3b.*
+ 2c76f: 6fea.*
+ 2c770: 52c6.*
+ 2c771: 0c3b.*
+ 2c772: 6fea.*
+ 2c773: 52c7.*
+ 2c774: 0e3b.*
+ 2c775: 6fea.*
+ 2c776: 52c8.*
+ 2c777: 0f3b.*
+ 2c778: 6fea.*
+ 2c779: 52c9.*
+ 2c77a: 0f3b.*
+ 2c77b: 6fea.*
+ 2c77c: 52ca.*
+ 2c77d: 0c3c.*
+ 2c77e: 6fea.*
+ 2c77f: 52cb.*
+ 2c780: 0d3c.*
+ 2c781: 6fea.*
+ 2c782: 52cc.*
+ 2c783: 0c3c.*
+ 2c784: 6fea.*
+ 2c785: 52cd.*
+ 2c786: 0e3c.*
+ 2c787: 6fea.*
+ 2c788: 52ce.*
+ 2c789: 0f3c.*
+ 2c78a: 6fea.*
+ 2c78b: 52cf.*
+ 2c78c: 0f3c.*
+ 2c78d: 6fea.*
+ 2c78e: 52d0.*
+ 2c78f: 0c3d.*
+ 2c790: 6fea.*
+ 2c791: 52d1.*
+ 2c792: 0d3d.*
+ 2c793: 6fea.*
+ 2c794: 52d2.*
+ 2c795: 0c3d.*
+ 2c796: 6fea.*
+ 2c797: 52d3.*
+ 2c798: 0e3d.*
+ 2c799: 6fea.*
+ 2c79a: 52d4.*
+ 2c79b: 0f3d.*
+ 2c79c: 6fea.*
+ 2c79d: 52d5.*
+ 2c79e: 0f3d.*
+ 2c79f: 6fea.*
+ 2c7a0: 52d6.*
+ 2c7a1: 0c3e.*
+ 2c7a2: 6fea.*
+ 2c7a3: 52d7.*
+ 2c7a4: 0d3e.*
+ 2c7a5: 6fea.*
+ 2c7a6: 52d8.*
+ 2c7a7: 0c3e.*
+ 2c7a8: 6fea.*
+ 2c7a9: 52d9.*
+ 2c7aa: 0e3e.*
+ 2c7ab: 6fea.*
+ 2c7ac: 52da.*
+ 2c7ad: 0f3e.*
+ 2c7ae: 6fea.*
+ 2c7af: 52db.*
+ 2c7b0: 0f3e.*
+ 2c7b1: 6fea.*
+ 2c7b2: 52dc.*
+ 2c7b3: 0c3f.*
+ 2c7b4: 6fea.*
+ 2c7b5: 52dd.*
+ 2c7b6: 0d3f.*
+ 2c7b7: 6fea.*
+ 2c7b8: 52de.*
+ 2c7b9: 0c3f.*
+ 2c7ba: 6fea.*
+ 2c7bb: 52df.*
+ 2c7bc: 0e3f.*
+ 2c7bd: 6fea.*
+ 2c7be: 52e0.*
+ 2c7bf: 0f3f.*
+ 2c7c0: 6fea.*
+ 2c7c1: 52e1.*
+ 2c7c2: 0f3f.*
+ 2c7c3: 08ea.*
+ 2c7c4: 52e2.*
+ 2c7c5: 6fea.*
+ 2c7c6: 52e3.*
+ 2c7c7: 0d20.*
+ 2c7c8: 08ea.*
+ 2c7c9: 52e4.*
+ 2c7ca: 6fea.*
+ 2c7cb: 52e5.*
+ 2c7cc: 0e20.*
+ 2c7cd: 09ea.*
+ 2c7ce: 52e6.*
+ 2c7cf: 09ea.*
+ 2c7d0: 52e7.*
+ 2c7d1: 6fea.*
+ 2c7d2: 52e8.*
+ 2c7d3: 0c21.*
+ 2c7d4: 6fea.*
+ 2c7d5: 52e9.*
+ 2c7d6: 0d21.*
+ 2c7d7: 6fea.*
+ 2c7d8: 52ea.*
+ 2c7d9: 0c21.*
+ 2c7da: 6fea.*
+ 2c7db: 52eb.*
+ 2c7dc: 0e21.*
+ 2c7dd: 6fea.*
+ 2c7de: 52ec.*
+ 2c7df: 0f21.*
+ 2c7e0: 6fea.*
+ 2c7e1: 52ed.*
+ 2c7e2: 0f21.*
+ 2c7e3: 6fea.*
+ 2c7e4: 52ee.*
+ 2c7e5: 0c22.*
+ 2c7e6: 6fea.*
+ 2c7e7: 52ef.*
+ 2c7e8: 0d22.*
+ 2c7e9: 6fea.*
+ 2c7ea: 52f0.*
+ 2c7eb: 0c22.*
+ 2c7ec: 6fea.*
+ 2c7ed: 52f1.*
+ 2c7ee: 0e22.*
+ 2c7ef: 6fea.*
+ 2c7f0: 52f2.*
+ 2c7f1: 0f22.*
+ 2c7f2: 6fea.*
+ 2c7f3: 52f3.*
+ 2c7f4: 0f22.*
+ 2c7f5: 6fea.*
+ 2c7f6: 52f4.*
+ 2c7f7: 0c23.*
+ 2c7f8: 6fea.*
+ 2c7f9: 52f5.*
+ 2c7fa: 0d23.*
+ 2c7fb: 6fea.*
+ 2c7fc: 52f6.*
+ 2c7fd: 0c23.*
+ 2c7fe: 6fea.*
+ 2c7ff: 52f7.*
+ 2c800: 0e23.*
+ 2c801: 6fea.*
+ 2c802: 52f8.*
+ 2c803: 0f23.*
+ 2c804: 6fea.*
+ 2c805: 52f9.*
+ 2c806: 0f23.*
+ 2c807: 6fea.*
+ 2c808: 52fa.*
+ 2c809: 0c24.*
+ 2c80a: 6fea.*
+ 2c80b: 52fb.*
+ 2c80c: 0d24.*
+ 2c80d: 6fea.*
+ 2c80e: 52fc.*
+ 2c80f: 0c24.*
+ 2c810: 6fea.*
+ 2c811: 52fd.*
+ 2c812: 0e24.*
+ 2c813: 6fea.*
+ 2c814: 52fe.*
+ 2c815: 0f24.*
+ 2c816: 6fea.*
+ 2c817: 52ff.*
+ 2c818: 0f24.*
+ 2c819: 6fea.*
+ 2c81a: 5300.*
+ 2c81b: 0c25.*
+ 2c81c: 6fea.*
+ 2c81d: 5301.*
+ 2c81e: 0d25.*
+ 2c81f: 6fea.*
+ 2c820: 5302.*
+ 2c821: 0c25.*
+ 2c822: 6fea.*
+ 2c823: 5303.*
+ 2c824: 0e25.*
+ 2c825: 6fea.*
+ 2c826: 5304.*
+ 2c827: 0f25.*
+ 2c828: 6fea.*
+ 2c829: 5305.*
+ 2c82a: 0f25.*
+ 2c82b: 6fea.*
+ 2c82c: 5306.*
+ 2c82d: 0c26.*
+ 2c82e: 6fea.*
+ 2c82f: 5307.*
+ 2c830: 0d26.*
+ 2c831: 6fea.*
+ 2c832: 5308.*
+ 2c833: 0c26.*
+ 2c834: 6fea.*
+ 2c835: 5309.*
+ 2c836: 0e26.*
+ 2c837: 6fea.*
+ 2c838: 530a.*
+ 2c839: 0f26.*
+ 2c83a: 6fea.*
+ 2c83b: 530b.*
+ 2c83c: 0f26.*
+ 2c83d: 6fea.*
+ 2c83e: 530c.*
+ 2c83f: 0c27.*
+ 2c840: 6fea.*
+ 2c841: 530d.*
+ 2c842: 0d27.*
+ 2c843: 6fea.*
+ 2c844: 530e.*
+ 2c845: 0c27.*
+ 2c846: 6fea.*
+ 2c847: 530f.*
+ 2c848: 0e27.*
+ 2c849: 6fea.*
+ 2c84a: 5310.*
+ 2c84b: 0f27.*
+ 2c84c: 6fea.*
+ 2c84d: 5311.*
+ 2c84e: 0f27.*
+ 2c84f: 6fea.*
+ 2c850: 5312.*
+ 2c851: 0c28.*
+ 2c852: 6fea.*
+ 2c853: 5313.*
+ 2c854: 0d28.*
+ 2c855: 6fea.*
+ 2c856: 5314.*
+ 2c857: 0c28.*
+ 2c858: 6fea.*
+ 2c859: 5315.*
+ 2c85a: 0e28.*
+ 2c85b: 6fea.*
+ 2c85c: 5316.*
+ 2c85d: 0f28.*
+ 2c85e: 6fea.*
+ 2c85f: 5317.*
+ 2c860: 0f28.*
+ 2c861: 6fea.*
+ 2c862: 5318.*
+ 2c863: 0c29.*
+ 2c864: 6fea.*
+ 2c865: 5319.*
+ 2c866: 0d29.*
+ 2c867: 6fea.*
+ 2c868: 531a.*
+ 2c869: 0c29.*
+ 2c86a: 6fea.*
+ 2c86b: 531b.*
+ 2c86c: 0e29.*
+ 2c86d: 6fea.*
+ 2c86e: 531c.*
+ 2c86f: 0f29.*
+ 2c870: 6fea.*
+ 2c871: 531d.*
+ 2c872: 0f29.*
+ 2c873: 6fea.*
+ 2c874: 531e.*
+ 2c875: 0c2a.*
+ 2c876: 6fea.*
+ 2c877: 531f.*
+ 2c878: 0d2a.*
+ 2c879: 6fea.*
+ 2c87a: 5320.*
+ 2c87b: 0c2a.*
+ 2c87c: 6fea.*
+ 2c87d: 5321.*
+ 2c87e: 0e2a.*
+ 2c87f: 6fea.*
+ 2c880: 5322.*
+ 2c881: 0f2a.*
+ 2c882: 6fea.*
+ 2c883: 5323.*
+ 2c884: 0f2a.*
+ 2c885: 6fea.*
+ 2c886: 5324.*
+ 2c887: 0c2b.*
+ 2c888: 6fea.*
+ 2c889: 5325.*
+ 2c88a: 0d2b.*
+ 2c88b: 6fea.*
+ 2c88c: 5326.*
+ 2c88d: 0c2b.*
+ 2c88e: 6fea.*
+ 2c88f: 5327.*
+ 2c890: 0e2b.*
+ 2c891: 6fea.*
+ 2c892: 5328.*
+ 2c893: 0f2b.*
+ 2c894: 6fea.*
+ 2c895: 5329.*
+ 2c896: 0f2b.*
+ 2c897: 6fea.*
+ 2c898: 532a.*
+ 2c899: 0c2c.*
+ 2c89a: 6fea.*
+ 2c89b: 532b.*
+ 2c89c: 0d2c.*
+ 2c89d: 6fea.*
+ 2c89e: 532c.*
+ 2c89f: 0c2c.*
+ 2c8a0: 6fea.*
+ 2c8a1: 532d.*
+ 2c8a2: 0e2c.*
+ 2c8a3: 6fea.*
+ 2c8a4: 532e.*
+ 2c8a5: 0f2c.*
+ 2c8a6: 6fea.*
+ 2c8a7: 532f.*
+ 2c8a8: 0f2c.*
+ 2c8a9: 6fea.*
+ 2c8aa: 5330.*
+ 2c8ab: 0c2d.*
+ 2c8ac: 6fea.*
+ 2c8ad: 5331.*
+ 2c8ae: 0d2d.*
+ 2c8af: 6fea.*
+ 2c8b0: 5332.*
+ 2c8b1: 0c2d.*
+ 2c8b2: 6fea.*
+ 2c8b3: 5333.*
+ 2c8b4: 0e2d.*
+ 2c8b5: 6fea.*
+ 2c8b6: 5334.*
+ 2c8b7: 0f2d.*
+ 2c8b8: 6fea.*
+ 2c8b9: 5335.*
+ 2c8ba: 0f2d.*
+ 2c8bb: 6fea.*
+ 2c8bc: 5336.*
+ 2c8bd: 0c2e.*
+ 2c8be: 6fea.*
+ 2c8bf: 5337.*
+ 2c8c0: 0d2e.*
+ 2c8c1: 6fea.*
+ 2c8c2: 5338.*
+ 2c8c3: 0c2e.*
+ 2c8c4: 6fea.*
+ 2c8c5: 5339.*
+ 2c8c6: 0e2e.*
+ 2c8c7: 6fea.*
+ 2c8c8: 533a.*
+ 2c8c9: 0f2e.*
+ 2c8ca: 6fea.*
+ 2c8cb: 533b.*
+ 2c8cc: 0f2e.*
+ 2c8cd: 6fea.*
+ 2c8ce: 533c.*
+ 2c8cf: 0c2f.*
+ 2c8d0: 6fea.*
+ 2c8d1: 533d.*
+ 2c8d2: 0d2f.*
+ 2c8d3: 6fea.*
+ 2c8d4: 533e.*
+ 2c8d5: 0c2f.*
+ 2c8d6: 6fea.*
+ 2c8d7: 533f.*
+ 2c8d8: 0e2f.*
+ 2c8d9: 6fea.*
+ 2c8da: 5340.*
+ 2c8db: 0f2f.*
+ 2c8dc: 6fea.*
+ 2c8dd: 5341.*
+ 2c8de: 0f2f.*
+ 2c8df: 08f2.*
+ 2c8e0: 5342.*
+ 2c8e1: 6ff2.*
+ 2c8e2: 5343.*
+ 2c8e3: 0d20.*
+ 2c8e4: 08f2.*
+ 2c8e5: 5344.*
+ 2c8e6: 6ff2.*
+ 2c8e7: 5345.*
+ 2c8e8: 0e20.*
+ 2c8e9: 09f2.*
+ 2c8ea: 5346.*
+ 2c8eb: 09f2.*
+ 2c8ec: 5347.*
+ 2c8ed: 6ff2.*
+ 2c8ee: 5348.*
+ 2c8ef: 0c30.*
+ 2c8f0: 6ff2.*
+ 2c8f1: 5349.*
+ 2c8f2: 0d30.*
+ 2c8f3: 6ff2.*
+ 2c8f4: 534a.*
+ 2c8f5: 0c30.*
+ 2c8f6: 6ff2.*
+ 2c8f7: 534b.*
+ 2c8f8: 0e30.*
+ 2c8f9: 6ff2.*
+ 2c8fa: 534c.*
+ 2c8fb: 0f30.*
+ 2c8fc: 6ff2.*
+ 2c8fd: 534d.*
+ 2c8fe: 0f30.*
+ 2c8ff: 6ff2.*
+ 2c900: 534e.*
+ 2c901: 0c31.*
+ 2c902: 6ff2.*
+ 2c903: 534f.*
+ 2c904: 0d31.*
+ 2c905: 6ff2.*
+ 2c906: 5350.*
+ 2c907: 0c31.*
+ 2c908: 6ff2.*
+ 2c909: 5351.*
+ 2c90a: 0e31.*
+ 2c90b: 6ff2.*
+ 2c90c: 5352.*
+ 2c90d: 0f31.*
+ 2c90e: 6ff2.*
+ 2c90f: 5353.*
+ 2c910: 0f31.*
+ 2c911: 6ff2.*
+ 2c912: 5354.*
+ 2c913: 0c32.*
+ 2c914: 6ff2.*
+ 2c915: 5355.*
+ 2c916: 0d32.*
+ 2c917: 6ff2.*
+ 2c918: 5356.*
+ 2c919: 0c32.*
+ 2c91a: 6ff2.*
+ 2c91b: 5357.*
+ 2c91c: 0e32.*
+ 2c91d: 6ff2.*
+ 2c91e: 5358.*
+ 2c91f: 0f32.*
+ 2c920: 6ff2.*
+ 2c921: 5359.*
+ 2c922: 0f32.*
+ 2c923: 6ff2.*
+ 2c924: 535a.*
+ 2c925: 0c33.*
+ 2c926: 6ff2.*
+ 2c927: 535b.*
+ 2c928: 0d33.*
+ 2c929: 6ff2.*
+ 2c92a: 535c.*
+ 2c92b: 0c33.*
+ 2c92c: 6ff2.*
+ 2c92d: 535d.*
+ 2c92e: 0e33.*
+ 2c92f: 6ff2.*
+ 2c930: 535e.*
+ 2c931: 0f33.*
+ 2c932: 6ff2.*
+ 2c933: 535f.*
+ 2c934: 0f33.*
+ 2c935: 6ff2.*
+ 2c936: 5360.*
+ 2c937: 0c34.*
+ 2c938: 6ff2.*
+ 2c939: 5361.*
+ 2c93a: 0d34.*
+ 2c93b: 6ff2.*
+ 2c93c: 5362.*
+ 2c93d: 0c34.*
+ 2c93e: 6ff2.*
+ 2c93f: 5363.*
+ 2c940: 0e34.*
+ 2c941: 6ff2.*
+ 2c942: 5364.*
+ 2c943: 0f34.*
+ 2c944: 6ff2.*
+ 2c945: 5365.*
+ 2c946: 0f34.*
+ 2c947: 6ff2.*
+ 2c948: 5366.*
+ 2c949: 0c35.*
+ 2c94a: 6ff2.*
+ 2c94b: 5367.*
+ 2c94c: 0d35.*
+ 2c94d: 6ff2.*
+ 2c94e: 5368.*
+ 2c94f: 0c35.*
+ 2c950: 6ff2.*
+ 2c951: 5369.*
+ 2c952: 0e35.*
+ 2c953: 6ff2.*
+ 2c954: 536a.*
+ 2c955: 0f35.*
+ 2c956: 6ff2.*
+ 2c957: 536b.*
+ 2c958: 0f35.*
+ 2c959: 6ff2.*
+ 2c95a: 536c.*
+ 2c95b: 0c36.*
+ 2c95c: 6ff2.*
+ 2c95d: 536d.*
+ 2c95e: 0d36.*
+ 2c95f: 6ff2.*
+ 2c960: 536e.*
+ 2c961: 0c36.*
+ 2c962: 6ff2.*
+ 2c963: 536f.*
+ 2c964: 0e36.*
+ 2c965: 6ff2.*
+ 2c966: 5370.*
+ 2c967: 0f36.*
+ 2c968: 6ff2.*
+ 2c969: 5371.*
+ 2c96a: 0f36.*
+ 2c96b: 6ff2.*
+ 2c96c: 5372.*
+ 2c96d: 0c37.*
+ 2c96e: 6ff2.*
+ 2c96f: 5373.*
+ 2c970: 0d37.*
+ 2c971: 6ff2.*
+ 2c972: 5374.*
+ 2c973: 0c37.*
+ 2c974: 6ff2.*
+ 2c975: 5375.*
+ 2c976: 0e37.*
+ 2c977: 6ff2.*
+ 2c978: 5376.*
+ 2c979: 0f37.*
+ 2c97a: 6ff2.*
+ 2c97b: 5377.*
+ 2c97c: 0f37.*
+ 2c97d: 6ff2.*
+ 2c97e: 5378.*
+ 2c97f: 0c38.*
+ 2c980: 6ff2.*
+ 2c981: 5379.*
+ 2c982: 0d38.*
+ 2c983: 6ff2.*
+ 2c984: 537a.*
+ 2c985: 0c38.*
+ 2c986: 6ff2.*
+ 2c987: 537b.*
+ 2c988: 0e38.*
+ 2c989: 6ff2.*
+ 2c98a: 537c.*
+ 2c98b: 0f38.*
+ 2c98c: 6ff2.*
+ 2c98d: 537d.*
+ 2c98e: 0f38.*
+ 2c98f: 6ff2.*
+ 2c990: 537e.*
+ 2c991: 0c39.*
+ 2c992: 6ff2.*
+ 2c993: 537f.*
+ 2c994: 0d39.*
+ 2c995: 6ff2.*
+ 2c996: 5380.*
+ 2c997: 0c39.*
+ 2c998: 6ff2.*
+ 2c999: 5381.*
+ 2c99a: 0e39.*
+ 2c99b: 6ff2.*
+ 2c99c: 5382.*
+ 2c99d: 0f39.*
+ 2c99e: 6ff2.*
+ 2c99f: 5383.*
+ 2c9a0: 0f39.*
+ 2c9a1: 6ff2.*
+ 2c9a2: 5384.*
+ 2c9a3: 0c3a.*
+ 2c9a4: 6ff2.*
+ 2c9a5: 5385.*
+ 2c9a6: 0d3a.*
+ 2c9a7: 6ff2.*
+ 2c9a8: 5386.*
+ 2c9a9: 0c3a.*
+ 2c9aa: 6ff2.*
+ 2c9ab: 5387.*
+ 2c9ac: 0e3a.*
+ 2c9ad: 6ff2.*
+ 2c9ae: 5388.*
+ 2c9af: 0f3a.*
+ 2c9b0: 6ff2.*
+ 2c9b1: 5389.*
+ 2c9b2: 0f3a.*
+ 2c9b3: 6ff2.*
+ 2c9b4: 538a.*
+ 2c9b5: 0c3b.*
+ 2c9b6: 6ff2.*
+ 2c9b7: 538b.*
+ 2c9b8: 0d3b.*
+ 2c9b9: 6ff2.*
+ 2c9ba: 538c.*
+ 2c9bb: 0c3b.*
+ 2c9bc: 6ff2.*
+ 2c9bd: 538d.*
+ 2c9be: 0e3b.*
+ 2c9bf: 6ff2.*
+ 2c9c0: 538e.*
+ 2c9c1: 0f3b.*
+ 2c9c2: 6ff2.*
+ 2c9c3: 538f.*
+ 2c9c4: 0f3b.*
+ 2c9c5: 6ff2.*
+ 2c9c6: 5390.*
+ 2c9c7: 0c3c.*
+ 2c9c8: 6ff2.*
+ 2c9c9: 5391.*
+ 2c9ca: 0d3c.*
+ 2c9cb: 6ff2.*
+ 2c9cc: 5392.*
+ 2c9cd: 0c3c.*
+ 2c9ce: 6ff2.*
+ 2c9cf: 5393.*
+ 2c9d0: 0e3c.*
+ 2c9d1: 6ff2.*
+ 2c9d2: 5394.*
+ 2c9d3: 0f3c.*
+ 2c9d4: 6ff2.*
+ 2c9d5: 5395.*
+ 2c9d6: 0f3c.*
+ 2c9d7: 6ff2.*
+ 2c9d8: 5396.*
+ 2c9d9: 0c3d.*
+ 2c9da: 6ff2.*
+ 2c9db: 5397.*
+ 2c9dc: 0d3d.*
+ 2c9dd: 6ff2.*
+ 2c9de: 5398.*
+ 2c9df: 0c3d.*
+ 2c9e0: 6ff2.*
+ 2c9e1: 5399.*
+ 2c9e2: 0e3d.*
+ 2c9e3: 6ff2.*
+ 2c9e4: 539a.*
+ 2c9e5: 0f3d.*
+ 2c9e6: 6ff2.*
+ 2c9e7: 539b.*
+ 2c9e8: 0f3d.*
+ 2c9e9: 6ff2.*
+ 2c9ea: 539c.*
+ 2c9eb: 0c3e.*
+ 2c9ec: 6ff2.*
+ 2c9ed: 539d.*
+ 2c9ee: 0d3e.*
+ 2c9ef: 6ff2.*
+ 2c9f0: 539e.*
+ 2c9f1: 0c3e.*
+ 2c9f2: 6ff2.*
+ 2c9f3: 539f.*
+ 2c9f4: 0e3e.*
+ 2c9f5: 6ff2.*
+ 2c9f6: 53a0.*
+ 2c9f7: 0f3e.*
+ 2c9f8: 6ff2.*
+ 2c9f9: 53a1.*
+ 2c9fa: 0f3e.*
+ 2c9fb: 6ff2.*
+ 2c9fc: 53a2.*
+ 2c9fd: 0c3f.*
+ 2c9fe: 6ff2.*
+ 2c9ff: 53a3.*
+ 2ca00: 0d3f.*
+ 2ca01: 6ff2.*
+ 2ca02: 53a4.*
+ 2ca03: 0c3f.*
+ 2ca04: 6ff2.*
+ 2ca05: 53a5.*
+ 2ca06: 0e3f.*
+ 2ca07: 6ff2.*
+ 2ca08: 53a6.*
+ 2ca09: 0f3f.*
+ 2ca0a: 6ff2.*
+ 2ca0b: 53a7.*
+ 2ca0c: 0f3f.*
+ 2ca0d: 08f2.*
+ 2ca0e: 53a8.*
+ 2ca0f: 6ff2.*
+ 2ca10: 53a9.*
+ 2ca11: 0d20.*
+ 2ca12: 08f2.*
+ 2ca13: 53aa.*
+ 2ca14: 6ff2.*
+ 2ca15: 53ab.*
+ 2ca16: 0e20.*
+ 2ca17: 09f2.*
+ 2ca18: 53ac.*
+ 2ca19: 09f2.*
+ 2ca1a: 53ad.*
+ 2ca1b: 6ff2.*
+ 2ca1c: 53ae.*
+ 2ca1d: 0c21.*
+ 2ca1e: 6ff2.*
+ 2ca1f: 53af.*
+ 2ca20: 0d21.*
+ 2ca21: 6ff2.*
+ 2ca22: 53b0.*
+ 2ca23: 0c21.*
+ 2ca24: 6ff2.*
+ 2ca25: 53b1.*
+ 2ca26: 0e21.*
+ 2ca27: 6ff2.*
+ 2ca28: 53b2.*
+ 2ca29: 0f21.*
+ 2ca2a: 6ff2.*
+ 2ca2b: 53b3.*
+ 2ca2c: 0f21.*
+ 2ca2d: 6ff2.*
+ 2ca2e: 53b4.*
+ 2ca2f: 0c22.*
+ 2ca30: 6ff2.*
+ 2ca31: 53b5.*
+ 2ca32: 0d22.*
+ 2ca33: 6ff2.*
+ 2ca34: 53b6.*
+ 2ca35: 0c22.*
+ 2ca36: 6ff2.*
+ 2ca37: 53b7.*
+ 2ca38: 0e22.*
+ 2ca39: 6ff2.*
+ 2ca3a: 53b8.*
+ 2ca3b: 0f22.*
+ 2ca3c: 6ff2.*
+ 2ca3d: 53b9.*
+ 2ca3e: 0f22.*
+ 2ca3f: 6ff2.*
+ 2ca40: 53ba.*
+ 2ca41: 0c23.*
+ 2ca42: 6ff2.*
+ 2ca43: 53bb.*
+ 2ca44: 0d23.*
+ 2ca45: 6ff2.*
+ 2ca46: 53bc.*
+ 2ca47: 0c23.*
+ 2ca48: 6ff2.*
+ 2ca49: 53bd.*
+ 2ca4a: 0e23.*
+ 2ca4b: 6ff2.*
+ 2ca4c: 53be.*
+ 2ca4d: 0f23.*
+ 2ca4e: 6ff2.*
+ 2ca4f: 53bf.*
+ 2ca50: 0f23.*
+ 2ca51: 6ff2.*
+ 2ca52: 53c0.*
+ 2ca53: 0c24.*
+ 2ca54: 6ff2.*
+ 2ca55: 53c1.*
+ 2ca56: 0d24.*
+ 2ca57: 6ff2.*
+ 2ca58: 53c2.*
+ 2ca59: 0c24.*
+ 2ca5a: 6ff2.*
+ 2ca5b: 53c3.*
+ 2ca5c: 0e24.*
+ 2ca5d: 6ff2.*
+ 2ca5e: 53c4.*
+ 2ca5f: 0f24.*
+ 2ca60: 6ff2.*
+ 2ca61: 53c5.*
+ 2ca62: 0f24.*
+ 2ca63: 6ff2.*
+ 2ca64: 53c6.*
+ 2ca65: 0c25.*
+ 2ca66: 6ff2.*
+ 2ca67: 53c7.*
+ 2ca68: 0d25.*
+ 2ca69: 6ff2.*
+ 2ca6a: 53c8.*
+ 2ca6b: 0c25.*
+ 2ca6c: 6ff2.*
+ 2ca6d: 53c9.*
+ 2ca6e: 0e25.*
+ 2ca6f: 6ff2.*
+ 2ca70: 53ca.*
+ 2ca71: 0f25.*
+ 2ca72: 6ff2.*
+ 2ca73: 53cb.*
+ 2ca74: 0f25.*
+ 2ca75: 6ff2.*
+ 2ca76: 53cc.*
+ 2ca77: 0c26.*
+ 2ca78: 6ff2.*
+ 2ca79: 53cd.*
+ 2ca7a: 0d26.*
+ 2ca7b: 6ff2.*
+ 2ca7c: 53ce.*
+ 2ca7d: 0c26.*
+ 2ca7e: 6ff2.*
+ 2ca7f: 53cf.*
+ 2ca80: 0e26.*
+ 2ca81: 6ff2.*
+ 2ca82: 53d0.*
+ 2ca83: 0f26.*
+ 2ca84: 6ff2.*
+ 2ca85: 53d1.*
+ 2ca86: 0f26.*
+ 2ca87: 6ff2.*
+ 2ca88: 53d2.*
+ 2ca89: 0c27.*
+ 2ca8a: 6ff2.*
+ 2ca8b: 53d3.*
+ 2ca8c: 0d27.*
+ 2ca8d: 6ff2.*
+ 2ca8e: 53d4.*
+ 2ca8f: 0c27.*
+ 2ca90: 6ff2.*
+ 2ca91: 53d5.*
+ 2ca92: 0e27.*
+ 2ca93: 6ff2.*
+ 2ca94: 53d6.*
+ 2ca95: 0f27.*
+ 2ca96: 6ff2.*
+ 2ca97: 53d7.*
+ 2ca98: 0f27.*
+ 2ca99: 6ff2.*
+ 2ca9a: 53d8.*
+ 2ca9b: 0c28.*
+ 2ca9c: 6ff2.*
+ 2ca9d: 53d9.*
+ 2ca9e: 0d28.*
+ 2ca9f: 6ff2.*
+ 2caa0: 53da.*
+ 2caa1: 0c28.*
+ 2caa2: 6ff2.*
+ 2caa3: 53db.*
+ 2caa4: 0e28.*
+ 2caa5: 6ff2.*
+ 2caa6: 53dc.*
+ 2caa7: 0f28.*
+ 2caa8: 6ff2.*
+ 2caa9: 53dd.*
+ 2caaa: 0f28.*
+ 2caab: 6ff2.*
+ 2caac: 53de.*
+ 2caad: 0c29.*
+ 2caae: 6ff2.*
+ 2caaf: 53df.*
+ 2cab0: 0d29.*
+ 2cab1: 6ff2.*
+ 2cab2: 53e0.*
+ 2cab3: 0c29.*
+ 2cab4: 6ff2.*
+ 2cab5: 53e1.*
+ 2cab6: 0e29.*
+ 2cab7: 6ff2.*
+ 2cab8: 53e2.*
+ 2cab9: 0f29.*
+ 2caba: 6ff2.*
+ 2cabb: 53e3.*
+ 2cabc: 0f29.*
+ 2cabd: 6ff2.*
+ 2cabe: 53e4.*
+ 2cabf: 0c2a.*
+ 2cac0: 6ff2.*
+ 2cac1: 53e5.*
+ 2cac2: 0d2a.*
+ 2cac3: 6ff2.*
+ 2cac4: 53e6.*
+ 2cac5: 0c2a.*
+ 2cac6: 6ff2.*
+ 2cac7: 53e7.*
+ 2cac8: 0e2a.*
+ 2cac9: 6ff2.*
+ 2caca: 53e8.*
+ 2cacb: 0f2a.*
+ 2cacc: 6ff2.*
+ 2cacd: 53e9.*
+ 2cace: 0f2a.*
+ 2cacf: 6ff2.*
+ 2cad0: 53ea.*
+ 2cad1: 0c2b.*
+ 2cad2: 6ff2.*
+ 2cad3: 53eb.*
+ 2cad4: 0d2b.*
+ 2cad5: 6ff2.*
+ 2cad6: 53ec.*
+ 2cad7: 0c2b.*
+ 2cad8: 6ff2.*
+ 2cad9: 53ed.*
+ 2cada: 0e2b.*
+ 2cadb: 6ff2.*
+ 2cadc: 53ee.*
+ 2cadd: 0f2b.*
+ 2cade: 6ff2.*
+ 2cadf: 53ef.*
+ 2cae0: 0f2b.*
+ 2cae1: 6ff2.*
+ 2cae2: 53f0.*
+ 2cae3: 0c2c.*
+ 2cae4: 6ff2.*
+ 2cae5: 53f1.*
+ 2cae6: 0d2c.*
+ 2cae7: 6ff2.*
+ 2cae8: 53f2.*
+ 2cae9: 0c2c.*
+ 2caea: 6ff2.*
+ 2caeb: 53f3.*
+ 2caec: 0e2c.*
+ 2caed: 6ff2.*
+ 2caee: 53f4.*
+ 2caef: 0f2c.*
+ 2caf0: 6ff2.*
+ 2caf1: 53f5.*
+ 2caf2: 0f2c.*
+ 2caf3: 6ff2.*
+ 2caf4: 53f6.*
+ 2caf5: 0c2d.*
+ 2caf6: 6ff2.*
+ 2caf7: 53f7.*
+ 2caf8: 0d2d.*
+ 2caf9: 6ff2.*
+ 2cafa: 53f8.*
+ 2cafb: 0c2d.*
+ 2cafc: 6ff2.*
+ 2cafd: 53f9.*
+ 2cafe: 0e2d.*
+ 2caff: 6ff2.*
+ 2cb00: 53fa.*
+ 2cb01: 0f2d.*
+ 2cb02: 6ff2.*
+ 2cb03: 53fb.*
+ 2cb04: 0f2d.*
+ 2cb05: 6ff2.*
+ 2cb06: 53fc.*
+ 2cb07: 0c2e.*
+ 2cb08: 6ff2.*
+ 2cb09: 53fd.*
+ 2cb0a: 0d2e.*
+ 2cb0b: 6ff2.*
+ 2cb0c: 53fe.*
+ 2cb0d: 0c2e.*
+ 2cb0e: 6ff2.*
+ 2cb0f: 53ff.*
+ 2cb10: 0e2e.*
+ 2cb11: 6ff2.*
+ 2cb12: 5400.*
+ 2cb13: 0f2e.*
+ 2cb14: 6ff2.*
+ 2cb15: 5401.*
+ 2cb16: 0f2e.*
+ 2cb17: 6ff2.*
+ 2cb18: 5402.*
+ 2cb19: 0c2f.*
+ 2cb1a: 6ff2.*
+ 2cb1b: 5403.*
+ 2cb1c: 0d2f.*
+ 2cb1d: 6ff2.*
+ 2cb1e: 5404.*
+ 2cb1f: 0c2f.*
+ 2cb20: 6ff2.*
+ 2cb21: 5405.*
+ 2cb22: 0e2f.*
+ 2cb23: 6ff2.*
+ 2cb24: 5406.*
+ 2cb25: 0f2f.*
+ 2cb26: 6ff2.*
+ 2cb27: 5407.*
+ 2cb28: 0f2f.*
+ 2cb29: 08f8.*
+ 2cb2a: 5408.*
+ 2cb2b: 6ff8.*
+ 2cb2c: 5409.*
+ 2cb2d: 0d20.*
+ 2cb2e: 08f8.*
+ 2cb2f: 540a.*
+ 2cb30: 6ff8.*
+ 2cb31: 540b.*
+ 2cb32: 0e20.*
+ 2cb33: 09f8.*
+ 2cb34: 540c.*
+ 2cb35: 09f8.*
+ 2cb36: 540d.*
+ 2cb37: 6ff8.*
+ 2cb38: 540e.*
+ 2cb39: 0c30.*
+ 2cb3a: 6ff8.*
+ 2cb3b: 540f.*
+ 2cb3c: 0d30.*
+ 2cb3d: 6ff8.*
+ 2cb3e: 5410.*
+ 2cb3f: 0c30.*
+ 2cb40: 6ff8.*
+ 2cb41: 5411.*
+ 2cb42: 0e30.*
+ 2cb43: 6ff8.*
+ 2cb44: 5412.*
+ 2cb45: 0f30.*
+ 2cb46: 6ff8.*
+ 2cb47: 5413.*
+ 2cb48: 0f30.*
+ 2cb49: 6ff8.*
+ 2cb4a: 5414.*
+ 2cb4b: 0c31.*
+ 2cb4c: 6ff8.*
+ 2cb4d: 5415.*
+ 2cb4e: 0d31.*
+ 2cb4f: 6ff8.*
+ 2cb50: 5416.*
+ 2cb51: 0c31.*
+ 2cb52: 6ff8.*
+ 2cb53: 5417.*
+ 2cb54: 0e31.*
+ 2cb55: 6ff8.*
+ 2cb56: 5418.*
+ 2cb57: 0f31.*
+ 2cb58: 6ff8.*
+ 2cb59: 5419.*
+ 2cb5a: 0f31.*
+ 2cb5b: 6ff8.*
+ 2cb5c: 541a.*
+ 2cb5d: 0c32.*
+ 2cb5e: 6ff8.*
+ 2cb5f: 541b.*
+ 2cb60: 0d32.*
+ 2cb61: 6ff8.*
+ 2cb62: 541c.*
+ 2cb63: 0c32.*
+ 2cb64: 6ff8.*
+ 2cb65: 541d.*
+ 2cb66: 0e32.*
+ 2cb67: 6ff8.*
+ 2cb68: 541e.*
+ 2cb69: 0f32.*
+ 2cb6a: 6ff8.*
+ 2cb6b: 541f.*
+ 2cb6c: 0f32.*
+ 2cb6d: 6ff8.*
+ 2cb6e: 5420.*
+ 2cb6f: 0c33.*
+ 2cb70: 6ff8.*
+ 2cb71: 5421.*
+ 2cb72: 0d33.*
+ 2cb73: 6ff8.*
+ 2cb74: 5422.*
+ 2cb75: 0c33.*
+ 2cb76: 6ff8.*
+ 2cb77: 5423.*
+ 2cb78: 0e33.*
+ 2cb79: 6ff8.*
+ 2cb7a: 5424.*
+ 2cb7b: 0f33.*
+ 2cb7c: 6ff8.*
+ 2cb7d: 5425.*
+ 2cb7e: 0f33.*
+ 2cb7f: 6ff8.*
+ 2cb80: 5426.*
+ 2cb81: 0c34.*
+ 2cb82: 6ff8.*
+ 2cb83: 5427.*
+ 2cb84: 0d34.*
+ 2cb85: 6ff8.*
+ 2cb86: 5428.*
+ 2cb87: 0c34.*
+ 2cb88: 6ff8.*
+ 2cb89: 5429.*
+ 2cb8a: 0e34.*
+ 2cb8b: 6ff8.*
+ 2cb8c: 542a.*
+ 2cb8d: 0f34.*
+ 2cb8e: 6ff8.*
+ 2cb8f: 542b.*
+ 2cb90: 0f34.*
+ 2cb91: 6ff8.*
+ 2cb92: 542c.*
+ 2cb93: 0c35.*
+ 2cb94: 6ff8.*
+ 2cb95: 542d.*
+ 2cb96: 0d35.*
+ 2cb97: 6ff8.*
+ 2cb98: 542e.*
+ 2cb99: 0c35.*
+ 2cb9a: 6ff8.*
+ 2cb9b: 542f.*
+ 2cb9c: 0e35.*
+ 2cb9d: 6ff8.*
+ 2cb9e: 5430.*
+ 2cb9f: 0f35.*
+ 2cba0: 6ff8.*
+ 2cba1: 5431.*
+ 2cba2: 0f35.*
+ 2cba3: 6ff8.*
+ 2cba4: 5432.*
+ 2cba5: 0c36.*
+ 2cba6: 6ff8.*
+ 2cba7: 5433.*
+ 2cba8: 0d36.*
+ 2cba9: 6ff8.*
+ 2cbaa: 5434.*
+ 2cbab: 0c36.*
+ 2cbac: 6ff8.*
+ 2cbad: 5435.*
+ 2cbae: 0e36.*
+ 2cbaf: 6ff8.*
+ 2cbb0: 5436.*
+ 2cbb1: 0f36.*
+ 2cbb2: 6ff8.*
+ 2cbb3: 5437.*
+ 2cbb4: 0f36.*
+ 2cbb5: 6ff8.*
+ 2cbb6: 5438.*
+ 2cbb7: 0c37.*
+ 2cbb8: 6ff8.*
+ 2cbb9: 5439.*
+ 2cbba: 0d37.*
+ 2cbbb: 6ff8.*
+ 2cbbc: 543a.*
+ 2cbbd: 0c37.*
+ 2cbbe: 6ff8.*
+ 2cbbf: 543b.*
+ 2cbc0: 0e37.*
+ 2cbc1: 6ff8.*
+ 2cbc2: 543c.*
+ 2cbc3: 0f37.*
+ 2cbc4: 6ff8.*
+ 2cbc5: 543d.*
+ 2cbc6: 0f37.*
+ 2cbc7: 6ff8.*
+ 2cbc8: 543e.*
+ 2cbc9: 0c38.*
+ 2cbca: 6ff8.*
+ 2cbcb: 543f.*
+ 2cbcc: 0d38.*
+ 2cbcd: 6ff8.*
+ 2cbce: 5440.*
+ 2cbcf: 0c38.*
+ 2cbd0: 6ff8.*
+ 2cbd1: 5441.*
+ 2cbd2: 0e38.*
+ 2cbd3: 6ff8.*
+ 2cbd4: 5442.*
+ 2cbd5: 0f38.*
+ 2cbd6: 6ff8.*
+ 2cbd7: 5443.*
+ 2cbd8: 0f38.*
+ 2cbd9: 6ff8.*
+ 2cbda: 5444.*
+ 2cbdb: 0c39.*
+ 2cbdc: 6ff8.*
+ 2cbdd: 5445.*
+ 2cbde: 0d39.*
+ 2cbdf: 6ff8.*
+ 2cbe0: 5446.*
+ 2cbe1: 0c39.*
+ 2cbe2: 6ff8.*
+ 2cbe3: 5447.*
+ 2cbe4: 0e39.*
+ 2cbe5: 6ff8.*
+ 2cbe6: 5448.*
+ 2cbe7: 0f39.*
+ 2cbe8: 6ff8.*
+ 2cbe9: 5449.*
+ 2cbea: 0f39.*
+ 2cbeb: 6ff8.*
+ 2cbec: 544a.*
+ 2cbed: 0c3a.*
+ 2cbee: 6ff8.*
+ 2cbef: 544b.*
+ 2cbf0: 0d3a.*
+ 2cbf1: 6ff8.*
+ 2cbf2: 544c.*
+ 2cbf3: 0c3a.*
+ 2cbf4: 6ff8.*
+ 2cbf5: 544d.*
+ 2cbf6: 0e3a.*
+ 2cbf7: 6ff8.*
+ 2cbf8: 544e.*
+ 2cbf9: 0f3a.*
+ 2cbfa: 6ff8.*
+ 2cbfb: 544f.*
+ 2cbfc: 0f3a.*
+ 2cbfd: 6ff8.*
+ 2cbfe: 5450.*
+ 2cbff: 0c3b.*
+ 2cc00: 6ff8.*
+ 2cc01: 5451.*
+ 2cc02: 0d3b.*
+ 2cc03: 6ff8.*
+ 2cc04: 5452.*
+ 2cc05: 0c3b.*
+ 2cc06: 6ff8.*
+ 2cc07: 5453.*
+ 2cc08: 0e3b.*
+ 2cc09: 6ff8.*
+ 2cc0a: 5454.*
+ 2cc0b: 0f3b.*
+ 2cc0c: 6ff8.*
+ 2cc0d: 5455.*
+ 2cc0e: 0f3b.*
+ 2cc0f: 6ff8.*
+ 2cc10: 5456.*
+ 2cc11: 0c3c.*
+ 2cc12: 6ff8.*
+ 2cc13: 5457.*
+ 2cc14: 0d3c.*
+ 2cc15: 6ff8.*
+ 2cc16: 5458.*
+ 2cc17: 0c3c.*
+ 2cc18: 6ff8.*
+ 2cc19: 5459.*
+ 2cc1a: 0e3c.*
+ 2cc1b: 6ff8.*
+ 2cc1c: 545a.*
+ 2cc1d: 0f3c.*
+ 2cc1e: 6ff8.*
+ 2cc1f: 545b.*
+ 2cc20: 0f3c.*
+ 2cc21: 6ff8.*
+ 2cc22: 545c.*
+ 2cc23: 0c3d.*
+ 2cc24: 6ff8.*
+ 2cc25: 545d.*
+ 2cc26: 0d3d.*
+ 2cc27: 6ff8.*
+ 2cc28: 545e.*
+ 2cc29: 0c3d.*
+ 2cc2a: 6ff8.*
+ 2cc2b: 545f.*
+ 2cc2c: 0e3d.*
+ 2cc2d: 6ff8.*
+ 2cc2e: 5460.*
+ 2cc2f: 0f3d.*
+ 2cc30: 6ff8.*
+ 2cc31: 5461.*
+ 2cc32: 0f3d.*
+ 2cc33: 6ff8.*
+ 2cc34: 5462.*
+ 2cc35: 0c3e.*
+ 2cc36: 6ff8.*
+ 2cc37: 5463.*
+ 2cc38: 0d3e.*
+ 2cc39: 6ff8.*
+ 2cc3a: 5464.*
+ 2cc3b: 0c3e.*
+ 2cc3c: 6ff8.*
+ 2cc3d: 5465.*
+ 2cc3e: 0e3e.*
+ 2cc3f: 6ff8.*
+ 2cc40: 5466.*
+ 2cc41: 0f3e.*
+ 2cc42: 6ff8.*
+ 2cc43: 5467.*
+ 2cc44: 0f3e.*
+ 2cc45: 6ff8.*
+ 2cc46: 5468.*
+ 2cc47: 0c3f.*
+ 2cc48: 6ff8.*
+ 2cc49: 5469.*
+ 2cc4a: 0d3f.*
+ 2cc4b: 6ff8.*
+ 2cc4c: 546a.*
+ 2cc4d: 0c3f.*
+ 2cc4e: 6ff8.*
+ 2cc4f: 546b.*
+ 2cc50: 0e3f.*
+ 2cc51: 6ff8.*
+ 2cc52: 546c.*
+ 2cc53: 0f3f.*
+ 2cc54: 6ff8.*
+ 2cc55: 546d.*
+ 2cc56: 0f3f.*
+ 2cc57: 08f8.*
+ 2cc58: 546e.*
+ 2cc59: 6ff8.*
+ 2cc5a: 546f.*
+ 2cc5b: 0d20.*
+ 2cc5c: 08f8.*
+ 2cc5d: 5470.*
+ 2cc5e: 6ff8.*
+ 2cc5f: 5471.*
+ 2cc60: 0e20.*
+ 2cc61: 09f8.*
+ 2cc62: 5472.*
+ 2cc63: 09f8.*
+ 2cc64: 5473.*
+ 2cc65: 6ff8.*
+ 2cc66: 5474.*
+ 2cc67: 0c21.*
+ 2cc68: 6ff8.*
+ 2cc69: 5475.*
+ 2cc6a: 0d21.*
+ 2cc6b: 6ff8.*
+ 2cc6c: 5476.*
+ 2cc6d: 0c21.*
+ 2cc6e: 6ff8.*
+ 2cc6f: 5477.*
+ 2cc70: 0e21.*
+ 2cc71: 6ff8.*
+ 2cc72: 5478.*
+ 2cc73: 0f21.*
+ 2cc74: 6ff8.*
+ 2cc75: 5479.*
+ 2cc76: 0f21.*
+ 2cc77: 6ff8.*
+ 2cc78: 547a.*
+ 2cc79: 0c22.*
+ 2cc7a: 6ff8.*
+ 2cc7b: 547b.*
+ 2cc7c: 0d22.*
+ 2cc7d: 6ff8.*
+ 2cc7e: 547c.*
+ 2cc7f: 0c22.*
+ 2cc80: 6ff8.*
+ 2cc81: 547d.*
+ 2cc82: 0e22.*
+ 2cc83: 6ff8.*
+ 2cc84: 547e.*
+ 2cc85: 0f22.*
+ 2cc86: 6ff8.*
+ 2cc87: 547f.*
+ 2cc88: 0f22.*
+ 2cc89: 6ff8.*
+ 2cc8a: 5480.*
+ 2cc8b: 0c23.*
+ 2cc8c: 6ff8.*
+ 2cc8d: 5481.*
+ 2cc8e: 0d23.*
+ 2cc8f: 6ff8.*
+ 2cc90: 5482.*
+ 2cc91: 0c23.*
+ 2cc92: 6ff8.*
+ 2cc93: 5483.*
+ 2cc94: 0e23.*
+ 2cc95: 6ff8.*
+ 2cc96: 5484.*
+ 2cc97: 0f23.*
+ 2cc98: 6ff8.*
+ 2cc99: 5485.*
+ 2cc9a: 0f23.*
+ 2cc9b: 6ff8.*
+ 2cc9c: 5486.*
+ 2cc9d: 0c24.*
+ 2cc9e: 6ff8.*
+ 2cc9f: 5487.*
+ 2cca0: 0d24.*
+ 2cca1: 6ff8.*
+ 2cca2: 5488.*
+ 2cca3: 0c24.*
+ 2cca4: 6ff8.*
+ 2cca5: 5489.*
+ 2cca6: 0e24.*
+ 2cca7: 6ff8.*
+ 2cca8: 548a.*
+ 2cca9: 0f24.*
+ 2ccaa: 6ff8.*
+ 2ccab: 548b.*
+ 2ccac: 0f24.*
+ 2ccad: 6ff8.*
+ 2ccae: 548c.*
+ 2ccaf: 0c25.*
+ 2ccb0: 6ff8.*
+ 2ccb1: 548d.*
+ 2ccb2: 0d25.*
+ 2ccb3: 6ff8.*
+ 2ccb4: 548e.*
+ 2ccb5: 0c25.*
+ 2ccb6: 6ff8.*
+ 2ccb7: 548f.*
+ 2ccb8: 0e25.*
+ 2ccb9: 6ff8.*
+ 2ccba: 5490.*
+ 2ccbb: 0f25.*
+ 2ccbc: 6ff8.*
+ 2ccbd: 5491.*
+ 2ccbe: 0f25.*
+ 2ccbf: 6ff8.*
+ 2ccc0: 5492.*
+ 2ccc1: 0c26.*
+ 2ccc2: 6ff8.*
+ 2ccc3: 5493.*
+ 2ccc4: 0d26.*
+ 2ccc5: 6ff8.*
+ 2ccc6: 5494.*
+ 2ccc7: 0c26.*
+ 2ccc8: 6ff8.*
+ 2ccc9: 5495.*
+ 2ccca: 0e26.*
+ 2cccb: 6ff8.*
+ 2cccc: 5496.*
+ 2cccd: 0f26.*
+ 2ccce: 6ff8.*
+ 2cccf: 5497.*
+ 2ccd0: 0f26.*
+ 2ccd1: 6ff8.*
+ 2ccd2: 5498.*
+ 2ccd3: 0c27.*
+ 2ccd4: 6ff8.*
+ 2ccd5: 5499.*
+ 2ccd6: 0d27.*
+ 2ccd7: 6ff8.*
+ 2ccd8: 549a.*
+ 2ccd9: 0c27.*
+ 2ccda: 6ff8.*
+ 2ccdb: 549b.*
+ 2ccdc: 0e27.*
+ 2ccdd: 6ff8.*
+ 2ccde: 549c.*
+ 2ccdf: 0f27.*
+ 2cce0: 6ff8.*
+ 2cce1: 549d.*
+ 2cce2: 0f27.*
+ 2cce3: 6ff8.*
+ 2cce4: 549e.*
+ 2cce5: 0c28.*
+ 2cce6: 6ff8.*
+ 2cce7: 549f.*
+ 2cce8: 0d28.*
+ 2cce9: 6ff8.*
+ 2ccea: 54a0.*
+ 2cceb: 0c28.*
+ 2ccec: 6ff8.*
+ 2cced: 54a1.*
+ 2ccee: 0e28.*
+ 2ccef: 6ff8.*
+ 2ccf0: 54a2.*
+ 2ccf1: 0f28.*
+ 2ccf2: 6ff8.*
+ 2ccf3: 54a3.*
+ 2ccf4: 0f28.*
+ 2ccf5: 6ff8.*
+ 2ccf6: 54a4.*
+ 2ccf7: 0c29.*
+ 2ccf8: 6ff8.*
+ 2ccf9: 54a5.*
+ 2ccfa: 0d29.*
+ 2ccfb: 6ff8.*
+ 2ccfc: 54a6.*
+ 2ccfd: 0c29.*
+ 2ccfe: 6ff8.*
+ 2ccff: 54a7.*
+ 2cd00: 0e29.*
+ 2cd01: 6ff8.*
+ 2cd02: 54a8.*
+ 2cd03: 0f29.*
+ 2cd04: 6ff8.*
+ 2cd05: 54a9.*
+ 2cd06: 0f29.*
+ 2cd07: 6ff8.*
+ 2cd08: 54aa.*
+ 2cd09: 0c2a.*
+ 2cd0a: 6ff8.*
+ 2cd0b: 54ab.*
+ 2cd0c: 0d2a.*
+ 2cd0d: 6ff8.*
+ 2cd0e: 54ac.*
+ 2cd0f: 0c2a.*
+ 2cd10: 6ff8.*
+ 2cd11: 54ad.*
+ 2cd12: 0e2a.*
+ 2cd13: 6ff8.*
+ 2cd14: 54ae.*
+ 2cd15: 0f2a.*
+ 2cd16: 6ff8.*
+ 2cd17: 54af.*
+ 2cd18: 0f2a.*
+ 2cd19: 6ff8.*
+ 2cd1a: 54b0.*
+ 2cd1b: 0c2b.*
+ 2cd1c: 6ff8.*
+ 2cd1d: 54b1.*
+ 2cd1e: 0d2b.*
+ 2cd1f: 6ff8.*
+ 2cd20: 54b2.*
+ 2cd21: 0c2b.*
+ 2cd22: 6ff8.*
+ 2cd23: 54b3.*
+ 2cd24: 0e2b.*
+ 2cd25: 6ff8.*
+ 2cd26: 54b4.*
+ 2cd27: 0f2b.*
+ 2cd28: 6ff8.*
+ 2cd29: 54b5.*
+ 2cd2a: 0f2b.*
+ 2cd2b: 6ff8.*
+ 2cd2c: 54b6.*
+ 2cd2d: 0c2c.*
+ 2cd2e: 6ff8.*
+ 2cd2f: 54b7.*
+ 2cd30: 0d2c.*
+ 2cd31: 6ff8.*
+ 2cd32: 54b8.*
+ 2cd33: 0c2c.*
+ 2cd34: 6ff8.*
+ 2cd35: 54b9.*
+ 2cd36: 0e2c.*
+ 2cd37: 6ff8.*
+ 2cd38: 54ba.*
+ 2cd39: 0f2c.*
+ 2cd3a: 6ff8.*
+ 2cd3b: 54bb.*
+ 2cd3c: 0f2c.*
+ 2cd3d: 6ff8.*
+ 2cd3e: 54bc.*
+ 2cd3f: 0c2d.*
+ 2cd40: 6ff8.*
+ 2cd41: 54bd.*
+ 2cd42: 0d2d.*
+ 2cd43: 6ff8.*
+ 2cd44: 54be.*
+ 2cd45: 0c2d.*
+ 2cd46: 6ff8.*
+ 2cd47: 54bf.*
+ 2cd48: 0e2d.*
+ 2cd49: 6ff8.*
+ 2cd4a: 54c0.*
+ 2cd4b: 0f2d.*
+ 2cd4c: 6ff8.*
+ 2cd4d: 54c1.*
+ 2cd4e: 0f2d.*
+ 2cd4f: 6ff8.*
+ 2cd50: 54c2.*
+ 2cd51: 0c2e.*
+ 2cd52: 6ff8.*
+ 2cd53: 54c3.*
+ 2cd54: 0d2e.*
+ 2cd55: 6ff8.*
+ 2cd56: 54c4.*
+ 2cd57: 0c2e.*
+ 2cd58: 6ff8.*
+ 2cd59: 54c5.*
+ 2cd5a: 0e2e.*
+ 2cd5b: 6ff8.*
+ 2cd5c: 54c6.*
+ 2cd5d: 0f2e.*
+ 2cd5e: 6ff8.*
+ 2cd5f: 54c7.*
+ 2cd60: 0f2e.*
+ 2cd61: 6ff8.*
+ 2cd62: 54c8.*
+ 2cd63: 0c2f.*
+ 2cd64: 6ff8.*
+ 2cd65: 54c9.*
+ 2cd66: 0d2f.*
+ 2cd67: 6ff8.*
+ 2cd68: 54ca.*
+ 2cd69: 0c2f.*
+ 2cd6a: 6ff8.*
+ 2cd6b: 54cb.*
+ 2cd6c: 0e2f.*
+ 2cd6d: 6ff8.*
+ 2cd6e: 54cc.*
+ 2cd6f: 0f2f.*
+ 2cd70: 6ff8.*
+ 2cd71: 54cd.*
+ 2cd72: 0f2f.*
+ 2cd73: 0883.*
+ 2cd74: 6f83.*
+ 2cd75: 0d20.*
+ 2cd76: 0883.*
+ 2cd77: 6f83.*
+ 2cd78: 0e20.*
+ 2cd79: 0983.*
+ 2cd7a: 0983.*
+ 2cd7b: 6f83.*
+ 2cd7c: 0c30.*
+ 2cd7d: 6f83.*
+ 2cd7e: 0d30.*
+ 2cd7f: 6f83.*
+ 2cd80: 0c30.*
+ 2cd81: 6f83.*
+ 2cd82: 0e30.*
+ 2cd83: 6f83.*
+ 2cd84: 0f30.*
+ 2cd85: 6f83.*
+ 2cd86: 0f30.*
+ 2cd87: 6f83.*
+ 2cd88: 0c31.*
+ 2cd89: 6f83.*
+ 2cd8a: 0d31.*
+ 2cd8b: 6f83.*
+ 2cd8c: 0c31.*
+ 2cd8d: 6f83.*
+ 2cd8e: 0e31.*
+ 2cd8f: 6f83.*
+ 2cd90: 0f31.*
+ 2cd91: 6f83.*
+ 2cd92: 0f31.*
+ 2cd93: 6f83.*
+ 2cd94: 0c32.*
+ 2cd95: 6f83.*
+ 2cd96: 0d32.*
+ 2cd97: 6f83.*
+ 2cd98: 0c32.*
+ 2cd99: 6f83.*
+ 2cd9a: 0e32.*
+ 2cd9b: 6f83.*
+ 2cd9c: 0f32.*
+ 2cd9d: 6f83.*
+ 2cd9e: 0f32.*
+ 2cd9f: 6f83.*
+ 2cda0: 0c33.*
+ 2cda1: 6f83.*
+ 2cda2: 0d33.*
+ 2cda3: 6f83.*
+ 2cda4: 0c33.*
+ 2cda5: 6f83.*
+ 2cda6: 0e33.*
+ 2cda7: 6f83.*
+ 2cda8: 0f33.*
+ 2cda9: 6f83.*
+ 2cdaa: 0f33.*
+ 2cdab: 6f83.*
+ 2cdac: 0c34.*
+ 2cdad: 6f83.*
+ 2cdae: 0d34.*
+ 2cdaf: 6f83.*
+ 2cdb0: 0c34.*
+ 2cdb1: 6f83.*
+ 2cdb2: 0e34.*
+ 2cdb3: 6f83.*
+ 2cdb4: 0f34.*
+ 2cdb5: 6f83.*
+ 2cdb6: 0f34.*
+ 2cdb7: 6f83.*
+ 2cdb8: 0c35.*
+ 2cdb9: 6f83.*
+ 2cdba: 0d35.*
+ 2cdbb: 6f83.*
+ 2cdbc: 0c35.*
+ 2cdbd: 6f83.*
+ 2cdbe: 0e35.*
+ 2cdbf: 6f83.*
+ 2cdc0: 0f35.*
+ 2cdc1: 6f83.*
+ 2cdc2: 0f35.*
+ 2cdc3: 6f83.*
+ 2cdc4: 0c36.*
+ 2cdc5: 6f83.*
+ 2cdc6: 0d36.*
+ 2cdc7: 6f83.*
+ 2cdc8: 0c36.*
+ 2cdc9: 6f83.*
+ 2cdca: 0e36.*
+ 2cdcb: 6f83.*
+ 2cdcc: 0f36.*
+ 2cdcd: 6f83.*
+ 2cdce: 0f36.*
+ 2cdcf: 6f83.*
+ 2cdd0: 0c37.*
+ 2cdd1: 6f83.*
+ 2cdd2: 0d37.*
+ 2cdd3: 6f83.*
+ 2cdd4: 0c37.*
+ 2cdd5: 6f83.*
+ 2cdd6: 0e37.*
+ 2cdd7: 6f83.*
+ 2cdd8: 0f37.*
+ 2cdd9: 6f83.*
+ 2cdda: 0f37.*
+ 2cddb: 6f83.*
+ 2cddc: 0c38.*
+ 2cddd: 6f83.*
+ 2cdde: 0d38.*
+ 2cddf: 6f83.*
+ 2cde0: 0c38.*
+ 2cde1: 6f83.*
+ 2cde2: 0e38.*
+ 2cde3: 6f83.*
+ 2cde4: 0f38.*
+ 2cde5: 6f83.*
+ 2cde6: 0f38.*
+ 2cde7: 6f83.*
+ 2cde8: 0c39.*
+ 2cde9: 6f83.*
+ 2cdea: 0d39.*
+ 2cdeb: 6f83.*
+ 2cdec: 0c39.*
+ 2cded: 6f83.*
+ 2cdee: 0e39.*
+ 2cdef: 6f83.*
+ 2cdf0: 0f39.*
+ 2cdf1: 6f83.*
+ 2cdf2: 0f39.*
+ 2cdf3: 6f83.*
+ 2cdf4: 0c3a.*
+ 2cdf5: 6f83.*
+ 2cdf6: 0d3a.*
+ 2cdf7: 6f83.*
+ 2cdf8: 0c3a.*
+ 2cdf9: 6f83.*
+ 2cdfa: 0e3a.*
+ 2cdfb: 6f83.*
+ 2cdfc: 0f3a.*
+ 2cdfd: 6f83.*
+ 2cdfe: 0f3a.*
+ 2cdff: 6f83.*
+ 2ce00: 0c3b.*
+ 2ce01: 6f83.*
+ 2ce02: 0d3b.*
+ 2ce03: 6f83.*
+ 2ce04: 0c3b.*
+ 2ce05: 6f83.*
+ 2ce06: 0e3b.*
+ 2ce07: 6f83.*
+ 2ce08: 0f3b.*
+ 2ce09: 6f83.*
+ 2ce0a: 0f3b.*
+ 2ce0b: 6f83.*
+ 2ce0c: 0c3c.*
+ 2ce0d: 6f83.*
+ 2ce0e: 0d3c.*
+ 2ce0f: 6f83.*
+ 2ce10: 0c3c.*
+ 2ce11: 6f83.*
+ 2ce12: 0e3c.*
+ 2ce13: 6f83.*
+ 2ce14: 0f3c.*
+ 2ce15: 6f83.*
+ 2ce16: 0f3c.*
+ 2ce17: 6f83.*
+ 2ce18: 0c3d.*
+ 2ce19: 6f83.*
+ 2ce1a: 0d3d.*
+ 2ce1b: 6f83.*
+ 2ce1c: 0c3d.*
+ 2ce1d: 6f83.*
+ 2ce1e: 0e3d.*
+ 2ce1f: 6f83.*
+ 2ce20: 0f3d.*
+ 2ce21: 6f83.*
+ 2ce22: 0f3d.*
+ 2ce23: 6f83.*
+ 2ce24: 0c3e.*
+ 2ce25: 6f83.*
+ 2ce26: 0d3e.*
+ 2ce27: 6f83.*
+ 2ce28: 0c3e.*
+ 2ce29: 6f83.*
+ 2ce2a: 0e3e.*
+ 2ce2b: 6f83.*
+ 2ce2c: 0f3e.*
+ 2ce2d: 6f83.*
+ 2ce2e: 0f3e.*
+ 2ce2f: 6f83.*
+ 2ce30: 0c3f.*
+ 2ce31: 6f83.*
+ 2ce32: 0d3f.*
+ 2ce33: 6f83.*
+ 2ce34: 0c3f.*
+ 2ce35: 6f83.*
+ 2ce36: 0e3f.*
+ 2ce37: 6f83.*
+ 2ce38: 0f3f.*
+ 2ce39: 6f83.*
+ 2ce3a: 0f3f.*
+ 2ce3b: 0883.*
+ 2ce3c: 6f83.*
+ 2ce3d: 0d20.*
+ 2ce3e: 0883.*
+ 2ce3f: 6f83.*
+ 2ce40: 0e20.*
+ 2ce41: 0983.*
+ 2ce42: 0983.*
+ 2ce43: 9211.*
+ 2ce44: 6f83.*
+ 2ce45: 0d21.*
+ 2ce46: 9211.*
+ 2ce47: 6f83.*
+ 2ce48: 0e21.*
+ 2ce49: 9311.*
+ 2ce4a: 9311.*
+ 2ce4b: 9212.*
+ 2ce4c: 6f83.*
+ 2ce4d: 0d22.*
+ 2ce4e: 9212.*
+ 2ce4f: 6f83.*
+ 2ce50: 0e22.*
+ 2ce51: 9312.*
+ 2ce52: 9312.*
+ 2ce53: 9213.*
+ 2ce54: 6f83.*
+ 2ce55: 0d23.*
+ 2ce56: 9213.*
+ 2ce57: 6f83.*
+ 2ce58: 0e23.*
+ 2ce59: 9313.*
+ 2ce5a: 9313.*
+ 2ce5b: 9214.*
+ 2ce5c: 6f83.*
+ 2ce5d: 0d24.*
+ 2ce5e: 9214.*
+ 2ce5f: 6f83.*
+ 2ce60: 0e24.*
+ 2ce61: 9314.*
+ 2ce62: 9314.*
+ 2ce63: 9215.*
+ 2ce64: 6f83.*
+ 2ce65: 0d25.*
+ 2ce66: 9215.*
+ 2ce67: 6f83.*
+ 2ce68: 0e25.*
+ 2ce69: 9315.*
+ 2ce6a: 9315.*
+ 2ce6b: 9216.*
+ 2ce6c: 6f83.*
+ 2ce6d: 0d26.*
+ 2ce6e: 9216.*
+ 2ce6f: 6f83.*
+ 2ce70: 0e26.*
+ 2ce71: 9316.*
+ 2ce72: 9316.*
+ 2ce73: 9217.*
+ 2ce74: 6f83.*
+ 2ce75: 0d27.*
+ 2ce76: 9217.*
+ 2ce77: 6f83.*
+ 2ce78: 0e27.*
+ 2ce79: 9317.*
+ 2ce7a: 9317.*
+ 2ce7b: 9218.*
+ 2ce7c: 6f83.*
+ 2ce7d: 0d28.*
+ 2ce7e: 9218.*
+ 2ce7f: 6f83.*
+ 2ce80: 0e28.*
+ 2ce81: 9318.*
+ 2ce82: 9318.*
+ 2ce83: 9219.*
+ 2ce84: 6f83.*
+ 2ce85: 0d29.*
+ 2ce86: 9219.*
+ 2ce87: 6f83.*
+ 2ce88: 0e29.*
+ 2ce89: 9319.*
+ 2ce8a: 9319.*
+ 2ce8b: 921a.*
+ 2ce8c: 6f83.*
+ 2ce8d: 0d2a.*
+ 2ce8e: 921a.*
+ 2ce8f: 6f83.*
+ 2ce90: 0e2a.*
+ 2ce91: 931a.*
+ 2ce92: 931a.*
+ 2ce93: 921b.*
+ 2ce94: 6f83.*
+ 2ce95: 0d2b.*
+ 2ce96: 921b.*
+ 2ce97: 6f83.*
+ 2ce98: 0e2b.*
+ 2ce99: 931b.*
+ 2ce9a: 931b.*
+ 2ce9b: 921c.*
+ 2ce9c: 6f83.*
+ 2ce9d: 0d2c.*
+ 2ce9e: 921c.*
+ 2ce9f: 6f83.*
+ 2cea0: 0e2c.*
+ 2cea1: 931c.*
+ 2cea2: 931c.*
+ 2cea3: 921d.*
+ 2cea4: 6f83.*
+ 2cea5: 0d2d.*
+ 2cea6: 921d.*
+ 2cea7: 6f83.*
+ 2cea8: 0e2d.*
+ 2cea9: 931d.*
+ 2ceaa: 931d.*
+ 2ceab: 921e.*
+ 2ceac: 6f83.*
+ 2cead: 0d2e.*
+ 2ceae: 921e.*
+ 2ceaf: 6f83.*
+ 2ceb0: 0e2e.*
+ 2ceb1: 931e.*
+ 2ceb2: 931e.*
+ 2ceb3: 921f.*
+ 2ceb4: 6f83.*
+ 2ceb5: 0d2f.*
+ 2ceb6: 921f.*
+ 2ceb7: 6f83.*
+ 2ceb8: 0e2f.*
+ 2ceb9: 931f.*
+ 2ceba: 931f.*
+ 2cebb: 088b.*
+ 2cebc: 6f8b.*
+ 2cebd: 0d20.*
+ 2cebe: 088b.*
+ 2cebf: 6f8b.*
+ 2cec0: 0e20.*
+ 2cec1: 098b.*
+ 2cec2: 098b.*
+ 2cec3: 6f8b.*
+ 2cec4: 0c30.*
+ 2cec5: 6f8b.*
+ 2cec6: 0d30.*
+ 2cec7: 6f8b.*
+ 2cec8: 0c30.*
+ 2cec9: 6f8b.*
+ 2ceca: 0e30.*
+ 2cecb: 6f8b.*
+ 2cecc: 0f30.*
+ 2cecd: 6f8b.*
+ 2cece: 0f30.*
+ 2cecf: 6f8b.*
+ 2ced0: 0c31.*
+ 2ced1: 6f8b.*
+ 2ced2: 0d31.*
+ 2ced3: 6f8b.*
+ 2ced4: 0c31.*
+ 2ced5: 6f8b.*
+ 2ced6: 0e31.*
+ 2ced7: 6f8b.*
+ 2ced8: 0f31.*
+ 2ced9: 6f8b.*
+ 2ceda: 0f31.*
+ 2cedb: 6f8b.*
+ 2cedc: 0c32.*
+ 2cedd: 6f8b.*
+ 2cede: 0d32.*
+ 2cedf: 6f8b.*
+ 2cee0: 0c32.*
+ 2cee1: 6f8b.*
+ 2cee2: 0e32.*
+ 2cee3: 6f8b.*
+ 2cee4: 0f32.*
+ 2cee5: 6f8b.*
+ 2cee6: 0f32.*
+ 2cee7: 6f8b.*
+ 2cee8: 0c33.*
+ 2cee9: 6f8b.*
+ 2ceea: 0d33.*
+ 2ceeb: 6f8b.*
+ 2ceec: 0c33.*
+ 2ceed: 6f8b.*
+ 2ceee: 0e33.*
+ 2ceef: 6f8b.*
+ 2cef0: 0f33.*
+ 2cef1: 6f8b.*
+ 2cef2: 0f33.*
+ 2cef3: 6f8b.*
+ 2cef4: 0c34.*
+ 2cef5: 6f8b.*
+ 2cef6: 0d34.*
+ 2cef7: 6f8b.*
+ 2cef8: 0c34.*
+ 2cef9: 6f8b.*
+ 2cefa: 0e34.*
+ 2cefb: 6f8b.*
+ 2cefc: 0f34.*
+ 2cefd: 6f8b.*
+ 2cefe: 0f34.*
+ 2ceff: 6f8b.*
+ 2cf00: 0c35.*
+ 2cf01: 6f8b.*
+ 2cf02: 0d35.*
+ 2cf03: 6f8b.*
+ 2cf04: 0c35.*
+ 2cf05: 6f8b.*
+ 2cf06: 0e35.*
+ 2cf07: 6f8b.*
+ 2cf08: 0f35.*
+ 2cf09: 6f8b.*
+ 2cf0a: 0f35.*
+ 2cf0b: 6f8b.*
+ 2cf0c: 0c36.*
+ 2cf0d: 6f8b.*
+ 2cf0e: 0d36.*
+ 2cf0f: 6f8b.*
+ 2cf10: 0c36.*
+ 2cf11: 6f8b.*
+ 2cf12: 0e36.*
+ 2cf13: 6f8b.*
+ 2cf14: 0f36.*
+ 2cf15: 6f8b.*
+ 2cf16: 0f36.*
+ 2cf17: 6f8b.*
+ 2cf18: 0c37.*
+ 2cf19: 6f8b.*
+ 2cf1a: 0d37.*
+ 2cf1b: 6f8b.*
+ 2cf1c: 0c37.*
+ 2cf1d: 6f8b.*
+ 2cf1e: 0e37.*
+ 2cf1f: 6f8b.*
+ 2cf20: 0f37.*
+ 2cf21: 6f8b.*
+ 2cf22: 0f37.*
+ 2cf23: 6f8b.*
+ 2cf24: 0c38.*
+ 2cf25: 6f8b.*
+ 2cf26: 0d38.*
+ 2cf27: 6f8b.*
+ 2cf28: 0c38.*
+ 2cf29: 6f8b.*
+ 2cf2a: 0e38.*
+ 2cf2b: 6f8b.*
+ 2cf2c: 0f38.*
+ 2cf2d: 6f8b.*
+ 2cf2e: 0f38.*
+ 2cf2f: 6f8b.*
+ 2cf30: 0c39.*
+ 2cf31: 6f8b.*
+ 2cf32: 0d39.*
+ 2cf33: 6f8b.*
+ 2cf34: 0c39.*
+ 2cf35: 6f8b.*
+ 2cf36: 0e39.*
+ 2cf37: 6f8b.*
+ 2cf38: 0f39.*
+ 2cf39: 6f8b.*
+ 2cf3a: 0f39.*
+ 2cf3b: 6f8b.*
+ 2cf3c: 0c3a.*
+ 2cf3d: 6f8b.*
+ 2cf3e: 0d3a.*
+ 2cf3f: 6f8b.*
+ 2cf40: 0c3a.*
+ 2cf41: 6f8b.*
+ 2cf42: 0e3a.*
+ 2cf43: 6f8b.*
+ 2cf44: 0f3a.*
+ 2cf45: 6f8b.*
+ 2cf46: 0f3a.*
+ 2cf47: 6f8b.*
+ 2cf48: 0c3b.*
+ 2cf49: 6f8b.*
+ 2cf4a: 0d3b.*
+ 2cf4b: 6f8b.*
+ 2cf4c: 0c3b.*
+ 2cf4d: 6f8b.*
+ 2cf4e: 0e3b.*
+ 2cf4f: 6f8b.*
+ 2cf50: 0f3b.*
+ 2cf51: 6f8b.*
+ 2cf52: 0f3b.*
+ 2cf53: 6f8b.*
+ 2cf54: 0c3c.*
+ 2cf55: 6f8b.*
+ 2cf56: 0d3c.*
+ 2cf57: 6f8b.*
+ 2cf58: 0c3c.*
+ 2cf59: 6f8b.*
+ 2cf5a: 0e3c.*
+ 2cf5b: 6f8b.*
+ 2cf5c: 0f3c.*
+ 2cf5d: 6f8b.*
+ 2cf5e: 0f3c.*
+ 2cf5f: 6f8b.*
+ 2cf60: 0c3d.*
+ 2cf61: 6f8b.*
+ 2cf62: 0d3d.*
+ 2cf63: 6f8b.*
+ 2cf64: 0c3d.*
+ 2cf65: 6f8b.*
+ 2cf66: 0e3d.*
+ 2cf67: 6f8b.*
+ 2cf68: 0f3d.*
+ 2cf69: 6f8b.*
+ 2cf6a: 0f3d.*
+ 2cf6b: 6f8b.*
+ 2cf6c: 0c3e.*
+ 2cf6d: 6f8b.*
+ 2cf6e: 0d3e.*
+ 2cf6f: 6f8b.*
+ 2cf70: 0c3e.*
+ 2cf71: 6f8b.*
+ 2cf72: 0e3e.*
+ 2cf73: 6f8b.*
+ 2cf74: 0f3e.*
+ 2cf75: 6f8b.*
+ 2cf76: 0f3e.*
+ 2cf77: 6f8b.*
+ 2cf78: 0c3f.*
+ 2cf79: 6f8b.*
+ 2cf7a: 0d3f.*
+ 2cf7b: 6f8b.*
+ 2cf7c: 0c3f.*
+ 2cf7d: 6f8b.*
+ 2cf7e: 0e3f.*
+ 2cf7f: 6f8b.*
+ 2cf80: 0f3f.*
+ 2cf81: 6f8b.*
+ 2cf82: 0f3f.*
+ 2cf83: 088b.*
+ 2cf84: 6f8b.*
+ 2cf85: 0d20.*
+ 2cf86: 088b.*
+ 2cf87: 6f8b.*
+ 2cf88: 0e20.*
+ 2cf89: 098b.*
+ 2cf8a: 098b.*
+ 2cf8b: 9251.*
+ 2cf8c: 6f8b.*
+ 2cf8d: 0d21.*
+ 2cf8e: 9251.*
+ 2cf8f: 6f8b.*
+ 2cf90: 0e21.*
+ 2cf91: 9351.*
+ 2cf92: 9351.*
+ 2cf93: 9252.*
+ 2cf94: 6f8b.*
+ 2cf95: 0d22.*
+ 2cf96: 9252.*
+ 2cf97: 6f8b.*
+ 2cf98: 0e22.*
+ 2cf99: 9352.*
+ 2cf9a: 9352.*
+ 2cf9b: 9253.*
+ 2cf9c: 6f8b.*
+ 2cf9d: 0d23.*
+ 2cf9e: 9253.*
+ 2cf9f: 6f8b.*
+ 2cfa0: 0e23.*
+ 2cfa1: 9353.*
+ 2cfa2: 9353.*
+ 2cfa3: 9254.*
+ 2cfa4: 6f8b.*
+ 2cfa5: 0d24.*
+ 2cfa6: 9254.*
+ 2cfa7: 6f8b.*
+ 2cfa8: 0e24.*
+ 2cfa9: 9354.*
+ 2cfaa: 9354.*
+ 2cfab: 9255.*
+ 2cfac: 6f8b.*
+ 2cfad: 0d25.*
+ 2cfae: 9255.*
+ 2cfaf: 6f8b.*
+ 2cfb0: 0e25.*
+ 2cfb1: 9355.*
+ 2cfb2: 9355.*
+ 2cfb3: 9256.*
+ 2cfb4: 6f8b.*
+ 2cfb5: 0d26.*
+ 2cfb6: 9256.*
+ 2cfb7: 6f8b.*
+ 2cfb8: 0e26.*
+ 2cfb9: 9356.*
+ 2cfba: 9356.*
+ 2cfbb: 9257.*
+ 2cfbc: 6f8b.*
+ 2cfbd: 0d27.*
+ 2cfbe: 9257.*
+ 2cfbf: 6f8b.*
+ 2cfc0: 0e27.*
+ 2cfc1: 9357.*
+ 2cfc2: 9357.*
+ 2cfc3: 9258.*
+ 2cfc4: 6f8b.*
+ 2cfc5: 0d28.*
+ 2cfc6: 9258.*
+ 2cfc7: 6f8b.*
+ 2cfc8: 0e28.*
+ 2cfc9: 9358.*
+ 2cfca: 9358.*
+ 2cfcb: 9259.*
+ 2cfcc: 6f8b.*
+ 2cfcd: 0d29.*
+ 2cfce: 9259.*
+ 2cfcf: 6f8b.*
+ 2cfd0: 0e29.*
+ 2cfd1: 9359.*
+ 2cfd2: 9359.*
+ 2cfd3: 925a.*
+ 2cfd4: 6f8b.*
+ 2cfd5: 0d2a.*
+ 2cfd6: 925a.*
+ 2cfd7: 6f8b.*
+ 2cfd8: 0e2a.*
+ 2cfd9: 935a.*
+ 2cfda: 935a.*
+ 2cfdb: 925b.*
+ 2cfdc: 6f8b.*
+ 2cfdd: 0d2b.*
+ 2cfde: 925b.*
+ 2cfdf: 6f8b.*
+ 2cfe0: 0e2b.*
+ 2cfe1: 935b.*
+ 2cfe2: 935b.*
+ 2cfe3: 925c.*
+ 2cfe4: 6f8b.*
+ 2cfe5: 0d2c.*
+ 2cfe6: 925c.*
+ 2cfe7: 6f8b.*
+ 2cfe8: 0e2c.*
+ 2cfe9: 935c.*
+ 2cfea: 935c.*
+ 2cfeb: 925d.*
+ 2cfec: 6f8b.*
+ 2cfed: 0d2d.*
+ 2cfee: 925d.*
+ 2cfef: 6f8b.*
+ 2cff0: 0e2d.*
+ 2cff1: 935d.*
+ 2cff2: 935d.*
+ 2cff3: 925e.*
+ 2cff4: 6f8b.*
+ 2cff5: 0d2e.*
+ 2cff6: 925e.*
+ 2cff7: 6f8b.*
+ 2cff8: 0e2e.*
+ 2cff9: 935e.*
+ 2cffa: 935e.*
+ 2cffb: 925f.*
+ 2cffc: 6f8b.*
+ 2cffd: 0d2f.*
+ 2cffe: 925f.*
+ 2cfff: 6f8b.*
+ 2d000: 0e2f.*
+ 2d001: 935f.*
+ 2d002: 935f.*
+ 2d003: 0893.*
+ 2d004: 6f93.*
+ 2d005: 0d20.*
+ 2d006: 0893.*
+ 2d007: 6f93.*
+ 2d008: 0e20.*
+ 2d009: 0993.*
+ 2d00a: 0993.*
+ 2d00b: 6f93.*
+ 2d00c: 0c30.*
+ 2d00d: 6f93.*
+ 2d00e: 0d30.*
+ 2d00f: 6f93.*
+ 2d010: 0c30.*
+ 2d011: 6f93.*
+ 2d012: 0e30.*
+ 2d013: 6f93.*
+ 2d014: 0f30.*
+ 2d015: 6f93.*
+ 2d016: 0f30.*
+ 2d017: 6f93.*
+ 2d018: 0c31.*
+ 2d019: 6f93.*
+ 2d01a: 0d31.*
+ 2d01b: 6f93.*
+ 2d01c: 0c31.*
+ 2d01d: 6f93.*
+ 2d01e: 0e31.*
+ 2d01f: 6f93.*
+ 2d020: 0f31.*
+ 2d021: 6f93.*
+ 2d022: 0f31.*
+ 2d023: 6f93.*
+ 2d024: 0c32.*
+ 2d025: 6f93.*
+ 2d026: 0d32.*
+ 2d027: 6f93.*
+ 2d028: 0c32.*
+ 2d029: 6f93.*
+ 2d02a: 0e32.*
+ 2d02b: 6f93.*
+ 2d02c: 0f32.*
+ 2d02d: 6f93.*
+ 2d02e: 0f32.*
+ 2d02f: 6f93.*
+ 2d030: 0c33.*
+ 2d031: 6f93.*
+ 2d032: 0d33.*
+ 2d033: 6f93.*
+ 2d034: 0c33.*
+ 2d035: 6f93.*
+ 2d036: 0e33.*
+ 2d037: 6f93.*
+ 2d038: 0f33.*
+ 2d039: 6f93.*
+ 2d03a: 0f33.*
+ 2d03b: 6f93.*
+ 2d03c: 0c34.*
+ 2d03d: 6f93.*
+ 2d03e: 0d34.*
+ 2d03f: 6f93.*
+ 2d040: 0c34.*
+ 2d041: 6f93.*
+ 2d042: 0e34.*
+ 2d043: 6f93.*
+ 2d044: 0f34.*
+ 2d045: 6f93.*
+ 2d046: 0f34.*
+ 2d047: 6f93.*
+ 2d048: 0c35.*
+ 2d049: 6f93.*
+ 2d04a: 0d35.*
+ 2d04b: 6f93.*
+ 2d04c: 0c35.*
+ 2d04d: 6f93.*
+ 2d04e: 0e35.*
+ 2d04f: 6f93.*
+ 2d050: 0f35.*
+ 2d051: 6f93.*
+ 2d052: 0f35.*
+ 2d053: 6f93.*
+ 2d054: 0c36.*
+ 2d055: 6f93.*
+ 2d056: 0d36.*
+ 2d057: 6f93.*
+ 2d058: 0c36.*
+ 2d059: 6f93.*
+ 2d05a: 0e36.*
+ 2d05b: 6f93.*
+ 2d05c: 0f36.*
+ 2d05d: 6f93.*
+ 2d05e: 0f36.*
+ 2d05f: 6f93.*
+ 2d060: 0c37.*
+ 2d061: 6f93.*
+ 2d062: 0d37.*
+ 2d063: 6f93.*
+ 2d064: 0c37.*
+ 2d065: 6f93.*
+ 2d066: 0e37.*
+ 2d067: 6f93.*
+ 2d068: 0f37.*
+ 2d069: 6f93.*
+ 2d06a: 0f37.*
+ 2d06b: 6f93.*
+ 2d06c: 0c38.*
+ 2d06d: 6f93.*
+ 2d06e: 0d38.*
+ 2d06f: 6f93.*
+ 2d070: 0c38.*
+ 2d071: 6f93.*
+ 2d072: 0e38.*
+ 2d073: 6f93.*
+ 2d074: 0f38.*
+ 2d075: 6f93.*
+ 2d076: 0f38.*
+ 2d077: 6f93.*
+ 2d078: 0c39.*
+ 2d079: 6f93.*
+ 2d07a: 0d39.*
+ 2d07b: 6f93.*
+ 2d07c: 0c39.*
+ 2d07d: 6f93.*
+ 2d07e: 0e39.*
+ 2d07f: 6f93.*
+ 2d080: 0f39.*
+ 2d081: 6f93.*
+ 2d082: 0f39.*
+ 2d083: 6f93.*
+ 2d084: 0c3a.*
+ 2d085: 6f93.*
+ 2d086: 0d3a.*
+ 2d087: 6f93.*
+ 2d088: 0c3a.*
+ 2d089: 6f93.*
+ 2d08a: 0e3a.*
+ 2d08b: 6f93.*
+ 2d08c: 0f3a.*
+ 2d08d: 6f93.*
+ 2d08e: 0f3a.*
+ 2d08f: 6f93.*
+ 2d090: 0c3b.*
+ 2d091: 6f93.*
+ 2d092: 0d3b.*
+ 2d093: 6f93.*
+ 2d094: 0c3b.*
+ 2d095: 6f93.*
+ 2d096: 0e3b.*
+ 2d097: 6f93.*
+ 2d098: 0f3b.*
+ 2d099: 6f93.*
+ 2d09a: 0f3b.*
+ 2d09b: 6f93.*
+ 2d09c: 0c3c.*
+ 2d09d: 6f93.*
+ 2d09e: 0d3c.*
+ 2d09f: 6f93.*
+ 2d0a0: 0c3c.*
+ 2d0a1: 6f93.*
+ 2d0a2: 0e3c.*
+ 2d0a3: 6f93.*
+ 2d0a4: 0f3c.*
+ 2d0a5: 6f93.*
+ 2d0a6: 0f3c.*
+ 2d0a7: 6f93.*
+ 2d0a8: 0c3d.*
+ 2d0a9: 6f93.*
+ 2d0aa: 0d3d.*
+ 2d0ab: 6f93.*
+ 2d0ac: 0c3d.*
+ 2d0ad: 6f93.*
+ 2d0ae: 0e3d.*
+ 2d0af: 6f93.*
+ 2d0b0: 0f3d.*
+ 2d0b1: 6f93.*
+ 2d0b2: 0f3d.*
+ 2d0b3: 6f93.*
+ 2d0b4: 0c3e.*
+ 2d0b5: 6f93.*
+ 2d0b6: 0d3e.*
+ 2d0b7: 6f93.*
+ 2d0b8: 0c3e.*
+ 2d0b9: 6f93.*
+ 2d0ba: 0e3e.*
+ 2d0bb: 6f93.*
+ 2d0bc: 0f3e.*
+ 2d0bd: 6f93.*
+ 2d0be: 0f3e.*
+ 2d0bf: 6f93.*
+ 2d0c0: 0c3f.*
+ 2d0c1: 6f93.*
+ 2d0c2: 0d3f.*
+ 2d0c3: 6f93.*
+ 2d0c4: 0c3f.*
+ 2d0c5: 6f93.*
+ 2d0c6: 0e3f.*
+ 2d0c7: 6f93.*
+ 2d0c8: 0f3f.*
+ 2d0c9: 6f93.*
+ 2d0ca: 0f3f.*
+ 2d0cb: 0893.*
+ 2d0cc: 6f93.*
+ 2d0cd: 0d20.*
+ 2d0ce: 0893.*
+ 2d0cf: 6f93.*
+ 2d0d0: 0e20.*
+ 2d0d1: 0993.*
+ 2d0d2: 0993.*
+ 2d0d3: 9291.*
+ 2d0d4: 6f93.*
+ 2d0d5: 0d21.*
+ 2d0d6: 9291.*
+ 2d0d7: 6f93.*
+ 2d0d8: 0e21.*
+ 2d0d9: 9391.*
+ 2d0da: 9391.*
+ 2d0db: 9292.*
+ 2d0dc: 6f93.*
+ 2d0dd: 0d22.*
+ 2d0de: 9292.*
+ 2d0df: 6f93.*
+ 2d0e0: 0e22.*
+ 2d0e1: 9392.*
+ 2d0e2: 9392.*
+ 2d0e3: 9293.*
+ 2d0e4: 6f93.*
+ 2d0e5: 0d23.*
+ 2d0e6: 9293.*
+ 2d0e7: 6f93.*
+ 2d0e8: 0e23.*
+ 2d0e9: 9393.*
+ 2d0ea: 9393.*
+ 2d0eb: 9294.*
+ 2d0ec: 6f93.*
+ 2d0ed: 0d24.*
+ 2d0ee: 9294.*
+ 2d0ef: 6f93.*
+ 2d0f0: 0e24.*
+ 2d0f1: 9394.*
+ 2d0f2: 9394.*
+ 2d0f3: 9295.*
+ 2d0f4: 6f93.*
+ 2d0f5: 0d25.*
+ 2d0f6: 9295.*
+ 2d0f7: 6f93.*
+ 2d0f8: 0e25.*
+ 2d0f9: 9395.*
+ 2d0fa: 9395.*
+ 2d0fb: 9296.*
+ 2d0fc: 6f93.*
+ 2d0fd: 0d26.*
+ 2d0fe: 9296.*
+ 2d0ff: 6f93.*
+ 2d100: 0e26.*
+ 2d101: 9396.*
+ 2d102: 9396.*
+ 2d103: 9297.*
+ 2d104: 6f93.*
+ 2d105: 0d27.*
+ 2d106: 9297.*
+ 2d107: 6f93.*
+ 2d108: 0e27.*
+ 2d109: 9397.*
+ 2d10a: 9397.*
+ 2d10b: 9298.*
+ 2d10c: 6f93.*
+ 2d10d: 0d28.*
+ 2d10e: 9298.*
+ 2d10f: 6f93.*
+ 2d110: 0e28.*
+ 2d111: 9398.*
+ 2d112: 9398.*
+ 2d113: 9299.*
+ 2d114: 6f93.*
+ 2d115: 0d29.*
+ 2d116: 9299.*
+ 2d117: 6f93.*
+ 2d118: 0e29.*
+ 2d119: 9399.*
+ 2d11a: 9399.*
+ 2d11b: 929a.*
+ 2d11c: 6f93.*
+ 2d11d: 0d2a.*
+ 2d11e: 929a.*
+ 2d11f: 6f93.*
+ 2d120: 0e2a.*
+ 2d121: 939a.*
+ 2d122: 939a.*
+ 2d123: 929b.*
+ 2d124: 6f93.*
+ 2d125: 0d2b.*
+ 2d126: 929b.*
+ 2d127: 6f93.*
+ 2d128: 0e2b.*
+ 2d129: 939b.*
+ 2d12a: 939b.*
+ 2d12b: 929c.*
+ 2d12c: 6f93.*
+ 2d12d: 0d2c.*
+ 2d12e: 929c.*
+ 2d12f: 6f93.*
+ 2d130: 0e2c.*
+ 2d131: 939c.*
+ 2d132: 939c.*
+ 2d133: 929d.*
+ 2d134: 6f93.*
+ 2d135: 0d2d.*
+ 2d136: 929d.*
+ 2d137: 6f93.*
+ 2d138: 0e2d.*
+ 2d139: 939d.*
+ 2d13a: 939d.*
+ 2d13b: 929e.*
+ 2d13c: 6f93.*
+ 2d13d: 0d2e.*
+ 2d13e: 929e.*
+ 2d13f: 6f93.*
+ 2d140: 0e2e.*
+ 2d141: 939e.*
+ 2d142: 939e.*
+ 2d143: 929f.*
+ 2d144: 6f93.*
+ 2d145: 0d2f.*
+ 2d146: 929f.*
+ 2d147: 6f93.*
+ 2d148: 0e2f.*
+ 2d149: 939f.*
+ 2d14a: 939f.*
+ 2d14b: 08a3.*
+ 2d14c: 6fa3.*
+ 2d14d: 0d20.*
+ 2d14e: 08a3.*
+ 2d14f: 6fa3.*
+ 2d150: 0e20.*
+ 2d151: 09a3.*
+ 2d152: 09a3.*
+ 2d153: 6fa3.*
+ 2d154: 0c30.*
+ 2d155: 6fa3.*
+ 2d156: 0d30.*
+ 2d157: 6fa3.*
+ 2d158: 0c30.*
+ 2d159: 6fa3.*
+ 2d15a: 0e30.*
+ 2d15b: 6fa3.*
+ 2d15c: 0f30.*
+ 2d15d: 6fa3.*
+ 2d15e: 0f30.*
+ 2d15f: 6fa3.*
+ 2d160: 0c31.*
+ 2d161: 6fa3.*
+ 2d162: 0d31.*
+ 2d163: 6fa3.*
+ 2d164: 0c31.*
+ 2d165: 6fa3.*
+ 2d166: 0e31.*
+ 2d167: 6fa3.*
+ 2d168: 0f31.*
+ 2d169: 6fa3.*
+ 2d16a: 0f31.*
+ 2d16b: 6fa3.*
+ 2d16c: 0c32.*
+ 2d16d: 6fa3.*
+ 2d16e: 0d32.*
+ 2d16f: 6fa3.*
+ 2d170: 0c32.*
+ 2d171: 6fa3.*
+ 2d172: 0e32.*
+ 2d173: 6fa3.*
+ 2d174: 0f32.*
+ 2d175: 6fa3.*
+ 2d176: 0f32.*
+ 2d177: 6fa3.*
+ 2d178: 0c33.*
+ 2d179: 6fa3.*
+ 2d17a: 0d33.*
+ 2d17b: 6fa3.*
+ 2d17c: 0c33.*
+ 2d17d: 6fa3.*
+ 2d17e: 0e33.*
+ 2d17f: 6fa3.*
+ 2d180: 0f33.*
+ 2d181: 6fa3.*
+ 2d182: 0f33.*
+ 2d183: 6fa3.*
+ 2d184: 0c34.*
+ 2d185: 6fa3.*
+ 2d186: 0d34.*
+ 2d187: 6fa3.*
+ 2d188: 0c34.*
+ 2d189: 6fa3.*
+ 2d18a: 0e34.*
+ 2d18b: 6fa3.*
+ 2d18c: 0f34.*
+ 2d18d: 6fa3.*
+ 2d18e: 0f34.*
+ 2d18f: 6fa3.*
+ 2d190: 0c35.*
+ 2d191: 6fa3.*
+ 2d192: 0d35.*
+ 2d193: 6fa3.*
+ 2d194: 0c35.*
+ 2d195: 6fa3.*
+ 2d196: 0e35.*
+ 2d197: 6fa3.*
+ 2d198: 0f35.*
+ 2d199: 6fa3.*
+ 2d19a: 0f35.*
+ 2d19b: 6fa3.*
+ 2d19c: 0c36.*
+ 2d19d: 6fa3.*
+ 2d19e: 0d36.*
+ 2d19f: 6fa3.*
+ 2d1a0: 0c36.*
+ 2d1a1: 6fa3.*
+ 2d1a2: 0e36.*
+ 2d1a3: 6fa3.*
+ 2d1a4: 0f36.*
+ 2d1a5: 6fa3.*
+ 2d1a6: 0f36.*
+ 2d1a7: 6fa3.*
+ 2d1a8: 0c37.*
+ 2d1a9: 6fa3.*
+ 2d1aa: 0d37.*
+ 2d1ab: 6fa3.*
+ 2d1ac: 0c37.*
+ 2d1ad: 6fa3.*
+ 2d1ae: 0e37.*
+ 2d1af: 6fa3.*
+ 2d1b0: 0f37.*
+ 2d1b1: 6fa3.*
+ 2d1b2: 0f37.*
+ 2d1b3: 6fa3.*
+ 2d1b4: 0c38.*
+ 2d1b5: 6fa3.*
+ 2d1b6: 0d38.*
+ 2d1b7: 6fa3.*
+ 2d1b8: 0c38.*
+ 2d1b9: 6fa3.*
+ 2d1ba: 0e38.*
+ 2d1bb: 6fa3.*
+ 2d1bc: 0f38.*
+ 2d1bd: 6fa3.*
+ 2d1be: 0f38.*
+ 2d1bf: 6fa3.*
+ 2d1c0: 0c39.*
+ 2d1c1: 6fa3.*
+ 2d1c2: 0d39.*
+ 2d1c3: 6fa3.*
+ 2d1c4: 0c39.*
+ 2d1c5: 6fa3.*
+ 2d1c6: 0e39.*
+ 2d1c7: 6fa3.*
+ 2d1c8: 0f39.*
+ 2d1c9: 6fa3.*
+ 2d1ca: 0f39.*
+ 2d1cb: 6fa3.*
+ 2d1cc: 0c3a.*
+ 2d1cd: 6fa3.*
+ 2d1ce: 0d3a.*
+ 2d1cf: 6fa3.*
+ 2d1d0: 0c3a.*
+ 2d1d1: 6fa3.*
+ 2d1d2: 0e3a.*
+ 2d1d3: 6fa3.*
+ 2d1d4: 0f3a.*
+ 2d1d5: 6fa3.*
+ 2d1d6: 0f3a.*
+ 2d1d7: 6fa3.*
+ 2d1d8: 0c3b.*
+ 2d1d9: 6fa3.*
+ 2d1da: 0d3b.*
+ 2d1db: 6fa3.*
+ 2d1dc: 0c3b.*
+ 2d1dd: 6fa3.*
+ 2d1de: 0e3b.*
+ 2d1df: 6fa3.*
+ 2d1e0: 0f3b.*
+ 2d1e1: 6fa3.*
+ 2d1e2: 0f3b.*
+ 2d1e3: 6fa3.*
+ 2d1e4: 0c3c.*
+ 2d1e5: 6fa3.*
+ 2d1e6: 0d3c.*
+ 2d1e7: 6fa3.*
+ 2d1e8: 0c3c.*
+ 2d1e9: 6fa3.*
+ 2d1ea: 0e3c.*
+ 2d1eb: 6fa3.*
+ 2d1ec: 0f3c.*
+ 2d1ed: 6fa3.*
+ 2d1ee: 0f3c.*
+ 2d1ef: 6fa3.*
+ 2d1f0: 0c3d.*
+ 2d1f1: 6fa3.*
+ 2d1f2: 0d3d.*
+ 2d1f3: 6fa3.*
+ 2d1f4: 0c3d.*
+ 2d1f5: 6fa3.*
+ 2d1f6: 0e3d.*
+ 2d1f7: 6fa3.*
+ 2d1f8: 0f3d.*
+ 2d1f9: 6fa3.*
+ 2d1fa: 0f3d.*
+ 2d1fb: 6fa3.*
+ 2d1fc: 0c3e.*
+ 2d1fd: 6fa3.*
+ 2d1fe: 0d3e.*
+ 2d1ff: 6fa3.*
+ 2d200: 0c3e.*
+ 2d201: 6fa3.*
+ 2d202: 0e3e.*
+ 2d203: 6fa3.*
+ 2d204: 0f3e.*
+ 2d205: 6fa3.*
+ 2d206: 0f3e.*
+ 2d207: 6fa3.*
+ 2d208: 0c3f.*
+ 2d209: 6fa3.*
+ 2d20a: 0d3f.*
+ 2d20b: 6fa3.*
+ 2d20c: 0c3f.*
+ 2d20d: 6fa3.*
+ 2d20e: 0e3f.*
+ 2d20f: 6fa3.*
+ 2d210: 0f3f.*
+ 2d211: 6fa3.*
+ 2d212: 0f3f.*
+ 2d213: 08a3.*
+ 2d214: 6fa3.*
+ 2d215: 0d20.*
+ 2d216: 08a3.*
+ 2d217: 6fa3.*
+ 2d218: 0e20.*
+ 2d219: 09a3.*
+ 2d21a: 09a3.*
+ 2d21b: 6fa3.*
+ 2d21c: 0c21.*
+ 2d21d: 6fa3.*
+ 2d21e: 0d21.*
+ 2d21f: 6fa3.*
+ 2d220: 0c21.*
+ 2d221: 6fa3.*
+ 2d222: 0e21.*
+ 2d223: 6fa3.*
+ 2d224: 0f21.*
+ 2d225: 6fa3.*
+ 2d226: 0f21.*
+ 2d227: 6fa3.*
+ 2d228: 0c22.*
+ 2d229: 6fa3.*
+ 2d22a: 0d22.*
+ 2d22b: 6fa3.*
+ 2d22c: 0c22.*
+ 2d22d: 6fa3.*
+ 2d22e: 0e22.*
+ 2d22f: 6fa3.*
+ 2d230: 0f22.*
+ 2d231: 6fa3.*
+ 2d232: 0f22.*
+ 2d233: 6fa3.*
+ 2d234: 0c23.*
+ 2d235: 6fa3.*
+ 2d236: 0d23.*
+ 2d237: 6fa3.*
+ 2d238: 0c23.*
+ 2d239: 6fa3.*
+ 2d23a: 0e23.*
+ 2d23b: 6fa3.*
+ 2d23c: 0f23.*
+ 2d23d: 6fa3.*
+ 2d23e: 0f23.*
+ 2d23f: 6fa3.*
+ 2d240: 0c24.*
+ 2d241: 6fa3.*
+ 2d242: 0d24.*
+ 2d243: 6fa3.*
+ 2d244: 0c24.*
+ 2d245: 6fa3.*
+ 2d246: 0e24.*
+ 2d247: 6fa3.*
+ 2d248: 0f24.*
+ 2d249: 6fa3.*
+ 2d24a: 0f24.*
+ 2d24b: 6fa3.*
+ 2d24c: 0c25.*
+ 2d24d: 6fa3.*
+ 2d24e: 0d25.*
+ 2d24f: 6fa3.*
+ 2d250: 0c25.*
+ 2d251: 6fa3.*
+ 2d252: 0e25.*
+ 2d253: 6fa3.*
+ 2d254: 0f25.*
+ 2d255: 6fa3.*
+ 2d256: 0f25.*
+ 2d257: 6fa3.*
+ 2d258: 0c26.*
+ 2d259: 6fa3.*
+ 2d25a: 0d26.*
+ 2d25b: 6fa3.*
+ 2d25c: 0c26.*
+ 2d25d: 6fa3.*
+ 2d25e: 0e26.*
+ 2d25f: 6fa3.*
+ 2d260: 0f26.*
+ 2d261: 6fa3.*
+ 2d262: 0f26.*
+ 2d263: 6fa3.*
+ 2d264: 0c27.*
+ 2d265: 6fa3.*
+ 2d266: 0d27.*
+ 2d267: 6fa3.*
+ 2d268: 0c27.*
+ 2d269: 6fa3.*
+ 2d26a: 0e27.*
+ 2d26b: 6fa3.*
+ 2d26c: 0f27.*
+ 2d26d: 6fa3.*
+ 2d26e: 0f27.*
+ 2d26f: 6fa3.*
+ 2d270: 0c28.*
+ 2d271: 6fa3.*
+ 2d272: 0d28.*
+ 2d273: 6fa3.*
+ 2d274: 0c28.*
+ 2d275: 6fa3.*
+ 2d276: 0e28.*
+ 2d277: 6fa3.*
+ 2d278: 0f28.*
+ 2d279: 6fa3.*
+ 2d27a: 0f28.*
+ 2d27b: 6fa3.*
+ 2d27c: 0c29.*
+ 2d27d: 6fa3.*
+ 2d27e: 0d29.*
+ 2d27f: 6fa3.*
+ 2d280: 0c29.*
+ 2d281: 6fa3.*
+ 2d282: 0e29.*
+ 2d283: 6fa3.*
+ 2d284: 0f29.*
+ 2d285: 6fa3.*
+ 2d286: 0f29.*
+ 2d287: 6fa3.*
+ 2d288: 0c2a.*
+ 2d289: 6fa3.*
+ 2d28a: 0d2a.*
+ 2d28b: 6fa3.*
+ 2d28c: 0c2a.*
+ 2d28d: 6fa3.*
+ 2d28e: 0e2a.*
+ 2d28f: 6fa3.*
+ 2d290: 0f2a.*
+ 2d291: 6fa3.*
+ 2d292: 0f2a.*
+ 2d293: 6fa3.*
+ 2d294: 0c2b.*
+ 2d295: 6fa3.*
+ 2d296: 0d2b.*
+ 2d297: 6fa3.*
+ 2d298: 0c2b.*
+ 2d299: 6fa3.*
+ 2d29a: 0e2b.*
+ 2d29b: 6fa3.*
+ 2d29c: 0f2b.*
+ 2d29d: 6fa3.*
+ 2d29e: 0f2b.*
+ 2d29f: 6fa3.*
+ 2d2a0: 0c2c.*
+ 2d2a1: 6fa3.*
+ 2d2a2: 0d2c.*
+ 2d2a3: 6fa3.*
+ 2d2a4: 0c2c.*
+ 2d2a5: 6fa3.*
+ 2d2a6: 0e2c.*
+ 2d2a7: 6fa3.*
+ 2d2a8: 0f2c.*
+ 2d2a9: 6fa3.*
+ 2d2aa: 0f2c.*
+ 2d2ab: 6fa3.*
+ 2d2ac: 0c2d.*
+ 2d2ad: 6fa3.*
+ 2d2ae: 0d2d.*
+ 2d2af: 6fa3.*
+ 2d2b0: 0c2d.*
+ 2d2b1: 6fa3.*
+ 2d2b2: 0e2d.*
+ 2d2b3: 6fa3.*
+ 2d2b4: 0f2d.*
+ 2d2b5: 6fa3.*
+ 2d2b6: 0f2d.*
+ 2d2b7: 6fa3.*
+ 2d2b8: 0c2e.*
+ 2d2b9: 6fa3.*
+ 2d2ba: 0d2e.*
+ 2d2bb: 6fa3.*
+ 2d2bc: 0c2e.*
+ 2d2bd: 6fa3.*
+ 2d2be: 0e2e.*
+ 2d2bf: 6fa3.*
+ 2d2c0: 0f2e.*
+ 2d2c1: 6fa3.*
+ 2d2c2: 0f2e.*
+ 2d2c3: 6fa3.*
+ 2d2c4: 0c2f.*
+ 2d2c5: 6fa3.*
+ 2d2c6: 0d2f.*
+ 2d2c7: 6fa3.*
+ 2d2c8: 0c2f.*
+ 2d2c9: 6fa3.*
+ 2d2ca: 0e2f.*
+ 2d2cb: 6fa3.*
+ 2d2cc: 0f2f.*
+ 2d2cd: 6fa3.*
+ 2d2ce: 0f2f.*
+ 2d2cf: 08ab.*
+ 2d2d0: 6fab.*
+ 2d2d1: 0d20.*
+ 2d2d2: 08ab.*
+ 2d2d3: 6fab.*
+ 2d2d4: 0e20.*
+ 2d2d5: 09ab.*
+ 2d2d6: 09ab.*
+ 2d2d7: 6fab.*
+ 2d2d8: 0c30.*
+ 2d2d9: 6fab.*
+ 2d2da: 0d30.*
+ 2d2db: 6fab.*
+ 2d2dc: 0c30.*
+ 2d2dd: 6fab.*
+ 2d2de: 0e30.*
+ 2d2df: 6fab.*
+ 2d2e0: 0f30.*
+ 2d2e1: 6fab.*
+ 2d2e2: 0f30.*
+ 2d2e3: 6fab.*
+ 2d2e4: 0c31.*
+ 2d2e5: 6fab.*
+ 2d2e6: 0d31.*
+ 2d2e7: 6fab.*
+ 2d2e8: 0c31.*
+ 2d2e9: 6fab.*
+ 2d2ea: 0e31.*
+ 2d2eb: 6fab.*
+ 2d2ec: 0f31.*
+ 2d2ed: 6fab.*
+ 2d2ee: 0f31.*
+ 2d2ef: 6fab.*
+ 2d2f0: 0c32.*
+ 2d2f1: 6fab.*
+ 2d2f2: 0d32.*
+ 2d2f3: 6fab.*
+ 2d2f4: 0c32.*
+ 2d2f5: 6fab.*
+ 2d2f6: 0e32.*
+ 2d2f7: 6fab.*
+ 2d2f8: 0f32.*
+ 2d2f9: 6fab.*
+ 2d2fa: 0f32.*
+ 2d2fb: 6fab.*
+ 2d2fc: 0c33.*
+ 2d2fd: 6fab.*
+ 2d2fe: 0d33.*
+ 2d2ff: 6fab.*
+ 2d300: 0c33.*
+ 2d301: 6fab.*
+ 2d302: 0e33.*
+ 2d303: 6fab.*
+ 2d304: 0f33.*
+ 2d305: 6fab.*
+ 2d306: 0f33.*
+ 2d307: 6fab.*
+ 2d308: 0c34.*
+ 2d309: 6fab.*
+ 2d30a: 0d34.*
+ 2d30b: 6fab.*
+ 2d30c: 0c34.*
+ 2d30d: 6fab.*
+ 2d30e: 0e34.*
+ 2d30f: 6fab.*
+ 2d310: 0f34.*
+ 2d311: 6fab.*
+ 2d312: 0f34.*
+ 2d313: 6fab.*
+ 2d314: 0c35.*
+ 2d315: 6fab.*
+ 2d316: 0d35.*
+ 2d317: 6fab.*
+ 2d318: 0c35.*
+ 2d319: 6fab.*
+ 2d31a: 0e35.*
+ 2d31b: 6fab.*
+ 2d31c: 0f35.*
+ 2d31d: 6fab.*
+ 2d31e: 0f35.*
+ 2d31f: 6fab.*
+ 2d320: 0c36.*
+ 2d321: 6fab.*
+ 2d322: 0d36.*
+ 2d323: 6fab.*
+ 2d324: 0c36.*
+ 2d325: 6fab.*
+ 2d326: 0e36.*
+ 2d327: 6fab.*
+ 2d328: 0f36.*
+ 2d329: 6fab.*
+ 2d32a: 0f36.*
+ 2d32b: 6fab.*
+ 2d32c: 0c37.*
+ 2d32d: 6fab.*
+ 2d32e: 0d37.*
+ 2d32f: 6fab.*
+ 2d330: 0c37.*
+ 2d331: 6fab.*
+ 2d332: 0e37.*
+ 2d333: 6fab.*
+ 2d334: 0f37.*
+ 2d335: 6fab.*
+ 2d336: 0f37.*
+ 2d337: 6fab.*
+ 2d338: 0c38.*
+ 2d339: 6fab.*
+ 2d33a: 0d38.*
+ 2d33b: 6fab.*
+ 2d33c: 0c38.*
+ 2d33d: 6fab.*
+ 2d33e: 0e38.*
+ 2d33f: 6fab.*
+ 2d340: 0f38.*
+ 2d341: 6fab.*
+ 2d342: 0f38.*
+ 2d343: 6fab.*
+ 2d344: 0c39.*
+ 2d345: 6fab.*
+ 2d346: 0d39.*
+ 2d347: 6fab.*
+ 2d348: 0c39.*
+ 2d349: 6fab.*
+ 2d34a: 0e39.*
+ 2d34b: 6fab.*
+ 2d34c: 0f39.*
+ 2d34d: 6fab.*
+ 2d34e: 0f39.*
+ 2d34f: 6fab.*
+ 2d350: 0c3a.*
+ 2d351: 6fab.*
+ 2d352: 0d3a.*
+ 2d353: 6fab.*
+ 2d354: 0c3a.*
+ 2d355: 6fab.*
+ 2d356: 0e3a.*
+ 2d357: 6fab.*
+ 2d358: 0f3a.*
+ 2d359: 6fab.*
+ 2d35a: 0f3a.*
+ 2d35b: 6fab.*
+ 2d35c: 0c3b.*
+ 2d35d: 6fab.*
+ 2d35e: 0d3b.*
+ 2d35f: 6fab.*
+ 2d360: 0c3b.*
+ 2d361: 6fab.*
+ 2d362: 0e3b.*
+ 2d363: 6fab.*
+ 2d364: 0f3b.*
+ 2d365: 6fab.*
+ 2d366: 0f3b.*
+ 2d367: 6fab.*
+ 2d368: 0c3c.*
+ 2d369: 6fab.*
+ 2d36a: 0d3c.*
+ 2d36b: 6fab.*
+ 2d36c: 0c3c.*
+ 2d36d: 6fab.*
+ 2d36e: 0e3c.*
+ 2d36f: 6fab.*
+ 2d370: 0f3c.*
+ 2d371: 6fab.*
+ 2d372: 0f3c.*
+ 2d373: 6fab.*
+ 2d374: 0c3d.*
+ 2d375: 6fab.*
+ 2d376: 0d3d.*
+ 2d377: 6fab.*
+ 2d378: 0c3d.*
+ 2d379: 6fab.*
+ 2d37a: 0e3d.*
+ 2d37b: 6fab.*
+ 2d37c: 0f3d.*
+ 2d37d: 6fab.*
+ 2d37e: 0f3d.*
+ 2d37f: 6fab.*
+ 2d380: 0c3e.*
+ 2d381: 6fab.*
+ 2d382: 0d3e.*
+ 2d383: 6fab.*
+ 2d384: 0c3e.*
+ 2d385: 6fab.*
+ 2d386: 0e3e.*
+ 2d387: 6fab.*
+ 2d388: 0f3e.*
+ 2d389: 6fab.*
+ 2d38a: 0f3e.*
+ 2d38b: 6fab.*
+ 2d38c: 0c3f.*
+ 2d38d: 6fab.*
+ 2d38e: 0d3f.*
+ 2d38f: 6fab.*
+ 2d390: 0c3f.*
+ 2d391: 6fab.*
+ 2d392: 0e3f.*
+ 2d393: 6fab.*
+ 2d394: 0f3f.*
+ 2d395: 6fab.*
+ 2d396: 0f3f.*
+ 2d397: 08ab.*
+ 2d398: 6fab.*
+ 2d399: 0d20.*
+ 2d39a: 08ab.*
+ 2d39b: 6fab.*
+ 2d39c: 0e20.*
+ 2d39d: 09ab.*
+ 2d39e: 09ab.*
+ 2d39f: 6fab.*
+ 2d3a0: 0c21.*
+ 2d3a1: 6fab.*
+ 2d3a2: 0d21.*
+ 2d3a3: 6fab.*
+ 2d3a4: 0c21.*
+ 2d3a5: 6fab.*
+ 2d3a6: 0e21.*
+ 2d3a7: 6fab.*
+ 2d3a8: 0f21.*
+ 2d3a9: 6fab.*
+ 2d3aa: 0f21.*
+ 2d3ab: 6fab.*
+ 2d3ac: 0c22.*
+ 2d3ad: 6fab.*
+ 2d3ae: 0d22.*
+ 2d3af: 6fab.*
+ 2d3b0: 0c22.*
+ 2d3b1: 6fab.*
+ 2d3b2: 0e22.*
+ 2d3b3: 6fab.*
+ 2d3b4: 0f22.*
+ 2d3b5: 6fab.*
+ 2d3b6: 0f22.*
+ 2d3b7: 6fab.*
+ 2d3b8: 0c23.*
+ 2d3b9: 6fab.*
+ 2d3ba: 0d23.*
+ 2d3bb: 6fab.*
+ 2d3bc: 0c23.*
+ 2d3bd: 6fab.*
+ 2d3be: 0e23.*
+ 2d3bf: 6fab.*
+ 2d3c0: 0f23.*
+ 2d3c1: 6fab.*
+ 2d3c2: 0f23.*
+ 2d3c3: 6fab.*
+ 2d3c4: 0c24.*
+ 2d3c5: 6fab.*
+ 2d3c6: 0d24.*
+ 2d3c7: 6fab.*
+ 2d3c8: 0c24.*
+ 2d3c9: 6fab.*
+ 2d3ca: 0e24.*
+ 2d3cb: 6fab.*
+ 2d3cc: 0f24.*
+ 2d3cd: 6fab.*
+ 2d3ce: 0f24.*
+ 2d3cf: 6fab.*
+ 2d3d0: 0c25.*
+ 2d3d1: 6fab.*
+ 2d3d2: 0d25.*
+ 2d3d3: 6fab.*
+ 2d3d4: 0c25.*
+ 2d3d5: 6fab.*
+ 2d3d6: 0e25.*
+ 2d3d7: 6fab.*
+ 2d3d8: 0f25.*
+ 2d3d9: 6fab.*
+ 2d3da: 0f25.*
+ 2d3db: 6fab.*
+ 2d3dc: 0c26.*
+ 2d3dd: 6fab.*
+ 2d3de: 0d26.*
+ 2d3df: 6fab.*
+ 2d3e0: 0c26.*
+ 2d3e1: 6fab.*
+ 2d3e2: 0e26.*
+ 2d3e3: 6fab.*
+ 2d3e4: 0f26.*
+ 2d3e5: 6fab.*
+ 2d3e6: 0f26.*
+ 2d3e7: 6fab.*
+ 2d3e8: 0c27.*
+ 2d3e9: 6fab.*
+ 2d3ea: 0d27.*
+ 2d3eb: 6fab.*
+ 2d3ec: 0c27.*
+ 2d3ed: 6fab.*
+ 2d3ee: 0e27.*
+ 2d3ef: 6fab.*
+ 2d3f0: 0f27.*
+ 2d3f1: 6fab.*
+ 2d3f2: 0f27.*
+ 2d3f3: 6fab.*
+ 2d3f4: 0c28.*
+ 2d3f5: 6fab.*
+ 2d3f6: 0d28.*
+ 2d3f7: 6fab.*
+ 2d3f8: 0c28.*
+ 2d3f9: 6fab.*
+ 2d3fa: 0e28.*
+ 2d3fb: 6fab.*
+ 2d3fc: 0f28.*
+ 2d3fd: 6fab.*
+ 2d3fe: 0f28.*
+ 2d3ff: 6fab.*
+ 2d400: 0c29.*
+ 2d401: 6fab.*
+ 2d402: 0d29.*
+ 2d403: 6fab.*
+ 2d404: 0c29.*
+ 2d405: 6fab.*
+ 2d406: 0e29.*
+ 2d407: 6fab.*
+ 2d408: 0f29.*
+ 2d409: 6fab.*
+ 2d40a: 0f29.*
+ 2d40b: 6fab.*
+ 2d40c: 0c2a.*
+ 2d40d: 6fab.*
+ 2d40e: 0d2a.*
+ 2d40f: 6fab.*
+ 2d410: 0c2a.*
+ 2d411: 6fab.*
+ 2d412: 0e2a.*
+ 2d413: 6fab.*
+ 2d414: 0f2a.*
+ 2d415: 6fab.*
+ 2d416: 0f2a.*
+ 2d417: 6fab.*
+ 2d418: 0c2b.*
+ 2d419: 6fab.*
+ 2d41a: 0d2b.*
+ 2d41b: 6fab.*
+ 2d41c: 0c2b.*
+ 2d41d: 6fab.*
+ 2d41e: 0e2b.*
+ 2d41f: 6fab.*
+ 2d420: 0f2b.*
+ 2d421: 6fab.*
+ 2d422: 0f2b.*
+ 2d423: 6fab.*
+ 2d424: 0c2c.*
+ 2d425: 6fab.*
+ 2d426: 0d2c.*
+ 2d427: 6fab.*
+ 2d428: 0c2c.*
+ 2d429: 6fab.*
+ 2d42a: 0e2c.*
+ 2d42b: 6fab.*
+ 2d42c: 0f2c.*
+ 2d42d: 6fab.*
+ 2d42e: 0f2c.*
+ 2d42f: 6fab.*
+ 2d430: 0c2d.*
+ 2d431: 6fab.*
+ 2d432: 0d2d.*
+ 2d433: 6fab.*
+ 2d434: 0c2d.*
+ 2d435: 6fab.*
+ 2d436: 0e2d.*
+ 2d437: 6fab.*
+ 2d438: 0f2d.*
+ 2d439: 6fab.*
+ 2d43a: 0f2d.*
+ 2d43b: 6fab.*
+ 2d43c: 0c2e.*
+ 2d43d: 6fab.*
+ 2d43e: 0d2e.*
+ 2d43f: 6fab.*
+ 2d440: 0c2e.*
+ 2d441: 6fab.*
+ 2d442: 0e2e.*
+ 2d443: 6fab.*
+ 2d444: 0f2e.*
+ 2d445: 6fab.*
+ 2d446: 0f2e.*
+ 2d447: 6fab.*
+ 2d448: 0c2f.*
+ 2d449: 6fab.*
+ 2d44a: 0d2f.*
+ 2d44b: 6fab.*
+ 2d44c: 0c2f.*
+ 2d44d: 6fab.*
+ 2d44e: 0e2f.*
+ 2d44f: 6fab.*
+ 2d450: 0f2f.*
+ 2d451: 6fab.*
+ 2d452: 0f2f.*
+ 2d453: 08b3.*
+ 2d454: 6fb3.*
+ 2d455: 0d20.*
+ 2d456: 08b3.*
+ 2d457: 6fb3.*
+ 2d458: 0e20.*
+ 2d459: 09b3.*
+ 2d45a: 09b3.*
+ 2d45b: 6fb3.*
+ 2d45c: 0c30.*
+ 2d45d: 6fb3.*
+ 2d45e: 0d30.*
+ 2d45f: 6fb3.*
+ 2d460: 0c30.*
+ 2d461: 6fb3.*
+ 2d462: 0e30.*
+ 2d463: 6fb3.*
+ 2d464: 0f30.*
+ 2d465: 6fb3.*
+ 2d466: 0f30.*
+ 2d467: 6fb3.*
+ 2d468: 0c31.*
+ 2d469: 6fb3.*
+ 2d46a: 0d31.*
+ 2d46b: 6fb3.*
+ 2d46c: 0c31.*
+ 2d46d: 6fb3.*
+ 2d46e: 0e31.*
+ 2d46f: 6fb3.*
+ 2d470: 0f31.*
+ 2d471: 6fb3.*
+ 2d472: 0f31.*
+ 2d473: 6fb3.*
+ 2d474: 0c32.*
+ 2d475: 6fb3.*
+ 2d476: 0d32.*
+ 2d477: 6fb3.*
+ 2d478: 0c32.*
+ 2d479: 6fb3.*
+ 2d47a: 0e32.*
+ 2d47b: 6fb3.*
+ 2d47c: 0f32.*
+ 2d47d: 6fb3.*
+ 2d47e: 0f32.*
+ 2d47f: 6fb3.*
+ 2d480: 0c33.*
+ 2d481: 6fb3.*
+ 2d482: 0d33.*
+ 2d483: 6fb3.*
+ 2d484: 0c33.*
+ 2d485: 6fb3.*
+ 2d486: 0e33.*
+ 2d487: 6fb3.*
+ 2d488: 0f33.*
+ 2d489: 6fb3.*
+ 2d48a: 0f33.*
+ 2d48b: 6fb3.*
+ 2d48c: 0c34.*
+ 2d48d: 6fb3.*
+ 2d48e: 0d34.*
+ 2d48f: 6fb3.*
+ 2d490: 0c34.*
+ 2d491: 6fb3.*
+ 2d492: 0e34.*
+ 2d493: 6fb3.*
+ 2d494: 0f34.*
+ 2d495: 6fb3.*
+ 2d496: 0f34.*
+ 2d497: 6fb3.*
+ 2d498: 0c35.*
+ 2d499: 6fb3.*
+ 2d49a: 0d35.*
+ 2d49b: 6fb3.*
+ 2d49c: 0c35.*
+ 2d49d: 6fb3.*
+ 2d49e: 0e35.*
+ 2d49f: 6fb3.*
+ 2d4a0: 0f35.*
+ 2d4a1: 6fb3.*
+ 2d4a2: 0f35.*
+ 2d4a3: 6fb3.*
+ 2d4a4: 0c36.*
+ 2d4a5: 6fb3.*
+ 2d4a6: 0d36.*
+ 2d4a7: 6fb3.*
+ 2d4a8: 0c36.*
+ 2d4a9: 6fb3.*
+ 2d4aa: 0e36.*
+ 2d4ab: 6fb3.*
+ 2d4ac: 0f36.*
+ 2d4ad: 6fb3.*
+ 2d4ae: 0f36.*
+ 2d4af: 6fb3.*
+ 2d4b0: 0c37.*
+ 2d4b1: 6fb3.*
+ 2d4b2: 0d37.*
+ 2d4b3: 6fb3.*
+ 2d4b4: 0c37.*
+ 2d4b5: 6fb3.*
+ 2d4b6: 0e37.*
+ 2d4b7: 6fb3.*
+ 2d4b8: 0f37.*
+ 2d4b9: 6fb3.*
+ 2d4ba: 0f37.*
+ 2d4bb: 6fb3.*
+ 2d4bc: 0c38.*
+ 2d4bd: 6fb3.*
+ 2d4be: 0d38.*
+ 2d4bf: 6fb3.*
+ 2d4c0: 0c38.*
+ 2d4c1: 6fb3.*
+ 2d4c2: 0e38.*
+ 2d4c3: 6fb3.*
+ 2d4c4: 0f38.*
+ 2d4c5: 6fb3.*
+ 2d4c6: 0f38.*
+ 2d4c7: 6fb3.*
+ 2d4c8: 0c39.*
+ 2d4c9: 6fb3.*
+ 2d4ca: 0d39.*
+ 2d4cb: 6fb3.*
+ 2d4cc: 0c39.*
+ 2d4cd: 6fb3.*
+ 2d4ce: 0e39.*
+ 2d4cf: 6fb3.*
+ 2d4d0: 0f39.*
+ 2d4d1: 6fb3.*
+ 2d4d2: 0f39.*
+ 2d4d3: 6fb3.*
+ 2d4d4: 0c3a.*
+ 2d4d5: 6fb3.*
+ 2d4d6: 0d3a.*
+ 2d4d7: 6fb3.*
+ 2d4d8: 0c3a.*
+ 2d4d9: 6fb3.*
+ 2d4da: 0e3a.*
+ 2d4db: 6fb3.*
+ 2d4dc: 0f3a.*
+ 2d4dd: 6fb3.*
+ 2d4de: 0f3a.*
+ 2d4df: 6fb3.*
+ 2d4e0: 0c3b.*
+ 2d4e1: 6fb3.*
+ 2d4e2: 0d3b.*
+ 2d4e3: 6fb3.*
+ 2d4e4: 0c3b.*
+ 2d4e5: 6fb3.*
+ 2d4e6: 0e3b.*
+ 2d4e7: 6fb3.*
+ 2d4e8: 0f3b.*
+ 2d4e9: 6fb3.*
+ 2d4ea: 0f3b.*
+ 2d4eb: 6fb3.*
+ 2d4ec: 0c3c.*
+ 2d4ed: 6fb3.*
+ 2d4ee: 0d3c.*
+ 2d4ef: 6fb3.*
+ 2d4f0: 0c3c.*
+ 2d4f1: 6fb3.*
+ 2d4f2: 0e3c.*
+ 2d4f3: 6fb3.*
+ 2d4f4: 0f3c.*
+ 2d4f5: 6fb3.*
+ 2d4f6: 0f3c.*
+ 2d4f7: 6fb3.*
+ 2d4f8: 0c3d.*
+ 2d4f9: 6fb3.*
+ 2d4fa: 0d3d.*
+ 2d4fb: 6fb3.*
+ 2d4fc: 0c3d.*
+ 2d4fd: 6fb3.*
+ 2d4fe: 0e3d.*
+ 2d4ff: 6fb3.*
+ 2d500: 0f3d.*
+ 2d501: 6fb3.*
+ 2d502: 0f3d.*
+ 2d503: 6fb3.*
+ 2d504: 0c3e.*
+ 2d505: 6fb3.*
+ 2d506: 0d3e.*
+ 2d507: 6fb3.*
+ 2d508: 0c3e.*
+ 2d509: 6fb3.*
+ 2d50a: 0e3e.*
+ 2d50b: 6fb3.*
+ 2d50c: 0f3e.*
+ 2d50d: 6fb3.*
+ 2d50e: 0f3e.*
+ 2d50f: 6fb3.*
+ 2d510: 0c3f.*
+ 2d511: 6fb3.*
+ 2d512: 0d3f.*
+ 2d513: 6fb3.*
+ 2d514: 0c3f.*
+ 2d515: 6fb3.*
+ 2d516: 0e3f.*
+ 2d517: 6fb3.*
+ 2d518: 0f3f.*
+ 2d519: 6fb3.*
+ 2d51a: 0f3f.*
+ 2d51b: 08b3.*
+ 2d51c: 6fb3.*
+ 2d51d: 0d20.*
+ 2d51e: 08b3.*
+ 2d51f: 6fb3.*
+ 2d520: 0e20.*
+ 2d521: 09b3.*
+ 2d522: 09b3.*
+ 2d523: 6fb3.*
+ 2d524: 0c21.*
+ 2d525: 6fb3.*
+ 2d526: 0d21.*
+ 2d527: 6fb3.*
+ 2d528: 0c21.*
+ 2d529: 6fb3.*
+ 2d52a: 0e21.*
+ 2d52b: 6fb3.*
+ 2d52c: 0f21.*
+ 2d52d: 6fb3.*
+ 2d52e: 0f21.*
+ 2d52f: 6fb3.*
+ 2d530: 0c22.*
+ 2d531: 6fb3.*
+ 2d532: 0d22.*
+ 2d533: 6fb3.*
+ 2d534: 0c22.*
+ 2d535: 6fb3.*
+ 2d536: 0e22.*
+ 2d537: 6fb3.*
+ 2d538: 0f22.*
+ 2d539: 6fb3.*
+ 2d53a: 0f22.*
+ 2d53b: 6fb3.*
+ 2d53c: 0c23.*
+ 2d53d: 6fb3.*
+ 2d53e: 0d23.*
+ 2d53f: 6fb3.*
+ 2d540: 0c23.*
+ 2d541: 6fb3.*
+ 2d542: 0e23.*
+ 2d543: 6fb3.*
+ 2d544: 0f23.*
+ 2d545: 6fb3.*
+ 2d546: 0f23.*
+ 2d547: 6fb3.*
+ 2d548: 0c24.*
+ 2d549: 6fb3.*
+ 2d54a: 0d24.*
+ 2d54b: 6fb3.*
+ 2d54c: 0c24.*
+ 2d54d: 6fb3.*
+ 2d54e: 0e24.*
+ 2d54f: 6fb3.*
+ 2d550: 0f24.*
+ 2d551: 6fb3.*
+ 2d552: 0f24.*
+ 2d553: 6fb3.*
+ 2d554: 0c25.*
+ 2d555: 6fb3.*
+ 2d556: 0d25.*
+ 2d557: 6fb3.*
+ 2d558: 0c25.*
+ 2d559: 6fb3.*
+ 2d55a: 0e25.*
+ 2d55b: 6fb3.*
+ 2d55c: 0f25.*
+ 2d55d: 6fb3.*
+ 2d55e: 0f25.*
+ 2d55f: 6fb3.*
+ 2d560: 0c26.*
+ 2d561: 6fb3.*
+ 2d562: 0d26.*
+ 2d563: 6fb3.*
+ 2d564: 0c26.*
+ 2d565: 6fb3.*
+ 2d566: 0e26.*
+ 2d567: 6fb3.*
+ 2d568: 0f26.*
+ 2d569: 6fb3.*
+ 2d56a: 0f26.*
+ 2d56b: 6fb3.*
+ 2d56c: 0c27.*
+ 2d56d: 6fb3.*
+ 2d56e: 0d27.*
+ 2d56f: 6fb3.*
+ 2d570: 0c27.*
+ 2d571: 6fb3.*
+ 2d572: 0e27.*
+ 2d573: 6fb3.*
+ 2d574: 0f27.*
+ 2d575: 6fb3.*
+ 2d576: 0f27.*
+ 2d577: 6fb3.*
+ 2d578: 0c28.*
+ 2d579: 6fb3.*
+ 2d57a: 0d28.*
+ 2d57b: 6fb3.*
+ 2d57c: 0c28.*
+ 2d57d: 6fb3.*
+ 2d57e: 0e28.*
+ 2d57f: 6fb3.*
+ 2d580: 0f28.*
+ 2d581: 6fb3.*
+ 2d582: 0f28.*
+ 2d583: 6fb3.*
+ 2d584: 0c29.*
+ 2d585: 6fb3.*
+ 2d586: 0d29.*
+ 2d587: 6fb3.*
+ 2d588: 0c29.*
+ 2d589: 6fb3.*
+ 2d58a: 0e29.*
+ 2d58b: 6fb3.*
+ 2d58c: 0f29.*
+ 2d58d: 6fb3.*
+ 2d58e: 0f29.*
+ 2d58f: 6fb3.*
+ 2d590: 0c2a.*
+ 2d591: 6fb3.*
+ 2d592: 0d2a.*
+ 2d593: 6fb3.*
+ 2d594: 0c2a.*
+ 2d595: 6fb3.*
+ 2d596: 0e2a.*
+ 2d597: 6fb3.*
+ 2d598: 0f2a.*
+ 2d599: 6fb3.*
+ 2d59a: 0f2a.*
+ 2d59b: 6fb3.*
+ 2d59c: 0c2b.*
+ 2d59d: 6fb3.*
+ 2d59e: 0d2b.*
+ 2d59f: 6fb3.*
+ 2d5a0: 0c2b.*
+ 2d5a1: 6fb3.*
+ 2d5a2: 0e2b.*
+ 2d5a3: 6fb3.*
+ 2d5a4: 0f2b.*
+ 2d5a5: 6fb3.*
+ 2d5a6: 0f2b.*
+ 2d5a7: 6fb3.*
+ 2d5a8: 0c2c.*
+ 2d5a9: 6fb3.*
+ 2d5aa: 0d2c.*
+ 2d5ab: 6fb3.*
+ 2d5ac: 0c2c.*
+ 2d5ad: 6fb3.*
+ 2d5ae: 0e2c.*
+ 2d5af: 6fb3.*
+ 2d5b0: 0f2c.*
+ 2d5b1: 6fb3.*
+ 2d5b2: 0f2c.*
+ 2d5b3: 6fb3.*
+ 2d5b4: 0c2d.*
+ 2d5b5: 6fb3.*
+ 2d5b6: 0d2d.*
+ 2d5b7: 6fb3.*
+ 2d5b8: 0c2d.*
+ 2d5b9: 6fb3.*
+ 2d5ba: 0e2d.*
+ 2d5bb: 6fb3.*
+ 2d5bc: 0f2d.*
+ 2d5bd: 6fb3.*
+ 2d5be: 0f2d.*
+ 2d5bf: 6fb3.*
+ 2d5c0: 0c2e.*
+ 2d5c1: 6fb3.*
+ 2d5c2: 0d2e.*
+ 2d5c3: 6fb3.*
+ 2d5c4: 0c2e.*
+ 2d5c5: 6fb3.*
+ 2d5c6: 0e2e.*
+ 2d5c7: 6fb3.*
+ 2d5c8: 0f2e.*
+ 2d5c9: 6fb3.*
+ 2d5ca: 0f2e.*
+ 2d5cb: 6fb3.*
+ 2d5cc: 0c2f.*
+ 2d5cd: 6fb3.*
+ 2d5ce: 0d2f.*
+ 2d5cf: 6fb3.*
+ 2d5d0: 0c2f.*
+ 2d5d1: 6fb3.*
+ 2d5d2: 0e2f.*
+ 2d5d3: 6fb3.*
+ 2d5d4: 0f2f.*
+ 2d5d5: 6fb3.*
+ 2d5d6: 0f2f.*
+ 2d5d7: 08bb.*
+ 2d5d8: 6fbb.*
+ 2d5d9: 0d20.*
+ 2d5da: 08bb.*
+ 2d5db: 6fbb.*
+ 2d5dc: 0e20.*
+ 2d5dd: 09bb.*
+ 2d5de: 09bb.*
+ 2d5df: 6fbb.*
+ 2d5e0: 0c30.*
+ 2d5e1: 6fbb.*
+ 2d5e2: 0d30.*
+ 2d5e3: 6fbb.*
+ 2d5e4: 0c30.*
+ 2d5e5: 6fbb.*
+ 2d5e6: 0e30.*
+ 2d5e7: 6fbb.*
+ 2d5e8: 0f30.*
+ 2d5e9: 6fbb.*
+ 2d5ea: 0f30.*
+ 2d5eb: 6fbb.*
+ 2d5ec: 0c31.*
+ 2d5ed: 6fbb.*
+ 2d5ee: 0d31.*
+ 2d5ef: 6fbb.*
+ 2d5f0: 0c31.*
+ 2d5f1: 6fbb.*
+ 2d5f2: 0e31.*
+ 2d5f3: 6fbb.*
+ 2d5f4: 0f31.*
+ 2d5f5: 6fbb.*
+ 2d5f6: 0f31.*
+ 2d5f7: 6fbb.*
+ 2d5f8: 0c32.*
+ 2d5f9: 6fbb.*
+ 2d5fa: 0d32.*
+ 2d5fb: 6fbb.*
+ 2d5fc: 0c32.*
+ 2d5fd: 6fbb.*
+ 2d5fe: 0e32.*
+ 2d5ff: 6fbb.*
+ 2d600: 0f32.*
+ 2d601: 6fbb.*
+ 2d602: 0f32.*
+ 2d603: 6fbb.*
+ 2d604: 0c33.*
+ 2d605: 6fbb.*
+ 2d606: 0d33.*
+ 2d607: 6fbb.*
+ 2d608: 0c33.*
+ 2d609: 6fbb.*
+ 2d60a: 0e33.*
+ 2d60b: 6fbb.*
+ 2d60c: 0f33.*
+ 2d60d: 6fbb.*
+ 2d60e: 0f33.*
+ 2d60f: 6fbb.*
+ 2d610: 0c34.*
+ 2d611: 6fbb.*
+ 2d612: 0d34.*
+ 2d613: 6fbb.*
+ 2d614: 0c34.*
+ 2d615: 6fbb.*
+ 2d616: 0e34.*
+ 2d617: 6fbb.*
+ 2d618: 0f34.*
+ 2d619: 6fbb.*
+ 2d61a: 0f34.*
+ 2d61b: 6fbb.*
+ 2d61c: 0c35.*
+ 2d61d: 6fbb.*
+ 2d61e: 0d35.*
+ 2d61f: 6fbb.*
+ 2d620: 0c35.*
+ 2d621: 6fbb.*
+ 2d622: 0e35.*
+ 2d623: 6fbb.*
+ 2d624: 0f35.*
+ 2d625: 6fbb.*
+ 2d626: 0f35.*
+ 2d627: 6fbb.*
+ 2d628: 0c36.*
+ 2d629: 6fbb.*
+ 2d62a: 0d36.*
+ 2d62b: 6fbb.*
+ 2d62c: 0c36.*
+ 2d62d: 6fbb.*
+ 2d62e: 0e36.*
+ 2d62f: 6fbb.*
+ 2d630: 0f36.*
+ 2d631: 6fbb.*
+ 2d632: 0f36.*
+ 2d633: 6fbb.*
+ 2d634: 0c37.*
+ 2d635: 6fbb.*
+ 2d636: 0d37.*
+ 2d637: 6fbb.*
+ 2d638: 0c37.*
+ 2d639: 6fbb.*
+ 2d63a: 0e37.*
+ 2d63b: 6fbb.*
+ 2d63c: 0f37.*
+ 2d63d: 6fbb.*
+ 2d63e: 0f37.*
+ 2d63f: 6fbb.*
+ 2d640: 0c38.*
+ 2d641: 6fbb.*
+ 2d642: 0d38.*
+ 2d643: 6fbb.*
+ 2d644: 0c38.*
+ 2d645: 6fbb.*
+ 2d646: 0e38.*
+ 2d647: 6fbb.*
+ 2d648: 0f38.*
+ 2d649: 6fbb.*
+ 2d64a: 0f38.*
+ 2d64b: 6fbb.*
+ 2d64c: 0c39.*
+ 2d64d: 6fbb.*
+ 2d64e: 0d39.*
+ 2d64f: 6fbb.*
+ 2d650: 0c39.*
+ 2d651: 6fbb.*
+ 2d652: 0e39.*
+ 2d653: 6fbb.*
+ 2d654: 0f39.*
+ 2d655: 6fbb.*
+ 2d656: 0f39.*
+ 2d657: 6fbb.*
+ 2d658: 0c3a.*
+ 2d659: 6fbb.*
+ 2d65a: 0d3a.*
+ 2d65b: 6fbb.*
+ 2d65c: 0c3a.*
+ 2d65d: 6fbb.*
+ 2d65e: 0e3a.*
+ 2d65f: 6fbb.*
+ 2d660: 0f3a.*
+ 2d661: 6fbb.*
+ 2d662: 0f3a.*
+ 2d663: 6fbb.*
+ 2d664: 0c3b.*
+ 2d665: 6fbb.*
+ 2d666: 0d3b.*
+ 2d667: 6fbb.*
+ 2d668: 0c3b.*
+ 2d669: 6fbb.*
+ 2d66a: 0e3b.*
+ 2d66b: 6fbb.*
+ 2d66c: 0f3b.*
+ 2d66d: 6fbb.*
+ 2d66e: 0f3b.*
+ 2d66f: 6fbb.*
+ 2d670: 0c3c.*
+ 2d671: 6fbb.*
+ 2d672: 0d3c.*
+ 2d673: 6fbb.*
+ 2d674: 0c3c.*
+ 2d675: 6fbb.*
+ 2d676: 0e3c.*
+ 2d677: 6fbb.*
+ 2d678: 0f3c.*
+ 2d679: 6fbb.*
+ 2d67a: 0f3c.*
+ 2d67b: 6fbb.*
+ 2d67c: 0c3d.*
+ 2d67d: 6fbb.*
+ 2d67e: 0d3d.*
+ 2d67f: 6fbb.*
+ 2d680: 0c3d.*
+ 2d681: 6fbb.*
+ 2d682: 0e3d.*
+ 2d683: 6fbb.*
+ 2d684: 0f3d.*
+ 2d685: 6fbb.*
+ 2d686: 0f3d.*
+ 2d687: 6fbb.*
+ 2d688: 0c3e.*
+ 2d689: 6fbb.*
+ 2d68a: 0d3e.*
+ 2d68b: 6fbb.*
+ 2d68c: 0c3e.*
+ 2d68d: 6fbb.*
+ 2d68e: 0e3e.*
+ 2d68f: 6fbb.*
+ 2d690: 0f3e.*
+ 2d691: 6fbb.*
+ 2d692: 0f3e.*
+ 2d693: 6fbb.*
+ 2d694: 0c3f.*
+ 2d695: 6fbb.*
+ 2d696: 0d3f.*
+ 2d697: 6fbb.*
+ 2d698: 0c3f.*
+ 2d699: 6fbb.*
+ 2d69a: 0e3f.*
+ 2d69b: 6fbb.*
+ 2d69c: 0f3f.*
+ 2d69d: 6fbb.*
+ 2d69e: 0f3f.*
+ 2d69f: 08bb.*
+ 2d6a0: 6fbb.*
+ 2d6a1: 0d20.*
+ 2d6a2: 08bb.*
+ 2d6a3: 6fbb.*
+ 2d6a4: 0e20.*
+ 2d6a5: 09bb.*
+ 2d6a6: 09bb.*
+ 2d6a7: 6fbb.*
+ 2d6a8: 0c21.*
+ 2d6a9: 6fbb.*
+ 2d6aa: 0d21.*
+ 2d6ab: 6fbb.*
+ 2d6ac: 0c21.*
+ 2d6ad: 6fbb.*
+ 2d6ae: 0e21.*
+ 2d6af: 6fbb.*
+ 2d6b0: 0f21.*
+ 2d6b1: 6fbb.*
+ 2d6b2: 0f21.*
+ 2d6b3: 6fbb.*
+ 2d6b4: 0c22.*
+ 2d6b5: 6fbb.*
+ 2d6b6: 0d22.*
+ 2d6b7: 6fbb.*
+ 2d6b8: 0c22.*
+ 2d6b9: 6fbb.*
+ 2d6ba: 0e22.*
+ 2d6bb: 6fbb.*
+ 2d6bc: 0f22.*
+ 2d6bd: 6fbb.*
+ 2d6be: 0f22.*
+ 2d6bf: 6fbb.*
+ 2d6c0: 0c23.*
+ 2d6c1: 6fbb.*
+ 2d6c2: 0d23.*
+ 2d6c3: 6fbb.*
+ 2d6c4: 0c23.*
+ 2d6c5: 6fbb.*
+ 2d6c6: 0e23.*
+ 2d6c7: 6fbb.*
+ 2d6c8: 0f23.*
+ 2d6c9: 6fbb.*
+ 2d6ca: 0f23.*
+ 2d6cb: 6fbb.*
+ 2d6cc: 0c24.*
+ 2d6cd: 6fbb.*
+ 2d6ce: 0d24.*
+ 2d6cf: 6fbb.*
+ 2d6d0: 0c24.*
+ 2d6d1: 6fbb.*
+ 2d6d2: 0e24.*
+ 2d6d3: 6fbb.*
+ 2d6d4: 0f24.*
+ 2d6d5: 6fbb.*
+ 2d6d6: 0f24.*
+ 2d6d7: 6fbb.*
+ 2d6d8: 0c25.*
+ 2d6d9: 6fbb.*
+ 2d6da: 0d25.*
+ 2d6db: 6fbb.*
+ 2d6dc: 0c25.*
+ 2d6dd: 6fbb.*
+ 2d6de: 0e25.*
+ 2d6df: 6fbb.*
+ 2d6e0: 0f25.*
+ 2d6e1: 6fbb.*
+ 2d6e2: 0f25.*
+ 2d6e3: 6fbb.*
+ 2d6e4: 0c26.*
+ 2d6e5: 6fbb.*
+ 2d6e6: 0d26.*
+ 2d6e7: 6fbb.*
+ 2d6e8: 0c26.*
+ 2d6e9: 6fbb.*
+ 2d6ea: 0e26.*
+ 2d6eb: 6fbb.*
+ 2d6ec: 0f26.*
+ 2d6ed: 6fbb.*
+ 2d6ee: 0f26.*
+ 2d6ef: 6fbb.*
+ 2d6f0: 0c27.*
+ 2d6f1: 6fbb.*
+ 2d6f2: 0d27.*
+ 2d6f3: 6fbb.*
+ 2d6f4: 0c27.*
+ 2d6f5: 6fbb.*
+ 2d6f6: 0e27.*
+ 2d6f7: 6fbb.*
+ 2d6f8: 0f27.*
+ 2d6f9: 6fbb.*
+ 2d6fa: 0f27.*
+ 2d6fb: 6fbb.*
+ 2d6fc: 0c28.*
+ 2d6fd: 6fbb.*
+ 2d6fe: 0d28.*
+ 2d6ff: 6fbb.*
+ 2d700: 0c28.*
+ 2d701: 6fbb.*
+ 2d702: 0e28.*
+ 2d703: 6fbb.*
+ 2d704: 0f28.*
+ 2d705: 6fbb.*
+ 2d706: 0f28.*
+ 2d707: 6fbb.*
+ 2d708: 0c29.*
+ 2d709: 6fbb.*
+ 2d70a: 0d29.*
+ 2d70b: 6fbb.*
+ 2d70c: 0c29.*
+ 2d70d: 6fbb.*
+ 2d70e: 0e29.*
+ 2d70f: 6fbb.*
+ 2d710: 0f29.*
+ 2d711: 6fbb.*
+ 2d712: 0f29.*
+ 2d713: 6fbb.*
+ 2d714: 0c2a.*
+ 2d715: 6fbb.*
+ 2d716: 0d2a.*
+ 2d717: 6fbb.*
+ 2d718: 0c2a.*
+ 2d719: 6fbb.*
+ 2d71a: 0e2a.*
+ 2d71b: 6fbb.*
+ 2d71c: 0f2a.*
+ 2d71d: 6fbb.*
+ 2d71e: 0f2a.*
+ 2d71f: 6fbb.*
+ 2d720: 0c2b.*
+ 2d721: 6fbb.*
+ 2d722: 0d2b.*
+ 2d723: 6fbb.*
+ 2d724: 0c2b.*
+ 2d725: 6fbb.*
+ 2d726: 0e2b.*
+ 2d727: 6fbb.*
+ 2d728: 0f2b.*
+ 2d729: 6fbb.*
+ 2d72a: 0f2b.*
+ 2d72b: 6fbb.*
+ 2d72c: 0c2c.*
+ 2d72d: 6fbb.*
+ 2d72e: 0d2c.*
+ 2d72f: 6fbb.*
+ 2d730: 0c2c.*
+ 2d731: 6fbb.*
+ 2d732: 0e2c.*
+ 2d733: 6fbb.*
+ 2d734: 0f2c.*
+ 2d735: 6fbb.*
+ 2d736: 0f2c.*
+ 2d737: 6fbb.*
+ 2d738: 0c2d.*
+ 2d739: 6fbb.*
+ 2d73a: 0d2d.*
+ 2d73b: 6fbb.*
+ 2d73c: 0c2d.*
+ 2d73d: 6fbb.*
+ 2d73e: 0e2d.*
+ 2d73f: 6fbb.*
+ 2d740: 0f2d.*
+ 2d741: 6fbb.*
+ 2d742: 0f2d.*
+ 2d743: 6fbb.*
+ 2d744: 0c2e.*
+ 2d745: 6fbb.*
+ 2d746: 0d2e.*
+ 2d747: 6fbb.*
+ 2d748: 0c2e.*
+ 2d749: 6fbb.*
+ 2d74a: 0e2e.*
+ 2d74b: 6fbb.*
+ 2d74c: 0f2e.*
+ 2d74d: 6fbb.*
+ 2d74e: 0f2e.*
+ 2d74f: 6fbb.*
+ 2d750: 0c2f.*
+ 2d751: 6fbb.*
+ 2d752: 0d2f.*
+ 2d753: 6fbb.*
+ 2d754: 0c2f.*
+ 2d755: 6fbb.*
+ 2d756: 0e2f.*
+ 2d757: 6fbb.*
+ 2d758: 0f2f.*
+ 2d759: 6fbb.*
+ 2d75a: 0f2f.*
+ 2d75b: 08c3.*
+ 2d75c: 6fc3.*
+ 2d75d: 0d20.*
+ 2d75e: 08c3.*
+ 2d75f: 6fc3.*
+ 2d760: 0e20.*
+ 2d761: 09c3.*
+ 2d762: 09c3.*
+ 2d763: 6fc3.*
+ 2d764: 0c30.*
+ 2d765: 6fc3.*
+ 2d766: 0d30.*
+ 2d767: 6fc3.*
+ 2d768: 0c30.*
+ 2d769: 6fc3.*
+ 2d76a: 0e30.*
+ 2d76b: 6fc3.*
+ 2d76c: 0f30.*
+ 2d76d: 6fc3.*
+ 2d76e: 0f30.*
+ 2d76f: 6fc3.*
+ 2d770: 0c31.*
+ 2d771: 6fc3.*
+ 2d772: 0d31.*
+ 2d773: 6fc3.*
+ 2d774: 0c31.*
+ 2d775: 6fc3.*
+ 2d776: 0e31.*
+ 2d777: 6fc3.*
+ 2d778: 0f31.*
+ 2d779: 6fc3.*
+ 2d77a: 0f31.*
+ 2d77b: 6fc3.*
+ 2d77c: 0c32.*
+ 2d77d: 6fc3.*
+ 2d77e: 0d32.*
+ 2d77f: 6fc3.*
+ 2d780: 0c32.*
+ 2d781: 6fc3.*
+ 2d782: 0e32.*
+ 2d783: 6fc3.*
+ 2d784: 0f32.*
+ 2d785: 6fc3.*
+ 2d786: 0f32.*
+ 2d787: 6fc3.*
+ 2d788: 0c33.*
+ 2d789: 6fc3.*
+ 2d78a: 0d33.*
+ 2d78b: 6fc3.*
+ 2d78c: 0c33.*
+ 2d78d: 6fc3.*
+ 2d78e: 0e33.*
+ 2d78f: 6fc3.*
+ 2d790: 0f33.*
+ 2d791: 6fc3.*
+ 2d792: 0f33.*
+ 2d793: 6fc3.*
+ 2d794: 0c34.*
+ 2d795: 6fc3.*
+ 2d796: 0d34.*
+ 2d797: 6fc3.*
+ 2d798: 0c34.*
+ 2d799: 6fc3.*
+ 2d79a: 0e34.*
+ 2d79b: 6fc3.*
+ 2d79c: 0f34.*
+ 2d79d: 6fc3.*
+ 2d79e: 0f34.*
+ 2d79f: 6fc3.*
+ 2d7a0: 0c35.*
+ 2d7a1: 6fc3.*
+ 2d7a2: 0d35.*
+ 2d7a3: 6fc3.*
+ 2d7a4: 0c35.*
+ 2d7a5: 6fc3.*
+ 2d7a6: 0e35.*
+ 2d7a7: 6fc3.*
+ 2d7a8: 0f35.*
+ 2d7a9: 6fc3.*
+ 2d7aa: 0f35.*
+ 2d7ab: 6fc3.*
+ 2d7ac: 0c36.*
+ 2d7ad: 6fc3.*
+ 2d7ae: 0d36.*
+ 2d7af: 6fc3.*
+ 2d7b0: 0c36.*
+ 2d7b1: 6fc3.*
+ 2d7b2: 0e36.*
+ 2d7b3: 6fc3.*
+ 2d7b4: 0f36.*
+ 2d7b5: 6fc3.*
+ 2d7b6: 0f36.*
+ 2d7b7: 6fc3.*
+ 2d7b8: 0c37.*
+ 2d7b9: 6fc3.*
+ 2d7ba: 0d37.*
+ 2d7bb: 6fc3.*
+ 2d7bc: 0c37.*
+ 2d7bd: 6fc3.*
+ 2d7be: 0e37.*
+ 2d7bf: 6fc3.*
+ 2d7c0: 0f37.*
+ 2d7c1: 6fc3.*
+ 2d7c2: 0f37.*
+ 2d7c3: 6fc3.*
+ 2d7c4: 0c38.*
+ 2d7c5: 6fc3.*
+ 2d7c6: 0d38.*
+ 2d7c7: 6fc3.*
+ 2d7c8: 0c38.*
+ 2d7c9: 6fc3.*
+ 2d7ca: 0e38.*
+ 2d7cb: 6fc3.*
+ 2d7cc: 0f38.*
+ 2d7cd: 6fc3.*
+ 2d7ce: 0f38.*
+ 2d7cf: 6fc3.*
+ 2d7d0: 0c39.*
+ 2d7d1: 6fc3.*
+ 2d7d2: 0d39.*
+ 2d7d3: 6fc3.*
+ 2d7d4: 0c39.*
+ 2d7d5: 6fc3.*
+ 2d7d6: 0e39.*
+ 2d7d7: 6fc3.*
+ 2d7d8: 0f39.*
+ 2d7d9: 6fc3.*
+ 2d7da: 0f39.*
+ 2d7db: 6fc3.*
+ 2d7dc: 0c3a.*
+ 2d7dd: 6fc3.*
+ 2d7de: 0d3a.*
+ 2d7df: 6fc3.*
+ 2d7e0: 0c3a.*
+ 2d7e1: 6fc3.*
+ 2d7e2: 0e3a.*
+ 2d7e3: 6fc3.*
+ 2d7e4: 0f3a.*
+ 2d7e5: 6fc3.*
+ 2d7e6: 0f3a.*
+ 2d7e7: 6fc3.*
+ 2d7e8: 0c3b.*
+ 2d7e9: 6fc3.*
+ 2d7ea: 0d3b.*
+ 2d7eb: 6fc3.*
+ 2d7ec: 0c3b.*
+ 2d7ed: 6fc3.*
+ 2d7ee: 0e3b.*
+ 2d7ef: 6fc3.*
+ 2d7f0: 0f3b.*
+ 2d7f1: 6fc3.*
+ 2d7f2: 0f3b.*
+ 2d7f3: 6fc3.*
+ 2d7f4: 0c3c.*
+ 2d7f5: 6fc3.*
+ 2d7f6: 0d3c.*
+ 2d7f7: 6fc3.*
+ 2d7f8: 0c3c.*
+ 2d7f9: 6fc3.*
+ 2d7fa: 0e3c.*
+ 2d7fb: 6fc3.*
+ 2d7fc: 0f3c.*
+ 2d7fd: 6fc3.*
+ 2d7fe: 0f3c.*
+ 2d7ff: 6fc3.*
+ 2d800: 0c3d.*
+ 2d801: 6fc3.*
+ 2d802: 0d3d.*
+ 2d803: 6fc3.*
+ 2d804: 0c3d.*
+ 2d805: 6fc3.*
+ 2d806: 0e3d.*
+ 2d807: 6fc3.*
+ 2d808: 0f3d.*
+ 2d809: 6fc3.*
+ 2d80a: 0f3d.*
+ 2d80b: 6fc3.*
+ 2d80c: 0c3e.*
+ 2d80d: 6fc3.*
+ 2d80e: 0d3e.*
+ 2d80f: 6fc3.*
+ 2d810: 0c3e.*
+ 2d811: 6fc3.*
+ 2d812: 0e3e.*
+ 2d813: 6fc3.*
+ 2d814: 0f3e.*
+ 2d815: 6fc3.*
+ 2d816: 0f3e.*
+ 2d817: 6fc3.*
+ 2d818: 0c3f.*
+ 2d819: 6fc3.*
+ 2d81a: 0d3f.*
+ 2d81b: 6fc3.*
+ 2d81c: 0c3f.*
+ 2d81d: 6fc3.*
+ 2d81e: 0e3f.*
+ 2d81f: 6fc3.*
+ 2d820: 0f3f.*
+ 2d821: 6fc3.*
+ 2d822: 0f3f.*
+ 2d823: 08c3.*
+ 2d824: 6fc3.*
+ 2d825: 0d20.*
+ 2d826: 08c3.*
+ 2d827: 6fc3.*
+ 2d828: 0e20.*
+ 2d829: 09c3.*
+ 2d82a: 09c3.*
+ 2d82b: 6fc3.*
+ 2d82c: 0c21.*
+ 2d82d: 6fc3.*
+ 2d82e: 0d21.*
+ 2d82f: 6fc3.*
+ 2d830: 0c21.*
+ 2d831: 6fc3.*
+ 2d832: 0e21.*
+ 2d833: 6fc3.*
+ 2d834: 0f21.*
+ 2d835: 6fc3.*
+ 2d836: 0f21.*
+ 2d837: 6fc3.*
+ 2d838: 0c22.*
+ 2d839: 6fc3.*
+ 2d83a: 0d22.*
+ 2d83b: 6fc3.*
+ 2d83c: 0c22.*
+ 2d83d: 6fc3.*
+ 2d83e: 0e22.*
+ 2d83f: 6fc3.*
+ 2d840: 0f22.*
+ 2d841: 6fc3.*
+ 2d842: 0f22.*
+ 2d843: 6fc3.*
+ 2d844: 0c23.*
+ 2d845: 6fc3.*
+ 2d846: 0d23.*
+ 2d847: 6fc3.*
+ 2d848: 0c23.*
+ 2d849: 6fc3.*
+ 2d84a: 0e23.*
+ 2d84b: 6fc3.*
+ 2d84c: 0f23.*
+ 2d84d: 6fc3.*
+ 2d84e: 0f23.*
+ 2d84f: 6fc3.*
+ 2d850: 0c24.*
+ 2d851: 6fc3.*
+ 2d852: 0d24.*
+ 2d853: 6fc3.*
+ 2d854: 0c24.*
+ 2d855: 6fc3.*
+ 2d856: 0e24.*
+ 2d857: 6fc3.*
+ 2d858: 0f24.*
+ 2d859: 6fc3.*
+ 2d85a: 0f24.*
+ 2d85b: 6fc3.*
+ 2d85c: 0c25.*
+ 2d85d: 6fc3.*
+ 2d85e: 0d25.*
+ 2d85f: 6fc3.*
+ 2d860: 0c25.*
+ 2d861: 6fc3.*
+ 2d862: 0e25.*
+ 2d863: 6fc3.*
+ 2d864: 0f25.*
+ 2d865: 6fc3.*
+ 2d866: 0f25.*
+ 2d867: 6fc3.*
+ 2d868: 0c26.*
+ 2d869: 6fc3.*
+ 2d86a: 0d26.*
+ 2d86b: 6fc3.*
+ 2d86c: 0c26.*
+ 2d86d: 6fc3.*
+ 2d86e: 0e26.*
+ 2d86f: 6fc3.*
+ 2d870: 0f26.*
+ 2d871: 6fc3.*
+ 2d872: 0f26.*
+ 2d873: 6fc3.*
+ 2d874: 0c27.*
+ 2d875: 6fc3.*
+ 2d876: 0d27.*
+ 2d877: 6fc3.*
+ 2d878: 0c27.*
+ 2d879: 6fc3.*
+ 2d87a: 0e27.*
+ 2d87b: 6fc3.*
+ 2d87c: 0f27.*
+ 2d87d: 6fc3.*
+ 2d87e: 0f27.*
+ 2d87f: 6fc3.*
+ 2d880: 0c28.*
+ 2d881: 6fc3.*
+ 2d882: 0d28.*
+ 2d883: 6fc3.*
+ 2d884: 0c28.*
+ 2d885: 6fc3.*
+ 2d886: 0e28.*
+ 2d887: 6fc3.*
+ 2d888: 0f28.*
+ 2d889: 6fc3.*
+ 2d88a: 0f28.*
+ 2d88b: 6fc3.*
+ 2d88c: 0c29.*
+ 2d88d: 6fc3.*
+ 2d88e: 0d29.*
+ 2d88f: 6fc3.*
+ 2d890: 0c29.*
+ 2d891: 6fc3.*
+ 2d892: 0e29.*
+ 2d893: 6fc3.*
+ 2d894: 0f29.*
+ 2d895: 6fc3.*
+ 2d896: 0f29.*
+ 2d897: 6fc3.*
+ 2d898: 0c2a.*
+ 2d899: 6fc3.*
+ 2d89a: 0d2a.*
+ 2d89b: 6fc3.*
+ 2d89c: 0c2a.*
+ 2d89d: 6fc3.*
+ 2d89e: 0e2a.*
+ 2d89f: 6fc3.*
+ 2d8a0: 0f2a.*
+ 2d8a1: 6fc3.*
+ 2d8a2: 0f2a.*
+ 2d8a3: 6fc3.*
+ 2d8a4: 0c2b.*
+ 2d8a5: 6fc3.*
+ 2d8a6: 0d2b.*
+ 2d8a7: 6fc3.*
+ 2d8a8: 0c2b.*
+ 2d8a9: 6fc3.*
+ 2d8aa: 0e2b.*
+ 2d8ab: 6fc3.*
+ 2d8ac: 0f2b.*
+ 2d8ad: 6fc3.*
+ 2d8ae: 0f2b.*
+ 2d8af: 6fc3.*
+ 2d8b0: 0c2c.*
+ 2d8b1: 6fc3.*
+ 2d8b2: 0d2c.*
+ 2d8b3: 6fc3.*
+ 2d8b4: 0c2c.*
+ 2d8b5: 6fc3.*
+ 2d8b6: 0e2c.*
+ 2d8b7: 6fc3.*
+ 2d8b8: 0f2c.*
+ 2d8b9: 6fc3.*
+ 2d8ba: 0f2c.*
+ 2d8bb: 6fc3.*
+ 2d8bc: 0c2d.*
+ 2d8bd: 6fc3.*
+ 2d8be: 0d2d.*
+ 2d8bf: 6fc3.*
+ 2d8c0: 0c2d.*
+ 2d8c1: 6fc3.*
+ 2d8c2: 0e2d.*
+ 2d8c3: 6fc3.*
+ 2d8c4: 0f2d.*
+ 2d8c5: 6fc3.*
+ 2d8c6: 0f2d.*
+ 2d8c7: 6fc3.*
+ 2d8c8: 0c2e.*
+ 2d8c9: 6fc3.*
+ 2d8ca: 0d2e.*
+ 2d8cb: 6fc3.*
+ 2d8cc: 0c2e.*
+ 2d8cd: 6fc3.*
+ 2d8ce: 0e2e.*
+ 2d8cf: 6fc3.*
+ 2d8d0: 0f2e.*
+ 2d8d1: 6fc3.*
+ 2d8d2: 0f2e.*
+ 2d8d3: 6fc3.*
+ 2d8d4: 0c2f.*
+ 2d8d5: 6fc3.*
+ 2d8d6: 0d2f.*
+ 2d8d7: 6fc3.*
+ 2d8d8: 0c2f.*
+ 2d8d9: 6fc3.*
+ 2d8da: 0e2f.*
+ 2d8db: 6fc3.*
+ 2d8dc: 0f2f.*
+ 2d8dd: 6fc3.*
+ 2d8de: 0f2f.*
+ 2d8df: 08cb.*
+ 2d8e0: 6fcb.*
+ 2d8e1: 0d20.*
+ 2d8e2: 08cb.*
+ 2d8e3: 6fcb.*
+ 2d8e4: 0e20.*
+ 2d8e5: 09cb.*
+ 2d8e6: 09cb.*
+ 2d8e7: 6fcb.*
+ 2d8e8: 0c30.*
+ 2d8e9: 6fcb.*
+ 2d8ea: 0d30.*
+ 2d8eb: 6fcb.*
+ 2d8ec: 0c30.*
+ 2d8ed: 6fcb.*
+ 2d8ee: 0e30.*
+ 2d8ef: 6fcb.*
+ 2d8f0: 0f30.*
+ 2d8f1: 6fcb.*
+ 2d8f2: 0f30.*
+ 2d8f3: 6fcb.*
+ 2d8f4: 0c31.*
+ 2d8f5: 6fcb.*
+ 2d8f6: 0d31.*
+ 2d8f7: 6fcb.*
+ 2d8f8: 0c31.*
+ 2d8f9: 6fcb.*
+ 2d8fa: 0e31.*
+ 2d8fb: 6fcb.*
+ 2d8fc: 0f31.*
+ 2d8fd: 6fcb.*
+ 2d8fe: 0f31.*
+ 2d8ff: 6fcb.*
+ 2d900: 0c32.*
+ 2d901: 6fcb.*
+ 2d902: 0d32.*
+ 2d903: 6fcb.*
+ 2d904: 0c32.*
+ 2d905: 6fcb.*
+ 2d906: 0e32.*
+ 2d907: 6fcb.*
+ 2d908: 0f32.*
+ 2d909: 6fcb.*
+ 2d90a: 0f32.*
+ 2d90b: 6fcb.*
+ 2d90c: 0c33.*
+ 2d90d: 6fcb.*
+ 2d90e: 0d33.*
+ 2d90f: 6fcb.*
+ 2d910: 0c33.*
+ 2d911: 6fcb.*
+ 2d912: 0e33.*
+ 2d913: 6fcb.*
+ 2d914: 0f33.*
+ 2d915: 6fcb.*
+ 2d916: 0f33.*
+ 2d917: 6fcb.*
+ 2d918: 0c34.*
+ 2d919: 6fcb.*
+ 2d91a: 0d34.*
+ 2d91b: 6fcb.*
+ 2d91c: 0c34.*
+ 2d91d: 6fcb.*
+ 2d91e: 0e34.*
+ 2d91f: 6fcb.*
+ 2d920: 0f34.*
+ 2d921: 6fcb.*
+ 2d922: 0f34.*
+ 2d923: 6fcb.*
+ 2d924: 0c35.*
+ 2d925: 6fcb.*
+ 2d926: 0d35.*
+ 2d927: 6fcb.*
+ 2d928: 0c35.*
+ 2d929: 6fcb.*
+ 2d92a: 0e35.*
+ 2d92b: 6fcb.*
+ 2d92c: 0f35.*
+ 2d92d: 6fcb.*
+ 2d92e: 0f35.*
+ 2d92f: 6fcb.*
+ 2d930: 0c36.*
+ 2d931: 6fcb.*
+ 2d932: 0d36.*
+ 2d933: 6fcb.*
+ 2d934: 0c36.*
+ 2d935: 6fcb.*
+ 2d936: 0e36.*
+ 2d937: 6fcb.*
+ 2d938: 0f36.*
+ 2d939: 6fcb.*
+ 2d93a: 0f36.*
+ 2d93b: 6fcb.*
+ 2d93c: 0c37.*
+ 2d93d: 6fcb.*
+ 2d93e: 0d37.*
+ 2d93f: 6fcb.*
+ 2d940: 0c37.*
+ 2d941: 6fcb.*
+ 2d942: 0e37.*
+ 2d943: 6fcb.*
+ 2d944: 0f37.*
+ 2d945: 6fcb.*
+ 2d946: 0f37.*
+ 2d947: 6fcb.*
+ 2d948: 0c38.*
+ 2d949: 6fcb.*
+ 2d94a: 0d38.*
+ 2d94b: 6fcb.*
+ 2d94c: 0c38.*
+ 2d94d: 6fcb.*
+ 2d94e: 0e38.*
+ 2d94f: 6fcb.*
+ 2d950: 0f38.*
+ 2d951: 6fcb.*
+ 2d952: 0f38.*
+ 2d953: 6fcb.*
+ 2d954: 0c39.*
+ 2d955: 6fcb.*
+ 2d956: 0d39.*
+ 2d957: 6fcb.*
+ 2d958: 0c39.*
+ 2d959: 6fcb.*
+ 2d95a: 0e39.*
+ 2d95b: 6fcb.*
+ 2d95c: 0f39.*
+ 2d95d: 6fcb.*
+ 2d95e: 0f39.*
+ 2d95f: 6fcb.*
+ 2d960: 0c3a.*
+ 2d961: 6fcb.*
+ 2d962: 0d3a.*
+ 2d963: 6fcb.*
+ 2d964: 0c3a.*
+ 2d965: 6fcb.*
+ 2d966: 0e3a.*
+ 2d967: 6fcb.*
+ 2d968: 0f3a.*
+ 2d969: 6fcb.*
+ 2d96a: 0f3a.*
+ 2d96b: 6fcb.*
+ 2d96c: 0c3b.*
+ 2d96d: 6fcb.*
+ 2d96e: 0d3b.*
+ 2d96f: 6fcb.*
+ 2d970: 0c3b.*
+ 2d971: 6fcb.*
+ 2d972: 0e3b.*
+ 2d973: 6fcb.*
+ 2d974: 0f3b.*
+ 2d975: 6fcb.*
+ 2d976: 0f3b.*
+ 2d977: 6fcb.*
+ 2d978: 0c3c.*
+ 2d979: 6fcb.*
+ 2d97a: 0d3c.*
+ 2d97b: 6fcb.*
+ 2d97c: 0c3c.*
+ 2d97d: 6fcb.*
+ 2d97e: 0e3c.*
+ 2d97f: 6fcb.*
+ 2d980: 0f3c.*
+ 2d981: 6fcb.*
+ 2d982: 0f3c.*
+ 2d983: 6fcb.*
+ 2d984: 0c3d.*
+ 2d985: 6fcb.*
+ 2d986: 0d3d.*
+ 2d987: 6fcb.*
+ 2d988: 0c3d.*
+ 2d989: 6fcb.*
+ 2d98a: 0e3d.*
+ 2d98b: 6fcb.*
+ 2d98c: 0f3d.*
+ 2d98d: 6fcb.*
+ 2d98e: 0f3d.*
+ 2d98f: 6fcb.*
+ 2d990: 0c3e.*
+ 2d991: 6fcb.*
+ 2d992: 0d3e.*
+ 2d993: 6fcb.*
+ 2d994: 0c3e.*
+ 2d995: 6fcb.*
+ 2d996: 0e3e.*
+ 2d997: 6fcb.*
+ 2d998: 0f3e.*
+ 2d999: 6fcb.*
+ 2d99a: 0f3e.*
+ 2d99b: 6fcb.*
+ 2d99c: 0c3f.*
+ 2d99d: 6fcb.*
+ 2d99e: 0d3f.*
+ 2d99f: 6fcb.*
+ 2d9a0: 0c3f.*
+ 2d9a1: 6fcb.*
+ 2d9a2: 0e3f.*
+ 2d9a3: 6fcb.*
+ 2d9a4: 0f3f.*
+ 2d9a5: 6fcb.*
+ 2d9a6: 0f3f.*
+ 2d9a7: 08cb.*
+ 2d9a8: 6fcb.*
+ 2d9a9: 0d20.*
+ 2d9aa: 08cb.*
+ 2d9ab: 6fcb.*
+ 2d9ac: 0e20.*
+ 2d9ad: 09cb.*
+ 2d9ae: 09cb.*
+ 2d9af: 6fcb.*
+ 2d9b0: 0c21.*
+ 2d9b1: 6fcb.*
+ 2d9b2: 0d21.*
+ 2d9b3: 6fcb.*
+ 2d9b4: 0c21.*
+ 2d9b5: 6fcb.*
+ 2d9b6: 0e21.*
+ 2d9b7: 6fcb.*
+ 2d9b8: 0f21.*
+ 2d9b9: 6fcb.*
+ 2d9ba: 0f21.*
+ 2d9bb: 6fcb.*
+ 2d9bc: 0c22.*
+ 2d9bd: 6fcb.*
+ 2d9be: 0d22.*
+ 2d9bf: 6fcb.*
+ 2d9c0: 0c22.*
+ 2d9c1: 6fcb.*
+ 2d9c2: 0e22.*
+ 2d9c3: 6fcb.*
+ 2d9c4: 0f22.*
+ 2d9c5: 6fcb.*
+ 2d9c6: 0f22.*
+ 2d9c7: 6fcb.*
+ 2d9c8: 0c23.*
+ 2d9c9: 6fcb.*
+ 2d9ca: 0d23.*
+ 2d9cb: 6fcb.*
+ 2d9cc: 0c23.*
+ 2d9cd: 6fcb.*
+ 2d9ce: 0e23.*
+ 2d9cf: 6fcb.*
+ 2d9d0: 0f23.*
+ 2d9d1: 6fcb.*
+ 2d9d2: 0f23.*
+ 2d9d3: 6fcb.*
+ 2d9d4: 0c24.*
+ 2d9d5: 6fcb.*
+ 2d9d6: 0d24.*
+ 2d9d7: 6fcb.*
+ 2d9d8: 0c24.*
+ 2d9d9: 6fcb.*
+ 2d9da: 0e24.*
+ 2d9db: 6fcb.*
+ 2d9dc: 0f24.*
+ 2d9dd: 6fcb.*
+ 2d9de: 0f24.*
+ 2d9df: 6fcb.*
+ 2d9e0: 0c25.*
+ 2d9e1: 6fcb.*
+ 2d9e2: 0d25.*
+ 2d9e3: 6fcb.*
+ 2d9e4: 0c25.*
+ 2d9e5: 6fcb.*
+ 2d9e6: 0e25.*
+ 2d9e7: 6fcb.*
+ 2d9e8: 0f25.*
+ 2d9e9: 6fcb.*
+ 2d9ea: 0f25.*
+ 2d9eb: 6fcb.*
+ 2d9ec: 0c26.*
+ 2d9ed: 6fcb.*
+ 2d9ee: 0d26.*
+ 2d9ef: 6fcb.*
+ 2d9f0: 0c26.*
+ 2d9f1: 6fcb.*
+ 2d9f2: 0e26.*
+ 2d9f3: 6fcb.*
+ 2d9f4: 0f26.*
+ 2d9f5: 6fcb.*
+ 2d9f6: 0f26.*
+ 2d9f7: 6fcb.*
+ 2d9f8: 0c27.*
+ 2d9f9: 6fcb.*
+ 2d9fa: 0d27.*
+ 2d9fb: 6fcb.*
+ 2d9fc: 0c27.*
+ 2d9fd: 6fcb.*
+ 2d9fe: 0e27.*
+ 2d9ff: 6fcb.*
+ 2da00: 0f27.*
+ 2da01: 6fcb.*
+ 2da02: 0f27.*
+ 2da03: 6fcb.*
+ 2da04: 0c28.*
+ 2da05: 6fcb.*
+ 2da06: 0d28.*
+ 2da07: 6fcb.*
+ 2da08: 0c28.*
+ 2da09: 6fcb.*
+ 2da0a: 0e28.*
+ 2da0b: 6fcb.*
+ 2da0c: 0f28.*
+ 2da0d: 6fcb.*
+ 2da0e: 0f28.*
+ 2da0f: 6fcb.*
+ 2da10: 0c29.*
+ 2da11: 6fcb.*
+ 2da12: 0d29.*
+ 2da13: 6fcb.*
+ 2da14: 0c29.*
+ 2da15: 6fcb.*
+ 2da16: 0e29.*
+ 2da17: 6fcb.*
+ 2da18: 0f29.*
+ 2da19: 6fcb.*
+ 2da1a: 0f29.*
+ 2da1b: 6fcb.*
+ 2da1c: 0c2a.*
+ 2da1d: 6fcb.*
+ 2da1e: 0d2a.*
+ 2da1f: 6fcb.*
+ 2da20: 0c2a.*
+ 2da21: 6fcb.*
+ 2da22: 0e2a.*
+ 2da23: 6fcb.*
+ 2da24: 0f2a.*
+ 2da25: 6fcb.*
+ 2da26: 0f2a.*
+ 2da27: 6fcb.*
+ 2da28: 0c2b.*
+ 2da29: 6fcb.*
+ 2da2a: 0d2b.*
+ 2da2b: 6fcb.*
+ 2da2c: 0c2b.*
+ 2da2d: 6fcb.*
+ 2da2e: 0e2b.*
+ 2da2f: 6fcb.*
+ 2da30: 0f2b.*
+ 2da31: 6fcb.*
+ 2da32: 0f2b.*
+ 2da33: 6fcb.*
+ 2da34: 0c2c.*
+ 2da35: 6fcb.*
+ 2da36: 0d2c.*
+ 2da37: 6fcb.*
+ 2da38: 0c2c.*
+ 2da39: 6fcb.*
+ 2da3a: 0e2c.*
+ 2da3b: 6fcb.*
+ 2da3c: 0f2c.*
+ 2da3d: 6fcb.*
+ 2da3e: 0f2c.*
+ 2da3f: 6fcb.*
+ 2da40: 0c2d.*
+ 2da41: 6fcb.*
+ 2da42: 0d2d.*
+ 2da43: 6fcb.*
+ 2da44: 0c2d.*
+ 2da45: 6fcb.*
+ 2da46: 0e2d.*
+ 2da47: 6fcb.*
+ 2da48: 0f2d.*
+ 2da49: 6fcb.*
+ 2da4a: 0f2d.*
+ 2da4b: 6fcb.*
+ 2da4c: 0c2e.*
+ 2da4d: 6fcb.*
+ 2da4e: 0d2e.*
+ 2da4f: 6fcb.*
+ 2da50: 0c2e.*
+ 2da51: 6fcb.*
+ 2da52: 0e2e.*
+ 2da53: 6fcb.*
+ 2da54: 0f2e.*
+ 2da55: 6fcb.*
+ 2da56: 0f2e.*
+ 2da57: 6fcb.*
+ 2da58: 0c2f.*
+ 2da59: 6fcb.*
+ 2da5a: 0d2f.*
+ 2da5b: 6fcb.*
+ 2da5c: 0c2f.*
+ 2da5d: 6fcb.*
+ 2da5e: 0e2f.*
+ 2da5f: 6fcb.*
+ 2da60: 0f2f.*
+ 2da61: 6fcb.*
+ 2da62: 0f2f.*
+ 2da63: 08d3.*
+ 2da64: 6fd3.*
+ 2da65: 0d20.*
+ 2da66: 08d3.*
+ 2da67: 6fd3.*
+ 2da68: 0e20.*
+ 2da69: 09d3.*
+ 2da6a: 09d3.*
+ 2da6b: 6fd3.*
+ 2da6c: 0c30.*
+ 2da6d: 6fd3.*
+ 2da6e: 0d30.*
+ 2da6f: 6fd3.*
+ 2da70: 0c30.*
+ 2da71: 6fd3.*
+ 2da72: 0e30.*
+ 2da73: 6fd3.*
+ 2da74: 0f30.*
+ 2da75: 6fd3.*
+ 2da76: 0f30.*
+ 2da77: 6fd3.*
+ 2da78: 0c31.*
+ 2da79: 6fd3.*
+ 2da7a: 0d31.*
+ 2da7b: 6fd3.*
+ 2da7c: 0c31.*
+ 2da7d: 6fd3.*
+ 2da7e: 0e31.*
+ 2da7f: 6fd3.*
+ 2da80: 0f31.*
+ 2da81: 6fd3.*
+ 2da82: 0f31.*
+ 2da83: 6fd3.*
+ 2da84: 0c32.*
+ 2da85: 6fd3.*
+ 2da86: 0d32.*
+ 2da87: 6fd3.*
+ 2da88: 0c32.*
+ 2da89: 6fd3.*
+ 2da8a: 0e32.*
+ 2da8b: 6fd3.*
+ 2da8c: 0f32.*
+ 2da8d: 6fd3.*
+ 2da8e: 0f32.*
+ 2da8f: 6fd3.*
+ 2da90: 0c33.*
+ 2da91: 6fd3.*
+ 2da92: 0d33.*
+ 2da93: 6fd3.*
+ 2da94: 0c33.*
+ 2da95: 6fd3.*
+ 2da96: 0e33.*
+ 2da97: 6fd3.*
+ 2da98: 0f33.*
+ 2da99: 6fd3.*
+ 2da9a: 0f33.*
+ 2da9b: 6fd3.*
+ 2da9c: 0c34.*
+ 2da9d: 6fd3.*
+ 2da9e: 0d34.*
+ 2da9f: 6fd3.*
+ 2daa0: 0c34.*
+ 2daa1: 6fd3.*
+ 2daa2: 0e34.*
+ 2daa3: 6fd3.*
+ 2daa4: 0f34.*
+ 2daa5: 6fd3.*
+ 2daa6: 0f34.*
+ 2daa7: 6fd3.*
+ 2daa8: 0c35.*
+ 2daa9: 6fd3.*
+ 2daaa: 0d35.*
+ 2daab: 6fd3.*
+ 2daac: 0c35.*
+ 2daad: 6fd3.*
+ 2daae: 0e35.*
+ 2daaf: 6fd3.*
+ 2dab0: 0f35.*
+ 2dab1: 6fd3.*
+ 2dab2: 0f35.*
+ 2dab3: 6fd3.*
+ 2dab4: 0c36.*
+ 2dab5: 6fd3.*
+ 2dab6: 0d36.*
+ 2dab7: 6fd3.*
+ 2dab8: 0c36.*
+ 2dab9: 6fd3.*
+ 2daba: 0e36.*
+ 2dabb: 6fd3.*
+ 2dabc: 0f36.*
+ 2dabd: 6fd3.*
+ 2dabe: 0f36.*
+ 2dabf: 6fd3.*
+ 2dac0: 0c37.*
+ 2dac1: 6fd3.*
+ 2dac2: 0d37.*
+ 2dac3: 6fd3.*
+ 2dac4: 0c37.*
+ 2dac5: 6fd3.*
+ 2dac6: 0e37.*
+ 2dac7: 6fd3.*
+ 2dac8: 0f37.*
+ 2dac9: 6fd3.*
+ 2daca: 0f37.*
+ 2dacb: 6fd3.*
+ 2dacc: 0c38.*
+ 2dacd: 6fd3.*
+ 2dace: 0d38.*
+ 2dacf: 6fd3.*
+ 2dad0: 0c38.*
+ 2dad1: 6fd3.*
+ 2dad2: 0e38.*
+ 2dad3: 6fd3.*
+ 2dad4: 0f38.*
+ 2dad5: 6fd3.*
+ 2dad6: 0f38.*
+ 2dad7: 6fd3.*
+ 2dad8: 0c39.*
+ 2dad9: 6fd3.*
+ 2dada: 0d39.*
+ 2dadb: 6fd3.*
+ 2dadc: 0c39.*
+ 2dadd: 6fd3.*
+ 2dade: 0e39.*
+ 2dadf: 6fd3.*
+ 2dae0: 0f39.*
+ 2dae1: 6fd3.*
+ 2dae2: 0f39.*
+ 2dae3: 6fd3.*
+ 2dae4: 0c3a.*
+ 2dae5: 6fd3.*
+ 2dae6: 0d3a.*
+ 2dae7: 6fd3.*
+ 2dae8: 0c3a.*
+ 2dae9: 6fd3.*
+ 2daea: 0e3a.*
+ 2daeb: 6fd3.*
+ 2daec: 0f3a.*
+ 2daed: 6fd3.*
+ 2daee: 0f3a.*
+ 2daef: 6fd3.*
+ 2daf0: 0c3b.*
+ 2daf1: 6fd3.*
+ 2daf2: 0d3b.*
+ 2daf3: 6fd3.*
+ 2daf4: 0c3b.*
+ 2daf5: 6fd3.*
+ 2daf6: 0e3b.*
+ 2daf7: 6fd3.*
+ 2daf8: 0f3b.*
+ 2daf9: 6fd3.*
+ 2dafa: 0f3b.*
+ 2dafb: 6fd3.*
+ 2dafc: 0c3c.*
+ 2dafd: 6fd3.*
+ 2dafe: 0d3c.*
+ 2daff: 6fd3.*
+ 2db00: 0c3c.*
+ 2db01: 6fd3.*
+ 2db02: 0e3c.*
+ 2db03: 6fd3.*
+ 2db04: 0f3c.*
+ 2db05: 6fd3.*
+ 2db06: 0f3c.*
+ 2db07: 6fd3.*
+ 2db08: 0c3d.*
+ 2db09: 6fd3.*
+ 2db0a: 0d3d.*
+ 2db0b: 6fd3.*
+ 2db0c: 0c3d.*
+ 2db0d: 6fd3.*
+ 2db0e: 0e3d.*
+ 2db0f: 6fd3.*
+ 2db10: 0f3d.*
+ 2db11: 6fd3.*
+ 2db12: 0f3d.*
+ 2db13: 6fd3.*
+ 2db14: 0c3e.*
+ 2db15: 6fd3.*
+ 2db16: 0d3e.*
+ 2db17: 6fd3.*
+ 2db18: 0c3e.*
+ 2db19: 6fd3.*
+ 2db1a: 0e3e.*
+ 2db1b: 6fd3.*
+ 2db1c: 0f3e.*
+ 2db1d: 6fd3.*
+ 2db1e: 0f3e.*
+ 2db1f: 6fd3.*
+ 2db20: 0c3f.*
+ 2db21: 6fd3.*
+ 2db22: 0d3f.*
+ 2db23: 6fd3.*
+ 2db24: 0c3f.*
+ 2db25: 6fd3.*
+ 2db26: 0e3f.*
+ 2db27: 6fd3.*
+ 2db28: 0f3f.*
+ 2db29: 6fd3.*
+ 2db2a: 0f3f.*
+ 2db2b: 08d3.*
+ 2db2c: 6fd3.*
+ 2db2d: 0d20.*
+ 2db2e: 08d3.*
+ 2db2f: 6fd3.*
+ 2db30: 0e20.*
+ 2db31: 09d3.*
+ 2db32: 09d3.*
+ 2db33: 6fd3.*
+ 2db34: 0c21.*
+ 2db35: 6fd3.*
+ 2db36: 0d21.*
+ 2db37: 6fd3.*
+ 2db38: 0c21.*
+ 2db39: 6fd3.*
+ 2db3a: 0e21.*
+ 2db3b: 6fd3.*
+ 2db3c: 0f21.*
+ 2db3d: 6fd3.*
+ 2db3e: 0f21.*
+ 2db3f: 6fd3.*
+ 2db40: 0c22.*
+ 2db41: 6fd3.*
+ 2db42: 0d22.*
+ 2db43: 6fd3.*
+ 2db44: 0c22.*
+ 2db45: 6fd3.*
+ 2db46: 0e22.*
+ 2db47: 6fd3.*
+ 2db48: 0f22.*
+ 2db49: 6fd3.*
+ 2db4a: 0f22.*
+ 2db4b: 6fd3.*
+ 2db4c: 0c23.*
+ 2db4d: 6fd3.*
+ 2db4e: 0d23.*
+ 2db4f: 6fd3.*
+ 2db50: 0c23.*
+ 2db51: 6fd3.*
+ 2db52: 0e23.*
+ 2db53: 6fd3.*
+ 2db54: 0f23.*
+ 2db55: 6fd3.*
+ 2db56: 0f23.*
+ 2db57: 6fd3.*
+ 2db58: 0c24.*
+ 2db59: 6fd3.*
+ 2db5a: 0d24.*
+ 2db5b: 6fd3.*
+ 2db5c: 0c24.*
+ 2db5d: 6fd3.*
+ 2db5e: 0e24.*
+ 2db5f: 6fd3.*
+ 2db60: 0f24.*
+ 2db61: 6fd3.*
+ 2db62: 0f24.*
+ 2db63: 6fd3.*
+ 2db64: 0c25.*
+ 2db65: 6fd3.*
+ 2db66: 0d25.*
+ 2db67: 6fd3.*
+ 2db68: 0c25.*
+ 2db69: 6fd3.*
+ 2db6a: 0e25.*
+ 2db6b: 6fd3.*
+ 2db6c: 0f25.*
+ 2db6d: 6fd3.*
+ 2db6e: 0f25.*
+ 2db6f: 6fd3.*
+ 2db70: 0c26.*
+ 2db71: 6fd3.*
+ 2db72: 0d26.*
+ 2db73: 6fd3.*
+ 2db74: 0c26.*
+ 2db75: 6fd3.*
+ 2db76: 0e26.*
+ 2db77: 6fd3.*
+ 2db78: 0f26.*
+ 2db79: 6fd3.*
+ 2db7a: 0f26.*
+ 2db7b: 6fd3.*
+ 2db7c: 0c27.*
+ 2db7d: 6fd3.*
+ 2db7e: 0d27.*
+ 2db7f: 6fd3.*
+ 2db80: 0c27.*
+ 2db81: 6fd3.*
+ 2db82: 0e27.*
+ 2db83: 6fd3.*
+ 2db84: 0f27.*
+ 2db85: 6fd3.*
+ 2db86: 0f27.*
+ 2db87: 6fd3.*
+ 2db88: 0c28.*
+ 2db89: 6fd3.*
+ 2db8a: 0d28.*
+ 2db8b: 6fd3.*
+ 2db8c: 0c28.*
+ 2db8d: 6fd3.*
+ 2db8e: 0e28.*
+ 2db8f: 6fd3.*
+ 2db90: 0f28.*
+ 2db91: 6fd3.*
+ 2db92: 0f28.*
+ 2db93: 6fd3.*
+ 2db94: 0c29.*
+ 2db95: 6fd3.*
+ 2db96: 0d29.*
+ 2db97: 6fd3.*
+ 2db98: 0c29.*
+ 2db99: 6fd3.*
+ 2db9a: 0e29.*
+ 2db9b: 6fd3.*
+ 2db9c: 0f29.*
+ 2db9d: 6fd3.*
+ 2db9e: 0f29.*
+ 2db9f: 6fd3.*
+ 2dba0: 0c2a.*
+ 2dba1: 6fd3.*
+ 2dba2: 0d2a.*
+ 2dba3: 6fd3.*
+ 2dba4: 0c2a.*
+ 2dba5: 6fd3.*
+ 2dba6: 0e2a.*
+ 2dba7: 6fd3.*
+ 2dba8: 0f2a.*
+ 2dba9: 6fd3.*
+ 2dbaa: 0f2a.*
+ 2dbab: 6fd3.*
+ 2dbac: 0c2b.*
+ 2dbad: 6fd3.*
+ 2dbae: 0d2b.*
+ 2dbaf: 6fd3.*
+ 2dbb0: 0c2b.*
+ 2dbb1: 6fd3.*
+ 2dbb2: 0e2b.*
+ 2dbb3: 6fd3.*
+ 2dbb4: 0f2b.*
+ 2dbb5: 6fd3.*
+ 2dbb6: 0f2b.*
+ 2dbb7: 6fd3.*
+ 2dbb8: 0c2c.*
+ 2dbb9: 6fd3.*
+ 2dbba: 0d2c.*
+ 2dbbb: 6fd3.*
+ 2dbbc: 0c2c.*
+ 2dbbd: 6fd3.*
+ 2dbbe: 0e2c.*
+ 2dbbf: 6fd3.*
+ 2dbc0: 0f2c.*
+ 2dbc1: 6fd3.*
+ 2dbc2: 0f2c.*
+ 2dbc3: 6fd3.*
+ 2dbc4: 0c2d.*
+ 2dbc5: 6fd3.*
+ 2dbc6: 0d2d.*
+ 2dbc7: 6fd3.*
+ 2dbc8: 0c2d.*
+ 2dbc9: 6fd3.*
+ 2dbca: 0e2d.*
+ 2dbcb: 6fd3.*
+ 2dbcc: 0f2d.*
+ 2dbcd: 6fd3.*
+ 2dbce: 0f2d.*
+ 2dbcf: 6fd3.*
+ 2dbd0: 0c2e.*
+ 2dbd1: 6fd3.*
+ 2dbd2: 0d2e.*
+ 2dbd3: 6fd3.*
+ 2dbd4: 0c2e.*
+ 2dbd5: 6fd3.*
+ 2dbd6: 0e2e.*
+ 2dbd7: 6fd3.*
+ 2dbd8: 0f2e.*
+ 2dbd9: 6fd3.*
+ 2dbda: 0f2e.*
+ 2dbdb: 6fd3.*
+ 2dbdc: 0c2f.*
+ 2dbdd: 6fd3.*
+ 2dbde: 0d2f.*
+ 2dbdf: 6fd3.*
+ 2dbe0: 0c2f.*
+ 2dbe1: 6fd3.*
+ 2dbe2: 0e2f.*
+ 2dbe3: 6fd3.*
+ 2dbe4: 0f2f.*
+ 2dbe5: 6fd3.*
+ 2dbe6: 0f2f.*
+ 2dbe7: 08db.*
+ 2dbe8: 6fdb.*
+ 2dbe9: 0d20.*
+ 2dbea: 08db.*
+ 2dbeb: 6fdb.*
+ 2dbec: 0e20.*
+ 2dbed: 09db.*
+ 2dbee: 09db.*
+ 2dbef: 6fdb.*
+ 2dbf0: 0c30.*
+ 2dbf1: 6fdb.*
+ 2dbf2: 0d30.*
+ 2dbf3: 6fdb.*
+ 2dbf4: 0c30.*
+ 2dbf5: 6fdb.*
+ 2dbf6: 0e30.*
+ 2dbf7: 6fdb.*
+ 2dbf8: 0f30.*
+ 2dbf9: 6fdb.*
+ 2dbfa: 0f30.*
+ 2dbfb: 6fdb.*
+ 2dbfc: 0c31.*
+ 2dbfd: 6fdb.*
+ 2dbfe: 0d31.*
+ 2dbff: 6fdb.*
+ 2dc00: 0c31.*
+ 2dc01: 6fdb.*
+ 2dc02: 0e31.*
+ 2dc03: 6fdb.*
+ 2dc04: 0f31.*
+ 2dc05: 6fdb.*
+ 2dc06: 0f31.*
+ 2dc07: 6fdb.*
+ 2dc08: 0c32.*
+ 2dc09: 6fdb.*
+ 2dc0a: 0d32.*
+ 2dc0b: 6fdb.*
+ 2dc0c: 0c32.*
+ 2dc0d: 6fdb.*
+ 2dc0e: 0e32.*
+ 2dc0f: 6fdb.*
+ 2dc10: 0f32.*
+ 2dc11: 6fdb.*
+ 2dc12: 0f32.*
+ 2dc13: 6fdb.*
+ 2dc14: 0c33.*
+ 2dc15: 6fdb.*
+ 2dc16: 0d33.*
+ 2dc17: 6fdb.*
+ 2dc18: 0c33.*
+ 2dc19: 6fdb.*
+ 2dc1a: 0e33.*
+ 2dc1b: 6fdb.*
+ 2dc1c: 0f33.*
+ 2dc1d: 6fdb.*
+ 2dc1e: 0f33.*
+ 2dc1f: 6fdb.*
+ 2dc20: 0c34.*
+ 2dc21: 6fdb.*
+ 2dc22: 0d34.*
+ 2dc23: 6fdb.*
+ 2dc24: 0c34.*
+ 2dc25: 6fdb.*
+ 2dc26: 0e34.*
+ 2dc27: 6fdb.*
+ 2dc28: 0f34.*
+ 2dc29: 6fdb.*
+ 2dc2a: 0f34.*
+ 2dc2b: 6fdb.*
+ 2dc2c: 0c35.*
+ 2dc2d: 6fdb.*
+ 2dc2e: 0d35.*
+ 2dc2f: 6fdb.*
+ 2dc30: 0c35.*
+ 2dc31: 6fdb.*
+ 2dc32: 0e35.*
+ 2dc33: 6fdb.*
+ 2dc34: 0f35.*
+ 2dc35: 6fdb.*
+ 2dc36: 0f35.*
+ 2dc37: 6fdb.*
+ 2dc38: 0c36.*
+ 2dc39: 6fdb.*
+ 2dc3a: 0d36.*
+ 2dc3b: 6fdb.*
+ 2dc3c: 0c36.*
+ 2dc3d: 6fdb.*
+ 2dc3e: 0e36.*
+ 2dc3f: 6fdb.*
+ 2dc40: 0f36.*
+ 2dc41: 6fdb.*
+ 2dc42: 0f36.*
+ 2dc43: 6fdb.*
+ 2dc44: 0c37.*
+ 2dc45: 6fdb.*
+ 2dc46: 0d37.*
+ 2dc47: 6fdb.*
+ 2dc48: 0c37.*
+ 2dc49: 6fdb.*
+ 2dc4a: 0e37.*
+ 2dc4b: 6fdb.*
+ 2dc4c: 0f37.*
+ 2dc4d: 6fdb.*
+ 2dc4e: 0f37.*
+ 2dc4f: 6fdb.*
+ 2dc50: 0c38.*
+ 2dc51: 6fdb.*
+ 2dc52: 0d38.*
+ 2dc53: 6fdb.*
+ 2dc54: 0c38.*
+ 2dc55: 6fdb.*
+ 2dc56: 0e38.*
+ 2dc57: 6fdb.*
+ 2dc58: 0f38.*
+ 2dc59: 6fdb.*
+ 2dc5a: 0f38.*
+ 2dc5b: 6fdb.*
+ 2dc5c: 0c39.*
+ 2dc5d: 6fdb.*
+ 2dc5e: 0d39.*
+ 2dc5f: 6fdb.*
+ 2dc60: 0c39.*
+ 2dc61: 6fdb.*
+ 2dc62: 0e39.*
+ 2dc63: 6fdb.*
+ 2dc64: 0f39.*
+ 2dc65: 6fdb.*
+ 2dc66: 0f39.*
+ 2dc67: 6fdb.*
+ 2dc68: 0c3a.*
+ 2dc69: 6fdb.*
+ 2dc6a: 0d3a.*
+ 2dc6b: 6fdb.*
+ 2dc6c: 0c3a.*
+ 2dc6d: 6fdb.*
+ 2dc6e: 0e3a.*
+ 2dc6f: 6fdb.*
+ 2dc70: 0f3a.*
+ 2dc71: 6fdb.*
+ 2dc72: 0f3a.*
+ 2dc73: 6fdb.*
+ 2dc74: 0c3b.*
+ 2dc75: 6fdb.*
+ 2dc76: 0d3b.*
+ 2dc77: 6fdb.*
+ 2dc78: 0c3b.*
+ 2dc79: 6fdb.*
+ 2dc7a: 0e3b.*
+ 2dc7b: 6fdb.*
+ 2dc7c: 0f3b.*
+ 2dc7d: 6fdb.*
+ 2dc7e: 0f3b.*
+ 2dc7f: 6fdb.*
+ 2dc80: 0c3c.*
+ 2dc81: 6fdb.*
+ 2dc82: 0d3c.*
+ 2dc83: 6fdb.*
+ 2dc84: 0c3c.*
+ 2dc85: 6fdb.*
+ 2dc86: 0e3c.*
+ 2dc87: 6fdb.*
+ 2dc88: 0f3c.*
+ 2dc89: 6fdb.*
+ 2dc8a: 0f3c.*
+ 2dc8b: 6fdb.*
+ 2dc8c: 0c3d.*
+ 2dc8d: 6fdb.*
+ 2dc8e: 0d3d.*
+ 2dc8f: 6fdb.*
+ 2dc90: 0c3d.*
+ 2dc91: 6fdb.*
+ 2dc92: 0e3d.*
+ 2dc93: 6fdb.*
+ 2dc94: 0f3d.*
+ 2dc95: 6fdb.*
+ 2dc96: 0f3d.*
+ 2dc97: 6fdb.*
+ 2dc98: 0c3e.*
+ 2dc99: 6fdb.*
+ 2dc9a: 0d3e.*
+ 2dc9b: 6fdb.*
+ 2dc9c: 0c3e.*
+ 2dc9d: 6fdb.*
+ 2dc9e: 0e3e.*
+ 2dc9f: 6fdb.*
+ 2dca0: 0f3e.*
+ 2dca1: 6fdb.*
+ 2dca2: 0f3e.*
+ 2dca3: 6fdb.*
+ 2dca4: 0c3f.*
+ 2dca5: 6fdb.*
+ 2dca6: 0d3f.*
+ 2dca7: 6fdb.*
+ 2dca8: 0c3f.*
+ 2dca9: 6fdb.*
+ 2dcaa: 0e3f.*
+ 2dcab: 6fdb.*
+ 2dcac: 0f3f.*
+ 2dcad: 6fdb.*
+ 2dcae: 0f3f.*
+ 2dcaf: 08db.*
+ 2dcb0: 6fdb.*
+ 2dcb1: 0d20.*
+ 2dcb2: 08db.*
+ 2dcb3: 6fdb.*
+ 2dcb4: 0e20.*
+ 2dcb5: 09db.*
+ 2dcb6: 09db.*
+ 2dcb7: 92d1.*
+ 2dcb8: 6fdb.*
+ 2dcb9: 0d21.*
+ 2dcba: 92d1.*
+ 2dcbb: 6fdb.*
+ 2dcbc: 0e21.*
+ 2dcbd: 93d1.*
+ 2dcbe: 93d1.*
+ 2dcbf: 92d2.*
+ 2dcc0: 6fdb.*
+ 2dcc1: 0d22.*
+ 2dcc2: 92d2.*
+ 2dcc3: 6fdb.*
+ 2dcc4: 0e22.*
+ 2dcc5: 93d2.*
+ 2dcc6: 93d2.*
+ 2dcc7: 92d3.*
+ 2dcc8: 6fdb.*
+ 2dcc9: 0d23.*
+ 2dcca: 92d3.*
+ 2dccb: 6fdb.*
+ 2dccc: 0e23.*
+ 2dccd: 93d3.*
+ 2dcce: 93d3.*
+ 2dccf: 92d4.*
+ 2dcd0: 6fdb.*
+ 2dcd1: 0d24.*
+ 2dcd2: 92d4.*
+ 2dcd3: 6fdb.*
+ 2dcd4: 0e24.*
+ 2dcd5: 93d4.*
+ 2dcd6: 93d4.*
+ 2dcd7: 92d5.*
+ 2dcd8: 6fdb.*
+ 2dcd9: 0d25.*
+ 2dcda: 92d5.*
+ 2dcdb: 6fdb.*
+ 2dcdc: 0e25.*
+ 2dcdd: 93d5.*
+ 2dcde: 93d5.*
+ 2dcdf: 92d6.*
+ 2dce0: 6fdb.*
+ 2dce1: 0d26.*
+ 2dce2: 92d6.*
+ 2dce3: 6fdb.*
+ 2dce4: 0e26.*
+ 2dce5: 93d6.*
+ 2dce6: 93d6.*
+ 2dce7: 92d7.*
+ 2dce8: 6fdb.*
+ 2dce9: 0d27.*
+ 2dcea: 92d7.*
+ 2dceb: 6fdb.*
+ 2dcec: 0e27.*
+ 2dced: 93d7.*
+ 2dcee: 93d7.*
+ 2dcef: 92d8.*
+ 2dcf0: 6fdb.*
+ 2dcf1: 0d28.*
+ 2dcf2: 92d8.*
+ 2dcf3: 6fdb.*
+ 2dcf4: 0e28.*
+ 2dcf5: 93d8.*
+ 2dcf6: 93d8.*
+ 2dcf7: 92d9.*
+ 2dcf8: 6fdb.*
+ 2dcf9: 0d29.*
+ 2dcfa: 92d9.*
+ 2dcfb: 6fdb.*
+ 2dcfc: 0e29.*
+ 2dcfd: 93d9.*
+ 2dcfe: 93d9.*
+ 2dcff: 92da.*
+ 2dd00: 6fdb.*
+ 2dd01: 0d2a.*
+ 2dd02: 92da.*
+ 2dd03: 6fdb.*
+ 2dd04: 0e2a.*
+ 2dd05: 93da.*
+ 2dd06: 93da.*
+ 2dd07: 92db.*
+ 2dd08: 6fdb.*
+ 2dd09: 0d2b.*
+ 2dd0a: 92db.*
+ 2dd0b: 6fdb.*
+ 2dd0c: 0e2b.*
+ 2dd0d: 93db.*
+ 2dd0e: 93db.*
+ 2dd0f: 92dc.*
+ 2dd10: 6fdb.*
+ 2dd11: 0d2c.*
+ 2dd12: 92dc.*
+ 2dd13: 6fdb.*
+ 2dd14: 0e2c.*
+ 2dd15: 93dc.*
+ 2dd16: 93dc.*
+ 2dd17: 92dd.*
+ 2dd18: 6fdb.*
+ 2dd19: 0d2d.*
+ 2dd1a: 92dd.*
+ 2dd1b: 6fdb.*
+ 2dd1c: 0e2d.*
+ 2dd1d: 93dd.*
+ 2dd1e: 93dd.*
+ 2dd1f: 92de.*
+ 2dd20: 6fdb.*
+ 2dd21: 0d2e.*
+ 2dd22: 92de.*
+ 2dd23: 6fdb.*
+ 2dd24: 0e2e.*
+ 2dd25: 93de.*
+ 2dd26: 93de.*
+ 2dd27: 92df.*
+ 2dd28: 6fdb.*
+ 2dd29: 0d2f.*
+ 2dd2a: 92df.*
+ 2dd2b: 6fdb.*
+ 2dd2c: 0e2f.*
+ 2dd2d: 93df.*
+ 2dd2e: 93df.*
+ 2dd2f: 08e3.*
+ 2dd30: 54ce.*
+ 2dd31: 6fe3.*
+ 2dd32: 54cf.*
+ 2dd33: 0d20.*
+ 2dd34: 08e3.*
+ 2dd35: 54d0.*
+ 2dd36: 6fe3.*
+ 2dd37: 54d1.*
+ 2dd38: 0e20.*
+ 2dd39: 09e3.*
+ 2dd3a: 54d2.*
+ 2dd3b: 09e3.*
+ 2dd3c: 54d3.*
+ 2dd3d: 6fe3.*
+ 2dd3e: 54d4.*
+ 2dd3f: 0c30.*
+ 2dd40: 6fe3.*
+ 2dd41: 54d5.*
+ 2dd42: 0d30.*
+ 2dd43: 6fe3.*
+ 2dd44: 54d6.*
+ 2dd45: 0c30.*
+ 2dd46: 6fe3.*
+ 2dd47: 54d7.*
+ 2dd48: 0e30.*
+ 2dd49: 6fe3.*
+ 2dd4a: 54d8.*
+ 2dd4b: 0f30.*
+ 2dd4c: 6fe3.*
+ 2dd4d: 54d9.*
+ 2dd4e: 0f30.*
+ 2dd4f: 6fe3.*
+ 2dd50: 54da.*
+ 2dd51: 0c31.*
+ 2dd52: 6fe3.*
+ 2dd53: 54db.*
+ 2dd54: 0d31.*
+ 2dd55: 6fe3.*
+ 2dd56: 54dc.*
+ 2dd57: 0c31.*
+ 2dd58: 6fe3.*
+ 2dd59: 54dd.*
+ 2dd5a: 0e31.*
+ 2dd5b: 6fe3.*
+ 2dd5c: 54de.*
+ 2dd5d: 0f31.*
+ 2dd5e: 6fe3.*
+ 2dd5f: 54df.*
+ 2dd60: 0f31.*
+ 2dd61: 6fe3.*
+ 2dd62: 54e0.*
+ 2dd63: 0c32.*
+ 2dd64: 6fe3.*
+ 2dd65: 54e1.*
+ 2dd66: 0d32.*
+ 2dd67: 6fe3.*
+ 2dd68: 54e2.*
+ 2dd69: 0c32.*
+ 2dd6a: 6fe3.*
+ 2dd6b: 54e3.*
+ 2dd6c: 0e32.*
+ 2dd6d: 6fe3.*
+ 2dd6e: 54e4.*
+ 2dd6f: 0f32.*
+ 2dd70: 6fe3.*
+ 2dd71: 54e5.*
+ 2dd72: 0f32.*
+ 2dd73: 6fe3.*
+ 2dd74: 54e6.*
+ 2dd75: 0c33.*
+ 2dd76: 6fe3.*
+ 2dd77: 54e7.*
+ 2dd78: 0d33.*
+ 2dd79: 6fe3.*
+ 2dd7a: 54e8.*
+ 2dd7b: 0c33.*
+ 2dd7c: 6fe3.*
+ 2dd7d: 54e9.*
+ 2dd7e: 0e33.*
+ 2dd7f: 6fe3.*
+ 2dd80: 54ea.*
+ 2dd81: 0f33.*
+ 2dd82: 6fe3.*
+ 2dd83: 54eb.*
+ 2dd84: 0f33.*
+ 2dd85: 6fe3.*
+ 2dd86: 54ec.*
+ 2dd87: 0c34.*
+ 2dd88: 6fe3.*
+ 2dd89: 54ed.*
+ 2dd8a: 0d34.*
+ 2dd8b: 6fe3.*
+ 2dd8c: 54ee.*
+ 2dd8d: 0c34.*
+ 2dd8e: 6fe3.*
+ 2dd8f: 54ef.*
+ 2dd90: 0e34.*
+ 2dd91: 6fe3.*
+ 2dd92: 54f0.*
+ 2dd93: 0f34.*
+ 2dd94: 6fe3.*
+ 2dd95: 54f1.*
+ 2dd96: 0f34.*
+ 2dd97: 6fe3.*
+ 2dd98: 54f2.*
+ 2dd99: 0c35.*
+ 2dd9a: 6fe3.*
+ 2dd9b: 54f3.*
+ 2dd9c: 0d35.*
+ 2dd9d: 6fe3.*
+ 2dd9e: 54f4.*
+ 2dd9f: 0c35.*
+ 2dda0: 6fe3.*
+ 2dda1: 54f5.*
+ 2dda2: 0e35.*
+ 2dda3: 6fe3.*
+ 2dda4: 54f6.*
+ 2dda5: 0f35.*
+ 2dda6: 6fe3.*
+ 2dda7: 54f7.*
+ 2dda8: 0f35.*
+ 2dda9: 6fe3.*
+ 2ddaa: 54f8.*
+ 2ddab: 0c36.*
+ 2ddac: 6fe3.*
+ 2ddad: 54f9.*
+ 2ddae: 0d36.*
+ 2ddaf: 6fe3.*
+ 2ddb0: 54fa.*
+ 2ddb1: 0c36.*
+ 2ddb2: 6fe3.*
+ 2ddb3: 54fb.*
+ 2ddb4: 0e36.*
+ 2ddb5: 6fe3.*
+ 2ddb6: 54fc.*
+ 2ddb7: 0f36.*
+ 2ddb8: 6fe3.*
+ 2ddb9: 54fd.*
+ 2ddba: 0f36.*
+ 2ddbb: 6fe3.*
+ 2ddbc: 54fe.*
+ 2ddbd: 0c37.*
+ 2ddbe: 6fe3.*
+ 2ddbf: 54ff.*
+ 2ddc0: 0d37.*
+ 2ddc1: 6fe3.*
+ 2ddc2: 5500.*
+ 2ddc3: 0c37.*
+ 2ddc4: 6fe3.*
+ 2ddc5: 5501.*
+ 2ddc6: 0e37.*
+ 2ddc7: 6fe3.*
+ 2ddc8: 5502.*
+ 2ddc9: 0f37.*
+ 2ddca: 6fe3.*
+ 2ddcb: 5503.*
+ 2ddcc: 0f37.*
+ 2ddcd: 6fe3.*
+ 2ddce: 5504.*
+ 2ddcf: 0c38.*
+ 2ddd0: 6fe3.*
+ 2ddd1: 5505.*
+ 2ddd2: 0d38.*
+ 2ddd3: 6fe3.*
+ 2ddd4: 5506.*
+ 2ddd5: 0c38.*
+ 2ddd6: 6fe3.*
+ 2ddd7: 5507.*
+ 2ddd8: 0e38.*
+ 2ddd9: 6fe3.*
+ 2ddda: 5508.*
+ 2dddb: 0f38.*
+ 2dddc: 6fe3.*
+ 2dddd: 5509.*
+ 2ddde: 0f38.*
+ 2dddf: 6fe3.*
+ 2dde0: 550a.*
+ 2dde1: 0c39.*
+ 2dde2: 6fe3.*
+ 2dde3: 550b.*
+ 2dde4: 0d39.*
+ 2dde5: 6fe3.*
+ 2dde6: 550c.*
+ 2dde7: 0c39.*
+ 2dde8: 6fe3.*
+ 2dde9: 550d.*
+ 2ddea: 0e39.*
+ 2ddeb: 6fe3.*
+ 2ddec: 550e.*
+ 2dded: 0f39.*
+ 2ddee: 6fe3.*
+ 2ddef: 550f.*
+ 2ddf0: 0f39.*
+ 2ddf1: 6fe3.*
+ 2ddf2: 5510.*
+ 2ddf3: 0c3a.*
+ 2ddf4: 6fe3.*
+ 2ddf5: 5511.*
+ 2ddf6: 0d3a.*
+ 2ddf7: 6fe3.*
+ 2ddf8: 5512.*
+ 2ddf9: 0c3a.*
+ 2ddfa: 6fe3.*
+ 2ddfb: 5513.*
+ 2ddfc: 0e3a.*
+ 2ddfd: 6fe3.*
+ 2ddfe: 5514.*
+ 2ddff: 0f3a.*
+ 2de00: 6fe3.*
+ 2de01: 5515.*
+ 2de02: 0f3a.*
+ 2de03: 6fe3.*
+ 2de04: 5516.*
+ 2de05: 0c3b.*
+ 2de06: 6fe3.*
+ 2de07: 5517.*
+ 2de08: 0d3b.*
+ 2de09: 6fe3.*
+ 2de0a: 5518.*
+ 2de0b: 0c3b.*
+ 2de0c: 6fe3.*
+ 2de0d: 5519.*
+ 2de0e: 0e3b.*
+ 2de0f: 6fe3.*
+ 2de10: 551a.*
+ 2de11: 0f3b.*
+ 2de12: 6fe3.*
+ 2de13: 551b.*
+ 2de14: 0f3b.*
+ 2de15: 6fe3.*
+ 2de16: 551c.*
+ 2de17: 0c3c.*
+ 2de18: 6fe3.*
+ 2de19: 551d.*
+ 2de1a: 0d3c.*
+ 2de1b: 6fe3.*
+ 2de1c: 551e.*
+ 2de1d: 0c3c.*
+ 2de1e: 6fe3.*
+ 2de1f: 551f.*
+ 2de20: 0e3c.*
+ 2de21: 6fe3.*
+ 2de22: 5520.*
+ 2de23: 0f3c.*
+ 2de24: 6fe3.*
+ 2de25: 5521.*
+ 2de26: 0f3c.*
+ 2de27: 6fe3.*
+ 2de28: 5522.*
+ 2de29: 0c3d.*
+ 2de2a: 6fe3.*
+ 2de2b: 5523.*
+ 2de2c: 0d3d.*
+ 2de2d: 6fe3.*
+ 2de2e: 5524.*
+ 2de2f: 0c3d.*
+ 2de30: 6fe3.*
+ 2de31: 5525.*
+ 2de32: 0e3d.*
+ 2de33: 6fe3.*
+ 2de34: 5526.*
+ 2de35: 0f3d.*
+ 2de36: 6fe3.*
+ 2de37: 5527.*
+ 2de38: 0f3d.*
+ 2de39: 6fe3.*
+ 2de3a: 5528.*
+ 2de3b: 0c3e.*
+ 2de3c: 6fe3.*
+ 2de3d: 5529.*
+ 2de3e: 0d3e.*
+ 2de3f: 6fe3.*
+ 2de40: 552a.*
+ 2de41: 0c3e.*
+ 2de42: 6fe3.*
+ 2de43: 552b.*
+ 2de44: 0e3e.*
+ 2de45: 6fe3.*
+ 2de46: 552c.*
+ 2de47: 0f3e.*
+ 2de48: 6fe3.*
+ 2de49: 552d.*
+ 2de4a: 0f3e.*
+ 2de4b: 6fe3.*
+ 2de4c: 552e.*
+ 2de4d: 0c3f.*
+ 2de4e: 6fe3.*
+ 2de4f: 552f.*
+ 2de50: 0d3f.*
+ 2de51: 6fe3.*
+ 2de52: 5530.*
+ 2de53: 0c3f.*
+ 2de54: 6fe3.*
+ 2de55: 5531.*
+ 2de56: 0e3f.*
+ 2de57: 6fe3.*
+ 2de58: 5532.*
+ 2de59: 0f3f.*
+ 2de5a: 6fe3.*
+ 2de5b: 5533.*
+ 2de5c: 0f3f.*
+ 2de5d: 08e3.*
+ 2de5e: 5534.*
+ 2de5f: 6fe3.*
+ 2de60: 5535.*
+ 2de61: 0d20.*
+ 2de62: 08e3.*
+ 2de63: 5536.*
+ 2de64: 6fe3.*
+ 2de65: 5537.*
+ 2de66: 0e20.*
+ 2de67: 09e3.*
+ 2de68: 5538.*
+ 2de69: 09e3.*
+ 2de6a: 5539.*
+ 2de6b: 6fe3.*
+ 2de6c: 553a.*
+ 2de6d: 0c21.*
+ 2de6e: 6fe3.*
+ 2de6f: 553b.*
+ 2de70: 0d21.*
+ 2de71: 6fe3.*
+ 2de72: 553c.*
+ 2de73: 0c21.*
+ 2de74: 6fe3.*
+ 2de75: 553d.*
+ 2de76: 0e21.*
+ 2de77: 6fe3.*
+ 2de78: 553e.*
+ 2de79: 0f21.*
+ 2de7a: 6fe3.*
+ 2de7b: 553f.*
+ 2de7c: 0f21.*
+ 2de7d: 6fe3.*
+ 2de7e: 5540.*
+ 2de7f: 0c22.*
+ 2de80: 6fe3.*
+ 2de81: 5541.*
+ 2de82: 0d22.*
+ 2de83: 6fe3.*
+ 2de84: 5542.*
+ 2de85: 0c22.*
+ 2de86: 6fe3.*
+ 2de87: 5543.*
+ 2de88: 0e22.*
+ 2de89: 6fe3.*
+ 2de8a: 5544.*
+ 2de8b: 0f22.*
+ 2de8c: 6fe3.*
+ 2de8d: 5545.*
+ 2de8e: 0f22.*
+ 2de8f: 6fe3.*
+ 2de90: 5546.*
+ 2de91: 0c23.*
+ 2de92: 6fe3.*
+ 2de93: 5547.*
+ 2de94: 0d23.*
+ 2de95: 6fe3.*
+ 2de96: 5548.*
+ 2de97: 0c23.*
+ 2de98: 6fe3.*
+ 2de99: 5549.*
+ 2de9a: 0e23.*
+ 2de9b: 6fe3.*
+ 2de9c: 554a.*
+ 2de9d: 0f23.*
+ 2de9e: 6fe3.*
+ 2de9f: 554b.*
+ 2dea0: 0f23.*
+ 2dea1: 6fe3.*
+ 2dea2: 554c.*
+ 2dea3: 0c24.*
+ 2dea4: 6fe3.*
+ 2dea5: 554d.*
+ 2dea6: 0d24.*
+ 2dea7: 6fe3.*
+ 2dea8: 554e.*
+ 2dea9: 0c24.*
+ 2deaa: 6fe3.*
+ 2deab: 554f.*
+ 2deac: 0e24.*
+ 2dead: 6fe3.*
+ 2deae: 5550.*
+ 2deaf: 0f24.*
+ 2deb0: 6fe3.*
+ 2deb1: 5551.*
+ 2deb2: 0f24.*
+ 2deb3: 6fe3.*
+ 2deb4: 5552.*
+ 2deb5: 0c25.*
+ 2deb6: 6fe3.*
+ 2deb7: 5553.*
+ 2deb8: 0d25.*
+ 2deb9: 6fe3.*
+ 2deba: 5554.*
+ 2debb: 0c25.*
+ 2debc: 6fe3.*
+ 2debd: 5555.*
+ 2debe: 0e25.*
+ 2debf: 6fe3.*
+ 2dec0: 5556.*
+ 2dec1: 0f25.*
+ 2dec2: 6fe3.*
+ 2dec3: 5557.*
+ 2dec4: 0f25.*
+ 2dec5: 6fe3.*
+ 2dec6: 5558.*
+ 2dec7: 0c26.*
+ 2dec8: 6fe3.*
+ 2dec9: 5559.*
+ 2deca: 0d26.*
+ 2decb: 6fe3.*
+ 2decc: 555a.*
+ 2decd: 0c26.*
+ 2dece: 6fe3.*
+ 2decf: 555b.*
+ 2ded0: 0e26.*
+ 2ded1: 6fe3.*
+ 2ded2: 555c.*
+ 2ded3: 0f26.*
+ 2ded4: 6fe3.*
+ 2ded5: 555d.*
+ 2ded6: 0f26.*
+ 2ded7: 6fe3.*
+ 2ded8: 555e.*
+ 2ded9: 0c27.*
+ 2deda: 6fe3.*
+ 2dedb: 555f.*
+ 2dedc: 0d27.*
+ 2dedd: 6fe3.*
+ 2dede: 5560.*
+ 2dedf: 0c27.*
+ 2dee0: 6fe3.*
+ 2dee1: 5561.*
+ 2dee2: 0e27.*
+ 2dee3: 6fe3.*
+ 2dee4: 5562.*
+ 2dee5: 0f27.*
+ 2dee6: 6fe3.*
+ 2dee7: 5563.*
+ 2dee8: 0f27.*
+ 2dee9: 6fe3.*
+ 2deea: 5564.*
+ 2deeb: 0c28.*
+ 2deec: 6fe3.*
+ 2deed: 5565.*
+ 2deee: 0d28.*
+ 2deef: 6fe3.*
+ 2def0: 5566.*
+ 2def1: 0c28.*
+ 2def2: 6fe3.*
+ 2def3: 5567.*
+ 2def4: 0e28.*
+ 2def5: 6fe3.*
+ 2def6: 5568.*
+ 2def7: 0f28.*
+ 2def8: 6fe3.*
+ 2def9: 5569.*
+ 2defa: 0f28.*
+ 2defb: 6fe3.*
+ 2defc: 556a.*
+ 2defd: 0c29.*
+ 2defe: 6fe3.*
+ 2deff: 556b.*
+ 2df00: 0d29.*
+ 2df01: 6fe3.*
+ 2df02: 556c.*
+ 2df03: 0c29.*
+ 2df04: 6fe3.*
+ 2df05: 556d.*
+ 2df06: 0e29.*
+ 2df07: 6fe3.*
+ 2df08: 556e.*
+ 2df09: 0f29.*
+ 2df0a: 6fe3.*
+ 2df0b: 556f.*
+ 2df0c: 0f29.*
+ 2df0d: 6fe3.*
+ 2df0e: 5570.*
+ 2df0f: 0c2a.*
+ 2df10: 6fe3.*
+ 2df11: 5571.*
+ 2df12: 0d2a.*
+ 2df13: 6fe3.*
+ 2df14: 5572.*
+ 2df15: 0c2a.*
+ 2df16: 6fe3.*
+ 2df17: 5573.*
+ 2df18: 0e2a.*
+ 2df19: 6fe3.*
+ 2df1a: 5574.*
+ 2df1b: 0f2a.*
+ 2df1c: 6fe3.*
+ 2df1d: 5575.*
+ 2df1e: 0f2a.*
+ 2df1f: 6fe3.*
+ 2df20: 5576.*
+ 2df21: 0c2b.*
+ 2df22: 6fe3.*
+ 2df23: 5577.*
+ 2df24: 0d2b.*
+ 2df25: 6fe3.*
+ 2df26: 5578.*
+ 2df27: 0c2b.*
+ 2df28: 6fe3.*
+ 2df29: 5579.*
+ 2df2a: 0e2b.*
+ 2df2b: 6fe3.*
+ 2df2c: 557a.*
+ 2df2d: 0f2b.*
+ 2df2e: 6fe3.*
+ 2df2f: 557b.*
+ 2df30: 0f2b.*
+ 2df31: 6fe3.*
+ 2df32: 557c.*
+ 2df33: 0c2c.*
+ 2df34: 6fe3.*
+ 2df35: 557d.*
+ 2df36: 0d2c.*
+ 2df37: 6fe3.*
+ 2df38: 557e.*
+ 2df39: 0c2c.*
+ 2df3a: 6fe3.*
+ 2df3b: 557f.*
+ 2df3c: 0e2c.*
+ 2df3d: 6fe3.*
+ 2df3e: 5580.*
+ 2df3f: 0f2c.*
+ 2df40: 6fe3.*
+ 2df41: 5581.*
+ 2df42: 0f2c.*
+ 2df43: 6fe3.*
+ 2df44: 5582.*
+ 2df45: 0c2d.*
+ 2df46: 6fe3.*
+ 2df47: 5583.*
+ 2df48: 0d2d.*
+ 2df49: 6fe3.*
+ 2df4a: 5584.*
+ 2df4b: 0c2d.*
+ 2df4c: 6fe3.*
+ 2df4d: 5585.*
+ 2df4e: 0e2d.*
+ 2df4f: 6fe3.*
+ 2df50: 5586.*
+ 2df51: 0f2d.*
+ 2df52: 6fe3.*
+ 2df53: 5587.*
+ 2df54: 0f2d.*
+ 2df55: 6fe3.*
+ 2df56: 5588.*
+ 2df57: 0c2e.*
+ 2df58: 6fe3.*
+ 2df59: 5589.*
+ 2df5a: 0d2e.*
+ 2df5b: 6fe3.*
+ 2df5c: 558a.*
+ 2df5d: 0c2e.*
+ 2df5e: 6fe3.*
+ 2df5f: 558b.*
+ 2df60: 0e2e.*
+ 2df61: 6fe3.*
+ 2df62: 558c.*
+ 2df63: 0f2e.*
+ 2df64: 6fe3.*
+ 2df65: 558d.*
+ 2df66: 0f2e.*
+ 2df67: 6fe3.*
+ 2df68: 558e.*
+ 2df69: 0c2f.*
+ 2df6a: 6fe3.*
+ 2df6b: 558f.*
+ 2df6c: 0d2f.*
+ 2df6d: 6fe3.*
+ 2df6e: 5590.*
+ 2df6f: 0c2f.*
+ 2df70: 6fe3.*
+ 2df71: 5591.*
+ 2df72: 0e2f.*
+ 2df73: 6fe3.*
+ 2df74: 5592.*
+ 2df75: 0f2f.*
+ 2df76: 6fe3.*
+ 2df77: 5593.*
+ 2df78: 0f2f.*
+ 2df79: 08eb.*
+ 2df7a: 5594.*
+ 2df7b: 6feb.*
+ 2df7c: 5595.*
+ 2df7d: 0d20.*
+ 2df7e: 08eb.*
+ 2df7f: 5596.*
+ 2df80: 6feb.*
+ 2df81: 5597.*
+ 2df82: 0e20.*
+ 2df83: 09eb.*
+ 2df84: 5598.*
+ 2df85: 09eb.*
+ 2df86: 5599.*
+ 2df87: 6feb.*
+ 2df88: 559a.*
+ 2df89: 0c30.*
+ 2df8a: 6feb.*
+ 2df8b: 559b.*
+ 2df8c: 0d30.*
+ 2df8d: 6feb.*
+ 2df8e: 559c.*
+ 2df8f: 0c30.*
+ 2df90: 6feb.*
+ 2df91: 559d.*
+ 2df92: 0e30.*
+ 2df93: 6feb.*
+ 2df94: 559e.*
+ 2df95: 0f30.*
+ 2df96: 6feb.*
+ 2df97: 559f.*
+ 2df98: 0f30.*
+ 2df99: 6feb.*
+ 2df9a: 55a0.*
+ 2df9b: 0c31.*
+ 2df9c: 6feb.*
+ 2df9d: 55a1.*
+ 2df9e: 0d31.*
+ 2df9f: 6feb.*
+ 2dfa0: 55a2.*
+ 2dfa1: 0c31.*
+ 2dfa2: 6feb.*
+ 2dfa3: 55a3.*
+ 2dfa4: 0e31.*
+ 2dfa5: 6feb.*
+ 2dfa6: 55a4.*
+ 2dfa7: 0f31.*
+ 2dfa8: 6feb.*
+ 2dfa9: 55a5.*
+ 2dfaa: 0f31.*
+ 2dfab: 6feb.*
+ 2dfac: 55a6.*
+ 2dfad: 0c32.*
+ 2dfae: 6feb.*
+ 2dfaf: 55a7.*
+ 2dfb0: 0d32.*
+ 2dfb1: 6feb.*
+ 2dfb2: 55a8.*
+ 2dfb3: 0c32.*
+ 2dfb4: 6feb.*
+ 2dfb5: 55a9.*
+ 2dfb6: 0e32.*
+ 2dfb7: 6feb.*
+ 2dfb8: 55aa.*
+ 2dfb9: 0f32.*
+ 2dfba: 6feb.*
+ 2dfbb: 55ab.*
+ 2dfbc: 0f32.*
+ 2dfbd: 6feb.*
+ 2dfbe: 55ac.*
+ 2dfbf: 0c33.*
+ 2dfc0: 6feb.*
+ 2dfc1: 55ad.*
+ 2dfc2: 0d33.*
+ 2dfc3: 6feb.*
+ 2dfc4: 55ae.*
+ 2dfc5: 0c33.*
+ 2dfc6: 6feb.*
+ 2dfc7: 55af.*
+ 2dfc8: 0e33.*
+ 2dfc9: 6feb.*
+ 2dfca: 55b0.*
+ 2dfcb: 0f33.*
+ 2dfcc: 6feb.*
+ 2dfcd: 55b1.*
+ 2dfce: 0f33.*
+ 2dfcf: 6feb.*
+ 2dfd0: 55b2.*
+ 2dfd1: 0c34.*
+ 2dfd2: 6feb.*
+ 2dfd3: 55b3.*
+ 2dfd4: 0d34.*
+ 2dfd5: 6feb.*
+ 2dfd6: 55b4.*
+ 2dfd7: 0c34.*
+ 2dfd8: 6feb.*
+ 2dfd9: 55b5.*
+ 2dfda: 0e34.*
+ 2dfdb: 6feb.*
+ 2dfdc: 55b6.*
+ 2dfdd: 0f34.*
+ 2dfde: 6feb.*
+ 2dfdf: 55b7.*
+ 2dfe0: 0f34.*
+ 2dfe1: 6feb.*
+ 2dfe2: 55b8.*
+ 2dfe3: 0c35.*
+ 2dfe4: 6feb.*
+ 2dfe5: 55b9.*
+ 2dfe6: 0d35.*
+ 2dfe7: 6feb.*
+ 2dfe8: 55ba.*
+ 2dfe9: 0c35.*
+ 2dfea: 6feb.*
+ 2dfeb: 55bb.*
+ 2dfec: 0e35.*
+ 2dfed: 6feb.*
+ 2dfee: 55bc.*
+ 2dfef: 0f35.*
+ 2dff0: 6feb.*
+ 2dff1: 55bd.*
+ 2dff2: 0f35.*
+ 2dff3: 6feb.*
+ 2dff4: 55be.*
+ 2dff5: 0c36.*
+ 2dff6: 6feb.*
+ 2dff7: 55bf.*
+ 2dff8: 0d36.*
+ 2dff9: 6feb.*
+ 2dffa: 55c0.*
+ 2dffb: 0c36.*
+ 2dffc: 6feb.*
+ 2dffd: 55c1.*
+ 2dffe: 0e36.*
+ 2dfff: 6feb.*
+ 2e000: 55c2.*
+ 2e001: 0f36.*
+ 2e002: 6feb.*
+ 2e003: 55c3.*
+ 2e004: 0f36.*
+ 2e005: 6feb.*
+ 2e006: 55c4.*
+ 2e007: 0c37.*
+ 2e008: 6feb.*
+ 2e009: 55c5.*
+ 2e00a: 0d37.*
+ 2e00b: 6feb.*
+ 2e00c: 55c6.*
+ 2e00d: 0c37.*
+ 2e00e: 6feb.*
+ 2e00f: 55c7.*
+ 2e010: 0e37.*
+ 2e011: 6feb.*
+ 2e012: 55c8.*
+ 2e013: 0f37.*
+ 2e014: 6feb.*
+ 2e015: 55c9.*
+ 2e016: 0f37.*
+ 2e017: 6feb.*
+ 2e018: 55ca.*
+ 2e019: 0c38.*
+ 2e01a: 6feb.*
+ 2e01b: 55cb.*
+ 2e01c: 0d38.*
+ 2e01d: 6feb.*
+ 2e01e: 55cc.*
+ 2e01f: 0c38.*
+ 2e020: 6feb.*
+ 2e021: 55cd.*
+ 2e022: 0e38.*
+ 2e023: 6feb.*
+ 2e024: 55ce.*
+ 2e025: 0f38.*
+ 2e026: 6feb.*
+ 2e027: 55cf.*
+ 2e028: 0f38.*
+ 2e029: 6feb.*
+ 2e02a: 55d0.*
+ 2e02b: 0c39.*
+ 2e02c: 6feb.*
+ 2e02d: 55d1.*
+ 2e02e: 0d39.*
+ 2e02f: 6feb.*
+ 2e030: 55d2.*
+ 2e031: 0c39.*
+ 2e032: 6feb.*
+ 2e033: 55d3.*
+ 2e034: 0e39.*
+ 2e035: 6feb.*
+ 2e036: 55d4.*
+ 2e037: 0f39.*
+ 2e038: 6feb.*
+ 2e039: 55d5.*
+ 2e03a: 0f39.*
+ 2e03b: 6feb.*
+ 2e03c: 55d6.*
+ 2e03d: 0c3a.*
+ 2e03e: 6feb.*
+ 2e03f: 55d7.*
+ 2e040: 0d3a.*
+ 2e041: 6feb.*
+ 2e042: 55d8.*
+ 2e043: 0c3a.*
+ 2e044: 6feb.*
+ 2e045: 55d9.*
+ 2e046: 0e3a.*
+ 2e047: 6feb.*
+ 2e048: 55da.*
+ 2e049: 0f3a.*
+ 2e04a: 6feb.*
+ 2e04b: 55db.*
+ 2e04c: 0f3a.*
+ 2e04d: 6feb.*
+ 2e04e: 55dc.*
+ 2e04f: 0c3b.*
+ 2e050: 6feb.*
+ 2e051: 55dd.*
+ 2e052: 0d3b.*
+ 2e053: 6feb.*
+ 2e054: 55de.*
+ 2e055: 0c3b.*
+ 2e056: 6feb.*
+ 2e057: 55df.*
+ 2e058: 0e3b.*
+ 2e059: 6feb.*
+ 2e05a: 55e0.*
+ 2e05b: 0f3b.*
+ 2e05c: 6feb.*
+ 2e05d: 55e1.*
+ 2e05e: 0f3b.*
+ 2e05f: 6feb.*
+ 2e060: 55e2.*
+ 2e061: 0c3c.*
+ 2e062: 6feb.*
+ 2e063: 55e3.*
+ 2e064: 0d3c.*
+ 2e065: 6feb.*
+ 2e066: 55e4.*
+ 2e067: 0c3c.*
+ 2e068: 6feb.*
+ 2e069: 55e5.*
+ 2e06a: 0e3c.*
+ 2e06b: 6feb.*
+ 2e06c: 55e6.*
+ 2e06d: 0f3c.*
+ 2e06e: 6feb.*
+ 2e06f: 55e7.*
+ 2e070: 0f3c.*
+ 2e071: 6feb.*
+ 2e072: 55e8.*
+ 2e073: 0c3d.*
+ 2e074: 6feb.*
+ 2e075: 55e9.*
+ 2e076: 0d3d.*
+ 2e077: 6feb.*
+ 2e078: 55ea.*
+ 2e079: 0c3d.*
+ 2e07a: 6feb.*
+ 2e07b: 55eb.*
+ 2e07c: 0e3d.*
+ 2e07d: 6feb.*
+ 2e07e: 55ec.*
+ 2e07f: 0f3d.*
+ 2e080: 6feb.*
+ 2e081: 55ed.*
+ 2e082: 0f3d.*
+ 2e083: 6feb.*
+ 2e084: 55ee.*
+ 2e085: 0c3e.*
+ 2e086: 6feb.*
+ 2e087: 55ef.*
+ 2e088: 0d3e.*
+ 2e089: 6feb.*
+ 2e08a: 55f0.*
+ 2e08b: 0c3e.*
+ 2e08c: 6feb.*
+ 2e08d: 55f1.*
+ 2e08e: 0e3e.*
+ 2e08f: 6feb.*
+ 2e090: 55f2.*
+ 2e091: 0f3e.*
+ 2e092: 6feb.*
+ 2e093: 55f3.*
+ 2e094: 0f3e.*
+ 2e095: 6feb.*
+ 2e096: 55f4.*
+ 2e097: 0c3f.*
+ 2e098: 6feb.*
+ 2e099: 55f5.*
+ 2e09a: 0d3f.*
+ 2e09b: 6feb.*
+ 2e09c: 55f6.*
+ 2e09d: 0c3f.*
+ 2e09e: 6feb.*
+ 2e09f: 55f7.*
+ 2e0a0: 0e3f.*
+ 2e0a1: 6feb.*
+ 2e0a2: 55f8.*
+ 2e0a3: 0f3f.*
+ 2e0a4: 6feb.*
+ 2e0a5: 55f9.*
+ 2e0a6: 0f3f.*
+ 2e0a7: 08eb.*
+ 2e0a8: 55fa.*
+ 2e0a9: 6feb.*
+ 2e0aa: 55fb.*
+ 2e0ab: 0d20.*
+ 2e0ac: 08eb.*
+ 2e0ad: 55fc.*
+ 2e0ae: 6feb.*
+ 2e0af: 55fd.*
+ 2e0b0: 0e20.*
+ 2e0b1: 09eb.*
+ 2e0b2: 55fe.*
+ 2e0b3: 09eb.*
+ 2e0b4: 55ff.*
+ 2e0b5: 6feb.*
+ 2e0b6: 5600.*
+ 2e0b7: 0c21.*
+ 2e0b8: 6feb.*
+ 2e0b9: 5601.*
+ 2e0ba: 0d21.*
+ 2e0bb: 6feb.*
+ 2e0bc: 5602.*
+ 2e0bd: 0c21.*
+ 2e0be: 6feb.*
+ 2e0bf: 5603.*
+ 2e0c0: 0e21.*
+ 2e0c1: 6feb.*
+ 2e0c2: 5604.*
+ 2e0c3: 0f21.*
+ 2e0c4: 6feb.*
+ 2e0c5: 5605.*
+ 2e0c6: 0f21.*
+ 2e0c7: 6feb.*
+ 2e0c8: 5606.*
+ 2e0c9: 0c22.*
+ 2e0ca: 6feb.*
+ 2e0cb: 5607.*
+ 2e0cc: 0d22.*
+ 2e0cd: 6feb.*
+ 2e0ce: 5608.*
+ 2e0cf: 0c22.*
+ 2e0d0: 6feb.*
+ 2e0d1: 5609.*
+ 2e0d2: 0e22.*
+ 2e0d3: 6feb.*
+ 2e0d4: 560a.*
+ 2e0d5: 0f22.*
+ 2e0d6: 6feb.*
+ 2e0d7: 560b.*
+ 2e0d8: 0f22.*
+ 2e0d9: 6feb.*
+ 2e0da: 560c.*
+ 2e0db: 0c23.*
+ 2e0dc: 6feb.*
+ 2e0dd: 560d.*
+ 2e0de: 0d23.*
+ 2e0df: 6feb.*
+ 2e0e0: 560e.*
+ 2e0e1: 0c23.*
+ 2e0e2: 6feb.*
+ 2e0e3: 560f.*
+ 2e0e4: 0e23.*
+ 2e0e5: 6feb.*
+ 2e0e6: 5610.*
+ 2e0e7: 0f23.*
+ 2e0e8: 6feb.*
+ 2e0e9: 5611.*
+ 2e0ea: 0f23.*
+ 2e0eb: 6feb.*
+ 2e0ec: 5612.*
+ 2e0ed: 0c24.*
+ 2e0ee: 6feb.*
+ 2e0ef: 5613.*
+ 2e0f0: 0d24.*
+ 2e0f1: 6feb.*
+ 2e0f2: 5614.*
+ 2e0f3: 0c24.*
+ 2e0f4: 6feb.*
+ 2e0f5: 5615.*
+ 2e0f6: 0e24.*
+ 2e0f7: 6feb.*
+ 2e0f8: 5616.*
+ 2e0f9: 0f24.*
+ 2e0fa: 6feb.*
+ 2e0fb: 5617.*
+ 2e0fc: 0f24.*
+ 2e0fd: 6feb.*
+ 2e0fe: 5618.*
+ 2e0ff: 0c25.*
+ 2e100: 6feb.*
+ 2e101: 5619.*
+ 2e102: 0d25.*
+ 2e103: 6feb.*
+ 2e104: 561a.*
+ 2e105: 0c25.*
+ 2e106: 6feb.*
+ 2e107: 561b.*
+ 2e108: 0e25.*
+ 2e109: 6feb.*
+ 2e10a: 561c.*
+ 2e10b: 0f25.*
+ 2e10c: 6feb.*
+ 2e10d: 561d.*
+ 2e10e: 0f25.*
+ 2e10f: 6feb.*
+ 2e110: 561e.*
+ 2e111: 0c26.*
+ 2e112: 6feb.*
+ 2e113: 561f.*
+ 2e114: 0d26.*
+ 2e115: 6feb.*
+ 2e116: 5620.*
+ 2e117: 0c26.*
+ 2e118: 6feb.*
+ 2e119: 5621.*
+ 2e11a: 0e26.*
+ 2e11b: 6feb.*
+ 2e11c: 5622.*
+ 2e11d: 0f26.*
+ 2e11e: 6feb.*
+ 2e11f: 5623.*
+ 2e120: 0f26.*
+ 2e121: 6feb.*
+ 2e122: 5624.*
+ 2e123: 0c27.*
+ 2e124: 6feb.*
+ 2e125: 5625.*
+ 2e126: 0d27.*
+ 2e127: 6feb.*
+ 2e128: 5626.*
+ 2e129: 0c27.*
+ 2e12a: 6feb.*
+ 2e12b: 5627.*
+ 2e12c: 0e27.*
+ 2e12d: 6feb.*
+ 2e12e: 5628.*
+ 2e12f: 0f27.*
+ 2e130: 6feb.*
+ 2e131: 5629.*
+ 2e132: 0f27.*
+ 2e133: 6feb.*
+ 2e134: 562a.*
+ 2e135: 0c28.*
+ 2e136: 6feb.*
+ 2e137: 562b.*
+ 2e138: 0d28.*
+ 2e139: 6feb.*
+ 2e13a: 562c.*
+ 2e13b: 0c28.*
+ 2e13c: 6feb.*
+ 2e13d: 562d.*
+ 2e13e: 0e28.*
+ 2e13f: 6feb.*
+ 2e140: 562e.*
+ 2e141: 0f28.*
+ 2e142: 6feb.*
+ 2e143: 562f.*
+ 2e144: 0f28.*
+ 2e145: 6feb.*
+ 2e146: 5630.*
+ 2e147: 0c29.*
+ 2e148: 6feb.*
+ 2e149: 5631.*
+ 2e14a: 0d29.*
+ 2e14b: 6feb.*
+ 2e14c: 5632.*
+ 2e14d: 0c29.*
+ 2e14e: 6feb.*
+ 2e14f: 5633.*
+ 2e150: 0e29.*
+ 2e151: 6feb.*
+ 2e152: 5634.*
+ 2e153: 0f29.*
+ 2e154: 6feb.*
+ 2e155: 5635.*
+ 2e156: 0f29.*
+ 2e157: 6feb.*
+ 2e158: 5636.*
+ 2e159: 0c2a.*
+ 2e15a: 6feb.*
+ 2e15b: 5637.*
+ 2e15c: 0d2a.*
+ 2e15d: 6feb.*
+ 2e15e: 5638.*
+ 2e15f: 0c2a.*
+ 2e160: 6feb.*
+ 2e161: 5639.*
+ 2e162: 0e2a.*
+ 2e163: 6feb.*
+ 2e164: 563a.*
+ 2e165: 0f2a.*
+ 2e166: 6feb.*
+ 2e167: 563b.*
+ 2e168: 0f2a.*
+ 2e169: 6feb.*
+ 2e16a: 563c.*
+ 2e16b: 0c2b.*
+ 2e16c: 6feb.*
+ 2e16d: 563d.*
+ 2e16e: 0d2b.*
+ 2e16f: 6feb.*
+ 2e170: 563e.*
+ 2e171: 0c2b.*
+ 2e172: 6feb.*
+ 2e173: 563f.*
+ 2e174: 0e2b.*
+ 2e175: 6feb.*
+ 2e176: 5640.*
+ 2e177: 0f2b.*
+ 2e178: 6feb.*
+ 2e179: 5641.*
+ 2e17a: 0f2b.*
+ 2e17b: 6feb.*
+ 2e17c: 5642.*
+ 2e17d: 0c2c.*
+ 2e17e: 6feb.*
+ 2e17f: 5643.*
+ 2e180: 0d2c.*
+ 2e181: 6feb.*
+ 2e182: 5644.*
+ 2e183: 0c2c.*
+ 2e184: 6feb.*
+ 2e185: 5645.*
+ 2e186: 0e2c.*
+ 2e187: 6feb.*
+ 2e188: 5646.*
+ 2e189: 0f2c.*
+ 2e18a: 6feb.*
+ 2e18b: 5647.*
+ 2e18c: 0f2c.*
+ 2e18d: 6feb.*
+ 2e18e: 5648.*
+ 2e18f: 0c2d.*
+ 2e190: 6feb.*
+ 2e191: 5649.*
+ 2e192: 0d2d.*
+ 2e193: 6feb.*
+ 2e194: 564a.*
+ 2e195: 0c2d.*
+ 2e196: 6feb.*
+ 2e197: 564b.*
+ 2e198: 0e2d.*
+ 2e199: 6feb.*
+ 2e19a: 564c.*
+ 2e19b: 0f2d.*
+ 2e19c: 6feb.*
+ 2e19d: 564d.*
+ 2e19e: 0f2d.*
+ 2e19f: 6feb.*
+ 2e1a0: 564e.*
+ 2e1a1: 0c2e.*
+ 2e1a2: 6feb.*
+ 2e1a3: 564f.*
+ 2e1a4: 0d2e.*
+ 2e1a5: 6feb.*
+ 2e1a6: 5650.*
+ 2e1a7: 0c2e.*
+ 2e1a8: 6feb.*
+ 2e1a9: 5651.*
+ 2e1aa: 0e2e.*
+ 2e1ab: 6feb.*
+ 2e1ac: 5652.*
+ 2e1ad: 0f2e.*
+ 2e1ae: 6feb.*
+ 2e1af: 5653.*
+ 2e1b0: 0f2e.*
+ 2e1b1: 6feb.*
+ 2e1b2: 5654.*
+ 2e1b3: 0c2f.*
+ 2e1b4: 6feb.*
+ 2e1b5: 5655.*
+ 2e1b6: 0d2f.*
+ 2e1b7: 6feb.*
+ 2e1b8: 5656.*
+ 2e1b9: 0c2f.*
+ 2e1ba: 6feb.*
+ 2e1bb: 5657.*
+ 2e1bc: 0e2f.*
+ 2e1bd: 6feb.*
+ 2e1be: 5658.*
+ 2e1bf: 0f2f.*
+ 2e1c0: 6feb.*
+ 2e1c1: 5659.*
+ 2e1c2: 0f2f.*
+ 2e1c3: 08f3.*
+ 2e1c4: 565a.*
+ 2e1c5: 6ff3.*
+ 2e1c6: 565b.*
+ 2e1c7: 0d20.*
+ 2e1c8: 08f3.*
+ 2e1c9: 565c.*
+ 2e1ca: 6ff3.*
+ 2e1cb: 565d.*
+ 2e1cc: 0e20.*
+ 2e1cd: 09f3.*
+ 2e1ce: 565e.*
+ 2e1cf: 09f3.*
+ 2e1d0: 565f.*
+ 2e1d1: 6ff3.*
+ 2e1d2: 5660.*
+ 2e1d3: 0c30.*
+ 2e1d4: 6ff3.*
+ 2e1d5: 5661.*
+ 2e1d6: 0d30.*
+ 2e1d7: 6ff3.*
+ 2e1d8: 5662.*
+ 2e1d9: 0c30.*
+ 2e1da: 6ff3.*
+ 2e1db: 5663.*
+ 2e1dc: 0e30.*
+ 2e1dd: 6ff3.*
+ 2e1de: 5664.*
+ 2e1df: 0f30.*
+ 2e1e0: 6ff3.*
+ 2e1e1: 5665.*
+ 2e1e2: 0f30.*
+ 2e1e3: 6ff3.*
+ 2e1e4: 5666.*
+ 2e1e5: 0c31.*
+ 2e1e6: 6ff3.*
+ 2e1e7: 5667.*
+ 2e1e8: 0d31.*
+ 2e1e9: 6ff3.*
+ 2e1ea: 5668.*
+ 2e1eb: 0c31.*
+ 2e1ec: 6ff3.*
+ 2e1ed: 5669.*
+ 2e1ee: 0e31.*
+ 2e1ef: 6ff3.*
+ 2e1f0: 566a.*
+ 2e1f1: 0f31.*
+ 2e1f2: 6ff3.*
+ 2e1f3: 566b.*
+ 2e1f4: 0f31.*
+ 2e1f5: 6ff3.*
+ 2e1f6: 566c.*
+ 2e1f7: 0c32.*
+ 2e1f8: 6ff3.*
+ 2e1f9: 566d.*
+ 2e1fa: 0d32.*
+ 2e1fb: 6ff3.*
+ 2e1fc: 566e.*
+ 2e1fd: 0c32.*
+ 2e1fe: 6ff3.*
+ 2e1ff: 566f.*
+ 2e200: 0e32.*
+ 2e201: 6ff3.*
+ 2e202: 5670.*
+ 2e203: 0f32.*
+ 2e204: 6ff3.*
+ 2e205: 5671.*
+ 2e206: 0f32.*
+ 2e207: 6ff3.*
+ 2e208: 5672.*
+ 2e209: 0c33.*
+ 2e20a: 6ff3.*
+ 2e20b: 5673.*
+ 2e20c: 0d33.*
+ 2e20d: 6ff3.*
+ 2e20e: 5674.*
+ 2e20f: 0c33.*
+ 2e210: 6ff3.*
+ 2e211: 5675.*
+ 2e212: 0e33.*
+ 2e213: 6ff3.*
+ 2e214: 5676.*
+ 2e215: 0f33.*
+ 2e216: 6ff3.*
+ 2e217: 5677.*
+ 2e218: 0f33.*
+ 2e219: 6ff3.*
+ 2e21a: 5678.*
+ 2e21b: 0c34.*
+ 2e21c: 6ff3.*
+ 2e21d: 5679.*
+ 2e21e: 0d34.*
+ 2e21f: 6ff3.*
+ 2e220: 567a.*
+ 2e221: 0c34.*
+ 2e222: 6ff3.*
+ 2e223: 567b.*
+ 2e224: 0e34.*
+ 2e225: 6ff3.*
+ 2e226: 567c.*
+ 2e227: 0f34.*
+ 2e228: 6ff3.*
+ 2e229: 567d.*
+ 2e22a: 0f34.*
+ 2e22b: 6ff3.*
+ 2e22c: 567e.*
+ 2e22d: 0c35.*
+ 2e22e: 6ff3.*
+ 2e22f: 567f.*
+ 2e230: 0d35.*
+ 2e231: 6ff3.*
+ 2e232: 5680.*
+ 2e233: 0c35.*
+ 2e234: 6ff3.*
+ 2e235: 5681.*
+ 2e236: 0e35.*
+ 2e237: 6ff3.*
+ 2e238: 5682.*
+ 2e239: 0f35.*
+ 2e23a: 6ff3.*
+ 2e23b: 5683.*
+ 2e23c: 0f35.*
+ 2e23d: 6ff3.*
+ 2e23e: 5684.*
+ 2e23f: 0c36.*
+ 2e240: 6ff3.*
+ 2e241: 5685.*
+ 2e242: 0d36.*
+ 2e243: 6ff3.*
+ 2e244: 5686.*
+ 2e245: 0c36.*
+ 2e246: 6ff3.*
+ 2e247: 5687.*
+ 2e248: 0e36.*
+ 2e249: 6ff3.*
+ 2e24a: 5688.*
+ 2e24b: 0f36.*
+ 2e24c: 6ff3.*
+ 2e24d: 5689.*
+ 2e24e: 0f36.*
+ 2e24f: 6ff3.*
+ 2e250: 568a.*
+ 2e251: 0c37.*
+ 2e252: 6ff3.*
+ 2e253: 568b.*
+ 2e254: 0d37.*
+ 2e255: 6ff3.*
+ 2e256: 568c.*
+ 2e257: 0c37.*
+ 2e258: 6ff3.*
+ 2e259: 568d.*
+ 2e25a: 0e37.*
+ 2e25b: 6ff3.*
+ 2e25c: 568e.*
+ 2e25d: 0f37.*
+ 2e25e: 6ff3.*
+ 2e25f: 568f.*
+ 2e260: 0f37.*
+ 2e261: 6ff3.*
+ 2e262: 5690.*
+ 2e263: 0c38.*
+ 2e264: 6ff3.*
+ 2e265: 5691.*
+ 2e266: 0d38.*
+ 2e267: 6ff3.*
+ 2e268: 5692.*
+ 2e269: 0c38.*
+ 2e26a: 6ff3.*
+ 2e26b: 5693.*
+ 2e26c: 0e38.*
+ 2e26d: 6ff3.*
+ 2e26e: 5694.*
+ 2e26f: 0f38.*
+ 2e270: 6ff3.*
+ 2e271: 5695.*
+ 2e272: 0f38.*
+ 2e273: 6ff3.*
+ 2e274: 5696.*
+ 2e275: 0c39.*
+ 2e276: 6ff3.*
+ 2e277: 5697.*
+ 2e278: 0d39.*
+ 2e279: 6ff3.*
+ 2e27a: 5698.*
+ 2e27b: 0c39.*
+ 2e27c: 6ff3.*
+ 2e27d: 5699.*
+ 2e27e: 0e39.*
+ 2e27f: 6ff3.*
+ 2e280: 569a.*
+ 2e281: 0f39.*
+ 2e282: 6ff3.*
+ 2e283: 569b.*
+ 2e284: 0f39.*
+ 2e285: 6ff3.*
+ 2e286: 569c.*
+ 2e287: 0c3a.*
+ 2e288: 6ff3.*
+ 2e289: 569d.*
+ 2e28a: 0d3a.*
+ 2e28b: 6ff3.*
+ 2e28c: 569e.*
+ 2e28d: 0c3a.*
+ 2e28e: 6ff3.*
+ 2e28f: 569f.*
+ 2e290: 0e3a.*
+ 2e291: 6ff3.*
+ 2e292: 56a0.*
+ 2e293: 0f3a.*
+ 2e294: 6ff3.*
+ 2e295: 56a1.*
+ 2e296: 0f3a.*
+ 2e297: 6ff3.*
+ 2e298: 56a2.*
+ 2e299: 0c3b.*
+ 2e29a: 6ff3.*
+ 2e29b: 56a3.*
+ 2e29c: 0d3b.*
+ 2e29d: 6ff3.*
+ 2e29e: 56a4.*
+ 2e29f: 0c3b.*
+ 2e2a0: 6ff3.*
+ 2e2a1: 56a5.*
+ 2e2a2: 0e3b.*
+ 2e2a3: 6ff3.*
+ 2e2a4: 56a6.*
+ 2e2a5: 0f3b.*
+ 2e2a6: 6ff3.*
+ 2e2a7: 56a7.*
+ 2e2a8: 0f3b.*
+ 2e2a9: 6ff3.*
+ 2e2aa: 56a8.*
+ 2e2ab: 0c3c.*
+ 2e2ac: 6ff3.*
+ 2e2ad: 56a9.*
+ 2e2ae: 0d3c.*
+ 2e2af: 6ff3.*
+ 2e2b0: 56aa.*
+ 2e2b1: 0c3c.*
+ 2e2b2: 6ff3.*
+ 2e2b3: 56ab.*
+ 2e2b4: 0e3c.*
+ 2e2b5: 6ff3.*
+ 2e2b6: 56ac.*
+ 2e2b7: 0f3c.*
+ 2e2b8: 6ff3.*
+ 2e2b9: 56ad.*
+ 2e2ba: 0f3c.*
+ 2e2bb: 6ff3.*
+ 2e2bc: 56ae.*
+ 2e2bd: 0c3d.*
+ 2e2be: 6ff3.*
+ 2e2bf: 56af.*
+ 2e2c0: 0d3d.*
+ 2e2c1: 6ff3.*
+ 2e2c2: 56b0.*
+ 2e2c3: 0c3d.*
+ 2e2c4: 6ff3.*
+ 2e2c5: 56b1.*
+ 2e2c6: 0e3d.*
+ 2e2c7: 6ff3.*
+ 2e2c8: 56b2.*
+ 2e2c9: 0f3d.*
+ 2e2ca: 6ff3.*
+ 2e2cb: 56b3.*
+ 2e2cc: 0f3d.*
+ 2e2cd: 6ff3.*
+ 2e2ce: 56b4.*
+ 2e2cf: 0c3e.*
+ 2e2d0: 6ff3.*
+ 2e2d1: 56b5.*
+ 2e2d2: 0d3e.*
+ 2e2d3: 6ff3.*
+ 2e2d4: 56b6.*
+ 2e2d5: 0c3e.*
+ 2e2d6: 6ff3.*
+ 2e2d7: 56b7.*
+ 2e2d8: 0e3e.*
+ 2e2d9: 6ff3.*
+ 2e2da: 56b8.*
+ 2e2db: 0f3e.*
+ 2e2dc: 6ff3.*
+ 2e2dd: 56b9.*
+ 2e2de: 0f3e.*
+ 2e2df: 6ff3.*
+ 2e2e0: 56ba.*
+ 2e2e1: 0c3f.*
+ 2e2e2: 6ff3.*
+ 2e2e3: 56bb.*
+ 2e2e4: 0d3f.*
+ 2e2e5: 6ff3.*
+ 2e2e6: 56bc.*
+ 2e2e7: 0c3f.*
+ 2e2e8: 6ff3.*
+ 2e2e9: 56bd.*
+ 2e2ea: 0e3f.*
+ 2e2eb: 6ff3.*
+ 2e2ec: 56be.*
+ 2e2ed: 0f3f.*
+ 2e2ee: 6ff3.*
+ 2e2ef: 56bf.*
+ 2e2f0: 0f3f.*
+ 2e2f1: 08f3.*
+ 2e2f2: 56c0.*
+ 2e2f3: 6ff3.*
+ 2e2f4: 56c1.*
+ 2e2f5: 0d20.*
+ 2e2f6: 08f3.*
+ 2e2f7: 56c2.*
+ 2e2f8: 6ff3.*
+ 2e2f9: 56c3.*
+ 2e2fa: 0e20.*
+ 2e2fb: 09f3.*
+ 2e2fc: 56c4.*
+ 2e2fd: 09f3.*
+ 2e2fe: 56c5.*
+ 2e2ff: 6ff3.*
+ 2e300: 56c6.*
+ 2e301: 0c21.*
+ 2e302: 6ff3.*
+ 2e303: 56c7.*
+ 2e304: 0d21.*
+ 2e305: 6ff3.*
+ 2e306: 56c8.*
+ 2e307: 0c21.*
+ 2e308: 6ff3.*
+ 2e309: 56c9.*
+ 2e30a: 0e21.*
+ 2e30b: 6ff3.*
+ 2e30c: 56ca.*
+ 2e30d: 0f21.*
+ 2e30e: 6ff3.*
+ 2e30f: 56cb.*
+ 2e310: 0f21.*
+ 2e311: 6ff3.*
+ 2e312: 56cc.*
+ 2e313: 0c22.*
+ 2e314: 6ff3.*
+ 2e315: 56cd.*
+ 2e316: 0d22.*
+ 2e317: 6ff3.*
+ 2e318: 56ce.*
+ 2e319: 0c22.*
+ 2e31a: 6ff3.*
+ 2e31b: 56cf.*
+ 2e31c: 0e22.*
+ 2e31d: 6ff3.*
+ 2e31e: 56d0.*
+ 2e31f: 0f22.*
+ 2e320: 6ff3.*
+ 2e321: 56d1.*
+ 2e322: 0f22.*
+ 2e323: 6ff3.*
+ 2e324: 56d2.*
+ 2e325: 0c23.*
+ 2e326: 6ff3.*
+ 2e327: 56d3.*
+ 2e328: 0d23.*
+ 2e329: 6ff3.*
+ 2e32a: 56d4.*
+ 2e32b: 0c23.*
+ 2e32c: 6ff3.*
+ 2e32d: 56d5.*
+ 2e32e: 0e23.*
+ 2e32f: 6ff3.*
+ 2e330: 56d6.*
+ 2e331: 0f23.*
+ 2e332: 6ff3.*
+ 2e333: 56d7.*
+ 2e334: 0f23.*
+ 2e335: 6ff3.*
+ 2e336: 56d8.*
+ 2e337: 0c24.*
+ 2e338: 6ff3.*
+ 2e339: 56d9.*
+ 2e33a: 0d24.*
+ 2e33b: 6ff3.*
+ 2e33c: 56da.*
+ 2e33d: 0c24.*
+ 2e33e: 6ff3.*
+ 2e33f: 56db.*
+ 2e340: 0e24.*
+ 2e341: 6ff3.*
+ 2e342: 56dc.*
+ 2e343: 0f24.*
+ 2e344: 6ff3.*
+ 2e345: 56dd.*
+ 2e346: 0f24.*
+ 2e347: 6ff3.*
+ 2e348: 56de.*
+ 2e349: 0c25.*
+ 2e34a: 6ff3.*
+ 2e34b: 56df.*
+ 2e34c: 0d25.*
+ 2e34d: 6ff3.*
+ 2e34e: 56e0.*
+ 2e34f: 0c25.*
+ 2e350: 6ff3.*
+ 2e351: 56e1.*
+ 2e352: 0e25.*
+ 2e353: 6ff3.*
+ 2e354: 56e2.*
+ 2e355: 0f25.*
+ 2e356: 6ff3.*
+ 2e357: 56e3.*
+ 2e358: 0f25.*
+ 2e359: 6ff3.*
+ 2e35a: 56e4.*
+ 2e35b: 0c26.*
+ 2e35c: 6ff3.*
+ 2e35d: 56e5.*
+ 2e35e: 0d26.*
+ 2e35f: 6ff3.*
+ 2e360: 56e6.*
+ 2e361: 0c26.*
+ 2e362: 6ff3.*
+ 2e363: 56e7.*
+ 2e364: 0e26.*
+ 2e365: 6ff3.*
+ 2e366: 56e8.*
+ 2e367: 0f26.*
+ 2e368: 6ff3.*
+ 2e369: 56e9.*
+ 2e36a: 0f26.*
+ 2e36b: 6ff3.*
+ 2e36c: 56ea.*
+ 2e36d: 0c27.*
+ 2e36e: 6ff3.*
+ 2e36f: 56eb.*
+ 2e370: 0d27.*
+ 2e371: 6ff3.*
+ 2e372: 56ec.*
+ 2e373: 0c27.*
+ 2e374: 6ff3.*
+ 2e375: 56ed.*
+ 2e376: 0e27.*
+ 2e377: 6ff3.*
+ 2e378: 56ee.*
+ 2e379: 0f27.*
+ 2e37a: 6ff3.*
+ 2e37b: 56ef.*
+ 2e37c: 0f27.*
+ 2e37d: 6ff3.*
+ 2e37e: 56f0.*
+ 2e37f: 0c28.*
+ 2e380: 6ff3.*
+ 2e381: 56f1.*
+ 2e382: 0d28.*
+ 2e383: 6ff3.*
+ 2e384: 56f2.*
+ 2e385: 0c28.*
+ 2e386: 6ff3.*
+ 2e387: 56f3.*
+ 2e388: 0e28.*
+ 2e389: 6ff3.*
+ 2e38a: 56f4.*
+ 2e38b: 0f28.*
+ 2e38c: 6ff3.*
+ 2e38d: 56f5.*
+ 2e38e: 0f28.*
+ 2e38f: 6ff3.*
+ 2e390: 56f6.*
+ 2e391: 0c29.*
+ 2e392: 6ff3.*
+ 2e393: 56f7.*
+ 2e394: 0d29.*
+ 2e395: 6ff3.*
+ 2e396: 56f8.*
+ 2e397: 0c29.*
+ 2e398: 6ff3.*
+ 2e399: 56f9.*
+ 2e39a: 0e29.*
+ 2e39b: 6ff3.*
+ 2e39c: 56fa.*
+ 2e39d: 0f29.*
+ 2e39e: 6ff3.*
+ 2e39f: 56fb.*
+ 2e3a0: 0f29.*
+ 2e3a1: 6ff3.*
+ 2e3a2: 56fc.*
+ 2e3a3: 0c2a.*
+ 2e3a4: 6ff3.*
+ 2e3a5: 56fd.*
+ 2e3a6: 0d2a.*
+ 2e3a7: 6ff3.*
+ 2e3a8: 56fe.*
+ 2e3a9: 0c2a.*
+ 2e3aa: 6ff3.*
+ 2e3ab: 56ff.*
+ 2e3ac: 0e2a.*
+ 2e3ad: 6ff3.*
+ 2e3ae: 5700.*
+ 2e3af: 0f2a.*
+ 2e3b0: 6ff3.*
+ 2e3b1: 5701.*
+ 2e3b2: 0f2a.*
+ 2e3b3: 6ff3.*
+ 2e3b4: 5702.*
+ 2e3b5: 0c2b.*
+ 2e3b6: 6ff3.*
+ 2e3b7: 5703.*
+ 2e3b8: 0d2b.*
+ 2e3b9: 6ff3.*
+ 2e3ba: 5704.*
+ 2e3bb: 0c2b.*
+ 2e3bc: 6ff3.*
+ 2e3bd: 5705.*
+ 2e3be: 0e2b.*
+ 2e3bf: 6ff3.*
+ 2e3c0: 5706.*
+ 2e3c1: 0f2b.*
+ 2e3c2: 6ff3.*
+ 2e3c3: 5707.*
+ 2e3c4: 0f2b.*
+ 2e3c5: 6ff3.*
+ 2e3c6: 5708.*
+ 2e3c7: 0c2c.*
+ 2e3c8: 6ff3.*
+ 2e3c9: 5709.*
+ 2e3ca: 0d2c.*
+ 2e3cb: 6ff3.*
+ 2e3cc: 570a.*
+ 2e3cd: 0c2c.*
+ 2e3ce: 6ff3.*
+ 2e3cf: 570b.*
+ 2e3d0: 0e2c.*
+ 2e3d1: 6ff3.*
+ 2e3d2: 570c.*
+ 2e3d3: 0f2c.*
+ 2e3d4: 6ff3.*
+ 2e3d5: 570d.*
+ 2e3d6: 0f2c.*
+ 2e3d7: 6ff3.*
+ 2e3d8: 570e.*
+ 2e3d9: 0c2d.*
+ 2e3da: 6ff3.*
+ 2e3db: 570f.*
+ 2e3dc: 0d2d.*
+ 2e3dd: 6ff3.*
+ 2e3de: 5710.*
+ 2e3df: 0c2d.*
+ 2e3e0: 6ff3.*
+ 2e3e1: 5711.*
+ 2e3e2: 0e2d.*
+ 2e3e3: 6ff3.*
+ 2e3e4: 5712.*
+ 2e3e5: 0f2d.*
+ 2e3e6: 6ff3.*
+ 2e3e7: 5713.*
+ 2e3e8: 0f2d.*
+ 2e3e9: 6ff3.*
+ 2e3ea: 5714.*
+ 2e3eb: 0c2e.*
+ 2e3ec: 6ff3.*
+ 2e3ed: 5715.*
+ 2e3ee: 0d2e.*
+ 2e3ef: 6ff3.*
+ 2e3f0: 5716.*
+ 2e3f1: 0c2e.*
+ 2e3f2: 6ff3.*
+ 2e3f3: 5717.*
+ 2e3f4: 0e2e.*
+ 2e3f5: 6ff3.*
+ 2e3f6: 5718.*
+ 2e3f7: 0f2e.*
+ 2e3f8: 6ff3.*
+ 2e3f9: 5719.*
+ 2e3fa: 0f2e.*
+ 2e3fb: 6ff3.*
+ 2e3fc: 571a.*
+ 2e3fd: 0c2f.*
+ 2e3fe: 6ff3.*
+ 2e3ff: 571b.*
+ 2e400: 0d2f.*
+ 2e401: 6ff3.*
+ 2e402: 571c.*
+ 2e403: 0c2f.*
+ 2e404: 6ff3.*
+ 2e405: 571d.*
+ 2e406: 0e2f.*
+ 2e407: 6ff3.*
+ 2e408: 571e.*
+ 2e409: 0f2f.*
+ 2e40a: 6ff3.*
+ 2e40b: 571f.*
+ 2e40c: 0f2f.*
+ 2e40d: 08f8.*
+ 2e40e: 5720.*
+ 2e40f: 6ff8.*
+ 2e410: 5721.*
+ 2e411: 0d20.*
+ 2e412: 08f8.*
+ 2e413: 5722.*
+ 2e414: 6ff8.*
+ 2e415: 5723.*
+ 2e416: 0e20.*
+ 2e417: 09f8.*
+ 2e418: 5724.*
+ 2e419: 09f8.*
+ 2e41a: 5725.*
+ 2e41b: 6ff8.*
+ 2e41c: 5726.*
+ 2e41d: 0c30.*
+ 2e41e: 6ff8.*
+ 2e41f: 5727.*
+ 2e420: 0d30.*
+ 2e421: 6ff8.*
+ 2e422: 5728.*
+ 2e423: 0c30.*
+ 2e424: 6ff8.*
+ 2e425: 5729.*
+ 2e426: 0e30.*
+ 2e427: 6ff8.*
+ 2e428: 572a.*
+ 2e429: 0f30.*
+ 2e42a: 6ff8.*
+ 2e42b: 572b.*
+ 2e42c: 0f30.*
+ 2e42d: 6ff8.*
+ 2e42e: 572c.*
+ 2e42f: 0c31.*
+ 2e430: 6ff8.*
+ 2e431: 572d.*
+ 2e432: 0d31.*
+ 2e433: 6ff8.*
+ 2e434: 572e.*
+ 2e435: 0c31.*
+ 2e436: 6ff8.*
+ 2e437: 572f.*
+ 2e438: 0e31.*
+ 2e439: 6ff8.*
+ 2e43a: 5730.*
+ 2e43b: 0f31.*
+ 2e43c: 6ff8.*
+ 2e43d: 5731.*
+ 2e43e: 0f31.*
+ 2e43f: 6ff8.*
+ 2e440: 5732.*
+ 2e441: 0c32.*
+ 2e442: 6ff8.*
+ 2e443: 5733.*
+ 2e444: 0d32.*
+ 2e445: 6ff8.*
+ 2e446: 5734.*
+ 2e447: 0c32.*
+ 2e448: 6ff8.*
+ 2e449: 5735.*
+ 2e44a: 0e32.*
+ 2e44b: 6ff8.*
+ 2e44c: 5736.*
+ 2e44d: 0f32.*
+ 2e44e: 6ff8.*
+ 2e44f: 5737.*
+ 2e450: 0f32.*
+ 2e451: 6ff8.*
+ 2e452: 5738.*
+ 2e453: 0c33.*
+ 2e454: 6ff8.*
+ 2e455: 5739.*
+ 2e456: 0d33.*
+ 2e457: 6ff8.*
+ 2e458: 573a.*
+ 2e459: 0c33.*
+ 2e45a: 6ff8.*
+ 2e45b: 573b.*
+ 2e45c: 0e33.*
+ 2e45d: 6ff8.*
+ 2e45e: 573c.*
+ 2e45f: 0f33.*
+ 2e460: 6ff8.*
+ 2e461: 573d.*
+ 2e462: 0f33.*
+ 2e463: 6ff8.*
+ 2e464: 573e.*
+ 2e465: 0c34.*
+ 2e466: 6ff8.*
+ 2e467: 573f.*
+ 2e468: 0d34.*
+ 2e469: 6ff8.*
+ 2e46a: 5740.*
+ 2e46b: 0c34.*
+ 2e46c: 6ff8.*
+ 2e46d: 5741.*
+ 2e46e: 0e34.*
+ 2e46f: 6ff8.*
+ 2e470: 5742.*
+ 2e471: 0f34.*
+ 2e472: 6ff8.*
+ 2e473: 5743.*
+ 2e474: 0f34.*
+ 2e475: 6ff8.*
+ 2e476: 5744.*
+ 2e477: 0c35.*
+ 2e478: 6ff8.*
+ 2e479: 5745.*
+ 2e47a: 0d35.*
+ 2e47b: 6ff8.*
+ 2e47c: 5746.*
+ 2e47d: 0c35.*
+ 2e47e: 6ff8.*
+ 2e47f: 5747.*
+ 2e480: 0e35.*
+ 2e481: 6ff8.*
+ 2e482: 5748.*
+ 2e483: 0f35.*
+ 2e484: 6ff8.*
+ 2e485: 5749.*
+ 2e486: 0f35.*
+ 2e487: 6ff8.*
+ 2e488: 574a.*
+ 2e489: 0c36.*
+ 2e48a: 6ff8.*
+ 2e48b: 574b.*
+ 2e48c: 0d36.*
+ 2e48d: 6ff8.*
+ 2e48e: 574c.*
+ 2e48f: 0c36.*
+ 2e490: 6ff8.*
+ 2e491: 574d.*
+ 2e492: 0e36.*
+ 2e493: 6ff8.*
+ 2e494: 574e.*
+ 2e495: 0f36.*
+ 2e496: 6ff8.*
+ 2e497: 574f.*
+ 2e498: 0f36.*
+ 2e499: 6ff8.*
+ 2e49a: 5750.*
+ 2e49b: 0c37.*
+ 2e49c: 6ff8.*
+ 2e49d: 5751.*
+ 2e49e: 0d37.*
+ 2e49f: 6ff8.*
+ 2e4a0: 5752.*
+ 2e4a1: 0c37.*
+ 2e4a2: 6ff8.*
+ 2e4a3: 5753.*
+ 2e4a4: 0e37.*
+ 2e4a5: 6ff8.*
+ 2e4a6: 5754.*
+ 2e4a7: 0f37.*
+ 2e4a8: 6ff8.*
+ 2e4a9: 5755.*
+ 2e4aa: 0f37.*
+ 2e4ab: 6ff8.*
+ 2e4ac: 5756.*
+ 2e4ad: 0c38.*
+ 2e4ae: 6ff8.*
+ 2e4af: 5757.*
+ 2e4b0: 0d38.*
+ 2e4b1: 6ff8.*
+ 2e4b2: 5758.*
+ 2e4b3: 0c38.*
+ 2e4b4: 6ff8.*
+ 2e4b5: 5759.*
+ 2e4b6: 0e38.*
+ 2e4b7: 6ff8.*
+ 2e4b8: 575a.*
+ 2e4b9: 0f38.*
+ 2e4ba: 6ff8.*
+ 2e4bb: 575b.*
+ 2e4bc: 0f38.*
+ 2e4bd: 6ff8.*
+ 2e4be: 575c.*
+ 2e4bf: 0c39.*
+ 2e4c0: 6ff8.*
+ 2e4c1: 575d.*
+ 2e4c2: 0d39.*
+ 2e4c3: 6ff8.*
+ 2e4c4: 575e.*
+ 2e4c5: 0c39.*
+ 2e4c6: 6ff8.*
+ 2e4c7: 575f.*
+ 2e4c8: 0e39.*
+ 2e4c9: 6ff8.*
+ 2e4ca: 5760.*
+ 2e4cb: 0f39.*
+ 2e4cc: 6ff8.*
+ 2e4cd: 5761.*
+ 2e4ce: 0f39.*
+ 2e4cf: 6ff8.*
+ 2e4d0: 5762.*
+ 2e4d1: 0c3a.*
+ 2e4d2: 6ff8.*
+ 2e4d3: 5763.*
+ 2e4d4: 0d3a.*
+ 2e4d5: 6ff8.*
+ 2e4d6: 5764.*
+ 2e4d7: 0c3a.*
+ 2e4d8: 6ff8.*
+ 2e4d9: 5765.*
+ 2e4da: 0e3a.*
+ 2e4db: 6ff8.*
+ 2e4dc: 5766.*
+ 2e4dd: 0f3a.*
+ 2e4de: 6ff8.*
+ 2e4df: 5767.*
+ 2e4e0: 0f3a.*
+ 2e4e1: 6ff8.*
+ 2e4e2: 5768.*
+ 2e4e3: 0c3b.*
+ 2e4e4: 6ff8.*
+ 2e4e5: 5769.*
+ 2e4e6: 0d3b.*
+ 2e4e7: 6ff8.*
+ 2e4e8: 576a.*
+ 2e4e9: 0c3b.*
+ 2e4ea: 6ff8.*
+ 2e4eb: 576b.*
+ 2e4ec: 0e3b.*
+ 2e4ed: 6ff8.*
+ 2e4ee: 576c.*
+ 2e4ef: 0f3b.*
+ 2e4f0: 6ff8.*
+ 2e4f1: 576d.*
+ 2e4f2: 0f3b.*
+ 2e4f3: 6ff8.*
+ 2e4f4: 576e.*
+ 2e4f5: 0c3c.*
+ 2e4f6: 6ff8.*
+ 2e4f7: 576f.*
+ 2e4f8: 0d3c.*
+ 2e4f9: 6ff8.*
+ 2e4fa: 5770.*
+ 2e4fb: 0c3c.*
+ 2e4fc: 6ff8.*
+ 2e4fd: 5771.*
+ 2e4fe: 0e3c.*
+ 2e4ff: 6ff8.*
+ 2e500: 5772.*
+ 2e501: 0f3c.*
+ 2e502: 6ff8.*
+ 2e503: 5773.*
+ 2e504: 0f3c.*
+ 2e505: 6ff8.*
+ 2e506: 5774.*
+ 2e507: 0c3d.*
+ 2e508: 6ff8.*
+ 2e509: 5775.*
+ 2e50a: 0d3d.*
+ 2e50b: 6ff8.*
+ 2e50c: 5776.*
+ 2e50d: 0c3d.*
+ 2e50e: 6ff8.*
+ 2e50f: 5777.*
+ 2e510: 0e3d.*
+ 2e511: 6ff8.*
+ 2e512: 5778.*
+ 2e513: 0f3d.*
+ 2e514: 6ff8.*
+ 2e515: 5779.*
+ 2e516: 0f3d.*
+ 2e517: 6ff8.*
+ 2e518: 577a.*
+ 2e519: 0c3e.*
+ 2e51a: 6ff8.*
+ 2e51b: 577b.*
+ 2e51c: 0d3e.*
+ 2e51d: 6ff8.*
+ 2e51e: 577c.*
+ 2e51f: 0c3e.*
+ 2e520: 6ff8.*
+ 2e521: 577d.*
+ 2e522: 0e3e.*
+ 2e523: 6ff8.*
+ 2e524: 577e.*
+ 2e525: 0f3e.*
+ 2e526: 6ff8.*
+ 2e527: 577f.*
+ 2e528: 0f3e.*
+ 2e529: 6ff8.*
+ 2e52a: 5780.*
+ 2e52b: 0c3f.*
+ 2e52c: 6ff8.*
+ 2e52d: 5781.*
+ 2e52e: 0d3f.*
+ 2e52f: 6ff8.*
+ 2e530: 5782.*
+ 2e531: 0c3f.*
+ 2e532: 6ff8.*
+ 2e533: 5783.*
+ 2e534: 0e3f.*
+ 2e535: 6ff8.*
+ 2e536: 5784.*
+ 2e537: 0f3f.*
+ 2e538: 6ff8.*
+ 2e539: 5785.*
+ 2e53a: 0f3f.*
+ 2e53b: 08f8.*
+ 2e53c: 5786.*
+ 2e53d: 6ff8.*
+ 2e53e: 5787.*
+ 2e53f: 0d20.*
+ 2e540: 08f8.*
+ 2e541: 5788.*
+ 2e542: 6ff8.*
+ 2e543: 5789.*
+ 2e544: 0e20.*
+ 2e545: 09f8.*
+ 2e546: 578a.*
+ 2e547: 09f8.*
+ 2e548: 578b.*
+ 2e549: 6ff8.*
+ 2e54a: 578c.*
+ 2e54b: 0c21.*
+ 2e54c: 6ff8.*
+ 2e54d: 578d.*
+ 2e54e: 0d21.*
+ 2e54f: 6ff8.*
+ 2e550: 578e.*
+ 2e551: 0c21.*
+ 2e552: 6ff8.*
+ 2e553: 578f.*
+ 2e554: 0e21.*
+ 2e555: 6ff8.*
+ 2e556: 5790.*
+ 2e557: 0f21.*
+ 2e558: 6ff8.*
+ 2e559: 5791.*
+ 2e55a: 0f21.*
+ 2e55b: 6ff8.*
+ 2e55c: 5792.*
+ 2e55d: 0c22.*
+ 2e55e: 6ff8.*
+ 2e55f: 5793.*
+ 2e560: 0d22.*
+ 2e561: 6ff8.*
+ 2e562: 5794.*
+ 2e563: 0c22.*
+ 2e564: 6ff8.*
+ 2e565: 5795.*
+ 2e566: 0e22.*
+ 2e567: 6ff8.*
+ 2e568: 5796.*
+ 2e569: 0f22.*
+ 2e56a: 6ff8.*
+ 2e56b: 5797.*
+ 2e56c: 0f22.*
+ 2e56d: 6ff8.*
+ 2e56e: 5798.*
+ 2e56f: 0c23.*
+ 2e570: 6ff8.*
+ 2e571: 5799.*
+ 2e572: 0d23.*
+ 2e573: 6ff8.*
+ 2e574: 579a.*
+ 2e575: 0c23.*
+ 2e576: 6ff8.*
+ 2e577: 579b.*
+ 2e578: 0e23.*
+ 2e579: 6ff8.*
+ 2e57a: 579c.*
+ 2e57b: 0f23.*
+ 2e57c: 6ff8.*
+ 2e57d: 579d.*
+ 2e57e: 0f23.*
+ 2e57f: 6ff8.*
+ 2e580: 579e.*
+ 2e581: 0c24.*
+ 2e582: 6ff8.*
+ 2e583: 579f.*
+ 2e584: 0d24.*
+ 2e585: 6ff8.*
+ 2e586: 57a0.*
+ 2e587: 0c24.*
+ 2e588: 6ff8.*
+ 2e589: 57a1.*
+ 2e58a: 0e24.*
+ 2e58b: 6ff8.*
+ 2e58c: 57a2.*
+ 2e58d: 0f24.*
+ 2e58e: 6ff8.*
+ 2e58f: 57a3.*
+ 2e590: 0f24.*
+ 2e591: 6ff8.*
+ 2e592: 57a4.*
+ 2e593: 0c25.*
+ 2e594: 6ff8.*
+ 2e595: 57a5.*
+ 2e596: 0d25.*
+ 2e597: 6ff8.*
+ 2e598: 57a6.*
+ 2e599: 0c25.*
+ 2e59a: 6ff8.*
+ 2e59b: 57a7.*
+ 2e59c: 0e25.*
+ 2e59d: 6ff8.*
+ 2e59e: 57a8.*
+ 2e59f: 0f25.*
+ 2e5a0: 6ff8.*
+ 2e5a1: 57a9.*
+ 2e5a2: 0f25.*
+ 2e5a3: 6ff8.*
+ 2e5a4: 57aa.*
+ 2e5a5: 0c26.*
+ 2e5a6: 6ff8.*
+ 2e5a7: 57ab.*
+ 2e5a8: 0d26.*
+ 2e5a9: 6ff8.*
+ 2e5aa: 57ac.*
+ 2e5ab: 0c26.*
+ 2e5ac: 6ff8.*
+ 2e5ad: 57ad.*
+ 2e5ae: 0e26.*
+ 2e5af: 6ff8.*
+ 2e5b0: 57ae.*
+ 2e5b1: 0f26.*
+ 2e5b2: 6ff8.*
+ 2e5b3: 57af.*
+ 2e5b4: 0f26.*
+ 2e5b5: 6ff8.*
+ 2e5b6: 57b0.*
+ 2e5b7: 0c27.*
+ 2e5b8: 6ff8.*
+ 2e5b9: 57b1.*
+ 2e5ba: 0d27.*
+ 2e5bb: 6ff8.*
+ 2e5bc: 57b2.*
+ 2e5bd: 0c27.*
+ 2e5be: 6ff8.*
+ 2e5bf: 57b3.*
+ 2e5c0: 0e27.*
+ 2e5c1: 6ff8.*
+ 2e5c2: 57b4.*
+ 2e5c3: 0f27.*
+ 2e5c4: 6ff8.*
+ 2e5c5: 57b5.*
+ 2e5c6: 0f27.*
+ 2e5c7: 6ff8.*
+ 2e5c8: 57b6.*
+ 2e5c9: 0c28.*
+ 2e5ca: 6ff8.*
+ 2e5cb: 57b7.*
+ 2e5cc: 0d28.*
+ 2e5cd: 6ff8.*
+ 2e5ce: 57b8.*
+ 2e5cf: 0c28.*
+ 2e5d0: 6ff8.*
+ 2e5d1: 57b9.*
+ 2e5d2: 0e28.*
+ 2e5d3: 6ff8.*
+ 2e5d4: 57ba.*
+ 2e5d5: 0f28.*
+ 2e5d6: 6ff8.*
+ 2e5d7: 57bb.*
+ 2e5d8: 0f28.*
+ 2e5d9: 6ff8.*
+ 2e5da: 57bc.*
+ 2e5db: 0c29.*
+ 2e5dc: 6ff8.*
+ 2e5dd: 57bd.*
+ 2e5de: 0d29.*
+ 2e5df: 6ff8.*
+ 2e5e0: 57be.*
+ 2e5e1: 0c29.*
+ 2e5e2: 6ff8.*
+ 2e5e3: 57bf.*
+ 2e5e4: 0e29.*
+ 2e5e5: 6ff8.*
+ 2e5e6: 57c0.*
+ 2e5e7: 0f29.*
+ 2e5e8: 6ff8.*
+ 2e5e9: 57c1.*
+ 2e5ea: 0f29.*
+ 2e5eb: 6ff8.*
+ 2e5ec: 57c2.*
+ 2e5ed: 0c2a.*
+ 2e5ee: 6ff8.*
+ 2e5ef: 57c3.*
+ 2e5f0: 0d2a.*
+ 2e5f1: 6ff8.*
+ 2e5f2: 57c4.*
+ 2e5f3: 0c2a.*
+ 2e5f4: 6ff8.*
+ 2e5f5: 57c5.*
+ 2e5f6: 0e2a.*
+ 2e5f7: 6ff8.*
+ 2e5f8: 57c6.*
+ 2e5f9: 0f2a.*
+ 2e5fa: 6ff8.*
+ 2e5fb: 57c7.*
+ 2e5fc: 0f2a.*
+ 2e5fd: 6ff8.*
+ 2e5fe: 57c8.*
+ 2e5ff: 0c2b.*
+ 2e600: 6ff8.*
+ 2e601: 57c9.*
+ 2e602: 0d2b.*
+ 2e603: 6ff8.*
+ 2e604: 57ca.*
+ 2e605: 0c2b.*
+ 2e606: 6ff8.*
+ 2e607: 57cb.*
+ 2e608: 0e2b.*
+ 2e609: 6ff8.*
+ 2e60a: 57cc.*
+ 2e60b: 0f2b.*
+ 2e60c: 6ff8.*
+ 2e60d: 57cd.*
+ 2e60e: 0f2b.*
+ 2e60f: 6ff8.*
+ 2e610: 57ce.*
+ 2e611: 0c2c.*
+ 2e612: 6ff8.*
+ 2e613: 57cf.*
+ 2e614: 0d2c.*
+ 2e615: 6ff8.*
+ 2e616: 57d0.*
+ 2e617: 0c2c.*
+ 2e618: 6ff8.*
+ 2e619: 57d1.*
+ 2e61a: 0e2c.*
+ 2e61b: 6ff8.*
+ 2e61c: 57d2.*
+ 2e61d: 0f2c.*
+ 2e61e: 6ff8.*
+ 2e61f: 57d3.*
+ 2e620: 0f2c.*
+ 2e621: 6ff8.*
+ 2e622: 57d4.*
+ 2e623: 0c2d.*
+ 2e624: 6ff8.*
+ 2e625: 57d5.*
+ 2e626: 0d2d.*
+ 2e627: 6ff8.*
+ 2e628: 57d6.*
+ 2e629: 0c2d.*
+ 2e62a: 6ff8.*
+ 2e62b: 57d7.*
+ 2e62c: 0e2d.*
+ 2e62d: 6ff8.*
+ 2e62e: 57d8.*
+ 2e62f: 0f2d.*
+ 2e630: 6ff8.*
+ 2e631: 57d9.*
+ 2e632: 0f2d.*
+ 2e633: 6ff8.*
+ 2e634: 57da.*
+ 2e635: 0c2e.*
+ 2e636: 6ff8.*
+ 2e637: 57db.*
+ 2e638: 0d2e.*
+ 2e639: 6ff8.*
+ 2e63a: 57dc.*
+ 2e63b: 0c2e.*
+ 2e63c: 6ff8.*
+ 2e63d: 57dd.*
+ 2e63e: 0e2e.*
+ 2e63f: 6ff8.*
+ 2e640: 57de.*
+ 2e641: 0f2e.*
+ 2e642: 6ff8.*
+ 2e643: 57df.*
+ 2e644: 0f2e.*
+ 2e645: 6ff8.*
+ 2e646: 57e0.*
+ 2e647: 0c2f.*
+ 2e648: 6ff8.*
+ 2e649: 57e1.*
+ 2e64a: 0d2f.*
+ 2e64b: 6ff8.*
+ 2e64c: 57e2.*
+ 2e64d: 0c2f.*
+ 2e64e: 6ff8.*
+ 2e64f: 57e3.*
+ 2e650: 0e2f.*
+ 2e651: 6ff8.*
+ 2e652: 57e4.*
+ 2e653: 0f2f.*
+ 2e654: 6ff8.*
+ 2e655: 57e5.*
+ 2e656: 0f2f.*
+ 2e657: 0884.*
+ 2e658: 6f84.*
+ 2e659: 0d20.*
+ 2e65a: 0884.*
+ 2e65b: 6f84.*
+ 2e65c: 0e20.*
+ 2e65d: 0984.*
+ 2e65e: 0984.*
+ 2e65f: 6f84.*
+ 2e660: 0c30.*
+ 2e661: 6f84.*
+ 2e662: 0d30.*
+ 2e663: 6f84.*
+ 2e664: 0c30.*
+ 2e665: 6f84.*
+ 2e666: 0e30.*
+ 2e667: 6f84.*
+ 2e668: 0f30.*
+ 2e669: 6f84.*
+ 2e66a: 0f30.*
+ 2e66b: 6f84.*
+ 2e66c: 0c31.*
+ 2e66d: 6f84.*
+ 2e66e: 0d31.*
+ 2e66f: 6f84.*
+ 2e670: 0c31.*
+ 2e671: 6f84.*
+ 2e672: 0e31.*
+ 2e673: 6f84.*
+ 2e674: 0f31.*
+ 2e675: 6f84.*
+ 2e676: 0f31.*
+ 2e677: 6f84.*
+ 2e678: 0c32.*
+ 2e679: 6f84.*
+ 2e67a: 0d32.*
+ 2e67b: 6f84.*
+ 2e67c: 0c32.*
+ 2e67d: 6f84.*
+ 2e67e: 0e32.*
+ 2e67f: 6f84.*
+ 2e680: 0f32.*
+ 2e681: 6f84.*
+ 2e682: 0f32.*
+ 2e683: 6f84.*
+ 2e684: 0c33.*
+ 2e685: 6f84.*
+ 2e686: 0d33.*
+ 2e687: 6f84.*
+ 2e688: 0c33.*
+ 2e689: 6f84.*
+ 2e68a: 0e33.*
+ 2e68b: 6f84.*
+ 2e68c: 0f33.*
+ 2e68d: 6f84.*
+ 2e68e: 0f33.*
+ 2e68f: 6f84.*
+ 2e690: 0c34.*
+ 2e691: 6f84.*
+ 2e692: 0d34.*
+ 2e693: 6f84.*
+ 2e694: 0c34.*
+ 2e695: 6f84.*
+ 2e696: 0e34.*
+ 2e697: 6f84.*
+ 2e698: 0f34.*
+ 2e699: 6f84.*
+ 2e69a: 0f34.*
+ 2e69b: 6f84.*
+ 2e69c: 0c35.*
+ 2e69d: 6f84.*
+ 2e69e: 0d35.*
+ 2e69f: 6f84.*
+ 2e6a0: 0c35.*
+ 2e6a1: 6f84.*
+ 2e6a2: 0e35.*
+ 2e6a3: 6f84.*
+ 2e6a4: 0f35.*
+ 2e6a5: 6f84.*
+ 2e6a6: 0f35.*
+ 2e6a7: 6f84.*
+ 2e6a8: 0c36.*
+ 2e6a9: 6f84.*
+ 2e6aa: 0d36.*
+ 2e6ab: 6f84.*
+ 2e6ac: 0c36.*
+ 2e6ad: 6f84.*
+ 2e6ae: 0e36.*
+ 2e6af: 6f84.*
+ 2e6b0: 0f36.*
+ 2e6b1: 6f84.*
+ 2e6b2: 0f36.*
+ 2e6b3: 6f84.*
+ 2e6b4: 0c37.*
+ 2e6b5: 6f84.*
+ 2e6b6: 0d37.*
+ 2e6b7: 6f84.*
+ 2e6b8: 0c37.*
+ 2e6b9: 6f84.*
+ 2e6ba: 0e37.*
+ 2e6bb: 6f84.*
+ 2e6bc: 0f37.*
+ 2e6bd: 6f84.*
+ 2e6be: 0f37.*
+ 2e6bf: 6f84.*
+ 2e6c0: 0c38.*
+ 2e6c1: 6f84.*
+ 2e6c2: 0d38.*
+ 2e6c3: 6f84.*
+ 2e6c4: 0c38.*
+ 2e6c5: 6f84.*
+ 2e6c6: 0e38.*
+ 2e6c7: 6f84.*
+ 2e6c8: 0f38.*
+ 2e6c9: 6f84.*
+ 2e6ca: 0f38.*
+ 2e6cb: 6f84.*
+ 2e6cc: 0c39.*
+ 2e6cd: 6f84.*
+ 2e6ce: 0d39.*
+ 2e6cf: 6f84.*
+ 2e6d0: 0c39.*
+ 2e6d1: 6f84.*
+ 2e6d2: 0e39.*
+ 2e6d3: 6f84.*
+ 2e6d4: 0f39.*
+ 2e6d5: 6f84.*
+ 2e6d6: 0f39.*
+ 2e6d7: 6f84.*
+ 2e6d8: 0c3a.*
+ 2e6d9: 6f84.*
+ 2e6da: 0d3a.*
+ 2e6db: 6f84.*
+ 2e6dc: 0c3a.*
+ 2e6dd: 6f84.*
+ 2e6de: 0e3a.*
+ 2e6df: 6f84.*
+ 2e6e0: 0f3a.*
+ 2e6e1: 6f84.*
+ 2e6e2: 0f3a.*
+ 2e6e3: 6f84.*
+ 2e6e4: 0c3b.*
+ 2e6e5: 6f84.*
+ 2e6e6: 0d3b.*
+ 2e6e7: 6f84.*
+ 2e6e8: 0c3b.*
+ 2e6e9: 6f84.*
+ 2e6ea: 0e3b.*
+ 2e6eb: 6f84.*
+ 2e6ec: 0f3b.*
+ 2e6ed: 6f84.*
+ 2e6ee: 0f3b.*
+ 2e6ef: 6f84.*
+ 2e6f0: 0c3c.*
+ 2e6f1: 6f84.*
+ 2e6f2: 0d3c.*
+ 2e6f3: 6f84.*
+ 2e6f4: 0c3c.*
+ 2e6f5: 6f84.*
+ 2e6f6: 0e3c.*
+ 2e6f7: 6f84.*
+ 2e6f8: 0f3c.*
+ 2e6f9: 6f84.*
+ 2e6fa: 0f3c.*
+ 2e6fb: 6f84.*
+ 2e6fc: 0c3d.*
+ 2e6fd: 6f84.*
+ 2e6fe: 0d3d.*
+ 2e6ff: 6f84.*
+ 2e700: 0c3d.*
+ 2e701: 6f84.*
+ 2e702: 0e3d.*
+ 2e703: 6f84.*
+ 2e704: 0f3d.*
+ 2e705: 6f84.*
+ 2e706: 0f3d.*
+ 2e707: 6f84.*
+ 2e708: 0c3e.*
+ 2e709: 6f84.*
+ 2e70a: 0d3e.*
+ 2e70b: 6f84.*
+ 2e70c: 0c3e.*
+ 2e70d: 6f84.*
+ 2e70e: 0e3e.*
+ 2e70f: 6f84.*
+ 2e710: 0f3e.*
+ 2e711: 6f84.*
+ 2e712: 0f3e.*
+ 2e713: 6f84.*
+ 2e714: 0c3f.*
+ 2e715: 6f84.*
+ 2e716: 0d3f.*
+ 2e717: 6f84.*
+ 2e718: 0c3f.*
+ 2e719: 6f84.*
+ 2e71a: 0e3f.*
+ 2e71b: 6f84.*
+ 2e71c: 0f3f.*
+ 2e71d: 6f84.*
+ 2e71e: 0f3f.*
+ 2e71f: 0884.*
+ 2e720: 6f84.*
+ 2e721: 0d20.*
+ 2e722: 0884.*
+ 2e723: 6f84.*
+ 2e724: 0e20.*
+ 2e725: 0984.*
+ 2e726: 0984.*
+ 2e727: 9221.*
+ 2e728: 6f84.*
+ 2e729: 0d21.*
+ 2e72a: 9221.*
+ 2e72b: 6f84.*
+ 2e72c: 0e21.*
+ 2e72d: 9321.*
+ 2e72e: 9321.*
+ 2e72f: 9222.*
+ 2e730: 6f84.*
+ 2e731: 0d22.*
+ 2e732: 9222.*
+ 2e733: 6f84.*
+ 2e734: 0e22.*
+ 2e735: 9322.*
+ 2e736: 9322.*
+ 2e737: 9223.*
+ 2e738: 6f84.*
+ 2e739: 0d23.*
+ 2e73a: 9223.*
+ 2e73b: 6f84.*
+ 2e73c: 0e23.*
+ 2e73d: 9323.*
+ 2e73e: 9323.*
+ 2e73f: 9224.*
+ 2e740: 6f84.*
+ 2e741: 0d24.*
+ 2e742: 9224.*
+ 2e743: 6f84.*
+ 2e744: 0e24.*
+ 2e745: 9324.*
+ 2e746: 9324.*
+ 2e747: 9225.*
+ 2e748: 6f84.*
+ 2e749: 0d25.*
+ 2e74a: 9225.*
+ 2e74b: 6f84.*
+ 2e74c: 0e25.*
+ 2e74d: 9325.*
+ 2e74e: 9325.*
+ 2e74f: 9226.*
+ 2e750: 6f84.*
+ 2e751: 0d26.*
+ 2e752: 9226.*
+ 2e753: 6f84.*
+ 2e754: 0e26.*
+ 2e755: 9326.*
+ 2e756: 9326.*
+ 2e757: 9227.*
+ 2e758: 6f84.*
+ 2e759: 0d27.*
+ 2e75a: 9227.*
+ 2e75b: 6f84.*
+ 2e75c: 0e27.*
+ 2e75d: 9327.*
+ 2e75e: 9327.*
+ 2e75f: 9228.*
+ 2e760: 6f84.*
+ 2e761: 0d28.*
+ 2e762: 9228.*
+ 2e763: 6f84.*
+ 2e764: 0e28.*
+ 2e765: 9328.*
+ 2e766: 9328.*
+ 2e767: 9229.*
+ 2e768: 6f84.*
+ 2e769: 0d29.*
+ 2e76a: 9229.*
+ 2e76b: 6f84.*
+ 2e76c: 0e29.*
+ 2e76d: 9329.*
+ 2e76e: 9329.*
+ 2e76f: 922a.*
+ 2e770: 6f84.*
+ 2e771: 0d2a.*
+ 2e772: 922a.*
+ 2e773: 6f84.*
+ 2e774: 0e2a.*
+ 2e775: 932a.*
+ 2e776: 932a.*
+ 2e777: 922b.*
+ 2e778: 6f84.*
+ 2e779: 0d2b.*
+ 2e77a: 922b.*
+ 2e77b: 6f84.*
+ 2e77c: 0e2b.*
+ 2e77d: 932b.*
+ 2e77e: 932b.*
+ 2e77f: 922c.*
+ 2e780: 6f84.*
+ 2e781: 0d2c.*
+ 2e782: 922c.*
+ 2e783: 6f84.*
+ 2e784: 0e2c.*
+ 2e785: 932c.*
+ 2e786: 932c.*
+ 2e787: 922d.*
+ 2e788: 6f84.*
+ 2e789: 0d2d.*
+ 2e78a: 922d.*
+ 2e78b: 6f84.*
+ 2e78c: 0e2d.*
+ 2e78d: 932d.*
+ 2e78e: 932d.*
+ 2e78f: 922e.*
+ 2e790: 6f84.*
+ 2e791: 0d2e.*
+ 2e792: 922e.*
+ 2e793: 6f84.*
+ 2e794: 0e2e.*
+ 2e795: 932e.*
+ 2e796: 932e.*
+ 2e797: 922f.*
+ 2e798: 6f84.*
+ 2e799: 0d2f.*
+ 2e79a: 922f.*
+ 2e79b: 6f84.*
+ 2e79c: 0e2f.*
+ 2e79d: 932f.*
+ 2e79e: 932f.*
+ 2e79f: 088c.*
+ 2e7a0: 6f8c.*
+ 2e7a1: 0d20.*
+ 2e7a2: 088c.*
+ 2e7a3: 6f8c.*
+ 2e7a4: 0e20.*
+ 2e7a5: 098c.*
+ 2e7a6: 098c.*
+ 2e7a7: 6f8c.*
+ 2e7a8: 0c30.*
+ 2e7a9: 6f8c.*
+ 2e7aa: 0d30.*
+ 2e7ab: 6f8c.*
+ 2e7ac: 0c30.*
+ 2e7ad: 6f8c.*
+ 2e7ae: 0e30.*
+ 2e7af: 6f8c.*
+ 2e7b0: 0f30.*
+ 2e7b1: 6f8c.*
+ 2e7b2: 0f30.*
+ 2e7b3: 6f8c.*
+ 2e7b4: 0c31.*
+ 2e7b5: 6f8c.*
+ 2e7b6: 0d31.*
+ 2e7b7: 6f8c.*
+ 2e7b8: 0c31.*
+ 2e7b9: 6f8c.*
+ 2e7ba: 0e31.*
+ 2e7bb: 6f8c.*
+ 2e7bc: 0f31.*
+ 2e7bd: 6f8c.*
+ 2e7be: 0f31.*
+ 2e7bf: 6f8c.*
+ 2e7c0: 0c32.*
+ 2e7c1: 6f8c.*
+ 2e7c2: 0d32.*
+ 2e7c3: 6f8c.*
+ 2e7c4: 0c32.*
+ 2e7c5: 6f8c.*
+ 2e7c6: 0e32.*
+ 2e7c7: 6f8c.*
+ 2e7c8: 0f32.*
+ 2e7c9: 6f8c.*
+ 2e7ca: 0f32.*
+ 2e7cb: 6f8c.*
+ 2e7cc: 0c33.*
+ 2e7cd: 6f8c.*
+ 2e7ce: 0d33.*
+ 2e7cf: 6f8c.*
+ 2e7d0: 0c33.*
+ 2e7d1: 6f8c.*
+ 2e7d2: 0e33.*
+ 2e7d3: 6f8c.*
+ 2e7d4: 0f33.*
+ 2e7d5: 6f8c.*
+ 2e7d6: 0f33.*
+ 2e7d7: 6f8c.*
+ 2e7d8: 0c34.*
+ 2e7d9: 6f8c.*
+ 2e7da: 0d34.*
+ 2e7db: 6f8c.*
+ 2e7dc: 0c34.*
+ 2e7dd: 6f8c.*
+ 2e7de: 0e34.*
+ 2e7df: 6f8c.*
+ 2e7e0: 0f34.*
+ 2e7e1: 6f8c.*
+ 2e7e2: 0f34.*
+ 2e7e3: 6f8c.*
+ 2e7e4: 0c35.*
+ 2e7e5: 6f8c.*
+ 2e7e6: 0d35.*
+ 2e7e7: 6f8c.*
+ 2e7e8: 0c35.*
+ 2e7e9: 6f8c.*
+ 2e7ea: 0e35.*
+ 2e7eb: 6f8c.*
+ 2e7ec: 0f35.*
+ 2e7ed: 6f8c.*
+ 2e7ee: 0f35.*
+ 2e7ef: 6f8c.*
+ 2e7f0: 0c36.*
+ 2e7f1: 6f8c.*
+ 2e7f2: 0d36.*
+ 2e7f3: 6f8c.*
+ 2e7f4: 0c36.*
+ 2e7f5: 6f8c.*
+ 2e7f6: 0e36.*
+ 2e7f7: 6f8c.*
+ 2e7f8: 0f36.*
+ 2e7f9: 6f8c.*
+ 2e7fa: 0f36.*
+ 2e7fb: 6f8c.*
+ 2e7fc: 0c37.*
+ 2e7fd: 6f8c.*
+ 2e7fe: 0d37.*
+ 2e7ff: 6f8c.*
+ 2e800: 0c37.*
+ 2e801: 6f8c.*
+ 2e802: 0e37.*
+ 2e803: 6f8c.*
+ 2e804: 0f37.*
+ 2e805: 6f8c.*
+ 2e806: 0f37.*
+ 2e807: 6f8c.*
+ 2e808: 0c38.*
+ 2e809: 6f8c.*
+ 2e80a: 0d38.*
+ 2e80b: 6f8c.*
+ 2e80c: 0c38.*
+ 2e80d: 6f8c.*
+ 2e80e: 0e38.*
+ 2e80f: 6f8c.*
+ 2e810: 0f38.*
+ 2e811: 6f8c.*
+ 2e812: 0f38.*
+ 2e813: 6f8c.*
+ 2e814: 0c39.*
+ 2e815: 6f8c.*
+ 2e816: 0d39.*
+ 2e817: 6f8c.*
+ 2e818: 0c39.*
+ 2e819: 6f8c.*
+ 2e81a: 0e39.*
+ 2e81b: 6f8c.*
+ 2e81c: 0f39.*
+ 2e81d: 6f8c.*
+ 2e81e: 0f39.*
+ 2e81f: 6f8c.*
+ 2e820: 0c3a.*
+ 2e821: 6f8c.*
+ 2e822: 0d3a.*
+ 2e823: 6f8c.*
+ 2e824: 0c3a.*
+ 2e825: 6f8c.*
+ 2e826: 0e3a.*
+ 2e827: 6f8c.*
+ 2e828: 0f3a.*
+ 2e829: 6f8c.*
+ 2e82a: 0f3a.*
+ 2e82b: 6f8c.*
+ 2e82c: 0c3b.*
+ 2e82d: 6f8c.*
+ 2e82e: 0d3b.*
+ 2e82f: 6f8c.*
+ 2e830: 0c3b.*
+ 2e831: 6f8c.*
+ 2e832: 0e3b.*
+ 2e833: 6f8c.*
+ 2e834: 0f3b.*
+ 2e835: 6f8c.*
+ 2e836: 0f3b.*
+ 2e837: 6f8c.*
+ 2e838: 0c3c.*
+ 2e839: 6f8c.*
+ 2e83a: 0d3c.*
+ 2e83b: 6f8c.*
+ 2e83c: 0c3c.*
+ 2e83d: 6f8c.*
+ 2e83e: 0e3c.*
+ 2e83f: 6f8c.*
+ 2e840: 0f3c.*
+ 2e841: 6f8c.*
+ 2e842: 0f3c.*
+ 2e843: 6f8c.*
+ 2e844: 0c3d.*
+ 2e845: 6f8c.*
+ 2e846: 0d3d.*
+ 2e847: 6f8c.*
+ 2e848: 0c3d.*
+ 2e849: 6f8c.*
+ 2e84a: 0e3d.*
+ 2e84b: 6f8c.*
+ 2e84c: 0f3d.*
+ 2e84d: 6f8c.*
+ 2e84e: 0f3d.*
+ 2e84f: 6f8c.*
+ 2e850: 0c3e.*
+ 2e851: 6f8c.*
+ 2e852: 0d3e.*
+ 2e853: 6f8c.*
+ 2e854: 0c3e.*
+ 2e855: 6f8c.*
+ 2e856: 0e3e.*
+ 2e857: 6f8c.*
+ 2e858: 0f3e.*
+ 2e859: 6f8c.*
+ 2e85a: 0f3e.*
+ 2e85b: 6f8c.*
+ 2e85c: 0c3f.*
+ 2e85d: 6f8c.*
+ 2e85e: 0d3f.*
+ 2e85f: 6f8c.*
+ 2e860: 0c3f.*
+ 2e861: 6f8c.*
+ 2e862: 0e3f.*
+ 2e863: 6f8c.*
+ 2e864: 0f3f.*
+ 2e865: 6f8c.*
+ 2e866: 0f3f.*
+ 2e867: 088c.*
+ 2e868: 6f8c.*
+ 2e869: 0d20.*
+ 2e86a: 088c.*
+ 2e86b: 6f8c.*
+ 2e86c: 0e20.*
+ 2e86d: 098c.*
+ 2e86e: 098c.*
+ 2e86f: 9261.*
+ 2e870: 6f8c.*
+ 2e871: 0d21.*
+ 2e872: 9261.*
+ 2e873: 6f8c.*
+ 2e874: 0e21.*
+ 2e875: 9361.*
+ 2e876: 9361.*
+ 2e877: 9262.*
+ 2e878: 6f8c.*
+ 2e879: 0d22.*
+ 2e87a: 9262.*
+ 2e87b: 6f8c.*
+ 2e87c: 0e22.*
+ 2e87d: 9362.*
+ 2e87e: 9362.*
+ 2e87f: 9263.*
+ 2e880: 6f8c.*
+ 2e881: 0d23.*
+ 2e882: 9263.*
+ 2e883: 6f8c.*
+ 2e884: 0e23.*
+ 2e885: 9363.*
+ 2e886: 9363.*
+ 2e887: 9264.*
+ 2e888: 6f8c.*
+ 2e889: 0d24.*
+ 2e88a: 9264.*
+ 2e88b: 6f8c.*
+ 2e88c: 0e24.*
+ 2e88d: 9364.*
+ 2e88e: 9364.*
+ 2e88f: 9265.*
+ 2e890: 6f8c.*
+ 2e891: 0d25.*
+ 2e892: 9265.*
+ 2e893: 6f8c.*
+ 2e894: 0e25.*
+ 2e895: 9365.*
+ 2e896: 9365.*
+ 2e897: 9266.*
+ 2e898: 6f8c.*
+ 2e899: 0d26.*
+ 2e89a: 9266.*
+ 2e89b: 6f8c.*
+ 2e89c: 0e26.*
+ 2e89d: 9366.*
+ 2e89e: 9366.*
+ 2e89f: 9267.*
+ 2e8a0: 6f8c.*
+ 2e8a1: 0d27.*
+ 2e8a2: 9267.*
+ 2e8a3: 6f8c.*
+ 2e8a4: 0e27.*
+ 2e8a5: 9367.*
+ 2e8a6: 9367.*
+ 2e8a7: 9268.*
+ 2e8a8: 6f8c.*
+ 2e8a9: 0d28.*
+ 2e8aa: 9268.*
+ 2e8ab: 6f8c.*
+ 2e8ac: 0e28.*
+ 2e8ad: 9368.*
+ 2e8ae: 9368.*
+ 2e8af: 9269.*
+ 2e8b0: 6f8c.*
+ 2e8b1: 0d29.*
+ 2e8b2: 9269.*
+ 2e8b3: 6f8c.*
+ 2e8b4: 0e29.*
+ 2e8b5: 9369.*
+ 2e8b6: 9369.*
+ 2e8b7: 926a.*
+ 2e8b8: 6f8c.*
+ 2e8b9: 0d2a.*
+ 2e8ba: 926a.*
+ 2e8bb: 6f8c.*
+ 2e8bc: 0e2a.*
+ 2e8bd: 936a.*
+ 2e8be: 936a.*
+ 2e8bf: 926b.*
+ 2e8c0: 6f8c.*
+ 2e8c1: 0d2b.*
+ 2e8c2: 926b.*
+ 2e8c3: 6f8c.*
+ 2e8c4: 0e2b.*
+ 2e8c5: 936b.*
+ 2e8c6: 936b.*
+ 2e8c7: 926c.*
+ 2e8c8: 6f8c.*
+ 2e8c9: 0d2c.*
+ 2e8ca: 926c.*
+ 2e8cb: 6f8c.*
+ 2e8cc: 0e2c.*
+ 2e8cd: 936c.*
+ 2e8ce: 936c.*
+ 2e8cf: 926d.*
+ 2e8d0: 6f8c.*
+ 2e8d1: 0d2d.*
+ 2e8d2: 926d.*
+ 2e8d3: 6f8c.*
+ 2e8d4: 0e2d.*
+ 2e8d5: 936d.*
+ 2e8d6: 936d.*
+ 2e8d7: 926e.*
+ 2e8d8: 6f8c.*
+ 2e8d9: 0d2e.*
+ 2e8da: 926e.*
+ 2e8db: 6f8c.*
+ 2e8dc: 0e2e.*
+ 2e8dd: 936e.*
+ 2e8de: 936e.*
+ 2e8df: 926f.*
+ 2e8e0: 6f8c.*
+ 2e8e1: 0d2f.*
+ 2e8e2: 926f.*
+ 2e8e3: 6f8c.*
+ 2e8e4: 0e2f.*
+ 2e8e5: 936f.*
+ 2e8e6: 936f.*
+ 2e8e7: 0894.*
+ 2e8e8: 6f94.*
+ 2e8e9: 0d20.*
+ 2e8ea: 0894.*
+ 2e8eb: 6f94.*
+ 2e8ec: 0e20.*
+ 2e8ed: 0994.*
+ 2e8ee: 0994.*
+ 2e8ef: 6f94.*
+ 2e8f0: 0c30.*
+ 2e8f1: 6f94.*
+ 2e8f2: 0d30.*
+ 2e8f3: 6f94.*
+ 2e8f4: 0c30.*
+ 2e8f5: 6f94.*
+ 2e8f6: 0e30.*
+ 2e8f7: 6f94.*
+ 2e8f8: 0f30.*
+ 2e8f9: 6f94.*
+ 2e8fa: 0f30.*
+ 2e8fb: 6f94.*
+ 2e8fc: 0c31.*
+ 2e8fd: 6f94.*
+ 2e8fe: 0d31.*
+ 2e8ff: 6f94.*
+ 2e900: 0c31.*
+ 2e901: 6f94.*
+ 2e902: 0e31.*
+ 2e903: 6f94.*
+ 2e904: 0f31.*
+ 2e905: 6f94.*
+ 2e906: 0f31.*
+ 2e907: 6f94.*
+ 2e908: 0c32.*
+ 2e909: 6f94.*
+ 2e90a: 0d32.*
+ 2e90b: 6f94.*
+ 2e90c: 0c32.*
+ 2e90d: 6f94.*
+ 2e90e: 0e32.*
+ 2e90f: 6f94.*
+ 2e910: 0f32.*
+ 2e911: 6f94.*
+ 2e912: 0f32.*
+ 2e913: 6f94.*
+ 2e914: 0c33.*
+ 2e915: 6f94.*
+ 2e916: 0d33.*
+ 2e917: 6f94.*
+ 2e918: 0c33.*
+ 2e919: 6f94.*
+ 2e91a: 0e33.*
+ 2e91b: 6f94.*
+ 2e91c: 0f33.*
+ 2e91d: 6f94.*
+ 2e91e: 0f33.*
+ 2e91f: 6f94.*
+ 2e920: 0c34.*
+ 2e921: 6f94.*
+ 2e922: 0d34.*
+ 2e923: 6f94.*
+ 2e924: 0c34.*
+ 2e925: 6f94.*
+ 2e926: 0e34.*
+ 2e927: 6f94.*
+ 2e928: 0f34.*
+ 2e929: 6f94.*
+ 2e92a: 0f34.*
+ 2e92b: 6f94.*
+ 2e92c: 0c35.*
+ 2e92d: 6f94.*
+ 2e92e: 0d35.*
+ 2e92f: 6f94.*
+ 2e930: 0c35.*
+ 2e931: 6f94.*
+ 2e932: 0e35.*
+ 2e933: 6f94.*
+ 2e934: 0f35.*
+ 2e935: 6f94.*
+ 2e936: 0f35.*
+ 2e937: 6f94.*
+ 2e938: 0c36.*
+ 2e939: 6f94.*
+ 2e93a: 0d36.*
+ 2e93b: 6f94.*
+ 2e93c: 0c36.*
+ 2e93d: 6f94.*
+ 2e93e: 0e36.*
+ 2e93f: 6f94.*
+ 2e940: 0f36.*
+ 2e941: 6f94.*
+ 2e942: 0f36.*
+ 2e943: 6f94.*
+ 2e944: 0c37.*
+ 2e945: 6f94.*
+ 2e946: 0d37.*
+ 2e947: 6f94.*
+ 2e948: 0c37.*
+ 2e949: 6f94.*
+ 2e94a: 0e37.*
+ 2e94b: 6f94.*
+ 2e94c: 0f37.*
+ 2e94d: 6f94.*
+ 2e94e: 0f37.*
+ 2e94f: 6f94.*
+ 2e950: 0c38.*
+ 2e951: 6f94.*
+ 2e952: 0d38.*
+ 2e953: 6f94.*
+ 2e954: 0c38.*
+ 2e955: 6f94.*
+ 2e956: 0e38.*
+ 2e957: 6f94.*
+ 2e958: 0f38.*
+ 2e959: 6f94.*
+ 2e95a: 0f38.*
+ 2e95b: 6f94.*
+ 2e95c: 0c39.*
+ 2e95d: 6f94.*
+ 2e95e: 0d39.*
+ 2e95f: 6f94.*
+ 2e960: 0c39.*
+ 2e961: 6f94.*
+ 2e962: 0e39.*
+ 2e963: 6f94.*
+ 2e964: 0f39.*
+ 2e965: 6f94.*
+ 2e966: 0f39.*
+ 2e967: 6f94.*
+ 2e968: 0c3a.*
+ 2e969: 6f94.*
+ 2e96a: 0d3a.*
+ 2e96b: 6f94.*
+ 2e96c: 0c3a.*
+ 2e96d: 6f94.*
+ 2e96e: 0e3a.*
+ 2e96f: 6f94.*
+ 2e970: 0f3a.*
+ 2e971: 6f94.*
+ 2e972: 0f3a.*
+ 2e973: 6f94.*
+ 2e974: 0c3b.*
+ 2e975: 6f94.*
+ 2e976: 0d3b.*
+ 2e977: 6f94.*
+ 2e978: 0c3b.*
+ 2e979: 6f94.*
+ 2e97a: 0e3b.*
+ 2e97b: 6f94.*
+ 2e97c: 0f3b.*
+ 2e97d: 6f94.*
+ 2e97e: 0f3b.*
+ 2e97f: 6f94.*
+ 2e980: 0c3c.*
+ 2e981: 6f94.*
+ 2e982: 0d3c.*
+ 2e983: 6f94.*
+ 2e984: 0c3c.*
+ 2e985: 6f94.*
+ 2e986: 0e3c.*
+ 2e987: 6f94.*
+ 2e988: 0f3c.*
+ 2e989: 6f94.*
+ 2e98a: 0f3c.*
+ 2e98b: 6f94.*
+ 2e98c: 0c3d.*
+ 2e98d: 6f94.*
+ 2e98e: 0d3d.*
+ 2e98f: 6f94.*
+ 2e990: 0c3d.*
+ 2e991: 6f94.*
+ 2e992: 0e3d.*
+ 2e993: 6f94.*
+ 2e994: 0f3d.*
+ 2e995: 6f94.*
+ 2e996: 0f3d.*
+ 2e997: 6f94.*
+ 2e998: 0c3e.*
+ 2e999: 6f94.*
+ 2e99a: 0d3e.*
+ 2e99b: 6f94.*
+ 2e99c: 0c3e.*
+ 2e99d: 6f94.*
+ 2e99e: 0e3e.*
+ 2e99f: 6f94.*
+ 2e9a0: 0f3e.*
+ 2e9a1: 6f94.*
+ 2e9a2: 0f3e.*
+ 2e9a3: 6f94.*
+ 2e9a4: 0c3f.*
+ 2e9a5: 6f94.*
+ 2e9a6: 0d3f.*
+ 2e9a7: 6f94.*
+ 2e9a8: 0c3f.*
+ 2e9a9: 6f94.*
+ 2e9aa: 0e3f.*
+ 2e9ab: 6f94.*
+ 2e9ac: 0f3f.*
+ 2e9ad: 6f94.*
+ 2e9ae: 0f3f.*
+ 2e9af: 0894.*
+ 2e9b0: 6f94.*
+ 2e9b1: 0d20.*
+ 2e9b2: 0894.*
+ 2e9b3: 6f94.*
+ 2e9b4: 0e20.*
+ 2e9b5: 0994.*
+ 2e9b6: 0994.*
+ 2e9b7: 92a1.*
+ 2e9b8: 6f94.*
+ 2e9b9: 0d21.*
+ 2e9ba: 92a1.*
+ 2e9bb: 6f94.*
+ 2e9bc: 0e21.*
+ 2e9bd: 93a1.*
+ 2e9be: 93a1.*
+ 2e9bf: 92a2.*
+ 2e9c0: 6f94.*
+ 2e9c1: 0d22.*
+ 2e9c2: 92a2.*
+ 2e9c3: 6f94.*
+ 2e9c4: 0e22.*
+ 2e9c5: 93a2.*
+ 2e9c6: 93a2.*
+ 2e9c7: 92a3.*
+ 2e9c8: 6f94.*
+ 2e9c9: 0d23.*
+ 2e9ca: 92a3.*
+ 2e9cb: 6f94.*
+ 2e9cc: 0e23.*
+ 2e9cd: 93a3.*
+ 2e9ce: 93a3.*
+ 2e9cf: 92a4.*
+ 2e9d0: 6f94.*
+ 2e9d1: 0d24.*
+ 2e9d2: 92a4.*
+ 2e9d3: 6f94.*
+ 2e9d4: 0e24.*
+ 2e9d5: 93a4.*
+ 2e9d6: 93a4.*
+ 2e9d7: 92a5.*
+ 2e9d8: 6f94.*
+ 2e9d9: 0d25.*
+ 2e9da: 92a5.*
+ 2e9db: 6f94.*
+ 2e9dc: 0e25.*
+ 2e9dd: 93a5.*
+ 2e9de: 93a5.*
+ 2e9df: 92a6.*
+ 2e9e0: 6f94.*
+ 2e9e1: 0d26.*
+ 2e9e2: 92a6.*
+ 2e9e3: 6f94.*
+ 2e9e4: 0e26.*
+ 2e9e5: 93a6.*
+ 2e9e6: 93a6.*
+ 2e9e7: 92a7.*
+ 2e9e8: 6f94.*
+ 2e9e9: 0d27.*
+ 2e9ea: 92a7.*
+ 2e9eb: 6f94.*
+ 2e9ec: 0e27.*
+ 2e9ed: 93a7.*
+ 2e9ee: 93a7.*
+ 2e9ef: 92a8.*
+ 2e9f0: 6f94.*
+ 2e9f1: 0d28.*
+ 2e9f2: 92a8.*
+ 2e9f3: 6f94.*
+ 2e9f4: 0e28.*
+ 2e9f5: 93a8.*
+ 2e9f6: 93a8.*
+ 2e9f7: 92a9.*
+ 2e9f8: 6f94.*
+ 2e9f9: 0d29.*
+ 2e9fa: 92a9.*
+ 2e9fb: 6f94.*
+ 2e9fc: 0e29.*
+ 2e9fd: 93a9.*
+ 2e9fe: 93a9.*
+ 2e9ff: 92aa.*
+ 2ea00: 6f94.*
+ 2ea01: 0d2a.*
+ 2ea02: 92aa.*
+ 2ea03: 6f94.*
+ 2ea04: 0e2a.*
+ 2ea05: 93aa.*
+ 2ea06: 93aa.*
+ 2ea07: 92ab.*
+ 2ea08: 6f94.*
+ 2ea09: 0d2b.*
+ 2ea0a: 92ab.*
+ 2ea0b: 6f94.*
+ 2ea0c: 0e2b.*
+ 2ea0d: 93ab.*
+ 2ea0e: 93ab.*
+ 2ea0f: 92ac.*
+ 2ea10: 6f94.*
+ 2ea11: 0d2c.*
+ 2ea12: 92ac.*
+ 2ea13: 6f94.*
+ 2ea14: 0e2c.*
+ 2ea15: 93ac.*
+ 2ea16: 93ac.*
+ 2ea17: 92ad.*
+ 2ea18: 6f94.*
+ 2ea19: 0d2d.*
+ 2ea1a: 92ad.*
+ 2ea1b: 6f94.*
+ 2ea1c: 0e2d.*
+ 2ea1d: 93ad.*
+ 2ea1e: 93ad.*
+ 2ea1f: 92ae.*
+ 2ea20: 6f94.*
+ 2ea21: 0d2e.*
+ 2ea22: 92ae.*
+ 2ea23: 6f94.*
+ 2ea24: 0e2e.*
+ 2ea25: 93ae.*
+ 2ea26: 93ae.*
+ 2ea27: 92af.*
+ 2ea28: 6f94.*
+ 2ea29: 0d2f.*
+ 2ea2a: 92af.*
+ 2ea2b: 6f94.*
+ 2ea2c: 0e2f.*
+ 2ea2d: 93af.*
+ 2ea2e: 93af.*
+ 2ea2f: 08a4.*
+ 2ea30: 6fa4.*
+ 2ea31: 0d20.*
+ 2ea32: 08a4.*
+ 2ea33: 6fa4.*
+ 2ea34: 0e20.*
+ 2ea35: 09a4.*
+ 2ea36: 09a4.*
+ 2ea37: 6fa4.*
+ 2ea38: 0c30.*
+ 2ea39: 6fa4.*
+ 2ea3a: 0d30.*
+ 2ea3b: 6fa4.*
+ 2ea3c: 0c30.*
+ 2ea3d: 6fa4.*
+ 2ea3e: 0e30.*
+ 2ea3f: 6fa4.*
+ 2ea40: 0f30.*
+ 2ea41: 6fa4.*
+ 2ea42: 0f30.*
+ 2ea43: 6fa4.*
+ 2ea44: 0c31.*
+ 2ea45: 6fa4.*
+ 2ea46: 0d31.*
+ 2ea47: 6fa4.*
+ 2ea48: 0c31.*
+ 2ea49: 6fa4.*
+ 2ea4a: 0e31.*
+ 2ea4b: 6fa4.*
+ 2ea4c: 0f31.*
+ 2ea4d: 6fa4.*
+ 2ea4e: 0f31.*
+ 2ea4f: 6fa4.*
+ 2ea50: 0c32.*
+ 2ea51: 6fa4.*
+ 2ea52: 0d32.*
+ 2ea53: 6fa4.*
+ 2ea54: 0c32.*
+ 2ea55: 6fa4.*
+ 2ea56: 0e32.*
+ 2ea57: 6fa4.*
+ 2ea58: 0f32.*
+ 2ea59: 6fa4.*
+ 2ea5a: 0f32.*
+ 2ea5b: 6fa4.*
+ 2ea5c: 0c33.*
+ 2ea5d: 6fa4.*
+ 2ea5e: 0d33.*
+ 2ea5f: 6fa4.*
+ 2ea60: 0c33.*
+ 2ea61: 6fa4.*
+ 2ea62: 0e33.*
+ 2ea63: 6fa4.*
+ 2ea64: 0f33.*
+ 2ea65: 6fa4.*
+ 2ea66: 0f33.*
+ 2ea67: 6fa4.*
+ 2ea68: 0c34.*
+ 2ea69: 6fa4.*
+ 2ea6a: 0d34.*
+ 2ea6b: 6fa4.*
+ 2ea6c: 0c34.*
+ 2ea6d: 6fa4.*
+ 2ea6e: 0e34.*
+ 2ea6f: 6fa4.*
+ 2ea70: 0f34.*
+ 2ea71: 6fa4.*
+ 2ea72: 0f34.*
+ 2ea73: 6fa4.*
+ 2ea74: 0c35.*
+ 2ea75: 6fa4.*
+ 2ea76: 0d35.*
+ 2ea77: 6fa4.*
+ 2ea78: 0c35.*
+ 2ea79: 6fa4.*
+ 2ea7a: 0e35.*
+ 2ea7b: 6fa4.*
+ 2ea7c: 0f35.*
+ 2ea7d: 6fa4.*
+ 2ea7e: 0f35.*
+ 2ea7f: 6fa4.*
+ 2ea80: 0c36.*
+ 2ea81: 6fa4.*
+ 2ea82: 0d36.*
+ 2ea83: 6fa4.*
+ 2ea84: 0c36.*
+ 2ea85: 6fa4.*
+ 2ea86: 0e36.*
+ 2ea87: 6fa4.*
+ 2ea88: 0f36.*
+ 2ea89: 6fa4.*
+ 2ea8a: 0f36.*
+ 2ea8b: 6fa4.*
+ 2ea8c: 0c37.*
+ 2ea8d: 6fa4.*
+ 2ea8e: 0d37.*
+ 2ea8f: 6fa4.*
+ 2ea90: 0c37.*
+ 2ea91: 6fa4.*
+ 2ea92: 0e37.*
+ 2ea93: 6fa4.*
+ 2ea94: 0f37.*
+ 2ea95: 6fa4.*
+ 2ea96: 0f37.*
+ 2ea97: 6fa4.*
+ 2ea98: 0c38.*
+ 2ea99: 6fa4.*
+ 2ea9a: 0d38.*
+ 2ea9b: 6fa4.*
+ 2ea9c: 0c38.*
+ 2ea9d: 6fa4.*
+ 2ea9e: 0e38.*
+ 2ea9f: 6fa4.*
+ 2eaa0: 0f38.*
+ 2eaa1: 6fa4.*
+ 2eaa2: 0f38.*
+ 2eaa3: 6fa4.*
+ 2eaa4: 0c39.*
+ 2eaa5: 6fa4.*
+ 2eaa6: 0d39.*
+ 2eaa7: 6fa4.*
+ 2eaa8: 0c39.*
+ 2eaa9: 6fa4.*
+ 2eaaa: 0e39.*
+ 2eaab: 6fa4.*
+ 2eaac: 0f39.*
+ 2eaad: 6fa4.*
+ 2eaae: 0f39.*
+ 2eaaf: 6fa4.*
+ 2eab0: 0c3a.*
+ 2eab1: 6fa4.*
+ 2eab2: 0d3a.*
+ 2eab3: 6fa4.*
+ 2eab4: 0c3a.*
+ 2eab5: 6fa4.*
+ 2eab6: 0e3a.*
+ 2eab7: 6fa4.*
+ 2eab8: 0f3a.*
+ 2eab9: 6fa4.*
+ 2eaba: 0f3a.*
+ 2eabb: 6fa4.*
+ 2eabc: 0c3b.*
+ 2eabd: 6fa4.*
+ 2eabe: 0d3b.*
+ 2eabf: 6fa4.*
+ 2eac0: 0c3b.*
+ 2eac1: 6fa4.*
+ 2eac2: 0e3b.*
+ 2eac3: 6fa4.*
+ 2eac4: 0f3b.*
+ 2eac5: 6fa4.*
+ 2eac6: 0f3b.*
+ 2eac7: 6fa4.*
+ 2eac8: 0c3c.*
+ 2eac9: 6fa4.*
+ 2eaca: 0d3c.*
+ 2eacb: 6fa4.*
+ 2eacc: 0c3c.*
+ 2eacd: 6fa4.*
+ 2eace: 0e3c.*
+ 2eacf: 6fa4.*
+ 2ead0: 0f3c.*
+ 2ead1: 6fa4.*
+ 2ead2: 0f3c.*
+ 2ead3: 6fa4.*
+ 2ead4: 0c3d.*
+ 2ead5: 6fa4.*
+ 2ead6: 0d3d.*
+ 2ead7: 6fa4.*
+ 2ead8: 0c3d.*
+ 2ead9: 6fa4.*
+ 2eada: 0e3d.*
+ 2eadb: 6fa4.*
+ 2eadc: 0f3d.*
+ 2eadd: 6fa4.*
+ 2eade: 0f3d.*
+ 2eadf: 6fa4.*
+ 2eae0: 0c3e.*
+ 2eae1: 6fa4.*
+ 2eae2: 0d3e.*
+ 2eae3: 6fa4.*
+ 2eae4: 0c3e.*
+ 2eae5: 6fa4.*
+ 2eae6: 0e3e.*
+ 2eae7: 6fa4.*
+ 2eae8: 0f3e.*
+ 2eae9: 6fa4.*
+ 2eaea: 0f3e.*
+ 2eaeb: 6fa4.*
+ 2eaec: 0c3f.*
+ 2eaed: 6fa4.*
+ 2eaee: 0d3f.*
+ 2eaef: 6fa4.*
+ 2eaf0: 0c3f.*
+ 2eaf1: 6fa4.*
+ 2eaf2: 0e3f.*
+ 2eaf3: 6fa4.*
+ 2eaf4: 0f3f.*
+ 2eaf5: 6fa4.*
+ 2eaf6: 0f3f.*
+ 2eaf7: 08a4.*
+ 2eaf8: 6fa4.*
+ 2eaf9: 0d20.*
+ 2eafa: 08a4.*
+ 2eafb: 6fa4.*
+ 2eafc: 0e20.*
+ 2eafd: 09a4.*
+ 2eafe: 09a4.*
+ 2eaff: 6fa4.*
+ 2eb00: 0c21.*
+ 2eb01: 6fa4.*
+ 2eb02: 0d21.*
+ 2eb03: 6fa4.*
+ 2eb04: 0c21.*
+ 2eb05: 6fa4.*
+ 2eb06: 0e21.*
+ 2eb07: 6fa4.*
+ 2eb08: 0f21.*
+ 2eb09: 6fa4.*
+ 2eb0a: 0f21.*
+ 2eb0b: 6fa4.*
+ 2eb0c: 0c22.*
+ 2eb0d: 6fa4.*
+ 2eb0e: 0d22.*
+ 2eb0f: 6fa4.*
+ 2eb10: 0c22.*
+ 2eb11: 6fa4.*
+ 2eb12: 0e22.*
+ 2eb13: 6fa4.*
+ 2eb14: 0f22.*
+ 2eb15: 6fa4.*
+ 2eb16: 0f22.*
+ 2eb17: 6fa4.*
+ 2eb18: 0c23.*
+ 2eb19: 6fa4.*
+ 2eb1a: 0d23.*
+ 2eb1b: 6fa4.*
+ 2eb1c: 0c23.*
+ 2eb1d: 6fa4.*
+ 2eb1e: 0e23.*
+ 2eb1f: 6fa4.*
+ 2eb20: 0f23.*
+ 2eb21: 6fa4.*
+ 2eb22: 0f23.*
+ 2eb23: 6fa4.*
+ 2eb24: 0c24.*
+ 2eb25: 6fa4.*
+ 2eb26: 0d24.*
+ 2eb27: 6fa4.*
+ 2eb28: 0c24.*
+ 2eb29: 6fa4.*
+ 2eb2a: 0e24.*
+ 2eb2b: 6fa4.*
+ 2eb2c: 0f24.*
+ 2eb2d: 6fa4.*
+ 2eb2e: 0f24.*
+ 2eb2f: 6fa4.*
+ 2eb30: 0c25.*
+ 2eb31: 6fa4.*
+ 2eb32: 0d25.*
+ 2eb33: 6fa4.*
+ 2eb34: 0c25.*
+ 2eb35: 6fa4.*
+ 2eb36: 0e25.*
+ 2eb37: 6fa4.*
+ 2eb38: 0f25.*
+ 2eb39: 6fa4.*
+ 2eb3a: 0f25.*
+ 2eb3b: 6fa4.*
+ 2eb3c: 0c26.*
+ 2eb3d: 6fa4.*
+ 2eb3e: 0d26.*
+ 2eb3f: 6fa4.*
+ 2eb40: 0c26.*
+ 2eb41: 6fa4.*
+ 2eb42: 0e26.*
+ 2eb43: 6fa4.*
+ 2eb44: 0f26.*
+ 2eb45: 6fa4.*
+ 2eb46: 0f26.*
+ 2eb47: 6fa4.*
+ 2eb48: 0c27.*
+ 2eb49: 6fa4.*
+ 2eb4a: 0d27.*
+ 2eb4b: 6fa4.*
+ 2eb4c: 0c27.*
+ 2eb4d: 6fa4.*
+ 2eb4e: 0e27.*
+ 2eb4f: 6fa4.*
+ 2eb50: 0f27.*
+ 2eb51: 6fa4.*
+ 2eb52: 0f27.*
+ 2eb53: 6fa4.*
+ 2eb54: 0c28.*
+ 2eb55: 6fa4.*
+ 2eb56: 0d28.*
+ 2eb57: 6fa4.*
+ 2eb58: 0c28.*
+ 2eb59: 6fa4.*
+ 2eb5a: 0e28.*
+ 2eb5b: 6fa4.*
+ 2eb5c: 0f28.*
+ 2eb5d: 6fa4.*
+ 2eb5e: 0f28.*
+ 2eb5f: 6fa4.*
+ 2eb60: 0c29.*
+ 2eb61: 6fa4.*
+ 2eb62: 0d29.*
+ 2eb63: 6fa4.*
+ 2eb64: 0c29.*
+ 2eb65: 6fa4.*
+ 2eb66: 0e29.*
+ 2eb67: 6fa4.*
+ 2eb68: 0f29.*
+ 2eb69: 6fa4.*
+ 2eb6a: 0f29.*
+ 2eb6b: 6fa4.*
+ 2eb6c: 0c2a.*
+ 2eb6d: 6fa4.*
+ 2eb6e: 0d2a.*
+ 2eb6f: 6fa4.*
+ 2eb70: 0c2a.*
+ 2eb71: 6fa4.*
+ 2eb72: 0e2a.*
+ 2eb73: 6fa4.*
+ 2eb74: 0f2a.*
+ 2eb75: 6fa4.*
+ 2eb76: 0f2a.*
+ 2eb77: 6fa4.*
+ 2eb78: 0c2b.*
+ 2eb79: 6fa4.*
+ 2eb7a: 0d2b.*
+ 2eb7b: 6fa4.*
+ 2eb7c: 0c2b.*
+ 2eb7d: 6fa4.*
+ 2eb7e: 0e2b.*
+ 2eb7f: 6fa4.*
+ 2eb80: 0f2b.*
+ 2eb81: 6fa4.*
+ 2eb82: 0f2b.*
+ 2eb83: 6fa4.*
+ 2eb84: 0c2c.*
+ 2eb85: 6fa4.*
+ 2eb86: 0d2c.*
+ 2eb87: 6fa4.*
+ 2eb88: 0c2c.*
+ 2eb89: 6fa4.*
+ 2eb8a: 0e2c.*
+ 2eb8b: 6fa4.*
+ 2eb8c: 0f2c.*
+ 2eb8d: 6fa4.*
+ 2eb8e: 0f2c.*
+ 2eb8f: 6fa4.*
+ 2eb90: 0c2d.*
+ 2eb91: 6fa4.*
+ 2eb92: 0d2d.*
+ 2eb93: 6fa4.*
+ 2eb94: 0c2d.*
+ 2eb95: 6fa4.*
+ 2eb96: 0e2d.*
+ 2eb97: 6fa4.*
+ 2eb98: 0f2d.*
+ 2eb99: 6fa4.*
+ 2eb9a: 0f2d.*
+ 2eb9b: 6fa4.*
+ 2eb9c: 0c2e.*
+ 2eb9d: 6fa4.*
+ 2eb9e: 0d2e.*
+ 2eb9f: 6fa4.*
+ 2eba0: 0c2e.*
+ 2eba1: 6fa4.*
+ 2eba2: 0e2e.*
+ 2eba3: 6fa4.*
+ 2eba4: 0f2e.*
+ 2eba5: 6fa4.*
+ 2eba6: 0f2e.*
+ 2eba7: 6fa4.*
+ 2eba8: 0c2f.*
+ 2eba9: 6fa4.*
+ 2ebaa: 0d2f.*
+ 2ebab: 6fa4.*
+ 2ebac: 0c2f.*
+ 2ebad: 6fa4.*
+ 2ebae: 0e2f.*
+ 2ebaf: 6fa4.*
+ 2ebb0: 0f2f.*
+ 2ebb1: 6fa4.*
+ 2ebb2: 0f2f.*
+ 2ebb3: 08ac.*
+ 2ebb4: 6fac.*
+ 2ebb5: 0d20.*
+ 2ebb6: 08ac.*
+ 2ebb7: 6fac.*
+ 2ebb8: 0e20.*
+ 2ebb9: 09ac.*
+ 2ebba: 09ac.*
+ 2ebbb: 6fac.*
+ 2ebbc: 0c30.*
+ 2ebbd: 6fac.*
+ 2ebbe: 0d30.*
+ 2ebbf: 6fac.*
+ 2ebc0: 0c30.*
+ 2ebc1: 6fac.*
+ 2ebc2: 0e30.*
+ 2ebc3: 6fac.*
+ 2ebc4: 0f30.*
+ 2ebc5: 6fac.*
+ 2ebc6: 0f30.*
+ 2ebc7: 6fac.*
+ 2ebc8: 0c31.*
+ 2ebc9: 6fac.*
+ 2ebca: 0d31.*
+ 2ebcb: 6fac.*
+ 2ebcc: 0c31.*
+ 2ebcd: 6fac.*
+ 2ebce: 0e31.*
+ 2ebcf: 6fac.*
+ 2ebd0: 0f31.*
+ 2ebd1: 6fac.*
+ 2ebd2: 0f31.*
+ 2ebd3: 6fac.*
+ 2ebd4: 0c32.*
+ 2ebd5: 6fac.*
+ 2ebd6: 0d32.*
+ 2ebd7: 6fac.*
+ 2ebd8: 0c32.*
+ 2ebd9: 6fac.*
+ 2ebda: 0e32.*
+ 2ebdb: 6fac.*
+ 2ebdc: 0f32.*
+ 2ebdd: 6fac.*
+ 2ebde: 0f32.*
+ 2ebdf: 6fac.*
+ 2ebe0: 0c33.*
+ 2ebe1: 6fac.*
+ 2ebe2: 0d33.*
+ 2ebe3: 6fac.*
+ 2ebe4: 0c33.*
+ 2ebe5: 6fac.*
+ 2ebe6: 0e33.*
+ 2ebe7: 6fac.*
+ 2ebe8: 0f33.*
+ 2ebe9: 6fac.*
+ 2ebea: 0f33.*
+ 2ebeb: 6fac.*
+ 2ebec: 0c34.*
+ 2ebed: 6fac.*
+ 2ebee: 0d34.*
+ 2ebef: 6fac.*
+ 2ebf0: 0c34.*
+ 2ebf1: 6fac.*
+ 2ebf2: 0e34.*
+ 2ebf3: 6fac.*
+ 2ebf4: 0f34.*
+ 2ebf5: 6fac.*
+ 2ebf6: 0f34.*
+ 2ebf7: 6fac.*
+ 2ebf8: 0c35.*
+ 2ebf9: 6fac.*
+ 2ebfa: 0d35.*
+ 2ebfb: 6fac.*
+ 2ebfc: 0c35.*
+ 2ebfd: 6fac.*
+ 2ebfe: 0e35.*
+ 2ebff: 6fac.*
+ 2ec00: 0f35.*
+ 2ec01: 6fac.*
+ 2ec02: 0f35.*
+ 2ec03: 6fac.*
+ 2ec04: 0c36.*
+ 2ec05: 6fac.*
+ 2ec06: 0d36.*
+ 2ec07: 6fac.*
+ 2ec08: 0c36.*
+ 2ec09: 6fac.*
+ 2ec0a: 0e36.*
+ 2ec0b: 6fac.*
+ 2ec0c: 0f36.*
+ 2ec0d: 6fac.*
+ 2ec0e: 0f36.*
+ 2ec0f: 6fac.*
+ 2ec10: 0c37.*
+ 2ec11: 6fac.*
+ 2ec12: 0d37.*
+ 2ec13: 6fac.*
+ 2ec14: 0c37.*
+ 2ec15: 6fac.*
+ 2ec16: 0e37.*
+ 2ec17: 6fac.*
+ 2ec18: 0f37.*
+ 2ec19: 6fac.*
+ 2ec1a: 0f37.*
+ 2ec1b: 6fac.*
+ 2ec1c: 0c38.*
+ 2ec1d: 6fac.*
+ 2ec1e: 0d38.*
+ 2ec1f: 6fac.*
+ 2ec20: 0c38.*
+ 2ec21: 6fac.*
+ 2ec22: 0e38.*
+ 2ec23: 6fac.*
+ 2ec24: 0f38.*
+ 2ec25: 6fac.*
+ 2ec26: 0f38.*
+ 2ec27: 6fac.*
+ 2ec28: 0c39.*
+ 2ec29: 6fac.*
+ 2ec2a: 0d39.*
+ 2ec2b: 6fac.*
+ 2ec2c: 0c39.*
+ 2ec2d: 6fac.*
+ 2ec2e: 0e39.*
+ 2ec2f: 6fac.*
+ 2ec30: 0f39.*
+ 2ec31: 6fac.*
+ 2ec32: 0f39.*
+ 2ec33: 6fac.*
+ 2ec34: 0c3a.*
+ 2ec35: 6fac.*
+ 2ec36: 0d3a.*
+ 2ec37: 6fac.*
+ 2ec38: 0c3a.*
+ 2ec39: 6fac.*
+ 2ec3a: 0e3a.*
+ 2ec3b: 6fac.*
+ 2ec3c: 0f3a.*
+ 2ec3d: 6fac.*
+ 2ec3e: 0f3a.*
+ 2ec3f: 6fac.*
+ 2ec40: 0c3b.*
+ 2ec41: 6fac.*
+ 2ec42: 0d3b.*
+ 2ec43: 6fac.*
+ 2ec44: 0c3b.*
+ 2ec45: 6fac.*
+ 2ec46: 0e3b.*
+ 2ec47: 6fac.*
+ 2ec48: 0f3b.*
+ 2ec49: 6fac.*
+ 2ec4a: 0f3b.*
+ 2ec4b: 6fac.*
+ 2ec4c: 0c3c.*
+ 2ec4d: 6fac.*
+ 2ec4e: 0d3c.*
+ 2ec4f: 6fac.*
+ 2ec50: 0c3c.*
+ 2ec51: 6fac.*
+ 2ec52: 0e3c.*
+ 2ec53: 6fac.*
+ 2ec54: 0f3c.*
+ 2ec55: 6fac.*
+ 2ec56: 0f3c.*
+ 2ec57: 6fac.*
+ 2ec58: 0c3d.*
+ 2ec59: 6fac.*
+ 2ec5a: 0d3d.*
+ 2ec5b: 6fac.*
+ 2ec5c: 0c3d.*
+ 2ec5d: 6fac.*
+ 2ec5e: 0e3d.*
+ 2ec5f: 6fac.*
+ 2ec60: 0f3d.*
+ 2ec61: 6fac.*
+ 2ec62: 0f3d.*
+ 2ec63: 6fac.*
+ 2ec64: 0c3e.*
+ 2ec65: 6fac.*
+ 2ec66: 0d3e.*
+ 2ec67: 6fac.*
+ 2ec68: 0c3e.*
+ 2ec69: 6fac.*
+ 2ec6a: 0e3e.*
+ 2ec6b: 6fac.*
+ 2ec6c: 0f3e.*
+ 2ec6d: 6fac.*
+ 2ec6e: 0f3e.*
+ 2ec6f: 6fac.*
+ 2ec70: 0c3f.*
+ 2ec71: 6fac.*
+ 2ec72: 0d3f.*
+ 2ec73: 6fac.*
+ 2ec74: 0c3f.*
+ 2ec75: 6fac.*
+ 2ec76: 0e3f.*
+ 2ec77: 6fac.*
+ 2ec78: 0f3f.*
+ 2ec79: 6fac.*
+ 2ec7a: 0f3f.*
+ 2ec7b: 08ac.*
+ 2ec7c: 6fac.*
+ 2ec7d: 0d20.*
+ 2ec7e: 08ac.*
+ 2ec7f: 6fac.*
+ 2ec80: 0e20.*
+ 2ec81: 09ac.*
+ 2ec82: 09ac.*
+ 2ec83: 6fac.*
+ 2ec84: 0c21.*
+ 2ec85: 6fac.*
+ 2ec86: 0d21.*
+ 2ec87: 6fac.*
+ 2ec88: 0c21.*
+ 2ec89: 6fac.*
+ 2ec8a: 0e21.*
+ 2ec8b: 6fac.*
+ 2ec8c: 0f21.*
+ 2ec8d: 6fac.*
+ 2ec8e: 0f21.*
+ 2ec8f: 6fac.*
+ 2ec90: 0c22.*
+ 2ec91: 6fac.*
+ 2ec92: 0d22.*
+ 2ec93: 6fac.*
+ 2ec94: 0c22.*
+ 2ec95: 6fac.*
+ 2ec96: 0e22.*
+ 2ec97: 6fac.*
+ 2ec98: 0f22.*
+ 2ec99: 6fac.*
+ 2ec9a: 0f22.*
+ 2ec9b: 6fac.*
+ 2ec9c: 0c23.*
+ 2ec9d: 6fac.*
+ 2ec9e: 0d23.*
+ 2ec9f: 6fac.*
+ 2eca0: 0c23.*
+ 2eca1: 6fac.*
+ 2eca2: 0e23.*
+ 2eca3: 6fac.*
+ 2eca4: 0f23.*
+ 2eca5: 6fac.*
+ 2eca6: 0f23.*
+ 2eca7: 6fac.*
+ 2eca8: 0c24.*
+ 2eca9: 6fac.*
+ 2ecaa: 0d24.*
+ 2ecab: 6fac.*
+ 2ecac: 0c24.*
+ 2ecad: 6fac.*
+ 2ecae: 0e24.*
+ 2ecaf: 6fac.*
+ 2ecb0: 0f24.*
+ 2ecb1: 6fac.*
+ 2ecb2: 0f24.*
+ 2ecb3: 6fac.*
+ 2ecb4: 0c25.*
+ 2ecb5: 6fac.*
+ 2ecb6: 0d25.*
+ 2ecb7: 6fac.*
+ 2ecb8: 0c25.*
+ 2ecb9: 6fac.*
+ 2ecba: 0e25.*
+ 2ecbb: 6fac.*
+ 2ecbc: 0f25.*
+ 2ecbd: 6fac.*
+ 2ecbe: 0f25.*
+ 2ecbf: 6fac.*
+ 2ecc0: 0c26.*
+ 2ecc1: 6fac.*
+ 2ecc2: 0d26.*
+ 2ecc3: 6fac.*
+ 2ecc4: 0c26.*
+ 2ecc5: 6fac.*
+ 2ecc6: 0e26.*
+ 2ecc7: 6fac.*
+ 2ecc8: 0f26.*
+ 2ecc9: 6fac.*
+ 2ecca: 0f26.*
+ 2eccb: 6fac.*
+ 2eccc: 0c27.*
+ 2eccd: 6fac.*
+ 2ecce: 0d27.*
+ 2eccf: 6fac.*
+ 2ecd0: 0c27.*
+ 2ecd1: 6fac.*
+ 2ecd2: 0e27.*
+ 2ecd3: 6fac.*
+ 2ecd4: 0f27.*
+ 2ecd5: 6fac.*
+ 2ecd6: 0f27.*
+ 2ecd7: 6fac.*
+ 2ecd8: 0c28.*
+ 2ecd9: 6fac.*
+ 2ecda: 0d28.*
+ 2ecdb: 6fac.*
+ 2ecdc: 0c28.*
+ 2ecdd: 6fac.*
+ 2ecde: 0e28.*
+ 2ecdf: 6fac.*
+ 2ece0: 0f28.*
+ 2ece1: 6fac.*
+ 2ece2: 0f28.*
+ 2ece3: 6fac.*
+ 2ece4: 0c29.*
+ 2ece5: 6fac.*
+ 2ece6: 0d29.*
+ 2ece7: 6fac.*
+ 2ece8: 0c29.*
+ 2ece9: 6fac.*
+ 2ecea: 0e29.*
+ 2eceb: 6fac.*
+ 2ecec: 0f29.*
+ 2eced: 6fac.*
+ 2ecee: 0f29.*
+ 2ecef: 6fac.*
+ 2ecf0: 0c2a.*
+ 2ecf1: 6fac.*
+ 2ecf2: 0d2a.*
+ 2ecf3: 6fac.*
+ 2ecf4: 0c2a.*
+ 2ecf5: 6fac.*
+ 2ecf6: 0e2a.*
+ 2ecf7: 6fac.*
+ 2ecf8: 0f2a.*
+ 2ecf9: 6fac.*
+ 2ecfa: 0f2a.*
+ 2ecfb: 6fac.*
+ 2ecfc: 0c2b.*
+ 2ecfd: 6fac.*
+ 2ecfe: 0d2b.*
+ 2ecff: 6fac.*
+ 2ed00: 0c2b.*
+ 2ed01: 6fac.*
+ 2ed02: 0e2b.*
+ 2ed03: 6fac.*
+ 2ed04: 0f2b.*
+ 2ed05: 6fac.*
+ 2ed06: 0f2b.*
+ 2ed07: 6fac.*
+ 2ed08: 0c2c.*
+ 2ed09: 6fac.*
+ 2ed0a: 0d2c.*
+ 2ed0b: 6fac.*
+ 2ed0c: 0c2c.*
+ 2ed0d: 6fac.*
+ 2ed0e: 0e2c.*
+ 2ed0f: 6fac.*
+ 2ed10: 0f2c.*
+ 2ed11: 6fac.*
+ 2ed12: 0f2c.*
+ 2ed13: 6fac.*
+ 2ed14: 0c2d.*
+ 2ed15: 6fac.*
+ 2ed16: 0d2d.*
+ 2ed17: 6fac.*
+ 2ed18: 0c2d.*
+ 2ed19: 6fac.*
+ 2ed1a: 0e2d.*
+ 2ed1b: 6fac.*
+ 2ed1c: 0f2d.*
+ 2ed1d: 6fac.*
+ 2ed1e: 0f2d.*
+ 2ed1f: 6fac.*
+ 2ed20: 0c2e.*
+ 2ed21: 6fac.*
+ 2ed22: 0d2e.*
+ 2ed23: 6fac.*
+ 2ed24: 0c2e.*
+ 2ed25: 6fac.*
+ 2ed26: 0e2e.*
+ 2ed27: 6fac.*
+ 2ed28: 0f2e.*
+ 2ed29: 6fac.*
+ 2ed2a: 0f2e.*
+ 2ed2b: 6fac.*
+ 2ed2c: 0c2f.*
+ 2ed2d: 6fac.*
+ 2ed2e: 0d2f.*
+ 2ed2f: 6fac.*
+ 2ed30: 0c2f.*
+ 2ed31: 6fac.*
+ 2ed32: 0e2f.*
+ 2ed33: 6fac.*
+ 2ed34: 0f2f.*
+ 2ed35: 6fac.*
+ 2ed36: 0f2f.*
+ 2ed37: 08b4.*
+ 2ed38: 6fb4.*
+ 2ed39: 0d20.*
+ 2ed3a: 08b4.*
+ 2ed3b: 6fb4.*
+ 2ed3c: 0e20.*
+ 2ed3d: 09b4.*
+ 2ed3e: 09b4.*
+ 2ed3f: 6fb4.*
+ 2ed40: 0c30.*
+ 2ed41: 6fb4.*
+ 2ed42: 0d30.*
+ 2ed43: 6fb4.*
+ 2ed44: 0c30.*
+ 2ed45: 6fb4.*
+ 2ed46: 0e30.*
+ 2ed47: 6fb4.*
+ 2ed48: 0f30.*
+ 2ed49: 6fb4.*
+ 2ed4a: 0f30.*
+ 2ed4b: 6fb4.*
+ 2ed4c: 0c31.*
+ 2ed4d: 6fb4.*
+ 2ed4e: 0d31.*
+ 2ed4f: 6fb4.*
+ 2ed50: 0c31.*
+ 2ed51: 6fb4.*
+ 2ed52: 0e31.*
+ 2ed53: 6fb4.*
+ 2ed54: 0f31.*
+ 2ed55: 6fb4.*
+ 2ed56: 0f31.*
+ 2ed57: 6fb4.*
+ 2ed58: 0c32.*
+ 2ed59: 6fb4.*
+ 2ed5a: 0d32.*
+ 2ed5b: 6fb4.*
+ 2ed5c: 0c32.*
+ 2ed5d: 6fb4.*
+ 2ed5e: 0e32.*
+ 2ed5f: 6fb4.*
+ 2ed60: 0f32.*
+ 2ed61: 6fb4.*
+ 2ed62: 0f32.*
+ 2ed63: 6fb4.*
+ 2ed64: 0c33.*
+ 2ed65: 6fb4.*
+ 2ed66: 0d33.*
+ 2ed67: 6fb4.*
+ 2ed68: 0c33.*
+ 2ed69: 6fb4.*
+ 2ed6a: 0e33.*
+ 2ed6b: 6fb4.*
+ 2ed6c: 0f33.*
+ 2ed6d: 6fb4.*
+ 2ed6e: 0f33.*
+ 2ed6f: 6fb4.*
+ 2ed70: 0c34.*
+ 2ed71: 6fb4.*
+ 2ed72: 0d34.*
+ 2ed73: 6fb4.*
+ 2ed74: 0c34.*
+ 2ed75: 6fb4.*
+ 2ed76: 0e34.*
+ 2ed77: 6fb4.*
+ 2ed78: 0f34.*
+ 2ed79: 6fb4.*
+ 2ed7a: 0f34.*
+ 2ed7b: 6fb4.*
+ 2ed7c: 0c35.*
+ 2ed7d: 6fb4.*
+ 2ed7e: 0d35.*
+ 2ed7f: 6fb4.*
+ 2ed80: 0c35.*
+ 2ed81: 6fb4.*
+ 2ed82: 0e35.*
+ 2ed83: 6fb4.*
+ 2ed84: 0f35.*
+ 2ed85: 6fb4.*
+ 2ed86: 0f35.*
+ 2ed87: 6fb4.*
+ 2ed88: 0c36.*
+ 2ed89: 6fb4.*
+ 2ed8a: 0d36.*
+ 2ed8b: 6fb4.*
+ 2ed8c: 0c36.*
+ 2ed8d: 6fb4.*
+ 2ed8e: 0e36.*
+ 2ed8f: 6fb4.*
+ 2ed90: 0f36.*
+ 2ed91: 6fb4.*
+ 2ed92: 0f36.*
+ 2ed93: 6fb4.*
+ 2ed94: 0c37.*
+ 2ed95: 6fb4.*
+ 2ed96: 0d37.*
+ 2ed97: 6fb4.*
+ 2ed98: 0c37.*
+ 2ed99: 6fb4.*
+ 2ed9a: 0e37.*
+ 2ed9b: 6fb4.*
+ 2ed9c: 0f37.*
+ 2ed9d: 6fb4.*
+ 2ed9e: 0f37.*
+ 2ed9f: 6fb4.*
+ 2eda0: 0c38.*
+ 2eda1: 6fb4.*
+ 2eda2: 0d38.*
+ 2eda3: 6fb4.*
+ 2eda4: 0c38.*
+ 2eda5: 6fb4.*
+ 2eda6: 0e38.*
+ 2eda7: 6fb4.*
+ 2eda8: 0f38.*
+ 2eda9: 6fb4.*
+ 2edaa: 0f38.*
+ 2edab: 6fb4.*
+ 2edac: 0c39.*
+ 2edad: 6fb4.*
+ 2edae: 0d39.*
+ 2edaf: 6fb4.*
+ 2edb0: 0c39.*
+ 2edb1: 6fb4.*
+ 2edb2: 0e39.*
+ 2edb3: 6fb4.*
+ 2edb4: 0f39.*
+ 2edb5: 6fb4.*
+ 2edb6: 0f39.*
+ 2edb7: 6fb4.*
+ 2edb8: 0c3a.*
+ 2edb9: 6fb4.*
+ 2edba: 0d3a.*
+ 2edbb: 6fb4.*
+ 2edbc: 0c3a.*
+ 2edbd: 6fb4.*
+ 2edbe: 0e3a.*
+ 2edbf: 6fb4.*
+ 2edc0: 0f3a.*
+ 2edc1: 6fb4.*
+ 2edc2: 0f3a.*
+ 2edc3: 6fb4.*
+ 2edc4: 0c3b.*
+ 2edc5: 6fb4.*
+ 2edc6: 0d3b.*
+ 2edc7: 6fb4.*
+ 2edc8: 0c3b.*
+ 2edc9: 6fb4.*
+ 2edca: 0e3b.*
+ 2edcb: 6fb4.*
+ 2edcc: 0f3b.*
+ 2edcd: 6fb4.*
+ 2edce: 0f3b.*
+ 2edcf: 6fb4.*
+ 2edd0: 0c3c.*
+ 2edd1: 6fb4.*
+ 2edd2: 0d3c.*
+ 2edd3: 6fb4.*
+ 2edd4: 0c3c.*
+ 2edd5: 6fb4.*
+ 2edd6: 0e3c.*
+ 2edd7: 6fb4.*
+ 2edd8: 0f3c.*
+ 2edd9: 6fb4.*
+ 2edda: 0f3c.*
+ 2eddb: 6fb4.*
+ 2eddc: 0c3d.*
+ 2eddd: 6fb4.*
+ 2edde: 0d3d.*
+ 2eddf: 6fb4.*
+ 2ede0: 0c3d.*
+ 2ede1: 6fb4.*
+ 2ede2: 0e3d.*
+ 2ede3: 6fb4.*
+ 2ede4: 0f3d.*
+ 2ede5: 6fb4.*
+ 2ede6: 0f3d.*
+ 2ede7: 6fb4.*
+ 2ede8: 0c3e.*
+ 2ede9: 6fb4.*
+ 2edea: 0d3e.*
+ 2edeb: 6fb4.*
+ 2edec: 0c3e.*
+ 2eded: 6fb4.*
+ 2edee: 0e3e.*
+ 2edef: 6fb4.*
+ 2edf0: 0f3e.*
+ 2edf1: 6fb4.*
+ 2edf2: 0f3e.*
+ 2edf3: 6fb4.*
+ 2edf4: 0c3f.*
+ 2edf5: 6fb4.*
+ 2edf6: 0d3f.*
+ 2edf7: 6fb4.*
+ 2edf8: 0c3f.*
+ 2edf9: 6fb4.*
+ 2edfa: 0e3f.*
+ 2edfb: 6fb4.*
+ 2edfc: 0f3f.*
+ 2edfd: 6fb4.*
+ 2edfe: 0f3f.*
+ 2edff: 08b4.*
+ 2ee00: 6fb4.*
+ 2ee01: 0d20.*
+ 2ee02: 08b4.*
+ 2ee03: 6fb4.*
+ 2ee04: 0e20.*
+ 2ee05: 09b4.*
+ 2ee06: 09b4.*
+ 2ee07: 6fb4.*
+ 2ee08: 0c21.*
+ 2ee09: 6fb4.*
+ 2ee0a: 0d21.*
+ 2ee0b: 6fb4.*
+ 2ee0c: 0c21.*
+ 2ee0d: 6fb4.*
+ 2ee0e: 0e21.*
+ 2ee0f: 6fb4.*
+ 2ee10: 0f21.*
+ 2ee11: 6fb4.*
+ 2ee12: 0f21.*
+ 2ee13: 6fb4.*
+ 2ee14: 0c22.*
+ 2ee15: 6fb4.*
+ 2ee16: 0d22.*
+ 2ee17: 6fb4.*
+ 2ee18: 0c22.*
+ 2ee19: 6fb4.*
+ 2ee1a: 0e22.*
+ 2ee1b: 6fb4.*
+ 2ee1c: 0f22.*
+ 2ee1d: 6fb4.*
+ 2ee1e: 0f22.*
+ 2ee1f: 6fb4.*
+ 2ee20: 0c23.*
+ 2ee21: 6fb4.*
+ 2ee22: 0d23.*
+ 2ee23: 6fb4.*
+ 2ee24: 0c23.*
+ 2ee25: 6fb4.*
+ 2ee26: 0e23.*
+ 2ee27: 6fb4.*
+ 2ee28: 0f23.*
+ 2ee29: 6fb4.*
+ 2ee2a: 0f23.*
+ 2ee2b: 6fb4.*
+ 2ee2c: 0c24.*
+ 2ee2d: 6fb4.*
+ 2ee2e: 0d24.*
+ 2ee2f: 6fb4.*
+ 2ee30: 0c24.*
+ 2ee31: 6fb4.*
+ 2ee32: 0e24.*
+ 2ee33: 6fb4.*
+ 2ee34: 0f24.*
+ 2ee35: 6fb4.*
+ 2ee36: 0f24.*
+ 2ee37: 6fb4.*
+ 2ee38: 0c25.*
+ 2ee39: 6fb4.*
+ 2ee3a: 0d25.*
+ 2ee3b: 6fb4.*
+ 2ee3c: 0c25.*
+ 2ee3d: 6fb4.*
+ 2ee3e: 0e25.*
+ 2ee3f: 6fb4.*
+ 2ee40: 0f25.*
+ 2ee41: 6fb4.*
+ 2ee42: 0f25.*
+ 2ee43: 6fb4.*
+ 2ee44: 0c26.*
+ 2ee45: 6fb4.*
+ 2ee46: 0d26.*
+ 2ee47: 6fb4.*
+ 2ee48: 0c26.*
+ 2ee49: 6fb4.*
+ 2ee4a: 0e26.*
+ 2ee4b: 6fb4.*
+ 2ee4c: 0f26.*
+ 2ee4d: 6fb4.*
+ 2ee4e: 0f26.*
+ 2ee4f: 6fb4.*
+ 2ee50: 0c27.*
+ 2ee51: 6fb4.*
+ 2ee52: 0d27.*
+ 2ee53: 6fb4.*
+ 2ee54: 0c27.*
+ 2ee55: 6fb4.*
+ 2ee56: 0e27.*
+ 2ee57: 6fb4.*
+ 2ee58: 0f27.*
+ 2ee59: 6fb4.*
+ 2ee5a: 0f27.*
+ 2ee5b: 6fb4.*
+ 2ee5c: 0c28.*
+ 2ee5d: 6fb4.*
+ 2ee5e: 0d28.*
+ 2ee5f: 6fb4.*
+ 2ee60: 0c28.*
+ 2ee61: 6fb4.*
+ 2ee62: 0e28.*
+ 2ee63: 6fb4.*
+ 2ee64: 0f28.*
+ 2ee65: 6fb4.*
+ 2ee66: 0f28.*
+ 2ee67: 6fb4.*
+ 2ee68: 0c29.*
+ 2ee69: 6fb4.*
+ 2ee6a: 0d29.*
+ 2ee6b: 6fb4.*
+ 2ee6c: 0c29.*
+ 2ee6d: 6fb4.*
+ 2ee6e: 0e29.*
+ 2ee6f: 6fb4.*
+ 2ee70: 0f29.*
+ 2ee71: 6fb4.*
+ 2ee72: 0f29.*
+ 2ee73: 6fb4.*
+ 2ee74: 0c2a.*
+ 2ee75: 6fb4.*
+ 2ee76: 0d2a.*
+ 2ee77: 6fb4.*
+ 2ee78: 0c2a.*
+ 2ee79: 6fb4.*
+ 2ee7a: 0e2a.*
+ 2ee7b: 6fb4.*
+ 2ee7c: 0f2a.*
+ 2ee7d: 6fb4.*
+ 2ee7e: 0f2a.*
+ 2ee7f: 6fb4.*
+ 2ee80: 0c2b.*
+ 2ee81: 6fb4.*
+ 2ee82: 0d2b.*
+ 2ee83: 6fb4.*
+ 2ee84: 0c2b.*
+ 2ee85: 6fb4.*
+ 2ee86: 0e2b.*
+ 2ee87: 6fb4.*
+ 2ee88: 0f2b.*
+ 2ee89: 6fb4.*
+ 2ee8a: 0f2b.*
+ 2ee8b: 6fb4.*
+ 2ee8c: 0c2c.*
+ 2ee8d: 6fb4.*
+ 2ee8e: 0d2c.*
+ 2ee8f: 6fb4.*
+ 2ee90: 0c2c.*
+ 2ee91: 6fb4.*
+ 2ee92: 0e2c.*
+ 2ee93: 6fb4.*
+ 2ee94: 0f2c.*
+ 2ee95: 6fb4.*
+ 2ee96: 0f2c.*
+ 2ee97: 6fb4.*
+ 2ee98: 0c2d.*
+ 2ee99: 6fb4.*
+ 2ee9a: 0d2d.*
+ 2ee9b: 6fb4.*
+ 2ee9c: 0c2d.*
+ 2ee9d: 6fb4.*
+ 2ee9e: 0e2d.*
+ 2ee9f: 6fb4.*
+ 2eea0: 0f2d.*
+ 2eea1: 6fb4.*
+ 2eea2: 0f2d.*
+ 2eea3: 6fb4.*
+ 2eea4: 0c2e.*
+ 2eea5: 6fb4.*
+ 2eea6: 0d2e.*
+ 2eea7: 6fb4.*
+ 2eea8: 0c2e.*
+ 2eea9: 6fb4.*
+ 2eeaa: 0e2e.*
+ 2eeab: 6fb4.*
+ 2eeac: 0f2e.*
+ 2eead: 6fb4.*
+ 2eeae: 0f2e.*
+ 2eeaf: 6fb4.*
+ 2eeb0: 0c2f.*
+ 2eeb1: 6fb4.*
+ 2eeb2: 0d2f.*
+ 2eeb3: 6fb4.*
+ 2eeb4: 0c2f.*
+ 2eeb5: 6fb4.*
+ 2eeb6: 0e2f.*
+ 2eeb7: 6fb4.*
+ 2eeb8: 0f2f.*
+ 2eeb9: 6fb4.*
+ 2eeba: 0f2f.*
+ 2eebb: 08bc.*
+ 2eebc: 6fbc.*
+ 2eebd: 0d20.*
+ 2eebe: 08bc.*
+ 2eebf: 6fbc.*
+ 2eec0: 0e20.*
+ 2eec1: 09bc.*
+ 2eec2: 09bc.*
+ 2eec3: 6fbc.*
+ 2eec4: 0c30.*
+ 2eec5: 6fbc.*
+ 2eec6: 0d30.*
+ 2eec7: 6fbc.*
+ 2eec8: 0c30.*
+ 2eec9: 6fbc.*
+ 2eeca: 0e30.*
+ 2eecb: 6fbc.*
+ 2eecc: 0f30.*
+ 2eecd: 6fbc.*
+ 2eece: 0f30.*
+ 2eecf: 6fbc.*
+ 2eed0: 0c31.*
+ 2eed1: 6fbc.*
+ 2eed2: 0d31.*
+ 2eed3: 6fbc.*
+ 2eed4: 0c31.*
+ 2eed5: 6fbc.*
+ 2eed6: 0e31.*
+ 2eed7: 6fbc.*
+ 2eed8: 0f31.*
+ 2eed9: 6fbc.*
+ 2eeda: 0f31.*
+ 2eedb: 6fbc.*
+ 2eedc: 0c32.*
+ 2eedd: 6fbc.*
+ 2eede: 0d32.*
+ 2eedf: 6fbc.*
+ 2eee0: 0c32.*
+ 2eee1: 6fbc.*
+ 2eee2: 0e32.*
+ 2eee3: 6fbc.*
+ 2eee4: 0f32.*
+ 2eee5: 6fbc.*
+ 2eee6: 0f32.*
+ 2eee7: 6fbc.*
+ 2eee8: 0c33.*
+ 2eee9: 6fbc.*
+ 2eeea: 0d33.*
+ 2eeeb: 6fbc.*
+ 2eeec: 0c33.*
+ 2eeed: 6fbc.*
+ 2eeee: 0e33.*
+ 2eeef: 6fbc.*
+ 2eef0: 0f33.*
+ 2eef1: 6fbc.*
+ 2eef2: 0f33.*
+ 2eef3: 6fbc.*
+ 2eef4: 0c34.*
+ 2eef5: 6fbc.*
+ 2eef6: 0d34.*
+ 2eef7: 6fbc.*
+ 2eef8: 0c34.*
+ 2eef9: 6fbc.*
+ 2eefa: 0e34.*
+ 2eefb: 6fbc.*
+ 2eefc: 0f34.*
+ 2eefd: 6fbc.*
+ 2eefe: 0f34.*
+ 2eeff: 6fbc.*
+ 2ef00: 0c35.*
+ 2ef01: 6fbc.*
+ 2ef02: 0d35.*
+ 2ef03: 6fbc.*
+ 2ef04: 0c35.*
+ 2ef05: 6fbc.*
+ 2ef06: 0e35.*
+ 2ef07: 6fbc.*
+ 2ef08: 0f35.*
+ 2ef09: 6fbc.*
+ 2ef0a: 0f35.*
+ 2ef0b: 6fbc.*
+ 2ef0c: 0c36.*
+ 2ef0d: 6fbc.*
+ 2ef0e: 0d36.*
+ 2ef0f: 6fbc.*
+ 2ef10: 0c36.*
+ 2ef11: 6fbc.*
+ 2ef12: 0e36.*
+ 2ef13: 6fbc.*
+ 2ef14: 0f36.*
+ 2ef15: 6fbc.*
+ 2ef16: 0f36.*
+ 2ef17: 6fbc.*
+ 2ef18: 0c37.*
+ 2ef19: 6fbc.*
+ 2ef1a: 0d37.*
+ 2ef1b: 6fbc.*
+ 2ef1c: 0c37.*
+ 2ef1d: 6fbc.*
+ 2ef1e: 0e37.*
+ 2ef1f: 6fbc.*
+ 2ef20: 0f37.*
+ 2ef21: 6fbc.*
+ 2ef22: 0f37.*
+ 2ef23: 6fbc.*
+ 2ef24: 0c38.*
+ 2ef25: 6fbc.*
+ 2ef26: 0d38.*
+ 2ef27: 6fbc.*
+ 2ef28: 0c38.*
+ 2ef29: 6fbc.*
+ 2ef2a: 0e38.*
+ 2ef2b: 6fbc.*
+ 2ef2c: 0f38.*
+ 2ef2d: 6fbc.*
+ 2ef2e: 0f38.*
+ 2ef2f: 6fbc.*
+ 2ef30: 0c39.*
+ 2ef31: 6fbc.*
+ 2ef32: 0d39.*
+ 2ef33: 6fbc.*
+ 2ef34: 0c39.*
+ 2ef35: 6fbc.*
+ 2ef36: 0e39.*
+ 2ef37: 6fbc.*
+ 2ef38: 0f39.*
+ 2ef39: 6fbc.*
+ 2ef3a: 0f39.*
+ 2ef3b: 6fbc.*
+ 2ef3c: 0c3a.*
+ 2ef3d: 6fbc.*
+ 2ef3e: 0d3a.*
+ 2ef3f: 6fbc.*
+ 2ef40: 0c3a.*
+ 2ef41: 6fbc.*
+ 2ef42: 0e3a.*
+ 2ef43: 6fbc.*
+ 2ef44: 0f3a.*
+ 2ef45: 6fbc.*
+ 2ef46: 0f3a.*
+ 2ef47: 6fbc.*
+ 2ef48: 0c3b.*
+ 2ef49: 6fbc.*
+ 2ef4a: 0d3b.*
+ 2ef4b: 6fbc.*
+ 2ef4c: 0c3b.*
+ 2ef4d: 6fbc.*
+ 2ef4e: 0e3b.*
+ 2ef4f: 6fbc.*
+ 2ef50: 0f3b.*
+ 2ef51: 6fbc.*
+ 2ef52: 0f3b.*
+ 2ef53: 6fbc.*
+ 2ef54: 0c3c.*
+ 2ef55: 6fbc.*
+ 2ef56: 0d3c.*
+ 2ef57: 6fbc.*
+ 2ef58: 0c3c.*
+ 2ef59: 6fbc.*
+ 2ef5a: 0e3c.*
+ 2ef5b: 6fbc.*
+ 2ef5c: 0f3c.*
+ 2ef5d: 6fbc.*
+ 2ef5e: 0f3c.*
+ 2ef5f: 6fbc.*
+ 2ef60: 0c3d.*
+ 2ef61: 6fbc.*
+ 2ef62: 0d3d.*
+ 2ef63: 6fbc.*
+ 2ef64: 0c3d.*
+ 2ef65: 6fbc.*
+ 2ef66: 0e3d.*
+ 2ef67: 6fbc.*
+ 2ef68: 0f3d.*
+ 2ef69: 6fbc.*
+ 2ef6a: 0f3d.*
+ 2ef6b: 6fbc.*
+ 2ef6c: 0c3e.*
+ 2ef6d: 6fbc.*
+ 2ef6e: 0d3e.*
+ 2ef6f: 6fbc.*
+ 2ef70: 0c3e.*
+ 2ef71: 6fbc.*
+ 2ef72: 0e3e.*
+ 2ef73: 6fbc.*
+ 2ef74: 0f3e.*
+ 2ef75: 6fbc.*
+ 2ef76: 0f3e.*
+ 2ef77: 6fbc.*
+ 2ef78: 0c3f.*
+ 2ef79: 6fbc.*
+ 2ef7a: 0d3f.*
+ 2ef7b: 6fbc.*
+ 2ef7c: 0c3f.*
+ 2ef7d: 6fbc.*
+ 2ef7e: 0e3f.*
+ 2ef7f: 6fbc.*
+ 2ef80: 0f3f.*
+ 2ef81: 6fbc.*
+ 2ef82: 0f3f.*
+ 2ef83: 08bc.*
+ 2ef84: 6fbc.*
+ 2ef85: 0d20.*
+ 2ef86: 08bc.*
+ 2ef87: 6fbc.*
+ 2ef88: 0e20.*
+ 2ef89: 09bc.*
+ 2ef8a: 09bc.*
+ 2ef8b: 6fbc.*
+ 2ef8c: 0c21.*
+ 2ef8d: 6fbc.*
+ 2ef8e: 0d21.*
+ 2ef8f: 6fbc.*
+ 2ef90: 0c21.*
+ 2ef91: 6fbc.*
+ 2ef92: 0e21.*
+ 2ef93: 6fbc.*
+ 2ef94: 0f21.*
+ 2ef95: 6fbc.*
+ 2ef96: 0f21.*
+ 2ef97: 6fbc.*
+ 2ef98: 0c22.*
+ 2ef99: 6fbc.*
+ 2ef9a: 0d22.*
+ 2ef9b: 6fbc.*
+ 2ef9c: 0c22.*
+ 2ef9d: 6fbc.*
+ 2ef9e: 0e22.*
+ 2ef9f: 6fbc.*
+ 2efa0: 0f22.*
+ 2efa1: 6fbc.*
+ 2efa2: 0f22.*
+ 2efa3: 6fbc.*
+ 2efa4: 0c23.*
+ 2efa5: 6fbc.*
+ 2efa6: 0d23.*
+ 2efa7: 6fbc.*
+ 2efa8: 0c23.*
+ 2efa9: 6fbc.*
+ 2efaa: 0e23.*
+ 2efab: 6fbc.*
+ 2efac: 0f23.*
+ 2efad: 6fbc.*
+ 2efae: 0f23.*
+ 2efaf: 6fbc.*
+ 2efb0: 0c24.*
+ 2efb1: 6fbc.*
+ 2efb2: 0d24.*
+ 2efb3: 6fbc.*
+ 2efb4: 0c24.*
+ 2efb5: 6fbc.*
+ 2efb6: 0e24.*
+ 2efb7: 6fbc.*
+ 2efb8: 0f24.*
+ 2efb9: 6fbc.*
+ 2efba: 0f24.*
+ 2efbb: 6fbc.*
+ 2efbc: 0c25.*
+ 2efbd: 6fbc.*
+ 2efbe: 0d25.*
+ 2efbf: 6fbc.*
+ 2efc0: 0c25.*
+ 2efc1: 6fbc.*
+ 2efc2: 0e25.*
+ 2efc3: 6fbc.*
+ 2efc4: 0f25.*
+ 2efc5: 6fbc.*
+ 2efc6: 0f25.*
+ 2efc7: 6fbc.*
+ 2efc8: 0c26.*
+ 2efc9: 6fbc.*
+ 2efca: 0d26.*
+ 2efcb: 6fbc.*
+ 2efcc: 0c26.*
+ 2efcd: 6fbc.*
+ 2efce: 0e26.*
+ 2efcf: 6fbc.*
+ 2efd0: 0f26.*
+ 2efd1: 6fbc.*
+ 2efd2: 0f26.*
+ 2efd3: 6fbc.*
+ 2efd4: 0c27.*
+ 2efd5: 6fbc.*
+ 2efd6: 0d27.*
+ 2efd7: 6fbc.*
+ 2efd8: 0c27.*
+ 2efd9: 6fbc.*
+ 2efda: 0e27.*
+ 2efdb: 6fbc.*
+ 2efdc: 0f27.*
+ 2efdd: 6fbc.*
+ 2efde: 0f27.*
+ 2efdf: 6fbc.*
+ 2efe0: 0c28.*
+ 2efe1: 6fbc.*
+ 2efe2: 0d28.*
+ 2efe3: 6fbc.*
+ 2efe4: 0c28.*
+ 2efe5: 6fbc.*
+ 2efe6: 0e28.*
+ 2efe7: 6fbc.*
+ 2efe8: 0f28.*
+ 2efe9: 6fbc.*
+ 2efea: 0f28.*
+ 2efeb: 6fbc.*
+ 2efec: 0c29.*
+ 2efed: 6fbc.*
+ 2efee: 0d29.*
+ 2efef: 6fbc.*
+ 2eff0: 0c29.*
+ 2eff1: 6fbc.*
+ 2eff2: 0e29.*
+ 2eff3: 6fbc.*
+ 2eff4: 0f29.*
+ 2eff5: 6fbc.*
+ 2eff6: 0f29.*
+ 2eff7: 6fbc.*
+ 2eff8: 0c2a.*
+ 2eff9: 6fbc.*
+ 2effa: 0d2a.*
+ 2effb: 6fbc.*
+ 2effc: 0c2a.*
+ 2effd: 6fbc.*
+ 2effe: 0e2a.*
+ 2efff: 6fbc.*
+ 2f000: 0f2a.*
+ 2f001: 6fbc.*
+ 2f002: 0f2a.*
+ 2f003: 6fbc.*
+ 2f004: 0c2b.*
+ 2f005: 6fbc.*
+ 2f006: 0d2b.*
+ 2f007: 6fbc.*
+ 2f008: 0c2b.*
+ 2f009: 6fbc.*
+ 2f00a: 0e2b.*
+ 2f00b: 6fbc.*
+ 2f00c: 0f2b.*
+ 2f00d: 6fbc.*
+ 2f00e: 0f2b.*
+ 2f00f: 6fbc.*
+ 2f010: 0c2c.*
+ 2f011: 6fbc.*
+ 2f012: 0d2c.*
+ 2f013: 6fbc.*
+ 2f014: 0c2c.*
+ 2f015: 6fbc.*
+ 2f016: 0e2c.*
+ 2f017: 6fbc.*
+ 2f018: 0f2c.*
+ 2f019: 6fbc.*
+ 2f01a: 0f2c.*
+ 2f01b: 6fbc.*
+ 2f01c: 0c2d.*
+ 2f01d: 6fbc.*
+ 2f01e: 0d2d.*
+ 2f01f: 6fbc.*
+ 2f020: 0c2d.*
+ 2f021: 6fbc.*
+ 2f022: 0e2d.*
+ 2f023: 6fbc.*
+ 2f024: 0f2d.*
+ 2f025: 6fbc.*
+ 2f026: 0f2d.*
+ 2f027: 6fbc.*
+ 2f028: 0c2e.*
+ 2f029: 6fbc.*
+ 2f02a: 0d2e.*
+ 2f02b: 6fbc.*
+ 2f02c: 0c2e.*
+ 2f02d: 6fbc.*
+ 2f02e: 0e2e.*
+ 2f02f: 6fbc.*
+ 2f030: 0f2e.*
+ 2f031: 6fbc.*
+ 2f032: 0f2e.*
+ 2f033: 6fbc.*
+ 2f034: 0c2f.*
+ 2f035: 6fbc.*
+ 2f036: 0d2f.*
+ 2f037: 6fbc.*
+ 2f038: 0c2f.*
+ 2f039: 6fbc.*
+ 2f03a: 0e2f.*
+ 2f03b: 6fbc.*
+ 2f03c: 0f2f.*
+ 2f03d: 6fbc.*
+ 2f03e: 0f2f.*
+ 2f03f: 08c4.*
+ 2f040: 6fc4.*
+ 2f041: 0d20.*
+ 2f042: 08c4.*
+ 2f043: 6fc4.*
+ 2f044: 0e20.*
+ 2f045: 09c4.*
+ 2f046: 09c4.*
+ 2f047: 6fc4.*
+ 2f048: 0c30.*
+ 2f049: 6fc4.*
+ 2f04a: 0d30.*
+ 2f04b: 6fc4.*
+ 2f04c: 0c30.*
+ 2f04d: 6fc4.*
+ 2f04e: 0e30.*
+ 2f04f: 6fc4.*
+ 2f050: 0f30.*
+ 2f051: 6fc4.*
+ 2f052: 0f30.*
+ 2f053: 6fc4.*
+ 2f054: 0c31.*
+ 2f055: 6fc4.*
+ 2f056: 0d31.*
+ 2f057: 6fc4.*
+ 2f058: 0c31.*
+ 2f059: 6fc4.*
+ 2f05a: 0e31.*
+ 2f05b: 6fc4.*
+ 2f05c: 0f31.*
+ 2f05d: 6fc4.*
+ 2f05e: 0f31.*
+ 2f05f: 6fc4.*
+ 2f060: 0c32.*
+ 2f061: 6fc4.*
+ 2f062: 0d32.*
+ 2f063: 6fc4.*
+ 2f064: 0c32.*
+ 2f065: 6fc4.*
+ 2f066: 0e32.*
+ 2f067: 6fc4.*
+ 2f068: 0f32.*
+ 2f069: 6fc4.*
+ 2f06a: 0f32.*
+ 2f06b: 6fc4.*
+ 2f06c: 0c33.*
+ 2f06d: 6fc4.*
+ 2f06e: 0d33.*
+ 2f06f: 6fc4.*
+ 2f070: 0c33.*
+ 2f071: 6fc4.*
+ 2f072: 0e33.*
+ 2f073: 6fc4.*
+ 2f074: 0f33.*
+ 2f075: 6fc4.*
+ 2f076: 0f33.*
+ 2f077: 6fc4.*
+ 2f078: 0c34.*
+ 2f079: 6fc4.*
+ 2f07a: 0d34.*
+ 2f07b: 6fc4.*
+ 2f07c: 0c34.*
+ 2f07d: 6fc4.*
+ 2f07e: 0e34.*
+ 2f07f: 6fc4.*
+ 2f080: 0f34.*
+ 2f081: 6fc4.*
+ 2f082: 0f34.*
+ 2f083: 6fc4.*
+ 2f084: 0c35.*
+ 2f085: 6fc4.*
+ 2f086: 0d35.*
+ 2f087: 6fc4.*
+ 2f088: 0c35.*
+ 2f089: 6fc4.*
+ 2f08a: 0e35.*
+ 2f08b: 6fc4.*
+ 2f08c: 0f35.*
+ 2f08d: 6fc4.*
+ 2f08e: 0f35.*
+ 2f08f: 6fc4.*
+ 2f090: 0c36.*
+ 2f091: 6fc4.*
+ 2f092: 0d36.*
+ 2f093: 6fc4.*
+ 2f094: 0c36.*
+ 2f095: 6fc4.*
+ 2f096: 0e36.*
+ 2f097: 6fc4.*
+ 2f098: 0f36.*
+ 2f099: 6fc4.*
+ 2f09a: 0f36.*
+ 2f09b: 6fc4.*
+ 2f09c: 0c37.*
+ 2f09d: 6fc4.*
+ 2f09e: 0d37.*
+ 2f09f: 6fc4.*
+ 2f0a0: 0c37.*
+ 2f0a1: 6fc4.*
+ 2f0a2: 0e37.*
+ 2f0a3: 6fc4.*
+ 2f0a4: 0f37.*
+ 2f0a5: 6fc4.*
+ 2f0a6: 0f37.*
+ 2f0a7: 6fc4.*
+ 2f0a8: 0c38.*
+ 2f0a9: 6fc4.*
+ 2f0aa: 0d38.*
+ 2f0ab: 6fc4.*
+ 2f0ac: 0c38.*
+ 2f0ad: 6fc4.*
+ 2f0ae: 0e38.*
+ 2f0af: 6fc4.*
+ 2f0b0: 0f38.*
+ 2f0b1: 6fc4.*
+ 2f0b2: 0f38.*
+ 2f0b3: 6fc4.*
+ 2f0b4: 0c39.*
+ 2f0b5: 6fc4.*
+ 2f0b6: 0d39.*
+ 2f0b7: 6fc4.*
+ 2f0b8: 0c39.*
+ 2f0b9: 6fc4.*
+ 2f0ba: 0e39.*
+ 2f0bb: 6fc4.*
+ 2f0bc: 0f39.*
+ 2f0bd: 6fc4.*
+ 2f0be: 0f39.*
+ 2f0bf: 6fc4.*
+ 2f0c0: 0c3a.*
+ 2f0c1: 6fc4.*
+ 2f0c2: 0d3a.*
+ 2f0c3: 6fc4.*
+ 2f0c4: 0c3a.*
+ 2f0c5: 6fc4.*
+ 2f0c6: 0e3a.*
+ 2f0c7: 6fc4.*
+ 2f0c8: 0f3a.*
+ 2f0c9: 6fc4.*
+ 2f0ca: 0f3a.*
+ 2f0cb: 6fc4.*
+ 2f0cc: 0c3b.*
+ 2f0cd: 6fc4.*
+ 2f0ce: 0d3b.*
+ 2f0cf: 6fc4.*
+ 2f0d0: 0c3b.*
+ 2f0d1: 6fc4.*
+ 2f0d2: 0e3b.*
+ 2f0d3: 6fc4.*
+ 2f0d4: 0f3b.*
+ 2f0d5: 6fc4.*
+ 2f0d6: 0f3b.*
+ 2f0d7: 6fc4.*
+ 2f0d8: 0c3c.*
+ 2f0d9: 6fc4.*
+ 2f0da: 0d3c.*
+ 2f0db: 6fc4.*
+ 2f0dc: 0c3c.*
+ 2f0dd: 6fc4.*
+ 2f0de: 0e3c.*
+ 2f0df: 6fc4.*
+ 2f0e0: 0f3c.*
+ 2f0e1: 6fc4.*
+ 2f0e2: 0f3c.*
+ 2f0e3: 6fc4.*
+ 2f0e4: 0c3d.*
+ 2f0e5: 6fc4.*
+ 2f0e6: 0d3d.*
+ 2f0e7: 6fc4.*
+ 2f0e8: 0c3d.*
+ 2f0e9: 6fc4.*
+ 2f0ea: 0e3d.*
+ 2f0eb: 6fc4.*
+ 2f0ec: 0f3d.*
+ 2f0ed: 6fc4.*
+ 2f0ee: 0f3d.*
+ 2f0ef: 6fc4.*
+ 2f0f0: 0c3e.*
+ 2f0f1: 6fc4.*
+ 2f0f2: 0d3e.*
+ 2f0f3: 6fc4.*
+ 2f0f4: 0c3e.*
+ 2f0f5: 6fc4.*
+ 2f0f6: 0e3e.*
+ 2f0f7: 6fc4.*
+ 2f0f8: 0f3e.*
+ 2f0f9: 6fc4.*
+ 2f0fa: 0f3e.*
+ 2f0fb: 6fc4.*
+ 2f0fc: 0c3f.*
+ 2f0fd: 6fc4.*
+ 2f0fe: 0d3f.*
+ 2f0ff: 6fc4.*
+ 2f100: 0c3f.*
+ 2f101: 6fc4.*
+ 2f102: 0e3f.*
+ 2f103: 6fc4.*
+ 2f104: 0f3f.*
+ 2f105: 6fc4.*
+ 2f106: 0f3f.*
+ 2f107: 08c4.*
+ 2f108: 6fc4.*
+ 2f109: 0d20.*
+ 2f10a: 08c4.*
+ 2f10b: 6fc4.*
+ 2f10c: 0e20.*
+ 2f10d: 09c4.*
+ 2f10e: 09c4.*
+ 2f10f: 6fc4.*
+ 2f110: 0c21.*
+ 2f111: 6fc4.*
+ 2f112: 0d21.*
+ 2f113: 6fc4.*
+ 2f114: 0c21.*
+ 2f115: 6fc4.*
+ 2f116: 0e21.*
+ 2f117: 6fc4.*
+ 2f118: 0f21.*
+ 2f119: 6fc4.*
+ 2f11a: 0f21.*
+ 2f11b: 6fc4.*
+ 2f11c: 0c22.*
+ 2f11d: 6fc4.*
+ 2f11e: 0d22.*
+ 2f11f: 6fc4.*
+ 2f120: 0c22.*
+ 2f121: 6fc4.*
+ 2f122: 0e22.*
+ 2f123: 6fc4.*
+ 2f124: 0f22.*
+ 2f125: 6fc4.*
+ 2f126: 0f22.*
+ 2f127: 6fc4.*
+ 2f128: 0c23.*
+ 2f129: 6fc4.*
+ 2f12a: 0d23.*
+ 2f12b: 6fc4.*
+ 2f12c: 0c23.*
+ 2f12d: 6fc4.*
+ 2f12e: 0e23.*
+ 2f12f: 6fc4.*
+ 2f130: 0f23.*
+ 2f131: 6fc4.*
+ 2f132: 0f23.*
+ 2f133: 6fc4.*
+ 2f134: 0c24.*
+ 2f135: 6fc4.*
+ 2f136: 0d24.*
+ 2f137: 6fc4.*
+ 2f138: 0c24.*
+ 2f139: 6fc4.*
+ 2f13a: 0e24.*
+ 2f13b: 6fc4.*
+ 2f13c: 0f24.*
+ 2f13d: 6fc4.*
+ 2f13e: 0f24.*
+ 2f13f: 6fc4.*
+ 2f140: 0c25.*
+ 2f141: 6fc4.*
+ 2f142: 0d25.*
+ 2f143: 6fc4.*
+ 2f144: 0c25.*
+ 2f145: 6fc4.*
+ 2f146: 0e25.*
+ 2f147: 6fc4.*
+ 2f148: 0f25.*
+ 2f149: 6fc4.*
+ 2f14a: 0f25.*
+ 2f14b: 6fc4.*
+ 2f14c: 0c26.*
+ 2f14d: 6fc4.*
+ 2f14e: 0d26.*
+ 2f14f: 6fc4.*
+ 2f150: 0c26.*
+ 2f151: 6fc4.*
+ 2f152: 0e26.*
+ 2f153: 6fc4.*
+ 2f154: 0f26.*
+ 2f155: 6fc4.*
+ 2f156: 0f26.*
+ 2f157: 6fc4.*
+ 2f158: 0c27.*
+ 2f159: 6fc4.*
+ 2f15a: 0d27.*
+ 2f15b: 6fc4.*
+ 2f15c: 0c27.*
+ 2f15d: 6fc4.*
+ 2f15e: 0e27.*
+ 2f15f: 6fc4.*
+ 2f160: 0f27.*
+ 2f161: 6fc4.*
+ 2f162: 0f27.*
+ 2f163: 6fc4.*
+ 2f164: 0c28.*
+ 2f165: 6fc4.*
+ 2f166: 0d28.*
+ 2f167: 6fc4.*
+ 2f168: 0c28.*
+ 2f169: 6fc4.*
+ 2f16a: 0e28.*
+ 2f16b: 6fc4.*
+ 2f16c: 0f28.*
+ 2f16d: 6fc4.*
+ 2f16e: 0f28.*
+ 2f16f: 6fc4.*
+ 2f170: 0c29.*
+ 2f171: 6fc4.*
+ 2f172: 0d29.*
+ 2f173: 6fc4.*
+ 2f174: 0c29.*
+ 2f175: 6fc4.*
+ 2f176: 0e29.*
+ 2f177: 6fc4.*
+ 2f178: 0f29.*
+ 2f179: 6fc4.*
+ 2f17a: 0f29.*
+ 2f17b: 6fc4.*
+ 2f17c: 0c2a.*
+ 2f17d: 6fc4.*
+ 2f17e: 0d2a.*
+ 2f17f: 6fc4.*
+ 2f180: 0c2a.*
+ 2f181: 6fc4.*
+ 2f182: 0e2a.*
+ 2f183: 6fc4.*
+ 2f184: 0f2a.*
+ 2f185: 6fc4.*
+ 2f186: 0f2a.*
+ 2f187: 6fc4.*
+ 2f188: 0c2b.*
+ 2f189: 6fc4.*
+ 2f18a: 0d2b.*
+ 2f18b: 6fc4.*
+ 2f18c: 0c2b.*
+ 2f18d: 6fc4.*
+ 2f18e: 0e2b.*
+ 2f18f: 6fc4.*
+ 2f190: 0f2b.*
+ 2f191: 6fc4.*
+ 2f192: 0f2b.*
+ 2f193: 6fc4.*
+ 2f194: 0c2c.*
+ 2f195: 6fc4.*
+ 2f196: 0d2c.*
+ 2f197: 6fc4.*
+ 2f198: 0c2c.*
+ 2f199: 6fc4.*
+ 2f19a: 0e2c.*
+ 2f19b: 6fc4.*
+ 2f19c: 0f2c.*
+ 2f19d: 6fc4.*
+ 2f19e: 0f2c.*
+ 2f19f: 6fc4.*
+ 2f1a0: 0c2d.*
+ 2f1a1: 6fc4.*
+ 2f1a2: 0d2d.*
+ 2f1a3: 6fc4.*
+ 2f1a4: 0c2d.*
+ 2f1a5: 6fc4.*
+ 2f1a6: 0e2d.*
+ 2f1a7: 6fc4.*
+ 2f1a8: 0f2d.*
+ 2f1a9: 6fc4.*
+ 2f1aa: 0f2d.*
+ 2f1ab: 6fc4.*
+ 2f1ac: 0c2e.*
+ 2f1ad: 6fc4.*
+ 2f1ae: 0d2e.*
+ 2f1af: 6fc4.*
+ 2f1b0: 0c2e.*
+ 2f1b1: 6fc4.*
+ 2f1b2: 0e2e.*
+ 2f1b3: 6fc4.*
+ 2f1b4: 0f2e.*
+ 2f1b5: 6fc4.*
+ 2f1b6: 0f2e.*
+ 2f1b7: 6fc4.*
+ 2f1b8: 0c2f.*
+ 2f1b9: 6fc4.*
+ 2f1ba: 0d2f.*
+ 2f1bb: 6fc4.*
+ 2f1bc: 0c2f.*
+ 2f1bd: 6fc4.*
+ 2f1be: 0e2f.*
+ 2f1bf: 6fc4.*
+ 2f1c0: 0f2f.*
+ 2f1c1: 6fc4.*
+ 2f1c2: 0f2f.*
+ 2f1c3: 08cc.*
+ 2f1c4: 6fcc.*
+ 2f1c5: 0d20.*
+ 2f1c6: 08cc.*
+ 2f1c7: 6fcc.*
+ 2f1c8: 0e20.*
+ 2f1c9: 09cc.*
+ 2f1ca: 09cc.*
+ 2f1cb: 6fcc.*
+ 2f1cc: 0c30.*
+ 2f1cd: 6fcc.*
+ 2f1ce: 0d30.*
+ 2f1cf: 6fcc.*
+ 2f1d0: 0c30.*
+ 2f1d1: 6fcc.*
+ 2f1d2: 0e30.*
+ 2f1d3: 6fcc.*
+ 2f1d4: 0f30.*
+ 2f1d5: 6fcc.*
+ 2f1d6: 0f30.*
+ 2f1d7: 6fcc.*
+ 2f1d8: 0c31.*
+ 2f1d9: 6fcc.*
+ 2f1da: 0d31.*
+ 2f1db: 6fcc.*
+ 2f1dc: 0c31.*
+ 2f1dd: 6fcc.*
+ 2f1de: 0e31.*
+ 2f1df: 6fcc.*
+ 2f1e0: 0f31.*
+ 2f1e1: 6fcc.*
+ 2f1e2: 0f31.*
+ 2f1e3: 6fcc.*
+ 2f1e4: 0c32.*
+ 2f1e5: 6fcc.*
+ 2f1e6: 0d32.*
+ 2f1e7: 6fcc.*
+ 2f1e8: 0c32.*
+ 2f1e9: 6fcc.*
+ 2f1ea: 0e32.*
+ 2f1eb: 6fcc.*
+ 2f1ec: 0f32.*
+ 2f1ed: 6fcc.*
+ 2f1ee: 0f32.*
+ 2f1ef: 6fcc.*
+ 2f1f0: 0c33.*
+ 2f1f1: 6fcc.*
+ 2f1f2: 0d33.*
+ 2f1f3: 6fcc.*
+ 2f1f4: 0c33.*
+ 2f1f5: 6fcc.*
+ 2f1f6: 0e33.*
+ 2f1f7: 6fcc.*
+ 2f1f8: 0f33.*
+ 2f1f9: 6fcc.*
+ 2f1fa: 0f33.*
+ 2f1fb: 6fcc.*
+ 2f1fc: 0c34.*
+ 2f1fd: 6fcc.*
+ 2f1fe: 0d34.*
+ 2f1ff: 6fcc.*
+ 2f200: 0c34.*
+ 2f201: 6fcc.*
+ 2f202: 0e34.*
+ 2f203: 6fcc.*
+ 2f204: 0f34.*
+ 2f205: 6fcc.*
+ 2f206: 0f34.*
+ 2f207: 6fcc.*
+ 2f208: 0c35.*
+ 2f209: 6fcc.*
+ 2f20a: 0d35.*
+ 2f20b: 6fcc.*
+ 2f20c: 0c35.*
+ 2f20d: 6fcc.*
+ 2f20e: 0e35.*
+ 2f20f: 6fcc.*
+ 2f210: 0f35.*
+ 2f211: 6fcc.*
+ 2f212: 0f35.*
+ 2f213: 6fcc.*
+ 2f214: 0c36.*
+ 2f215: 6fcc.*
+ 2f216: 0d36.*
+ 2f217: 6fcc.*
+ 2f218: 0c36.*
+ 2f219: 6fcc.*
+ 2f21a: 0e36.*
+ 2f21b: 6fcc.*
+ 2f21c: 0f36.*
+ 2f21d: 6fcc.*
+ 2f21e: 0f36.*
+ 2f21f: 6fcc.*
+ 2f220: 0c37.*
+ 2f221: 6fcc.*
+ 2f222: 0d37.*
+ 2f223: 6fcc.*
+ 2f224: 0c37.*
+ 2f225: 6fcc.*
+ 2f226: 0e37.*
+ 2f227: 6fcc.*
+ 2f228: 0f37.*
+ 2f229: 6fcc.*
+ 2f22a: 0f37.*
+ 2f22b: 6fcc.*
+ 2f22c: 0c38.*
+ 2f22d: 6fcc.*
+ 2f22e: 0d38.*
+ 2f22f: 6fcc.*
+ 2f230: 0c38.*
+ 2f231: 6fcc.*
+ 2f232: 0e38.*
+ 2f233: 6fcc.*
+ 2f234: 0f38.*
+ 2f235: 6fcc.*
+ 2f236: 0f38.*
+ 2f237: 6fcc.*
+ 2f238: 0c39.*
+ 2f239: 6fcc.*
+ 2f23a: 0d39.*
+ 2f23b: 6fcc.*
+ 2f23c: 0c39.*
+ 2f23d: 6fcc.*
+ 2f23e: 0e39.*
+ 2f23f: 6fcc.*
+ 2f240: 0f39.*
+ 2f241: 6fcc.*
+ 2f242: 0f39.*
+ 2f243: 6fcc.*
+ 2f244: 0c3a.*
+ 2f245: 6fcc.*
+ 2f246: 0d3a.*
+ 2f247: 6fcc.*
+ 2f248: 0c3a.*
+ 2f249: 6fcc.*
+ 2f24a: 0e3a.*
+ 2f24b: 6fcc.*
+ 2f24c: 0f3a.*
+ 2f24d: 6fcc.*
+ 2f24e: 0f3a.*
+ 2f24f: 6fcc.*
+ 2f250: 0c3b.*
+ 2f251: 6fcc.*
+ 2f252: 0d3b.*
+ 2f253: 6fcc.*
+ 2f254: 0c3b.*
+ 2f255: 6fcc.*
+ 2f256: 0e3b.*
+ 2f257: 6fcc.*
+ 2f258: 0f3b.*
+ 2f259: 6fcc.*
+ 2f25a: 0f3b.*
+ 2f25b: 6fcc.*
+ 2f25c: 0c3c.*
+ 2f25d: 6fcc.*
+ 2f25e: 0d3c.*
+ 2f25f: 6fcc.*
+ 2f260: 0c3c.*
+ 2f261: 6fcc.*
+ 2f262: 0e3c.*
+ 2f263: 6fcc.*
+ 2f264: 0f3c.*
+ 2f265: 6fcc.*
+ 2f266: 0f3c.*
+ 2f267: 6fcc.*
+ 2f268: 0c3d.*
+ 2f269: 6fcc.*
+ 2f26a: 0d3d.*
+ 2f26b: 6fcc.*
+ 2f26c: 0c3d.*
+ 2f26d: 6fcc.*
+ 2f26e: 0e3d.*
+ 2f26f: 6fcc.*
+ 2f270: 0f3d.*
+ 2f271: 6fcc.*
+ 2f272: 0f3d.*
+ 2f273: 6fcc.*
+ 2f274: 0c3e.*
+ 2f275: 6fcc.*
+ 2f276: 0d3e.*
+ 2f277: 6fcc.*
+ 2f278: 0c3e.*
+ 2f279: 6fcc.*
+ 2f27a: 0e3e.*
+ 2f27b: 6fcc.*
+ 2f27c: 0f3e.*
+ 2f27d: 6fcc.*
+ 2f27e: 0f3e.*
+ 2f27f: 6fcc.*
+ 2f280: 0c3f.*
+ 2f281: 6fcc.*
+ 2f282: 0d3f.*
+ 2f283: 6fcc.*
+ 2f284: 0c3f.*
+ 2f285: 6fcc.*
+ 2f286: 0e3f.*
+ 2f287: 6fcc.*
+ 2f288: 0f3f.*
+ 2f289: 6fcc.*
+ 2f28a: 0f3f.*
+ 2f28b: 08cc.*
+ 2f28c: 6fcc.*
+ 2f28d: 0d20.*
+ 2f28e: 08cc.*
+ 2f28f: 6fcc.*
+ 2f290: 0e20.*
+ 2f291: 09cc.*
+ 2f292: 09cc.*
+ 2f293: 6fcc.*
+ 2f294: 0c21.*
+ 2f295: 6fcc.*
+ 2f296: 0d21.*
+ 2f297: 6fcc.*
+ 2f298: 0c21.*
+ 2f299: 6fcc.*
+ 2f29a: 0e21.*
+ 2f29b: 6fcc.*
+ 2f29c: 0f21.*
+ 2f29d: 6fcc.*
+ 2f29e: 0f21.*
+ 2f29f: 6fcc.*
+ 2f2a0: 0c22.*
+ 2f2a1: 6fcc.*
+ 2f2a2: 0d22.*
+ 2f2a3: 6fcc.*
+ 2f2a4: 0c22.*
+ 2f2a5: 6fcc.*
+ 2f2a6: 0e22.*
+ 2f2a7: 6fcc.*
+ 2f2a8: 0f22.*
+ 2f2a9: 6fcc.*
+ 2f2aa: 0f22.*
+ 2f2ab: 6fcc.*
+ 2f2ac: 0c23.*
+ 2f2ad: 6fcc.*
+ 2f2ae: 0d23.*
+ 2f2af: 6fcc.*
+ 2f2b0: 0c23.*
+ 2f2b1: 6fcc.*
+ 2f2b2: 0e23.*
+ 2f2b3: 6fcc.*
+ 2f2b4: 0f23.*
+ 2f2b5: 6fcc.*
+ 2f2b6: 0f23.*
+ 2f2b7: 6fcc.*
+ 2f2b8: 0c24.*
+ 2f2b9: 6fcc.*
+ 2f2ba: 0d24.*
+ 2f2bb: 6fcc.*
+ 2f2bc: 0c24.*
+ 2f2bd: 6fcc.*
+ 2f2be: 0e24.*
+ 2f2bf: 6fcc.*
+ 2f2c0: 0f24.*
+ 2f2c1: 6fcc.*
+ 2f2c2: 0f24.*
+ 2f2c3: 6fcc.*
+ 2f2c4: 0c25.*
+ 2f2c5: 6fcc.*
+ 2f2c6: 0d25.*
+ 2f2c7: 6fcc.*
+ 2f2c8: 0c25.*
+ 2f2c9: 6fcc.*
+ 2f2ca: 0e25.*
+ 2f2cb: 6fcc.*
+ 2f2cc: 0f25.*
+ 2f2cd: 6fcc.*
+ 2f2ce: 0f25.*
+ 2f2cf: 6fcc.*
+ 2f2d0: 0c26.*
+ 2f2d1: 6fcc.*
+ 2f2d2: 0d26.*
+ 2f2d3: 6fcc.*
+ 2f2d4: 0c26.*
+ 2f2d5: 6fcc.*
+ 2f2d6: 0e26.*
+ 2f2d7: 6fcc.*
+ 2f2d8: 0f26.*
+ 2f2d9: 6fcc.*
+ 2f2da: 0f26.*
+ 2f2db: 6fcc.*
+ 2f2dc: 0c27.*
+ 2f2dd: 6fcc.*
+ 2f2de: 0d27.*
+ 2f2df: 6fcc.*
+ 2f2e0: 0c27.*
+ 2f2e1: 6fcc.*
+ 2f2e2: 0e27.*
+ 2f2e3: 6fcc.*
+ 2f2e4: 0f27.*
+ 2f2e5: 6fcc.*
+ 2f2e6: 0f27.*
+ 2f2e7: 6fcc.*
+ 2f2e8: 0c28.*
+ 2f2e9: 6fcc.*
+ 2f2ea: 0d28.*
+ 2f2eb: 6fcc.*
+ 2f2ec: 0c28.*
+ 2f2ed: 6fcc.*
+ 2f2ee: 0e28.*
+ 2f2ef: 6fcc.*
+ 2f2f0: 0f28.*
+ 2f2f1: 6fcc.*
+ 2f2f2: 0f28.*
+ 2f2f3: 6fcc.*
+ 2f2f4: 0c29.*
+ 2f2f5: 6fcc.*
+ 2f2f6: 0d29.*
+ 2f2f7: 6fcc.*
+ 2f2f8: 0c29.*
+ 2f2f9: 6fcc.*
+ 2f2fa: 0e29.*
+ 2f2fb: 6fcc.*
+ 2f2fc: 0f29.*
+ 2f2fd: 6fcc.*
+ 2f2fe: 0f29.*
+ 2f2ff: 6fcc.*
+ 2f300: 0c2a.*
+ 2f301: 6fcc.*
+ 2f302: 0d2a.*
+ 2f303: 6fcc.*
+ 2f304: 0c2a.*
+ 2f305: 6fcc.*
+ 2f306: 0e2a.*
+ 2f307: 6fcc.*
+ 2f308: 0f2a.*
+ 2f309: 6fcc.*
+ 2f30a: 0f2a.*
+ 2f30b: 6fcc.*
+ 2f30c: 0c2b.*
+ 2f30d: 6fcc.*
+ 2f30e: 0d2b.*
+ 2f30f: 6fcc.*
+ 2f310: 0c2b.*
+ 2f311: 6fcc.*
+ 2f312: 0e2b.*
+ 2f313: 6fcc.*
+ 2f314: 0f2b.*
+ 2f315: 6fcc.*
+ 2f316: 0f2b.*
+ 2f317: 6fcc.*
+ 2f318: 0c2c.*
+ 2f319: 6fcc.*
+ 2f31a: 0d2c.*
+ 2f31b: 6fcc.*
+ 2f31c: 0c2c.*
+ 2f31d: 6fcc.*
+ 2f31e: 0e2c.*
+ 2f31f: 6fcc.*
+ 2f320: 0f2c.*
+ 2f321: 6fcc.*
+ 2f322: 0f2c.*
+ 2f323: 6fcc.*
+ 2f324: 0c2d.*
+ 2f325: 6fcc.*
+ 2f326: 0d2d.*
+ 2f327: 6fcc.*
+ 2f328: 0c2d.*
+ 2f329: 6fcc.*
+ 2f32a: 0e2d.*
+ 2f32b: 6fcc.*
+ 2f32c: 0f2d.*
+ 2f32d: 6fcc.*
+ 2f32e: 0f2d.*
+ 2f32f: 6fcc.*
+ 2f330: 0c2e.*
+ 2f331: 6fcc.*
+ 2f332: 0d2e.*
+ 2f333: 6fcc.*
+ 2f334: 0c2e.*
+ 2f335: 6fcc.*
+ 2f336: 0e2e.*
+ 2f337: 6fcc.*
+ 2f338: 0f2e.*
+ 2f339: 6fcc.*
+ 2f33a: 0f2e.*
+ 2f33b: 6fcc.*
+ 2f33c: 0c2f.*
+ 2f33d: 6fcc.*
+ 2f33e: 0d2f.*
+ 2f33f: 6fcc.*
+ 2f340: 0c2f.*
+ 2f341: 6fcc.*
+ 2f342: 0e2f.*
+ 2f343: 6fcc.*
+ 2f344: 0f2f.*
+ 2f345: 6fcc.*
+ 2f346: 0f2f.*
+ 2f347: 08d4.*
+ 2f348: 6fd4.*
+ 2f349: 0d20.*
+ 2f34a: 08d4.*
+ 2f34b: 6fd4.*
+ 2f34c: 0e20.*
+ 2f34d: 09d4.*
+ 2f34e: 09d4.*
+ 2f34f: 6fd4.*
+ 2f350: 0c30.*
+ 2f351: 6fd4.*
+ 2f352: 0d30.*
+ 2f353: 6fd4.*
+ 2f354: 0c30.*
+ 2f355: 6fd4.*
+ 2f356: 0e30.*
+ 2f357: 6fd4.*
+ 2f358: 0f30.*
+ 2f359: 6fd4.*
+ 2f35a: 0f30.*
+ 2f35b: 6fd4.*
+ 2f35c: 0c31.*
+ 2f35d: 6fd4.*
+ 2f35e: 0d31.*
+ 2f35f: 6fd4.*
+ 2f360: 0c31.*
+ 2f361: 6fd4.*
+ 2f362: 0e31.*
+ 2f363: 6fd4.*
+ 2f364: 0f31.*
+ 2f365: 6fd4.*
+ 2f366: 0f31.*
+ 2f367: 6fd4.*
+ 2f368: 0c32.*
+ 2f369: 6fd4.*
+ 2f36a: 0d32.*
+ 2f36b: 6fd4.*
+ 2f36c: 0c32.*
+ 2f36d: 6fd4.*
+ 2f36e: 0e32.*
+ 2f36f: 6fd4.*
+ 2f370: 0f32.*
+ 2f371: 6fd4.*
+ 2f372: 0f32.*
+ 2f373: 6fd4.*
+ 2f374: 0c33.*
+ 2f375: 6fd4.*
+ 2f376: 0d33.*
+ 2f377: 6fd4.*
+ 2f378: 0c33.*
+ 2f379: 6fd4.*
+ 2f37a: 0e33.*
+ 2f37b: 6fd4.*
+ 2f37c: 0f33.*
+ 2f37d: 6fd4.*
+ 2f37e: 0f33.*
+ 2f37f: 6fd4.*
+ 2f380: 0c34.*
+ 2f381: 6fd4.*
+ 2f382: 0d34.*
+ 2f383: 6fd4.*
+ 2f384: 0c34.*
+ 2f385: 6fd4.*
+ 2f386: 0e34.*
+ 2f387: 6fd4.*
+ 2f388: 0f34.*
+ 2f389: 6fd4.*
+ 2f38a: 0f34.*
+ 2f38b: 6fd4.*
+ 2f38c: 0c35.*
+ 2f38d: 6fd4.*
+ 2f38e: 0d35.*
+ 2f38f: 6fd4.*
+ 2f390: 0c35.*
+ 2f391: 6fd4.*
+ 2f392: 0e35.*
+ 2f393: 6fd4.*
+ 2f394: 0f35.*
+ 2f395: 6fd4.*
+ 2f396: 0f35.*
+ 2f397: 6fd4.*
+ 2f398: 0c36.*
+ 2f399: 6fd4.*
+ 2f39a: 0d36.*
+ 2f39b: 6fd4.*
+ 2f39c: 0c36.*
+ 2f39d: 6fd4.*
+ 2f39e: 0e36.*
+ 2f39f: 6fd4.*
+ 2f3a0: 0f36.*
+ 2f3a1: 6fd4.*
+ 2f3a2: 0f36.*
+ 2f3a3: 6fd4.*
+ 2f3a4: 0c37.*
+ 2f3a5: 6fd4.*
+ 2f3a6: 0d37.*
+ 2f3a7: 6fd4.*
+ 2f3a8: 0c37.*
+ 2f3a9: 6fd4.*
+ 2f3aa: 0e37.*
+ 2f3ab: 6fd4.*
+ 2f3ac: 0f37.*
+ 2f3ad: 6fd4.*
+ 2f3ae: 0f37.*
+ 2f3af: 6fd4.*
+ 2f3b0: 0c38.*
+ 2f3b1: 6fd4.*
+ 2f3b2: 0d38.*
+ 2f3b3: 6fd4.*
+ 2f3b4: 0c38.*
+ 2f3b5: 6fd4.*
+ 2f3b6: 0e38.*
+ 2f3b7: 6fd4.*
+ 2f3b8: 0f38.*
+ 2f3b9: 6fd4.*
+ 2f3ba: 0f38.*
+ 2f3bb: 6fd4.*
+ 2f3bc: 0c39.*
+ 2f3bd: 6fd4.*
+ 2f3be: 0d39.*
+ 2f3bf: 6fd4.*
+ 2f3c0: 0c39.*
+ 2f3c1: 6fd4.*
+ 2f3c2: 0e39.*
+ 2f3c3: 6fd4.*
+ 2f3c4: 0f39.*
+ 2f3c5: 6fd4.*
+ 2f3c6: 0f39.*
+ 2f3c7: 6fd4.*
+ 2f3c8: 0c3a.*
+ 2f3c9: 6fd4.*
+ 2f3ca: 0d3a.*
+ 2f3cb: 6fd4.*
+ 2f3cc: 0c3a.*
+ 2f3cd: 6fd4.*
+ 2f3ce: 0e3a.*
+ 2f3cf: 6fd4.*
+ 2f3d0: 0f3a.*
+ 2f3d1: 6fd4.*
+ 2f3d2: 0f3a.*
+ 2f3d3: 6fd4.*
+ 2f3d4: 0c3b.*
+ 2f3d5: 6fd4.*
+ 2f3d6: 0d3b.*
+ 2f3d7: 6fd4.*
+ 2f3d8: 0c3b.*
+ 2f3d9: 6fd4.*
+ 2f3da: 0e3b.*
+ 2f3db: 6fd4.*
+ 2f3dc: 0f3b.*
+ 2f3dd: 6fd4.*
+ 2f3de: 0f3b.*
+ 2f3df: 6fd4.*
+ 2f3e0: 0c3c.*
+ 2f3e1: 6fd4.*
+ 2f3e2: 0d3c.*
+ 2f3e3: 6fd4.*
+ 2f3e4: 0c3c.*
+ 2f3e5: 6fd4.*
+ 2f3e6: 0e3c.*
+ 2f3e7: 6fd4.*
+ 2f3e8: 0f3c.*
+ 2f3e9: 6fd4.*
+ 2f3ea: 0f3c.*
+ 2f3eb: 6fd4.*
+ 2f3ec: 0c3d.*
+ 2f3ed: 6fd4.*
+ 2f3ee: 0d3d.*
+ 2f3ef: 6fd4.*
+ 2f3f0: 0c3d.*
+ 2f3f1: 6fd4.*
+ 2f3f2: 0e3d.*
+ 2f3f3: 6fd4.*
+ 2f3f4: 0f3d.*
+ 2f3f5: 6fd4.*
+ 2f3f6: 0f3d.*
+ 2f3f7: 6fd4.*
+ 2f3f8: 0c3e.*
+ 2f3f9: 6fd4.*
+ 2f3fa: 0d3e.*
+ 2f3fb: 6fd4.*
+ 2f3fc: 0c3e.*
+ 2f3fd: 6fd4.*
+ 2f3fe: 0e3e.*
+ 2f3ff: 6fd4.*
+ 2f400: 0f3e.*
+ 2f401: 6fd4.*
+ 2f402: 0f3e.*
+ 2f403: 6fd4.*
+ 2f404: 0c3f.*
+ 2f405: 6fd4.*
+ 2f406: 0d3f.*
+ 2f407: 6fd4.*
+ 2f408: 0c3f.*
+ 2f409: 6fd4.*
+ 2f40a: 0e3f.*
+ 2f40b: 6fd4.*
+ 2f40c: 0f3f.*
+ 2f40d: 6fd4.*
+ 2f40e: 0f3f.*
+ 2f40f: 08d4.*
+ 2f410: 6fd4.*
+ 2f411: 0d20.*
+ 2f412: 08d4.*
+ 2f413: 6fd4.*
+ 2f414: 0e20.*
+ 2f415: 09d4.*
+ 2f416: 09d4.*
+ 2f417: 6fd4.*
+ 2f418: 0c21.*
+ 2f419: 6fd4.*
+ 2f41a: 0d21.*
+ 2f41b: 6fd4.*
+ 2f41c: 0c21.*
+ 2f41d: 6fd4.*
+ 2f41e: 0e21.*
+ 2f41f: 6fd4.*
+ 2f420: 0f21.*
+ 2f421: 6fd4.*
+ 2f422: 0f21.*
+ 2f423: 6fd4.*
+ 2f424: 0c22.*
+ 2f425: 6fd4.*
+ 2f426: 0d22.*
+ 2f427: 6fd4.*
+ 2f428: 0c22.*
+ 2f429: 6fd4.*
+ 2f42a: 0e22.*
+ 2f42b: 6fd4.*
+ 2f42c: 0f22.*
+ 2f42d: 6fd4.*
+ 2f42e: 0f22.*
+ 2f42f: 6fd4.*
+ 2f430: 0c23.*
+ 2f431: 6fd4.*
+ 2f432: 0d23.*
+ 2f433: 6fd4.*
+ 2f434: 0c23.*
+ 2f435: 6fd4.*
+ 2f436: 0e23.*
+ 2f437: 6fd4.*
+ 2f438: 0f23.*
+ 2f439: 6fd4.*
+ 2f43a: 0f23.*
+ 2f43b: 6fd4.*
+ 2f43c: 0c24.*
+ 2f43d: 6fd4.*
+ 2f43e: 0d24.*
+ 2f43f: 6fd4.*
+ 2f440: 0c24.*
+ 2f441: 6fd4.*
+ 2f442: 0e24.*
+ 2f443: 6fd4.*
+ 2f444: 0f24.*
+ 2f445: 6fd4.*
+ 2f446: 0f24.*
+ 2f447: 6fd4.*
+ 2f448: 0c25.*
+ 2f449: 6fd4.*
+ 2f44a: 0d25.*
+ 2f44b: 6fd4.*
+ 2f44c: 0c25.*
+ 2f44d: 6fd4.*
+ 2f44e: 0e25.*
+ 2f44f: 6fd4.*
+ 2f450: 0f25.*
+ 2f451: 6fd4.*
+ 2f452: 0f25.*
+ 2f453: 6fd4.*
+ 2f454: 0c26.*
+ 2f455: 6fd4.*
+ 2f456: 0d26.*
+ 2f457: 6fd4.*
+ 2f458: 0c26.*
+ 2f459: 6fd4.*
+ 2f45a: 0e26.*
+ 2f45b: 6fd4.*
+ 2f45c: 0f26.*
+ 2f45d: 6fd4.*
+ 2f45e: 0f26.*
+ 2f45f: 6fd4.*
+ 2f460: 0c27.*
+ 2f461: 6fd4.*
+ 2f462: 0d27.*
+ 2f463: 6fd4.*
+ 2f464: 0c27.*
+ 2f465: 6fd4.*
+ 2f466: 0e27.*
+ 2f467: 6fd4.*
+ 2f468: 0f27.*
+ 2f469: 6fd4.*
+ 2f46a: 0f27.*
+ 2f46b: 6fd4.*
+ 2f46c: 0c28.*
+ 2f46d: 6fd4.*
+ 2f46e: 0d28.*
+ 2f46f: 6fd4.*
+ 2f470: 0c28.*
+ 2f471: 6fd4.*
+ 2f472: 0e28.*
+ 2f473: 6fd4.*
+ 2f474: 0f28.*
+ 2f475: 6fd4.*
+ 2f476: 0f28.*
+ 2f477: 6fd4.*
+ 2f478: 0c29.*
+ 2f479: 6fd4.*
+ 2f47a: 0d29.*
+ 2f47b: 6fd4.*
+ 2f47c: 0c29.*
+ 2f47d: 6fd4.*
+ 2f47e: 0e29.*
+ 2f47f: 6fd4.*
+ 2f480: 0f29.*
+ 2f481: 6fd4.*
+ 2f482: 0f29.*
+ 2f483: 6fd4.*
+ 2f484: 0c2a.*
+ 2f485: 6fd4.*
+ 2f486: 0d2a.*
+ 2f487: 6fd4.*
+ 2f488: 0c2a.*
+ 2f489: 6fd4.*
+ 2f48a: 0e2a.*
+ 2f48b: 6fd4.*
+ 2f48c: 0f2a.*
+ 2f48d: 6fd4.*
+ 2f48e: 0f2a.*
+ 2f48f: 6fd4.*
+ 2f490: 0c2b.*
+ 2f491: 6fd4.*
+ 2f492: 0d2b.*
+ 2f493: 6fd4.*
+ 2f494: 0c2b.*
+ 2f495: 6fd4.*
+ 2f496: 0e2b.*
+ 2f497: 6fd4.*
+ 2f498: 0f2b.*
+ 2f499: 6fd4.*
+ 2f49a: 0f2b.*
+ 2f49b: 6fd4.*
+ 2f49c: 0c2c.*
+ 2f49d: 6fd4.*
+ 2f49e: 0d2c.*
+ 2f49f: 6fd4.*
+ 2f4a0: 0c2c.*
+ 2f4a1: 6fd4.*
+ 2f4a2: 0e2c.*
+ 2f4a3: 6fd4.*
+ 2f4a4: 0f2c.*
+ 2f4a5: 6fd4.*
+ 2f4a6: 0f2c.*
+ 2f4a7: 6fd4.*
+ 2f4a8: 0c2d.*
+ 2f4a9: 6fd4.*
+ 2f4aa: 0d2d.*
+ 2f4ab: 6fd4.*
+ 2f4ac: 0c2d.*
+ 2f4ad: 6fd4.*
+ 2f4ae: 0e2d.*
+ 2f4af: 6fd4.*
+ 2f4b0: 0f2d.*
+ 2f4b1: 6fd4.*
+ 2f4b2: 0f2d.*
+ 2f4b3: 6fd4.*
+ 2f4b4: 0c2e.*
+ 2f4b5: 6fd4.*
+ 2f4b6: 0d2e.*
+ 2f4b7: 6fd4.*
+ 2f4b8: 0c2e.*
+ 2f4b9: 6fd4.*
+ 2f4ba: 0e2e.*
+ 2f4bb: 6fd4.*
+ 2f4bc: 0f2e.*
+ 2f4bd: 6fd4.*
+ 2f4be: 0f2e.*
+ 2f4bf: 6fd4.*
+ 2f4c0: 0c2f.*
+ 2f4c1: 6fd4.*
+ 2f4c2: 0d2f.*
+ 2f4c3: 6fd4.*
+ 2f4c4: 0c2f.*
+ 2f4c5: 6fd4.*
+ 2f4c6: 0e2f.*
+ 2f4c7: 6fd4.*
+ 2f4c8: 0f2f.*
+ 2f4c9: 6fd4.*
+ 2f4ca: 0f2f.*
+ 2f4cb: 08dc.*
+ 2f4cc: 6fdc.*
+ 2f4cd: 0d20.*
+ 2f4ce: 08dc.*
+ 2f4cf: 6fdc.*
+ 2f4d0: 0e20.*
+ 2f4d1: 09dc.*
+ 2f4d2: 09dc.*
+ 2f4d3: 6fdc.*
+ 2f4d4: 0c30.*
+ 2f4d5: 6fdc.*
+ 2f4d6: 0d30.*
+ 2f4d7: 6fdc.*
+ 2f4d8: 0c30.*
+ 2f4d9: 6fdc.*
+ 2f4da: 0e30.*
+ 2f4db: 6fdc.*
+ 2f4dc: 0f30.*
+ 2f4dd: 6fdc.*
+ 2f4de: 0f30.*
+ 2f4df: 6fdc.*
+ 2f4e0: 0c31.*
+ 2f4e1: 6fdc.*
+ 2f4e2: 0d31.*
+ 2f4e3: 6fdc.*
+ 2f4e4: 0c31.*
+ 2f4e5: 6fdc.*
+ 2f4e6: 0e31.*
+ 2f4e7: 6fdc.*
+ 2f4e8: 0f31.*
+ 2f4e9: 6fdc.*
+ 2f4ea: 0f31.*
+ 2f4eb: 6fdc.*
+ 2f4ec: 0c32.*
+ 2f4ed: 6fdc.*
+ 2f4ee: 0d32.*
+ 2f4ef: 6fdc.*
+ 2f4f0: 0c32.*
+ 2f4f1: 6fdc.*
+ 2f4f2: 0e32.*
+ 2f4f3: 6fdc.*
+ 2f4f4: 0f32.*
+ 2f4f5: 6fdc.*
+ 2f4f6: 0f32.*
+ 2f4f7: 6fdc.*
+ 2f4f8: 0c33.*
+ 2f4f9: 6fdc.*
+ 2f4fa: 0d33.*
+ 2f4fb: 6fdc.*
+ 2f4fc: 0c33.*
+ 2f4fd: 6fdc.*
+ 2f4fe: 0e33.*
+ 2f4ff: 6fdc.*
+ 2f500: 0f33.*
+ 2f501: 6fdc.*
+ 2f502: 0f33.*
+ 2f503: 6fdc.*
+ 2f504: 0c34.*
+ 2f505: 6fdc.*
+ 2f506: 0d34.*
+ 2f507: 6fdc.*
+ 2f508: 0c34.*
+ 2f509: 6fdc.*
+ 2f50a: 0e34.*
+ 2f50b: 6fdc.*
+ 2f50c: 0f34.*
+ 2f50d: 6fdc.*
+ 2f50e: 0f34.*
+ 2f50f: 6fdc.*
+ 2f510: 0c35.*
+ 2f511: 6fdc.*
+ 2f512: 0d35.*
+ 2f513: 6fdc.*
+ 2f514: 0c35.*
+ 2f515: 6fdc.*
+ 2f516: 0e35.*
+ 2f517: 6fdc.*
+ 2f518: 0f35.*
+ 2f519: 6fdc.*
+ 2f51a: 0f35.*
+ 2f51b: 6fdc.*
+ 2f51c: 0c36.*
+ 2f51d: 6fdc.*
+ 2f51e: 0d36.*
+ 2f51f: 6fdc.*
+ 2f520: 0c36.*
+ 2f521: 6fdc.*
+ 2f522: 0e36.*
+ 2f523: 6fdc.*
+ 2f524: 0f36.*
+ 2f525: 6fdc.*
+ 2f526: 0f36.*
+ 2f527: 6fdc.*
+ 2f528: 0c37.*
+ 2f529: 6fdc.*
+ 2f52a: 0d37.*
+ 2f52b: 6fdc.*
+ 2f52c: 0c37.*
+ 2f52d: 6fdc.*
+ 2f52e: 0e37.*
+ 2f52f: 6fdc.*
+ 2f530: 0f37.*
+ 2f531: 6fdc.*
+ 2f532: 0f37.*
+ 2f533: 6fdc.*
+ 2f534: 0c38.*
+ 2f535: 6fdc.*
+ 2f536: 0d38.*
+ 2f537: 6fdc.*
+ 2f538: 0c38.*
+ 2f539: 6fdc.*
+ 2f53a: 0e38.*
+ 2f53b: 6fdc.*
+ 2f53c: 0f38.*
+ 2f53d: 6fdc.*
+ 2f53e: 0f38.*
+ 2f53f: 6fdc.*
+ 2f540: 0c39.*
+ 2f541: 6fdc.*
+ 2f542: 0d39.*
+ 2f543: 6fdc.*
+ 2f544: 0c39.*
+ 2f545: 6fdc.*
+ 2f546: 0e39.*
+ 2f547: 6fdc.*
+ 2f548: 0f39.*
+ 2f549: 6fdc.*
+ 2f54a: 0f39.*
+ 2f54b: 6fdc.*
+ 2f54c: 0c3a.*
+ 2f54d: 6fdc.*
+ 2f54e: 0d3a.*
+ 2f54f: 6fdc.*
+ 2f550: 0c3a.*
+ 2f551: 6fdc.*
+ 2f552: 0e3a.*
+ 2f553: 6fdc.*
+ 2f554: 0f3a.*
+ 2f555: 6fdc.*
+ 2f556: 0f3a.*
+ 2f557: 6fdc.*
+ 2f558: 0c3b.*
+ 2f559: 6fdc.*
+ 2f55a: 0d3b.*
+ 2f55b: 6fdc.*
+ 2f55c: 0c3b.*
+ 2f55d: 6fdc.*
+ 2f55e: 0e3b.*
+ 2f55f: 6fdc.*
+ 2f560: 0f3b.*
+ 2f561: 6fdc.*
+ 2f562: 0f3b.*
+ 2f563: 6fdc.*
+ 2f564: 0c3c.*
+ 2f565: 6fdc.*
+ 2f566: 0d3c.*
+ 2f567: 6fdc.*
+ 2f568: 0c3c.*
+ 2f569: 6fdc.*
+ 2f56a: 0e3c.*
+ 2f56b: 6fdc.*
+ 2f56c: 0f3c.*
+ 2f56d: 6fdc.*
+ 2f56e: 0f3c.*
+ 2f56f: 6fdc.*
+ 2f570: 0c3d.*
+ 2f571: 6fdc.*
+ 2f572: 0d3d.*
+ 2f573: 6fdc.*
+ 2f574: 0c3d.*
+ 2f575: 6fdc.*
+ 2f576: 0e3d.*
+ 2f577: 6fdc.*
+ 2f578: 0f3d.*
+ 2f579: 6fdc.*
+ 2f57a: 0f3d.*
+ 2f57b: 6fdc.*
+ 2f57c: 0c3e.*
+ 2f57d: 6fdc.*
+ 2f57e: 0d3e.*
+ 2f57f: 6fdc.*
+ 2f580: 0c3e.*
+ 2f581: 6fdc.*
+ 2f582: 0e3e.*
+ 2f583: 6fdc.*
+ 2f584: 0f3e.*
+ 2f585: 6fdc.*
+ 2f586: 0f3e.*
+ 2f587: 6fdc.*
+ 2f588: 0c3f.*
+ 2f589: 6fdc.*
+ 2f58a: 0d3f.*
+ 2f58b: 6fdc.*
+ 2f58c: 0c3f.*
+ 2f58d: 6fdc.*
+ 2f58e: 0e3f.*
+ 2f58f: 6fdc.*
+ 2f590: 0f3f.*
+ 2f591: 6fdc.*
+ 2f592: 0f3f.*
+ 2f593: 08dc.*
+ 2f594: 6fdc.*
+ 2f595: 0d20.*
+ 2f596: 08dc.*
+ 2f597: 6fdc.*
+ 2f598: 0e20.*
+ 2f599: 09dc.*
+ 2f59a: 09dc.*
+ 2f59b: 92e1.*
+ 2f59c: 6fdc.*
+ 2f59d: 0d21.*
+ 2f59e: 92e1.*
+ 2f59f: 6fdc.*
+ 2f5a0: 0e21.*
+ 2f5a1: 93e1.*
+ 2f5a2: 93e1.*
+ 2f5a3: 92e2.*
+ 2f5a4: 6fdc.*
+ 2f5a5: 0d22.*
+ 2f5a6: 92e2.*
+ 2f5a7: 6fdc.*
+ 2f5a8: 0e22.*
+ 2f5a9: 93e2.*
+ 2f5aa: 93e2.*
+ 2f5ab: 92e3.*
+ 2f5ac: 6fdc.*
+ 2f5ad: 0d23.*
+ 2f5ae: 92e3.*
+ 2f5af: 6fdc.*
+ 2f5b0: 0e23.*
+ 2f5b1: 93e3.*
+ 2f5b2: 93e3.*
+ 2f5b3: 92e4.*
+ 2f5b4: 6fdc.*
+ 2f5b5: 0d24.*
+ 2f5b6: 92e4.*
+ 2f5b7: 6fdc.*
+ 2f5b8: 0e24.*
+ 2f5b9: 93e4.*
+ 2f5ba: 93e4.*
+ 2f5bb: 92e5.*
+ 2f5bc: 6fdc.*
+ 2f5bd: 0d25.*
+ 2f5be: 92e5.*
+ 2f5bf: 6fdc.*
+ 2f5c0: 0e25.*
+ 2f5c1: 93e5.*
+ 2f5c2: 93e5.*
+ 2f5c3: 92e6.*
+ 2f5c4: 6fdc.*
+ 2f5c5: 0d26.*
+ 2f5c6: 92e6.*
+ 2f5c7: 6fdc.*
+ 2f5c8: 0e26.*
+ 2f5c9: 93e6.*
+ 2f5ca: 93e6.*
+ 2f5cb: 92e7.*
+ 2f5cc: 6fdc.*
+ 2f5cd: 0d27.*
+ 2f5ce: 92e7.*
+ 2f5cf: 6fdc.*
+ 2f5d0: 0e27.*
+ 2f5d1: 93e7.*
+ 2f5d2: 93e7.*
+ 2f5d3: 92e8.*
+ 2f5d4: 6fdc.*
+ 2f5d5: 0d28.*
+ 2f5d6: 92e8.*
+ 2f5d7: 6fdc.*
+ 2f5d8: 0e28.*
+ 2f5d9: 93e8.*
+ 2f5da: 93e8.*
+ 2f5db: 92e9.*
+ 2f5dc: 6fdc.*
+ 2f5dd: 0d29.*
+ 2f5de: 92e9.*
+ 2f5df: 6fdc.*
+ 2f5e0: 0e29.*
+ 2f5e1: 93e9.*
+ 2f5e2: 93e9.*
+ 2f5e3: 92ea.*
+ 2f5e4: 6fdc.*
+ 2f5e5: 0d2a.*
+ 2f5e6: 92ea.*
+ 2f5e7: 6fdc.*
+ 2f5e8: 0e2a.*
+ 2f5e9: 93ea.*
+ 2f5ea: 93ea.*
+ 2f5eb: 92eb.*
+ 2f5ec: 6fdc.*
+ 2f5ed: 0d2b.*
+ 2f5ee: 92eb.*
+ 2f5ef: 6fdc.*
+ 2f5f0: 0e2b.*
+ 2f5f1: 93eb.*
+ 2f5f2: 93eb.*
+ 2f5f3: 92ec.*
+ 2f5f4: 6fdc.*
+ 2f5f5: 0d2c.*
+ 2f5f6: 92ec.*
+ 2f5f7: 6fdc.*
+ 2f5f8: 0e2c.*
+ 2f5f9: 93ec.*
+ 2f5fa: 93ec.*
+ 2f5fb: 92ed.*
+ 2f5fc: 6fdc.*
+ 2f5fd: 0d2d.*
+ 2f5fe: 92ed.*
+ 2f5ff: 6fdc.*
+ 2f600: 0e2d.*
+ 2f601: 93ed.*
+ 2f602: 93ed.*
+ 2f603: 92ee.*
+ 2f604: 6fdc.*
+ 2f605: 0d2e.*
+ 2f606: 92ee.*
+ 2f607: 6fdc.*
+ 2f608: 0e2e.*
+ 2f609: 93ee.*
+ 2f60a: 93ee.*
+ 2f60b: 92ef.*
+ 2f60c: 6fdc.*
+ 2f60d: 0d2f.*
+ 2f60e: 92ef.*
+ 2f60f: 6fdc.*
+ 2f610: 0e2f.*
+ 2f611: 93ef.*
+ 2f612: 93ef.*
+ 2f613: 08e4.*
+ 2f614: 57e6.*
+ 2f615: 6fe4.*
+ 2f616: 57e7.*
+ 2f617: 0d20.*
+ 2f618: 08e4.*
+ 2f619: 57e8.*
+ 2f61a: 6fe4.*
+ 2f61b: 57e9.*
+ 2f61c: 0e20.*
+ 2f61d: 09e4.*
+ 2f61e: 57ea.*
+ 2f61f: 09e4.*
+ 2f620: 57eb.*
+ 2f621: 6fe4.*
+ 2f622: 57ec.*
+ 2f623: 0c30.*
+ 2f624: 6fe4.*
+ 2f625: 57ed.*
+ 2f626: 0d30.*
+ 2f627: 6fe4.*
+ 2f628: 57ee.*
+ 2f629: 0c30.*
+ 2f62a: 6fe4.*
+ 2f62b: 57ef.*
+ 2f62c: 0e30.*
+ 2f62d: 6fe4.*
+ 2f62e: 57f0.*
+ 2f62f: 0f30.*
+ 2f630: 6fe4.*
+ 2f631: 57f1.*
+ 2f632: 0f30.*
+ 2f633: 6fe4.*
+ 2f634: 57f2.*
+ 2f635: 0c31.*
+ 2f636: 6fe4.*
+ 2f637: 57f3.*
+ 2f638: 0d31.*
+ 2f639: 6fe4.*
+ 2f63a: 57f4.*
+ 2f63b: 0c31.*
+ 2f63c: 6fe4.*
+ 2f63d: 57f5.*
+ 2f63e: 0e31.*
+ 2f63f: 6fe4.*
+ 2f640: 57f6.*
+ 2f641: 0f31.*
+ 2f642: 6fe4.*
+ 2f643: 57f7.*
+ 2f644: 0f31.*
+ 2f645: 6fe4.*
+ 2f646: 57f8.*
+ 2f647: 0c32.*
+ 2f648: 6fe4.*
+ 2f649: 57f9.*
+ 2f64a: 0d32.*
+ 2f64b: 6fe4.*
+ 2f64c: 57fa.*
+ 2f64d: 0c32.*
+ 2f64e: 6fe4.*
+ 2f64f: 57fb.*
+ 2f650: 0e32.*
+ 2f651: 6fe4.*
+ 2f652: 57fc.*
+ 2f653: 0f32.*
+ 2f654: 6fe4.*
+ 2f655: 57fd.*
+ 2f656: 0f32.*
+ 2f657: 6fe4.*
+ 2f658: 57fe.*
+ 2f659: 0c33.*
+ 2f65a: 6fe4.*
+ 2f65b: 57ff.*
+ 2f65c: 0d33.*
+ 2f65d: 6fe4.*
+ 2f65e: 5800.*
+ 2f65f: 0c33.*
+ 2f660: 6fe4.*
+ 2f661: 5801.*
+ 2f662: 0e33.*
+ 2f663: 6fe4.*
+ 2f664: 5802.*
+ 2f665: 0f33.*
+ 2f666: 6fe4.*
+ 2f667: 5803.*
+ 2f668: 0f33.*
+ 2f669: 6fe4.*
+ 2f66a: 5804.*
+ 2f66b: 0c34.*
+ 2f66c: 6fe4.*
+ 2f66d: 5805.*
+ 2f66e: 0d34.*
+ 2f66f: 6fe4.*
+ 2f670: 5806.*
+ 2f671: 0c34.*
+ 2f672: 6fe4.*
+ 2f673: 5807.*
+ 2f674: 0e34.*
+ 2f675: 6fe4.*
+ 2f676: 5808.*
+ 2f677: 0f34.*
+ 2f678: 6fe4.*
+ 2f679: 5809.*
+ 2f67a: 0f34.*
+ 2f67b: 6fe4.*
+ 2f67c: 580a.*
+ 2f67d: 0c35.*
+ 2f67e: 6fe4.*
+ 2f67f: 580b.*
+ 2f680: 0d35.*
+ 2f681: 6fe4.*
+ 2f682: 580c.*
+ 2f683: 0c35.*
+ 2f684: 6fe4.*
+ 2f685: 580d.*
+ 2f686: 0e35.*
+ 2f687: 6fe4.*
+ 2f688: 580e.*
+ 2f689: 0f35.*
+ 2f68a: 6fe4.*
+ 2f68b: 580f.*
+ 2f68c: 0f35.*
+ 2f68d: 6fe4.*
+ 2f68e: 5810.*
+ 2f68f: 0c36.*
+ 2f690: 6fe4.*
+ 2f691: 5811.*
+ 2f692: 0d36.*
+ 2f693: 6fe4.*
+ 2f694: 5812.*
+ 2f695: 0c36.*
+ 2f696: 6fe4.*
+ 2f697: 5813.*
+ 2f698: 0e36.*
+ 2f699: 6fe4.*
+ 2f69a: 5814.*
+ 2f69b: 0f36.*
+ 2f69c: 6fe4.*
+ 2f69d: 5815.*
+ 2f69e: 0f36.*
+ 2f69f: 6fe4.*
+ 2f6a0: 5816.*
+ 2f6a1: 0c37.*
+ 2f6a2: 6fe4.*
+ 2f6a3: 5817.*
+ 2f6a4: 0d37.*
+ 2f6a5: 6fe4.*
+ 2f6a6: 5818.*
+ 2f6a7: 0c37.*
+ 2f6a8: 6fe4.*
+ 2f6a9: 5819.*
+ 2f6aa: 0e37.*
+ 2f6ab: 6fe4.*
+ 2f6ac: 581a.*
+ 2f6ad: 0f37.*
+ 2f6ae: 6fe4.*
+ 2f6af: 581b.*
+ 2f6b0: 0f37.*
+ 2f6b1: 6fe4.*
+ 2f6b2: 581c.*
+ 2f6b3: 0c38.*
+ 2f6b4: 6fe4.*
+ 2f6b5: 581d.*
+ 2f6b6: 0d38.*
+ 2f6b7: 6fe4.*
+ 2f6b8: 581e.*
+ 2f6b9: 0c38.*
+ 2f6ba: 6fe4.*
+ 2f6bb: 581f.*
+ 2f6bc: 0e38.*
+ 2f6bd: 6fe4.*
+ 2f6be: 5820.*
+ 2f6bf: 0f38.*
+ 2f6c0: 6fe4.*
+ 2f6c1: 5821.*
+ 2f6c2: 0f38.*
+ 2f6c3: 6fe4.*
+ 2f6c4: 5822.*
+ 2f6c5: 0c39.*
+ 2f6c6: 6fe4.*
+ 2f6c7: 5823.*
+ 2f6c8: 0d39.*
+ 2f6c9: 6fe4.*
+ 2f6ca: 5824.*
+ 2f6cb: 0c39.*
+ 2f6cc: 6fe4.*
+ 2f6cd: 5825.*
+ 2f6ce: 0e39.*
+ 2f6cf: 6fe4.*
+ 2f6d0: 5826.*
+ 2f6d1: 0f39.*
+ 2f6d2: 6fe4.*
+ 2f6d3: 5827.*
+ 2f6d4: 0f39.*
+ 2f6d5: 6fe4.*
+ 2f6d6: 5828.*
+ 2f6d7: 0c3a.*
+ 2f6d8: 6fe4.*
+ 2f6d9: 5829.*
+ 2f6da: 0d3a.*
+ 2f6db: 6fe4.*
+ 2f6dc: 582a.*
+ 2f6dd: 0c3a.*
+ 2f6de: 6fe4.*
+ 2f6df: 582b.*
+ 2f6e0: 0e3a.*
+ 2f6e1: 6fe4.*
+ 2f6e2: 582c.*
+ 2f6e3: 0f3a.*
+ 2f6e4: 6fe4.*
+ 2f6e5: 582d.*
+ 2f6e6: 0f3a.*
+ 2f6e7: 6fe4.*
+ 2f6e8: 582e.*
+ 2f6e9: 0c3b.*
+ 2f6ea: 6fe4.*
+ 2f6eb: 582f.*
+ 2f6ec: 0d3b.*
+ 2f6ed: 6fe4.*
+ 2f6ee: 5830.*
+ 2f6ef: 0c3b.*
+ 2f6f0: 6fe4.*
+ 2f6f1: 5831.*
+ 2f6f2: 0e3b.*
+ 2f6f3: 6fe4.*
+ 2f6f4: 5832.*
+ 2f6f5: 0f3b.*
+ 2f6f6: 6fe4.*
+ 2f6f7: 5833.*
+ 2f6f8: 0f3b.*
+ 2f6f9: 6fe4.*
+ 2f6fa: 5834.*
+ 2f6fb: 0c3c.*
+ 2f6fc: 6fe4.*
+ 2f6fd: 5835.*
+ 2f6fe: 0d3c.*
+ 2f6ff: 6fe4.*
+ 2f700: 5836.*
+ 2f701: 0c3c.*
+ 2f702: 6fe4.*
+ 2f703: 5837.*
+ 2f704: 0e3c.*
+ 2f705: 6fe4.*
+ 2f706: 5838.*
+ 2f707: 0f3c.*
+ 2f708: 6fe4.*
+ 2f709: 5839.*
+ 2f70a: 0f3c.*
+ 2f70b: 6fe4.*
+ 2f70c: 583a.*
+ 2f70d: 0c3d.*
+ 2f70e: 6fe4.*
+ 2f70f: 583b.*
+ 2f710: 0d3d.*
+ 2f711: 6fe4.*
+ 2f712: 583c.*
+ 2f713: 0c3d.*
+ 2f714: 6fe4.*
+ 2f715: 583d.*
+ 2f716: 0e3d.*
+ 2f717: 6fe4.*
+ 2f718: 583e.*
+ 2f719: 0f3d.*
+ 2f71a: 6fe4.*
+ 2f71b: 583f.*
+ 2f71c: 0f3d.*
+ 2f71d: 6fe4.*
+ 2f71e: 5840.*
+ 2f71f: 0c3e.*
+ 2f720: 6fe4.*
+ 2f721: 5841.*
+ 2f722: 0d3e.*
+ 2f723: 6fe4.*
+ 2f724: 5842.*
+ 2f725: 0c3e.*
+ 2f726: 6fe4.*
+ 2f727: 5843.*
+ 2f728: 0e3e.*
+ 2f729: 6fe4.*
+ 2f72a: 5844.*
+ 2f72b: 0f3e.*
+ 2f72c: 6fe4.*
+ 2f72d: 5845.*
+ 2f72e: 0f3e.*
+ 2f72f: 6fe4.*
+ 2f730: 5846.*
+ 2f731: 0c3f.*
+ 2f732: 6fe4.*
+ 2f733: 5847.*
+ 2f734: 0d3f.*
+ 2f735: 6fe4.*
+ 2f736: 5848.*
+ 2f737: 0c3f.*
+ 2f738: 6fe4.*
+ 2f739: 5849.*
+ 2f73a: 0e3f.*
+ 2f73b: 6fe4.*
+ 2f73c: 584a.*
+ 2f73d: 0f3f.*
+ 2f73e: 6fe4.*
+ 2f73f: 584b.*
+ 2f740: 0f3f.*
+ 2f741: 08e4.*
+ 2f742: 584c.*
+ 2f743: 6fe4.*
+ 2f744: 584d.*
+ 2f745: 0d20.*
+ 2f746: 08e4.*
+ 2f747: 584e.*
+ 2f748: 6fe4.*
+ 2f749: 584f.*
+ 2f74a: 0e20.*
+ 2f74b: 09e4.*
+ 2f74c: 5850.*
+ 2f74d: 09e4.*
+ 2f74e: 5851.*
+ 2f74f: 6fe4.*
+ 2f750: 5852.*
+ 2f751: 0c21.*
+ 2f752: 6fe4.*
+ 2f753: 5853.*
+ 2f754: 0d21.*
+ 2f755: 6fe4.*
+ 2f756: 5854.*
+ 2f757: 0c21.*
+ 2f758: 6fe4.*
+ 2f759: 5855.*
+ 2f75a: 0e21.*
+ 2f75b: 6fe4.*
+ 2f75c: 5856.*
+ 2f75d: 0f21.*
+ 2f75e: 6fe4.*
+ 2f75f: 5857.*
+ 2f760: 0f21.*
+ 2f761: 6fe4.*
+ 2f762: 5858.*
+ 2f763: 0c22.*
+ 2f764: 6fe4.*
+ 2f765: 5859.*
+ 2f766: 0d22.*
+ 2f767: 6fe4.*
+ 2f768: 585a.*
+ 2f769: 0c22.*
+ 2f76a: 6fe4.*
+ 2f76b: 585b.*
+ 2f76c: 0e22.*
+ 2f76d: 6fe4.*
+ 2f76e: 585c.*
+ 2f76f: 0f22.*
+ 2f770: 6fe4.*
+ 2f771: 585d.*
+ 2f772: 0f22.*
+ 2f773: 6fe4.*
+ 2f774: 585e.*
+ 2f775: 0c23.*
+ 2f776: 6fe4.*
+ 2f777: 585f.*
+ 2f778: 0d23.*
+ 2f779: 6fe4.*
+ 2f77a: 5860.*
+ 2f77b: 0c23.*
+ 2f77c: 6fe4.*
+ 2f77d: 5861.*
+ 2f77e: 0e23.*
+ 2f77f: 6fe4.*
+ 2f780: 5862.*
+ 2f781: 0f23.*
+ 2f782: 6fe4.*
+ 2f783: 5863.*
+ 2f784: 0f23.*
+ 2f785: 6fe4.*
+ 2f786: 5864.*
+ 2f787: 0c24.*
+ 2f788: 6fe4.*
+ 2f789: 5865.*
+ 2f78a: 0d24.*
+ 2f78b: 6fe4.*
+ 2f78c: 5866.*
+ 2f78d: 0c24.*
+ 2f78e: 6fe4.*
+ 2f78f: 5867.*
+ 2f790: 0e24.*
+ 2f791: 6fe4.*
+ 2f792: 5868.*
+ 2f793: 0f24.*
+ 2f794: 6fe4.*
+ 2f795: 5869.*
+ 2f796: 0f24.*
+ 2f797: 6fe4.*
+ 2f798: 586a.*
+ 2f799: 0c25.*
+ 2f79a: 6fe4.*
+ 2f79b: 586b.*
+ 2f79c: 0d25.*
+ 2f79d: 6fe4.*
+ 2f79e: 586c.*
+ 2f79f: 0c25.*
+ 2f7a0: 6fe4.*
+ 2f7a1: 586d.*
+ 2f7a2: 0e25.*
+ 2f7a3: 6fe4.*
+ 2f7a4: 586e.*
+ 2f7a5: 0f25.*
+ 2f7a6: 6fe4.*
+ 2f7a7: 586f.*
+ 2f7a8: 0f25.*
+ 2f7a9: 6fe4.*
+ 2f7aa: 5870.*
+ 2f7ab: 0c26.*
+ 2f7ac: 6fe4.*
+ 2f7ad: 5871.*
+ 2f7ae: 0d26.*
+ 2f7af: 6fe4.*
+ 2f7b0: 5872.*
+ 2f7b1: 0c26.*
+ 2f7b2: 6fe4.*
+ 2f7b3: 5873.*
+ 2f7b4: 0e26.*
+ 2f7b5: 6fe4.*
+ 2f7b6: 5874.*
+ 2f7b7: 0f26.*
+ 2f7b8: 6fe4.*
+ 2f7b9: 5875.*
+ 2f7ba: 0f26.*
+ 2f7bb: 6fe4.*
+ 2f7bc: 5876.*
+ 2f7bd: 0c27.*
+ 2f7be: 6fe4.*
+ 2f7bf: 5877.*
+ 2f7c0: 0d27.*
+ 2f7c1: 6fe4.*
+ 2f7c2: 5878.*
+ 2f7c3: 0c27.*
+ 2f7c4: 6fe4.*
+ 2f7c5: 5879.*
+ 2f7c6: 0e27.*
+ 2f7c7: 6fe4.*
+ 2f7c8: 587a.*
+ 2f7c9: 0f27.*
+ 2f7ca: 6fe4.*
+ 2f7cb: 587b.*
+ 2f7cc: 0f27.*
+ 2f7cd: 6fe4.*
+ 2f7ce: 587c.*
+ 2f7cf: 0c28.*
+ 2f7d0: 6fe4.*
+ 2f7d1: 587d.*
+ 2f7d2: 0d28.*
+ 2f7d3: 6fe4.*
+ 2f7d4: 587e.*
+ 2f7d5: 0c28.*
+ 2f7d6: 6fe4.*
+ 2f7d7: 587f.*
+ 2f7d8: 0e28.*
+ 2f7d9: 6fe4.*
+ 2f7da: 5880.*
+ 2f7db: 0f28.*
+ 2f7dc: 6fe4.*
+ 2f7dd: 5881.*
+ 2f7de: 0f28.*
+ 2f7df: 6fe4.*
+ 2f7e0: 5882.*
+ 2f7e1: 0c29.*
+ 2f7e2: 6fe4.*
+ 2f7e3: 5883.*
+ 2f7e4: 0d29.*
+ 2f7e5: 6fe4.*
+ 2f7e6: 5884.*
+ 2f7e7: 0c29.*
+ 2f7e8: 6fe4.*
+ 2f7e9: 5885.*
+ 2f7ea: 0e29.*
+ 2f7eb: 6fe4.*
+ 2f7ec: 5886.*
+ 2f7ed: 0f29.*
+ 2f7ee: 6fe4.*
+ 2f7ef: 5887.*
+ 2f7f0: 0f29.*
+ 2f7f1: 6fe4.*
+ 2f7f2: 5888.*
+ 2f7f3: 0c2a.*
+ 2f7f4: 6fe4.*
+ 2f7f5: 5889.*
+ 2f7f6: 0d2a.*
+ 2f7f7: 6fe4.*
+ 2f7f8: 588a.*
+ 2f7f9: 0c2a.*
+ 2f7fa: 6fe4.*
+ 2f7fb: 588b.*
+ 2f7fc: 0e2a.*
+ 2f7fd: 6fe4.*
+ 2f7fe: 588c.*
+ 2f7ff: 0f2a.*
+ 2f800: 6fe4.*
+ 2f801: 588d.*
+ 2f802: 0f2a.*
+ 2f803: 6fe4.*
+ 2f804: 588e.*
+ 2f805: 0c2b.*
+ 2f806: 6fe4.*
+ 2f807: 588f.*
+ 2f808: 0d2b.*
+ 2f809: 6fe4.*
+ 2f80a: 5890.*
+ 2f80b: 0c2b.*
+ 2f80c: 6fe4.*
+ 2f80d: 5891.*
+ 2f80e: 0e2b.*
+ 2f80f: 6fe4.*
+ 2f810: 5892.*
+ 2f811: 0f2b.*
+ 2f812: 6fe4.*
+ 2f813: 5893.*
+ 2f814: 0f2b.*
+ 2f815: 6fe4.*
+ 2f816: 5894.*
+ 2f817: 0c2c.*
+ 2f818: 6fe4.*
+ 2f819: 5895.*
+ 2f81a: 0d2c.*
+ 2f81b: 6fe4.*
+ 2f81c: 5896.*
+ 2f81d: 0c2c.*
+ 2f81e: 6fe4.*
+ 2f81f: 5897.*
+ 2f820: 0e2c.*
+ 2f821: 6fe4.*
+ 2f822: 5898.*
+ 2f823: 0f2c.*
+ 2f824: 6fe4.*
+ 2f825: 5899.*
+ 2f826: 0f2c.*
+ 2f827: 6fe4.*
+ 2f828: 589a.*
+ 2f829: 0c2d.*
+ 2f82a: 6fe4.*
+ 2f82b: 589b.*
+ 2f82c: 0d2d.*
+ 2f82d: 6fe4.*
+ 2f82e: 589c.*
+ 2f82f: 0c2d.*
+ 2f830: 6fe4.*
+ 2f831: 589d.*
+ 2f832: 0e2d.*
+ 2f833: 6fe4.*
+ 2f834: 589e.*
+ 2f835: 0f2d.*
+ 2f836: 6fe4.*
+ 2f837: 589f.*
+ 2f838: 0f2d.*
+ 2f839: 6fe4.*
+ 2f83a: 58a0.*
+ 2f83b: 0c2e.*
+ 2f83c: 6fe4.*
+ 2f83d: 58a1.*
+ 2f83e: 0d2e.*
+ 2f83f: 6fe4.*
+ 2f840: 58a2.*
+ 2f841: 0c2e.*
+ 2f842: 6fe4.*
+ 2f843: 58a3.*
+ 2f844: 0e2e.*
+ 2f845: 6fe4.*
+ 2f846: 58a4.*
+ 2f847: 0f2e.*
+ 2f848: 6fe4.*
+ 2f849: 58a5.*
+ 2f84a: 0f2e.*
+ 2f84b: 6fe4.*
+ 2f84c: 58a6.*
+ 2f84d: 0c2f.*
+ 2f84e: 6fe4.*
+ 2f84f: 58a7.*
+ 2f850: 0d2f.*
+ 2f851: 6fe4.*
+ 2f852: 58a8.*
+ 2f853: 0c2f.*
+ 2f854: 6fe4.*
+ 2f855: 58a9.*
+ 2f856: 0e2f.*
+ 2f857: 6fe4.*
+ 2f858: 58aa.*
+ 2f859: 0f2f.*
+ 2f85a: 6fe4.*
+ 2f85b: 58ab.*
+ 2f85c: 0f2f.*
+ 2f85d: 08ec.*
+ 2f85e: 58ac.*
+ 2f85f: 6fec.*
+ 2f860: 58ad.*
+ 2f861: 0d20.*
+ 2f862: 08ec.*
+ 2f863: 58ae.*
+ 2f864: 6fec.*
+ 2f865: 58af.*
+ 2f866: 0e20.*
+ 2f867: 09ec.*
+ 2f868: 58b0.*
+ 2f869: 09ec.*
+ 2f86a: 58b1.*
+ 2f86b: 6fec.*
+ 2f86c: 58b2.*
+ 2f86d: 0c30.*
+ 2f86e: 6fec.*
+ 2f86f: 58b3.*
+ 2f870: 0d30.*
+ 2f871: 6fec.*
+ 2f872: 58b4.*
+ 2f873: 0c30.*
+ 2f874: 6fec.*
+ 2f875: 58b5.*
+ 2f876: 0e30.*
+ 2f877: 6fec.*
+ 2f878: 58b6.*
+ 2f879: 0f30.*
+ 2f87a: 6fec.*
+ 2f87b: 58b7.*
+ 2f87c: 0f30.*
+ 2f87d: 6fec.*
+ 2f87e: 58b8.*
+ 2f87f: 0c31.*
+ 2f880: 6fec.*
+ 2f881: 58b9.*
+ 2f882: 0d31.*
+ 2f883: 6fec.*
+ 2f884: 58ba.*
+ 2f885: 0c31.*
+ 2f886: 6fec.*
+ 2f887: 58bb.*
+ 2f888: 0e31.*
+ 2f889: 6fec.*
+ 2f88a: 58bc.*
+ 2f88b: 0f31.*
+ 2f88c: 6fec.*
+ 2f88d: 58bd.*
+ 2f88e: 0f31.*
+ 2f88f: 6fec.*
+ 2f890: 58be.*
+ 2f891: 0c32.*
+ 2f892: 6fec.*
+ 2f893: 58bf.*
+ 2f894: 0d32.*
+ 2f895: 6fec.*
+ 2f896: 58c0.*
+ 2f897: 0c32.*
+ 2f898: 6fec.*
+ 2f899: 58c1.*
+ 2f89a: 0e32.*
+ 2f89b: 6fec.*
+ 2f89c: 58c2.*
+ 2f89d: 0f32.*
+ 2f89e: 6fec.*
+ 2f89f: 58c3.*
+ 2f8a0: 0f32.*
+ 2f8a1: 6fec.*
+ 2f8a2: 58c4.*
+ 2f8a3: 0c33.*
+ 2f8a4: 6fec.*
+ 2f8a5: 58c5.*
+ 2f8a6: 0d33.*
+ 2f8a7: 6fec.*
+ 2f8a8: 58c6.*
+ 2f8a9: 0c33.*
+ 2f8aa: 6fec.*
+ 2f8ab: 58c7.*
+ 2f8ac: 0e33.*
+ 2f8ad: 6fec.*
+ 2f8ae: 58c8.*
+ 2f8af: 0f33.*
+ 2f8b0: 6fec.*
+ 2f8b1: 58c9.*
+ 2f8b2: 0f33.*
+ 2f8b3: 6fec.*
+ 2f8b4: 58ca.*
+ 2f8b5: 0c34.*
+ 2f8b6: 6fec.*
+ 2f8b7: 58cb.*
+ 2f8b8: 0d34.*
+ 2f8b9: 6fec.*
+ 2f8ba: 58cc.*
+ 2f8bb: 0c34.*
+ 2f8bc: 6fec.*
+ 2f8bd: 58cd.*
+ 2f8be: 0e34.*
+ 2f8bf: 6fec.*
+ 2f8c0: 58ce.*
+ 2f8c1: 0f34.*
+ 2f8c2: 6fec.*
+ 2f8c3: 58cf.*
+ 2f8c4: 0f34.*
+ 2f8c5: 6fec.*
+ 2f8c6: 58d0.*
+ 2f8c7: 0c35.*
+ 2f8c8: 6fec.*
+ 2f8c9: 58d1.*
+ 2f8ca: 0d35.*
+ 2f8cb: 6fec.*
+ 2f8cc: 58d2.*
+ 2f8cd: 0c35.*
+ 2f8ce: 6fec.*
+ 2f8cf: 58d3.*
+ 2f8d0: 0e35.*
+ 2f8d1: 6fec.*
+ 2f8d2: 58d4.*
+ 2f8d3: 0f35.*
+ 2f8d4: 6fec.*
+ 2f8d5: 58d5.*
+ 2f8d6: 0f35.*
+ 2f8d7: 6fec.*
+ 2f8d8: 58d6.*
+ 2f8d9: 0c36.*
+ 2f8da: 6fec.*
+ 2f8db: 58d7.*
+ 2f8dc: 0d36.*
+ 2f8dd: 6fec.*
+ 2f8de: 58d8.*
+ 2f8df: 0c36.*
+ 2f8e0: 6fec.*
+ 2f8e1: 58d9.*
+ 2f8e2: 0e36.*
+ 2f8e3: 6fec.*
+ 2f8e4: 58da.*
+ 2f8e5: 0f36.*
+ 2f8e6: 6fec.*
+ 2f8e7: 58db.*
+ 2f8e8: 0f36.*
+ 2f8e9: 6fec.*
+ 2f8ea: 58dc.*
+ 2f8eb: 0c37.*
+ 2f8ec: 6fec.*
+ 2f8ed: 58dd.*
+ 2f8ee: 0d37.*
+ 2f8ef: 6fec.*
+ 2f8f0: 58de.*
+ 2f8f1: 0c37.*
+ 2f8f2: 6fec.*
+ 2f8f3: 58df.*
+ 2f8f4: 0e37.*
+ 2f8f5: 6fec.*
+ 2f8f6: 58e0.*
+ 2f8f7: 0f37.*
+ 2f8f8: 6fec.*
+ 2f8f9: 58e1.*
+ 2f8fa: 0f37.*
+ 2f8fb: 6fec.*
+ 2f8fc: 58e2.*
+ 2f8fd: 0c38.*
+ 2f8fe: 6fec.*
+ 2f8ff: 58e3.*
+ 2f900: 0d38.*
+ 2f901: 6fec.*
+ 2f902: 58e4.*
+ 2f903: 0c38.*
+ 2f904: 6fec.*
+ 2f905: 58e5.*
+ 2f906: 0e38.*
+ 2f907: 6fec.*
+ 2f908: 58e6.*
+ 2f909: 0f38.*
+ 2f90a: 6fec.*
+ 2f90b: 58e7.*
+ 2f90c: 0f38.*
+ 2f90d: 6fec.*
+ 2f90e: 58e8.*
+ 2f90f: 0c39.*
+ 2f910: 6fec.*
+ 2f911: 58e9.*
+ 2f912: 0d39.*
+ 2f913: 6fec.*
+ 2f914: 58ea.*
+ 2f915: 0c39.*
+ 2f916: 6fec.*
+ 2f917: 58eb.*
+ 2f918: 0e39.*
+ 2f919: 6fec.*
+ 2f91a: 58ec.*
+ 2f91b: 0f39.*
+ 2f91c: 6fec.*
+ 2f91d: 58ed.*
+ 2f91e: 0f39.*
+ 2f91f: 6fec.*
+ 2f920: 58ee.*
+ 2f921: 0c3a.*
+ 2f922: 6fec.*
+ 2f923: 58ef.*
+ 2f924: 0d3a.*
+ 2f925: 6fec.*
+ 2f926: 58f0.*
+ 2f927: 0c3a.*
+ 2f928: 6fec.*
+ 2f929: 58f1.*
+ 2f92a: 0e3a.*
+ 2f92b: 6fec.*
+ 2f92c: 58f2.*
+ 2f92d: 0f3a.*
+ 2f92e: 6fec.*
+ 2f92f: 58f3.*
+ 2f930: 0f3a.*
+ 2f931: 6fec.*
+ 2f932: 58f4.*
+ 2f933: 0c3b.*
+ 2f934: 6fec.*
+ 2f935: 58f5.*
+ 2f936: 0d3b.*
+ 2f937: 6fec.*
+ 2f938: 58f6.*
+ 2f939: 0c3b.*
+ 2f93a: 6fec.*
+ 2f93b: 58f7.*
+ 2f93c: 0e3b.*
+ 2f93d: 6fec.*
+ 2f93e: 58f8.*
+ 2f93f: 0f3b.*
+ 2f940: 6fec.*
+ 2f941: 58f9.*
+ 2f942: 0f3b.*
+ 2f943: 6fec.*
+ 2f944: 58fa.*
+ 2f945: 0c3c.*
+ 2f946: 6fec.*
+ 2f947: 58fb.*
+ 2f948: 0d3c.*
+ 2f949: 6fec.*
+ 2f94a: 58fc.*
+ 2f94b: 0c3c.*
+ 2f94c: 6fec.*
+ 2f94d: 58fd.*
+ 2f94e: 0e3c.*
+ 2f94f: 6fec.*
+ 2f950: 58fe.*
+ 2f951: 0f3c.*
+ 2f952: 6fec.*
+ 2f953: 58ff.*
+ 2f954: 0f3c.*
+ 2f955: 6fec.*
+ 2f956: 5900.*
+ 2f957: 0c3d.*
+ 2f958: 6fec.*
+ 2f959: 5901.*
+ 2f95a: 0d3d.*
+ 2f95b: 6fec.*
+ 2f95c: 5902.*
+ 2f95d: 0c3d.*
+ 2f95e: 6fec.*
+ 2f95f: 5903.*
+ 2f960: 0e3d.*
+ 2f961: 6fec.*
+ 2f962: 5904.*
+ 2f963: 0f3d.*
+ 2f964: 6fec.*
+ 2f965: 5905.*
+ 2f966: 0f3d.*
+ 2f967: 6fec.*
+ 2f968: 5906.*
+ 2f969: 0c3e.*
+ 2f96a: 6fec.*
+ 2f96b: 5907.*
+ 2f96c: 0d3e.*
+ 2f96d: 6fec.*
+ 2f96e: 5908.*
+ 2f96f: 0c3e.*
+ 2f970: 6fec.*
+ 2f971: 5909.*
+ 2f972: 0e3e.*
+ 2f973: 6fec.*
+ 2f974: 590a.*
+ 2f975: 0f3e.*
+ 2f976: 6fec.*
+ 2f977: 590b.*
+ 2f978: 0f3e.*
+ 2f979: 6fec.*
+ 2f97a: 590c.*
+ 2f97b: 0c3f.*
+ 2f97c: 6fec.*
+ 2f97d: 590d.*
+ 2f97e: 0d3f.*
+ 2f97f: 6fec.*
+ 2f980: 590e.*
+ 2f981: 0c3f.*
+ 2f982: 6fec.*
+ 2f983: 590f.*
+ 2f984: 0e3f.*
+ 2f985: 6fec.*
+ 2f986: 5910.*
+ 2f987: 0f3f.*
+ 2f988: 6fec.*
+ 2f989: 5911.*
+ 2f98a: 0f3f.*
+ 2f98b: 08ec.*
+ 2f98c: 5912.*
+ 2f98d: 6fec.*
+ 2f98e: 5913.*
+ 2f98f: 0d20.*
+ 2f990: 08ec.*
+ 2f991: 5914.*
+ 2f992: 6fec.*
+ 2f993: 5915.*
+ 2f994: 0e20.*
+ 2f995: 09ec.*
+ 2f996: 5916.*
+ 2f997: 09ec.*
+ 2f998: 5917.*
+ 2f999: 6fec.*
+ 2f99a: 5918.*
+ 2f99b: 0c21.*
+ 2f99c: 6fec.*
+ 2f99d: 5919.*
+ 2f99e: 0d21.*
+ 2f99f: 6fec.*
+ 2f9a0: 591a.*
+ 2f9a1: 0c21.*
+ 2f9a2: 6fec.*
+ 2f9a3: 591b.*
+ 2f9a4: 0e21.*
+ 2f9a5: 6fec.*
+ 2f9a6: 591c.*
+ 2f9a7: 0f21.*
+ 2f9a8: 6fec.*
+ 2f9a9: 591d.*
+ 2f9aa: 0f21.*
+ 2f9ab: 6fec.*
+ 2f9ac: 591e.*
+ 2f9ad: 0c22.*
+ 2f9ae: 6fec.*
+ 2f9af: 591f.*
+ 2f9b0: 0d22.*
+ 2f9b1: 6fec.*
+ 2f9b2: 5920.*
+ 2f9b3: 0c22.*
+ 2f9b4: 6fec.*
+ 2f9b5: 5921.*
+ 2f9b6: 0e22.*
+ 2f9b7: 6fec.*
+ 2f9b8: 5922.*
+ 2f9b9: 0f22.*
+ 2f9ba: 6fec.*
+ 2f9bb: 5923.*
+ 2f9bc: 0f22.*
+ 2f9bd: 6fec.*
+ 2f9be: 5924.*
+ 2f9bf: 0c23.*
+ 2f9c0: 6fec.*
+ 2f9c1: 5925.*
+ 2f9c2: 0d23.*
+ 2f9c3: 6fec.*
+ 2f9c4: 5926.*
+ 2f9c5: 0c23.*
+ 2f9c6: 6fec.*
+ 2f9c7: 5927.*
+ 2f9c8: 0e23.*
+ 2f9c9: 6fec.*
+ 2f9ca: 5928.*
+ 2f9cb: 0f23.*
+ 2f9cc: 6fec.*
+ 2f9cd: 5929.*
+ 2f9ce: 0f23.*
+ 2f9cf: 6fec.*
+ 2f9d0: 592a.*
+ 2f9d1: 0c24.*
+ 2f9d2: 6fec.*
+ 2f9d3: 592b.*
+ 2f9d4: 0d24.*
+ 2f9d5: 6fec.*
+ 2f9d6: 592c.*
+ 2f9d7: 0c24.*
+ 2f9d8: 6fec.*
+ 2f9d9: 592d.*
+ 2f9da: 0e24.*
+ 2f9db: 6fec.*
+ 2f9dc: 592e.*
+ 2f9dd: 0f24.*
+ 2f9de: 6fec.*
+ 2f9df: 592f.*
+ 2f9e0: 0f24.*
+ 2f9e1: 6fec.*
+ 2f9e2: 5930.*
+ 2f9e3: 0c25.*
+ 2f9e4: 6fec.*
+ 2f9e5: 5931.*
+ 2f9e6: 0d25.*
+ 2f9e7: 6fec.*
+ 2f9e8: 5932.*
+ 2f9e9: 0c25.*
+ 2f9ea: 6fec.*
+ 2f9eb: 5933.*
+ 2f9ec: 0e25.*
+ 2f9ed: 6fec.*
+ 2f9ee: 5934.*
+ 2f9ef: 0f25.*
+ 2f9f0: 6fec.*
+ 2f9f1: 5935.*
+ 2f9f2: 0f25.*
+ 2f9f3: 6fec.*
+ 2f9f4: 5936.*
+ 2f9f5: 0c26.*
+ 2f9f6: 6fec.*
+ 2f9f7: 5937.*
+ 2f9f8: 0d26.*
+ 2f9f9: 6fec.*
+ 2f9fa: 5938.*
+ 2f9fb: 0c26.*
+ 2f9fc: 6fec.*
+ 2f9fd: 5939.*
+ 2f9fe: 0e26.*
+ 2f9ff: 6fec.*
+ 2fa00: 593a.*
+ 2fa01: 0f26.*
+ 2fa02: 6fec.*
+ 2fa03: 593b.*
+ 2fa04: 0f26.*
+ 2fa05: 6fec.*
+ 2fa06: 593c.*
+ 2fa07: 0c27.*
+ 2fa08: 6fec.*
+ 2fa09: 593d.*
+ 2fa0a: 0d27.*
+ 2fa0b: 6fec.*
+ 2fa0c: 593e.*
+ 2fa0d: 0c27.*
+ 2fa0e: 6fec.*
+ 2fa0f: 593f.*
+ 2fa10: 0e27.*
+ 2fa11: 6fec.*
+ 2fa12: 5940.*
+ 2fa13: 0f27.*
+ 2fa14: 6fec.*
+ 2fa15: 5941.*
+ 2fa16: 0f27.*
+ 2fa17: 6fec.*
+ 2fa18: 5942.*
+ 2fa19: 0c28.*
+ 2fa1a: 6fec.*
+ 2fa1b: 5943.*
+ 2fa1c: 0d28.*
+ 2fa1d: 6fec.*
+ 2fa1e: 5944.*
+ 2fa1f: 0c28.*
+ 2fa20: 6fec.*
+ 2fa21: 5945.*
+ 2fa22: 0e28.*
+ 2fa23: 6fec.*
+ 2fa24: 5946.*
+ 2fa25: 0f28.*
+ 2fa26: 6fec.*
+ 2fa27: 5947.*
+ 2fa28: 0f28.*
+ 2fa29: 6fec.*
+ 2fa2a: 5948.*
+ 2fa2b: 0c29.*
+ 2fa2c: 6fec.*
+ 2fa2d: 5949.*
+ 2fa2e: 0d29.*
+ 2fa2f: 6fec.*
+ 2fa30: 594a.*
+ 2fa31: 0c29.*
+ 2fa32: 6fec.*
+ 2fa33: 594b.*
+ 2fa34: 0e29.*
+ 2fa35: 6fec.*
+ 2fa36: 594c.*
+ 2fa37: 0f29.*
+ 2fa38: 6fec.*
+ 2fa39: 594d.*
+ 2fa3a: 0f29.*
+ 2fa3b: 6fec.*
+ 2fa3c: 594e.*
+ 2fa3d: 0c2a.*
+ 2fa3e: 6fec.*
+ 2fa3f: 594f.*
+ 2fa40: 0d2a.*
+ 2fa41: 6fec.*
+ 2fa42: 5950.*
+ 2fa43: 0c2a.*
+ 2fa44: 6fec.*
+ 2fa45: 5951.*
+ 2fa46: 0e2a.*
+ 2fa47: 6fec.*
+ 2fa48: 5952.*
+ 2fa49: 0f2a.*
+ 2fa4a: 6fec.*
+ 2fa4b: 5953.*
+ 2fa4c: 0f2a.*
+ 2fa4d: 6fec.*
+ 2fa4e: 5954.*
+ 2fa4f: 0c2b.*
+ 2fa50: 6fec.*
+ 2fa51: 5955.*
+ 2fa52: 0d2b.*
+ 2fa53: 6fec.*
+ 2fa54: 5956.*
+ 2fa55: 0c2b.*
+ 2fa56: 6fec.*
+ 2fa57: 5957.*
+ 2fa58: 0e2b.*
+ 2fa59: 6fec.*
+ 2fa5a: 5958.*
+ 2fa5b: 0f2b.*
+ 2fa5c: 6fec.*
+ 2fa5d: 5959.*
+ 2fa5e: 0f2b.*
+ 2fa5f: 6fec.*
+ 2fa60: 595a.*
+ 2fa61: 0c2c.*
+ 2fa62: 6fec.*
+ 2fa63: 595b.*
+ 2fa64: 0d2c.*
+ 2fa65: 6fec.*
+ 2fa66: 595c.*
+ 2fa67: 0c2c.*
+ 2fa68: 6fec.*
+ 2fa69: 595d.*
+ 2fa6a: 0e2c.*
+ 2fa6b: 6fec.*
+ 2fa6c: 595e.*
+ 2fa6d: 0f2c.*
+ 2fa6e: 6fec.*
+ 2fa6f: 595f.*
+ 2fa70: 0f2c.*
+ 2fa71: 6fec.*
+ 2fa72: 5960.*
+ 2fa73: 0c2d.*
+ 2fa74: 6fec.*
+ 2fa75: 5961.*
+ 2fa76: 0d2d.*
+ 2fa77: 6fec.*
+ 2fa78: 5962.*
+ 2fa79: 0c2d.*
+ 2fa7a: 6fec.*
+ 2fa7b: 5963.*
+ 2fa7c: 0e2d.*
+ 2fa7d: 6fec.*
+ 2fa7e: 5964.*
+ 2fa7f: 0f2d.*
+ 2fa80: 6fec.*
+ 2fa81: 5965.*
+ 2fa82: 0f2d.*
+ 2fa83: 6fec.*
+ 2fa84: 5966.*
+ 2fa85: 0c2e.*
+ 2fa86: 6fec.*
+ 2fa87: 5967.*
+ 2fa88: 0d2e.*
+ 2fa89: 6fec.*
+ 2fa8a: 5968.*
+ 2fa8b: 0c2e.*
+ 2fa8c: 6fec.*
+ 2fa8d: 5969.*
+ 2fa8e: 0e2e.*
+ 2fa8f: 6fec.*
+ 2fa90: 596a.*
+ 2fa91: 0f2e.*
+ 2fa92: 6fec.*
+ 2fa93: 596b.*
+ 2fa94: 0f2e.*
+ 2fa95: 6fec.*
+ 2fa96: 596c.*
+ 2fa97: 0c2f.*
+ 2fa98: 6fec.*
+ 2fa99: 596d.*
+ 2fa9a: 0d2f.*
+ 2fa9b: 6fec.*
+ 2fa9c: 596e.*
+ 2fa9d: 0c2f.*
+ 2fa9e: 6fec.*
+ 2fa9f: 596f.*
+ 2faa0: 0e2f.*
+ 2faa1: 6fec.*
+ 2faa2: 5970.*
+ 2faa3: 0f2f.*
+ 2faa4: 6fec.*
+ 2faa5: 5971.*
+ 2faa6: 0f2f.*
+ 2faa7: 08f4.*
+ 2faa8: 5972.*
+ 2faa9: 6ff4.*
+ 2faaa: 5973.*
+ 2faab: 0d20.*
+ 2faac: 08f4.*
+ 2faad: 5974.*
+ 2faae: 6ff4.*
+ 2faaf: 5975.*
+ 2fab0: 0e20.*
+ 2fab1: 09f4.*
+ 2fab2: 5976.*
+ 2fab3: 09f4.*
+ 2fab4: 5977.*
+ 2fab5: 6ff4.*
+ 2fab6: 5978.*
+ 2fab7: 0c30.*
+ 2fab8: 6ff4.*
+ 2fab9: 5979.*
+ 2faba: 0d30.*
+ 2fabb: 6ff4.*
+ 2fabc: 597a.*
+ 2fabd: 0c30.*
+ 2fabe: 6ff4.*
+ 2fabf: 597b.*
+ 2fac0: 0e30.*
+ 2fac1: 6ff4.*
+ 2fac2: 597c.*
+ 2fac3: 0f30.*
+ 2fac4: 6ff4.*
+ 2fac5: 597d.*
+ 2fac6: 0f30.*
+ 2fac7: 6ff4.*
+ 2fac8: 597e.*
+ 2fac9: 0c31.*
+ 2faca: 6ff4.*
+ 2facb: 597f.*
+ 2facc: 0d31.*
+ 2facd: 6ff4.*
+ 2face: 5980.*
+ 2facf: 0c31.*
+ 2fad0: 6ff4.*
+ 2fad1: 5981.*
+ 2fad2: 0e31.*
+ 2fad3: 6ff4.*
+ 2fad4: 5982.*
+ 2fad5: 0f31.*
+ 2fad6: 6ff4.*
+ 2fad7: 5983.*
+ 2fad8: 0f31.*
+ 2fad9: 6ff4.*
+ 2fada: 5984.*
+ 2fadb: 0c32.*
+ 2fadc: 6ff4.*
+ 2fadd: 5985.*
+ 2fade: 0d32.*
+ 2fadf: 6ff4.*
+ 2fae0: 5986.*
+ 2fae1: 0c32.*
+ 2fae2: 6ff4.*
+ 2fae3: 5987.*
+ 2fae4: 0e32.*
+ 2fae5: 6ff4.*
+ 2fae6: 5988.*
+ 2fae7: 0f32.*
+ 2fae8: 6ff4.*
+ 2fae9: 5989.*
+ 2faea: 0f32.*
+ 2faeb: 6ff4.*
+ 2faec: 598a.*
+ 2faed: 0c33.*
+ 2faee: 6ff4.*
+ 2faef: 598b.*
+ 2faf0: 0d33.*
+ 2faf1: 6ff4.*
+ 2faf2: 598c.*
+ 2faf3: 0c33.*
+ 2faf4: 6ff4.*
+ 2faf5: 598d.*
+ 2faf6: 0e33.*
+ 2faf7: 6ff4.*
+ 2faf8: 598e.*
+ 2faf9: 0f33.*
+ 2fafa: 6ff4.*
+ 2fafb: 598f.*
+ 2fafc: 0f33.*
+ 2fafd: 6ff4.*
+ 2fafe: 5990.*
+ 2faff: 0c34.*
+ 2fb00: 6ff4.*
+ 2fb01: 5991.*
+ 2fb02: 0d34.*
+ 2fb03: 6ff4.*
+ 2fb04: 5992.*
+ 2fb05: 0c34.*
+ 2fb06: 6ff4.*
+ 2fb07: 5993.*
+ 2fb08: 0e34.*
+ 2fb09: 6ff4.*
+ 2fb0a: 5994.*
+ 2fb0b: 0f34.*
+ 2fb0c: 6ff4.*
+ 2fb0d: 5995.*
+ 2fb0e: 0f34.*
+ 2fb0f: 6ff4.*
+ 2fb10: 5996.*
+ 2fb11: 0c35.*
+ 2fb12: 6ff4.*
+ 2fb13: 5997.*
+ 2fb14: 0d35.*
+ 2fb15: 6ff4.*
+ 2fb16: 5998.*
+ 2fb17: 0c35.*
+ 2fb18: 6ff4.*
+ 2fb19: 5999.*
+ 2fb1a: 0e35.*
+ 2fb1b: 6ff4.*
+ 2fb1c: 599a.*
+ 2fb1d: 0f35.*
+ 2fb1e: 6ff4.*
+ 2fb1f: 599b.*
+ 2fb20: 0f35.*
+ 2fb21: 6ff4.*
+ 2fb22: 599c.*
+ 2fb23: 0c36.*
+ 2fb24: 6ff4.*
+ 2fb25: 599d.*
+ 2fb26: 0d36.*
+ 2fb27: 6ff4.*
+ 2fb28: 599e.*
+ 2fb29: 0c36.*
+ 2fb2a: 6ff4.*
+ 2fb2b: 599f.*
+ 2fb2c: 0e36.*
+ 2fb2d: 6ff4.*
+ 2fb2e: 59a0.*
+ 2fb2f: 0f36.*
+ 2fb30: 6ff4.*
+ 2fb31: 59a1.*
+ 2fb32: 0f36.*
+ 2fb33: 6ff4.*
+ 2fb34: 59a2.*
+ 2fb35: 0c37.*
+ 2fb36: 6ff4.*
+ 2fb37: 59a3.*
+ 2fb38: 0d37.*
+ 2fb39: 6ff4.*
+ 2fb3a: 59a4.*
+ 2fb3b: 0c37.*
+ 2fb3c: 6ff4.*
+ 2fb3d: 59a5.*
+ 2fb3e: 0e37.*
+ 2fb3f: 6ff4.*
+ 2fb40: 59a6.*
+ 2fb41: 0f37.*
+ 2fb42: 6ff4.*
+ 2fb43: 59a7.*
+ 2fb44: 0f37.*
+ 2fb45: 6ff4.*
+ 2fb46: 59a8.*
+ 2fb47: 0c38.*
+ 2fb48: 6ff4.*
+ 2fb49: 59a9.*
+ 2fb4a: 0d38.*
+ 2fb4b: 6ff4.*
+ 2fb4c: 59aa.*
+ 2fb4d: 0c38.*
+ 2fb4e: 6ff4.*
+ 2fb4f: 59ab.*
+ 2fb50: 0e38.*
+ 2fb51: 6ff4.*
+ 2fb52: 59ac.*
+ 2fb53: 0f38.*
+ 2fb54: 6ff4.*
+ 2fb55: 59ad.*
+ 2fb56: 0f38.*
+ 2fb57: 6ff4.*
+ 2fb58: 59ae.*
+ 2fb59: 0c39.*
+ 2fb5a: 6ff4.*
+ 2fb5b: 59af.*
+ 2fb5c: 0d39.*
+ 2fb5d: 6ff4.*
+ 2fb5e: 59b0.*
+ 2fb5f: 0c39.*
+ 2fb60: 6ff4.*
+ 2fb61: 59b1.*
+ 2fb62: 0e39.*
+ 2fb63: 6ff4.*
+ 2fb64: 59b2.*
+ 2fb65: 0f39.*
+ 2fb66: 6ff4.*
+ 2fb67: 59b3.*
+ 2fb68: 0f39.*
+ 2fb69: 6ff4.*
+ 2fb6a: 59b4.*
+ 2fb6b: 0c3a.*
+ 2fb6c: 6ff4.*
+ 2fb6d: 59b5.*
+ 2fb6e: 0d3a.*
+ 2fb6f: 6ff4.*
+ 2fb70: 59b6.*
+ 2fb71: 0c3a.*
+ 2fb72: 6ff4.*
+ 2fb73: 59b7.*
+ 2fb74: 0e3a.*
+ 2fb75: 6ff4.*
+ 2fb76: 59b8.*
+ 2fb77: 0f3a.*
+ 2fb78: 6ff4.*
+ 2fb79: 59b9.*
+ 2fb7a: 0f3a.*
+ 2fb7b: 6ff4.*
+ 2fb7c: 59ba.*
+ 2fb7d: 0c3b.*
+ 2fb7e: 6ff4.*
+ 2fb7f: 59bb.*
+ 2fb80: 0d3b.*
+ 2fb81: 6ff4.*
+ 2fb82: 59bc.*
+ 2fb83: 0c3b.*
+ 2fb84: 6ff4.*
+ 2fb85: 59bd.*
+ 2fb86: 0e3b.*
+ 2fb87: 6ff4.*
+ 2fb88: 59be.*
+ 2fb89: 0f3b.*
+ 2fb8a: 6ff4.*
+ 2fb8b: 59bf.*
+ 2fb8c: 0f3b.*
+ 2fb8d: 6ff4.*
+ 2fb8e: 59c0.*
+ 2fb8f: 0c3c.*
+ 2fb90: 6ff4.*
+ 2fb91: 59c1.*
+ 2fb92: 0d3c.*
+ 2fb93: 6ff4.*
+ 2fb94: 59c2.*
+ 2fb95: 0c3c.*
+ 2fb96: 6ff4.*
+ 2fb97: 59c3.*
+ 2fb98: 0e3c.*
+ 2fb99: 6ff4.*
+ 2fb9a: 59c4.*
+ 2fb9b: 0f3c.*
+ 2fb9c: 6ff4.*
+ 2fb9d: 59c5.*
+ 2fb9e: 0f3c.*
+ 2fb9f: 6ff4.*
+ 2fba0: 59c6.*
+ 2fba1: 0c3d.*
+ 2fba2: 6ff4.*
+ 2fba3: 59c7.*
+ 2fba4: 0d3d.*
+ 2fba5: 6ff4.*
+ 2fba6: 59c8.*
+ 2fba7: 0c3d.*
+ 2fba8: 6ff4.*
+ 2fba9: 59c9.*
+ 2fbaa: 0e3d.*
+ 2fbab: 6ff4.*
+ 2fbac: 59ca.*
+ 2fbad: 0f3d.*
+ 2fbae: 6ff4.*
+ 2fbaf: 59cb.*
+ 2fbb0: 0f3d.*
+ 2fbb1: 6ff4.*
+ 2fbb2: 59cc.*
+ 2fbb3: 0c3e.*
+ 2fbb4: 6ff4.*
+ 2fbb5: 59cd.*
+ 2fbb6: 0d3e.*
+ 2fbb7: 6ff4.*
+ 2fbb8: 59ce.*
+ 2fbb9: 0c3e.*
+ 2fbba: 6ff4.*
+ 2fbbb: 59cf.*
+ 2fbbc: 0e3e.*
+ 2fbbd: 6ff4.*
+ 2fbbe: 59d0.*
+ 2fbbf: 0f3e.*
+ 2fbc0: 6ff4.*
+ 2fbc1: 59d1.*
+ 2fbc2: 0f3e.*
+ 2fbc3: 6ff4.*
+ 2fbc4: 59d2.*
+ 2fbc5: 0c3f.*
+ 2fbc6: 6ff4.*
+ 2fbc7: 59d3.*
+ 2fbc8: 0d3f.*
+ 2fbc9: 6ff4.*
+ 2fbca: 59d4.*
+ 2fbcb: 0c3f.*
+ 2fbcc: 6ff4.*
+ 2fbcd: 59d5.*
+ 2fbce: 0e3f.*
+ 2fbcf: 6ff4.*
+ 2fbd0: 59d6.*
+ 2fbd1: 0f3f.*
+ 2fbd2: 6ff4.*
+ 2fbd3: 59d7.*
+ 2fbd4: 0f3f.*
+ 2fbd5: 08f4.*
+ 2fbd6: 59d8.*
+ 2fbd7: 6ff4.*
+ 2fbd8: 59d9.*
+ 2fbd9: 0d20.*
+ 2fbda: 08f4.*
+ 2fbdb: 59da.*
+ 2fbdc: 6ff4.*
+ 2fbdd: 59db.*
+ 2fbde: 0e20.*
+ 2fbdf: 09f4.*
+ 2fbe0: 59dc.*
+ 2fbe1: 09f4.*
+ 2fbe2: 59dd.*
+ 2fbe3: 6ff4.*
+ 2fbe4: 59de.*
+ 2fbe5: 0c21.*
+ 2fbe6: 6ff4.*
+ 2fbe7: 59df.*
+ 2fbe8: 0d21.*
+ 2fbe9: 6ff4.*
+ 2fbea: 59e0.*
+ 2fbeb: 0c21.*
+ 2fbec: 6ff4.*
+ 2fbed: 59e1.*
+ 2fbee: 0e21.*
+ 2fbef: 6ff4.*
+ 2fbf0: 59e2.*
+ 2fbf1: 0f21.*
+ 2fbf2: 6ff4.*
+ 2fbf3: 59e3.*
+ 2fbf4: 0f21.*
+ 2fbf5: 6ff4.*
+ 2fbf6: 59e4.*
+ 2fbf7: 0c22.*
+ 2fbf8: 6ff4.*
+ 2fbf9: 59e5.*
+ 2fbfa: 0d22.*
+ 2fbfb: 6ff4.*
+ 2fbfc: 59e6.*
+ 2fbfd: 0c22.*
+ 2fbfe: 6ff4.*
+ 2fbff: 59e7.*
+ 2fc00: 0e22.*
+ 2fc01: 6ff4.*
+ 2fc02: 59e8.*
+ 2fc03: 0f22.*
+ 2fc04: 6ff4.*
+ 2fc05: 59e9.*
+ 2fc06: 0f22.*
+ 2fc07: 6ff4.*
+ 2fc08: 59ea.*
+ 2fc09: 0c23.*
+ 2fc0a: 6ff4.*
+ 2fc0b: 59eb.*
+ 2fc0c: 0d23.*
+ 2fc0d: 6ff4.*
+ 2fc0e: 59ec.*
+ 2fc0f: 0c23.*
+ 2fc10: 6ff4.*
+ 2fc11: 59ed.*
+ 2fc12: 0e23.*
+ 2fc13: 6ff4.*
+ 2fc14: 59ee.*
+ 2fc15: 0f23.*
+ 2fc16: 6ff4.*
+ 2fc17: 59ef.*
+ 2fc18: 0f23.*
+ 2fc19: 6ff4.*
+ 2fc1a: 59f0.*
+ 2fc1b: 0c24.*
+ 2fc1c: 6ff4.*
+ 2fc1d: 59f1.*
+ 2fc1e: 0d24.*
+ 2fc1f: 6ff4.*
+ 2fc20: 59f2.*
+ 2fc21: 0c24.*
+ 2fc22: 6ff4.*
+ 2fc23: 59f3.*
+ 2fc24: 0e24.*
+ 2fc25: 6ff4.*
+ 2fc26: 59f4.*
+ 2fc27: 0f24.*
+ 2fc28: 6ff4.*
+ 2fc29: 59f5.*
+ 2fc2a: 0f24.*
+ 2fc2b: 6ff4.*
+ 2fc2c: 59f6.*
+ 2fc2d: 0c25.*
+ 2fc2e: 6ff4.*
+ 2fc2f: 59f7.*
+ 2fc30: 0d25.*
+ 2fc31: 6ff4.*
+ 2fc32: 59f8.*
+ 2fc33: 0c25.*
+ 2fc34: 6ff4.*
+ 2fc35: 59f9.*
+ 2fc36: 0e25.*
+ 2fc37: 6ff4.*
+ 2fc38: 59fa.*
+ 2fc39: 0f25.*
+ 2fc3a: 6ff4.*
+ 2fc3b: 59fb.*
+ 2fc3c: 0f25.*
+ 2fc3d: 6ff4.*
+ 2fc3e: 59fc.*
+ 2fc3f: 0c26.*
+ 2fc40: 6ff4.*
+ 2fc41: 59fd.*
+ 2fc42: 0d26.*
+ 2fc43: 6ff4.*
+ 2fc44: 59fe.*
+ 2fc45: 0c26.*
+ 2fc46: 6ff4.*
+ 2fc47: 59ff.*
+ 2fc48: 0e26.*
+ 2fc49: 6ff4.*
+ 2fc4a: 5a00.*
+ 2fc4b: 0f26.*
+ 2fc4c: 6ff4.*
+ 2fc4d: 5a01.*
+ 2fc4e: 0f26.*
+ 2fc4f: 6ff4.*
+ 2fc50: 5a02.*
+ 2fc51: 0c27.*
+ 2fc52: 6ff4.*
+ 2fc53: 5a03.*
+ 2fc54: 0d27.*
+ 2fc55: 6ff4.*
+ 2fc56: 5a04.*
+ 2fc57: 0c27.*
+ 2fc58: 6ff4.*
+ 2fc59: 5a05.*
+ 2fc5a: 0e27.*
+ 2fc5b: 6ff4.*
+ 2fc5c: 5a06.*
+ 2fc5d: 0f27.*
+ 2fc5e: 6ff4.*
+ 2fc5f: 5a07.*
+ 2fc60: 0f27.*
+ 2fc61: 6ff4.*
+ 2fc62: 5a08.*
+ 2fc63: 0c28.*
+ 2fc64: 6ff4.*
+ 2fc65: 5a09.*
+ 2fc66: 0d28.*
+ 2fc67: 6ff4.*
+ 2fc68: 5a0a.*
+ 2fc69: 0c28.*
+ 2fc6a: 6ff4.*
+ 2fc6b: 5a0b.*
+ 2fc6c: 0e28.*
+ 2fc6d: 6ff4.*
+ 2fc6e: 5a0c.*
+ 2fc6f: 0f28.*
+ 2fc70: 6ff4.*
+ 2fc71: 5a0d.*
+ 2fc72: 0f28.*
+ 2fc73: 6ff4.*
+ 2fc74: 5a0e.*
+ 2fc75: 0c29.*
+ 2fc76: 6ff4.*
+ 2fc77: 5a0f.*
+ 2fc78: 0d29.*
+ 2fc79: 6ff4.*
+ 2fc7a: 5a10.*
+ 2fc7b: 0c29.*
+ 2fc7c: 6ff4.*
+ 2fc7d: 5a11.*
+ 2fc7e: 0e29.*
+ 2fc7f: 6ff4.*
+ 2fc80: 5a12.*
+ 2fc81: 0f29.*
+ 2fc82: 6ff4.*
+ 2fc83: 5a13.*
+ 2fc84: 0f29.*
+ 2fc85: 6ff4.*
+ 2fc86: 5a14.*
+ 2fc87: 0c2a.*
+ 2fc88: 6ff4.*
+ 2fc89: 5a15.*
+ 2fc8a: 0d2a.*
+ 2fc8b: 6ff4.*
+ 2fc8c: 5a16.*
+ 2fc8d: 0c2a.*
+ 2fc8e: 6ff4.*
+ 2fc8f: 5a17.*
+ 2fc90: 0e2a.*
+ 2fc91: 6ff4.*
+ 2fc92: 5a18.*
+ 2fc93: 0f2a.*
+ 2fc94: 6ff4.*
+ 2fc95: 5a19.*
+ 2fc96: 0f2a.*
+ 2fc97: 6ff4.*
+ 2fc98: 5a1a.*
+ 2fc99: 0c2b.*
+ 2fc9a: 6ff4.*
+ 2fc9b: 5a1b.*
+ 2fc9c: 0d2b.*
+ 2fc9d: 6ff4.*
+ 2fc9e: 5a1c.*
+ 2fc9f: 0c2b.*
+ 2fca0: 6ff4.*
+ 2fca1: 5a1d.*
+ 2fca2: 0e2b.*
+ 2fca3: 6ff4.*
+ 2fca4: 5a1e.*
+ 2fca5: 0f2b.*
+ 2fca6: 6ff4.*
+ 2fca7: 5a1f.*
+ 2fca8: 0f2b.*
+ 2fca9: 6ff4.*
+ 2fcaa: 5a20.*
+ 2fcab: 0c2c.*
+ 2fcac: 6ff4.*
+ 2fcad: 5a21.*
+ 2fcae: 0d2c.*
+ 2fcaf: 6ff4.*
+ 2fcb0: 5a22.*
+ 2fcb1: 0c2c.*
+ 2fcb2: 6ff4.*
+ 2fcb3: 5a23.*
+ 2fcb4: 0e2c.*
+ 2fcb5: 6ff4.*
+ 2fcb6: 5a24.*
+ 2fcb7: 0f2c.*
+ 2fcb8: 6ff4.*
+ 2fcb9: 5a25.*
+ 2fcba: 0f2c.*
+ 2fcbb: 6ff4.*
+ 2fcbc: 5a26.*
+ 2fcbd: 0c2d.*
+ 2fcbe: 6ff4.*
+ 2fcbf: 5a27.*
+ 2fcc0: 0d2d.*
+ 2fcc1: 6ff4.*
+ 2fcc2: 5a28.*
+ 2fcc3: 0c2d.*
+ 2fcc4: 6ff4.*
+ 2fcc5: 5a29.*
+ 2fcc6: 0e2d.*
+ 2fcc7: 6ff4.*
+ 2fcc8: 5a2a.*
+ 2fcc9: 0f2d.*
+ 2fcca: 6ff4.*
+ 2fccb: 5a2b.*
+ 2fccc: 0f2d.*
+ 2fccd: 6ff4.*
+ 2fcce: 5a2c.*
+ 2fccf: 0c2e.*
+ 2fcd0: 6ff4.*
+ 2fcd1: 5a2d.*
+ 2fcd2: 0d2e.*
+ 2fcd3: 6ff4.*
+ 2fcd4: 5a2e.*
+ 2fcd5: 0c2e.*
+ 2fcd6: 6ff4.*
+ 2fcd7: 5a2f.*
+ 2fcd8: 0e2e.*
+ 2fcd9: 6ff4.*
+ 2fcda: 5a30.*
+ 2fcdb: 0f2e.*
+ 2fcdc: 6ff4.*
+ 2fcdd: 5a31.*
+ 2fcde: 0f2e.*
+ 2fcdf: 6ff4.*
+ 2fce0: 5a32.*
+ 2fce1: 0c2f.*
+ 2fce2: 6ff4.*
+ 2fce3: 5a33.*
+ 2fce4: 0d2f.*
+ 2fce5: 6ff4.*
+ 2fce6: 5a34.*
+ 2fce7: 0c2f.*
+ 2fce8: 6ff4.*
+ 2fce9: 5a35.*
+ 2fcea: 0e2f.*
+ 2fceb: 6ff4.*
+ 2fcec: 5a36.*
+ 2fced: 0f2f.*
+ 2fcee: 6ff4.*
+ 2fcef: 5a37.*
+ 2fcf0: 0f2f.*
+ 2fcf1: 08f8.*
+ 2fcf2: 5a38.*
+ 2fcf3: 6ff8.*
+ 2fcf4: 5a39.*
+ 2fcf5: 0d20.*
+ 2fcf6: 08f8.*
+ 2fcf7: 5a3a.*
+ 2fcf8: 6ff8.*
+ 2fcf9: 5a3b.*
+ 2fcfa: 0e20.*
+ 2fcfb: 09f8.*
+ 2fcfc: 5a3c.*
+ 2fcfd: 09f8.*
+ 2fcfe: 5a3d.*
+ 2fcff: 6ff8.*
+ 2fd00: 5a3e.*
+ 2fd01: 0c30.*
+ 2fd02: 6ff8.*
+ 2fd03: 5a3f.*
+ 2fd04: 0d30.*
+ 2fd05: 6ff8.*
+ 2fd06: 5a40.*
+ 2fd07: 0c30.*
+ 2fd08: 6ff8.*
+ 2fd09: 5a41.*
+ 2fd0a: 0e30.*
+ 2fd0b: 6ff8.*
+ 2fd0c: 5a42.*
+ 2fd0d: 0f30.*
+ 2fd0e: 6ff8.*
+ 2fd0f: 5a43.*
+ 2fd10: 0f30.*
+ 2fd11: 6ff8.*
+ 2fd12: 5a44.*
+ 2fd13: 0c31.*
+ 2fd14: 6ff8.*
+ 2fd15: 5a45.*
+ 2fd16: 0d31.*
+ 2fd17: 6ff8.*
+ 2fd18: 5a46.*
+ 2fd19: 0c31.*
+ 2fd1a: 6ff8.*
+ 2fd1b: 5a47.*
+ 2fd1c: 0e31.*
+ 2fd1d: 6ff8.*
+ 2fd1e: 5a48.*
+ 2fd1f: 0f31.*
+ 2fd20: 6ff8.*
+ 2fd21: 5a49.*
+ 2fd22: 0f31.*
+ 2fd23: 6ff8.*
+ 2fd24: 5a4a.*
+ 2fd25: 0c32.*
+ 2fd26: 6ff8.*
+ 2fd27: 5a4b.*
+ 2fd28: 0d32.*
+ 2fd29: 6ff8.*
+ 2fd2a: 5a4c.*
+ 2fd2b: 0c32.*
+ 2fd2c: 6ff8.*
+ 2fd2d: 5a4d.*
+ 2fd2e: 0e32.*
+ 2fd2f: 6ff8.*
+ 2fd30: 5a4e.*
+ 2fd31: 0f32.*
+ 2fd32: 6ff8.*
+ 2fd33: 5a4f.*
+ 2fd34: 0f32.*
+ 2fd35: 6ff8.*
+ 2fd36: 5a50.*
+ 2fd37: 0c33.*
+ 2fd38: 6ff8.*
+ 2fd39: 5a51.*
+ 2fd3a: 0d33.*
+ 2fd3b: 6ff8.*
+ 2fd3c: 5a52.*
+ 2fd3d: 0c33.*
+ 2fd3e: 6ff8.*
+ 2fd3f: 5a53.*
+ 2fd40: 0e33.*
+ 2fd41: 6ff8.*
+ 2fd42: 5a54.*
+ 2fd43: 0f33.*
+ 2fd44: 6ff8.*
+ 2fd45: 5a55.*
+ 2fd46: 0f33.*
+ 2fd47: 6ff8.*
+ 2fd48: 5a56.*
+ 2fd49: 0c34.*
+ 2fd4a: 6ff8.*
+ 2fd4b: 5a57.*
+ 2fd4c: 0d34.*
+ 2fd4d: 6ff8.*
+ 2fd4e: 5a58.*
+ 2fd4f: 0c34.*
+ 2fd50: 6ff8.*
+ 2fd51: 5a59.*
+ 2fd52: 0e34.*
+ 2fd53: 6ff8.*
+ 2fd54: 5a5a.*
+ 2fd55: 0f34.*
+ 2fd56: 6ff8.*
+ 2fd57: 5a5b.*
+ 2fd58: 0f34.*
+ 2fd59: 6ff8.*
+ 2fd5a: 5a5c.*
+ 2fd5b: 0c35.*
+ 2fd5c: 6ff8.*
+ 2fd5d: 5a5d.*
+ 2fd5e: 0d35.*
+ 2fd5f: 6ff8.*
+ 2fd60: 5a5e.*
+ 2fd61: 0c35.*
+ 2fd62: 6ff8.*
+ 2fd63: 5a5f.*
+ 2fd64: 0e35.*
+ 2fd65: 6ff8.*
+ 2fd66: 5a60.*
+ 2fd67: 0f35.*
+ 2fd68: 6ff8.*
+ 2fd69: 5a61.*
+ 2fd6a: 0f35.*
+ 2fd6b: 6ff8.*
+ 2fd6c: 5a62.*
+ 2fd6d: 0c36.*
+ 2fd6e: 6ff8.*
+ 2fd6f: 5a63.*
+ 2fd70: 0d36.*
+ 2fd71: 6ff8.*
+ 2fd72: 5a64.*
+ 2fd73: 0c36.*
+ 2fd74: 6ff8.*
+ 2fd75: 5a65.*
+ 2fd76: 0e36.*
+ 2fd77: 6ff8.*
+ 2fd78: 5a66.*
+ 2fd79: 0f36.*
+ 2fd7a: 6ff8.*
+ 2fd7b: 5a67.*
+ 2fd7c: 0f36.*
+ 2fd7d: 6ff8.*
+ 2fd7e: 5a68.*
+ 2fd7f: 0c37.*
+ 2fd80: 6ff8.*
+ 2fd81: 5a69.*
+ 2fd82: 0d37.*
+ 2fd83: 6ff8.*
+ 2fd84: 5a6a.*
+ 2fd85: 0c37.*
+ 2fd86: 6ff8.*
+ 2fd87: 5a6b.*
+ 2fd88: 0e37.*
+ 2fd89: 6ff8.*
+ 2fd8a: 5a6c.*
+ 2fd8b: 0f37.*
+ 2fd8c: 6ff8.*
+ 2fd8d: 5a6d.*
+ 2fd8e: 0f37.*
+ 2fd8f: 6ff8.*
+ 2fd90: 5a6e.*
+ 2fd91: 0c38.*
+ 2fd92: 6ff8.*
+ 2fd93: 5a6f.*
+ 2fd94: 0d38.*
+ 2fd95: 6ff8.*
+ 2fd96: 5a70.*
+ 2fd97: 0c38.*
+ 2fd98: 6ff8.*
+ 2fd99: 5a71.*
+ 2fd9a: 0e38.*
+ 2fd9b: 6ff8.*
+ 2fd9c: 5a72.*
+ 2fd9d: 0f38.*
+ 2fd9e: 6ff8.*
+ 2fd9f: 5a73.*
+ 2fda0: 0f38.*
+ 2fda1: 6ff8.*
+ 2fda2: 5a74.*
+ 2fda3: 0c39.*
+ 2fda4: 6ff8.*
+ 2fda5: 5a75.*
+ 2fda6: 0d39.*
+ 2fda7: 6ff8.*
+ 2fda8: 5a76.*
+ 2fda9: 0c39.*
+ 2fdaa: 6ff8.*
+ 2fdab: 5a77.*
+ 2fdac: 0e39.*
+ 2fdad: 6ff8.*
+ 2fdae: 5a78.*
+ 2fdaf: 0f39.*
+ 2fdb0: 6ff8.*
+ 2fdb1: 5a79.*
+ 2fdb2: 0f39.*
+ 2fdb3: 6ff8.*
+ 2fdb4: 5a7a.*
+ 2fdb5: 0c3a.*
+ 2fdb6: 6ff8.*
+ 2fdb7: 5a7b.*
+ 2fdb8: 0d3a.*
+ 2fdb9: 6ff8.*
+ 2fdba: 5a7c.*
+ 2fdbb: 0c3a.*
+ 2fdbc: 6ff8.*
+ 2fdbd: 5a7d.*
+ 2fdbe: 0e3a.*
+ 2fdbf: 6ff8.*
+ 2fdc0: 5a7e.*
+ 2fdc1: 0f3a.*
+ 2fdc2: 6ff8.*
+ 2fdc3: 5a7f.*
+ 2fdc4: 0f3a.*
+ 2fdc5: 6ff8.*
+ 2fdc6: 5a80.*
+ 2fdc7: 0c3b.*
+ 2fdc8: 6ff8.*
+ 2fdc9: 5a81.*
+ 2fdca: 0d3b.*
+ 2fdcb: 6ff8.*
+ 2fdcc: 5a82.*
+ 2fdcd: 0c3b.*
+ 2fdce: 6ff8.*
+ 2fdcf: 5a83.*
+ 2fdd0: 0e3b.*
+ 2fdd1: 6ff8.*
+ 2fdd2: 5a84.*
+ 2fdd3: 0f3b.*
+ 2fdd4: 6ff8.*
+ 2fdd5: 5a85.*
+ 2fdd6: 0f3b.*
+ 2fdd7: 6ff8.*
+ 2fdd8: 5a86.*
+ 2fdd9: 0c3c.*
+ 2fdda: 6ff8.*
+ 2fddb: 5a87.*
+ 2fddc: 0d3c.*
+ 2fddd: 6ff8.*
+ 2fdde: 5a88.*
+ 2fddf: 0c3c.*
+ 2fde0: 6ff8.*
+ 2fde1: 5a89.*
+ 2fde2: 0e3c.*
+ 2fde3: 6ff8.*
+ 2fde4: 5a8a.*
+ 2fde5: 0f3c.*
+ 2fde6: 6ff8.*
+ 2fde7: 5a8b.*
+ 2fde8: 0f3c.*
+ 2fde9: 6ff8.*
+ 2fdea: 5a8c.*
+ 2fdeb: 0c3d.*
+ 2fdec: 6ff8.*
+ 2fded: 5a8d.*
+ 2fdee: 0d3d.*
+ 2fdef: 6ff8.*
+ 2fdf0: 5a8e.*
+ 2fdf1: 0c3d.*
+ 2fdf2: 6ff8.*
+ 2fdf3: 5a8f.*
+ 2fdf4: 0e3d.*
+ 2fdf5: 6ff8.*
+ 2fdf6: 5a90.*
+ 2fdf7: 0f3d.*
+ 2fdf8: 6ff8.*
+ 2fdf9: 5a91.*
+ 2fdfa: 0f3d.*
+ 2fdfb: 6ff8.*
+ 2fdfc: 5a92.*
+ 2fdfd: 0c3e.*
+ 2fdfe: 6ff8.*
+ 2fdff: 5a93.*
+ 2fe00: 0d3e.*
+ 2fe01: 6ff8.*
+ 2fe02: 5a94.*
+ 2fe03: 0c3e.*
+ 2fe04: 6ff8.*
+ 2fe05: 5a95.*
+ 2fe06: 0e3e.*
+ 2fe07: 6ff8.*
+ 2fe08: 5a96.*
+ 2fe09: 0f3e.*
+ 2fe0a: 6ff8.*
+ 2fe0b: 5a97.*
+ 2fe0c: 0f3e.*
+ 2fe0d: 6ff8.*
+ 2fe0e: 5a98.*
+ 2fe0f: 0c3f.*
+ 2fe10: 6ff8.*
+ 2fe11: 5a99.*
+ 2fe12: 0d3f.*
+ 2fe13: 6ff8.*
+ 2fe14: 5a9a.*
+ 2fe15: 0c3f.*
+ 2fe16: 6ff8.*
+ 2fe17: 5a9b.*
+ 2fe18: 0e3f.*
+ 2fe19: 6ff8.*
+ 2fe1a: 5a9c.*
+ 2fe1b: 0f3f.*
+ 2fe1c: 6ff8.*
+ 2fe1d: 5a9d.*
+ 2fe1e: 0f3f.*
+ 2fe1f: 08f8.*
+ 2fe20: 5a9e.*
+ 2fe21: 6ff8.*
+ 2fe22: 5a9f.*
+ 2fe23: 0d20.*
+ 2fe24: 08f8.*
+ 2fe25: 5aa0.*
+ 2fe26: 6ff8.*
+ 2fe27: 5aa1.*
+ 2fe28: 0e20.*
+ 2fe29: 09f8.*
+ 2fe2a: 5aa2.*
+ 2fe2b: 09f8.*
+ 2fe2c: 5aa3.*
+ 2fe2d: 6ff8.*
+ 2fe2e: 5aa4.*
+ 2fe2f: 0c21.*
+ 2fe30: 6ff8.*
+ 2fe31: 5aa5.*
+ 2fe32: 0d21.*
+ 2fe33: 6ff8.*
+ 2fe34: 5aa6.*
+ 2fe35: 0c21.*
+ 2fe36: 6ff8.*
+ 2fe37: 5aa7.*
+ 2fe38: 0e21.*
+ 2fe39: 6ff8.*
+ 2fe3a: 5aa8.*
+ 2fe3b: 0f21.*
+ 2fe3c: 6ff8.*
+ 2fe3d: 5aa9.*
+ 2fe3e: 0f21.*
+ 2fe3f: 6ff8.*
+ 2fe40: 5aaa.*
+ 2fe41: 0c22.*
+ 2fe42: 6ff8.*
+ 2fe43: 5aab.*
+ 2fe44: 0d22.*
+ 2fe45: 6ff8.*
+ 2fe46: 5aac.*
+ 2fe47: 0c22.*
+ 2fe48: 6ff8.*
+ 2fe49: 5aad.*
+ 2fe4a: 0e22.*
+ 2fe4b: 6ff8.*
+ 2fe4c: 5aae.*
+ 2fe4d: 0f22.*
+ 2fe4e: 6ff8.*
+ 2fe4f: 5aaf.*
+ 2fe50: 0f22.*
+ 2fe51: 6ff8.*
+ 2fe52: 5ab0.*
+ 2fe53: 0c23.*
+ 2fe54: 6ff8.*
+ 2fe55: 5ab1.*
+ 2fe56: 0d23.*
+ 2fe57: 6ff8.*
+ 2fe58: 5ab2.*
+ 2fe59: 0c23.*
+ 2fe5a: 6ff8.*
+ 2fe5b: 5ab3.*
+ 2fe5c: 0e23.*
+ 2fe5d: 6ff8.*
+ 2fe5e: 5ab4.*
+ 2fe5f: 0f23.*
+ 2fe60: 6ff8.*
+ 2fe61: 5ab5.*
+ 2fe62: 0f23.*
+ 2fe63: 6ff8.*
+ 2fe64: 5ab6.*
+ 2fe65: 0c24.*
+ 2fe66: 6ff8.*
+ 2fe67: 5ab7.*
+ 2fe68: 0d24.*
+ 2fe69: 6ff8.*
+ 2fe6a: 5ab8.*
+ 2fe6b: 0c24.*
+ 2fe6c: 6ff8.*
+ 2fe6d: 5ab9.*
+ 2fe6e: 0e24.*
+ 2fe6f: 6ff8.*
+ 2fe70: 5aba.*
+ 2fe71: 0f24.*
+ 2fe72: 6ff8.*
+ 2fe73: 5abb.*
+ 2fe74: 0f24.*
+ 2fe75: 6ff8.*
+ 2fe76: 5abc.*
+ 2fe77: 0c25.*
+ 2fe78: 6ff8.*
+ 2fe79: 5abd.*
+ 2fe7a: 0d25.*
+ 2fe7b: 6ff8.*
+ 2fe7c: 5abe.*
+ 2fe7d: 0c25.*
+ 2fe7e: 6ff8.*
+ 2fe7f: 5abf.*
+ 2fe80: 0e25.*
+ 2fe81: 6ff8.*
+ 2fe82: 5ac0.*
+ 2fe83: 0f25.*
+ 2fe84: 6ff8.*
+ 2fe85: 5ac1.*
+ 2fe86: 0f25.*
+ 2fe87: 6ff8.*
+ 2fe88: 5ac2.*
+ 2fe89: 0c26.*
+ 2fe8a: 6ff8.*
+ 2fe8b: 5ac3.*
+ 2fe8c: 0d26.*
+ 2fe8d: 6ff8.*
+ 2fe8e: 5ac4.*
+ 2fe8f: 0c26.*
+ 2fe90: 6ff8.*
+ 2fe91: 5ac5.*
+ 2fe92: 0e26.*
+ 2fe93: 6ff8.*
+ 2fe94: 5ac6.*
+ 2fe95: 0f26.*
+ 2fe96: 6ff8.*
+ 2fe97: 5ac7.*
+ 2fe98: 0f26.*
+ 2fe99: 6ff8.*
+ 2fe9a: 5ac8.*
+ 2fe9b: 0c27.*
+ 2fe9c: 6ff8.*
+ 2fe9d: 5ac9.*
+ 2fe9e: 0d27.*
+ 2fe9f: 6ff8.*
+ 2fea0: 5aca.*
+ 2fea1: 0c27.*
+ 2fea2: 6ff8.*
+ 2fea3: 5acb.*
+ 2fea4: 0e27.*
+ 2fea5: 6ff8.*
+ 2fea6: 5acc.*
+ 2fea7: 0f27.*
+ 2fea8: 6ff8.*
+ 2fea9: 5acd.*
+ 2feaa: 0f27.*
+ 2feab: 6ff8.*
+ 2feac: 5ace.*
+ 2fead: 0c28.*
+ 2feae: 6ff8.*
+ 2feaf: 5acf.*
+ 2feb0: 0d28.*
+ 2feb1: 6ff8.*
+ 2feb2: 5ad0.*
+ 2feb3: 0c28.*
+ 2feb4: 6ff8.*
+ 2feb5: 5ad1.*
+ 2feb6: 0e28.*
+ 2feb7: 6ff8.*
+ 2feb8: 5ad2.*
+ 2feb9: 0f28.*
+ 2feba: 6ff8.*
+ 2febb: 5ad3.*
+ 2febc: 0f28.*
+ 2febd: 6ff8.*
+ 2febe: 5ad4.*
+ 2febf: 0c29.*
+ 2fec0: 6ff8.*
+ 2fec1: 5ad5.*
+ 2fec2: 0d29.*
+ 2fec3: 6ff8.*
+ 2fec4: 5ad6.*
+ 2fec5: 0c29.*
+ 2fec6: 6ff8.*
+ 2fec7: 5ad7.*
+ 2fec8: 0e29.*
+ 2fec9: 6ff8.*
+ 2feca: 5ad8.*
+ 2fecb: 0f29.*
+ 2fecc: 6ff8.*
+ 2fecd: 5ad9.*
+ 2fece: 0f29.*
+ 2fecf: 6ff8.*
+ 2fed0: 5ada.*
+ 2fed1: 0c2a.*
+ 2fed2: 6ff8.*
+ 2fed3: 5adb.*
+ 2fed4: 0d2a.*
+ 2fed5: 6ff8.*
+ 2fed6: 5adc.*
+ 2fed7: 0c2a.*
+ 2fed8: 6ff8.*
+ 2fed9: 5add.*
+ 2feda: 0e2a.*
+ 2fedb: 6ff8.*
+ 2fedc: 5ade.*
+ 2fedd: 0f2a.*
+ 2fede: 6ff8.*
+ 2fedf: 5adf.*
+ 2fee0: 0f2a.*
+ 2fee1: 6ff8.*
+ 2fee2: 5ae0.*
+ 2fee3: 0c2b.*
+ 2fee4: 6ff8.*
+ 2fee5: 5ae1.*
+ 2fee6: 0d2b.*
+ 2fee7: 6ff8.*
+ 2fee8: 5ae2.*
+ 2fee9: 0c2b.*
+ 2feea: 6ff8.*
+ 2feeb: 5ae3.*
+ 2feec: 0e2b.*
+ 2feed: 6ff8.*
+ 2feee: 5ae4.*
+ 2feef: 0f2b.*
+ 2fef0: 6ff8.*
+ 2fef1: 5ae5.*
+ 2fef2: 0f2b.*
+ 2fef3: 6ff8.*
+ 2fef4: 5ae6.*
+ 2fef5: 0c2c.*
+ 2fef6: 6ff8.*
+ 2fef7: 5ae7.*
+ 2fef8: 0d2c.*
+ 2fef9: 6ff8.*
+ 2fefa: 5ae8.*
+ 2fefb: 0c2c.*
+ 2fefc: 6ff8.*
+ 2fefd: 5ae9.*
+ 2fefe: 0e2c.*
+ 2feff: 6ff8.*
+ 2ff00: 5aea.*
+ 2ff01: 0f2c.*
+ 2ff02: 6ff8.*
+ 2ff03: 5aeb.*
+ 2ff04: 0f2c.*
+ 2ff05: 6ff8.*
+ 2ff06: 5aec.*
+ 2ff07: 0c2d.*
+ 2ff08: 6ff8.*
+ 2ff09: 5aed.*
+ 2ff0a: 0d2d.*
+ 2ff0b: 6ff8.*
+ 2ff0c: 5aee.*
+ 2ff0d: 0c2d.*
+ 2ff0e: 6ff8.*
+ 2ff0f: 5aef.*
+ 2ff10: 0e2d.*
+ 2ff11: 6ff8.*
+ 2ff12: 5af0.*
+ 2ff13: 0f2d.*
+ 2ff14: 6ff8.*
+ 2ff15: 5af1.*
+ 2ff16: 0f2d.*
+ 2ff17: 6ff8.*
+ 2ff18: 5af2.*
+ 2ff19: 0c2e.*
+ 2ff1a: 6ff8.*
+ 2ff1b: 5af3.*
+ 2ff1c: 0d2e.*
+ 2ff1d: 6ff8.*
+ 2ff1e: 5af4.*
+ 2ff1f: 0c2e.*
+ 2ff20: 6ff8.*
+ 2ff21: 5af5.*
+ 2ff22: 0e2e.*
+ 2ff23: 6ff8.*
+ 2ff24: 5af6.*
+ 2ff25: 0f2e.*
+ 2ff26: 6ff8.*
+ 2ff27: 5af7.*
+ 2ff28: 0f2e.*
+ 2ff29: 6ff8.*
+ 2ff2a: 5af8.*
+ 2ff2b: 0c2f.*
+ 2ff2c: 6ff8.*
+ 2ff2d: 5af9.*
+ 2ff2e: 0d2f.*
+ 2ff2f: 6ff8.*
+ 2ff30: 5afa.*
+ 2ff31: 0c2f.*
+ 2ff32: 6ff8.*
+ 2ff33: 5afb.*
+ 2ff34: 0e2f.*
+ 2ff35: 6ff8.*
+ 2ff36: 5afc.*
+ 2ff37: 0f2f.*
+ 2ff38: 6ff8.*
+ 2ff39: 5afd.*
+ 2ff3a: 0f2f.*
+ 2ff3b: 0885.*
+ 2ff3c: 6f85.*
+ 2ff3d: 0d20.*
+ 2ff3e: 0885.*
+ 2ff3f: 6f85.*
+ 2ff40: 0e20.*
+ 2ff41: 0985.*
+ 2ff42: 0985.*
+ 2ff43: 6f85.*
+ 2ff44: 0c30.*
+ 2ff45: 6f85.*
+ 2ff46: 0d30.*
+ 2ff47: 6f85.*
+ 2ff48: 0c30.*
+ 2ff49: 6f85.*
+ 2ff4a: 0e30.*
+ 2ff4b: 6f85.*
+ 2ff4c: 0f30.*
+ 2ff4d: 6f85.*
+ 2ff4e: 0f30.*
+ 2ff4f: 6f85.*
+ 2ff50: 0c31.*
+ 2ff51: 6f85.*
+ 2ff52: 0d31.*
+ 2ff53: 6f85.*
+ 2ff54: 0c31.*
+ 2ff55: 6f85.*
+ 2ff56: 0e31.*
+ 2ff57: 6f85.*
+ 2ff58: 0f31.*
+ 2ff59: 6f85.*
+ 2ff5a: 0f31.*
+ 2ff5b: 6f85.*
+ 2ff5c: 0c32.*
+ 2ff5d: 6f85.*
+ 2ff5e: 0d32.*
+ 2ff5f: 6f85.*
+ 2ff60: 0c32.*
+ 2ff61: 6f85.*
+ 2ff62: 0e32.*
+ 2ff63: 6f85.*
+ 2ff64: 0f32.*
+ 2ff65: 6f85.*
+ 2ff66: 0f32.*
+ 2ff67: 6f85.*
+ 2ff68: 0c33.*
+ 2ff69: 6f85.*
+ 2ff6a: 0d33.*
+ 2ff6b: 6f85.*
+ 2ff6c: 0c33.*
+ 2ff6d: 6f85.*
+ 2ff6e: 0e33.*
+ 2ff6f: 6f85.*
+ 2ff70: 0f33.*
+ 2ff71: 6f85.*
+ 2ff72: 0f33.*
+ 2ff73: 6f85.*
+ 2ff74: 0c34.*
+ 2ff75: 6f85.*
+ 2ff76: 0d34.*
+ 2ff77: 6f85.*
+ 2ff78: 0c34.*
+ 2ff79: 6f85.*
+ 2ff7a: 0e34.*
+ 2ff7b: 6f85.*
+ 2ff7c: 0f34.*
+ 2ff7d: 6f85.*
+ 2ff7e: 0f34.*
+ 2ff7f: 6f85.*
+ 2ff80: 0c35.*
+ 2ff81: 6f85.*
+ 2ff82: 0d35.*
+ 2ff83: 6f85.*
+ 2ff84: 0c35.*
+ 2ff85: 6f85.*
+ 2ff86: 0e35.*
+ 2ff87: 6f85.*
+ 2ff88: 0f35.*
+ 2ff89: 6f85.*
+ 2ff8a: 0f35.*
+ 2ff8b: 6f85.*
+ 2ff8c: 0c36.*
+ 2ff8d: 6f85.*
+ 2ff8e: 0d36.*
+ 2ff8f: 6f85.*
+ 2ff90: 0c36.*
+ 2ff91: 6f85.*
+ 2ff92: 0e36.*
+ 2ff93: 6f85.*
+ 2ff94: 0f36.*
+ 2ff95: 6f85.*
+ 2ff96: 0f36.*
+ 2ff97: 6f85.*
+ 2ff98: 0c37.*
+ 2ff99: 6f85.*
+ 2ff9a: 0d37.*
+ 2ff9b: 6f85.*
+ 2ff9c: 0c37.*
+ 2ff9d: 6f85.*
+ 2ff9e: 0e37.*
+ 2ff9f: 6f85.*
+ 2ffa0: 0f37.*
+ 2ffa1: 6f85.*
+ 2ffa2: 0f37.*
+ 2ffa3: 6f85.*
+ 2ffa4: 0c38.*
+ 2ffa5: 6f85.*
+ 2ffa6: 0d38.*
+ 2ffa7: 6f85.*
+ 2ffa8: 0c38.*
+ 2ffa9: 6f85.*
+ 2ffaa: 0e38.*
+ 2ffab: 6f85.*
+ 2ffac: 0f38.*
+ 2ffad: 6f85.*
+ 2ffae: 0f38.*
+ 2ffaf: 6f85.*
+ 2ffb0: 0c39.*
+ 2ffb1: 6f85.*
+ 2ffb2: 0d39.*
+ 2ffb3: 6f85.*
+ 2ffb4: 0c39.*
+ 2ffb5: 6f85.*
+ 2ffb6: 0e39.*
+ 2ffb7: 6f85.*
+ 2ffb8: 0f39.*
+ 2ffb9: 6f85.*
+ 2ffba: 0f39.*
+ 2ffbb: 6f85.*
+ 2ffbc: 0c3a.*
+ 2ffbd: 6f85.*
+ 2ffbe: 0d3a.*
+ 2ffbf: 6f85.*
+ 2ffc0: 0c3a.*
+ 2ffc1: 6f85.*
+ 2ffc2: 0e3a.*
+ 2ffc3: 6f85.*
+ 2ffc4: 0f3a.*
+ 2ffc5: 6f85.*
+ 2ffc6: 0f3a.*
+ 2ffc7: 6f85.*
+ 2ffc8: 0c3b.*
+ 2ffc9: 6f85.*
+ 2ffca: 0d3b.*
+ 2ffcb: 6f85.*
+ 2ffcc: 0c3b.*
+ 2ffcd: 6f85.*
+ 2ffce: 0e3b.*
+ 2ffcf: 6f85.*
+ 2ffd0: 0f3b.*
+ 2ffd1: 6f85.*
+ 2ffd2: 0f3b.*
+ 2ffd3: 6f85.*
+ 2ffd4: 0c3c.*
+ 2ffd5: 6f85.*
+ 2ffd6: 0d3c.*
+ 2ffd7: 6f85.*
+ 2ffd8: 0c3c.*
+ 2ffd9: 6f85.*
+ 2ffda: 0e3c.*
+ 2ffdb: 6f85.*
+ 2ffdc: 0f3c.*
+ 2ffdd: 6f85.*
+ 2ffde: 0f3c.*
+ 2ffdf: 6f85.*
+ 2ffe0: 0c3d.*
+ 2ffe1: 6f85.*
+ 2ffe2: 0d3d.*
+ 2ffe3: 6f85.*
+ 2ffe4: 0c3d.*
+ 2ffe5: 6f85.*
+ 2ffe6: 0e3d.*
+ 2ffe7: 6f85.*
+ 2ffe8: 0f3d.*
+ 2ffe9: 6f85.*
+ 2ffea: 0f3d.*
+ 2ffeb: 6f85.*
+ 2ffec: 0c3e.*
+ 2ffed: 6f85.*
+ 2ffee: 0d3e.*
+ 2ffef: 6f85.*
+ 2fff0: 0c3e.*
+ 2fff1: 6f85.*
+ 2fff2: 0e3e.*
+ 2fff3: 6f85.*
+ 2fff4: 0f3e.*
+ 2fff5: 6f85.*
+ 2fff6: 0f3e.*
+ 2fff7: 6f85.*
+ 2fff8: 0c3f.*
+ 2fff9: 6f85.*
+ 2fffa: 0d3f.*
+ 2fffb: 6f85.*
+ 2fffc: 0c3f.*
+ 2fffd: 6f85.*
+ 2fffe: 0e3f.*
+ 2ffff: 6f85.*
+ 30000: 0f3f.*
+ 30001: 6f85.*
+ 30002: 0f3f.*
+ 30003: 0885.*
+ 30004: 6f85.*
+ 30005: 0d20.*
+ 30006: 0885.*
+ 30007: 6f85.*
+ 30008: 0e20.*
+ 30009: 0985.*
+ 3000a: 0985.*
+ 3000b: 9231.*
+ 3000c: 6f85.*
+ 3000d: 0d21.*
+ 3000e: 9231.*
+ 3000f: 6f85.*
+ 30010: 0e21.*
+ 30011: 9331.*
+ 30012: 9331.*
+ 30013: 9232.*
+ 30014: 6f85.*
+ 30015: 0d22.*
+ 30016: 9232.*
+ 30017: 6f85.*
+ 30018: 0e22.*
+ 30019: 9332.*
+ 3001a: 9332.*
+ 3001b: 9233.*
+ 3001c: 6f85.*
+ 3001d: 0d23.*
+ 3001e: 9233.*
+ 3001f: 6f85.*
+ 30020: 0e23.*
+ 30021: 9333.*
+ 30022: 9333.*
+ 30023: 9234.*
+ 30024: 6f85.*
+ 30025: 0d24.*
+ 30026: 9234.*
+ 30027: 6f85.*
+ 30028: 0e24.*
+ 30029: 9334.*
+ 3002a: 9334.*
+ 3002b: 9235.*
+ 3002c: 6f85.*
+ 3002d: 0d25.*
+ 3002e: 9235.*
+ 3002f: 6f85.*
+ 30030: 0e25.*
+ 30031: 9335.*
+ 30032: 9335.*
+ 30033: 9236.*
+ 30034: 6f85.*
+ 30035: 0d26.*
+ 30036: 9236.*
+ 30037: 6f85.*
+ 30038: 0e26.*
+ 30039: 9336.*
+ 3003a: 9336.*
+ 3003b: 9237.*
+ 3003c: 6f85.*
+ 3003d: 0d27.*
+ 3003e: 9237.*
+ 3003f: 6f85.*
+ 30040: 0e27.*
+ 30041: 9337.*
+ 30042: 9337.*
+ 30043: 9238.*
+ 30044: 6f85.*
+ 30045: 0d28.*
+ 30046: 9238.*
+ 30047: 6f85.*
+ 30048: 0e28.*
+ 30049: 9338.*
+ 3004a: 9338.*
+ 3004b: 9239.*
+ 3004c: 6f85.*
+ 3004d: 0d29.*
+ 3004e: 9239.*
+ 3004f: 6f85.*
+ 30050: 0e29.*
+ 30051: 9339.*
+ 30052: 9339.*
+ 30053: 923a.*
+ 30054: 6f85.*
+ 30055: 0d2a.*
+ 30056: 923a.*
+ 30057: 6f85.*
+ 30058: 0e2a.*
+ 30059: 933a.*
+ 3005a: 933a.*
+ 3005b: 923b.*
+ 3005c: 6f85.*
+ 3005d: 0d2b.*
+ 3005e: 923b.*
+ 3005f: 6f85.*
+ 30060: 0e2b.*
+ 30061: 933b.*
+ 30062: 933b.*
+ 30063: 923c.*
+ 30064: 6f85.*
+ 30065: 0d2c.*
+ 30066: 923c.*
+ 30067: 6f85.*
+ 30068: 0e2c.*
+ 30069: 933c.*
+ 3006a: 933c.*
+ 3006b: 923d.*
+ 3006c: 6f85.*
+ 3006d: 0d2d.*
+ 3006e: 923d.*
+ 3006f: 6f85.*
+ 30070: 0e2d.*
+ 30071: 933d.*
+ 30072: 933d.*
+ 30073: 923e.*
+ 30074: 6f85.*
+ 30075: 0d2e.*
+ 30076: 923e.*
+ 30077: 6f85.*
+ 30078: 0e2e.*
+ 30079: 933e.*
+ 3007a: 933e.*
+ 3007b: 923f.*
+ 3007c: 6f85.*
+ 3007d: 0d2f.*
+ 3007e: 923f.*
+ 3007f: 6f85.*
+ 30080: 0e2f.*
+ 30081: 933f.*
+ 30082: 933f.*
+ 30083: 088d.*
+ 30084: 6f8d.*
+ 30085: 0d20.*
+ 30086: 088d.*
+ 30087: 6f8d.*
+ 30088: 0e20.*
+ 30089: 098d.*
+ 3008a: 098d.*
+ 3008b: 6f8d.*
+ 3008c: 0c30.*
+ 3008d: 6f8d.*
+ 3008e: 0d30.*
+ 3008f: 6f8d.*
+ 30090: 0c30.*
+ 30091: 6f8d.*
+ 30092: 0e30.*
+ 30093: 6f8d.*
+ 30094: 0f30.*
+ 30095: 6f8d.*
+ 30096: 0f30.*
+ 30097: 6f8d.*
+ 30098: 0c31.*
+ 30099: 6f8d.*
+ 3009a: 0d31.*
+ 3009b: 6f8d.*
+ 3009c: 0c31.*
+ 3009d: 6f8d.*
+ 3009e: 0e31.*
+ 3009f: 6f8d.*
+ 300a0: 0f31.*
+ 300a1: 6f8d.*
+ 300a2: 0f31.*
+ 300a3: 6f8d.*
+ 300a4: 0c32.*
+ 300a5: 6f8d.*
+ 300a6: 0d32.*
+ 300a7: 6f8d.*
+ 300a8: 0c32.*
+ 300a9: 6f8d.*
+ 300aa: 0e32.*
+ 300ab: 6f8d.*
+ 300ac: 0f32.*
+ 300ad: 6f8d.*
+ 300ae: 0f32.*
+ 300af: 6f8d.*
+ 300b0: 0c33.*
+ 300b1: 6f8d.*
+ 300b2: 0d33.*
+ 300b3: 6f8d.*
+ 300b4: 0c33.*
+ 300b5: 6f8d.*
+ 300b6: 0e33.*
+ 300b7: 6f8d.*
+ 300b8: 0f33.*
+ 300b9: 6f8d.*
+ 300ba: 0f33.*
+ 300bb: 6f8d.*
+ 300bc: 0c34.*
+ 300bd: 6f8d.*
+ 300be: 0d34.*
+ 300bf: 6f8d.*
+ 300c0: 0c34.*
+ 300c1: 6f8d.*
+ 300c2: 0e34.*
+ 300c3: 6f8d.*
+ 300c4: 0f34.*
+ 300c5: 6f8d.*
+ 300c6: 0f34.*
+ 300c7: 6f8d.*
+ 300c8: 0c35.*
+ 300c9: 6f8d.*
+ 300ca: 0d35.*
+ 300cb: 6f8d.*
+ 300cc: 0c35.*
+ 300cd: 6f8d.*
+ 300ce: 0e35.*
+ 300cf: 6f8d.*
+ 300d0: 0f35.*
+ 300d1: 6f8d.*
+ 300d2: 0f35.*
+ 300d3: 6f8d.*
+ 300d4: 0c36.*
+ 300d5: 6f8d.*
+ 300d6: 0d36.*
+ 300d7: 6f8d.*
+ 300d8: 0c36.*
+ 300d9: 6f8d.*
+ 300da: 0e36.*
+ 300db: 6f8d.*
+ 300dc: 0f36.*
+ 300dd: 6f8d.*
+ 300de: 0f36.*
+ 300df: 6f8d.*
+ 300e0: 0c37.*
+ 300e1: 6f8d.*
+ 300e2: 0d37.*
+ 300e3: 6f8d.*
+ 300e4: 0c37.*
+ 300e5: 6f8d.*
+ 300e6: 0e37.*
+ 300e7: 6f8d.*
+ 300e8: 0f37.*
+ 300e9: 6f8d.*
+ 300ea: 0f37.*
+ 300eb: 6f8d.*
+ 300ec: 0c38.*
+ 300ed: 6f8d.*
+ 300ee: 0d38.*
+ 300ef: 6f8d.*
+ 300f0: 0c38.*
+ 300f1: 6f8d.*
+ 300f2: 0e38.*
+ 300f3: 6f8d.*
+ 300f4: 0f38.*
+ 300f5: 6f8d.*
+ 300f6: 0f38.*
+ 300f7: 6f8d.*
+ 300f8: 0c39.*
+ 300f9: 6f8d.*
+ 300fa: 0d39.*
+ 300fb: 6f8d.*
+ 300fc: 0c39.*
+ 300fd: 6f8d.*
+ 300fe: 0e39.*
+ 300ff: 6f8d.*
+ 30100: 0f39.*
+ 30101: 6f8d.*
+ 30102: 0f39.*
+ 30103: 6f8d.*
+ 30104: 0c3a.*
+ 30105: 6f8d.*
+ 30106: 0d3a.*
+ 30107: 6f8d.*
+ 30108: 0c3a.*
+ 30109: 6f8d.*
+ 3010a: 0e3a.*
+ 3010b: 6f8d.*
+ 3010c: 0f3a.*
+ 3010d: 6f8d.*
+ 3010e: 0f3a.*
+ 3010f: 6f8d.*
+ 30110: 0c3b.*
+ 30111: 6f8d.*
+ 30112: 0d3b.*
+ 30113: 6f8d.*
+ 30114: 0c3b.*
+ 30115: 6f8d.*
+ 30116: 0e3b.*
+ 30117: 6f8d.*
+ 30118: 0f3b.*
+ 30119: 6f8d.*
+ 3011a: 0f3b.*
+ 3011b: 6f8d.*
+ 3011c: 0c3c.*
+ 3011d: 6f8d.*
+ 3011e: 0d3c.*
+ 3011f: 6f8d.*
+ 30120: 0c3c.*
+ 30121: 6f8d.*
+ 30122: 0e3c.*
+ 30123: 6f8d.*
+ 30124: 0f3c.*
+ 30125: 6f8d.*
+ 30126: 0f3c.*
+ 30127: 6f8d.*
+ 30128: 0c3d.*
+ 30129: 6f8d.*
+ 3012a: 0d3d.*
+ 3012b: 6f8d.*
+ 3012c: 0c3d.*
+ 3012d: 6f8d.*
+ 3012e: 0e3d.*
+ 3012f: 6f8d.*
+ 30130: 0f3d.*
+ 30131: 6f8d.*
+ 30132: 0f3d.*
+ 30133: 6f8d.*
+ 30134: 0c3e.*
+ 30135: 6f8d.*
+ 30136: 0d3e.*
+ 30137: 6f8d.*
+ 30138: 0c3e.*
+ 30139: 6f8d.*
+ 3013a: 0e3e.*
+ 3013b: 6f8d.*
+ 3013c: 0f3e.*
+ 3013d: 6f8d.*
+ 3013e: 0f3e.*
+ 3013f: 6f8d.*
+ 30140: 0c3f.*
+ 30141: 6f8d.*
+ 30142: 0d3f.*
+ 30143: 6f8d.*
+ 30144: 0c3f.*
+ 30145: 6f8d.*
+ 30146: 0e3f.*
+ 30147: 6f8d.*
+ 30148: 0f3f.*
+ 30149: 6f8d.*
+ 3014a: 0f3f.*
+ 3014b: 088d.*
+ 3014c: 6f8d.*
+ 3014d: 0d20.*
+ 3014e: 088d.*
+ 3014f: 6f8d.*
+ 30150: 0e20.*
+ 30151: 098d.*
+ 30152: 098d.*
+ 30153: 9271.*
+ 30154: 6f8d.*
+ 30155: 0d21.*
+ 30156: 9271.*
+ 30157: 6f8d.*
+ 30158: 0e21.*
+ 30159: 9371.*
+ 3015a: 9371.*
+ 3015b: 9272.*
+ 3015c: 6f8d.*
+ 3015d: 0d22.*
+ 3015e: 9272.*
+ 3015f: 6f8d.*
+ 30160: 0e22.*
+ 30161: 9372.*
+ 30162: 9372.*
+ 30163: 9273.*
+ 30164: 6f8d.*
+ 30165: 0d23.*
+ 30166: 9273.*
+ 30167: 6f8d.*
+ 30168: 0e23.*
+ 30169: 9373.*
+ 3016a: 9373.*
+ 3016b: 9274.*
+ 3016c: 6f8d.*
+ 3016d: 0d24.*
+ 3016e: 9274.*
+ 3016f: 6f8d.*
+ 30170: 0e24.*
+ 30171: 9374.*
+ 30172: 9374.*
+ 30173: 9275.*
+ 30174: 6f8d.*
+ 30175: 0d25.*
+ 30176: 9275.*
+ 30177: 6f8d.*
+ 30178: 0e25.*
+ 30179: 9375.*
+ 3017a: 9375.*
+ 3017b: 9276.*
+ 3017c: 6f8d.*
+ 3017d: 0d26.*
+ 3017e: 9276.*
+ 3017f: 6f8d.*
+ 30180: 0e26.*
+ 30181: 9376.*
+ 30182: 9376.*
+ 30183: 9277.*
+ 30184: 6f8d.*
+ 30185: 0d27.*
+ 30186: 9277.*
+ 30187: 6f8d.*
+ 30188: 0e27.*
+ 30189: 9377.*
+ 3018a: 9377.*
+ 3018b: 9278.*
+ 3018c: 6f8d.*
+ 3018d: 0d28.*
+ 3018e: 9278.*
+ 3018f: 6f8d.*
+ 30190: 0e28.*
+ 30191: 9378.*
+ 30192: 9378.*
+ 30193: 9279.*
+ 30194: 6f8d.*
+ 30195: 0d29.*
+ 30196: 9279.*
+ 30197: 6f8d.*
+ 30198: 0e29.*
+ 30199: 9379.*
+ 3019a: 9379.*
+ 3019b: 927a.*
+ 3019c: 6f8d.*
+ 3019d: 0d2a.*
+ 3019e: 927a.*
+ 3019f: 6f8d.*
+ 301a0: 0e2a.*
+ 301a1: 937a.*
+ 301a2: 937a.*
+ 301a3: 927b.*
+ 301a4: 6f8d.*
+ 301a5: 0d2b.*
+ 301a6: 927b.*
+ 301a7: 6f8d.*
+ 301a8: 0e2b.*
+ 301a9: 937b.*
+ 301aa: 937b.*
+ 301ab: 927c.*
+ 301ac: 6f8d.*
+ 301ad: 0d2c.*
+ 301ae: 927c.*
+ 301af: 6f8d.*
+ 301b0: 0e2c.*
+ 301b1: 937c.*
+ 301b2: 937c.*
+ 301b3: 927d.*
+ 301b4: 6f8d.*
+ 301b5: 0d2d.*
+ 301b6: 927d.*
+ 301b7: 6f8d.*
+ 301b8: 0e2d.*
+ 301b9: 937d.*
+ 301ba: 937d.*
+ 301bb: 927e.*
+ 301bc: 6f8d.*
+ 301bd: 0d2e.*
+ 301be: 927e.*
+ 301bf: 6f8d.*
+ 301c0: 0e2e.*
+ 301c1: 937e.*
+ 301c2: 937e.*
+ 301c3: 927f.*
+ 301c4: 6f8d.*
+ 301c5: 0d2f.*
+ 301c6: 927f.*
+ 301c7: 6f8d.*
+ 301c8: 0e2f.*
+ 301c9: 937f.*
+ 301ca: 937f.*
+ 301cb: 0895.*
+ 301cc: 6f95.*
+ 301cd: 0d20.*
+ 301ce: 0895.*
+ 301cf: 6f95.*
+ 301d0: 0e20.*
+ 301d1: 0995.*
+ 301d2: 0995.*
+ 301d3: 6f95.*
+ 301d4: 0c30.*
+ 301d5: 6f95.*
+ 301d6: 0d30.*
+ 301d7: 6f95.*
+ 301d8: 0c30.*
+ 301d9: 6f95.*
+ 301da: 0e30.*
+ 301db: 6f95.*
+ 301dc: 0f30.*
+ 301dd: 6f95.*
+ 301de: 0f30.*
+ 301df: 6f95.*
+ 301e0: 0c31.*
+ 301e1: 6f95.*
+ 301e2: 0d31.*
+ 301e3: 6f95.*
+ 301e4: 0c31.*
+ 301e5: 6f95.*
+ 301e6: 0e31.*
+ 301e7: 6f95.*
+ 301e8: 0f31.*
+ 301e9: 6f95.*
+ 301ea: 0f31.*
+ 301eb: 6f95.*
+ 301ec: 0c32.*
+ 301ed: 6f95.*
+ 301ee: 0d32.*
+ 301ef: 6f95.*
+ 301f0: 0c32.*
+ 301f1: 6f95.*
+ 301f2: 0e32.*
+ 301f3: 6f95.*
+ 301f4: 0f32.*
+ 301f5: 6f95.*
+ 301f6: 0f32.*
+ 301f7: 6f95.*
+ 301f8: 0c33.*
+ 301f9: 6f95.*
+ 301fa: 0d33.*
+ 301fb: 6f95.*
+ 301fc: 0c33.*
+ 301fd: 6f95.*
+ 301fe: 0e33.*
+ 301ff: 6f95.*
+ 30200: 0f33.*
+ 30201: 6f95.*
+ 30202: 0f33.*
+ 30203: 6f95.*
+ 30204: 0c34.*
+ 30205: 6f95.*
+ 30206: 0d34.*
+ 30207: 6f95.*
+ 30208: 0c34.*
+ 30209: 6f95.*
+ 3020a: 0e34.*
+ 3020b: 6f95.*
+ 3020c: 0f34.*
+ 3020d: 6f95.*
+ 3020e: 0f34.*
+ 3020f: 6f95.*
+ 30210: 0c35.*
+ 30211: 6f95.*
+ 30212: 0d35.*
+ 30213: 6f95.*
+ 30214: 0c35.*
+ 30215: 6f95.*
+ 30216: 0e35.*
+ 30217: 6f95.*
+ 30218: 0f35.*
+ 30219: 6f95.*
+ 3021a: 0f35.*
+ 3021b: 6f95.*
+ 3021c: 0c36.*
+ 3021d: 6f95.*
+ 3021e: 0d36.*
+ 3021f: 6f95.*
+ 30220: 0c36.*
+ 30221: 6f95.*
+ 30222: 0e36.*
+ 30223: 6f95.*
+ 30224: 0f36.*
+ 30225: 6f95.*
+ 30226: 0f36.*
+ 30227: 6f95.*
+ 30228: 0c37.*
+ 30229: 6f95.*
+ 3022a: 0d37.*
+ 3022b: 6f95.*
+ 3022c: 0c37.*
+ 3022d: 6f95.*
+ 3022e: 0e37.*
+ 3022f: 6f95.*
+ 30230: 0f37.*
+ 30231: 6f95.*
+ 30232: 0f37.*
+ 30233: 6f95.*
+ 30234: 0c38.*
+ 30235: 6f95.*
+ 30236: 0d38.*
+ 30237: 6f95.*
+ 30238: 0c38.*
+ 30239: 6f95.*
+ 3023a: 0e38.*
+ 3023b: 6f95.*
+ 3023c: 0f38.*
+ 3023d: 6f95.*
+ 3023e: 0f38.*
+ 3023f: 6f95.*
+ 30240: 0c39.*
+ 30241: 6f95.*
+ 30242: 0d39.*
+ 30243: 6f95.*
+ 30244: 0c39.*
+ 30245: 6f95.*
+ 30246: 0e39.*
+ 30247: 6f95.*
+ 30248: 0f39.*
+ 30249: 6f95.*
+ 3024a: 0f39.*
+ 3024b: 6f95.*
+ 3024c: 0c3a.*
+ 3024d: 6f95.*
+ 3024e: 0d3a.*
+ 3024f: 6f95.*
+ 30250: 0c3a.*
+ 30251: 6f95.*
+ 30252: 0e3a.*
+ 30253: 6f95.*
+ 30254: 0f3a.*
+ 30255: 6f95.*
+ 30256: 0f3a.*
+ 30257: 6f95.*
+ 30258: 0c3b.*
+ 30259: 6f95.*
+ 3025a: 0d3b.*
+ 3025b: 6f95.*
+ 3025c: 0c3b.*
+ 3025d: 6f95.*
+ 3025e: 0e3b.*
+ 3025f: 6f95.*
+ 30260: 0f3b.*
+ 30261: 6f95.*
+ 30262: 0f3b.*
+ 30263: 6f95.*
+ 30264: 0c3c.*
+ 30265: 6f95.*
+ 30266: 0d3c.*
+ 30267: 6f95.*
+ 30268: 0c3c.*
+ 30269: 6f95.*
+ 3026a: 0e3c.*
+ 3026b: 6f95.*
+ 3026c: 0f3c.*
+ 3026d: 6f95.*
+ 3026e: 0f3c.*
+ 3026f: 6f95.*
+ 30270: 0c3d.*
+ 30271: 6f95.*
+ 30272: 0d3d.*
+ 30273: 6f95.*
+ 30274: 0c3d.*
+ 30275: 6f95.*
+ 30276: 0e3d.*
+ 30277: 6f95.*
+ 30278: 0f3d.*
+ 30279: 6f95.*
+ 3027a: 0f3d.*
+ 3027b: 6f95.*
+ 3027c: 0c3e.*
+ 3027d: 6f95.*
+ 3027e: 0d3e.*
+ 3027f: 6f95.*
+ 30280: 0c3e.*
+ 30281: 6f95.*
+ 30282: 0e3e.*
+ 30283: 6f95.*
+ 30284: 0f3e.*
+ 30285: 6f95.*
+ 30286: 0f3e.*
+ 30287: 6f95.*
+ 30288: 0c3f.*
+ 30289: 6f95.*
+ 3028a: 0d3f.*
+ 3028b: 6f95.*
+ 3028c: 0c3f.*
+ 3028d: 6f95.*
+ 3028e: 0e3f.*
+ 3028f: 6f95.*
+ 30290: 0f3f.*
+ 30291: 6f95.*
+ 30292: 0f3f.*
+ 30293: 0895.*
+ 30294: 6f95.*
+ 30295: 0d20.*
+ 30296: 0895.*
+ 30297: 6f95.*
+ 30298: 0e20.*
+ 30299: 0995.*
+ 3029a: 0995.*
+ 3029b: 92b1.*
+ 3029c: 6f95.*
+ 3029d: 0d21.*
+ 3029e: 92b1.*
+ 3029f: 6f95.*
+ 302a0: 0e21.*
+ 302a1: 93b1.*
+ 302a2: 93b1.*
+ 302a3: 92b2.*
+ 302a4: 6f95.*
+ 302a5: 0d22.*
+ 302a6: 92b2.*
+ 302a7: 6f95.*
+ 302a8: 0e22.*
+ 302a9: 93b2.*
+ 302aa: 93b2.*
+ 302ab: 92b3.*
+ 302ac: 6f95.*
+ 302ad: 0d23.*
+ 302ae: 92b3.*
+ 302af: 6f95.*
+ 302b0: 0e23.*
+ 302b1: 93b3.*
+ 302b2: 93b3.*
+ 302b3: 92b4.*
+ 302b4: 6f95.*
+ 302b5: 0d24.*
+ 302b6: 92b4.*
+ 302b7: 6f95.*
+ 302b8: 0e24.*
+ 302b9: 93b4.*
+ 302ba: 93b4.*
+ 302bb: 92b5.*
+ 302bc: 6f95.*
+ 302bd: 0d25.*
+ 302be: 92b5.*
+ 302bf: 6f95.*
+ 302c0: 0e25.*
+ 302c1: 93b5.*
+ 302c2: 93b5.*
+ 302c3: 92b6.*
+ 302c4: 6f95.*
+ 302c5: 0d26.*
+ 302c6: 92b6.*
+ 302c7: 6f95.*
+ 302c8: 0e26.*
+ 302c9: 93b6.*
+ 302ca: 93b6.*
+ 302cb: 92b7.*
+ 302cc: 6f95.*
+ 302cd: 0d27.*
+ 302ce: 92b7.*
+ 302cf: 6f95.*
+ 302d0: 0e27.*
+ 302d1: 93b7.*
+ 302d2: 93b7.*
+ 302d3: 92b8.*
+ 302d4: 6f95.*
+ 302d5: 0d28.*
+ 302d6: 92b8.*
+ 302d7: 6f95.*
+ 302d8: 0e28.*
+ 302d9: 93b8.*
+ 302da: 93b8.*
+ 302db: 92b9.*
+ 302dc: 6f95.*
+ 302dd: 0d29.*
+ 302de: 92b9.*
+ 302df: 6f95.*
+ 302e0: 0e29.*
+ 302e1: 93b9.*
+ 302e2: 93b9.*
+ 302e3: 92ba.*
+ 302e4: 6f95.*
+ 302e5: 0d2a.*
+ 302e6: 92ba.*
+ 302e7: 6f95.*
+ 302e8: 0e2a.*
+ 302e9: 93ba.*
+ 302ea: 93ba.*
+ 302eb: 92bb.*
+ 302ec: 6f95.*
+ 302ed: 0d2b.*
+ 302ee: 92bb.*
+ 302ef: 6f95.*
+ 302f0: 0e2b.*
+ 302f1: 93bb.*
+ 302f2: 93bb.*
+ 302f3: 92bc.*
+ 302f4: 6f95.*
+ 302f5: 0d2c.*
+ 302f6: 92bc.*
+ 302f7: 6f95.*
+ 302f8: 0e2c.*
+ 302f9: 93bc.*
+ 302fa: 93bc.*
+ 302fb: 92bd.*
+ 302fc: 6f95.*
+ 302fd: 0d2d.*
+ 302fe: 92bd.*
+ 302ff: 6f95.*
+ 30300: 0e2d.*
+ 30301: 93bd.*
+ 30302: 93bd.*
+ 30303: 92be.*
+ 30304: 6f95.*
+ 30305: 0d2e.*
+ 30306: 92be.*
+ 30307: 6f95.*
+ 30308: 0e2e.*
+ 30309: 93be.*
+ 3030a: 93be.*
+ 3030b: 92bf.*
+ 3030c: 6f95.*
+ 3030d: 0d2f.*
+ 3030e: 92bf.*
+ 3030f: 6f95.*
+ 30310: 0e2f.*
+ 30311: 93bf.*
+ 30312: 93bf.*
+ 30313: 08a5.*
+ 30314: 6fa5.*
+ 30315: 0d20.*
+ 30316: 08a5.*
+ 30317: 6fa5.*
+ 30318: 0e20.*
+ 30319: 09a5.*
+ 3031a: 09a5.*
+ 3031b: 6fa5.*
+ 3031c: 0c30.*
+ 3031d: 6fa5.*
+ 3031e: 0d30.*
+ 3031f: 6fa5.*
+ 30320: 0c30.*
+ 30321: 6fa5.*
+ 30322: 0e30.*
+ 30323: 6fa5.*
+ 30324: 0f30.*
+ 30325: 6fa5.*
+ 30326: 0f30.*
+ 30327: 6fa5.*
+ 30328: 0c31.*
+ 30329: 6fa5.*
+ 3032a: 0d31.*
+ 3032b: 6fa5.*
+ 3032c: 0c31.*
+ 3032d: 6fa5.*
+ 3032e: 0e31.*
+ 3032f: 6fa5.*
+ 30330: 0f31.*
+ 30331: 6fa5.*
+ 30332: 0f31.*
+ 30333: 6fa5.*
+ 30334: 0c32.*
+ 30335: 6fa5.*
+ 30336: 0d32.*
+ 30337: 6fa5.*
+ 30338: 0c32.*
+ 30339: 6fa5.*
+ 3033a: 0e32.*
+ 3033b: 6fa5.*
+ 3033c: 0f32.*
+ 3033d: 6fa5.*
+ 3033e: 0f32.*
+ 3033f: 6fa5.*
+ 30340: 0c33.*
+ 30341: 6fa5.*
+ 30342: 0d33.*
+ 30343: 6fa5.*
+ 30344: 0c33.*
+ 30345: 6fa5.*
+ 30346: 0e33.*
+ 30347: 6fa5.*
+ 30348: 0f33.*
+ 30349: 6fa5.*
+ 3034a: 0f33.*
+ 3034b: 6fa5.*
+ 3034c: 0c34.*
+ 3034d: 6fa5.*
+ 3034e: 0d34.*
+ 3034f: 6fa5.*
+ 30350: 0c34.*
+ 30351: 6fa5.*
+ 30352: 0e34.*
+ 30353: 6fa5.*
+ 30354: 0f34.*
+ 30355: 6fa5.*
+ 30356: 0f34.*
+ 30357: 6fa5.*
+ 30358: 0c35.*
+ 30359: 6fa5.*
+ 3035a: 0d35.*
+ 3035b: 6fa5.*
+ 3035c: 0c35.*
+ 3035d: 6fa5.*
+ 3035e: 0e35.*
+ 3035f: 6fa5.*
+ 30360: 0f35.*
+ 30361: 6fa5.*
+ 30362: 0f35.*
+ 30363: 6fa5.*
+ 30364: 0c36.*
+ 30365: 6fa5.*
+ 30366: 0d36.*
+ 30367: 6fa5.*
+ 30368: 0c36.*
+ 30369: 6fa5.*
+ 3036a: 0e36.*
+ 3036b: 6fa5.*
+ 3036c: 0f36.*
+ 3036d: 6fa5.*
+ 3036e: 0f36.*
+ 3036f: 6fa5.*
+ 30370: 0c37.*
+ 30371: 6fa5.*
+ 30372: 0d37.*
+ 30373: 6fa5.*
+ 30374: 0c37.*
+ 30375: 6fa5.*
+ 30376: 0e37.*
+ 30377: 6fa5.*
+ 30378: 0f37.*
+ 30379: 6fa5.*
+ 3037a: 0f37.*
+ 3037b: 6fa5.*
+ 3037c: 0c38.*
+ 3037d: 6fa5.*
+ 3037e: 0d38.*
+ 3037f: 6fa5.*
+ 30380: 0c38.*
+ 30381: 6fa5.*
+ 30382: 0e38.*
+ 30383: 6fa5.*
+ 30384: 0f38.*
+ 30385: 6fa5.*
+ 30386: 0f38.*
+ 30387: 6fa5.*
+ 30388: 0c39.*
+ 30389: 6fa5.*
+ 3038a: 0d39.*
+ 3038b: 6fa5.*
+ 3038c: 0c39.*
+ 3038d: 6fa5.*
+ 3038e: 0e39.*
+ 3038f: 6fa5.*
+ 30390: 0f39.*
+ 30391: 6fa5.*
+ 30392: 0f39.*
+ 30393: 6fa5.*
+ 30394: 0c3a.*
+ 30395: 6fa5.*
+ 30396: 0d3a.*
+ 30397: 6fa5.*
+ 30398: 0c3a.*
+ 30399: 6fa5.*
+ 3039a: 0e3a.*
+ 3039b: 6fa5.*
+ 3039c: 0f3a.*
+ 3039d: 6fa5.*
+ 3039e: 0f3a.*
+ 3039f: 6fa5.*
+ 303a0: 0c3b.*
+ 303a1: 6fa5.*
+ 303a2: 0d3b.*
+ 303a3: 6fa5.*
+ 303a4: 0c3b.*
+ 303a5: 6fa5.*
+ 303a6: 0e3b.*
+ 303a7: 6fa5.*
+ 303a8: 0f3b.*
+ 303a9: 6fa5.*
+ 303aa: 0f3b.*
+ 303ab: 6fa5.*
+ 303ac: 0c3c.*
+ 303ad: 6fa5.*
+ 303ae: 0d3c.*
+ 303af: 6fa5.*
+ 303b0: 0c3c.*
+ 303b1: 6fa5.*
+ 303b2: 0e3c.*
+ 303b3: 6fa5.*
+ 303b4: 0f3c.*
+ 303b5: 6fa5.*
+ 303b6: 0f3c.*
+ 303b7: 6fa5.*
+ 303b8: 0c3d.*
+ 303b9: 6fa5.*
+ 303ba: 0d3d.*
+ 303bb: 6fa5.*
+ 303bc: 0c3d.*
+ 303bd: 6fa5.*
+ 303be: 0e3d.*
+ 303bf: 6fa5.*
+ 303c0: 0f3d.*
+ 303c1: 6fa5.*
+ 303c2: 0f3d.*
+ 303c3: 6fa5.*
+ 303c4: 0c3e.*
+ 303c5: 6fa5.*
+ 303c6: 0d3e.*
+ 303c7: 6fa5.*
+ 303c8: 0c3e.*
+ 303c9: 6fa5.*
+ 303ca: 0e3e.*
+ 303cb: 6fa5.*
+ 303cc: 0f3e.*
+ 303cd: 6fa5.*
+ 303ce: 0f3e.*
+ 303cf: 6fa5.*
+ 303d0: 0c3f.*
+ 303d1: 6fa5.*
+ 303d2: 0d3f.*
+ 303d3: 6fa5.*
+ 303d4: 0c3f.*
+ 303d5: 6fa5.*
+ 303d6: 0e3f.*
+ 303d7: 6fa5.*
+ 303d8: 0f3f.*
+ 303d9: 6fa5.*
+ 303da: 0f3f.*
+ 303db: 08a5.*
+ 303dc: 6fa5.*
+ 303dd: 0d20.*
+ 303de: 08a5.*
+ 303df: 6fa5.*
+ 303e0: 0e20.*
+ 303e1: 09a5.*
+ 303e2: 09a5.*
+ 303e3: 6fa5.*
+ 303e4: 0c21.*
+ 303e5: 6fa5.*
+ 303e6: 0d21.*
+ 303e7: 6fa5.*
+ 303e8: 0c21.*
+ 303e9: 6fa5.*
+ 303ea: 0e21.*
+ 303eb: 6fa5.*
+ 303ec: 0f21.*
+ 303ed: 6fa5.*
+ 303ee: 0f21.*
+ 303ef: 6fa5.*
+ 303f0: 0c22.*
+ 303f1: 6fa5.*
+ 303f2: 0d22.*
+ 303f3: 6fa5.*
+ 303f4: 0c22.*
+ 303f5: 6fa5.*
+ 303f6: 0e22.*
+ 303f7: 6fa5.*
+ 303f8: 0f22.*
+ 303f9: 6fa5.*
+ 303fa: 0f22.*
+ 303fb: 6fa5.*
+ 303fc: 0c23.*
+ 303fd: 6fa5.*
+ 303fe: 0d23.*
+ 303ff: 6fa5.*
+ 30400: 0c23.*
+ 30401: 6fa5.*
+ 30402: 0e23.*
+ 30403: 6fa5.*
+ 30404: 0f23.*
+ 30405: 6fa5.*
+ 30406: 0f23.*
+ 30407: 6fa5.*
+ 30408: 0c24.*
+ 30409: 6fa5.*
+ 3040a: 0d24.*
+ 3040b: 6fa5.*
+ 3040c: 0c24.*
+ 3040d: 6fa5.*
+ 3040e: 0e24.*
+ 3040f: 6fa5.*
+ 30410: 0f24.*
+ 30411: 6fa5.*
+ 30412: 0f24.*
+ 30413: 6fa5.*
+ 30414: 0c25.*
+ 30415: 6fa5.*
+ 30416: 0d25.*
+ 30417: 6fa5.*
+ 30418: 0c25.*
+ 30419: 6fa5.*
+ 3041a: 0e25.*
+ 3041b: 6fa5.*
+ 3041c: 0f25.*
+ 3041d: 6fa5.*
+ 3041e: 0f25.*
+ 3041f: 6fa5.*
+ 30420: 0c26.*
+ 30421: 6fa5.*
+ 30422: 0d26.*
+ 30423: 6fa5.*
+ 30424: 0c26.*
+ 30425: 6fa5.*
+ 30426: 0e26.*
+ 30427: 6fa5.*
+ 30428: 0f26.*
+ 30429: 6fa5.*
+ 3042a: 0f26.*
+ 3042b: 6fa5.*
+ 3042c: 0c27.*
+ 3042d: 6fa5.*
+ 3042e: 0d27.*
+ 3042f: 6fa5.*
+ 30430: 0c27.*
+ 30431: 6fa5.*
+ 30432: 0e27.*
+ 30433: 6fa5.*
+ 30434: 0f27.*
+ 30435: 6fa5.*
+ 30436: 0f27.*
+ 30437: 6fa5.*
+ 30438: 0c28.*
+ 30439: 6fa5.*
+ 3043a: 0d28.*
+ 3043b: 6fa5.*
+ 3043c: 0c28.*
+ 3043d: 6fa5.*
+ 3043e: 0e28.*
+ 3043f: 6fa5.*
+ 30440: 0f28.*
+ 30441: 6fa5.*
+ 30442: 0f28.*
+ 30443: 6fa5.*
+ 30444: 0c29.*
+ 30445: 6fa5.*
+ 30446: 0d29.*
+ 30447: 6fa5.*
+ 30448: 0c29.*
+ 30449: 6fa5.*
+ 3044a: 0e29.*
+ 3044b: 6fa5.*
+ 3044c: 0f29.*
+ 3044d: 6fa5.*
+ 3044e: 0f29.*
+ 3044f: 6fa5.*
+ 30450: 0c2a.*
+ 30451: 6fa5.*
+ 30452: 0d2a.*
+ 30453: 6fa5.*
+ 30454: 0c2a.*
+ 30455: 6fa5.*
+ 30456: 0e2a.*
+ 30457: 6fa5.*
+ 30458: 0f2a.*
+ 30459: 6fa5.*
+ 3045a: 0f2a.*
+ 3045b: 6fa5.*
+ 3045c: 0c2b.*
+ 3045d: 6fa5.*
+ 3045e: 0d2b.*
+ 3045f: 6fa5.*
+ 30460: 0c2b.*
+ 30461: 6fa5.*
+ 30462: 0e2b.*
+ 30463: 6fa5.*
+ 30464: 0f2b.*
+ 30465: 6fa5.*
+ 30466: 0f2b.*
+ 30467: 6fa5.*
+ 30468: 0c2c.*
+ 30469: 6fa5.*
+ 3046a: 0d2c.*
+ 3046b: 6fa5.*
+ 3046c: 0c2c.*
+ 3046d: 6fa5.*
+ 3046e: 0e2c.*
+ 3046f: 6fa5.*
+ 30470: 0f2c.*
+ 30471: 6fa5.*
+ 30472: 0f2c.*
+ 30473: 6fa5.*
+ 30474: 0c2d.*
+ 30475: 6fa5.*
+ 30476: 0d2d.*
+ 30477: 6fa5.*
+ 30478: 0c2d.*
+ 30479: 6fa5.*
+ 3047a: 0e2d.*
+ 3047b: 6fa5.*
+ 3047c: 0f2d.*
+ 3047d: 6fa5.*
+ 3047e: 0f2d.*
+ 3047f: 6fa5.*
+ 30480: 0c2e.*
+ 30481: 6fa5.*
+ 30482: 0d2e.*
+ 30483: 6fa5.*
+ 30484: 0c2e.*
+ 30485: 6fa5.*
+ 30486: 0e2e.*
+ 30487: 6fa5.*
+ 30488: 0f2e.*
+ 30489: 6fa5.*
+ 3048a: 0f2e.*
+ 3048b: 6fa5.*
+ 3048c: 0c2f.*
+ 3048d: 6fa5.*
+ 3048e: 0d2f.*
+ 3048f: 6fa5.*
+ 30490: 0c2f.*
+ 30491: 6fa5.*
+ 30492: 0e2f.*
+ 30493: 6fa5.*
+ 30494: 0f2f.*
+ 30495: 6fa5.*
+ 30496: 0f2f.*
+ 30497: 08ad.*
+ 30498: 6fad.*
+ 30499: 0d20.*
+ 3049a: 08ad.*
+ 3049b: 6fad.*
+ 3049c: 0e20.*
+ 3049d: 09ad.*
+ 3049e: 09ad.*
+ 3049f: 6fad.*
+ 304a0: 0c30.*
+ 304a1: 6fad.*
+ 304a2: 0d30.*
+ 304a3: 6fad.*
+ 304a4: 0c30.*
+ 304a5: 6fad.*
+ 304a6: 0e30.*
+ 304a7: 6fad.*
+ 304a8: 0f30.*
+ 304a9: 6fad.*
+ 304aa: 0f30.*
+ 304ab: 6fad.*
+ 304ac: 0c31.*
+ 304ad: 6fad.*
+ 304ae: 0d31.*
+ 304af: 6fad.*
+ 304b0: 0c31.*
+ 304b1: 6fad.*
+ 304b2: 0e31.*
+ 304b3: 6fad.*
+ 304b4: 0f31.*
+ 304b5: 6fad.*
+ 304b6: 0f31.*
+ 304b7: 6fad.*
+ 304b8: 0c32.*
+ 304b9: 6fad.*
+ 304ba: 0d32.*
+ 304bb: 6fad.*
+ 304bc: 0c32.*
+ 304bd: 6fad.*
+ 304be: 0e32.*
+ 304bf: 6fad.*
+ 304c0: 0f32.*
+ 304c1: 6fad.*
+ 304c2: 0f32.*
+ 304c3: 6fad.*
+ 304c4: 0c33.*
+ 304c5: 6fad.*
+ 304c6: 0d33.*
+ 304c7: 6fad.*
+ 304c8: 0c33.*
+ 304c9: 6fad.*
+ 304ca: 0e33.*
+ 304cb: 6fad.*
+ 304cc: 0f33.*
+ 304cd: 6fad.*
+ 304ce: 0f33.*
+ 304cf: 6fad.*
+ 304d0: 0c34.*
+ 304d1: 6fad.*
+ 304d2: 0d34.*
+ 304d3: 6fad.*
+ 304d4: 0c34.*
+ 304d5: 6fad.*
+ 304d6: 0e34.*
+ 304d7: 6fad.*
+ 304d8: 0f34.*
+ 304d9: 6fad.*
+ 304da: 0f34.*
+ 304db: 6fad.*
+ 304dc: 0c35.*
+ 304dd: 6fad.*
+ 304de: 0d35.*
+ 304df: 6fad.*
+ 304e0: 0c35.*
+ 304e1: 6fad.*
+ 304e2: 0e35.*
+ 304e3: 6fad.*
+ 304e4: 0f35.*
+ 304e5: 6fad.*
+ 304e6: 0f35.*
+ 304e7: 6fad.*
+ 304e8: 0c36.*
+ 304e9: 6fad.*
+ 304ea: 0d36.*
+ 304eb: 6fad.*
+ 304ec: 0c36.*
+ 304ed: 6fad.*
+ 304ee: 0e36.*
+ 304ef: 6fad.*
+ 304f0: 0f36.*
+ 304f1: 6fad.*
+ 304f2: 0f36.*
+ 304f3: 6fad.*
+ 304f4: 0c37.*
+ 304f5: 6fad.*
+ 304f6: 0d37.*
+ 304f7: 6fad.*
+ 304f8: 0c37.*
+ 304f9: 6fad.*
+ 304fa: 0e37.*
+ 304fb: 6fad.*
+ 304fc: 0f37.*
+ 304fd: 6fad.*
+ 304fe: 0f37.*
+ 304ff: 6fad.*
+ 30500: 0c38.*
+ 30501: 6fad.*
+ 30502: 0d38.*
+ 30503: 6fad.*
+ 30504: 0c38.*
+ 30505: 6fad.*
+ 30506: 0e38.*
+ 30507: 6fad.*
+ 30508: 0f38.*
+ 30509: 6fad.*
+ 3050a: 0f38.*
+ 3050b: 6fad.*
+ 3050c: 0c39.*
+ 3050d: 6fad.*
+ 3050e: 0d39.*
+ 3050f: 6fad.*
+ 30510: 0c39.*
+ 30511: 6fad.*
+ 30512: 0e39.*
+ 30513: 6fad.*
+ 30514: 0f39.*
+ 30515: 6fad.*
+ 30516: 0f39.*
+ 30517: 6fad.*
+ 30518: 0c3a.*
+ 30519: 6fad.*
+ 3051a: 0d3a.*
+ 3051b: 6fad.*
+ 3051c: 0c3a.*
+ 3051d: 6fad.*
+ 3051e: 0e3a.*
+ 3051f: 6fad.*
+ 30520: 0f3a.*
+ 30521: 6fad.*
+ 30522: 0f3a.*
+ 30523: 6fad.*
+ 30524: 0c3b.*
+ 30525: 6fad.*
+ 30526: 0d3b.*
+ 30527: 6fad.*
+ 30528: 0c3b.*
+ 30529: 6fad.*
+ 3052a: 0e3b.*
+ 3052b: 6fad.*
+ 3052c: 0f3b.*
+ 3052d: 6fad.*
+ 3052e: 0f3b.*
+ 3052f: 6fad.*
+ 30530: 0c3c.*
+ 30531: 6fad.*
+ 30532: 0d3c.*
+ 30533: 6fad.*
+ 30534: 0c3c.*
+ 30535: 6fad.*
+ 30536: 0e3c.*
+ 30537: 6fad.*
+ 30538: 0f3c.*
+ 30539: 6fad.*
+ 3053a: 0f3c.*
+ 3053b: 6fad.*
+ 3053c: 0c3d.*
+ 3053d: 6fad.*
+ 3053e: 0d3d.*
+ 3053f: 6fad.*
+ 30540: 0c3d.*
+ 30541: 6fad.*
+ 30542: 0e3d.*
+ 30543: 6fad.*
+ 30544: 0f3d.*
+ 30545: 6fad.*
+ 30546: 0f3d.*
+ 30547: 6fad.*
+ 30548: 0c3e.*
+ 30549: 6fad.*
+ 3054a: 0d3e.*
+ 3054b: 6fad.*
+ 3054c: 0c3e.*
+ 3054d: 6fad.*
+ 3054e: 0e3e.*
+ 3054f: 6fad.*
+ 30550: 0f3e.*
+ 30551: 6fad.*
+ 30552: 0f3e.*
+ 30553: 6fad.*
+ 30554: 0c3f.*
+ 30555: 6fad.*
+ 30556: 0d3f.*
+ 30557: 6fad.*
+ 30558: 0c3f.*
+ 30559: 6fad.*
+ 3055a: 0e3f.*
+ 3055b: 6fad.*
+ 3055c: 0f3f.*
+ 3055d: 6fad.*
+ 3055e: 0f3f.*
+ 3055f: 08ad.*
+ 30560: 6fad.*
+ 30561: 0d20.*
+ 30562: 08ad.*
+ 30563: 6fad.*
+ 30564: 0e20.*
+ 30565: 09ad.*
+ 30566: 09ad.*
+ 30567: 6fad.*
+ 30568: 0c21.*
+ 30569: 6fad.*
+ 3056a: 0d21.*
+ 3056b: 6fad.*
+ 3056c: 0c21.*
+ 3056d: 6fad.*
+ 3056e: 0e21.*
+ 3056f: 6fad.*
+ 30570: 0f21.*
+ 30571: 6fad.*
+ 30572: 0f21.*
+ 30573: 6fad.*
+ 30574: 0c22.*
+ 30575: 6fad.*
+ 30576: 0d22.*
+ 30577: 6fad.*
+ 30578: 0c22.*
+ 30579: 6fad.*
+ 3057a: 0e22.*
+ 3057b: 6fad.*
+ 3057c: 0f22.*
+ 3057d: 6fad.*
+ 3057e: 0f22.*
+ 3057f: 6fad.*
+ 30580: 0c23.*
+ 30581: 6fad.*
+ 30582: 0d23.*
+ 30583: 6fad.*
+ 30584: 0c23.*
+ 30585: 6fad.*
+ 30586: 0e23.*
+ 30587: 6fad.*
+ 30588: 0f23.*
+ 30589: 6fad.*
+ 3058a: 0f23.*
+ 3058b: 6fad.*
+ 3058c: 0c24.*
+ 3058d: 6fad.*
+ 3058e: 0d24.*
+ 3058f: 6fad.*
+ 30590: 0c24.*
+ 30591: 6fad.*
+ 30592: 0e24.*
+ 30593: 6fad.*
+ 30594: 0f24.*
+ 30595: 6fad.*
+ 30596: 0f24.*
+ 30597: 6fad.*
+ 30598: 0c25.*
+ 30599: 6fad.*
+ 3059a: 0d25.*
+ 3059b: 6fad.*
+ 3059c: 0c25.*
+ 3059d: 6fad.*
+ 3059e: 0e25.*
+ 3059f: 6fad.*
+ 305a0: 0f25.*
+ 305a1: 6fad.*
+ 305a2: 0f25.*
+ 305a3: 6fad.*
+ 305a4: 0c26.*
+ 305a5: 6fad.*
+ 305a6: 0d26.*
+ 305a7: 6fad.*
+ 305a8: 0c26.*
+ 305a9: 6fad.*
+ 305aa: 0e26.*
+ 305ab: 6fad.*
+ 305ac: 0f26.*
+ 305ad: 6fad.*
+ 305ae: 0f26.*
+ 305af: 6fad.*
+ 305b0: 0c27.*
+ 305b1: 6fad.*
+ 305b2: 0d27.*
+ 305b3: 6fad.*
+ 305b4: 0c27.*
+ 305b5: 6fad.*
+ 305b6: 0e27.*
+ 305b7: 6fad.*
+ 305b8: 0f27.*
+ 305b9: 6fad.*
+ 305ba: 0f27.*
+ 305bb: 6fad.*
+ 305bc: 0c28.*
+ 305bd: 6fad.*
+ 305be: 0d28.*
+ 305bf: 6fad.*
+ 305c0: 0c28.*
+ 305c1: 6fad.*
+ 305c2: 0e28.*
+ 305c3: 6fad.*
+ 305c4: 0f28.*
+ 305c5: 6fad.*
+ 305c6: 0f28.*
+ 305c7: 6fad.*
+ 305c8: 0c29.*
+ 305c9: 6fad.*
+ 305ca: 0d29.*
+ 305cb: 6fad.*
+ 305cc: 0c29.*
+ 305cd: 6fad.*
+ 305ce: 0e29.*
+ 305cf: 6fad.*
+ 305d0: 0f29.*
+ 305d1: 6fad.*
+ 305d2: 0f29.*
+ 305d3: 6fad.*
+ 305d4: 0c2a.*
+ 305d5: 6fad.*
+ 305d6: 0d2a.*
+ 305d7: 6fad.*
+ 305d8: 0c2a.*
+ 305d9: 6fad.*
+ 305da: 0e2a.*
+ 305db: 6fad.*
+ 305dc: 0f2a.*
+ 305dd: 6fad.*
+ 305de: 0f2a.*
+ 305df: 6fad.*
+ 305e0: 0c2b.*
+ 305e1: 6fad.*
+ 305e2: 0d2b.*
+ 305e3: 6fad.*
+ 305e4: 0c2b.*
+ 305e5: 6fad.*
+ 305e6: 0e2b.*
+ 305e7: 6fad.*
+ 305e8: 0f2b.*
+ 305e9: 6fad.*
+ 305ea: 0f2b.*
+ 305eb: 6fad.*
+ 305ec: 0c2c.*
+ 305ed: 6fad.*
+ 305ee: 0d2c.*
+ 305ef: 6fad.*
+ 305f0: 0c2c.*
+ 305f1: 6fad.*
+ 305f2: 0e2c.*
+ 305f3: 6fad.*
+ 305f4: 0f2c.*
+ 305f5: 6fad.*
+ 305f6: 0f2c.*
+ 305f7: 6fad.*
+ 305f8: 0c2d.*
+ 305f9: 6fad.*
+ 305fa: 0d2d.*
+ 305fb: 6fad.*
+ 305fc: 0c2d.*
+ 305fd: 6fad.*
+ 305fe: 0e2d.*
+ 305ff: 6fad.*
+ 30600: 0f2d.*
+ 30601: 6fad.*
+ 30602: 0f2d.*
+ 30603: 6fad.*
+ 30604: 0c2e.*
+ 30605: 6fad.*
+ 30606: 0d2e.*
+ 30607: 6fad.*
+ 30608: 0c2e.*
+ 30609: 6fad.*
+ 3060a: 0e2e.*
+ 3060b: 6fad.*
+ 3060c: 0f2e.*
+ 3060d: 6fad.*
+ 3060e: 0f2e.*
+ 3060f: 6fad.*
+ 30610: 0c2f.*
+ 30611: 6fad.*
+ 30612: 0d2f.*
+ 30613: 6fad.*
+ 30614: 0c2f.*
+ 30615: 6fad.*
+ 30616: 0e2f.*
+ 30617: 6fad.*
+ 30618: 0f2f.*
+ 30619: 6fad.*
+ 3061a: 0f2f.*
+ 3061b: 08b5.*
+ 3061c: 6fb5.*
+ 3061d: 0d20.*
+ 3061e: 08b5.*
+ 3061f: 6fb5.*
+ 30620: 0e20.*
+ 30621: 09b5.*
+ 30622: 09b5.*
+ 30623: 6fb5.*
+ 30624: 0c30.*
+ 30625: 6fb5.*
+ 30626: 0d30.*
+ 30627: 6fb5.*
+ 30628: 0c30.*
+ 30629: 6fb5.*
+ 3062a: 0e30.*
+ 3062b: 6fb5.*
+ 3062c: 0f30.*
+ 3062d: 6fb5.*
+ 3062e: 0f30.*
+ 3062f: 6fb5.*
+ 30630: 0c31.*
+ 30631: 6fb5.*
+ 30632: 0d31.*
+ 30633: 6fb5.*
+ 30634: 0c31.*
+ 30635: 6fb5.*
+ 30636: 0e31.*
+ 30637: 6fb5.*
+ 30638: 0f31.*
+ 30639: 6fb5.*
+ 3063a: 0f31.*
+ 3063b: 6fb5.*
+ 3063c: 0c32.*
+ 3063d: 6fb5.*
+ 3063e: 0d32.*
+ 3063f: 6fb5.*
+ 30640: 0c32.*
+ 30641: 6fb5.*
+ 30642: 0e32.*
+ 30643: 6fb5.*
+ 30644: 0f32.*
+ 30645: 6fb5.*
+ 30646: 0f32.*
+ 30647: 6fb5.*
+ 30648: 0c33.*
+ 30649: 6fb5.*
+ 3064a: 0d33.*
+ 3064b: 6fb5.*
+ 3064c: 0c33.*
+ 3064d: 6fb5.*
+ 3064e: 0e33.*
+ 3064f: 6fb5.*
+ 30650: 0f33.*
+ 30651: 6fb5.*
+ 30652: 0f33.*
+ 30653: 6fb5.*
+ 30654: 0c34.*
+ 30655: 6fb5.*
+ 30656: 0d34.*
+ 30657: 6fb5.*
+ 30658: 0c34.*
+ 30659: 6fb5.*
+ 3065a: 0e34.*
+ 3065b: 6fb5.*
+ 3065c: 0f34.*
+ 3065d: 6fb5.*
+ 3065e: 0f34.*
+ 3065f: 6fb5.*
+ 30660: 0c35.*
+ 30661: 6fb5.*
+ 30662: 0d35.*
+ 30663: 6fb5.*
+ 30664: 0c35.*
+ 30665: 6fb5.*
+ 30666: 0e35.*
+ 30667: 6fb5.*
+ 30668: 0f35.*
+ 30669: 6fb5.*
+ 3066a: 0f35.*
+ 3066b: 6fb5.*
+ 3066c: 0c36.*
+ 3066d: 6fb5.*
+ 3066e: 0d36.*
+ 3066f: 6fb5.*
+ 30670: 0c36.*
+ 30671: 6fb5.*
+ 30672: 0e36.*
+ 30673: 6fb5.*
+ 30674: 0f36.*
+ 30675: 6fb5.*
+ 30676: 0f36.*
+ 30677: 6fb5.*
+ 30678: 0c37.*
+ 30679: 6fb5.*
+ 3067a: 0d37.*
+ 3067b: 6fb5.*
+ 3067c: 0c37.*
+ 3067d: 6fb5.*
+ 3067e: 0e37.*
+ 3067f: 6fb5.*
+ 30680: 0f37.*
+ 30681: 6fb5.*
+ 30682: 0f37.*
+ 30683: 6fb5.*
+ 30684: 0c38.*
+ 30685: 6fb5.*
+ 30686: 0d38.*
+ 30687: 6fb5.*
+ 30688: 0c38.*
+ 30689: 6fb5.*
+ 3068a: 0e38.*
+ 3068b: 6fb5.*
+ 3068c: 0f38.*
+ 3068d: 6fb5.*
+ 3068e: 0f38.*
+ 3068f: 6fb5.*
+ 30690: 0c39.*
+ 30691: 6fb5.*
+ 30692: 0d39.*
+ 30693: 6fb5.*
+ 30694: 0c39.*
+ 30695: 6fb5.*
+ 30696: 0e39.*
+ 30697: 6fb5.*
+ 30698: 0f39.*
+ 30699: 6fb5.*
+ 3069a: 0f39.*
+ 3069b: 6fb5.*
+ 3069c: 0c3a.*
+ 3069d: 6fb5.*
+ 3069e: 0d3a.*
+ 3069f: 6fb5.*
+ 306a0: 0c3a.*
+ 306a1: 6fb5.*
+ 306a2: 0e3a.*
+ 306a3: 6fb5.*
+ 306a4: 0f3a.*
+ 306a5: 6fb5.*
+ 306a6: 0f3a.*
+ 306a7: 6fb5.*
+ 306a8: 0c3b.*
+ 306a9: 6fb5.*
+ 306aa: 0d3b.*
+ 306ab: 6fb5.*
+ 306ac: 0c3b.*
+ 306ad: 6fb5.*
+ 306ae: 0e3b.*
+ 306af: 6fb5.*
+ 306b0: 0f3b.*
+ 306b1: 6fb5.*
+ 306b2: 0f3b.*
+ 306b3: 6fb5.*
+ 306b4: 0c3c.*
+ 306b5: 6fb5.*
+ 306b6: 0d3c.*
+ 306b7: 6fb5.*
+ 306b8: 0c3c.*
+ 306b9: 6fb5.*
+ 306ba: 0e3c.*
+ 306bb: 6fb5.*
+ 306bc: 0f3c.*
+ 306bd: 6fb5.*
+ 306be: 0f3c.*
+ 306bf: 6fb5.*
+ 306c0: 0c3d.*
+ 306c1: 6fb5.*
+ 306c2: 0d3d.*
+ 306c3: 6fb5.*
+ 306c4: 0c3d.*
+ 306c5: 6fb5.*
+ 306c6: 0e3d.*
+ 306c7: 6fb5.*
+ 306c8: 0f3d.*
+ 306c9: 6fb5.*
+ 306ca: 0f3d.*
+ 306cb: 6fb5.*
+ 306cc: 0c3e.*
+ 306cd: 6fb5.*
+ 306ce: 0d3e.*
+ 306cf: 6fb5.*
+ 306d0: 0c3e.*
+ 306d1: 6fb5.*
+ 306d2: 0e3e.*
+ 306d3: 6fb5.*
+ 306d4: 0f3e.*
+ 306d5: 6fb5.*
+ 306d6: 0f3e.*
+ 306d7: 6fb5.*
+ 306d8: 0c3f.*
+ 306d9: 6fb5.*
+ 306da: 0d3f.*
+ 306db: 6fb5.*
+ 306dc: 0c3f.*
+ 306dd: 6fb5.*
+ 306de: 0e3f.*
+ 306df: 6fb5.*
+ 306e0: 0f3f.*
+ 306e1: 6fb5.*
+ 306e2: 0f3f.*
+ 306e3: 08b5.*
+ 306e4: 6fb5.*
+ 306e5: 0d20.*
+ 306e6: 08b5.*
+ 306e7: 6fb5.*
+ 306e8: 0e20.*
+ 306e9: 09b5.*
+ 306ea: 09b5.*
+ 306eb: 6fb5.*
+ 306ec: 0c21.*
+ 306ed: 6fb5.*
+ 306ee: 0d21.*
+ 306ef: 6fb5.*
+ 306f0: 0c21.*
+ 306f1: 6fb5.*
+ 306f2: 0e21.*
+ 306f3: 6fb5.*
+ 306f4: 0f21.*
+ 306f5: 6fb5.*
+ 306f6: 0f21.*
+ 306f7: 6fb5.*
+ 306f8: 0c22.*
+ 306f9: 6fb5.*
+ 306fa: 0d22.*
+ 306fb: 6fb5.*
+ 306fc: 0c22.*
+ 306fd: 6fb5.*
+ 306fe: 0e22.*
+ 306ff: 6fb5.*
+ 30700: 0f22.*
+ 30701: 6fb5.*
+ 30702: 0f22.*
+ 30703: 6fb5.*
+ 30704: 0c23.*
+ 30705: 6fb5.*
+ 30706: 0d23.*
+ 30707: 6fb5.*
+ 30708: 0c23.*
+ 30709: 6fb5.*
+ 3070a: 0e23.*
+ 3070b: 6fb5.*
+ 3070c: 0f23.*
+ 3070d: 6fb5.*
+ 3070e: 0f23.*
+ 3070f: 6fb5.*
+ 30710: 0c24.*
+ 30711: 6fb5.*
+ 30712: 0d24.*
+ 30713: 6fb5.*
+ 30714: 0c24.*
+ 30715: 6fb5.*
+ 30716: 0e24.*
+ 30717: 6fb5.*
+ 30718: 0f24.*
+ 30719: 6fb5.*
+ 3071a: 0f24.*
+ 3071b: 6fb5.*
+ 3071c: 0c25.*
+ 3071d: 6fb5.*
+ 3071e: 0d25.*
+ 3071f: 6fb5.*
+ 30720: 0c25.*
+ 30721: 6fb5.*
+ 30722: 0e25.*
+ 30723: 6fb5.*
+ 30724: 0f25.*
+ 30725: 6fb5.*
+ 30726: 0f25.*
+ 30727: 6fb5.*
+ 30728: 0c26.*
+ 30729: 6fb5.*
+ 3072a: 0d26.*
+ 3072b: 6fb5.*
+ 3072c: 0c26.*
+ 3072d: 6fb5.*
+ 3072e: 0e26.*
+ 3072f: 6fb5.*
+ 30730: 0f26.*
+ 30731: 6fb5.*
+ 30732: 0f26.*
+ 30733: 6fb5.*
+ 30734: 0c27.*
+ 30735: 6fb5.*
+ 30736: 0d27.*
+ 30737: 6fb5.*
+ 30738: 0c27.*
+ 30739: 6fb5.*
+ 3073a: 0e27.*
+ 3073b: 6fb5.*
+ 3073c: 0f27.*
+ 3073d: 6fb5.*
+ 3073e: 0f27.*
+ 3073f: 6fb5.*
+ 30740: 0c28.*
+ 30741: 6fb5.*
+ 30742: 0d28.*
+ 30743: 6fb5.*
+ 30744: 0c28.*
+ 30745: 6fb5.*
+ 30746: 0e28.*
+ 30747: 6fb5.*
+ 30748: 0f28.*
+ 30749: 6fb5.*
+ 3074a: 0f28.*
+ 3074b: 6fb5.*
+ 3074c: 0c29.*
+ 3074d: 6fb5.*
+ 3074e: 0d29.*
+ 3074f: 6fb5.*
+ 30750: 0c29.*
+ 30751: 6fb5.*
+ 30752: 0e29.*
+ 30753: 6fb5.*
+ 30754: 0f29.*
+ 30755: 6fb5.*
+ 30756: 0f29.*
+ 30757: 6fb5.*
+ 30758: 0c2a.*
+ 30759: 6fb5.*
+ 3075a: 0d2a.*
+ 3075b: 6fb5.*
+ 3075c: 0c2a.*
+ 3075d: 6fb5.*
+ 3075e: 0e2a.*
+ 3075f: 6fb5.*
+ 30760: 0f2a.*
+ 30761: 6fb5.*
+ 30762: 0f2a.*
+ 30763: 6fb5.*
+ 30764: 0c2b.*
+ 30765: 6fb5.*
+ 30766: 0d2b.*
+ 30767: 6fb5.*
+ 30768: 0c2b.*
+ 30769: 6fb5.*
+ 3076a: 0e2b.*
+ 3076b: 6fb5.*
+ 3076c: 0f2b.*
+ 3076d: 6fb5.*
+ 3076e: 0f2b.*
+ 3076f: 6fb5.*
+ 30770: 0c2c.*
+ 30771: 6fb5.*
+ 30772: 0d2c.*
+ 30773: 6fb5.*
+ 30774: 0c2c.*
+ 30775: 6fb5.*
+ 30776: 0e2c.*
+ 30777: 6fb5.*
+ 30778: 0f2c.*
+ 30779: 6fb5.*
+ 3077a: 0f2c.*
+ 3077b: 6fb5.*
+ 3077c: 0c2d.*
+ 3077d: 6fb5.*
+ 3077e: 0d2d.*
+ 3077f: 6fb5.*
+ 30780: 0c2d.*
+ 30781: 6fb5.*
+ 30782: 0e2d.*
+ 30783: 6fb5.*
+ 30784: 0f2d.*
+ 30785: 6fb5.*
+ 30786: 0f2d.*
+ 30787: 6fb5.*
+ 30788: 0c2e.*
+ 30789: 6fb5.*
+ 3078a: 0d2e.*
+ 3078b: 6fb5.*
+ 3078c: 0c2e.*
+ 3078d: 6fb5.*
+ 3078e: 0e2e.*
+ 3078f: 6fb5.*
+ 30790: 0f2e.*
+ 30791: 6fb5.*
+ 30792: 0f2e.*
+ 30793: 6fb5.*
+ 30794: 0c2f.*
+ 30795: 6fb5.*
+ 30796: 0d2f.*
+ 30797: 6fb5.*
+ 30798: 0c2f.*
+ 30799: 6fb5.*
+ 3079a: 0e2f.*
+ 3079b: 6fb5.*
+ 3079c: 0f2f.*
+ 3079d: 6fb5.*
+ 3079e: 0f2f.*
+ 3079f: 08bd.*
+ 307a0: 6fbd.*
+ 307a1: 0d20.*
+ 307a2: 08bd.*
+ 307a3: 6fbd.*
+ 307a4: 0e20.*
+ 307a5: 09bd.*
+ 307a6: 09bd.*
+ 307a7: 6fbd.*
+ 307a8: 0c30.*
+ 307a9: 6fbd.*
+ 307aa: 0d30.*
+ 307ab: 6fbd.*
+ 307ac: 0c30.*
+ 307ad: 6fbd.*
+ 307ae: 0e30.*
+ 307af: 6fbd.*
+ 307b0: 0f30.*
+ 307b1: 6fbd.*
+ 307b2: 0f30.*
+ 307b3: 6fbd.*
+ 307b4: 0c31.*
+ 307b5: 6fbd.*
+ 307b6: 0d31.*
+ 307b7: 6fbd.*
+ 307b8: 0c31.*
+ 307b9: 6fbd.*
+ 307ba: 0e31.*
+ 307bb: 6fbd.*
+ 307bc: 0f31.*
+ 307bd: 6fbd.*
+ 307be: 0f31.*
+ 307bf: 6fbd.*
+ 307c0: 0c32.*
+ 307c1: 6fbd.*
+ 307c2: 0d32.*
+ 307c3: 6fbd.*
+ 307c4: 0c32.*
+ 307c5: 6fbd.*
+ 307c6: 0e32.*
+ 307c7: 6fbd.*
+ 307c8: 0f32.*
+ 307c9: 6fbd.*
+ 307ca: 0f32.*
+ 307cb: 6fbd.*
+ 307cc: 0c33.*
+ 307cd: 6fbd.*
+ 307ce: 0d33.*
+ 307cf: 6fbd.*
+ 307d0: 0c33.*
+ 307d1: 6fbd.*
+ 307d2: 0e33.*
+ 307d3: 6fbd.*
+ 307d4: 0f33.*
+ 307d5: 6fbd.*
+ 307d6: 0f33.*
+ 307d7: 6fbd.*
+ 307d8: 0c34.*
+ 307d9: 6fbd.*
+ 307da: 0d34.*
+ 307db: 6fbd.*
+ 307dc: 0c34.*
+ 307dd: 6fbd.*
+ 307de: 0e34.*
+ 307df: 6fbd.*
+ 307e0: 0f34.*
+ 307e1: 6fbd.*
+ 307e2: 0f34.*
+ 307e3: 6fbd.*
+ 307e4: 0c35.*
+ 307e5: 6fbd.*
+ 307e6: 0d35.*
+ 307e7: 6fbd.*
+ 307e8: 0c35.*
+ 307e9: 6fbd.*
+ 307ea: 0e35.*
+ 307eb: 6fbd.*
+ 307ec: 0f35.*
+ 307ed: 6fbd.*
+ 307ee: 0f35.*
+ 307ef: 6fbd.*
+ 307f0: 0c36.*
+ 307f1: 6fbd.*
+ 307f2: 0d36.*
+ 307f3: 6fbd.*
+ 307f4: 0c36.*
+ 307f5: 6fbd.*
+ 307f6: 0e36.*
+ 307f7: 6fbd.*
+ 307f8: 0f36.*
+ 307f9: 6fbd.*
+ 307fa: 0f36.*
+ 307fb: 6fbd.*
+ 307fc: 0c37.*
+ 307fd: 6fbd.*
+ 307fe: 0d37.*
+ 307ff: 6fbd.*
+ 30800: 0c37.*
+ 30801: 6fbd.*
+ 30802: 0e37.*
+ 30803: 6fbd.*
+ 30804: 0f37.*
+ 30805: 6fbd.*
+ 30806: 0f37.*
+ 30807: 6fbd.*
+ 30808: 0c38.*
+ 30809: 6fbd.*
+ 3080a: 0d38.*
+ 3080b: 6fbd.*
+ 3080c: 0c38.*
+ 3080d: 6fbd.*
+ 3080e: 0e38.*
+ 3080f: 6fbd.*
+ 30810: 0f38.*
+ 30811: 6fbd.*
+ 30812: 0f38.*
+ 30813: 6fbd.*
+ 30814: 0c39.*
+ 30815: 6fbd.*
+ 30816: 0d39.*
+ 30817: 6fbd.*
+ 30818: 0c39.*
+ 30819: 6fbd.*
+ 3081a: 0e39.*
+ 3081b: 6fbd.*
+ 3081c: 0f39.*
+ 3081d: 6fbd.*
+ 3081e: 0f39.*
+ 3081f: 6fbd.*
+ 30820: 0c3a.*
+ 30821: 6fbd.*
+ 30822: 0d3a.*
+ 30823: 6fbd.*
+ 30824: 0c3a.*
+ 30825: 6fbd.*
+ 30826: 0e3a.*
+ 30827: 6fbd.*
+ 30828: 0f3a.*
+ 30829: 6fbd.*
+ 3082a: 0f3a.*
+ 3082b: 6fbd.*
+ 3082c: 0c3b.*
+ 3082d: 6fbd.*
+ 3082e: 0d3b.*
+ 3082f: 6fbd.*
+ 30830: 0c3b.*
+ 30831: 6fbd.*
+ 30832: 0e3b.*
+ 30833: 6fbd.*
+ 30834: 0f3b.*
+ 30835: 6fbd.*
+ 30836: 0f3b.*
+ 30837: 6fbd.*
+ 30838: 0c3c.*
+ 30839: 6fbd.*
+ 3083a: 0d3c.*
+ 3083b: 6fbd.*
+ 3083c: 0c3c.*
+ 3083d: 6fbd.*
+ 3083e: 0e3c.*
+ 3083f: 6fbd.*
+ 30840: 0f3c.*
+ 30841: 6fbd.*
+ 30842: 0f3c.*
+ 30843: 6fbd.*
+ 30844: 0c3d.*
+ 30845: 6fbd.*
+ 30846: 0d3d.*
+ 30847: 6fbd.*
+ 30848: 0c3d.*
+ 30849: 6fbd.*
+ 3084a: 0e3d.*
+ 3084b: 6fbd.*
+ 3084c: 0f3d.*
+ 3084d: 6fbd.*
+ 3084e: 0f3d.*
+ 3084f: 6fbd.*
+ 30850: 0c3e.*
+ 30851: 6fbd.*
+ 30852: 0d3e.*
+ 30853: 6fbd.*
+ 30854: 0c3e.*
+ 30855: 6fbd.*
+ 30856: 0e3e.*
+ 30857: 6fbd.*
+ 30858: 0f3e.*
+ 30859: 6fbd.*
+ 3085a: 0f3e.*
+ 3085b: 6fbd.*
+ 3085c: 0c3f.*
+ 3085d: 6fbd.*
+ 3085e: 0d3f.*
+ 3085f: 6fbd.*
+ 30860: 0c3f.*
+ 30861: 6fbd.*
+ 30862: 0e3f.*
+ 30863: 6fbd.*
+ 30864: 0f3f.*
+ 30865: 6fbd.*
+ 30866: 0f3f.*
+ 30867: 08bd.*
+ 30868: 6fbd.*
+ 30869: 0d20.*
+ 3086a: 08bd.*
+ 3086b: 6fbd.*
+ 3086c: 0e20.*
+ 3086d: 09bd.*
+ 3086e: 09bd.*
+ 3086f: 6fbd.*
+ 30870: 0c21.*
+ 30871: 6fbd.*
+ 30872: 0d21.*
+ 30873: 6fbd.*
+ 30874: 0c21.*
+ 30875: 6fbd.*
+ 30876: 0e21.*
+ 30877: 6fbd.*
+ 30878: 0f21.*
+ 30879: 6fbd.*
+ 3087a: 0f21.*
+ 3087b: 6fbd.*
+ 3087c: 0c22.*
+ 3087d: 6fbd.*
+ 3087e: 0d22.*
+ 3087f: 6fbd.*
+ 30880: 0c22.*
+ 30881: 6fbd.*
+ 30882: 0e22.*
+ 30883: 6fbd.*
+ 30884: 0f22.*
+ 30885: 6fbd.*
+ 30886: 0f22.*
+ 30887: 6fbd.*
+ 30888: 0c23.*
+ 30889: 6fbd.*
+ 3088a: 0d23.*
+ 3088b: 6fbd.*
+ 3088c: 0c23.*
+ 3088d: 6fbd.*
+ 3088e: 0e23.*
+ 3088f: 6fbd.*
+ 30890: 0f23.*
+ 30891: 6fbd.*
+ 30892: 0f23.*
+ 30893: 6fbd.*
+ 30894: 0c24.*
+ 30895: 6fbd.*
+ 30896: 0d24.*
+ 30897: 6fbd.*
+ 30898: 0c24.*
+ 30899: 6fbd.*
+ 3089a: 0e24.*
+ 3089b: 6fbd.*
+ 3089c: 0f24.*
+ 3089d: 6fbd.*
+ 3089e: 0f24.*
+ 3089f: 6fbd.*
+ 308a0: 0c25.*
+ 308a1: 6fbd.*
+ 308a2: 0d25.*
+ 308a3: 6fbd.*
+ 308a4: 0c25.*
+ 308a5: 6fbd.*
+ 308a6: 0e25.*
+ 308a7: 6fbd.*
+ 308a8: 0f25.*
+ 308a9: 6fbd.*
+ 308aa: 0f25.*
+ 308ab: 6fbd.*
+ 308ac: 0c26.*
+ 308ad: 6fbd.*
+ 308ae: 0d26.*
+ 308af: 6fbd.*
+ 308b0: 0c26.*
+ 308b1: 6fbd.*
+ 308b2: 0e26.*
+ 308b3: 6fbd.*
+ 308b4: 0f26.*
+ 308b5: 6fbd.*
+ 308b6: 0f26.*
+ 308b7: 6fbd.*
+ 308b8: 0c27.*
+ 308b9: 6fbd.*
+ 308ba: 0d27.*
+ 308bb: 6fbd.*
+ 308bc: 0c27.*
+ 308bd: 6fbd.*
+ 308be: 0e27.*
+ 308bf: 6fbd.*
+ 308c0: 0f27.*
+ 308c1: 6fbd.*
+ 308c2: 0f27.*
+ 308c3: 6fbd.*
+ 308c4: 0c28.*
+ 308c5: 6fbd.*
+ 308c6: 0d28.*
+ 308c7: 6fbd.*
+ 308c8: 0c28.*
+ 308c9: 6fbd.*
+ 308ca: 0e28.*
+ 308cb: 6fbd.*
+ 308cc: 0f28.*
+ 308cd: 6fbd.*
+ 308ce: 0f28.*
+ 308cf: 6fbd.*
+ 308d0: 0c29.*
+ 308d1: 6fbd.*
+ 308d2: 0d29.*
+ 308d3: 6fbd.*
+ 308d4: 0c29.*
+ 308d5: 6fbd.*
+ 308d6: 0e29.*
+ 308d7: 6fbd.*
+ 308d8: 0f29.*
+ 308d9: 6fbd.*
+ 308da: 0f29.*
+ 308db: 6fbd.*
+ 308dc: 0c2a.*
+ 308dd: 6fbd.*
+ 308de: 0d2a.*
+ 308df: 6fbd.*
+ 308e0: 0c2a.*
+ 308e1: 6fbd.*
+ 308e2: 0e2a.*
+ 308e3: 6fbd.*
+ 308e4: 0f2a.*
+ 308e5: 6fbd.*
+ 308e6: 0f2a.*
+ 308e7: 6fbd.*
+ 308e8: 0c2b.*
+ 308e9: 6fbd.*
+ 308ea: 0d2b.*
+ 308eb: 6fbd.*
+ 308ec: 0c2b.*
+ 308ed: 6fbd.*
+ 308ee: 0e2b.*
+ 308ef: 6fbd.*
+ 308f0: 0f2b.*
+ 308f1: 6fbd.*
+ 308f2: 0f2b.*
+ 308f3: 6fbd.*
+ 308f4: 0c2c.*
+ 308f5: 6fbd.*
+ 308f6: 0d2c.*
+ 308f7: 6fbd.*
+ 308f8: 0c2c.*
+ 308f9: 6fbd.*
+ 308fa: 0e2c.*
+ 308fb: 6fbd.*
+ 308fc: 0f2c.*
+ 308fd: 6fbd.*
+ 308fe: 0f2c.*
+ 308ff: 6fbd.*
+ 30900: 0c2d.*
+ 30901: 6fbd.*
+ 30902: 0d2d.*
+ 30903: 6fbd.*
+ 30904: 0c2d.*
+ 30905: 6fbd.*
+ 30906: 0e2d.*
+ 30907: 6fbd.*
+ 30908: 0f2d.*
+ 30909: 6fbd.*
+ 3090a: 0f2d.*
+ 3090b: 6fbd.*
+ 3090c: 0c2e.*
+ 3090d: 6fbd.*
+ 3090e: 0d2e.*
+ 3090f: 6fbd.*
+ 30910: 0c2e.*
+ 30911: 6fbd.*
+ 30912: 0e2e.*
+ 30913: 6fbd.*
+ 30914: 0f2e.*
+ 30915: 6fbd.*
+ 30916: 0f2e.*
+ 30917: 6fbd.*
+ 30918: 0c2f.*
+ 30919: 6fbd.*
+ 3091a: 0d2f.*
+ 3091b: 6fbd.*
+ 3091c: 0c2f.*
+ 3091d: 6fbd.*
+ 3091e: 0e2f.*
+ 3091f: 6fbd.*
+ 30920: 0f2f.*
+ 30921: 6fbd.*
+ 30922: 0f2f.*
+ 30923: 08c5.*
+ 30924: 6fc5.*
+ 30925: 0d20.*
+ 30926: 08c5.*
+ 30927: 6fc5.*
+ 30928: 0e20.*
+ 30929: 09c5.*
+ 3092a: 09c5.*
+ 3092b: 6fc5.*
+ 3092c: 0c30.*
+ 3092d: 6fc5.*
+ 3092e: 0d30.*
+ 3092f: 6fc5.*
+ 30930: 0c30.*
+ 30931: 6fc5.*
+ 30932: 0e30.*
+ 30933: 6fc5.*
+ 30934: 0f30.*
+ 30935: 6fc5.*
+ 30936: 0f30.*
+ 30937: 6fc5.*
+ 30938: 0c31.*
+ 30939: 6fc5.*
+ 3093a: 0d31.*
+ 3093b: 6fc5.*
+ 3093c: 0c31.*
+ 3093d: 6fc5.*
+ 3093e: 0e31.*
+ 3093f: 6fc5.*
+ 30940: 0f31.*
+ 30941: 6fc5.*
+ 30942: 0f31.*
+ 30943: 6fc5.*
+ 30944: 0c32.*
+ 30945: 6fc5.*
+ 30946: 0d32.*
+ 30947: 6fc5.*
+ 30948: 0c32.*
+ 30949: 6fc5.*
+ 3094a: 0e32.*
+ 3094b: 6fc5.*
+ 3094c: 0f32.*
+ 3094d: 6fc5.*
+ 3094e: 0f32.*
+ 3094f: 6fc5.*
+ 30950: 0c33.*
+ 30951: 6fc5.*
+ 30952: 0d33.*
+ 30953: 6fc5.*
+ 30954: 0c33.*
+ 30955: 6fc5.*
+ 30956: 0e33.*
+ 30957: 6fc5.*
+ 30958: 0f33.*
+ 30959: 6fc5.*
+ 3095a: 0f33.*
+ 3095b: 6fc5.*
+ 3095c: 0c34.*
+ 3095d: 6fc5.*
+ 3095e: 0d34.*
+ 3095f: 6fc5.*
+ 30960: 0c34.*
+ 30961: 6fc5.*
+ 30962: 0e34.*
+ 30963: 6fc5.*
+ 30964: 0f34.*
+ 30965: 6fc5.*
+ 30966: 0f34.*
+ 30967: 6fc5.*
+ 30968: 0c35.*
+ 30969: 6fc5.*
+ 3096a: 0d35.*
+ 3096b: 6fc5.*
+ 3096c: 0c35.*
+ 3096d: 6fc5.*
+ 3096e: 0e35.*
+ 3096f: 6fc5.*
+ 30970: 0f35.*
+ 30971: 6fc5.*
+ 30972: 0f35.*
+ 30973: 6fc5.*
+ 30974: 0c36.*
+ 30975: 6fc5.*
+ 30976: 0d36.*
+ 30977: 6fc5.*
+ 30978: 0c36.*
+ 30979: 6fc5.*
+ 3097a: 0e36.*
+ 3097b: 6fc5.*
+ 3097c: 0f36.*
+ 3097d: 6fc5.*
+ 3097e: 0f36.*
+ 3097f: 6fc5.*
+ 30980: 0c37.*
+ 30981: 6fc5.*
+ 30982: 0d37.*
+ 30983: 6fc5.*
+ 30984: 0c37.*
+ 30985: 6fc5.*
+ 30986: 0e37.*
+ 30987: 6fc5.*
+ 30988: 0f37.*
+ 30989: 6fc5.*
+ 3098a: 0f37.*
+ 3098b: 6fc5.*
+ 3098c: 0c38.*
+ 3098d: 6fc5.*
+ 3098e: 0d38.*
+ 3098f: 6fc5.*
+ 30990: 0c38.*
+ 30991: 6fc5.*
+ 30992: 0e38.*
+ 30993: 6fc5.*
+ 30994: 0f38.*
+ 30995: 6fc5.*
+ 30996: 0f38.*
+ 30997: 6fc5.*
+ 30998: 0c39.*
+ 30999: 6fc5.*
+ 3099a: 0d39.*
+ 3099b: 6fc5.*
+ 3099c: 0c39.*
+ 3099d: 6fc5.*
+ 3099e: 0e39.*
+ 3099f: 6fc5.*
+ 309a0: 0f39.*
+ 309a1: 6fc5.*
+ 309a2: 0f39.*
+ 309a3: 6fc5.*
+ 309a4: 0c3a.*
+ 309a5: 6fc5.*
+ 309a6: 0d3a.*
+ 309a7: 6fc5.*
+ 309a8: 0c3a.*
+ 309a9: 6fc5.*
+ 309aa: 0e3a.*
+ 309ab: 6fc5.*
+ 309ac: 0f3a.*
+ 309ad: 6fc5.*
+ 309ae: 0f3a.*
+ 309af: 6fc5.*
+ 309b0: 0c3b.*
+ 309b1: 6fc5.*
+ 309b2: 0d3b.*
+ 309b3: 6fc5.*
+ 309b4: 0c3b.*
+ 309b5: 6fc5.*
+ 309b6: 0e3b.*
+ 309b7: 6fc5.*
+ 309b8: 0f3b.*
+ 309b9: 6fc5.*
+ 309ba: 0f3b.*
+ 309bb: 6fc5.*
+ 309bc: 0c3c.*
+ 309bd: 6fc5.*
+ 309be: 0d3c.*
+ 309bf: 6fc5.*
+ 309c0: 0c3c.*
+ 309c1: 6fc5.*
+ 309c2: 0e3c.*
+ 309c3: 6fc5.*
+ 309c4: 0f3c.*
+ 309c5: 6fc5.*
+ 309c6: 0f3c.*
+ 309c7: 6fc5.*
+ 309c8: 0c3d.*
+ 309c9: 6fc5.*
+ 309ca: 0d3d.*
+ 309cb: 6fc5.*
+ 309cc: 0c3d.*
+ 309cd: 6fc5.*
+ 309ce: 0e3d.*
+ 309cf: 6fc5.*
+ 309d0: 0f3d.*
+ 309d1: 6fc5.*
+ 309d2: 0f3d.*
+ 309d3: 6fc5.*
+ 309d4: 0c3e.*
+ 309d5: 6fc5.*
+ 309d6: 0d3e.*
+ 309d7: 6fc5.*
+ 309d8: 0c3e.*
+ 309d9: 6fc5.*
+ 309da: 0e3e.*
+ 309db: 6fc5.*
+ 309dc: 0f3e.*
+ 309dd: 6fc5.*
+ 309de: 0f3e.*
+ 309df: 6fc5.*
+ 309e0: 0c3f.*
+ 309e1: 6fc5.*
+ 309e2: 0d3f.*
+ 309e3: 6fc5.*
+ 309e4: 0c3f.*
+ 309e5: 6fc5.*
+ 309e6: 0e3f.*
+ 309e7: 6fc5.*
+ 309e8: 0f3f.*
+ 309e9: 6fc5.*
+ 309ea: 0f3f.*
+ 309eb: 08c5.*
+ 309ec: 6fc5.*
+ 309ed: 0d20.*
+ 309ee: 08c5.*
+ 309ef: 6fc5.*
+ 309f0: 0e20.*
+ 309f1: 09c5.*
+ 309f2: 09c5.*
+ 309f3: 6fc5.*
+ 309f4: 0c21.*
+ 309f5: 6fc5.*
+ 309f6: 0d21.*
+ 309f7: 6fc5.*
+ 309f8: 0c21.*
+ 309f9: 6fc5.*
+ 309fa: 0e21.*
+ 309fb: 6fc5.*
+ 309fc: 0f21.*
+ 309fd: 6fc5.*
+ 309fe: 0f21.*
+ 309ff: 6fc5.*
+ 30a00: 0c22.*
+ 30a01: 6fc5.*
+ 30a02: 0d22.*
+ 30a03: 6fc5.*
+ 30a04: 0c22.*
+ 30a05: 6fc5.*
+ 30a06: 0e22.*
+ 30a07: 6fc5.*
+ 30a08: 0f22.*
+ 30a09: 6fc5.*
+ 30a0a: 0f22.*
+ 30a0b: 6fc5.*
+ 30a0c: 0c23.*
+ 30a0d: 6fc5.*
+ 30a0e: 0d23.*
+ 30a0f: 6fc5.*
+ 30a10: 0c23.*
+ 30a11: 6fc5.*
+ 30a12: 0e23.*
+ 30a13: 6fc5.*
+ 30a14: 0f23.*
+ 30a15: 6fc5.*
+ 30a16: 0f23.*
+ 30a17: 6fc5.*
+ 30a18: 0c24.*
+ 30a19: 6fc5.*
+ 30a1a: 0d24.*
+ 30a1b: 6fc5.*
+ 30a1c: 0c24.*
+ 30a1d: 6fc5.*
+ 30a1e: 0e24.*
+ 30a1f: 6fc5.*
+ 30a20: 0f24.*
+ 30a21: 6fc5.*
+ 30a22: 0f24.*
+ 30a23: 6fc5.*
+ 30a24: 0c25.*
+ 30a25: 6fc5.*
+ 30a26: 0d25.*
+ 30a27: 6fc5.*
+ 30a28: 0c25.*
+ 30a29: 6fc5.*
+ 30a2a: 0e25.*
+ 30a2b: 6fc5.*
+ 30a2c: 0f25.*
+ 30a2d: 6fc5.*
+ 30a2e: 0f25.*
+ 30a2f: 6fc5.*
+ 30a30: 0c26.*
+ 30a31: 6fc5.*
+ 30a32: 0d26.*
+ 30a33: 6fc5.*
+ 30a34: 0c26.*
+ 30a35: 6fc5.*
+ 30a36: 0e26.*
+ 30a37: 6fc5.*
+ 30a38: 0f26.*
+ 30a39: 6fc5.*
+ 30a3a: 0f26.*
+ 30a3b: 6fc5.*
+ 30a3c: 0c27.*
+ 30a3d: 6fc5.*
+ 30a3e: 0d27.*
+ 30a3f: 6fc5.*
+ 30a40: 0c27.*
+ 30a41: 6fc5.*
+ 30a42: 0e27.*
+ 30a43: 6fc5.*
+ 30a44: 0f27.*
+ 30a45: 6fc5.*
+ 30a46: 0f27.*
+ 30a47: 6fc5.*
+ 30a48: 0c28.*
+ 30a49: 6fc5.*
+ 30a4a: 0d28.*
+ 30a4b: 6fc5.*
+ 30a4c: 0c28.*
+ 30a4d: 6fc5.*
+ 30a4e: 0e28.*
+ 30a4f: 6fc5.*
+ 30a50: 0f28.*
+ 30a51: 6fc5.*
+ 30a52: 0f28.*
+ 30a53: 6fc5.*
+ 30a54: 0c29.*
+ 30a55: 6fc5.*
+ 30a56: 0d29.*
+ 30a57: 6fc5.*
+ 30a58: 0c29.*
+ 30a59: 6fc5.*
+ 30a5a: 0e29.*
+ 30a5b: 6fc5.*
+ 30a5c: 0f29.*
+ 30a5d: 6fc5.*
+ 30a5e: 0f29.*
+ 30a5f: 6fc5.*
+ 30a60: 0c2a.*
+ 30a61: 6fc5.*
+ 30a62: 0d2a.*
+ 30a63: 6fc5.*
+ 30a64: 0c2a.*
+ 30a65: 6fc5.*
+ 30a66: 0e2a.*
+ 30a67: 6fc5.*
+ 30a68: 0f2a.*
+ 30a69: 6fc5.*
+ 30a6a: 0f2a.*
+ 30a6b: 6fc5.*
+ 30a6c: 0c2b.*
+ 30a6d: 6fc5.*
+ 30a6e: 0d2b.*
+ 30a6f: 6fc5.*
+ 30a70: 0c2b.*
+ 30a71: 6fc5.*
+ 30a72: 0e2b.*
+ 30a73: 6fc5.*
+ 30a74: 0f2b.*
+ 30a75: 6fc5.*
+ 30a76: 0f2b.*
+ 30a77: 6fc5.*
+ 30a78: 0c2c.*
+ 30a79: 6fc5.*
+ 30a7a: 0d2c.*
+ 30a7b: 6fc5.*
+ 30a7c: 0c2c.*
+ 30a7d: 6fc5.*
+ 30a7e: 0e2c.*
+ 30a7f: 6fc5.*
+ 30a80: 0f2c.*
+ 30a81: 6fc5.*
+ 30a82: 0f2c.*
+ 30a83: 6fc5.*
+ 30a84: 0c2d.*
+ 30a85: 6fc5.*
+ 30a86: 0d2d.*
+ 30a87: 6fc5.*
+ 30a88: 0c2d.*
+ 30a89: 6fc5.*
+ 30a8a: 0e2d.*
+ 30a8b: 6fc5.*
+ 30a8c: 0f2d.*
+ 30a8d: 6fc5.*
+ 30a8e: 0f2d.*
+ 30a8f: 6fc5.*
+ 30a90: 0c2e.*
+ 30a91: 6fc5.*
+ 30a92: 0d2e.*
+ 30a93: 6fc5.*
+ 30a94: 0c2e.*
+ 30a95: 6fc5.*
+ 30a96: 0e2e.*
+ 30a97: 6fc5.*
+ 30a98: 0f2e.*
+ 30a99: 6fc5.*
+ 30a9a: 0f2e.*
+ 30a9b: 6fc5.*
+ 30a9c: 0c2f.*
+ 30a9d: 6fc5.*
+ 30a9e: 0d2f.*
+ 30a9f: 6fc5.*
+ 30aa0: 0c2f.*
+ 30aa1: 6fc5.*
+ 30aa2: 0e2f.*
+ 30aa3: 6fc5.*
+ 30aa4: 0f2f.*
+ 30aa5: 6fc5.*
+ 30aa6: 0f2f.*
+ 30aa7: 08cd.*
+ 30aa8: 6fcd.*
+ 30aa9: 0d20.*
+ 30aaa: 08cd.*
+ 30aab: 6fcd.*
+ 30aac: 0e20.*
+ 30aad: 09cd.*
+ 30aae: 09cd.*
+ 30aaf: 6fcd.*
+ 30ab0: 0c30.*
+ 30ab1: 6fcd.*
+ 30ab2: 0d30.*
+ 30ab3: 6fcd.*
+ 30ab4: 0c30.*
+ 30ab5: 6fcd.*
+ 30ab6: 0e30.*
+ 30ab7: 6fcd.*
+ 30ab8: 0f30.*
+ 30ab9: 6fcd.*
+ 30aba: 0f30.*
+ 30abb: 6fcd.*
+ 30abc: 0c31.*
+ 30abd: 6fcd.*
+ 30abe: 0d31.*
+ 30abf: 6fcd.*
+ 30ac0: 0c31.*
+ 30ac1: 6fcd.*
+ 30ac2: 0e31.*
+ 30ac3: 6fcd.*
+ 30ac4: 0f31.*
+ 30ac5: 6fcd.*
+ 30ac6: 0f31.*
+ 30ac7: 6fcd.*
+ 30ac8: 0c32.*
+ 30ac9: 6fcd.*
+ 30aca: 0d32.*
+ 30acb: 6fcd.*
+ 30acc: 0c32.*
+ 30acd: 6fcd.*
+ 30ace: 0e32.*
+ 30acf: 6fcd.*
+ 30ad0: 0f32.*
+ 30ad1: 6fcd.*
+ 30ad2: 0f32.*
+ 30ad3: 6fcd.*
+ 30ad4: 0c33.*
+ 30ad5: 6fcd.*
+ 30ad6: 0d33.*
+ 30ad7: 6fcd.*
+ 30ad8: 0c33.*
+ 30ad9: 6fcd.*
+ 30ada: 0e33.*
+ 30adb: 6fcd.*
+ 30adc: 0f33.*
+ 30add: 6fcd.*
+ 30ade: 0f33.*
+ 30adf: 6fcd.*
+ 30ae0: 0c34.*
+ 30ae1: 6fcd.*
+ 30ae2: 0d34.*
+ 30ae3: 6fcd.*
+ 30ae4: 0c34.*
+ 30ae5: 6fcd.*
+ 30ae6: 0e34.*
+ 30ae7: 6fcd.*
+ 30ae8: 0f34.*
+ 30ae9: 6fcd.*
+ 30aea: 0f34.*
+ 30aeb: 6fcd.*
+ 30aec: 0c35.*
+ 30aed: 6fcd.*
+ 30aee: 0d35.*
+ 30aef: 6fcd.*
+ 30af0: 0c35.*
+ 30af1: 6fcd.*
+ 30af2: 0e35.*
+ 30af3: 6fcd.*
+ 30af4: 0f35.*
+ 30af5: 6fcd.*
+ 30af6: 0f35.*
+ 30af7: 6fcd.*
+ 30af8: 0c36.*
+ 30af9: 6fcd.*
+ 30afa: 0d36.*
+ 30afb: 6fcd.*
+ 30afc: 0c36.*
+ 30afd: 6fcd.*
+ 30afe: 0e36.*
+ 30aff: 6fcd.*
+ 30b00: 0f36.*
+ 30b01: 6fcd.*
+ 30b02: 0f36.*
+ 30b03: 6fcd.*
+ 30b04: 0c37.*
+ 30b05: 6fcd.*
+ 30b06: 0d37.*
+ 30b07: 6fcd.*
+ 30b08: 0c37.*
+ 30b09: 6fcd.*
+ 30b0a: 0e37.*
+ 30b0b: 6fcd.*
+ 30b0c: 0f37.*
+ 30b0d: 6fcd.*
+ 30b0e: 0f37.*
+ 30b0f: 6fcd.*
+ 30b10: 0c38.*
+ 30b11: 6fcd.*
+ 30b12: 0d38.*
+ 30b13: 6fcd.*
+ 30b14: 0c38.*
+ 30b15: 6fcd.*
+ 30b16: 0e38.*
+ 30b17: 6fcd.*
+ 30b18: 0f38.*
+ 30b19: 6fcd.*
+ 30b1a: 0f38.*
+ 30b1b: 6fcd.*
+ 30b1c: 0c39.*
+ 30b1d: 6fcd.*
+ 30b1e: 0d39.*
+ 30b1f: 6fcd.*
+ 30b20: 0c39.*
+ 30b21: 6fcd.*
+ 30b22: 0e39.*
+ 30b23: 6fcd.*
+ 30b24: 0f39.*
+ 30b25: 6fcd.*
+ 30b26: 0f39.*
+ 30b27: 6fcd.*
+ 30b28: 0c3a.*
+ 30b29: 6fcd.*
+ 30b2a: 0d3a.*
+ 30b2b: 6fcd.*
+ 30b2c: 0c3a.*
+ 30b2d: 6fcd.*
+ 30b2e: 0e3a.*
+ 30b2f: 6fcd.*
+ 30b30: 0f3a.*
+ 30b31: 6fcd.*
+ 30b32: 0f3a.*
+ 30b33: 6fcd.*
+ 30b34: 0c3b.*
+ 30b35: 6fcd.*
+ 30b36: 0d3b.*
+ 30b37: 6fcd.*
+ 30b38: 0c3b.*
+ 30b39: 6fcd.*
+ 30b3a: 0e3b.*
+ 30b3b: 6fcd.*
+ 30b3c: 0f3b.*
+ 30b3d: 6fcd.*
+ 30b3e: 0f3b.*
+ 30b3f: 6fcd.*
+ 30b40: 0c3c.*
+ 30b41: 6fcd.*
+ 30b42: 0d3c.*
+ 30b43: 6fcd.*
+ 30b44: 0c3c.*
+ 30b45: 6fcd.*
+ 30b46: 0e3c.*
+ 30b47: 6fcd.*
+ 30b48: 0f3c.*
+ 30b49: 6fcd.*
+ 30b4a: 0f3c.*
+ 30b4b: 6fcd.*
+ 30b4c: 0c3d.*
+ 30b4d: 6fcd.*
+ 30b4e: 0d3d.*
+ 30b4f: 6fcd.*
+ 30b50: 0c3d.*
+ 30b51: 6fcd.*
+ 30b52: 0e3d.*
+ 30b53: 6fcd.*
+ 30b54: 0f3d.*
+ 30b55: 6fcd.*
+ 30b56: 0f3d.*
+ 30b57: 6fcd.*
+ 30b58: 0c3e.*
+ 30b59: 6fcd.*
+ 30b5a: 0d3e.*
+ 30b5b: 6fcd.*
+ 30b5c: 0c3e.*
+ 30b5d: 6fcd.*
+ 30b5e: 0e3e.*
+ 30b5f: 6fcd.*
+ 30b60: 0f3e.*
+ 30b61: 6fcd.*
+ 30b62: 0f3e.*
+ 30b63: 6fcd.*
+ 30b64: 0c3f.*
+ 30b65: 6fcd.*
+ 30b66: 0d3f.*
+ 30b67: 6fcd.*
+ 30b68: 0c3f.*
+ 30b69: 6fcd.*
+ 30b6a: 0e3f.*
+ 30b6b: 6fcd.*
+ 30b6c: 0f3f.*
+ 30b6d: 6fcd.*
+ 30b6e: 0f3f.*
+ 30b6f: 08cd.*
+ 30b70: 6fcd.*
+ 30b71: 0d20.*
+ 30b72: 08cd.*
+ 30b73: 6fcd.*
+ 30b74: 0e20.*
+ 30b75: 09cd.*
+ 30b76: 09cd.*
+ 30b77: 6fcd.*
+ 30b78: 0c21.*
+ 30b79: 6fcd.*
+ 30b7a: 0d21.*
+ 30b7b: 6fcd.*
+ 30b7c: 0c21.*
+ 30b7d: 6fcd.*
+ 30b7e: 0e21.*
+ 30b7f: 6fcd.*
+ 30b80: 0f21.*
+ 30b81: 6fcd.*
+ 30b82: 0f21.*
+ 30b83: 6fcd.*
+ 30b84: 0c22.*
+ 30b85: 6fcd.*
+ 30b86: 0d22.*
+ 30b87: 6fcd.*
+ 30b88: 0c22.*
+ 30b89: 6fcd.*
+ 30b8a: 0e22.*
+ 30b8b: 6fcd.*
+ 30b8c: 0f22.*
+ 30b8d: 6fcd.*
+ 30b8e: 0f22.*
+ 30b8f: 6fcd.*
+ 30b90: 0c23.*
+ 30b91: 6fcd.*
+ 30b92: 0d23.*
+ 30b93: 6fcd.*
+ 30b94: 0c23.*
+ 30b95: 6fcd.*
+ 30b96: 0e23.*
+ 30b97: 6fcd.*
+ 30b98: 0f23.*
+ 30b99: 6fcd.*
+ 30b9a: 0f23.*
+ 30b9b: 6fcd.*
+ 30b9c: 0c24.*
+ 30b9d: 6fcd.*
+ 30b9e: 0d24.*
+ 30b9f: 6fcd.*
+ 30ba0: 0c24.*
+ 30ba1: 6fcd.*
+ 30ba2: 0e24.*
+ 30ba3: 6fcd.*
+ 30ba4: 0f24.*
+ 30ba5: 6fcd.*
+ 30ba6: 0f24.*
+ 30ba7: 6fcd.*
+ 30ba8: 0c25.*
+ 30ba9: 6fcd.*
+ 30baa: 0d25.*
+ 30bab: 6fcd.*
+ 30bac: 0c25.*
+ 30bad: 6fcd.*
+ 30bae: 0e25.*
+ 30baf: 6fcd.*
+ 30bb0: 0f25.*
+ 30bb1: 6fcd.*
+ 30bb2: 0f25.*
+ 30bb3: 6fcd.*
+ 30bb4: 0c26.*
+ 30bb5: 6fcd.*
+ 30bb6: 0d26.*
+ 30bb7: 6fcd.*
+ 30bb8: 0c26.*
+ 30bb9: 6fcd.*
+ 30bba: 0e26.*
+ 30bbb: 6fcd.*
+ 30bbc: 0f26.*
+ 30bbd: 6fcd.*
+ 30bbe: 0f26.*
+ 30bbf: 6fcd.*
+ 30bc0: 0c27.*
+ 30bc1: 6fcd.*
+ 30bc2: 0d27.*
+ 30bc3: 6fcd.*
+ 30bc4: 0c27.*
+ 30bc5: 6fcd.*
+ 30bc6: 0e27.*
+ 30bc7: 6fcd.*
+ 30bc8: 0f27.*
+ 30bc9: 6fcd.*
+ 30bca: 0f27.*
+ 30bcb: 6fcd.*
+ 30bcc: 0c28.*
+ 30bcd: 6fcd.*
+ 30bce: 0d28.*
+ 30bcf: 6fcd.*
+ 30bd0: 0c28.*
+ 30bd1: 6fcd.*
+ 30bd2: 0e28.*
+ 30bd3: 6fcd.*
+ 30bd4: 0f28.*
+ 30bd5: 6fcd.*
+ 30bd6: 0f28.*
+ 30bd7: 6fcd.*
+ 30bd8: 0c29.*
+ 30bd9: 6fcd.*
+ 30bda: 0d29.*
+ 30bdb: 6fcd.*
+ 30bdc: 0c29.*
+ 30bdd: 6fcd.*
+ 30bde: 0e29.*
+ 30bdf: 6fcd.*
+ 30be0: 0f29.*
+ 30be1: 6fcd.*
+ 30be2: 0f29.*
+ 30be3: 6fcd.*
+ 30be4: 0c2a.*
+ 30be5: 6fcd.*
+ 30be6: 0d2a.*
+ 30be7: 6fcd.*
+ 30be8: 0c2a.*
+ 30be9: 6fcd.*
+ 30bea: 0e2a.*
+ 30beb: 6fcd.*
+ 30bec: 0f2a.*
+ 30bed: 6fcd.*
+ 30bee: 0f2a.*
+ 30bef: 6fcd.*
+ 30bf0: 0c2b.*
+ 30bf1: 6fcd.*
+ 30bf2: 0d2b.*
+ 30bf3: 6fcd.*
+ 30bf4: 0c2b.*
+ 30bf5: 6fcd.*
+ 30bf6: 0e2b.*
+ 30bf7: 6fcd.*
+ 30bf8: 0f2b.*
+ 30bf9: 6fcd.*
+ 30bfa: 0f2b.*
+ 30bfb: 6fcd.*
+ 30bfc: 0c2c.*
+ 30bfd: 6fcd.*
+ 30bfe: 0d2c.*
+ 30bff: 6fcd.*
+ 30c00: 0c2c.*
+ 30c01: 6fcd.*
+ 30c02: 0e2c.*
+ 30c03: 6fcd.*
+ 30c04: 0f2c.*
+ 30c05: 6fcd.*
+ 30c06: 0f2c.*
+ 30c07: 6fcd.*
+ 30c08: 0c2d.*
+ 30c09: 6fcd.*
+ 30c0a: 0d2d.*
+ 30c0b: 6fcd.*
+ 30c0c: 0c2d.*
+ 30c0d: 6fcd.*
+ 30c0e: 0e2d.*
+ 30c0f: 6fcd.*
+ 30c10: 0f2d.*
+ 30c11: 6fcd.*
+ 30c12: 0f2d.*
+ 30c13: 6fcd.*
+ 30c14: 0c2e.*
+ 30c15: 6fcd.*
+ 30c16: 0d2e.*
+ 30c17: 6fcd.*
+ 30c18: 0c2e.*
+ 30c19: 6fcd.*
+ 30c1a: 0e2e.*
+ 30c1b: 6fcd.*
+ 30c1c: 0f2e.*
+ 30c1d: 6fcd.*
+ 30c1e: 0f2e.*
+ 30c1f: 6fcd.*
+ 30c20: 0c2f.*
+ 30c21: 6fcd.*
+ 30c22: 0d2f.*
+ 30c23: 6fcd.*
+ 30c24: 0c2f.*
+ 30c25: 6fcd.*
+ 30c26: 0e2f.*
+ 30c27: 6fcd.*
+ 30c28: 0f2f.*
+ 30c29: 6fcd.*
+ 30c2a: 0f2f.*
+ 30c2b: 08d5.*
+ 30c2c: 6fd5.*
+ 30c2d: 0d20.*
+ 30c2e: 08d5.*
+ 30c2f: 6fd5.*
+ 30c30: 0e20.*
+ 30c31: 09d5.*
+ 30c32: 09d5.*
+ 30c33: 6fd5.*
+ 30c34: 0c30.*
+ 30c35: 6fd5.*
+ 30c36: 0d30.*
+ 30c37: 6fd5.*
+ 30c38: 0c30.*
+ 30c39: 6fd5.*
+ 30c3a: 0e30.*
+ 30c3b: 6fd5.*
+ 30c3c: 0f30.*
+ 30c3d: 6fd5.*
+ 30c3e: 0f30.*
+ 30c3f: 6fd5.*
+ 30c40: 0c31.*
+ 30c41: 6fd5.*
+ 30c42: 0d31.*
+ 30c43: 6fd5.*
+ 30c44: 0c31.*
+ 30c45: 6fd5.*
+ 30c46: 0e31.*
+ 30c47: 6fd5.*
+ 30c48: 0f31.*
+ 30c49: 6fd5.*
+ 30c4a: 0f31.*
+ 30c4b: 6fd5.*
+ 30c4c: 0c32.*
+ 30c4d: 6fd5.*
+ 30c4e: 0d32.*
+ 30c4f: 6fd5.*
+ 30c50: 0c32.*
+ 30c51: 6fd5.*
+ 30c52: 0e32.*
+ 30c53: 6fd5.*
+ 30c54: 0f32.*
+ 30c55: 6fd5.*
+ 30c56: 0f32.*
+ 30c57: 6fd5.*
+ 30c58: 0c33.*
+ 30c59: 6fd5.*
+ 30c5a: 0d33.*
+ 30c5b: 6fd5.*
+ 30c5c: 0c33.*
+ 30c5d: 6fd5.*
+ 30c5e: 0e33.*
+ 30c5f: 6fd5.*
+ 30c60: 0f33.*
+ 30c61: 6fd5.*
+ 30c62: 0f33.*
+ 30c63: 6fd5.*
+ 30c64: 0c34.*
+ 30c65: 6fd5.*
+ 30c66: 0d34.*
+ 30c67: 6fd5.*
+ 30c68: 0c34.*
+ 30c69: 6fd5.*
+ 30c6a: 0e34.*
+ 30c6b: 6fd5.*
+ 30c6c: 0f34.*
+ 30c6d: 6fd5.*
+ 30c6e: 0f34.*
+ 30c6f: 6fd5.*
+ 30c70: 0c35.*
+ 30c71: 6fd5.*
+ 30c72: 0d35.*
+ 30c73: 6fd5.*
+ 30c74: 0c35.*
+ 30c75: 6fd5.*
+ 30c76: 0e35.*
+ 30c77: 6fd5.*
+ 30c78: 0f35.*
+ 30c79: 6fd5.*
+ 30c7a: 0f35.*
+ 30c7b: 6fd5.*
+ 30c7c: 0c36.*
+ 30c7d: 6fd5.*
+ 30c7e: 0d36.*
+ 30c7f: 6fd5.*
+ 30c80: 0c36.*
+ 30c81: 6fd5.*
+ 30c82: 0e36.*
+ 30c83: 6fd5.*
+ 30c84: 0f36.*
+ 30c85: 6fd5.*
+ 30c86: 0f36.*
+ 30c87: 6fd5.*
+ 30c88: 0c37.*
+ 30c89: 6fd5.*
+ 30c8a: 0d37.*
+ 30c8b: 6fd5.*
+ 30c8c: 0c37.*
+ 30c8d: 6fd5.*
+ 30c8e: 0e37.*
+ 30c8f: 6fd5.*
+ 30c90: 0f37.*
+ 30c91: 6fd5.*
+ 30c92: 0f37.*
+ 30c93: 6fd5.*
+ 30c94: 0c38.*
+ 30c95: 6fd5.*
+ 30c96: 0d38.*
+ 30c97: 6fd5.*
+ 30c98: 0c38.*
+ 30c99: 6fd5.*
+ 30c9a: 0e38.*
+ 30c9b: 6fd5.*
+ 30c9c: 0f38.*
+ 30c9d: 6fd5.*
+ 30c9e: 0f38.*
+ 30c9f: 6fd5.*
+ 30ca0: 0c39.*
+ 30ca1: 6fd5.*
+ 30ca2: 0d39.*
+ 30ca3: 6fd5.*
+ 30ca4: 0c39.*
+ 30ca5: 6fd5.*
+ 30ca6: 0e39.*
+ 30ca7: 6fd5.*
+ 30ca8: 0f39.*
+ 30ca9: 6fd5.*
+ 30caa: 0f39.*
+ 30cab: 6fd5.*
+ 30cac: 0c3a.*
+ 30cad: 6fd5.*
+ 30cae: 0d3a.*
+ 30caf: 6fd5.*
+ 30cb0: 0c3a.*
+ 30cb1: 6fd5.*
+ 30cb2: 0e3a.*
+ 30cb3: 6fd5.*
+ 30cb4: 0f3a.*
+ 30cb5: 6fd5.*
+ 30cb6: 0f3a.*
+ 30cb7: 6fd5.*
+ 30cb8: 0c3b.*
+ 30cb9: 6fd5.*
+ 30cba: 0d3b.*
+ 30cbb: 6fd5.*
+ 30cbc: 0c3b.*
+ 30cbd: 6fd5.*
+ 30cbe: 0e3b.*
+ 30cbf: 6fd5.*
+ 30cc0: 0f3b.*
+ 30cc1: 6fd5.*
+ 30cc2: 0f3b.*
+ 30cc3: 6fd5.*
+ 30cc4: 0c3c.*
+ 30cc5: 6fd5.*
+ 30cc6: 0d3c.*
+ 30cc7: 6fd5.*
+ 30cc8: 0c3c.*
+ 30cc9: 6fd5.*
+ 30cca: 0e3c.*
+ 30ccb: 6fd5.*
+ 30ccc: 0f3c.*
+ 30ccd: 6fd5.*
+ 30cce: 0f3c.*
+ 30ccf: 6fd5.*
+ 30cd0: 0c3d.*
+ 30cd1: 6fd5.*
+ 30cd2: 0d3d.*
+ 30cd3: 6fd5.*
+ 30cd4: 0c3d.*
+ 30cd5: 6fd5.*
+ 30cd6: 0e3d.*
+ 30cd7: 6fd5.*
+ 30cd8: 0f3d.*
+ 30cd9: 6fd5.*
+ 30cda: 0f3d.*
+ 30cdb: 6fd5.*
+ 30cdc: 0c3e.*
+ 30cdd: 6fd5.*
+ 30cde: 0d3e.*
+ 30cdf: 6fd5.*
+ 30ce0: 0c3e.*
+ 30ce1: 6fd5.*
+ 30ce2: 0e3e.*
+ 30ce3: 6fd5.*
+ 30ce4: 0f3e.*
+ 30ce5: 6fd5.*
+ 30ce6: 0f3e.*
+ 30ce7: 6fd5.*
+ 30ce8: 0c3f.*
+ 30ce9: 6fd5.*
+ 30cea: 0d3f.*
+ 30ceb: 6fd5.*
+ 30cec: 0c3f.*
+ 30ced: 6fd5.*
+ 30cee: 0e3f.*
+ 30cef: 6fd5.*
+ 30cf0: 0f3f.*
+ 30cf1: 6fd5.*
+ 30cf2: 0f3f.*
+ 30cf3: 08d5.*
+ 30cf4: 6fd5.*
+ 30cf5: 0d20.*
+ 30cf6: 08d5.*
+ 30cf7: 6fd5.*
+ 30cf8: 0e20.*
+ 30cf9: 09d5.*
+ 30cfa: 09d5.*
+ 30cfb: 6fd5.*
+ 30cfc: 0c21.*
+ 30cfd: 6fd5.*
+ 30cfe: 0d21.*
+ 30cff: 6fd5.*
+ 30d00: 0c21.*
+ 30d01: 6fd5.*
+ 30d02: 0e21.*
+ 30d03: 6fd5.*
+ 30d04: 0f21.*
+ 30d05: 6fd5.*
+ 30d06: 0f21.*
+ 30d07: 6fd5.*
+ 30d08: 0c22.*
+ 30d09: 6fd5.*
+ 30d0a: 0d22.*
+ 30d0b: 6fd5.*
+ 30d0c: 0c22.*
+ 30d0d: 6fd5.*
+ 30d0e: 0e22.*
+ 30d0f: 6fd5.*
+ 30d10: 0f22.*
+ 30d11: 6fd5.*
+ 30d12: 0f22.*
+ 30d13: 6fd5.*
+ 30d14: 0c23.*
+ 30d15: 6fd5.*
+ 30d16: 0d23.*
+ 30d17: 6fd5.*
+ 30d18: 0c23.*
+ 30d19: 6fd5.*
+ 30d1a: 0e23.*
+ 30d1b: 6fd5.*
+ 30d1c: 0f23.*
+ 30d1d: 6fd5.*
+ 30d1e: 0f23.*
+ 30d1f: 6fd5.*
+ 30d20: 0c24.*
+ 30d21: 6fd5.*
+ 30d22: 0d24.*
+ 30d23: 6fd5.*
+ 30d24: 0c24.*
+ 30d25: 6fd5.*
+ 30d26: 0e24.*
+ 30d27: 6fd5.*
+ 30d28: 0f24.*
+ 30d29: 6fd5.*
+ 30d2a: 0f24.*
+ 30d2b: 6fd5.*
+ 30d2c: 0c25.*
+ 30d2d: 6fd5.*
+ 30d2e: 0d25.*
+ 30d2f: 6fd5.*
+ 30d30: 0c25.*
+ 30d31: 6fd5.*
+ 30d32: 0e25.*
+ 30d33: 6fd5.*
+ 30d34: 0f25.*
+ 30d35: 6fd5.*
+ 30d36: 0f25.*
+ 30d37: 6fd5.*
+ 30d38: 0c26.*
+ 30d39: 6fd5.*
+ 30d3a: 0d26.*
+ 30d3b: 6fd5.*
+ 30d3c: 0c26.*
+ 30d3d: 6fd5.*
+ 30d3e: 0e26.*
+ 30d3f: 6fd5.*
+ 30d40: 0f26.*
+ 30d41: 6fd5.*
+ 30d42: 0f26.*
+ 30d43: 6fd5.*
+ 30d44: 0c27.*
+ 30d45: 6fd5.*
+ 30d46: 0d27.*
+ 30d47: 6fd5.*
+ 30d48: 0c27.*
+ 30d49: 6fd5.*
+ 30d4a: 0e27.*
+ 30d4b: 6fd5.*
+ 30d4c: 0f27.*
+ 30d4d: 6fd5.*
+ 30d4e: 0f27.*
+ 30d4f: 6fd5.*
+ 30d50: 0c28.*
+ 30d51: 6fd5.*
+ 30d52: 0d28.*
+ 30d53: 6fd5.*
+ 30d54: 0c28.*
+ 30d55: 6fd5.*
+ 30d56: 0e28.*
+ 30d57: 6fd5.*
+ 30d58: 0f28.*
+ 30d59: 6fd5.*
+ 30d5a: 0f28.*
+ 30d5b: 6fd5.*
+ 30d5c: 0c29.*
+ 30d5d: 6fd5.*
+ 30d5e: 0d29.*
+ 30d5f: 6fd5.*
+ 30d60: 0c29.*
+ 30d61: 6fd5.*
+ 30d62: 0e29.*
+ 30d63: 6fd5.*
+ 30d64: 0f29.*
+ 30d65: 6fd5.*
+ 30d66: 0f29.*
+ 30d67: 6fd5.*
+ 30d68: 0c2a.*
+ 30d69: 6fd5.*
+ 30d6a: 0d2a.*
+ 30d6b: 6fd5.*
+ 30d6c: 0c2a.*
+ 30d6d: 6fd5.*
+ 30d6e: 0e2a.*
+ 30d6f: 6fd5.*
+ 30d70: 0f2a.*
+ 30d71: 6fd5.*
+ 30d72: 0f2a.*
+ 30d73: 6fd5.*
+ 30d74: 0c2b.*
+ 30d75: 6fd5.*
+ 30d76: 0d2b.*
+ 30d77: 6fd5.*
+ 30d78: 0c2b.*
+ 30d79: 6fd5.*
+ 30d7a: 0e2b.*
+ 30d7b: 6fd5.*
+ 30d7c: 0f2b.*
+ 30d7d: 6fd5.*
+ 30d7e: 0f2b.*
+ 30d7f: 6fd5.*
+ 30d80: 0c2c.*
+ 30d81: 6fd5.*
+ 30d82: 0d2c.*
+ 30d83: 6fd5.*
+ 30d84: 0c2c.*
+ 30d85: 6fd5.*
+ 30d86: 0e2c.*
+ 30d87: 6fd5.*
+ 30d88: 0f2c.*
+ 30d89: 6fd5.*
+ 30d8a: 0f2c.*
+ 30d8b: 6fd5.*
+ 30d8c: 0c2d.*
+ 30d8d: 6fd5.*
+ 30d8e: 0d2d.*
+ 30d8f: 6fd5.*
+ 30d90: 0c2d.*
+ 30d91: 6fd5.*
+ 30d92: 0e2d.*
+ 30d93: 6fd5.*
+ 30d94: 0f2d.*
+ 30d95: 6fd5.*
+ 30d96: 0f2d.*
+ 30d97: 6fd5.*
+ 30d98: 0c2e.*
+ 30d99: 6fd5.*
+ 30d9a: 0d2e.*
+ 30d9b: 6fd5.*
+ 30d9c: 0c2e.*
+ 30d9d: 6fd5.*
+ 30d9e: 0e2e.*
+ 30d9f: 6fd5.*
+ 30da0: 0f2e.*
+ 30da1: 6fd5.*
+ 30da2: 0f2e.*
+ 30da3: 6fd5.*
+ 30da4: 0c2f.*
+ 30da5: 6fd5.*
+ 30da6: 0d2f.*
+ 30da7: 6fd5.*
+ 30da8: 0c2f.*
+ 30da9: 6fd5.*
+ 30daa: 0e2f.*
+ 30dab: 6fd5.*
+ 30dac: 0f2f.*
+ 30dad: 6fd5.*
+ 30dae: 0f2f.*
+ 30daf: 08dd.*
+ 30db0: 6fdd.*
+ 30db1: 0d20.*
+ 30db2: 08dd.*
+ 30db3: 6fdd.*
+ 30db4: 0e20.*
+ 30db5: 09dd.*
+ 30db6: 09dd.*
+ 30db7: 6fdd.*
+ 30db8: 0c30.*
+ 30db9: 6fdd.*
+ 30dba: 0d30.*
+ 30dbb: 6fdd.*
+ 30dbc: 0c30.*
+ 30dbd: 6fdd.*
+ 30dbe: 0e30.*
+ 30dbf: 6fdd.*
+ 30dc0: 0f30.*
+ 30dc1: 6fdd.*
+ 30dc2: 0f30.*
+ 30dc3: 6fdd.*
+ 30dc4: 0c31.*
+ 30dc5: 6fdd.*
+ 30dc6: 0d31.*
+ 30dc7: 6fdd.*
+ 30dc8: 0c31.*
+ 30dc9: 6fdd.*
+ 30dca: 0e31.*
+ 30dcb: 6fdd.*
+ 30dcc: 0f31.*
+ 30dcd: 6fdd.*
+ 30dce: 0f31.*
+ 30dcf: 6fdd.*
+ 30dd0: 0c32.*
+ 30dd1: 6fdd.*
+ 30dd2: 0d32.*
+ 30dd3: 6fdd.*
+ 30dd4: 0c32.*
+ 30dd5: 6fdd.*
+ 30dd6: 0e32.*
+ 30dd7: 6fdd.*
+ 30dd8: 0f32.*
+ 30dd9: 6fdd.*
+ 30dda: 0f32.*
+ 30ddb: 6fdd.*
+ 30ddc: 0c33.*
+ 30ddd: 6fdd.*
+ 30dde: 0d33.*
+ 30ddf: 6fdd.*
+ 30de0: 0c33.*
+ 30de1: 6fdd.*
+ 30de2: 0e33.*
+ 30de3: 6fdd.*
+ 30de4: 0f33.*
+ 30de5: 6fdd.*
+ 30de6: 0f33.*
+ 30de7: 6fdd.*
+ 30de8: 0c34.*
+ 30de9: 6fdd.*
+ 30dea: 0d34.*
+ 30deb: 6fdd.*
+ 30dec: 0c34.*
+ 30ded: 6fdd.*
+ 30dee: 0e34.*
+ 30def: 6fdd.*
+ 30df0: 0f34.*
+ 30df1: 6fdd.*
+ 30df2: 0f34.*
+ 30df3: 6fdd.*
+ 30df4: 0c35.*
+ 30df5: 6fdd.*
+ 30df6: 0d35.*
+ 30df7: 6fdd.*
+ 30df8: 0c35.*
+ 30df9: 6fdd.*
+ 30dfa: 0e35.*
+ 30dfb: 6fdd.*
+ 30dfc: 0f35.*
+ 30dfd: 6fdd.*
+ 30dfe: 0f35.*
+ 30dff: 6fdd.*
+ 30e00: 0c36.*
+ 30e01: 6fdd.*
+ 30e02: 0d36.*
+ 30e03: 6fdd.*
+ 30e04: 0c36.*
+ 30e05: 6fdd.*
+ 30e06: 0e36.*
+ 30e07: 6fdd.*
+ 30e08: 0f36.*
+ 30e09: 6fdd.*
+ 30e0a: 0f36.*
+ 30e0b: 6fdd.*
+ 30e0c: 0c37.*
+ 30e0d: 6fdd.*
+ 30e0e: 0d37.*
+ 30e0f: 6fdd.*
+ 30e10: 0c37.*
+ 30e11: 6fdd.*
+ 30e12: 0e37.*
+ 30e13: 6fdd.*
+ 30e14: 0f37.*
+ 30e15: 6fdd.*
+ 30e16: 0f37.*
+ 30e17: 6fdd.*
+ 30e18: 0c38.*
+ 30e19: 6fdd.*
+ 30e1a: 0d38.*
+ 30e1b: 6fdd.*
+ 30e1c: 0c38.*
+ 30e1d: 6fdd.*
+ 30e1e: 0e38.*
+ 30e1f: 6fdd.*
+ 30e20: 0f38.*
+ 30e21: 6fdd.*
+ 30e22: 0f38.*
+ 30e23: 6fdd.*
+ 30e24: 0c39.*
+ 30e25: 6fdd.*
+ 30e26: 0d39.*
+ 30e27: 6fdd.*
+ 30e28: 0c39.*
+ 30e29: 6fdd.*
+ 30e2a: 0e39.*
+ 30e2b: 6fdd.*
+ 30e2c: 0f39.*
+ 30e2d: 6fdd.*
+ 30e2e: 0f39.*
+ 30e2f: 6fdd.*
+ 30e30: 0c3a.*
+ 30e31: 6fdd.*
+ 30e32: 0d3a.*
+ 30e33: 6fdd.*
+ 30e34: 0c3a.*
+ 30e35: 6fdd.*
+ 30e36: 0e3a.*
+ 30e37: 6fdd.*
+ 30e38: 0f3a.*
+ 30e39: 6fdd.*
+ 30e3a: 0f3a.*
+ 30e3b: 6fdd.*
+ 30e3c: 0c3b.*
+ 30e3d: 6fdd.*
+ 30e3e: 0d3b.*
+ 30e3f: 6fdd.*
+ 30e40: 0c3b.*
+ 30e41: 6fdd.*
+ 30e42: 0e3b.*
+ 30e43: 6fdd.*
+ 30e44: 0f3b.*
+ 30e45: 6fdd.*
+ 30e46: 0f3b.*
+ 30e47: 6fdd.*
+ 30e48: 0c3c.*
+ 30e49: 6fdd.*
+ 30e4a: 0d3c.*
+ 30e4b: 6fdd.*
+ 30e4c: 0c3c.*
+ 30e4d: 6fdd.*
+ 30e4e: 0e3c.*
+ 30e4f: 6fdd.*
+ 30e50: 0f3c.*
+ 30e51: 6fdd.*
+ 30e52: 0f3c.*
+ 30e53: 6fdd.*
+ 30e54: 0c3d.*
+ 30e55: 6fdd.*
+ 30e56: 0d3d.*
+ 30e57: 6fdd.*
+ 30e58: 0c3d.*
+ 30e59: 6fdd.*
+ 30e5a: 0e3d.*
+ 30e5b: 6fdd.*
+ 30e5c: 0f3d.*
+ 30e5d: 6fdd.*
+ 30e5e: 0f3d.*
+ 30e5f: 6fdd.*
+ 30e60: 0c3e.*
+ 30e61: 6fdd.*
+ 30e62: 0d3e.*
+ 30e63: 6fdd.*
+ 30e64: 0c3e.*
+ 30e65: 6fdd.*
+ 30e66: 0e3e.*
+ 30e67: 6fdd.*
+ 30e68: 0f3e.*
+ 30e69: 6fdd.*
+ 30e6a: 0f3e.*
+ 30e6b: 6fdd.*
+ 30e6c: 0c3f.*
+ 30e6d: 6fdd.*
+ 30e6e: 0d3f.*
+ 30e6f: 6fdd.*
+ 30e70: 0c3f.*
+ 30e71: 6fdd.*
+ 30e72: 0e3f.*
+ 30e73: 6fdd.*
+ 30e74: 0f3f.*
+ 30e75: 6fdd.*
+ 30e76: 0f3f.*
+ 30e77: 08dd.*
+ 30e78: 6fdd.*
+ 30e79: 0d20.*
+ 30e7a: 08dd.*
+ 30e7b: 6fdd.*
+ 30e7c: 0e20.*
+ 30e7d: 09dd.*
+ 30e7e: 09dd.*
+ 30e7f: 92f1.*
+ 30e80: 6fdd.*
+ 30e81: 0d21.*
+ 30e82: 92f1.*
+ 30e83: 6fdd.*
+ 30e84: 0e21.*
+ 30e85: 93f1.*
+ 30e86: 93f1.*
+ 30e87: 92f2.*
+ 30e88: 6fdd.*
+ 30e89: 0d22.*
+ 30e8a: 92f2.*
+ 30e8b: 6fdd.*
+ 30e8c: 0e22.*
+ 30e8d: 93f2.*
+ 30e8e: 93f2.*
+ 30e8f: 92f3.*
+ 30e90: 6fdd.*
+ 30e91: 0d23.*
+ 30e92: 92f3.*
+ 30e93: 6fdd.*
+ 30e94: 0e23.*
+ 30e95: 93f3.*
+ 30e96: 93f3.*
+ 30e97: 92f4.*
+ 30e98: 6fdd.*
+ 30e99: 0d24.*
+ 30e9a: 92f4.*
+ 30e9b: 6fdd.*
+ 30e9c: 0e24.*
+ 30e9d: 93f4.*
+ 30e9e: 93f4.*
+ 30e9f: 92f5.*
+ 30ea0: 6fdd.*
+ 30ea1: 0d25.*
+ 30ea2: 92f5.*
+ 30ea3: 6fdd.*
+ 30ea4: 0e25.*
+ 30ea5: 93f5.*
+ 30ea6: 93f5.*
+ 30ea7: 92f6.*
+ 30ea8: 6fdd.*
+ 30ea9: 0d26.*
+ 30eaa: 92f6.*
+ 30eab: 6fdd.*
+ 30eac: 0e26.*
+ 30ead: 93f6.*
+ 30eae: 93f6.*
+ 30eaf: 92f7.*
+ 30eb0: 6fdd.*
+ 30eb1: 0d27.*
+ 30eb2: 92f7.*
+ 30eb3: 6fdd.*
+ 30eb4: 0e27.*
+ 30eb5: 93f7.*
+ 30eb6: 93f7.*
+ 30eb7: 92f8.*
+ 30eb8: 6fdd.*
+ 30eb9: 0d28.*
+ 30eba: 92f8.*
+ 30ebb: 6fdd.*
+ 30ebc: 0e28.*
+ 30ebd: 93f8.*
+ 30ebe: 93f8.*
+ 30ebf: 92f9.*
+ 30ec0: 6fdd.*
+ 30ec1: 0d29.*
+ 30ec2: 92f9.*
+ 30ec3: 6fdd.*
+ 30ec4: 0e29.*
+ 30ec5: 93f9.*
+ 30ec6: 93f9.*
+ 30ec7: 92fa.*
+ 30ec8: 6fdd.*
+ 30ec9: 0d2a.*
+ 30eca: 92fa.*
+ 30ecb: 6fdd.*
+ 30ecc: 0e2a.*
+ 30ecd: 93fa.*
+ 30ece: 93fa.*
+ 30ecf: 92fb.*
+ 30ed0: 6fdd.*
+ 30ed1: 0d2b.*
+ 30ed2: 92fb.*
+ 30ed3: 6fdd.*
+ 30ed4: 0e2b.*
+ 30ed5: 93fb.*
+ 30ed6: 93fb.*
+ 30ed7: 92fc.*
+ 30ed8: 6fdd.*
+ 30ed9: 0d2c.*
+ 30eda: 92fc.*
+ 30edb: 6fdd.*
+ 30edc: 0e2c.*
+ 30edd: 93fc.*
+ 30ede: 93fc.*
+ 30edf: 92fd.*
+ 30ee0: 6fdd.*
+ 30ee1: 0d2d.*
+ 30ee2: 92fd.*
+ 30ee3: 6fdd.*
+ 30ee4: 0e2d.*
+ 30ee5: 93fd.*
+ 30ee6: 93fd.*
+ 30ee7: 92fe.*
+ 30ee8: 6fdd.*
+ 30ee9: 0d2e.*
+ 30eea: 92fe.*
+ 30eeb: 6fdd.*
+ 30eec: 0e2e.*
+ 30eed: 93fe.*
+ 30eee: 93fe.*
+ 30eef: 92ff.*
+ 30ef0: 6fdd.*
+ 30ef1: 0d2f.*
+ 30ef2: 92ff.*
+ 30ef3: 6fdd.*
+ 30ef4: 0e2f.*
+ 30ef5: 93ff.*
+ 30ef6: 93ff.*
+ 30ef7: 08e5.*
+ 30ef8: 5afe.*
+ 30ef9: 6fe5.*
+ 30efa: 5aff.*
+ 30efb: 0d20.*
+ 30efc: 08e5.*
+ 30efd: 5b00.*
+ 30efe: 6fe5.*
+ 30eff: 5b01.*
+ 30f00: 0e20.*
+ 30f01: 09e5.*
+ 30f02: 5b02.*
+ 30f03: 09e5.*
+ 30f04: 5b03.*
+ 30f05: 6fe5.*
+ 30f06: 5b04.*
+ 30f07: 0c30.*
+ 30f08: 6fe5.*
+ 30f09: 5b05.*
+ 30f0a: 0d30.*
+ 30f0b: 6fe5.*
+ 30f0c: 5b06.*
+ 30f0d: 0c30.*
+ 30f0e: 6fe5.*
+ 30f0f: 5b07.*
+ 30f10: 0e30.*
+ 30f11: 6fe5.*
+ 30f12: 5b08.*
+ 30f13: 0f30.*
+ 30f14: 6fe5.*
+ 30f15: 5b09.*
+ 30f16: 0f30.*
+ 30f17: 6fe5.*
+ 30f18: 5b0a.*
+ 30f19: 0c31.*
+ 30f1a: 6fe5.*
+ 30f1b: 5b0b.*
+ 30f1c: 0d31.*
+ 30f1d: 6fe5.*
+ 30f1e: 5b0c.*
+ 30f1f: 0c31.*
+ 30f20: 6fe5.*
+ 30f21: 5b0d.*
+ 30f22: 0e31.*
+ 30f23: 6fe5.*
+ 30f24: 5b0e.*
+ 30f25: 0f31.*
+ 30f26: 6fe5.*
+ 30f27: 5b0f.*
+ 30f28: 0f31.*
+ 30f29: 6fe5.*
+ 30f2a: 5b10.*
+ 30f2b: 0c32.*
+ 30f2c: 6fe5.*
+ 30f2d: 5b11.*
+ 30f2e: 0d32.*
+ 30f2f: 6fe5.*
+ 30f30: 5b12.*
+ 30f31: 0c32.*
+ 30f32: 6fe5.*
+ 30f33: 5b13.*
+ 30f34: 0e32.*
+ 30f35: 6fe5.*
+ 30f36: 5b14.*
+ 30f37: 0f32.*
+ 30f38: 6fe5.*
+ 30f39: 5b15.*
+ 30f3a: 0f32.*
+ 30f3b: 6fe5.*
+ 30f3c: 5b16.*
+ 30f3d: 0c33.*
+ 30f3e: 6fe5.*
+ 30f3f: 5b17.*
+ 30f40: 0d33.*
+ 30f41: 6fe5.*
+ 30f42: 5b18.*
+ 30f43: 0c33.*
+ 30f44: 6fe5.*
+ 30f45: 5b19.*
+ 30f46: 0e33.*
+ 30f47: 6fe5.*
+ 30f48: 5b1a.*
+ 30f49: 0f33.*
+ 30f4a: 6fe5.*
+ 30f4b: 5b1b.*
+ 30f4c: 0f33.*
+ 30f4d: 6fe5.*
+ 30f4e: 5b1c.*
+ 30f4f: 0c34.*
+ 30f50: 6fe5.*
+ 30f51: 5b1d.*
+ 30f52: 0d34.*
+ 30f53: 6fe5.*
+ 30f54: 5b1e.*
+ 30f55: 0c34.*
+ 30f56: 6fe5.*
+ 30f57: 5b1f.*
+ 30f58: 0e34.*
+ 30f59: 6fe5.*
+ 30f5a: 5b20.*
+ 30f5b: 0f34.*
+ 30f5c: 6fe5.*
+ 30f5d: 5b21.*
+ 30f5e: 0f34.*
+ 30f5f: 6fe5.*
+ 30f60: 5b22.*
+ 30f61: 0c35.*
+ 30f62: 6fe5.*
+ 30f63: 5b23.*
+ 30f64: 0d35.*
+ 30f65: 6fe5.*
+ 30f66: 5b24.*
+ 30f67: 0c35.*
+ 30f68: 6fe5.*
+ 30f69: 5b25.*
+ 30f6a: 0e35.*
+ 30f6b: 6fe5.*
+ 30f6c: 5b26.*
+ 30f6d: 0f35.*
+ 30f6e: 6fe5.*
+ 30f6f: 5b27.*
+ 30f70: 0f35.*
+ 30f71: 6fe5.*
+ 30f72: 5b28.*
+ 30f73: 0c36.*
+ 30f74: 6fe5.*
+ 30f75: 5b29.*
+ 30f76: 0d36.*
+ 30f77: 6fe5.*
+ 30f78: 5b2a.*
+ 30f79: 0c36.*
+ 30f7a: 6fe5.*
+ 30f7b: 5b2b.*
+ 30f7c: 0e36.*
+ 30f7d: 6fe5.*
+ 30f7e: 5b2c.*
+ 30f7f: 0f36.*
+ 30f80: 6fe5.*
+ 30f81: 5b2d.*
+ 30f82: 0f36.*
+ 30f83: 6fe5.*
+ 30f84: 5b2e.*
+ 30f85: 0c37.*
+ 30f86: 6fe5.*
+ 30f87: 5b2f.*
+ 30f88: 0d37.*
+ 30f89: 6fe5.*
+ 30f8a: 5b30.*
+ 30f8b: 0c37.*
+ 30f8c: 6fe5.*
+ 30f8d: 5b31.*
+ 30f8e: 0e37.*
+ 30f8f: 6fe5.*
+ 30f90: 5b32.*
+ 30f91: 0f37.*
+ 30f92: 6fe5.*
+ 30f93: 5b33.*
+ 30f94: 0f37.*
+ 30f95: 6fe5.*
+ 30f96: 5b34.*
+ 30f97: 0c38.*
+ 30f98: 6fe5.*
+ 30f99: 5b35.*
+ 30f9a: 0d38.*
+ 30f9b: 6fe5.*
+ 30f9c: 5b36.*
+ 30f9d: 0c38.*
+ 30f9e: 6fe5.*
+ 30f9f: 5b37.*
+ 30fa0: 0e38.*
+ 30fa1: 6fe5.*
+ 30fa2: 5b38.*
+ 30fa3: 0f38.*
+ 30fa4: 6fe5.*
+ 30fa5: 5b39.*
+ 30fa6: 0f38.*
+ 30fa7: 6fe5.*
+ 30fa8: 5b3a.*
+ 30fa9: 0c39.*
+ 30faa: 6fe5.*
+ 30fab: 5b3b.*
+ 30fac: 0d39.*
+ 30fad: 6fe5.*
+ 30fae: 5b3c.*
+ 30faf: 0c39.*
+ 30fb0: 6fe5.*
+ 30fb1: 5b3d.*
+ 30fb2: 0e39.*
+ 30fb3: 6fe5.*
+ 30fb4: 5b3e.*
+ 30fb5: 0f39.*
+ 30fb6: 6fe5.*
+ 30fb7: 5b3f.*
+ 30fb8: 0f39.*
+ 30fb9: 6fe5.*
+ 30fba: 5b40.*
+ 30fbb: 0c3a.*
+ 30fbc: 6fe5.*
+ 30fbd: 5b41.*
+ 30fbe: 0d3a.*
+ 30fbf: 6fe5.*
+ 30fc0: 5b42.*
+ 30fc1: 0c3a.*
+ 30fc2: 6fe5.*
+ 30fc3: 5b43.*
+ 30fc4: 0e3a.*
+ 30fc5: 6fe5.*
+ 30fc6: 5b44.*
+ 30fc7: 0f3a.*
+ 30fc8: 6fe5.*
+ 30fc9: 5b45.*
+ 30fca: 0f3a.*
+ 30fcb: 6fe5.*
+ 30fcc: 5b46.*
+ 30fcd: 0c3b.*
+ 30fce: 6fe5.*
+ 30fcf: 5b47.*
+ 30fd0: 0d3b.*
+ 30fd1: 6fe5.*
+ 30fd2: 5b48.*
+ 30fd3: 0c3b.*
+ 30fd4: 6fe5.*
+ 30fd5: 5b49.*
+ 30fd6: 0e3b.*
+ 30fd7: 6fe5.*
+ 30fd8: 5b4a.*
+ 30fd9: 0f3b.*
+ 30fda: 6fe5.*
+ 30fdb: 5b4b.*
+ 30fdc: 0f3b.*
+ 30fdd: 6fe5.*
+ 30fde: 5b4c.*
+ 30fdf: 0c3c.*
+ 30fe0: 6fe5.*
+ 30fe1: 5b4d.*
+ 30fe2: 0d3c.*
+ 30fe3: 6fe5.*
+ 30fe4: 5b4e.*
+ 30fe5: 0c3c.*
+ 30fe6: 6fe5.*
+ 30fe7: 5b4f.*
+ 30fe8: 0e3c.*
+ 30fe9: 6fe5.*
+ 30fea: 5b50.*
+ 30feb: 0f3c.*
+ 30fec: 6fe5.*
+ 30fed: 5b51.*
+ 30fee: 0f3c.*
+ 30fef: 6fe5.*
+ 30ff0: 5b52.*
+ 30ff1: 0c3d.*
+ 30ff2: 6fe5.*
+ 30ff3: 5b53.*
+ 30ff4: 0d3d.*
+ 30ff5: 6fe5.*
+ 30ff6: 5b54.*
+ 30ff7: 0c3d.*
+ 30ff8: 6fe5.*
+ 30ff9: 5b55.*
+ 30ffa: 0e3d.*
+ 30ffb: 6fe5.*
+ 30ffc: 5b56.*
+ 30ffd: 0f3d.*
+ 30ffe: 6fe5.*
+ 30fff: 5b57.*
+ 31000: 0f3d.*
+ 31001: 6fe5.*
+ 31002: 5b58.*
+ 31003: 0c3e.*
+ 31004: 6fe5.*
+ 31005: 5b59.*
+ 31006: 0d3e.*
+ 31007: 6fe5.*
+ 31008: 5b5a.*
+ 31009: 0c3e.*
+ 3100a: 6fe5.*
+ 3100b: 5b5b.*
+ 3100c: 0e3e.*
+ 3100d: 6fe5.*
+ 3100e: 5b5c.*
+ 3100f: 0f3e.*
+ 31010: 6fe5.*
+ 31011: 5b5d.*
+ 31012: 0f3e.*
+ 31013: 6fe5.*
+ 31014: 5b5e.*
+ 31015: 0c3f.*
+ 31016: 6fe5.*
+ 31017: 5b5f.*
+ 31018: 0d3f.*
+ 31019: 6fe5.*
+ 3101a: 5b60.*
+ 3101b: 0c3f.*
+ 3101c: 6fe5.*
+ 3101d: 5b61.*
+ 3101e: 0e3f.*
+ 3101f: 6fe5.*
+ 31020: 5b62.*
+ 31021: 0f3f.*
+ 31022: 6fe5.*
+ 31023: 5b63.*
+ 31024: 0f3f.*
+ 31025: 08e5.*
+ 31026: 5b64.*
+ 31027: 6fe5.*
+ 31028: 5b65.*
+ 31029: 0d20.*
+ 3102a: 08e5.*
+ 3102b: 5b66.*
+ 3102c: 6fe5.*
+ 3102d: 5b67.*
+ 3102e: 0e20.*
+ 3102f: 09e5.*
+ 31030: 5b68.*
+ 31031: 09e5.*
+ 31032: 5b69.*
+ 31033: 6fe5.*
+ 31034: 5b6a.*
+ 31035: 0c21.*
+ 31036: 6fe5.*
+ 31037: 5b6b.*
+ 31038: 0d21.*
+ 31039: 6fe5.*
+ 3103a: 5b6c.*
+ 3103b: 0c21.*
+ 3103c: 6fe5.*
+ 3103d: 5b6d.*
+ 3103e: 0e21.*
+ 3103f: 6fe5.*
+ 31040: 5b6e.*
+ 31041: 0f21.*
+ 31042: 6fe5.*
+ 31043: 5b6f.*
+ 31044: 0f21.*
+ 31045: 6fe5.*
+ 31046: 5b70.*
+ 31047: 0c22.*
+ 31048: 6fe5.*
+ 31049: 5b71.*
+ 3104a: 0d22.*
+ 3104b: 6fe5.*
+ 3104c: 5b72.*
+ 3104d: 0c22.*
+ 3104e: 6fe5.*
+ 3104f: 5b73.*
+ 31050: 0e22.*
+ 31051: 6fe5.*
+ 31052: 5b74.*
+ 31053: 0f22.*
+ 31054: 6fe5.*
+ 31055: 5b75.*
+ 31056: 0f22.*
+ 31057: 6fe5.*
+ 31058: 5b76.*
+ 31059: 0c23.*
+ 3105a: 6fe5.*
+ 3105b: 5b77.*
+ 3105c: 0d23.*
+ 3105d: 6fe5.*
+ 3105e: 5b78.*
+ 3105f: 0c23.*
+ 31060: 6fe5.*
+ 31061: 5b79.*
+ 31062: 0e23.*
+ 31063: 6fe5.*
+ 31064: 5b7a.*
+ 31065: 0f23.*
+ 31066: 6fe5.*
+ 31067: 5b7b.*
+ 31068: 0f23.*
+ 31069: 6fe5.*
+ 3106a: 5b7c.*
+ 3106b: 0c24.*
+ 3106c: 6fe5.*
+ 3106d: 5b7d.*
+ 3106e: 0d24.*
+ 3106f: 6fe5.*
+ 31070: 5b7e.*
+ 31071: 0c24.*
+ 31072: 6fe5.*
+ 31073: 5b7f.*
+ 31074: 0e24.*
+ 31075: 6fe5.*
+ 31076: 5b80.*
+ 31077: 0f24.*
+ 31078: 6fe5.*
+ 31079: 5b81.*
+ 3107a: 0f24.*
+ 3107b: 6fe5.*
+ 3107c: 5b82.*
+ 3107d: 0c25.*
+ 3107e: 6fe5.*
+ 3107f: 5b83.*
+ 31080: 0d25.*
+ 31081: 6fe5.*
+ 31082: 5b84.*
+ 31083: 0c25.*
+ 31084: 6fe5.*
+ 31085: 5b85.*
+ 31086: 0e25.*
+ 31087: 6fe5.*
+ 31088: 5b86.*
+ 31089: 0f25.*
+ 3108a: 6fe5.*
+ 3108b: 5b87.*
+ 3108c: 0f25.*
+ 3108d: 6fe5.*
+ 3108e: 5b88.*
+ 3108f: 0c26.*
+ 31090: 6fe5.*
+ 31091: 5b89.*
+ 31092: 0d26.*
+ 31093: 6fe5.*
+ 31094: 5b8a.*
+ 31095: 0c26.*
+ 31096: 6fe5.*
+ 31097: 5b8b.*
+ 31098: 0e26.*
+ 31099: 6fe5.*
+ 3109a: 5b8c.*
+ 3109b: 0f26.*
+ 3109c: 6fe5.*
+ 3109d: 5b8d.*
+ 3109e: 0f26.*
+ 3109f: 6fe5.*
+ 310a0: 5b8e.*
+ 310a1: 0c27.*
+ 310a2: 6fe5.*
+ 310a3: 5b8f.*
+ 310a4: 0d27.*
+ 310a5: 6fe5.*
+ 310a6: 5b90.*
+ 310a7: 0c27.*
+ 310a8: 6fe5.*
+ 310a9: 5b91.*
+ 310aa: 0e27.*
+ 310ab: 6fe5.*
+ 310ac: 5b92.*
+ 310ad: 0f27.*
+ 310ae: 6fe5.*
+ 310af: 5b93.*
+ 310b0: 0f27.*
+ 310b1: 6fe5.*
+ 310b2: 5b94.*
+ 310b3: 0c28.*
+ 310b4: 6fe5.*
+ 310b5: 5b95.*
+ 310b6: 0d28.*
+ 310b7: 6fe5.*
+ 310b8: 5b96.*
+ 310b9: 0c28.*
+ 310ba: 6fe5.*
+ 310bb: 5b97.*
+ 310bc: 0e28.*
+ 310bd: 6fe5.*
+ 310be: 5b98.*
+ 310bf: 0f28.*
+ 310c0: 6fe5.*
+ 310c1: 5b99.*
+ 310c2: 0f28.*
+ 310c3: 6fe5.*
+ 310c4: 5b9a.*
+ 310c5: 0c29.*
+ 310c6: 6fe5.*
+ 310c7: 5b9b.*
+ 310c8: 0d29.*
+ 310c9: 6fe5.*
+ 310ca: 5b9c.*
+ 310cb: 0c29.*
+ 310cc: 6fe5.*
+ 310cd: 5b9d.*
+ 310ce: 0e29.*
+ 310cf: 6fe5.*
+ 310d0: 5b9e.*
+ 310d1: 0f29.*
+ 310d2: 6fe5.*
+ 310d3: 5b9f.*
+ 310d4: 0f29.*
+ 310d5: 6fe5.*
+ 310d6: 5ba0.*
+ 310d7: 0c2a.*
+ 310d8: 6fe5.*
+ 310d9: 5ba1.*
+ 310da: 0d2a.*
+ 310db: 6fe5.*
+ 310dc: 5ba2.*
+ 310dd: 0c2a.*
+ 310de: 6fe5.*
+ 310df: 5ba3.*
+ 310e0: 0e2a.*
+ 310e1: 6fe5.*
+ 310e2: 5ba4.*
+ 310e3: 0f2a.*
+ 310e4: 6fe5.*
+ 310e5: 5ba5.*
+ 310e6: 0f2a.*
+ 310e7: 6fe5.*
+ 310e8: 5ba6.*
+ 310e9: 0c2b.*
+ 310ea: 6fe5.*
+ 310eb: 5ba7.*
+ 310ec: 0d2b.*
+ 310ed: 6fe5.*
+ 310ee: 5ba8.*
+ 310ef: 0c2b.*
+ 310f0: 6fe5.*
+ 310f1: 5ba9.*
+ 310f2: 0e2b.*
+ 310f3: 6fe5.*
+ 310f4: 5baa.*
+ 310f5: 0f2b.*
+ 310f6: 6fe5.*
+ 310f7: 5bab.*
+ 310f8: 0f2b.*
+ 310f9: 6fe5.*
+ 310fa: 5bac.*
+ 310fb: 0c2c.*
+ 310fc: 6fe5.*
+ 310fd: 5bad.*
+ 310fe: 0d2c.*
+ 310ff: 6fe5.*
+ 31100: 5bae.*
+ 31101: 0c2c.*
+ 31102: 6fe5.*
+ 31103: 5baf.*
+ 31104: 0e2c.*
+ 31105: 6fe5.*
+ 31106: 5bb0.*
+ 31107: 0f2c.*
+ 31108: 6fe5.*
+ 31109: 5bb1.*
+ 3110a: 0f2c.*
+ 3110b: 6fe5.*
+ 3110c: 5bb2.*
+ 3110d: 0c2d.*
+ 3110e: 6fe5.*
+ 3110f: 5bb3.*
+ 31110: 0d2d.*
+ 31111: 6fe5.*
+ 31112: 5bb4.*
+ 31113: 0c2d.*
+ 31114: 6fe5.*
+ 31115: 5bb5.*
+ 31116: 0e2d.*
+ 31117: 6fe5.*
+ 31118: 5bb6.*
+ 31119: 0f2d.*
+ 3111a: 6fe5.*
+ 3111b: 5bb7.*
+ 3111c: 0f2d.*
+ 3111d: 6fe5.*
+ 3111e: 5bb8.*
+ 3111f: 0c2e.*
+ 31120: 6fe5.*
+ 31121: 5bb9.*
+ 31122: 0d2e.*
+ 31123: 6fe5.*
+ 31124: 5bba.*
+ 31125: 0c2e.*
+ 31126: 6fe5.*
+ 31127: 5bbb.*
+ 31128: 0e2e.*
+ 31129: 6fe5.*
+ 3112a: 5bbc.*
+ 3112b: 0f2e.*
+ 3112c: 6fe5.*
+ 3112d: 5bbd.*
+ 3112e: 0f2e.*
+ 3112f: 6fe5.*
+ 31130: 5bbe.*
+ 31131: 0c2f.*
+ 31132: 6fe5.*
+ 31133: 5bbf.*
+ 31134: 0d2f.*
+ 31135: 6fe5.*
+ 31136: 5bc0.*
+ 31137: 0c2f.*
+ 31138: 6fe5.*
+ 31139: 5bc1.*
+ 3113a: 0e2f.*
+ 3113b: 6fe5.*
+ 3113c: 5bc2.*
+ 3113d: 0f2f.*
+ 3113e: 6fe5.*
+ 3113f: 5bc3.*
+ 31140: 0f2f.*
+ 31141: 08ed.*
+ 31142: 5bc4.*
+ 31143: 6fed.*
+ 31144: 5bc5.*
+ 31145: 0d20.*
+ 31146: 08ed.*
+ 31147: 5bc6.*
+ 31148: 6fed.*
+ 31149: 5bc7.*
+ 3114a: 0e20.*
+ 3114b: 09ed.*
+ 3114c: 5bc8.*
+ 3114d: 09ed.*
+ 3114e: 5bc9.*
+ 3114f: 6fed.*
+ 31150: 5bca.*
+ 31151: 0c30.*
+ 31152: 6fed.*
+ 31153: 5bcb.*
+ 31154: 0d30.*
+ 31155: 6fed.*
+ 31156: 5bcc.*
+ 31157: 0c30.*
+ 31158: 6fed.*
+ 31159: 5bcd.*
+ 3115a: 0e30.*
+ 3115b: 6fed.*
+ 3115c: 5bce.*
+ 3115d: 0f30.*
+ 3115e: 6fed.*
+ 3115f: 5bcf.*
+ 31160: 0f30.*
+ 31161: 6fed.*
+ 31162: 5bd0.*
+ 31163: 0c31.*
+ 31164: 6fed.*
+ 31165: 5bd1.*
+ 31166: 0d31.*
+ 31167: 6fed.*
+ 31168: 5bd2.*
+ 31169: 0c31.*
+ 3116a: 6fed.*
+ 3116b: 5bd3.*
+ 3116c: 0e31.*
+ 3116d: 6fed.*
+ 3116e: 5bd4.*
+ 3116f: 0f31.*
+ 31170: 6fed.*
+ 31171: 5bd5.*
+ 31172: 0f31.*
+ 31173: 6fed.*
+ 31174: 5bd6.*
+ 31175: 0c32.*
+ 31176: 6fed.*
+ 31177: 5bd7.*
+ 31178: 0d32.*
+ 31179: 6fed.*
+ 3117a: 5bd8.*
+ 3117b: 0c32.*
+ 3117c: 6fed.*
+ 3117d: 5bd9.*
+ 3117e: 0e32.*
+ 3117f: 6fed.*
+ 31180: 5bda.*
+ 31181: 0f32.*
+ 31182: 6fed.*
+ 31183: 5bdb.*
+ 31184: 0f32.*
+ 31185: 6fed.*
+ 31186: 5bdc.*
+ 31187: 0c33.*
+ 31188: 6fed.*
+ 31189: 5bdd.*
+ 3118a: 0d33.*
+ 3118b: 6fed.*
+ 3118c: 5bde.*
+ 3118d: 0c33.*
+ 3118e: 6fed.*
+ 3118f: 5bdf.*
+ 31190: 0e33.*
+ 31191: 6fed.*
+ 31192: 5be0.*
+ 31193: 0f33.*
+ 31194: 6fed.*
+ 31195: 5be1.*
+ 31196: 0f33.*
+ 31197: 6fed.*
+ 31198: 5be2.*
+ 31199: 0c34.*
+ 3119a: 6fed.*
+ 3119b: 5be3.*
+ 3119c: 0d34.*
+ 3119d: 6fed.*
+ 3119e: 5be4.*
+ 3119f: 0c34.*
+ 311a0: 6fed.*
+ 311a1: 5be5.*
+ 311a2: 0e34.*
+ 311a3: 6fed.*
+ 311a4: 5be6.*
+ 311a5: 0f34.*
+ 311a6: 6fed.*
+ 311a7: 5be7.*
+ 311a8: 0f34.*
+ 311a9: 6fed.*
+ 311aa: 5be8.*
+ 311ab: 0c35.*
+ 311ac: 6fed.*
+ 311ad: 5be9.*
+ 311ae: 0d35.*
+ 311af: 6fed.*
+ 311b0: 5bea.*
+ 311b1: 0c35.*
+ 311b2: 6fed.*
+ 311b3: 5beb.*
+ 311b4: 0e35.*
+ 311b5: 6fed.*
+ 311b6: 5bec.*
+ 311b7: 0f35.*
+ 311b8: 6fed.*
+ 311b9: 5bed.*
+ 311ba: 0f35.*
+ 311bb: 6fed.*
+ 311bc: 5bee.*
+ 311bd: 0c36.*
+ 311be: 6fed.*
+ 311bf: 5bef.*
+ 311c0: 0d36.*
+ 311c1: 6fed.*
+ 311c2: 5bf0.*
+ 311c3: 0c36.*
+ 311c4: 6fed.*
+ 311c5: 5bf1.*
+ 311c6: 0e36.*
+ 311c7: 6fed.*
+ 311c8: 5bf2.*
+ 311c9: 0f36.*
+ 311ca: 6fed.*
+ 311cb: 5bf3.*
+ 311cc: 0f36.*
+ 311cd: 6fed.*
+ 311ce: 5bf4.*
+ 311cf: 0c37.*
+ 311d0: 6fed.*
+ 311d1: 5bf5.*
+ 311d2: 0d37.*
+ 311d3: 6fed.*
+ 311d4: 5bf6.*
+ 311d5: 0c37.*
+ 311d6: 6fed.*
+ 311d7: 5bf7.*
+ 311d8: 0e37.*
+ 311d9: 6fed.*
+ 311da: 5bf8.*
+ 311db: 0f37.*
+ 311dc: 6fed.*
+ 311dd: 5bf9.*
+ 311de: 0f37.*
+ 311df: 6fed.*
+ 311e0: 5bfa.*
+ 311e1: 0c38.*
+ 311e2: 6fed.*
+ 311e3: 5bfb.*
+ 311e4: 0d38.*
+ 311e5: 6fed.*
+ 311e6: 5bfc.*
+ 311e7: 0c38.*
+ 311e8: 6fed.*
+ 311e9: 5bfd.*
+ 311ea: 0e38.*
+ 311eb: 6fed.*
+ 311ec: 5bfe.*
+ 311ed: 0f38.*
+ 311ee: 6fed.*
+ 311ef: 5bff.*
+ 311f0: 0f38.*
+ 311f1: 6fed.*
+ 311f2: 5c00.*
+ 311f3: 0c39.*
+ 311f4: 6fed.*
+ 311f5: 5c01.*
+ 311f6: 0d39.*
+ 311f7: 6fed.*
+ 311f8: 5c02.*
+ 311f9: 0c39.*
+ 311fa: 6fed.*
+ 311fb: 5c03.*
+ 311fc: 0e39.*
+ 311fd: 6fed.*
+ 311fe: 5c04.*
+ 311ff: 0f39.*
+ 31200: 6fed.*
+ 31201: 5c05.*
+ 31202: 0f39.*
+ 31203: 6fed.*
+ 31204: 5c06.*
+ 31205: 0c3a.*
+ 31206: 6fed.*
+ 31207: 5c07.*
+ 31208: 0d3a.*
+ 31209: 6fed.*
+ 3120a: 5c08.*
+ 3120b: 0c3a.*
+ 3120c: 6fed.*
+ 3120d: 5c09.*
+ 3120e: 0e3a.*
+ 3120f: 6fed.*
+ 31210: 5c0a.*
+ 31211: 0f3a.*
+ 31212: 6fed.*
+ 31213: 5c0b.*
+ 31214: 0f3a.*
+ 31215: 6fed.*
+ 31216: 5c0c.*
+ 31217: 0c3b.*
+ 31218: 6fed.*
+ 31219: 5c0d.*
+ 3121a: 0d3b.*
+ 3121b: 6fed.*
+ 3121c: 5c0e.*
+ 3121d: 0c3b.*
+ 3121e: 6fed.*
+ 3121f: 5c0f.*
+ 31220: 0e3b.*
+ 31221: 6fed.*
+ 31222: 5c10.*
+ 31223: 0f3b.*
+ 31224: 6fed.*
+ 31225: 5c11.*
+ 31226: 0f3b.*
+ 31227: 6fed.*
+ 31228: 5c12.*
+ 31229: 0c3c.*
+ 3122a: 6fed.*
+ 3122b: 5c13.*
+ 3122c: 0d3c.*
+ 3122d: 6fed.*
+ 3122e: 5c14.*
+ 3122f: 0c3c.*
+ 31230: 6fed.*
+ 31231: 5c15.*
+ 31232: 0e3c.*
+ 31233: 6fed.*
+ 31234: 5c16.*
+ 31235: 0f3c.*
+ 31236: 6fed.*
+ 31237: 5c17.*
+ 31238: 0f3c.*
+ 31239: 6fed.*
+ 3123a: 5c18.*
+ 3123b: 0c3d.*
+ 3123c: 6fed.*
+ 3123d: 5c19.*
+ 3123e: 0d3d.*
+ 3123f: 6fed.*
+ 31240: 5c1a.*
+ 31241: 0c3d.*
+ 31242: 6fed.*
+ 31243: 5c1b.*
+ 31244: 0e3d.*
+ 31245: 6fed.*
+ 31246: 5c1c.*
+ 31247: 0f3d.*
+ 31248: 6fed.*
+ 31249: 5c1d.*
+ 3124a: 0f3d.*
+ 3124b: 6fed.*
+ 3124c: 5c1e.*
+ 3124d: 0c3e.*
+ 3124e: 6fed.*
+ 3124f: 5c1f.*
+ 31250: 0d3e.*
+ 31251: 6fed.*
+ 31252: 5c20.*
+ 31253: 0c3e.*
+ 31254: 6fed.*
+ 31255: 5c21.*
+ 31256: 0e3e.*
+ 31257: 6fed.*
+ 31258: 5c22.*
+ 31259: 0f3e.*
+ 3125a: 6fed.*
+ 3125b: 5c23.*
+ 3125c: 0f3e.*
+ 3125d: 6fed.*
+ 3125e: 5c24.*
+ 3125f: 0c3f.*
+ 31260: 6fed.*
+ 31261: 5c25.*
+ 31262: 0d3f.*
+ 31263: 6fed.*
+ 31264: 5c26.*
+ 31265: 0c3f.*
+ 31266: 6fed.*
+ 31267: 5c27.*
+ 31268: 0e3f.*
+ 31269: 6fed.*
+ 3126a: 5c28.*
+ 3126b: 0f3f.*
+ 3126c: 6fed.*
+ 3126d: 5c29.*
+ 3126e: 0f3f.*
+ 3126f: 08ed.*
+ 31270: 5c2a.*
+ 31271: 6fed.*
+ 31272: 5c2b.*
+ 31273: 0d20.*
+ 31274: 08ed.*
+ 31275: 5c2c.*
+ 31276: 6fed.*
+ 31277: 5c2d.*
+ 31278: 0e20.*
+ 31279: 09ed.*
+ 3127a: 5c2e.*
+ 3127b: 09ed.*
+ 3127c: 5c2f.*
+ 3127d: 6fed.*
+ 3127e: 5c30.*
+ 3127f: 0c21.*
+ 31280: 6fed.*
+ 31281: 5c31.*
+ 31282: 0d21.*
+ 31283: 6fed.*
+ 31284: 5c32.*
+ 31285: 0c21.*
+ 31286: 6fed.*
+ 31287: 5c33.*
+ 31288: 0e21.*
+ 31289: 6fed.*
+ 3128a: 5c34.*
+ 3128b: 0f21.*
+ 3128c: 6fed.*
+ 3128d: 5c35.*
+ 3128e: 0f21.*
+ 3128f: 6fed.*
+ 31290: 5c36.*
+ 31291: 0c22.*
+ 31292: 6fed.*
+ 31293: 5c37.*
+ 31294: 0d22.*
+ 31295: 6fed.*
+ 31296: 5c38.*
+ 31297: 0c22.*
+ 31298: 6fed.*
+ 31299: 5c39.*
+ 3129a: 0e22.*
+ 3129b: 6fed.*
+ 3129c: 5c3a.*
+ 3129d: 0f22.*
+ 3129e: 6fed.*
+ 3129f: 5c3b.*
+ 312a0: 0f22.*
+ 312a1: 6fed.*
+ 312a2: 5c3c.*
+ 312a3: 0c23.*
+ 312a4: 6fed.*
+ 312a5: 5c3d.*
+ 312a6: 0d23.*
+ 312a7: 6fed.*
+ 312a8: 5c3e.*
+ 312a9: 0c23.*
+ 312aa: 6fed.*
+ 312ab: 5c3f.*
+ 312ac: 0e23.*
+ 312ad: 6fed.*
+ 312ae: 5c40.*
+ 312af: 0f23.*
+ 312b0: 6fed.*
+ 312b1: 5c41.*
+ 312b2: 0f23.*
+ 312b3: 6fed.*
+ 312b4: 5c42.*
+ 312b5: 0c24.*
+ 312b6: 6fed.*
+ 312b7: 5c43.*
+ 312b8: 0d24.*
+ 312b9: 6fed.*
+ 312ba: 5c44.*
+ 312bb: 0c24.*
+ 312bc: 6fed.*
+ 312bd: 5c45.*
+ 312be: 0e24.*
+ 312bf: 6fed.*
+ 312c0: 5c46.*
+ 312c1: 0f24.*
+ 312c2: 6fed.*
+ 312c3: 5c47.*
+ 312c4: 0f24.*
+ 312c5: 6fed.*
+ 312c6: 5c48.*
+ 312c7: 0c25.*
+ 312c8: 6fed.*
+ 312c9: 5c49.*
+ 312ca: 0d25.*
+ 312cb: 6fed.*
+ 312cc: 5c4a.*
+ 312cd: 0c25.*
+ 312ce: 6fed.*
+ 312cf: 5c4b.*
+ 312d0: 0e25.*
+ 312d1: 6fed.*
+ 312d2: 5c4c.*
+ 312d3: 0f25.*
+ 312d4: 6fed.*
+ 312d5: 5c4d.*
+ 312d6: 0f25.*
+ 312d7: 6fed.*
+ 312d8: 5c4e.*
+ 312d9: 0c26.*
+ 312da: 6fed.*
+ 312db: 5c4f.*
+ 312dc: 0d26.*
+ 312dd: 6fed.*
+ 312de: 5c50.*
+ 312df: 0c26.*
+ 312e0: 6fed.*
+ 312e1: 5c51.*
+ 312e2: 0e26.*
+ 312e3: 6fed.*
+ 312e4: 5c52.*
+ 312e5: 0f26.*
+ 312e6: 6fed.*
+ 312e7: 5c53.*
+ 312e8: 0f26.*
+ 312e9: 6fed.*
+ 312ea: 5c54.*
+ 312eb: 0c27.*
+ 312ec: 6fed.*
+ 312ed: 5c55.*
+ 312ee: 0d27.*
+ 312ef: 6fed.*
+ 312f0: 5c56.*
+ 312f1: 0c27.*
+ 312f2: 6fed.*
+ 312f3: 5c57.*
+ 312f4: 0e27.*
+ 312f5: 6fed.*
+ 312f6: 5c58.*
+ 312f7: 0f27.*
+ 312f8: 6fed.*
+ 312f9: 5c59.*
+ 312fa: 0f27.*
+ 312fb: 6fed.*
+ 312fc: 5c5a.*
+ 312fd: 0c28.*
+ 312fe: 6fed.*
+ 312ff: 5c5b.*
+ 31300: 0d28.*
+ 31301: 6fed.*
+ 31302: 5c5c.*
+ 31303: 0c28.*
+ 31304: 6fed.*
+ 31305: 5c5d.*
+ 31306: 0e28.*
+ 31307: 6fed.*
+ 31308: 5c5e.*
+ 31309: 0f28.*
+ 3130a: 6fed.*
+ 3130b: 5c5f.*
+ 3130c: 0f28.*
+ 3130d: 6fed.*
+ 3130e: 5c60.*
+ 3130f: 0c29.*
+ 31310: 6fed.*
+ 31311: 5c61.*
+ 31312: 0d29.*
+ 31313: 6fed.*
+ 31314: 5c62.*
+ 31315: 0c29.*
+ 31316: 6fed.*
+ 31317: 5c63.*
+ 31318: 0e29.*
+ 31319: 6fed.*
+ 3131a: 5c64.*
+ 3131b: 0f29.*
+ 3131c: 6fed.*
+ 3131d: 5c65.*
+ 3131e: 0f29.*
+ 3131f: 6fed.*
+ 31320: 5c66.*
+ 31321: 0c2a.*
+ 31322: 6fed.*
+ 31323: 5c67.*
+ 31324: 0d2a.*
+ 31325: 6fed.*
+ 31326: 5c68.*
+ 31327: 0c2a.*
+ 31328: 6fed.*
+ 31329: 5c69.*
+ 3132a: 0e2a.*
+ 3132b: 6fed.*
+ 3132c: 5c6a.*
+ 3132d: 0f2a.*
+ 3132e: 6fed.*
+ 3132f: 5c6b.*
+ 31330: 0f2a.*
+ 31331: 6fed.*
+ 31332: 5c6c.*
+ 31333: 0c2b.*
+ 31334: 6fed.*
+ 31335: 5c6d.*
+ 31336: 0d2b.*
+ 31337: 6fed.*
+ 31338: 5c6e.*
+ 31339: 0c2b.*
+ 3133a: 6fed.*
+ 3133b: 5c6f.*
+ 3133c: 0e2b.*
+ 3133d: 6fed.*
+ 3133e: 5c70.*
+ 3133f: 0f2b.*
+ 31340: 6fed.*
+ 31341: 5c71.*
+ 31342: 0f2b.*
+ 31343: 6fed.*
+ 31344: 5c72.*
+ 31345: 0c2c.*
+ 31346: 6fed.*
+ 31347: 5c73.*
+ 31348: 0d2c.*
+ 31349: 6fed.*
+ 3134a: 5c74.*
+ 3134b: 0c2c.*
+ 3134c: 6fed.*
+ 3134d: 5c75.*
+ 3134e: 0e2c.*
+ 3134f: 6fed.*
+ 31350: 5c76.*
+ 31351: 0f2c.*
+ 31352: 6fed.*
+ 31353: 5c77.*
+ 31354: 0f2c.*
+ 31355: 6fed.*
+ 31356: 5c78.*
+ 31357: 0c2d.*
+ 31358: 6fed.*
+ 31359: 5c79.*
+ 3135a: 0d2d.*
+ 3135b: 6fed.*
+ 3135c: 5c7a.*
+ 3135d: 0c2d.*
+ 3135e: 6fed.*
+ 3135f: 5c7b.*
+ 31360: 0e2d.*
+ 31361: 6fed.*
+ 31362: 5c7c.*
+ 31363: 0f2d.*
+ 31364: 6fed.*
+ 31365: 5c7d.*
+ 31366: 0f2d.*
+ 31367: 6fed.*
+ 31368: 5c7e.*
+ 31369: 0c2e.*
+ 3136a: 6fed.*
+ 3136b: 5c7f.*
+ 3136c: 0d2e.*
+ 3136d: 6fed.*
+ 3136e: 5c80.*
+ 3136f: 0c2e.*
+ 31370: 6fed.*
+ 31371: 5c81.*
+ 31372: 0e2e.*
+ 31373: 6fed.*
+ 31374: 5c82.*
+ 31375: 0f2e.*
+ 31376: 6fed.*
+ 31377: 5c83.*
+ 31378: 0f2e.*
+ 31379: 6fed.*
+ 3137a: 5c84.*
+ 3137b: 0c2f.*
+ 3137c: 6fed.*
+ 3137d: 5c85.*
+ 3137e: 0d2f.*
+ 3137f: 6fed.*
+ 31380: 5c86.*
+ 31381: 0c2f.*
+ 31382: 6fed.*
+ 31383: 5c87.*
+ 31384: 0e2f.*
+ 31385: 6fed.*
+ 31386: 5c88.*
+ 31387: 0f2f.*
+ 31388: 6fed.*
+ 31389: 5c89.*
+ 3138a: 0f2f.*
+ 3138b: 08f5.*
+ 3138c: 5c8a.*
+ 3138d: 6ff5.*
+ 3138e: 5c8b.*
+ 3138f: 0d20.*
+ 31390: 08f5.*
+ 31391: 5c8c.*
+ 31392: 6ff5.*
+ 31393: 5c8d.*
+ 31394: 0e20.*
+ 31395: 09f5.*
+ 31396: 5c8e.*
+ 31397: 09f5.*
+ 31398: 5c8f.*
+ 31399: 6ff5.*
+ 3139a: 5c90.*
+ 3139b: 0c30.*
+ 3139c: 6ff5.*
+ 3139d: 5c91.*
+ 3139e: 0d30.*
+ 3139f: 6ff5.*
+ 313a0: 5c92.*
+ 313a1: 0c30.*
+ 313a2: 6ff5.*
+ 313a3: 5c93.*
+ 313a4: 0e30.*
+ 313a5: 6ff5.*
+ 313a6: 5c94.*
+ 313a7: 0f30.*
+ 313a8: 6ff5.*
+ 313a9: 5c95.*
+ 313aa: 0f30.*
+ 313ab: 6ff5.*
+ 313ac: 5c96.*
+ 313ad: 0c31.*
+ 313ae: 6ff5.*
+ 313af: 5c97.*
+ 313b0: 0d31.*
+ 313b1: 6ff5.*
+ 313b2: 5c98.*
+ 313b3: 0c31.*
+ 313b4: 6ff5.*
+ 313b5: 5c99.*
+ 313b6: 0e31.*
+ 313b7: 6ff5.*
+ 313b8: 5c9a.*
+ 313b9: 0f31.*
+ 313ba: 6ff5.*
+ 313bb: 5c9b.*
+ 313bc: 0f31.*
+ 313bd: 6ff5.*
+ 313be: 5c9c.*
+ 313bf: 0c32.*
+ 313c0: 6ff5.*
+ 313c1: 5c9d.*
+ 313c2: 0d32.*
+ 313c3: 6ff5.*
+ 313c4: 5c9e.*
+ 313c5: 0c32.*
+ 313c6: 6ff5.*
+ 313c7: 5c9f.*
+ 313c8: 0e32.*
+ 313c9: 6ff5.*
+ 313ca: 5ca0.*
+ 313cb: 0f32.*
+ 313cc: 6ff5.*
+ 313cd: 5ca1.*
+ 313ce: 0f32.*
+ 313cf: 6ff5.*
+ 313d0: 5ca2.*
+ 313d1: 0c33.*
+ 313d2: 6ff5.*
+ 313d3: 5ca3.*
+ 313d4: 0d33.*
+ 313d5: 6ff5.*
+ 313d6: 5ca4.*
+ 313d7: 0c33.*
+ 313d8: 6ff5.*
+ 313d9: 5ca5.*
+ 313da: 0e33.*
+ 313db: 6ff5.*
+ 313dc: 5ca6.*
+ 313dd: 0f33.*
+ 313de: 6ff5.*
+ 313df: 5ca7.*
+ 313e0: 0f33.*
+ 313e1: 6ff5.*
+ 313e2: 5ca8.*
+ 313e3: 0c34.*
+ 313e4: 6ff5.*
+ 313e5: 5ca9.*
+ 313e6: 0d34.*
+ 313e7: 6ff5.*
+ 313e8: 5caa.*
+ 313e9: 0c34.*
+ 313ea: 6ff5.*
+ 313eb: 5cab.*
+ 313ec: 0e34.*
+ 313ed: 6ff5.*
+ 313ee: 5cac.*
+ 313ef: 0f34.*
+ 313f0: 6ff5.*
+ 313f1: 5cad.*
+ 313f2: 0f34.*
+ 313f3: 6ff5.*
+ 313f4: 5cae.*
+ 313f5: 0c35.*
+ 313f6: 6ff5.*
+ 313f7: 5caf.*
+ 313f8: 0d35.*
+ 313f9: 6ff5.*
+ 313fa: 5cb0.*
+ 313fb: 0c35.*
+ 313fc: 6ff5.*
+ 313fd: 5cb1.*
+ 313fe: 0e35.*
+ 313ff: 6ff5.*
+ 31400: 5cb2.*
+ 31401: 0f35.*
+ 31402: 6ff5.*
+ 31403: 5cb3.*
+ 31404: 0f35.*
+ 31405: 6ff5.*
+ 31406: 5cb4.*
+ 31407: 0c36.*
+ 31408: 6ff5.*
+ 31409: 5cb5.*
+ 3140a: 0d36.*
+ 3140b: 6ff5.*
+ 3140c: 5cb6.*
+ 3140d: 0c36.*
+ 3140e: 6ff5.*
+ 3140f: 5cb7.*
+ 31410: 0e36.*
+ 31411: 6ff5.*
+ 31412: 5cb8.*
+ 31413: 0f36.*
+ 31414: 6ff5.*
+ 31415: 5cb9.*
+ 31416: 0f36.*
+ 31417: 6ff5.*
+ 31418: 5cba.*
+ 31419: 0c37.*
+ 3141a: 6ff5.*
+ 3141b: 5cbb.*
+ 3141c: 0d37.*
+ 3141d: 6ff5.*
+ 3141e: 5cbc.*
+ 3141f: 0c37.*
+ 31420: 6ff5.*
+ 31421: 5cbd.*
+ 31422: 0e37.*
+ 31423: 6ff5.*
+ 31424: 5cbe.*
+ 31425: 0f37.*
+ 31426: 6ff5.*
+ 31427: 5cbf.*
+ 31428: 0f37.*
+ 31429: 6ff5.*
+ 3142a: 5cc0.*
+ 3142b: 0c38.*
+ 3142c: 6ff5.*
+ 3142d: 5cc1.*
+ 3142e: 0d38.*
+ 3142f: 6ff5.*
+ 31430: 5cc2.*
+ 31431: 0c38.*
+ 31432: 6ff5.*
+ 31433: 5cc3.*
+ 31434: 0e38.*
+ 31435: 6ff5.*
+ 31436: 5cc4.*
+ 31437: 0f38.*
+ 31438: 6ff5.*
+ 31439: 5cc5.*
+ 3143a: 0f38.*
+ 3143b: 6ff5.*
+ 3143c: 5cc6.*
+ 3143d: 0c39.*
+ 3143e: 6ff5.*
+ 3143f: 5cc7.*
+ 31440: 0d39.*
+ 31441: 6ff5.*
+ 31442: 5cc8.*
+ 31443: 0c39.*
+ 31444: 6ff5.*
+ 31445: 5cc9.*
+ 31446: 0e39.*
+ 31447: 6ff5.*
+ 31448: 5cca.*
+ 31449: 0f39.*
+ 3144a: 6ff5.*
+ 3144b: 5ccb.*
+ 3144c: 0f39.*
+ 3144d: 6ff5.*
+ 3144e: 5ccc.*
+ 3144f: 0c3a.*
+ 31450: 6ff5.*
+ 31451: 5ccd.*
+ 31452: 0d3a.*
+ 31453: 6ff5.*
+ 31454: 5cce.*
+ 31455: 0c3a.*
+ 31456: 6ff5.*
+ 31457: 5ccf.*
+ 31458: 0e3a.*
+ 31459: 6ff5.*
+ 3145a: 5cd0.*
+ 3145b: 0f3a.*
+ 3145c: 6ff5.*
+ 3145d: 5cd1.*
+ 3145e: 0f3a.*
+ 3145f: 6ff5.*
+ 31460: 5cd2.*
+ 31461: 0c3b.*
+ 31462: 6ff5.*
+ 31463: 5cd3.*
+ 31464: 0d3b.*
+ 31465: 6ff5.*
+ 31466: 5cd4.*
+ 31467: 0c3b.*
+ 31468: 6ff5.*
+ 31469: 5cd5.*
+ 3146a: 0e3b.*
+ 3146b: 6ff5.*
+ 3146c: 5cd6.*
+ 3146d: 0f3b.*
+ 3146e: 6ff5.*
+ 3146f: 5cd7.*
+ 31470: 0f3b.*
+ 31471: 6ff5.*
+ 31472: 5cd8.*
+ 31473: 0c3c.*
+ 31474: 6ff5.*
+ 31475: 5cd9.*
+ 31476: 0d3c.*
+ 31477: 6ff5.*
+ 31478: 5cda.*
+ 31479: 0c3c.*
+ 3147a: 6ff5.*
+ 3147b: 5cdb.*
+ 3147c: 0e3c.*
+ 3147d: 6ff5.*
+ 3147e: 5cdc.*
+ 3147f: 0f3c.*
+ 31480: 6ff5.*
+ 31481: 5cdd.*
+ 31482: 0f3c.*
+ 31483: 6ff5.*
+ 31484: 5cde.*
+ 31485: 0c3d.*
+ 31486: 6ff5.*
+ 31487: 5cdf.*
+ 31488: 0d3d.*
+ 31489: 6ff5.*
+ 3148a: 5ce0.*
+ 3148b: 0c3d.*
+ 3148c: 6ff5.*
+ 3148d: 5ce1.*
+ 3148e: 0e3d.*
+ 3148f: 6ff5.*
+ 31490: 5ce2.*
+ 31491: 0f3d.*
+ 31492: 6ff5.*
+ 31493: 5ce3.*
+ 31494: 0f3d.*
+ 31495: 6ff5.*
+ 31496: 5ce4.*
+ 31497: 0c3e.*
+ 31498: 6ff5.*
+ 31499: 5ce5.*
+ 3149a: 0d3e.*
+ 3149b: 6ff5.*
+ 3149c: 5ce6.*
+ 3149d: 0c3e.*
+ 3149e: 6ff5.*
+ 3149f: 5ce7.*
+ 314a0: 0e3e.*
+ 314a1: 6ff5.*
+ 314a2: 5ce8.*
+ 314a3: 0f3e.*
+ 314a4: 6ff5.*
+ 314a5: 5ce9.*
+ 314a6: 0f3e.*
+ 314a7: 6ff5.*
+ 314a8: 5cea.*
+ 314a9: 0c3f.*
+ 314aa: 6ff5.*
+ 314ab: 5ceb.*
+ 314ac: 0d3f.*
+ 314ad: 6ff5.*
+ 314ae: 5cec.*
+ 314af: 0c3f.*
+ 314b0: 6ff5.*
+ 314b1: 5ced.*
+ 314b2: 0e3f.*
+ 314b3: 6ff5.*
+ 314b4: 5cee.*
+ 314b5: 0f3f.*
+ 314b6: 6ff5.*
+ 314b7: 5cef.*
+ 314b8: 0f3f.*
+ 314b9: 08f5.*
+ 314ba: 5cf0.*
+ 314bb: 6ff5.*
+ 314bc: 5cf1.*
+ 314bd: 0d20.*
+ 314be: 08f5.*
+ 314bf: 5cf2.*
+ 314c0: 6ff5.*
+ 314c1: 5cf3.*
+ 314c2: 0e20.*
+ 314c3: 09f5.*
+ 314c4: 5cf4.*
+ 314c5: 09f5.*
+ 314c6: 5cf5.*
+ 314c7: 6ff5.*
+ 314c8: 5cf6.*
+ 314c9: 0c21.*
+ 314ca: 6ff5.*
+ 314cb: 5cf7.*
+ 314cc: 0d21.*
+ 314cd: 6ff5.*
+ 314ce: 5cf8.*
+ 314cf: 0c21.*
+ 314d0: 6ff5.*
+ 314d1: 5cf9.*
+ 314d2: 0e21.*
+ 314d3: 6ff5.*
+ 314d4: 5cfa.*
+ 314d5: 0f21.*
+ 314d6: 6ff5.*
+ 314d7: 5cfb.*
+ 314d8: 0f21.*
+ 314d9: 6ff5.*
+ 314da: 5cfc.*
+ 314db: 0c22.*
+ 314dc: 6ff5.*
+ 314dd: 5cfd.*
+ 314de: 0d22.*
+ 314df: 6ff5.*
+ 314e0: 5cfe.*
+ 314e1: 0c22.*
+ 314e2: 6ff5.*
+ 314e3: 5cff.*
+ 314e4: 0e22.*
+ 314e5: 6ff5.*
+ 314e6: 5d00.*
+ 314e7: 0f22.*
+ 314e8: 6ff5.*
+ 314e9: 5d01.*
+ 314ea: 0f22.*
+ 314eb: 6ff5.*
+ 314ec: 5d02.*
+ 314ed: 0c23.*
+ 314ee: 6ff5.*
+ 314ef: 5d03.*
+ 314f0: 0d23.*
+ 314f1: 6ff5.*
+ 314f2: 5d04.*
+ 314f3: 0c23.*
+ 314f4: 6ff5.*
+ 314f5: 5d05.*
+ 314f6: 0e23.*
+ 314f7: 6ff5.*
+ 314f8: 5d06.*
+ 314f9: 0f23.*
+ 314fa: 6ff5.*
+ 314fb: 5d07.*
+ 314fc: 0f23.*
+ 314fd: 6ff5.*
+ 314fe: 5d08.*
+ 314ff: 0c24.*
+ 31500: 6ff5.*
+ 31501: 5d09.*
+ 31502: 0d24.*
+ 31503: 6ff5.*
+ 31504: 5d0a.*
+ 31505: 0c24.*
+ 31506: 6ff5.*
+ 31507: 5d0b.*
+ 31508: 0e24.*
+ 31509: 6ff5.*
+ 3150a: 5d0c.*
+ 3150b: 0f24.*
+ 3150c: 6ff5.*
+ 3150d: 5d0d.*
+ 3150e: 0f24.*
+ 3150f: 6ff5.*
+ 31510: 5d0e.*
+ 31511: 0c25.*
+ 31512: 6ff5.*
+ 31513: 5d0f.*
+ 31514: 0d25.*
+ 31515: 6ff5.*
+ 31516: 5d10.*
+ 31517: 0c25.*
+ 31518: 6ff5.*
+ 31519: 5d11.*
+ 3151a: 0e25.*
+ 3151b: 6ff5.*
+ 3151c: 5d12.*
+ 3151d: 0f25.*
+ 3151e: 6ff5.*
+ 3151f: 5d13.*
+ 31520: 0f25.*
+ 31521: 6ff5.*
+ 31522: 5d14.*
+ 31523: 0c26.*
+ 31524: 6ff5.*
+ 31525: 5d15.*
+ 31526: 0d26.*
+ 31527: 6ff5.*
+ 31528: 5d16.*
+ 31529: 0c26.*
+ 3152a: 6ff5.*
+ 3152b: 5d17.*
+ 3152c: 0e26.*
+ 3152d: 6ff5.*
+ 3152e: 5d18.*
+ 3152f: 0f26.*
+ 31530: 6ff5.*
+ 31531: 5d19.*
+ 31532: 0f26.*
+ 31533: 6ff5.*
+ 31534: 5d1a.*
+ 31535: 0c27.*
+ 31536: 6ff5.*
+ 31537: 5d1b.*
+ 31538: 0d27.*
+ 31539: 6ff5.*
+ 3153a: 5d1c.*
+ 3153b: 0c27.*
+ 3153c: 6ff5.*
+ 3153d: 5d1d.*
+ 3153e: 0e27.*
+ 3153f: 6ff5.*
+ 31540: 5d1e.*
+ 31541: 0f27.*
+ 31542: 6ff5.*
+ 31543: 5d1f.*
+ 31544: 0f27.*
+ 31545: 6ff5.*
+ 31546: 5d20.*
+ 31547: 0c28.*
+ 31548: 6ff5.*
+ 31549: 5d21.*
+ 3154a: 0d28.*
+ 3154b: 6ff5.*
+ 3154c: 5d22.*
+ 3154d: 0c28.*
+ 3154e: 6ff5.*
+ 3154f: 5d23.*
+ 31550: 0e28.*
+ 31551: 6ff5.*
+ 31552: 5d24.*
+ 31553: 0f28.*
+ 31554: 6ff5.*
+ 31555: 5d25.*
+ 31556: 0f28.*
+ 31557: 6ff5.*
+ 31558: 5d26.*
+ 31559: 0c29.*
+ 3155a: 6ff5.*
+ 3155b: 5d27.*
+ 3155c: 0d29.*
+ 3155d: 6ff5.*
+ 3155e: 5d28.*
+ 3155f: 0c29.*
+ 31560: 6ff5.*
+ 31561: 5d29.*
+ 31562: 0e29.*
+ 31563: 6ff5.*
+ 31564: 5d2a.*
+ 31565: 0f29.*
+ 31566: 6ff5.*
+ 31567: 5d2b.*
+ 31568: 0f29.*
+ 31569: 6ff5.*
+ 3156a: 5d2c.*
+ 3156b: 0c2a.*
+ 3156c: 6ff5.*
+ 3156d: 5d2d.*
+ 3156e: 0d2a.*
+ 3156f: 6ff5.*
+ 31570: 5d2e.*
+ 31571: 0c2a.*
+ 31572: 6ff5.*
+ 31573: 5d2f.*
+ 31574: 0e2a.*
+ 31575: 6ff5.*
+ 31576: 5d30.*
+ 31577: 0f2a.*
+ 31578: 6ff5.*
+ 31579: 5d31.*
+ 3157a: 0f2a.*
+ 3157b: 6ff5.*
+ 3157c: 5d32.*
+ 3157d: 0c2b.*
+ 3157e: 6ff5.*
+ 3157f: 5d33.*
+ 31580: 0d2b.*
+ 31581: 6ff5.*
+ 31582: 5d34.*
+ 31583: 0c2b.*
+ 31584: 6ff5.*
+ 31585: 5d35.*
+ 31586: 0e2b.*
+ 31587: 6ff5.*
+ 31588: 5d36.*
+ 31589: 0f2b.*
+ 3158a: 6ff5.*
+ 3158b: 5d37.*
+ 3158c: 0f2b.*
+ 3158d: 6ff5.*
+ 3158e: 5d38.*
+ 3158f: 0c2c.*
+ 31590: 6ff5.*
+ 31591: 5d39.*
+ 31592: 0d2c.*
+ 31593: 6ff5.*
+ 31594: 5d3a.*
+ 31595: 0c2c.*
+ 31596: 6ff5.*
+ 31597: 5d3b.*
+ 31598: 0e2c.*
+ 31599: 6ff5.*
+ 3159a: 5d3c.*
+ 3159b: 0f2c.*
+ 3159c: 6ff5.*
+ 3159d: 5d3d.*
+ 3159e: 0f2c.*
+ 3159f: 6ff5.*
+ 315a0: 5d3e.*
+ 315a1: 0c2d.*
+ 315a2: 6ff5.*
+ 315a3: 5d3f.*
+ 315a4: 0d2d.*
+ 315a5: 6ff5.*
+ 315a6: 5d40.*
+ 315a7: 0c2d.*
+ 315a8: 6ff5.*
+ 315a9: 5d41.*
+ 315aa: 0e2d.*
+ 315ab: 6ff5.*
+ 315ac: 5d42.*
+ 315ad: 0f2d.*
+ 315ae: 6ff5.*
+ 315af: 5d43.*
+ 315b0: 0f2d.*
+ 315b1: 6ff5.*
+ 315b2: 5d44.*
+ 315b3: 0c2e.*
+ 315b4: 6ff5.*
+ 315b5: 5d45.*
+ 315b6: 0d2e.*
+ 315b7: 6ff5.*
+ 315b8: 5d46.*
+ 315b9: 0c2e.*
+ 315ba: 6ff5.*
+ 315bb: 5d47.*
+ 315bc: 0e2e.*
+ 315bd: 6ff5.*
+ 315be: 5d48.*
+ 315bf: 0f2e.*
+ 315c0: 6ff5.*
+ 315c1: 5d49.*
+ 315c2: 0f2e.*
+ 315c3: 6ff5.*
+ 315c4: 5d4a.*
+ 315c5: 0c2f.*
+ 315c6: 6ff5.*
+ 315c7: 5d4b.*
+ 315c8: 0d2f.*
+ 315c9: 6ff5.*
+ 315ca: 5d4c.*
+ 315cb: 0c2f.*
+ 315cc: 6ff5.*
+ 315cd: 5d4d.*
+ 315ce: 0e2f.*
+ 315cf: 6ff5.*
+ 315d0: 5d4e.*
+ 315d1: 0f2f.*
+ 315d2: 6ff5.*
+ 315d3: 5d4f.*
+ 315d4: 0f2f.*
+ 315d5: 08f8.*
+ 315d6: 5d50.*
+ 315d7: 6ff8.*
+ 315d8: 5d51.*
+ 315d9: 0d20.*
+ 315da: 08f8.*
+ 315db: 5d52.*
+ 315dc: 6ff8.*
+ 315dd: 5d53.*
+ 315de: 0e20.*
+ 315df: 09f8.*
+ 315e0: 5d54.*
+ 315e1: 09f8.*
+ 315e2: 5d55.*
+ 315e3: 6ff8.*
+ 315e4: 5d56.*
+ 315e5: 0c30.*
+ 315e6: 6ff8.*
+ 315e7: 5d57.*
+ 315e8: 0d30.*
+ 315e9: 6ff8.*
+ 315ea: 5d58.*
+ 315eb: 0c30.*
+ 315ec: 6ff8.*
+ 315ed: 5d59.*
+ 315ee: 0e30.*
+ 315ef: 6ff8.*
+ 315f0: 5d5a.*
+ 315f1: 0f30.*
+ 315f2: 6ff8.*
+ 315f3: 5d5b.*
+ 315f4: 0f30.*
+ 315f5: 6ff8.*
+ 315f6: 5d5c.*
+ 315f7: 0c31.*
+ 315f8: 6ff8.*
+ 315f9: 5d5d.*
+ 315fa: 0d31.*
+ 315fb: 6ff8.*
+ 315fc: 5d5e.*
+ 315fd: 0c31.*
+ 315fe: 6ff8.*
+ 315ff: 5d5f.*
+ 31600: 0e31.*
+ 31601: 6ff8.*
+ 31602: 5d60.*
+ 31603: 0f31.*
+ 31604: 6ff8.*
+ 31605: 5d61.*
+ 31606: 0f31.*
+ 31607: 6ff8.*
+ 31608: 5d62.*
+ 31609: 0c32.*
+ 3160a: 6ff8.*
+ 3160b: 5d63.*
+ 3160c: 0d32.*
+ 3160d: 6ff8.*
+ 3160e: 5d64.*
+ 3160f: 0c32.*
+ 31610: 6ff8.*
+ 31611: 5d65.*
+ 31612: 0e32.*
+ 31613: 6ff8.*
+ 31614: 5d66.*
+ 31615: 0f32.*
+ 31616: 6ff8.*
+ 31617: 5d67.*
+ 31618: 0f32.*
+ 31619: 6ff8.*
+ 3161a: 5d68.*
+ 3161b: 0c33.*
+ 3161c: 6ff8.*
+ 3161d: 5d69.*
+ 3161e: 0d33.*
+ 3161f: 6ff8.*
+ 31620: 5d6a.*
+ 31621: 0c33.*
+ 31622: 6ff8.*
+ 31623: 5d6b.*
+ 31624: 0e33.*
+ 31625: 6ff8.*
+ 31626: 5d6c.*
+ 31627: 0f33.*
+ 31628: 6ff8.*
+ 31629: 5d6d.*
+ 3162a: 0f33.*
+ 3162b: 6ff8.*
+ 3162c: 5d6e.*
+ 3162d: 0c34.*
+ 3162e: 6ff8.*
+ 3162f: 5d6f.*
+ 31630: 0d34.*
+ 31631: 6ff8.*
+ 31632: 5d70.*
+ 31633: 0c34.*
+ 31634: 6ff8.*
+ 31635: 5d71.*
+ 31636: 0e34.*
+ 31637: 6ff8.*
+ 31638: 5d72.*
+ 31639: 0f34.*
+ 3163a: 6ff8.*
+ 3163b: 5d73.*
+ 3163c: 0f34.*
+ 3163d: 6ff8.*
+ 3163e: 5d74.*
+ 3163f: 0c35.*
+ 31640: 6ff8.*
+ 31641: 5d75.*
+ 31642: 0d35.*
+ 31643: 6ff8.*
+ 31644: 5d76.*
+ 31645: 0c35.*
+ 31646: 6ff8.*
+ 31647: 5d77.*
+ 31648: 0e35.*
+ 31649: 6ff8.*
+ 3164a: 5d78.*
+ 3164b: 0f35.*
+ 3164c: 6ff8.*
+ 3164d: 5d79.*
+ 3164e: 0f35.*
+ 3164f: 6ff8.*
+ 31650: 5d7a.*
+ 31651: 0c36.*
+ 31652: 6ff8.*
+ 31653: 5d7b.*
+ 31654: 0d36.*
+ 31655: 6ff8.*
+ 31656: 5d7c.*
+ 31657: 0c36.*
+ 31658: 6ff8.*
+ 31659: 5d7d.*
+ 3165a: 0e36.*
+ 3165b: 6ff8.*
+ 3165c: 5d7e.*
+ 3165d: 0f36.*
+ 3165e: 6ff8.*
+ 3165f: 5d7f.*
+ 31660: 0f36.*
+ 31661: 6ff8.*
+ 31662: 5d80.*
+ 31663: 0c37.*
+ 31664: 6ff8.*
+ 31665: 5d81.*
+ 31666: 0d37.*
+ 31667: 6ff8.*
+ 31668: 5d82.*
+ 31669: 0c37.*
+ 3166a: 6ff8.*
+ 3166b: 5d83.*
+ 3166c: 0e37.*
+ 3166d: 6ff8.*
+ 3166e: 5d84.*
+ 3166f: 0f37.*
+ 31670: 6ff8.*
+ 31671: 5d85.*
+ 31672: 0f37.*
+ 31673: 6ff8.*
+ 31674: 5d86.*
+ 31675: 0c38.*
+ 31676: 6ff8.*
+ 31677: 5d87.*
+ 31678: 0d38.*
+ 31679: 6ff8.*
+ 3167a: 5d88.*
+ 3167b: 0c38.*
+ 3167c: 6ff8.*
+ 3167d: 5d89.*
+ 3167e: 0e38.*
+ 3167f: 6ff8.*
+ 31680: 5d8a.*
+ 31681: 0f38.*
+ 31682: 6ff8.*
+ 31683: 5d8b.*
+ 31684: 0f38.*
+ 31685: 6ff8.*
+ 31686: 5d8c.*
+ 31687: 0c39.*
+ 31688: 6ff8.*
+ 31689: 5d8d.*
+ 3168a: 0d39.*
+ 3168b: 6ff8.*
+ 3168c: 5d8e.*
+ 3168d: 0c39.*
+ 3168e: 6ff8.*
+ 3168f: 5d8f.*
+ 31690: 0e39.*
+ 31691: 6ff8.*
+ 31692: 5d90.*
+ 31693: 0f39.*
+ 31694: 6ff8.*
+ 31695: 5d91.*
+ 31696: 0f39.*
+ 31697: 6ff8.*
+ 31698: 5d92.*
+ 31699: 0c3a.*
+ 3169a: 6ff8.*
+ 3169b: 5d93.*
+ 3169c: 0d3a.*
+ 3169d: 6ff8.*
+ 3169e: 5d94.*
+ 3169f: 0c3a.*
+ 316a0: 6ff8.*
+ 316a1: 5d95.*
+ 316a2: 0e3a.*
+ 316a3: 6ff8.*
+ 316a4: 5d96.*
+ 316a5: 0f3a.*
+ 316a6: 6ff8.*
+ 316a7: 5d97.*
+ 316a8: 0f3a.*
+ 316a9: 6ff8.*
+ 316aa: 5d98.*
+ 316ab: 0c3b.*
+ 316ac: 6ff8.*
+ 316ad: 5d99.*
+ 316ae: 0d3b.*
+ 316af: 6ff8.*
+ 316b0: 5d9a.*
+ 316b1: 0c3b.*
+ 316b2: 6ff8.*
+ 316b3: 5d9b.*
+ 316b4: 0e3b.*
+ 316b5: 6ff8.*
+ 316b6: 5d9c.*
+ 316b7: 0f3b.*
+ 316b8: 6ff8.*
+ 316b9: 5d9d.*
+ 316ba: 0f3b.*
+ 316bb: 6ff8.*
+ 316bc: 5d9e.*
+ 316bd: 0c3c.*
+ 316be: 6ff8.*
+ 316bf: 5d9f.*
+ 316c0: 0d3c.*
+ 316c1: 6ff8.*
+ 316c2: 5da0.*
+ 316c3: 0c3c.*
+ 316c4: 6ff8.*
+ 316c5: 5da1.*
+ 316c6: 0e3c.*
+ 316c7: 6ff8.*
+ 316c8: 5da2.*
+ 316c9: 0f3c.*
+ 316ca: 6ff8.*
+ 316cb: 5da3.*
+ 316cc: 0f3c.*
+ 316cd: 6ff8.*
+ 316ce: 5da4.*
+ 316cf: 0c3d.*
+ 316d0: 6ff8.*
+ 316d1: 5da5.*
+ 316d2: 0d3d.*
+ 316d3: 6ff8.*
+ 316d4: 5da6.*
+ 316d5: 0c3d.*
+ 316d6: 6ff8.*
+ 316d7: 5da7.*
+ 316d8: 0e3d.*
+ 316d9: 6ff8.*
+ 316da: 5da8.*
+ 316db: 0f3d.*
+ 316dc: 6ff8.*
+ 316dd: 5da9.*
+ 316de: 0f3d.*
+ 316df: 6ff8.*
+ 316e0: 5daa.*
+ 316e1: 0c3e.*
+ 316e2: 6ff8.*
+ 316e3: 5dab.*
+ 316e4: 0d3e.*
+ 316e5: 6ff8.*
+ 316e6: 5dac.*
+ 316e7: 0c3e.*
+ 316e8: 6ff8.*
+ 316e9: 5dad.*
+ 316ea: 0e3e.*
+ 316eb: 6ff8.*
+ 316ec: 5dae.*
+ 316ed: 0f3e.*
+ 316ee: 6ff8.*
+ 316ef: 5daf.*
+ 316f0: 0f3e.*
+ 316f1: 6ff8.*
+ 316f2: 5db0.*
+ 316f3: 0c3f.*
+ 316f4: 6ff8.*
+ 316f5: 5db1.*
+ 316f6: 0d3f.*
+ 316f7: 6ff8.*
+ 316f8: 5db2.*
+ 316f9: 0c3f.*
+ 316fa: 6ff8.*
+ 316fb: 5db3.*
+ 316fc: 0e3f.*
+ 316fd: 6ff8.*
+ 316fe: 5db4.*
+ 316ff: 0f3f.*
+ 31700: 6ff8.*
+ 31701: 5db5.*
+ 31702: 0f3f.*
+ 31703: 08f8.*
+ 31704: 5db6.*
+ 31705: 6ff8.*
+ 31706: 5db7.*
+ 31707: 0d20.*
+ 31708: 08f8.*
+ 31709: 5db8.*
+ 3170a: 6ff8.*
+ 3170b: 5db9.*
+ 3170c: 0e20.*
+ 3170d: 09f8.*
+ 3170e: 5dba.*
+ 3170f: 09f8.*
+ 31710: 5dbb.*
+ 31711: 6ff8.*
+ 31712: 5dbc.*
+ 31713: 0c21.*
+ 31714: 6ff8.*
+ 31715: 5dbd.*
+ 31716: 0d21.*
+ 31717: 6ff8.*
+ 31718: 5dbe.*
+ 31719: 0c21.*
+ 3171a: 6ff8.*
+ 3171b: 5dbf.*
+ 3171c: 0e21.*
+ 3171d: 6ff8.*
+ 3171e: 5dc0.*
+ 3171f: 0f21.*
+ 31720: 6ff8.*
+ 31721: 5dc1.*
+ 31722: 0f21.*
+ 31723: 6ff8.*
+ 31724: 5dc2.*
+ 31725: 0c22.*
+ 31726: 6ff8.*
+ 31727: 5dc3.*
+ 31728: 0d22.*
+ 31729: 6ff8.*
+ 3172a: 5dc4.*
+ 3172b: 0c22.*
+ 3172c: 6ff8.*
+ 3172d: 5dc5.*
+ 3172e: 0e22.*
+ 3172f: 6ff8.*
+ 31730: 5dc6.*
+ 31731: 0f22.*
+ 31732: 6ff8.*
+ 31733: 5dc7.*
+ 31734: 0f22.*
+ 31735: 6ff8.*
+ 31736: 5dc8.*
+ 31737: 0c23.*
+ 31738: 6ff8.*
+ 31739: 5dc9.*
+ 3173a: 0d23.*
+ 3173b: 6ff8.*
+ 3173c: 5dca.*
+ 3173d: 0c23.*
+ 3173e: 6ff8.*
+ 3173f: 5dcb.*
+ 31740: 0e23.*
+ 31741: 6ff8.*
+ 31742: 5dcc.*
+ 31743: 0f23.*
+ 31744: 6ff8.*
+ 31745: 5dcd.*
+ 31746: 0f23.*
+ 31747: 6ff8.*
+ 31748: 5dce.*
+ 31749: 0c24.*
+ 3174a: 6ff8.*
+ 3174b: 5dcf.*
+ 3174c: 0d24.*
+ 3174d: 6ff8.*
+ 3174e: 5dd0.*
+ 3174f: 0c24.*
+ 31750: 6ff8.*
+ 31751: 5dd1.*
+ 31752: 0e24.*
+ 31753: 6ff8.*
+ 31754: 5dd2.*
+ 31755: 0f24.*
+ 31756: 6ff8.*
+ 31757: 5dd3.*
+ 31758: 0f24.*
+ 31759: 6ff8.*
+ 3175a: 5dd4.*
+ 3175b: 0c25.*
+ 3175c: 6ff8.*
+ 3175d: 5dd5.*
+ 3175e: 0d25.*
+ 3175f: 6ff8.*
+ 31760: 5dd6.*
+ 31761: 0c25.*
+ 31762: 6ff8.*
+ 31763: 5dd7.*
+ 31764: 0e25.*
+ 31765: 6ff8.*
+ 31766: 5dd8.*
+ 31767: 0f25.*
+ 31768: 6ff8.*
+ 31769: 5dd9.*
+ 3176a: 0f25.*
+ 3176b: 6ff8.*
+ 3176c: 5dda.*
+ 3176d: 0c26.*
+ 3176e: 6ff8.*
+ 3176f: 5ddb.*
+ 31770: 0d26.*
+ 31771: 6ff8.*
+ 31772: 5ddc.*
+ 31773: 0c26.*
+ 31774: 6ff8.*
+ 31775: 5ddd.*
+ 31776: 0e26.*
+ 31777: 6ff8.*
+ 31778: 5dde.*
+ 31779: 0f26.*
+ 3177a: 6ff8.*
+ 3177b: 5ddf.*
+ 3177c: 0f26.*
+ 3177d: 6ff8.*
+ 3177e: 5de0.*
+ 3177f: 0c27.*
+ 31780: 6ff8.*
+ 31781: 5de1.*
+ 31782: 0d27.*
+ 31783: 6ff8.*
+ 31784: 5de2.*
+ 31785: 0c27.*
+ 31786: 6ff8.*
+ 31787: 5de3.*
+ 31788: 0e27.*
+ 31789: 6ff8.*
+ 3178a: 5de4.*
+ 3178b: 0f27.*
+ 3178c: 6ff8.*
+ 3178d: 5de5.*
+ 3178e: 0f27.*
+ 3178f: 6ff8.*
+ 31790: 5de6.*
+ 31791: 0c28.*
+ 31792: 6ff8.*
+ 31793: 5de7.*
+ 31794: 0d28.*
+ 31795: 6ff8.*
+ 31796: 5de8.*
+ 31797: 0c28.*
+ 31798: 6ff8.*
+ 31799: 5de9.*
+ 3179a: 0e28.*
+ 3179b: 6ff8.*
+ 3179c: 5dea.*
+ 3179d: 0f28.*
+ 3179e: 6ff8.*
+ 3179f: 5deb.*
+ 317a0: 0f28.*
+ 317a1: 6ff8.*
+ 317a2: 5dec.*
+ 317a3: 0c29.*
+ 317a4: 6ff8.*
+ 317a5: 5ded.*
+ 317a6: 0d29.*
+ 317a7: 6ff8.*
+ 317a8: 5dee.*
+ 317a9: 0c29.*
+ 317aa: 6ff8.*
+ 317ab: 5def.*
+ 317ac: 0e29.*
+ 317ad: 6ff8.*
+ 317ae: 5df0.*
+ 317af: 0f29.*
+ 317b0: 6ff8.*
+ 317b1: 5df1.*
+ 317b2: 0f29.*
+ 317b3: 6ff8.*
+ 317b4: 5df2.*
+ 317b5: 0c2a.*
+ 317b6: 6ff8.*
+ 317b7: 5df3.*
+ 317b8: 0d2a.*
+ 317b9: 6ff8.*
+ 317ba: 5df4.*
+ 317bb: 0c2a.*
+ 317bc: 6ff8.*
+ 317bd: 5df5.*
+ 317be: 0e2a.*
+ 317bf: 6ff8.*
+ 317c0: 5df6.*
+ 317c1: 0f2a.*
+ 317c2: 6ff8.*
+ 317c3: 5df7.*
+ 317c4: 0f2a.*
+ 317c5: 6ff8.*
+ 317c6: 5df8.*
+ 317c7: 0c2b.*
+ 317c8: 6ff8.*
+ 317c9: 5df9.*
+ 317ca: 0d2b.*
+ 317cb: 6ff8.*
+ 317cc: 5dfa.*
+ 317cd: 0c2b.*
+ 317ce: 6ff8.*
+ 317cf: 5dfb.*
+ 317d0: 0e2b.*
+ 317d1: 6ff8.*
+ 317d2: 5dfc.*
+ 317d3: 0f2b.*
+ 317d4: 6ff8.*
+ 317d5: 5dfd.*
+ 317d6: 0f2b.*
+ 317d7: 6ff8.*
+ 317d8: 5dfe.*
+ 317d9: 0c2c.*
+ 317da: 6ff8.*
+ 317db: 5dff.*
+ 317dc: 0d2c.*
+ 317dd: 6ff8.*
+ 317de: 5e00.*
+ 317df: 0c2c.*
+ 317e0: 6ff8.*
+ 317e1: 5e01.*
+ 317e2: 0e2c.*
+ 317e3: 6ff8.*
+ 317e4: 5e02.*
+ 317e5: 0f2c.*
+ 317e6: 6ff8.*
+ 317e7: 5e03.*
+ 317e8: 0f2c.*
+ 317e9: 6ff8.*
+ 317ea: 5e04.*
+ 317eb: 0c2d.*
+ 317ec: 6ff8.*
+ 317ed: 5e05.*
+ 317ee: 0d2d.*
+ 317ef: 6ff8.*
+ 317f0: 5e06.*
+ 317f1: 0c2d.*
+ 317f2: 6ff8.*
+ 317f3: 5e07.*
+ 317f4: 0e2d.*
+ 317f5: 6ff8.*
+ 317f6: 5e08.*
+ 317f7: 0f2d.*
+ 317f8: 6ff8.*
+ 317f9: 5e09.*
+ 317fa: 0f2d.*
+ 317fb: 6ff8.*
+ 317fc: 5e0a.*
+ 317fd: 0c2e.*
+ 317fe: 6ff8.*
+ 317ff: 5e0b.*
+ 31800: 0d2e.*
+ 31801: 6ff8.*
+ 31802: 5e0c.*
+ 31803: 0c2e.*
+ 31804: 6ff8.*
+ 31805: 5e0d.*
+ 31806: 0e2e.*
+ 31807: 6ff8.*
+ 31808: 5e0e.*
+ 31809: 0f2e.*
+ 3180a: 6ff8.*
+ 3180b: 5e0f.*
+ 3180c: 0f2e.*
+ 3180d: 6ff8.*
+ 3180e: 5e10.*
+ 3180f: 0c2f.*
+ 31810: 6ff8.*
+ 31811: 5e11.*
+ 31812: 0d2f.*
+ 31813: 6ff8.*
+ 31814: 5e12.*
+ 31815: 0c2f.*
+ 31816: 6ff8.*
+ 31817: 5e13.*
+ 31818: 0e2f.*
+ 31819: 6ff8.*
+ 3181a: 5e14.*
+ 3181b: 0f2f.*
+ 3181c: 6ff8.*
+ 3181d: 5e15.*
+ 3181e: 0f2f.*
+ 3181f: 0886.*
+ 31820: 6f86.*
+ 31821: 0d20.*
+ 31822: 0886.*
+ 31823: 6f86.*
+ 31824: 0e20.*
+ 31825: 0986.*
+ 31826: 0986.*
+ 31827: 6f86.*
+ 31828: 0c30.*
+ 31829: 6f86.*
+ 3182a: 0d30.*
+ 3182b: 6f86.*
+ 3182c: 0c30.*
+ 3182d: 6f86.*
+ 3182e: 0e30.*
+ 3182f: 6f86.*
+ 31830: 0f30.*
+ 31831: 6f86.*
+ 31832: 0f30.*
+ 31833: 6f86.*
+ 31834: 0c31.*
+ 31835: 6f86.*
+ 31836: 0d31.*
+ 31837: 6f86.*
+ 31838: 0c31.*
+ 31839: 6f86.*
+ 3183a: 0e31.*
+ 3183b: 6f86.*
+ 3183c: 0f31.*
+ 3183d: 6f86.*
+ 3183e: 0f31.*
+ 3183f: 6f86.*
+ 31840: 0c32.*
+ 31841: 6f86.*
+ 31842: 0d32.*
+ 31843: 6f86.*
+ 31844: 0c32.*
+ 31845: 6f86.*
+ 31846: 0e32.*
+ 31847: 6f86.*
+ 31848: 0f32.*
+ 31849: 6f86.*
+ 3184a: 0f32.*
+ 3184b: 6f86.*
+ 3184c: 0c33.*
+ 3184d: 6f86.*
+ 3184e: 0d33.*
+ 3184f: 6f86.*
+ 31850: 0c33.*
+ 31851: 6f86.*
+ 31852: 0e33.*
+ 31853: 6f86.*
+ 31854: 0f33.*
+ 31855: 6f86.*
+ 31856: 0f33.*
+ 31857: 6f86.*
+ 31858: 0c34.*
+ 31859: 6f86.*
+ 3185a: 0d34.*
+ 3185b: 6f86.*
+ 3185c: 0c34.*
+ 3185d: 6f86.*
+ 3185e: 0e34.*
+ 3185f: 6f86.*
+ 31860: 0f34.*
+ 31861: 6f86.*
+ 31862: 0f34.*
+ 31863: 6f86.*
+ 31864: 0c35.*
+ 31865: 6f86.*
+ 31866: 0d35.*
+ 31867: 6f86.*
+ 31868: 0c35.*
+ 31869: 6f86.*
+ 3186a: 0e35.*
+ 3186b: 6f86.*
+ 3186c: 0f35.*
+ 3186d: 6f86.*
+ 3186e: 0f35.*
+ 3186f: 6f86.*
+ 31870: 0c36.*
+ 31871: 6f86.*
+ 31872: 0d36.*
+ 31873: 6f86.*
+ 31874: 0c36.*
+ 31875: 6f86.*
+ 31876: 0e36.*
+ 31877: 6f86.*
+ 31878: 0f36.*
+ 31879: 6f86.*
+ 3187a: 0f36.*
+ 3187b: 6f86.*
+ 3187c: 0c37.*
+ 3187d: 6f86.*
+ 3187e: 0d37.*
+ 3187f: 6f86.*
+ 31880: 0c37.*
+ 31881: 6f86.*
+ 31882: 0e37.*
+ 31883: 6f86.*
+ 31884: 0f37.*
+ 31885: 6f86.*
+ 31886: 0f37.*
+ 31887: 6f86.*
+ 31888: 0c38.*
+ 31889: 6f86.*
+ 3188a: 0d38.*
+ 3188b: 6f86.*
+ 3188c: 0c38.*
+ 3188d: 6f86.*
+ 3188e: 0e38.*
+ 3188f: 6f86.*
+ 31890: 0f38.*
+ 31891: 6f86.*
+ 31892: 0f38.*
+ 31893: 6f86.*
+ 31894: 0c39.*
+ 31895: 6f86.*
+ 31896: 0d39.*
+ 31897: 6f86.*
+ 31898: 0c39.*
+ 31899: 6f86.*
+ 3189a: 0e39.*
+ 3189b: 6f86.*
+ 3189c: 0f39.*
+ 3189d: 6f86.*
+ 3189e: 0f39.*
+ 3189f: 6f86.*
+ 318a0: 0c3a.*
+ 318a1: 6f86.*
+ 318a2: 0d3a.*
+ 318a3: 6f86.*
+ 318a4: 0c3a.*
+ 318a5: 6f86.*
+ 318a6: 0e3a.*
+ 318a7: 6f86.*
+ 318a8: 0f3a.*
+ 318a9: 6f86.*
+ 318aa: 0f3a.*
+ 318ab: 6f86.*
+ 318ac: 0c3b.*
+ 318ad: 6f86.*
+ 318ae: 0d3b.*
+ 318af: 6f86.*
+ 318b0: 0c3b.*
+ 318b1: 6f86.*
+ 318b2: 0e3b.*
+ 318b3: 6f86.*
+ 318b4: 0f3b.*
+ 318b5: 6f86.*
+ 318b6: 0f3b.*
+ 318b7: 6f86.*
+ 318b8: 0c3c.*
+ 318b9: 6f86.*
+ 318ba: 0d3c.*
+ 318bb: 6f86.*
+ 318bc: 0c3c.*
+ 318bd: 6f86.*
+ 318be: 0e3c.*
+ 318bf: 6f86.*
+ 318c0: 0f3c.*
+ 318c1: 6f86.*
+ 318c2: 0f3c.*
+ 318c3: 6f86.*
+ 318c4: 0c3d.*
+ 318c5: 6f86.*
+ 318c6: 0d3d.*
+ 318c7: 6f86.*
+ 318c8: 0c3d.*
+ 318c9: 6f86.*
+ 318ca: 0e3d.*
+ 318cb: 6f86.*
+ 318cc: 0f3d.*
+ 318cd: 6f86.*
+ 318ce: 0f3d.*
+ 318cf: 6f86.*
+ 318d0: 0c3e.*
+ 318d1: 6f86.*
+ 318d2: 0d3e.*
+ 318d3: 6f86.*
+ 318d4: 0c3e.*
+ 318d5: 6f86.*
+ 318d6: 0e3e.*
+ 318d7: 6f86.*
+ 318d8: 0f3e.*
+ 318d9: 6f86.*
+ 318da: 0f3e.*
+ 318db: 6f86.*
+ 318dc: 0c3f.*
+ 318dd: 6f86.*
+ 318de: 0d3f.*
+ 318df: 6f86.*
+ 318e0: 0c3f.*
+ 318e1: 6f86.*
+ 318e2: 0e3f.*
+ 318e3: 6f86.*
+ 318e4: 0f3f.*
+ 318e5: 6f86.*
+ 318e6: 0f3f.*
+ 318e7: 0886.*
+ 318e8: 6f86.*
+ 318e9: 0d20.*
+ 318ea: 0886.*
+ 318eb: 6f86.*
+ 318ec: 0e20.*
+ 318ed: 0986.*
+ 318ee: 0986.*
+ 318ef: 6f86.*
+ 318f0: 0c21.*
+ 318f1: 6f86.*
+ 318f2: 0d21.*
+ 318f3: 6f86.*
+ 318f4: 0c21.*
+ 318f5: 6f86.*
+ 318f6: 0e21.*
+ 318f7: 6f86.*
+ 318f8: 0f21.*
+ 318f9: 6f86.*
+ 318fa: 0f21.*
+ 318fb: 6f86.*
+ 318fc: 0c22.*
+ 318fd: 6f86.*
+ 318fe: 0d22.*
+ 318ff: 6f86.*
+ 31900: 0c22.*
+ 31901: 6f86.*
+ 31902: 0e22.*
+ 31903: 6f86.*
+ 31904: 0f22.*
+ 31905: 6f86.*
+ 31906: 0f22.*
+ 31907: 6f86.*
+ 31908: 0c23.*
+ 31909: 6f86.*
+ 3190a: 0d23.*
+ 3190b: 6f86.*
+ 3190c: 0c23.*
+ 3190d: 6f86.*
+ 3190e: 0e23.*
+ 3190f: 6f86.*
+ 31910: 0f23.*
+ 31911: 6f86.*
+ 31912: 0f23.*
+ 31913: 6f86.*
+ 31914: 0c24.*
+ 31915: 6f86.*
+ 31916: 0d24.*
+ 31917: 6f86.*
+ 31918: 0c24.*
+ 31919: 6f86.*
+ 3191a: 0e24.*
+ 3191b: 6f86.*
+ 3191c: 0f24.*
+ 3191d: 6f86.*
+ 3191e: 0f24.*
+ 3191f: 6f86.*
+ 31920: 0c25.*
+ 31921: 6f86.*
+ 31922: 0d25.*
+ 31923: 6f86.*
+ 31924: 0c25.*
+ 31925: 6f86.*
+ 31926: 0e25.*
+ 31927: 6f86.*
+ 31928: 0f25.*
+ 31929: 6f86.*
+ 3192a: 0f25.*
+ 3192b: 6f86.*
+ 3192c: 0c26.*
+ 3192d: 6f86.*
+ 3192e: 0d26.*
+ 3192f: 6f86.*
+ 31930: 0c26.*
+ 31931: 6f86.*
+ 31932: 0e26.*
+ 31933: 6f86.*
+ 31934: 0f26.*
+ 31935: 6f86.*
+ 31936: 0f26.*
+ 31937: 6f86.*
+ 31938: 0c27.*
+ 31939: 6f86.*
+ 3193a: 0d27.*
+ 3193b: 6f86.*
+ 3193c: 0c27.*
+ 3193d: 6f86.*
+ 3193e: 0e27.*
+ 3193f: 6f86.*
+ 31940: 0f27.*
+ 31941: 6f86.*
+ 31942: 0f27.*
+ 31943: 6f86.*
+ 31944: 0c28.*
+ 31945: 6f86.*
+ 31946: 0d28.*
+ 31947: 6f86.*
+ 31948: 0c28.*
+ 31949: 6f86.*
+ 3194a: 0e28.*
+ 3194b: 6f86.*
+ 3194c: 0f28.*
+ 3194d: 6f86.*
+ 3194e: 0f28.*
+ 3194f: 6f86.*
+ 31950: 0c29.*
+ 31951: 6f86.*
+ 31952: 0d29.*
+ 31953: 6f86.*
+ 31954: 0c29.*
+ 31955: 6f86.*
+ 31956: 0e29.*
+ 31957: 6f86.*
+ 31958: 0f29.*
+ 31959: 6f86.*
+ 3195a: 0f29.*
+ 3195b: 6f86.*
+ 3195c: 0c2a.*
+ 3195d: 6f86.*
+ 3195e: 0d2a.*
+ 3195f: 6f86.*
+ 31960: 0c2a.*
+ 31961: 6f86.*
+ 31962: 0e2a.*
+ 31963: 6f86.*
+ 31964: 0f2a.*
+ 31965: 6f86.*
+ 31966: 0f2a.*
+ 31967: 6f86.*
+ 31968: 0c2b.*
+ 31969: 6f86.*
+ 3196a: 0d2b.*
+ 3196b: 6f86.*
+ 3196c: 0c2b.*
+ 3196d: 6f86.*
+ 3196e: 0e2b.*
+ 3196f: 6f86.*
+ 31970: 0f2b.*
+ 31971: 6f86.*
+ 31972: 0f2b.*
+ 31973: 6f86.*
+ 31974: 0c2c.*
+ 31975: 6f86.*
+ 31976: 0d2c.*
+ 31977: 6f86.*
+ 31978: 0c2c.*
+ 31979: 6f86.*
+ 3197a: 0e2c.*
+ 3197b: 6f86.*
+ 3197c: 0f2c.*
+ 3197d: 6f86.*
+ 3197e: 0f2c.*
+ 3197f: 6f86.*
+ 31980: 0c2d.*
+ 31981: 6f86.*
+ 31982: 0d2d.*
+ 31983: 6f86.*
+ 31984: 0c2d.*
+ 31985: 6f86.*
+ 31986: 0e2d.*
+ 31987: 6f86.*
+ 31988: 0f2d.*
+ 31989: 6f86.*
+ 3198a: 0f2d.*
+ 3198b: 6f86.*
+ 3198c: 0c2e.*
+ 3198d: 6f86.*
+ 3198e: 0d2e.*
+ 3198f: 6f86.*
+ 31990: 0c2e.*
+ 31991: 6f86.*
+ 31992: 0e2e.*
+ 31993: 6f86.*
+ 31994: 0f2e.*
+ 31995: 6f86.*
+ 31996: 0f2e.*
+ 31997: 6f86.*
+ 31998: 0c2f.*
+ 31999: 6f86.*
+ 3199a: 0d2f.*
+ 3199b: 6f86.*
+ 3199c: 0c2f.*
+ 3199d: 6f86.*
+ 3199e: 0e2f.*
+ 3199f: 6f86.*
+ 319a0: 0f2f.*
+ 319a1: 6f86.*
+ 319a2: 0f2f.*
+ 319a3: 088e.*
+ 319a4: 6f8e.*
+ 319a5: 0d20.*
+ 319a6: 088e.*
+ 319a7: 6f8e.*
+ 319a8: 0e20.*
+ 319a9: 098e.*
+ 319aa: 098e.*
+ 319ab: 6f8e.*
+ 319ac: 0c30.*
+ 319ad: 6f8e.*
+ 319ae: 0d30.*
+ 319af: 6f8e.*
+ 319b0: 0c30.*
+ 319b1: 6f8e.*
+ 319b2: 0e30.*
+ 319b3: 6f8e.*
+ 319b4: 0f30.*
+ 319b5: 6f8e.*
+ 319b6: 0f30.*
+ 319b7: 6f8e.*
+ 319b8: 0c31.*
+ 319b9: 6f8e.*
+ 319ba: 0d31.*
+ 319bb: 6f8e.*
+ 319bc: 0c31.*
+ 319bd: 6f8e.*
+ 319be: 0e31.*
+ 319bf: 6f8e.*
+ 319c0: 0f31.*
+ 319c1: 6f8e.*
+ 319c2: 0f31.*
+ 319c3: 6f8e.*
+ 319c4: 0c32.*
+ 319c5: 6f8e.*
+ 319c6: 0d32.*
+ 319c7: 6f8e.*
+ 319c8: 0c32.*
+ 319c9: 6f8e.*
+ 319ca: 0e32.*
+ 319cb: 6f8e.*
+ 319cc: 0f32.*
+ 319cd: 6f8e.*
+ 319ce: 0f32.*
+ 319cf: 6f8e.*
+ 319d0: 0c33.*
+ 319d1: 6f8e.*
+ 319d2: 0d33.*
+ 319d3: 6f8e.*
+ 319d4: 0c33.*
+ 319d5: 6f8e.*
+ 319d6: 0e33.*
+ 319d7: 6f8e.*
+ 319d8: 0f33.*
+ 319d9: 6f8e.*
+ 319da: 0f33.*
+ 319db: 6f8e.*
+ 319dc: 0c34.*
+ 319dd: 6f8e.*
+ 319de: 0d34.*
+ 319df: 6f8e.*
+ 319e0: 0c34.*
+ 319e1: 6f8e.*
+ 319e2: 0e34.*
+ 319e3: 6f8e.*
+ 319e4: 0f34.*
+ 319e5: 6f8e.*
+ 319e6: 0f34.*
+ 319e7: 6f8e.*
+ 319e8: 0c35.*
+ 319e9: 6f8e.*
+ 319ea: 0d35.*
+ 319eb: 6f8e.*
+ 319ec: 0c35.*
+ 319ed: 6f8e.*
+ 319ee: 0e35.*
+ 319ef: 6f8e.*
+ 319f0: 0f35.*
+ 319f1: 6f8e.*
+ 319f2: 0f35.*
+ 319f3: 6f8e.*
+ 319f4: 0c36.*
+ 319f5: 6f8e.*
+ 319f6: 0d36.*
+ 319f7: 6f8e.*
+ 319f8: 0c36.*
+ 319f9: 6f8e.*
+ 319fa: 0e36.*
+ 319fb: 6f8e.*
+ 319fc: 0f36.*
+ 319fd: 6f8e.*
+ 319fe: 0f36.*
+ 319ff: 6f8e.*
+ 31a00: 0c37.*
+ 31a01: 6f8e.*
+ 31a02: 0d37.*
+ 31a03: 6f8e.*
+ 31a04: 0c37.*
+ 31a05: 6f8e.*
+ 31a06: 0e37.*
+ 31a07: 6f8e.*
+ 31a08: 0f37.*
+ 31a09: 6f8e.*
+ 31a0a: 0f37.*
+ 31a0b: 6f8e.*
+ 31a0c: 0c38.*
+ 31a0d: 6f8e.*
+ 31a0e: 0d38.*
+ 31a0f: 6f8e.*
+ 31a10: 0c38.*
+ 31a11: 6f8e.*
+ 31a12: 0e38.*
+ 31a13: 6f8e.*
+ 31a14: 0f38.*
+ 31a15: 6f8e.*
+ 31a16: 0f38.*
+ 31a17: 6f8e.*
+ 31a18: 0c39.*
+ 31a19: 6f8e.*
+ 31a1a: 0d39.*
+ 31a1b: 6f8e.*
+ 31a1c: 0c39.*
+ 31a1d: 6f8e.*
+ 31a1e: 0e39.*
+ 31a1f: 6f8e.*
+ 31a20: 0f39.*
+ 31a21: 6f8e.*
+ 31a22: 0f39.*
+ 31a23: 6f8e.*
+ 31a24: 0c3a.*
+ 31a25: 6f8e.*
+ 31a26: 0d3a.*
+ 31a27: 6f8e.*
+ 31a28: 0c3a.*
+ 31a29: 6f8e.*
+ 31a2a: 0e3a.*
+ 31a2b: 6f8e.*
+ 31a2c: 0f3a.*
+ 31a2d: 6f8e.*
+ 31a2e: 0f3a.*
+ 31a2f: 6f8e.*
+ 31a30: 0c3b.*
+ 31a31: 6f8e.*
+ 31a32: 0d3b.*
+ 31a33: 6f8e.*
+ 31a34: 0c3b.*
+ 31a35: 6f8e.*
+ 31a36: 0e3b.*
+ 31a37: 6f8e.*
+ 31a38: 0f3b.*
+ 31a39: 6f8e.*
+ 31a3a: 0f3b.*
+ 31a3b: 6f8e.*
+ 31a3c: 0c3c.*
+ 31a3d: 6f8e.*
+ 31a3e: 0d3c.*
+ 31a3f: 6f8e.*
+ 31a40: 0c3c.*
+ 31a41: 6f8e.*
+ 31a42: 0e3c.*
+ 31a43: 6f8e.*
+ 31a44: 0f3c.*
+ 31a45: 6f8e.*
+ 31a46: 0f3c.*
+ 31a47: 6f8e.*
+ 31a48: 0c3d.*
+ 31a49: 6f8e.*
+ 31a4a: 0d3d.*
+ 31a4b: 6f8e.*
+ 31a4c: 0c3d.*
+ 31a4d: 6f8e.*
+ 31a4e: 0e3d.*
+ 31a4f: 6f8e.*
+ 31a50: 0f3d.*
+ 31a51: 6f8e.*
+ 31a52: 0f3d.*
+ 31a53: 6f8e.*
+ 31a54: 0c3e.*
+ 31a55: 6f8e.*
+ 31a56: 0d3e.*
+ 31a57: 6f8e.*
+ 31a58: 0c3e.*
+ 31a59: 6f8e.*
+ 31a5a: 0e3e.*
+ 31a5b: 6f8e.*
+ 31a5c: 0f3e.*
+ 31a5d: 6f8e.*
+ 31a5e: 0f3e.*
+ 31a5f: 6f8e.*
+ 31a60: 0c3f.*
+ 31a61: 6f8e.*
+ 31a62: 0d3f.*
+ 31a63: 6f8e.*
+ 31a64: 0c3f.*
+ 31a65: 6f8e.*
+ 31a66: 0e3f.*
+ 31a67: 6f8e.*
+ 31a68: 0f3f.*
+ 31a69: 6f8e.*
+ 31a6a: 0f3f.*
+ 31a6b: 088e.*
+ 31a6c: 6f8e.*
+ 31a6d: 0d20.*
+ 31a6e: 088e.*
+ 31a6f: 6f8e.*
+ 31a70: 0e20.*
+ 31a71: 098e.*
+ 31a72: 098e.*
+ 31a73: 6f8e.*
+ 31a74: 0c21.*
+ 31a75: 6f8e.*
+ 31a76: 0d21.*
+ 31a77: 6f8e.*
+ 31a78: 0c21.*
+ 31a79: 6f8e.*
+ 31a7a: 0e21.*
+ 31a7b: 6f8e.*
+ 31a7c: 0f21.*
+ 31a7d: 6f8e.*
+ 31a7e: 0f21.*
+ 31a7f: 6f8e.*
+ 31a80: 0c22.*
+ 31a81: 6f8e.*
+ 31a82: 0d22.*
+ 31a83: 6f8e.*
+ 31a84: 0c22.*
+ 31a85: 6f8e.*
+ 31a86: 0e22.*
+ 31a87: 6f8e.*
+ 31a88: 0f22.*
+ 31a89: 6f8e.*
+ 31a8a: 0f22.*
+ 31a8b: 6f8e.*
+ 31a8c: 0c23.*
+ 31a8d: 6f8e.*
+ 31a8e: 0d23.*
+ 31a8f: 6f8e.*
+ 31a90: 0c23.*
+ 31a91: 6f8e.*
+ 31a92: 0e23.*
+ 31a93: 6f8e.*
+ 31a94: 0f23.*
+ 31a95: 6f8e.*
+ 31a96: 0f23.*
+ 31a97: 6f8e.*
+ 31a98: 0c24.*
+ 31a99: 6f8e.*
+ 31a9a: 0d24.*
+ 31a9b: 6f8e.*
+ 31a9c: 0c24.*
+ 31a9d: 6f8e.*
+ 31a9e: 0e24.*
+ 31a9f: 6f8e.*
+ 31aa0: 0f24.*
+ 31aa1: 6f8e.*
+ 31aa2: 0f24.*
+ 31aa3: 6f8e.*
+ 31aa4: 0c25.*
+ 31aa5: 6f8e.*
+ 31aa6: 0d25.*
+ 31aa7: 6f8e.*
+ 31aa8: 0c25.*
+ 31aa9: 6f8e.*
+ 31aaa: 0e25.*
+ 31aab: 6f8e.*
+ 31aac: 0f25.*
+ 31aad: 6f8e.*
+ 31aae: 0f25.*
+ 31aaf: 6f8e.*
+ 31ab0: 0c26.*
+ 31ab1: 6f8e.*
+ 31ab2: 0d26.*
+ 31ab3: 6f8e.*
+ 31ab4: 0c26.*
+ 31ab5: 6f8e.*
+ 31ab6: 0e26.*
+ 31ab7: 6f8e.*
+ 31ab8: 0f26.*
+ 31ab9: 6f8e.*
+ 31aba: 0f26.*
+ 31abb: 6f8e.*
+ 31abc: 0c27.*
+ 31abd: 6f8e.*
+ 31abe: 0d27.*
+ 31abf: 6f8e.*
+ 31ac0: 0c27.*
+ 31ac1: 6f8e.*
+ 31ac2: 0e27.*
+ 31ac3: 6f8e.*
+ 31ac4: 0f27.*
+ 31ac5: 6f8e.*
+ 31ac6: 0f27.*
+ 31ac7: 6f8e.*
+ 31ac8: 0c28.*
+ 31ac9: 6f8e.*
+ 31aca: 0d28.*
+ 31acb: 6f8e.*
+ 31acc: 0c28.*
+ 31acd: 6f8e.*
+ 31ace: 0e28.*
+ 31acf: 6f8e.*
+ 31ad0: 0f28.*
+ 31ad1: 6f8e.*
+ 31ad2: 0f28.*
+ 31ad3: 6f8e.*
+ 31ad4: 0c29.*
+ 31ad5: 6f8e.*
+ 31ad6: 0d29.*
+ 31ad7: 6f8e.*
+ 31ad8: 0c29.*
+ 31ad9: 6f8e.*
+ 31ada: 0e29.*
+ 31adb: 6f8e.*
+ 31adc: 0f29.*
+ 31add: 6f8e.*
+ 31ade: 0f29.*
+ 31adf: 6f8e.*
+ 31ae0: 0c2a.*
+ 31ae1: 6f8e.*
+ 31ae2: 0d2a.*
+ 31ae3: 6f8e.*
+ 31ae4: 0c2a.*
+ 31ae5: 6f8e.*
+ 31ae6: 0e2a.*
+ 31ae7: 6f8e.*
+ 31ae8: 0f2a.*
+ 31ae9: 6f8e.*
+ 31aea: 0f2a.*
+ 31aeb: 6f8e.*
+ 31aec: 0c2b.*
+ 31aed: 6f8e.*
+ 31aee: 0d2b.*
+ 31aef: 6f8e.*
+ 31af0: 0c2b.*
+ 31af1: 6f8e.*
+ 31af2: 0e2b.*
+ 31af3: 6f8e.*
+ 31af4: 0f2b.*
+ 31af5: 6f8e.*
+ 31af6: 0f2b.*
+ 31af7: 6f8e.*
+ 31af8: 0c2c.*
+ 31af9: 6f8e.*
+ 31afa: 0d2c.*
+ 31afb: 6f8e.*
+ 31afc: 0c2c.*
+ 31afd: 6f8e.*
+ 31afe: 0e2c.*
+ 31aff: 6f8e.*
+ 31b00: 0f2c.*
+ 31b01: 6f8e.*
+ 31b02: 0f2c.*
+ 31b03: 6f8e.*
+ 31b04: 0c2d.*
+ 31b05: 6f8e.*
+ 31b06: 0d2d.*
+ 31b07: 6f8e.*
+ 31b08: 0c2d.*
+ 31b09: 6f8e.*
+ 31b0a: 0e2d.*
+ 31b0b: 6f8e.*
+ 31b0c: 0f2d.*
+ 31b0d: 6f8e.*
+ 31b0e: 0f2d.*
+ 31b0f: 6f8e.*
+ 31b10: 0c2e.*
+ 31b11: 6f8e.*
+ 31b12: 0d2e.*
+ 31b13: 6f8e.*
+ 31b14: 0c2e.*
+ 31b15: 6f8e.*
+ 31b16: 0e2e.*
+ 31b17: 6f8e.*
+ 31b18: 0f2e.*
+ 31b19: 6f8e.*
+ 31b1a: 0f2e.*
+ 31b1b: 6f8e.*
+ 31b1c: 0c2f.*
+ 31b1d: 6f8e.*
+ 31b1e: 0d2f.*
+ 31b1f: 6f8e.*
+ 31b20: 0c2f.*
+ 31b21: 6f8e.*
+ 31b22: 0e2f.*
+ 31b23: 6f8e.*
+ 31b24: 0f2f.*
+ 31b25: 6f8e.*
+ 31b26: 0f2f.*
+ 31b27: 0896.*
+ 31b28: 6f96.*
+ 31b29: 0d20.*
+ 31b2a: 0896.*
+ 31b2b: 6f96.*
+ 31b2c: 0e20.*
+ 31b2d: 0996.*
+ 31b2e: 0996.*
+ 31b2f: 6f96.*
+ 31b30: 0c30.*
+ 31b31: 6f96.*
+ 31b32: 0d30.*
+ 31b33: 6f96.*
+ 31b34: 0c30.*
+ 31b35: 6f96.*
+ 31b36: 0e30.*
+ 31b37: 6f96.*
+ 31b38: 0f30.*
+ 31b39: 6f96.*
+ 31b3a: 0f30.*
+ 31b3b: 6f96.*
+ 31b3c: 0c31.*
+ 31b3d: 6f96.*
+ 31b3e: 0d31.*
+ 31b3f: 6f96.*
+ 31b40: 0c31.*
+ 31b41: 6f96.*
+ 31b42: 0e31.*
+ 31b43: 6f96.*
+ 31b44: 0f31.*
+ 31b45: 6f96.*
+ 31b46: 0f31.*
+ 31b47: 6f96.*
+ 31b48: 0c32.*
+ 31b49: 6f96.*
+ 31b4a: 0d32.*
+ 31b4b: 6f96.*
+ 31b4c: 0c32.*
+ 31b4d: 6f96.*
+ 31b4e: 0e32.*
+ 31b4f: 6f96.*
+ 31b50: 0f32.*
+ 31b51: 6f96.*
+ 31b52: 0f32.*
+ 31b53: 6f96.*
+ 31b54: 0c33.*
+ 31b55: 6f96.*
+ 31b56: 0d33.*
+ 31b57: 6f96.*
+ 31b58: 0c33.*
+ 31b59: 6f96.*
+ 31b5a: 0e33.*
+ 31b5b: 6f96.*
+ 31b5c: 0f33.*
+ 31b5d: 6f96.*
+ 31b5e: 0f33.*
+ 31b5f: 6f96.*
+ 31b60: 0c34.*
+ 31b61: 6f96.*
+ 31b62: 0d34.*
+ 31b63: 6f96.*
+ 31b64: 0c34.*
+ 31b65: 6f96.*
+ 31b66: 0e34.*
+ 31b67: 6f96.*
+ 31b68: 0f34.*
+ 31b69: 6f96.*
+ 31b6a: 0f34.*
+ 31b6b: 6f96.*
+ 31b6c: 0c35.*
+ 31b6d: 6f96.*
+ 31b6e: 0d35.*
+ 31b6f: 6f96.*
+ 31b70: 0c35.*
+ 31b71: 6f96.*
+ 31b72: 0e35.*
+ 31b73: 6f96.*
+ 31b74: 0f35.*
+ 31b75: 6f96.*
+ 31b76: 0f35.*
+ 31b77: 6f96.*
+ 31b78: 0c36.*
+ 31b79: 6f96.*
+ 31b7a: 0d36.*
+ 31b7b: 6f96.*
+ 31b7c: 0c36.*
+ 31b7d: 6f96.*
+ 31b7e: 0e36.*
+ 31b7f: 6f96.*
+ 31b80: 0f36.*
+ 31b81: 6f96.*
+ 31b82: 0f36.*
+ 31b83: 6f96.*
+ 31b84: 0c37.*
+ 31b85: 6f96.*
+ 31b86: 0d37.*
+ 31b87: 6f96.*
+ 31b88: 0c37.*
+ 31b89: 6f96.*
+ 31b8a: 0e37.*
+ 31b8b: 6f96.*
+ 31b8c: 0f37.*
+ 31b8d: 6f96.*
+ 31b8e: 0f37.*
+ 31b8f: 6f96.*
+ 31b90: 0c38.*
+ 31b91: 6f96.*
+ 31b92: 0d38.*
+ 31b93: 6f96.*
+ 31b94: 0c38.*
+ 31b95: 6f96.*
+ 31b96: 0e38.*
+ 31b97: 6f96.*
+ 31b98: 0f38.*
+ 31b99: 6f96.*
+ 31b9a: 0f38.*
+ 31b9b: 6f96.*
+ 31b9c: 0c39.*
+ 31b9d: 6f96.*
+ 31b9e: 0d39.*
+ 31b9f: 6f96.*
+ 31ba0: 0c39.*
+ 31ba1: 6f96.*
+ 31ba2: 0e39.*
+ 31ba3: 6f96.*
+ 31ba4: 0f39.*
+ 31ba5: 6f96.*
+ 31ba6: 0f39.*
+ 31ba7: 6f96.*
+ 31ba8: 0c3a.*
+ 31ba9: 6f96.*
+ 31baa: 0d3a.*
+ 31bab: 6f96.*
+ 31bac: 0c3a.*
+ 31bad: 6f96.*
+ 31bae: 0e3a.*
+ 31baf: 6f96.*
+ 31bb0: 0f3a.*
+ 31bb1: 6f96.*
+ 31bb2: 0f3a.*
+ 31bb3: 6f96.*
+ 31bb4: 0c3b.*
+ 31bb5: 6f96.*
+ 31bb6: 0d3b.*
+ 31bb7: 6f96.*
+ 31bb8: 0c3b.*
+ 31bb9: 6f96.*
+ 31bba: 0e3b.*
+ 31bbb: 6f96.*
+ 31bbc: 0f3b.*
+ 31bbd: 6f96.*
+ 31bbe: 0f3b.*
+ 31bbf: 6f96.*
+ 31bc0: 0c3c.*
+ 31bc1: 6f96.*
+ 31bc2: 0d3c.*
+ 31bc3: 6f96.*
+ 31bc4: 0c3c.*
+ 31bc5: 6f96.*
+ 31bc6: 0e3c.*
+ 31bc7: 6f96.*
+ 31bc8: 0f3c.*
+ 31bc9: 6f96.*
+ 31bca: 0f3c.*
+ 31bcb: 6f96.*
+ 31bcc: 0c3d.*
+ 31bcd: 6f96.*
+ 31bce: 0d3d.*
+ 31bcf: 6f96.*
+ 31bd0: 0c3d.*
+ 31bd1: 6f96.*
+ 31bd2: 0e3d.*
+ 31bd3: 6f96.*
+ 31bd4: 0f3d.*
+ 31bd5: 6f96.*
+ 31bd6: 0f3d.*
+ 31bd7: 6f96.*
+ 31bd8: 0c3e.*
+ 31bd9: 6f96.*
+ 31bda: 0d3e.*
+ 31bdb: 6f96.*
+ 31bdc: 0c3e.*
+ 31bdd: 6f96.*
+ 31bde: 0e3e.*
+ 31bdf: 6f96.*
+ 31be0: 0f3e.*
+ 31be1: 6f96.*
+ 31be2: 0f3e.*
+ 31be3: 6f96.*
+ 31be4: 0c3f.*
+ 31be5: 6f96.*
+ 31be6: 0d3f.*
+ 31be7: 6f96.*
+ 31be8: 0c3f.*
+ 31be9: 6f96.*
+ 31bea: 0e3f.*
+ 31beb: 6f96.*
+ 31bec: 0f3f.*
+ 31bed: 6f96.*
+ 31bee: 0f3f.*
+ 31bef: 0896.*
+ 31bf0: 6f96.*
+ 31bf1: 0d20.*
+ 31bf2: 0896.*
+ 31bf3: 6f96.*
+ 31bf4: 0e20.*
+ 31bf5: 0996.*
+ 31bf6: 0996.*
+ 31bf7: 6f96.*
+ 31bf8: 0c21.*
+ 31bf9: 6f96.*
+ 31bfa: 0d21.*
+ 31bfb: 6f96.*
+ 31bfc: 0c21.*
+ 31bfd: 6f96.*
+ 31bfe: 0e21.*
+ 31bff: 6f96.*
+ 31c00: 0f21.*
+ 31c01: 6f96.*
+ 31c02: 0f21.*
+ 31c03: 6f96.*
+ 31c04: 0c22.*
+ 31c05: 6f96.*
+ 31c06: 0d22.*
+ 31c07: 6f96.*
+ 31c08: 0c22.*
+ 31c09: 6f96.*
+ 31c0a: 0e22.*
+ 31c0b: 6f96.*
+ 31c0c: 0f22.*
+ 31c0d: 6f96.*
+ 31c0e: 0f22.*
+ 31c0f: 6f96.*
+ 31c10: 0c23.*
+ 31c11: 6f96.*
+ 31c12: 0d23.*
+ 31c13: 6f96.*
+ 31c14: 0c23.*
+ 31c15: 6f96.*
+ 31c16: 0e23.*
+ 31c17: 6f96.*
+ 31c18: 0f23.*
+ 31c19: 6f96.*
+ 31c1a: 0f23.*
+ 31c1b: 6f96.*
+ 31c1c: 0c24.*
+ 31c1d: 6f96.*
+ 31c1e: 0d24.*
+ 31c1f: 6f96.*
+ 31c20: 0c24.*
+ 31c21: 6f96.*
+ 31c22: 0e24.*
+ 31c23: 6f96.*
+ 31c24: 0f24.*
+ 31c25: 6f96.*
+ 31c26: 0f24.*
+ 31c27: 6f96.*
+ 31c28: 0c25.*
+ 31c29: 6f96.*
+ 31c2a: 0d25.*
+ 31c2b: 6f96.*
+ 31c2c: 0c25.*
+ 31c2d: 6f96.*
+ 31c2e: 0e25.*
+ 31c2f: 6f96.*
+ 31c30: 0f25.*
+ 31c31: 6f96.*
+ 31c32: 0f25.*
+ 31c33: 6f96.*
+ 31c34: 0c26.*
+ 31c35: 6f96.*
+ 31c36: 0d26.*
+ 31c37: 6f96.*
+ 31c38: 0c26.*
+ 31c39: 6f96.*
+ 31c3a: 0e26.*
+ 31c3b: 6f96.*
+ 31c3c: 0f26.*
+ 31c3d: 6f96.*
+ 31c3e: 0f26.*
+ 31c3f: 6f96.*
+ 31c40: 0c27.*
+ 31c41: 6f96.*
+ 31c42: 0d27.*
+ 31c43: 6f96.*
+ 31c44: 0c27.*
+ 31c45: 6f96.*
+ 31c46: 0e27.*
+ 31c47: 6f96.*
+ 31c48: 0f27.*
+ 31c49: 6f96.*
+ 31c4a: 0f27.*
+ 31c4b: 6f96.*
+ 31c4c: 0c28.*
+ 31c4d: 6f96.*
+ 31c4e: 0d28.*
+ 31c4f: 6f96.*
+ 31c50: 0c28.*
+ 31c51: 6f96.*
+ 31c52: 0e28.*
+ 31c53: 6f96.*
+ 31c54: 0f28.*
+ 31c55: 6f96.*
+ 31c56: 0f28.*
+ 31c57: 6f96.*
+ 31c58: 0c29.*
+ 31c59: 6f96.*
+ 31c5a: 0d29.*
+ 31c5b: 6f96.*
+ 31c5c: 0c29.*
+ 31c5d: 6f96.*
+ 31c5e: 0e29.*
+ 31c5f: 6f96.*
+ 31c60: 0f29.*
+ 31c61: 6f96.*
+ 31c62: 0f29.*
+ 31c63: 6f96.*
+ 31c64: 0c2a.*
+ 31c65: 6f96.*
+ 31c66: 0d2a.*
+ 31c67: 6f96.*
+ 31c68: 0c2a.*
+ 31c69: 6f96.*
+ 31c6a: 0e2a.*
+ 31c6b: 6f96.*
+ 31c6c: 0f2a.*
+ 31c6d: 6f96.*
+ 31c6e: 0f2a.*
+ 31c6f: 6f96.*
+ 31c70: 0c2b.*
+ 31c71: 6f96.*
+ 31c72: 0d2b.*
+ 31c73: 6f96.*
+ 31c74: 0c2b.*
+ 31c75: 6f96.*
+ 31c76: 0e2b.*
+ 31c77: 6f96.*
+ 31c78: 0f2b.*
+ 31c79: 6f96.*
+ 31c7a: 0f2b.*
+ 31c7b: 6f96.*
+ 31c7c: 0c2c.*
+ 31c7d: 6f96.*
+ 31c7e: 0d2c.*
+ 31c7f: 6f96.*
+ 31c80: 0c2c.*
+ 31c81: 6f96.*
+ 31c82: 0e2c.*
+ 31c83: 6f96.*
+ 31c84: 0f2c.*
+ 31c85: 6f96.*
+ 31c86: 0f2c.*
+ 31c87: 6f96.*
+ 31c88: 0c2d.*
+ 31c89: 6f96.*
+ 31c8a: 0d2d.*
+ 31c8b: 6f96.*
+ 31c8c: 0c2d.*
+ 31c8d: 6f96.*
+ 31c8e: 0e2d.*
+ 31c8f: 6f96.*
+ 31c90: 0f2d.*
+ 31c91: 6f96.*
+ 31c92: 0f2d.*
+ 31c93: 6f96.*
+ 31c94: 0c2e.*
+ 31c95: 6f96.*
+ 31c96: 0d2e.*
+ 31c97: 6f96.*
+ 31c98: 0c2e.*
+ 31c99: 6f96.*
+ 31c9a: 0e2e.*
+ 31c9b: 6f96.*
+ 31c9c: 0f2e.*
+ 31c9d: 6f96.*
+ 31c9e: 0f2e.*
+ 31c9f: 6f96.*
+ 31ca0: 0c2f.*
+ 31ca1: 6f96.*
+ 31ca2: 0d2f.*
+ 31ca3: 6f96.*
+ 31ca4: 0c2f.*
+ 31ca5: 6f96.*
+ 31ca6: 0e2f.*
+ 31ca7: 6f96.*
+ 31ca8: 0f2f.*
+ 31ca9: 6f96.*
+ 31caa: 0f2f.*
+ 31cab: 08a6.*
+ 31cac: 6fa6.*
+ 31cad: 0d20.*
+ 31cae: 08a6.*
+ 31caf: 6fa6.*
+ 31cb0: 0e20.*
+ 31cb1: 09a6.*
+ 31cb2: 09a6.*
+ 31cb3: 6fa6.*
+ 31cb4: 0c30.*
+ 31cb5: 6fa6.*
+ 31cb6: 0d30.*
+ 31cb7: 6fa6.*
+ 31cb8: 0c30.*
+ 31cb9: 6fa6.*
+ 31cba: 0e30.*
+ 31cbb: 6fa6.*
+ 31cbc: 0f30.*
+ 31cbd: 6fa6.*
+ 31cbe: 0f30.*
+ 31cbf: 6fa6.*
+ 31cc0: 0c31.*
+ 31cc1: 6fa6.*
+ 31cc2: 0d31.*
+ 31cc3: 6fa6.*
+ 31cc4: 0c31.*
+ 31cc5: 6fa6.*
+ 31cc6: 0e31.*
+ 31cc7: 6fa6.*
+ 31cc8: 0f31.*
+ 31cc9: 6fa6.*
+ 31cca: 0f31.*
+ 31ccb: 6fa6.*
+ 31ccc: 0c32.*
+ 31ccd: 6fa6.*
+ 31cce: 0d32.*
+ 31ccf: 6fa6.*
+ 31cd0: 0c32.*
+ 31cd1: 6fa6.*
+ 31cd2: 0e32.*
+ 31cd3: 6fa6.*
+ 31cd4: 0f32.*
+ 31cd5: 6fa6.*
+ 31cd6: 0f32.*
+ 31cd7: 6fa6.*
+ 31cd8: 0c33.*
+ 31cd9: 6fa6.*
+ 31cda: 0d33.*
+ 31cdb: 6fa6.*
+ 31cdc: 0c33.*
+ 31cdd: 6fa6.*
+ 31cde: 0e33.*
+ 31cdf: 6fa6.*
+ 31ce0: 0f33.*
+ 31ce1: 6fa6.*
+ 31ce2: 0f33.*
+ 31ce3: 6fa6.*
+ 31ce4: 0c34.*
+ 31ce5: 6fa6.*
+ 31ce6: 0d34.*
+ 31ce7: 6fa6.*
+ 31ce8: 0c34.*
+ 31ce9: 6fa6.*
+ 31cea: 0e34.*
+ 31ceb: 6fa6.*
+ 31cec: 0f34.*
+ 31ced: 6fa6.*
+ 31cee: 0f34.*
+ 31cef: 6fa6.*
+ 31cf0: 0c35.*
+ 31cf1: 6fa6.*
+ 31cf2: 0d35.*
+ 31cf3: 6fa6.*
+ 31cf4: 0c35.*
+ 31cf5: 6fa6.*
+ 31cf6: 0e35.*
+ 31cf7: 6fa6.*
+ 31cf8: 0f35.*
+ 31cf9: 6fa6.*
+ 31cfa: 0f35.*
+ 31cfb: 6fa6.*
+ 31cfc: 0c36.*
+ 31cfd: 6fa6.*
+ 31cfe: 0d36.*
+ 31cff: 6fa6.*
+ 31d00: 0c36.*
+ 31d01: 6fa6.*
+ 31d02: 0e36.*
+ 31d03: 6fa6.*
+ 31d04: 0f36.*
+ 31d05: 6fa6.*
+ 31d06: 0f36.*
+ 31d07: 6fa6.*
+ 31d08: 0c37.*
+ 31d09: 6fa6.*
+ 31d0a: 0d37.*
+ 31d0b: 6fa6.*
+ 31d0c: 0c37.*
+ 31d0d: 6fa6.*
+ 31d0e: 0e37.*
+ 31d0f: 6fa6.*
+ 31d10: 0f37.*
+ 31d11: 6fa6.*
+ 31d12: 0f37.*
+ 31d13: 6fa6.*
+ 31d14: 0c38.*
+ 31d15: 6fa6.*
+ 31d16: 0d38.*
+ 31d17: 6fa6.*
+ 31d18: 0c38.*
+ 31d19: 6fa6.*
+ 31d1a: 0e38.*
+ 31d1b: 6fa6.*
+ 31d1c: 0f38.*
+ 31d1d: 6fa6.*
+ 31d1e: 0f38.*
+ 31d1f: 6fa6.*
+ 31d20: 0c39.*
+ 31d21: 6fa6.*
+ 31d22: 0d39.*
+ 31d23: 6fa6.*
+ 31d24: 0c39.*
+ 31d25: 6fa6.*
+ 31d26: 0e39.*
+ 31d27: 6fa6.*
+ 31d28: 0f39.*
+ 31d29: 6fa6.*
+ 31d2a: 0f39.*
+ 31d2b: 6fa6.*
+ 31d2c: 0c3a.*
+ 31d2d: 6fa6.*
+ 31d2e: 0d3a.*
+ 31d2f: 6fa6.*
+ 31d30: 0c3a.*
+ 31d31: 6fa6.*
+ 31d32: 0e3a.*
+ 31d33: 6fa6.*
+ 31d34: 0f3a.*
+ 31d35: 6fa6.*
+ 31d36: 0f3a.*
+ 31d37: 6fa6.*
+ 31d38: 0c3b.*
+ 31d39: 6fa6.*
+ 31d3a: 0d3b.*
+ 31d3b: 6fa6.*
+ 31d3c: 0c3b.*
+ 31d3d: 6fa6.*
+ 31d3e: 0e3b.*
+ 31d3f: 6fa6.*
+ 31d40: 0f3b.*
+ 31d41: 6fa6.*
+ 31d42: 0f3b.*
+ 31d43: 6fa6.*
+ 31d44: 0c3c.*
+ 31d45: 6fa6.*
+ 31d46: 0d3c.*
+ 31d47: 6fa6.*
+ 31d48: 0c3c.*
+ 31d49: 6fa6.*
+ 31d4a: 0e3c.*
+ 31d4b: 6fa6.*
+ 31d4c: 0f3c.*
+ 31d4d: 6fa6.*
+ 31d4e: 0f3c.*
+ 31d4f: 6fa6.*
+ 31d50: 0c3d.*
+ 31d51: 6fa6.*
+ 31d52: 0d3d.*
+ 31d53: 6fa6.*
+ 31d54: 0c3d.*
+ 31d55: 6fa6.*
+ 31d56: 0e3d.*
+ 31d57: 6fa6.*
+ 31d58: 0f3d.*
+ 31d59: 6fa6.*
+ 31d5a: 0f3d.*
+ 31d5b: 6fa6.*
+ 31d5c: 0c3e.*
+ 31d5d: 6fa6.*
+ 31d5e: 0d3e.*
+ 31d5f: 6fa6.*
+ 31d60: 0c3e.*
+ 31d61: 6fa6.*
+ 31d62: 0e3e.*
+ 31d63: 6fa6.*
+ 31d64: 0f3e.*
+ 31d65: 6fa6.*
+ 31d66: 0f3e.*
+ 31d67: 6fa6.*
+ 31d68: 0c3f.*
+ 31d69: 6fa6.*
+ 31d6a: 0d3f.*
+ 31d6b: 6fa6.*
+ 31d6c: 0c3f.*
+ 31d6d: 6fa6.*
+ 31d6e: 0e3f.*
+ 31d6f: 6fa6.*
+ 31d70: 0f3f.*
+ 31d71: 6fa6.*
+ 31d72: 0f3f.*
+ 31d73: 08a6.*
+ 31d74: 6fa6.*
+ 31d75: 0d20.*
+ 31d76: 08a6.*
+ 31d77: 6fa6.*
+ 31d78: 0e20.*
+ 31d79: 09a6.*
+ 31d7a: 09a6.*
+ 31d7b: 6fa6.*
+ 31d7c: 0c21.*
+ 31d7d: 6fa6.*
+ 31d7e: 0d21.*
+ 31d7f: 6fa6.*
+ 31d80: 0c21.*
+ 31d81: 6fa6.*
+ 31d82: 0e21.*
+ 31d83: 6fa6.*
+ 31d84: 0f21.*
+ 31d85: 6fa6.*
+ 31d86: 0f21.*
+ 31d87: 6fa6.*
+ 31d88: 0c22.*
+ 31d89: 6fa6.*
+ 31d8a: 0d22.*
+ 31d8b: 6fa6.*
+ 31d8c: 0c22.*
+ 31d8d: 6fa6.*
+ 31d8e: 0e22.*
+ 31d8f: 6fa6.*
+ 31d90: 0f22.*
+ 31d91: 6fa6.*
+ 31d92: 0f22.*
+ 31d93: 6fa6.*
+ 31d94: 0c23.*
+ 31d95: 6fa6.*
+ 31d96: 0d23.*
+ 31d97: 6fa6.*
+ 31d98: 0c23.*
+ 31d99: 6fa6.*
+ 31d9a: 0e23.*
+ 31d9b: 6fa6.*
+ 31d9c: 0f23.*
+ 31d9d: 6fa6.*
+ 31d9e: 0f23.*
+ 31d9f: 6fa6.*
+ 31da0: 0c24.*
+ 31da1: 6fa6.*
+ 31da2: 0d24.*
+ 31da3: 6fa6.*
+ 31da4: 0c24.*
+ 31da5: 6fa6.*
+ 31da6: 0e24.*
+ 31da7: 6fa6.*
+ 31da8: 0f24.*
+ 31da9: 6fa6.*
+ 31daa: 0f24.*
+ 31dab: 6fa6.*
+ 31dac: 0c25.*
+ 31dad: 6fa6.*
+ 31dae: 0d25.*
+ 31daf: 6fa6.*
+ 31db0: 0c25.*
+ 31db1: 6fa6.*
+ 31db2: 0e25.*
+ 31db3: 6fa6.*
+ 31db4: 0f25.*
+ 31db5: 6fa6.*
+ 31db6: 0f25.*
+ 31db7: 6fa6.*
+ 31db8: 0c26.*
+ 31db9: 6fa6.*
+ 31dba: 0d26.*
+ 31dbb: 6fa6.*
+ 31dbc: 0c26.*
+ 31dbd: 6fa6.*
+ 31dbe: 0e26.*
+ 31dbf: 6fa6.*
+ 31dc0: 0f26.*
+ 31dc1: 6fa6.*
+ 31dc2: 0f26.*
+ 31dc3: 6fa6.*
+ 31dc4: 0c27.*
+ 31dc5: 6fa6.*
+ 31dc6: 0d27.*
+ 31dc7: 6fa6.*
+ 31dc8: 0c27.*
+ 31dc9: 6fa6.*
+ 31dca: 0e27.*
+ 31dcb: 6fa6.*
+ 31dcc: 0f27.*
+ 31dcd: 6fa6.*
+ 31dce: 0f27.*
+ 31dcf: 6fa6.*
+ 31dd0: 0c28.*
+ 31dd1: 6fa6.*
+ 31dd2: 0d28.*
+ 31dd3: 6fa6.*
+ 31dd4: 0c28.*
+ 31dd5: 6fa6.*
+ 31dd6: 0e28.*
+ 31dd7: 6fa6.*
+ 31dd8: 0f28.*
+ 31dd9: 6fa6.*
+ 31dda: 0f28.*
+ 31ddb: 6fa6.*
+ 31ddc: 0c29.*
+ 31ddd: 6fa6.*
+ 31dde: 0d29.*
+ 31ddf: 6fa6.*
+ 31de0: 0c29.*
+ 31de1: 6fa6.*
+ 31de2: 0e29.*
+ 31de3: 6fa6.*
+ 31de4: 0f29.*
+ 31de5: 6fa6.*
+ 31de6: 0f29.*
+ 31de7: 6fa6.*
+ 31de8: 0c2a.*
+ 31de9: 6fa6.*
+ 31dea: 0d2a.*
+ 31deb: 6fa6.*
+ 31dec: 0c2a.*
+ 31ded: 6fa6.*
+ 31dee: 0e2a.*
+ 31def: 6fa6.*
+ 31df0: 0f2a.*
+ 31df1: 6fa6.*
+ 31df2: 0f2a.*
+ 31df3: 6fa6.*
+ 31df4: 0c2b.*
+ 31df5: 6fa6.*
+ 31df6: 0d2b.*
+ 31df7: 6fa6.*
+ 31df8: 0c2b.*
+ 31df9: 6fa6.*
+ 31dfa: 0e2b.*
+ 31dfb: 6fa6.*
+ 31dfc: 0f2b.*
+ 31dfd: 6fa6.*
+ 31dfe: 0f2b.*
+ 31dff: 6fa6.*
+ 31e00: 0c2c.*
+ 31e01: 6fa6.*
+ 31e02: 0d2c.*
+ 31e03: 6fa6.*
+ 31e04: 0c2c.*
+ 31e05: 6fa6.*
+ 31e06: 0e2c.*
+ 31e07: 6fa6.*
+ 31e08: 0f2c.*
+ 31e09: 6fa6.*
+ 31e0a: 0f2c.*
+ 31e0b: 6fa6.*
+ 31e0c: 0c2d.*
+ 31e0d: 6fa6.*
+ 31e0e: 0d2d.*
+ 31e0f: 6fa6.*
+ 31e10: 0c2d.*
+ 31e11: 6fa6.*
+ 31e12: 0e2d.*
+ 31e13: 6fa6.*
+ 31e14: 0f2d.*
+ 31e15: 6fa6.*
+ 31e16: 0f2d.*
+ 31e17: 6fa6.*
+ 31e18: 0c2e.*
+ 31e19: 6fa6.*
+ 31e1a: 0d2e.*
+ 31e1b: 6fa6.*
+ 31e1c: 0c2e.*
+ 31e1d: 6fa6.*
+ 31e1e: 0e2e.*
+ 31e1f: 6fa6.*
+ 31e20: 0f2e.*
+ 31e21: 6fa6.*
+ 31e22: 0f2e.*
+ 31e23: 6fa6.*
+ 31e24: 0c2f.*
+ 31e25: 6fa6.*
+ 31e26: 0d2f.*
+ 31e27: 6fa6.*
+ 31e28: 0c2f.*
+ 31e29: 6fa6.*
+ 31e2a: 0e2f.*
+ 31e2b: 6fa6.*
+ 31e2c: 0f2f.*
+ 31e2d: 6fa6.*
+ 31e2e: 0f2f.*
+ 31e2f: 08ae.*
+ 31e30: 6fae.*
+ 31e31: 0d20.*
+ 31e32: 08ae.*
+ 31e33: 6fae.*
+ 31e34: 0e20.*
+ 31e35: 09ae.*
+ 31e36: 09ae.*
+ 31e37: 6fae.*
+ 31e38: 0c30.*
+ 31e39: 6fae.*
+ 31e3a: 0d30.*
+ 31e3b: 6fae.*
+ 31e3c: 0c30.*
+ 31e3d: 6fae.*
+ 31e3e: 0e30.*
+ 31e3f: 6fae.*
+ 31e40: 0f30.*
+ 31e41: 6fae.*
+ 31e42: 0f30.*
+ 31e43: 6fae.*
+ 31e44: 0c31.*
+ 31e45: 6fae.*
+ 31e46: 0d31.*
+ 31e47: 6fae.*
+ 31e48: 0c31.*
+ 31e49: 6fae.*
+ 31e4a: 0e31.*
+ 31e4b: 6fae.*
+ 31e4c: 0f31.*
+ 31e4d: 6fae.*
+ 31e4e: 0f31.*
+ 31e4f: 6fae.*
+ 31e50: 0c32.*
+ 31e51: 6fae.*
+ 31e52: 0d32.*
+ 31e53: 6fae.*
+ 31e54: 0c32.*
+ 31e55: 6fae.*
+ 31e56: 0e32.*
+ 31e57: 6fae.*
+ 31e58: 0f32.*
+ 31e59: 6fae.*
+ 31e5a: 0f32.*
+ 31e5b: 6fae.*
+ 31e5c: 0c33.*
+ 31e5d: 6fae.*
+ 31e5e: 0d33.*
+ 31e5f: 6fae.*
+ 31e60: 0c33.*
+ 31e61: 6fae.*
+ 31e62: 0e33.*
+ 31e63: 6fae.*
+ 31e64: 0f33.*
+ 31e65: 6fae.*
+ 31e66: 0f33.*
+ 31e67: 6fae.*
+ 31e68: 0c34.*
+ 31e69: 6fae.*
+ 31e6a: 0d34.*
+ 31e6b: 6fae.*
+ 31e6c: 0c34.*
+ 31e6d: 6fae.*
+ 31e6e: 0e34.*
+ 31e6f: 6fae.*
+ 31e70: 0f34.*
+ 31e71: 6fae.*
+ 31e72: 0f34.*
+ 31e73: 6fae.*
+ 31e74: 0c35.*
+ 31e75: 6fae.*
+ 31e76: 0d35.*
+ 31e77: 6fae.*
+ 31e78: 0c35.*
+ 31e79: 6fae.*
+ 31e7a: 0e35.*
+ 31e7b: 6fae.*
+ 31e7c: 0f35.*
+ 31e7d: 6fae.*
+ 31e7e: 0f35.*
+ 31e7f: 6fae.*
+ 31e80: 0c36.*
+ 31e81: 6fae.*
+ 31e82: 0d36.*
+ 31e83: 6fae.*
+ 31e84: 0c36.*
+ 31e85: 6fae.*
+ 31e86: 0e36.*
+ 31e87: 6fae.*
+ 31e88: 0f36.*
+ 31e89: 6fae.*
+ 31e8a: 0f36.*
+ 31e8b: 6fae.*
+ 31e8c: 0c37.*
+ 31e8d: 6fae.*
+ 31e8e: 0d37.*
+ 31e8f: 6fae.*
+ 31e90: 0c37.*
+ 31e91: 6fae.*
+ 31e92: 0e37.*
+ 31e93: 6fae.*
+ 31e94: 0f37.*
+ 31e95: 6fae.*
+ 31e96: 0f37.*
+ 31e97: 6fae.*
+ 31e98: 0c38.*
+ 31e99: 6fae.*
+ 31e9a: 0d38.*
+ 31e9b: 6fae.*
+ 31e9c: 0c38.*
+ 31e9d: 6fae.*
+ 31e9e: 0e38.*
+ 31e9f: 6fae.*
+ 31ea0: 0f38.*
+ 31ea1: 6fae.*
+ 31ea2: 0f38.*
+ 31ea3: 6fae.*
+ 31ea4: 0c39.*
+ 31ea5: 6fae.*
+ 31ea6: 0d39.*
+ 31ea7: 6fae.*
+ 31ea8: 0c39.*
+ 31ea9: 6fae.*
+ 31eaa: 0e39.*
+ 31eab: 6fae.*
+ 31eac: 0f39.*
+ 31ead: 6fae.*
+ 31eae: 0f39.*
+ 31eaf: 6fae.*
+ 31eb0: 0c3a.*
+ 31eb1: 6fae.*
+ 31eb2: 0d3a.*
+ 31eb3: 6fae.*
+ 31eb4: 0c3a.*
+ 31eb5: 6fae.*
+ 31eb6: 0e3a.*
+ 31eb7: 6fae.*
+ 31eb8: 0f3a.*
+ 31eb9: 6fae.*
+ 31eba: 0f3a.*
+ 31ebb: 6fae.*
+ 31ebc: 0c3b.*
+ 31ebd: 6fae.*
+ 31ebe: 0d3b.*
+ 31ebf: 6fae.*
+ 31ec0: 0c3b.*
+ 31ec1: 6fae.*
+ 31ec2: 0e3b.*
+ 31ec3: 6fae.*
+ 31ec4: 0f3b.*
+ 31ec5: 6fae.*
+ 31ec6: 0f3b.*
+ 31ec7: 6fae.*
+ 31ec8: 0c3c.*
+ 31ec9: 6fae.*
+ 31eca: 0d3c.*
+ 31ecb: 6fae.*
+ 31ecc: 0c3c.*
+ 31ecd: 6fae.*
+ 31ece: 0e3c.*
+ 31ecf: 6fae.*
+ 31ed0: 0f3c.*
+ 31ed1: 6fae.*
+ 31ed2: 0f3c.*
+ 31ed3: 6fae.*
+ 31ed4: 0c3d.*
+ 31ed5: 6fae.*
+ 31ed6: 0d3d.*
+ 31ed7: 6fae.*
+ 31ed8: 0c3d.*
+ 31ed9: 6fae.*
+ 31eda: 0e3d.*
+ 31edb: 6fae.*
+ 31edc: 0f3d.*
+ 31edd: 6fae.*
+ 31ede: 0f3d.*
+ 31edf: 6fae.*
+ 31ee0: 0c3e.*
+ 31ee1: 6fae.*
+ 31ee2: 0d3e.*
+ 31ee3: 6fae.*
+ 31ee4: 0c3e.*
+ 31ee5: 6fae.*
+ 31ee6: 0e3e.*
+ 31ee7: 6fae.*
+ 31ee8: 0f3e.*
+ 31ee9: 6fae.*
+ 31eea: 0f3e.*
+ 31eeb: 6fae.*
+ 31eec: 0c3f.*
+ 31eed: 6fae.*
+ 31eee: 0d3f.*
+ 31eef: 6fae.*
+ 31ef0: 0c3f.*
+ 31ef1: 6fae.*
+ 31ef2: 0e3f.*
+ 31ef3: 6fae.*
+ 31ef4: 0f3f.*
+ 31ef5: 6fae.*
+ 31ef6: 0f3f.*
+ 31ef7: 08ae.*
+ 31ef8: 6fae.*
+ 31ef9: 0d20.*
+ 31efa: 08ae.*
+ 31efb: 6fae.*
+ 31efc: 0e20.*
+ 31efd: 09ae.*
+ 31efe: 09ae.*
+ 31eff: 6fae.*
+ 31f00: 0c21.*
+ 31f01: 6fae.*
+ 31f02: 0d21.*
+ 31f03: 6fae.*
+ 31f04: 0c21.*
+ 31f05: 6fae.*
+ 31f06: 0e21.*
+ 31f07: 6fae.*
+ 31f08: 0f21.*
+ 31f09: 6fae.*
+ 31f0a: 0f21.*
+ 31f0b: 6fae.*
+ 31f0c: 0c22.*
+ 31f0d: 6fae.*
+ 31f0e: 0d22.*
+ 31f0f: 6fae.*
+ 31f10: 0c22.*
+ 31f11: 6fae.*
+ 31f12: 0e22.*
+ 31f13: 6fae.*
+ 31f14: 0f22.*
+ 31f15: 6fae.*
+ 31f16: 0f22.*
+ 31f17: 6fae.*
+ 31f18: 0c23.*
+ 31f19: 6fae.*
+ 31f1a: 0d23.*
+ 31f1b: 6fae.*
+ 31f1c: 0c23.*
+ 31f1d: 6fae.*
+ 31f1e: 0e23.*
+ 31f1f: 6fae.*
+ 31f20: 0f23.*
+ 31f21: 6fae.*
+ 31f22: 0f23.*
+ 31f23: 6fae.*
+ 31f24: 0c24.*
+ 31f25: 6fae.*
+ 31f26: 0d24.*
+ 31f27: 6fae.*
+ 31f28: 0c24.*
+ 31f29: 6fae.*
+ 31f2a: 0e24.*
+ 31f2b: 6fae.*
+ 31f2c: 0f24.*
+ 31f2d: 6fae.*
+ 31f2e: 0f24.*
+ 31f2f: 6fae.*
+ 31f30: 0c25.*
+ 31f31: 6fae.*
+ 31f32: 0d25.*
+ 31f33: 6fae.*
+ 31f34: 0c25.*
+ 31f35: 6fae.*
+ 31f36: 0e25.*
+ 31f37: 6fae.*
+ 31f38: 0f25.*
+ 31f39: 6fae.*
+ 31f3a: 0f25.*
+ 31f3b: 6fae.*
+ 31f3c: 0c26.*
+ 31f3d: 6fae.*
+ 31f3e: 0d26.*
+ 31f3f: 6fae.*
+ 31f40: 0c26.*
+ 31f41: 6fae.*
+ 31f42: 0e26.*
+ 31f43: 6fae.*
+ 31f44: 0f26.*
+ 31f45: 6fae.*
+ 31f46: 0f26.*
+ 31f47: 6fae.*
+ 31f48: 0c27.*
+ 31f49: 6fae.*
+ 31f4a: 0d27.*
+ 31f4b: 6fae.*
+ 31f4c: 0c27.*
+ 31f4d: 6fae.*
+ 31f4e: 0e27.*
+ 31f4f: 6fae.*
+ 31f50: 0f27.*
+ 31f51: 6fae.*
+ 31f52: 0f27.*
+ 31f53: 6fae.*
+ 31f54: 0c28.*
+ 31f55: 6fae.*
+ 31f56: 0d28.*
+ 31f57: 6fae.*
+ 31f58: 0c28.*
+ 31f59: 6fae.*
+ 31f5a: 0e28.*
+ 31f5b: 6fae.*
+ 31f5c: 0f28.*
+ 31f5d: 6fae.*
+ 31f5e: 0f28.*
+ 31f5f: 6fae.*
+ 31f60: 0c29.*
+ 31f61: 6fae.*
+ 31f62: 0d29.*
+ 31f63: 6fae.*
+ 31f64: 0c29.*
+ 31f65: 6fae.*
+ 31f66: 0e29.*
+ 31f67: 6fae.*
+ 31f68: 0f29.*
+ 31f69: 6fae.*
+ 31f6a: 0f29.*
+ 31f6b: 6fae.*
+ 31f6c: 0c2a.*
+ 31f6d: 6fae.*
+ 31f6e: 0d2a.*
+ 31f6f: 6fae.*
+ 31f70: 0c2a.*
+ 31f71: 6fae.*
+ 31f72: 0e2a.*
+ 31f73: 6fae.*
+ 31f74: 0f2a.*
+ 31f75: 6fae.*
+ 31f76: 0f2a.*
+ 31f77: 6fae.*
+ 31f78: 0c2b.*
+ 31f79: 6fae.*
+ 31f7a: 0d2b.*
+ 31f7b: 6fae.*
+ 31f7c: 0c2b.*
+ 31f7d: 6fae.*
+ 31f7e: 0e2b.*
+ 31f7f: 6fae.*
+ 31f80: 0f2b.*
+ 31f81: 6fae.*
+ 31f82: 0f2b.*
+ 31f83: 6fae.*
+ 31f84: 0c2c.*
+ 31f85: 6fae.*
+ 31f86: 0d2c.*
+ 31f87: 6fae.*
+ 31f88: 0c2c.*
+ 31f89: 6fae.*
+ 31f8a: 0e2c.*
+ 31f8b: 6fae.*
+ 31f8c: 0f2c.*
+ 31f8d: 6fae.*
+ 31f8e: 0f2c.*
+ 31f8f: 6fae.*
+ 31f90: 0c2d.*
+ 31f91: 6fae.*
+ 31f92: 0d2d.*
+ 31f93: 6fae.*
+ 31f94: 0c2d.*
+ 31f95: 6fae.*
+ 31f96: 0e2d.*
+ 31f97: 6fae.*
+ 31f98: 0f2d.*
+ 31f99: 6fae.*
+ 31f9a: 0f2d.*
+ 31f9b: 6fae.*
+ 31f9c: 0c2e.*
+ 31f9d: 6fae.*
+ 31f9e: 0d2e.*
+ 31f9f: 6fae.*
+ 31fa0: 0c2e.*
+ 31fa1: 6fae.*
+ 31fa2: 0e2e.*
+ 31fa3: 6fae.*
+ 31fa4: 0f2e.*
+ 31fa5: 6fae.*
+ 31fa6: 0f2e.*
+ 31fa7: 6fae.*
+ 31fa8: 0c2f.*
+ 31fa9: 6fae.*
+ 31faa: 0d2f.*
+ 31fab: 6fae.*
+ 31fac: 0c2f.*
+ 31fad: 6fae.*
+ 31fae: 0e2f.*
+ 31faf: 6fae.*
+ 31fb0: 0f2f.*
+ 31fb1: 6fae.*
+ 31fb2: 0f2f.*
+ 31fb3: 08b6.*
+ 31fb4: 6fb6.*
+ 31fb5: 0d20.*
+ 31fb6: 08b6.*
+ 31fb7: 6fb6.*
+ 31fb8: 0e20.*
+ 31fb9: 09b6.*
+ 31fba: 09b6.*
+ 31fbb: 6fb6.*
+ 31fbc: 0c30.*
+ 31fbd: 6fb6.*
+ 31fbe: 0d30.*
+ 31fbf: 6fb6.*
+ 31fc0: 0c30.*
+ 31fc1: 6fb6.*
+ 31fc2: 0e30.*
+ 31fc3: 6fb6.*
+ 31fc4: 0f30.*
+ 31fc5: 6fb6.*
+ 31fc6: 0f30.*
+ 31fc7: 6fb6.*
+ 31fc8: 0c31.*
+ 31fc9: 6fb6.*
+ 31fca: 0d31.*
+ 31fcb: 6fb6.*
+ 31fcc: 0c31.*
+ 31fcd: 6fb6.*
+ 31fce: 0e31.*
+ 31fcf: 6fb6.*
+ 31fd0: 0f31.*
+ 31fd1: 6fb6.*
+ 31fd2: 0f31.*
+ 31fd3: 6fb6.*
+ 31fd4: 0c32.*
+ 31fd5: 6fb6.*
+ 31fd6: 0d32.*
+ 31fd7: 6fb6.*
+ 31fd8: 0c32.*
+ 31fd9: 6fb6.*
+ 31fda: 0e32.*
+ 31fdb: 6fb6.*
+ 31fdc: 0f32.*
+ 31fdd: 6fb6.*
+ 31fde: 0f32.*
+ 31fdf: 6fb6.*
+ 31fe0: 0c33.*
+ 31fe1: 6fb6.*
+ 31fe2: 0d33.*
+ 31fe3: 6fb6.*
+ 31fe4: 0c33.*
+ 31fe5: 6fb6.*
+ 31fe6: 0e33.*
+ 31fe7: 6fb6.*
+ 31fe8: 0f33.*
+ 31fe9: 6fb6.*
+ 31fea: 0f33.*
+ 31feb: 6fb6.*
+ 31fec: 0c34.*
+ 31fed: 6fb6.*
+ 31fee: 0d34.*
+ 31fef: 6fb6.*
+ 31ff0: 0c34.*
+ 31ff1: 6fb6.*
+ 31ff2: 0e34.*
+ 31ff3: 6fb6.*
+ 31ff4: 0f34.*
+ 31ff5: 6fb6.*
+ 31ff6: 0f34.*
+ 31ff7: 6fb6.*
+ 31ff8: 0c35.*
+ 31ff9: 6fb6.*
+ 31ffa: 0d35.*
+ 31ffb: 6fb6.*
+ 31ffc: 0c35.*
+ 31ffd: 6fb6.*
+ 31ffe: 0e35.*
+ 31fff: 6fb6.*
+ 32000: 0f35.*
+ 32001: 6fb6.*
+ 32002: 0f35.*
+ 32003: 6fb6.*
+ 32004: 0c36.*
+ 32005: 6fb6.*
+ 32006: 0d36.*
+ 32007: 6fb6.*
+ 32008: 0c36.*
+ 32009: 6fb6.*
+ 3200a: 0e36.*
+ 3200b: 6fb6.*
+ 3200c: 0f36.*
+ 3200d: 6fb6.*
+ 3200e: 0f36.*
+ 3200f: 6fb6.*
+ 32010: 0c37.*
+ 32011: 6fb6.*
+ 32012: 0d37.*
+ 32013: 6fb6.*
+ 32014: 0c37.*
+ 32015: 6fb6.*
+ 32016: 0e37.*
+ 32017: 6fb6.*
+ 32018: 0f37.*
+ 32019: 6fb6.*
+ 3201a: 0f37.*
+ 3201b: 6fb6.*
+ 3201c: 0c38.*
+ 3201d: 6fb6.*
+ 3201e: 0d38.*
+ 3201f: 6fb6.*
+ 32020: 0c38.*
+ 32021: 6fb6.*
+ 32022: 0e38.*
+ 32023: 6fb6.*
+ 32024: 0f38.*
+ 32025: 6fb6.*
+ 32026: 0f38.*
+ 32027: 6fb6.*
+ 32028: 0c39.*
+ 32029: 6fb6.*
+ 3202a: 0d39.*
+ 3202b: 6fb6.*
+ 3202c: 0c39.*
+ 3202d: 6fb6.*
+ 3202e: 0e39.*
+ 3202f: 6fb6.*
+ 32030: 0f39.*
+ 32031: 6fb6.*
+ 32032: 0f39.*
+ 32033: 6fb6.*
+ 32034: 0c3a.*
+ 32035: 6fb6.*
+ 32036: 0d3a.*
+ 32037: 6fb6.*
+ 32038: 0c3a.*
+ 32039: 6fb6.*
+ 3203a: 0e3a.*
+ 3203b: 6fb6.*
+ 3203c: 0f3a.*
+ 3203d: 6fb6.*
+ 3203e: 0f3a.*
+ 3203f: 6fb6.*
+ 32040: 0c3b.*
+ 32041: 6fb6.*
+ 32042: 0d3b.*
+ 32043: 6fb6.*
+ 32044: 0c3b.*
+ 32045: 6fb6.*
+ 32046: 0e3b.*
+ 32047: 6fb6.*
+ 32048: 0f3b.*
+ 32049: 6fb6.*
+ 3204a: 0f3b.*
+ 3204b: 6fb6.*
+ 3204c: 0c3c.*
+ 3204d: 6fb6.*
+ 3204e: 0d3c.*
+ 3204f: 6fb6.*
+ 32050: 0c3c.*
+ 32051: 6fb6.*
+ 32052: 0e3c.*
+ 32053: 6fb6.*
+ 32054: 0f3c.*
+ 32055: 6fb6.*
+ 32056: 0f3c.*
+ 32057: 6fb6.*
+ 32058: 0c3d.*
+ 32059: 6fb6.*
+ 3205a: 0d3d.*
+ 3205b: 6fb6.*
+ 3205c: 0c3d.*
+ 3205d: 6fb6.*
+ 3205e: 0e3d.*
+ 3205f: 6fb6.*
+ 32060: 0f3d.*
+ 32061: 6fb6.*
+ 32062: 0f3d.*
+ 32063: 6fb6.*
+ 32064: 0c3e.*
+ 32065: 6fb6.*
+ 32066: 0d3e.*
+ 32067: 6fb6.*
+ 32068: 0c3e.*
+ 32069: 6fb6.*
+ 3206a: 0e3e.*
+ 3206b: 6fb6.*
+ 3206c: 0f3e.*
+ 3206d: 6fb6.*
+ 3206e: 0f3e.*
+ 3206f: 6fb6.*
+ 32070: 0c3f.*
+ 32071: 6fb6.*
+ 32072: 0d3f.*
+ 32073: 6fb6.*
+ 32074: 0c3f.*
+ 32075: 6fb6.*
+ 32076: 0e3f.*
+ 32077: 6fb6.*
+ 32078: 0f3f.*
+ 32079: 6fb6.*
+ 3207a: 0f3f.*
+ 3207b: 08b6.*
+ 3207c: 6fb6.*
+ 3207d: 0d20.*
+ 3207e: 08b6.*
+ 3207f: 6fb6.*
+ 32080: 0e20.*
+ 32081: 09b6.*
+ 32082: 09b6.*
+ 32083: 6fb6.*
+ 32084: 0c21.*
+ 32085: 6fb6.*
+ 32086: 0d21.*
+ 32087: 6fb6.*
+ 32088: 0c21.*
+ 32089: 6fb6.*
+ 3208a: 0e21.*
+ 3208b: 6fb6.*
+ 3208c: 0f21.*
+ 3208d: 6fb6.*
+ 3208e: 0f21.*
+ 3208f: 6fb6.*
+ 32090: 0c22.*
+ 32091: 6fb6.*
+ 32092: 0d22.*
+ 32093: 6fb6.*
+ 32094: 0c22.*
+ 32095: 6fb6.*
+ 32096: 0e22.*
+ 32097: 6fb6.*
+ 32098: 0f22.*
+ 32099: 6fb6.*
+ 3209a: 0f22.*
+ 3209b: 6fb6.*
+ 3209c: 0c23.*
+ 3209d: 6fb6.*
+ 3209e: 0d23.*
+ 3209f: 6fb6.*
+ 320a0: 0c23.*
+ 320a1: 6fb6.*
+ 320a2: 0e23.*
+ 320a3: 6fb6.*
+ 320a4: 0f23.*
+ 320a5: 6fb6.*
+ 320a6: 0f23.*
+ 320a7: 6fb6.*
+ 320a8: 0c24.*
+ 320a9: 6fb6.*
+ 320aa: 0d24.*
+ 320ab: 6fb6.*
+ 320ac: 0c24.*
+ 320ad: 6fb6.*
+ 320ae: 0e24.*
+ 320af: 6fb6.*
+ 320b0: 0f24.*
+ 320b1: 6fb6.*
+ 320b2: 0f24.*
+ 320b3: 6fb6.*
+ 320b4: 0c25.*
+ 320b5: 6fb6.*
+ 320b6: 0d25.*
+ 320b7: 6fb6.*
+ 320b8: 0c25.*
+ 320b9: 6fb6.*
+ 320ba: 0e25.*
+ 320bb: 6fb6.*
+ 320bc: 0f25.*
+ 320bd: 6fb6.*
+ 320be: 0f25.*
+ 320bf: 6fb6.*
+ 320c0: 0c26.*
+ 320c1: 6fb6.*
+ 320c2: 0d26.*
+ 320c3: 6fb6.*
+ 320c4: 0c26.*
+ 320c5: 6fb6.*
+ 320c6: 0e26.*
+ 320c7: 6fb6.*
+ 320c8: 0f26.*
+ 320c9: 6fb6.*
+ 320ca: 0f26.*
+ 320cb: 6fb6.*
+ 320cc: 0c27.*
+ 320cd: 6fb6.*
+ 320ce: 0d27.*
+ 320cf: 6fb6.*
+ 320d0: 0c27.*
+ 320d1: 6fb6.*
+ 320d2: 0e27.*
+ 320d3: 6fb6.*
+ 320d4: 0f27.*
+ 320d5: 6fb6.*
+ 320d6: 0f27.*
+ 320d7: 6fb6.*
+ 320d8: 0c28.*
+ 320d9: 6fb6.*
+ 320da: 0d28.*
+ 320db: 6fb6.*
+ 320dc: 0c28.*
+ 320dd: 6fb6.*
+ 320de: 0e28.*
+ 320df: 6fb6.*
+ 320e0: 0f28.*
+ 320e1: 6fb6.*
+ 320e2: 0f28.*
+ 320e3: 6fb6.*
+ 320e4: 0c29.*
+ 320e5: 6fb6.*
+ 320e6: 0d29.*
+ 320e7: 6fb6.*
+ 320e8: 0c29.*
+ 320e9: 6fb6.*
+ 320ea: 0e29.*
+ 320eb: 6fb6.*
+ 320ec: 0f29.*
+ 320ed: 6fb6.*
+ 320ee: 0f29.*
+ 320ef: 6fb6.*
+ 320f0: 0c2a.*
+ 320f1: 6fb6.*
+ 320f2: 0d2a.*
+ 320f3: 6fb6.*
+ 320f4: 0c2a.*
+ 320f5: 6fb6.*
+ 320f6: 0e2a.*
+ 320f7: 6fb6.*
+ 320f8: 0f2a.*
+ 320f9: 6fb6.*
+ 320fa: 0f2a.*
+ 320fb: 6fb6.*
+ 320fc: 0c2b.*
+ 320fd: 6fb6.*
+ 320fe: 0d2b.*
+ 320ff: 6fb6.*
+ 32100: 0c2b.*
+ 32101: 6fb6.*
+ 32102: 0e2b.*
+ 32103: 6fb6.*
+ 32104: 0f2b.*
+ 32105: 6fb6.*
+ 32106: 0f2b.*
+ 32107: 6fb6.*
+ 32108: 0c2c.*
+ 32109: 6fb6.*
+ 3210a: 0d2c.*
+ 3210b: 6fb6.*
+ 3210c: 0c2c.*
+ 3210d: 6fb6.*
+ 3210e: 0e2c.*
+ 3210f: 6fb6.*
+ 32110: 0f2c.*
+ 32111: 6fb6.*
+ 32112: 0f2c.*
+ 32113: 6fb6.*
+ 32114: 0c2d.*
+ 32115: 6fb6.*
+ 32116: 0d2d.*
+ 32117: 6fb6.*
+ 32118: 0c2d.*
+ 32119: 6fb6.*
+ 3211a: 0e2d.*
+ 3211b: 6fb6.*
+ 3211c: 0f2d.*
+ 3211d: 6fb6.*
+ 3211e: 0f2d.*
+ 3211f: 6fb6.*
+ 32120: 0c2e.*
+ 32121: 6fb6.*
+ 32122: 0d2e.*
+ 32123: 6fb6.*
+ 32124: 0c2e.*
+ 32125: 6fb6.*
+ 32126: 0e2e.*
+ 32127: 6fb6.*
+ 32128: 0f2e.*
+ 32129: 6fb6.*
+ 3212a: 0f2e.*
+ 3212b: 6fb6.*
+ 3212c: 0c2f.*
+ 3212d: 6fb6.*
+ 3212e: 0d2f.*
+ 3212f: 6fb6.*
+ 32130: 0c2f.*
+ 32131: 6fb6.*
+ 32132: 0e2f.*
+ 32133: 6fb6.*
+ 32134: 0f2f.*
+ 32135: 6fb6.*
+ 32136: 0f2f.*
+ 32137: 08be.*
+ 32138: 6fbe.*
+ 32139: 0d20.*
+ 3213a: 08be.*
+ 3213b: 6fbe.*
+ 3213c: 0e20.*
+ 3213d: 09be.*
+ 3213e: 09be.*
+ 3213f: 6fbe.*
+ 32140: 0c30.*
+ 32141: 6fbe.*
+ 32142: 0d30.*
+ 32143: 6fbe.*
+ 32144: 0c30.*
+ 32145: 6fbe.*
+ 32146: 0e30.*
+ 32147: 6fbe.*
+ 32148: 0f30.*
+ 32149: 6fbe.*
+ 3214a: 0f30.*
+ 3214b: 6fbe.*
+ 3214c: 0c31.*
+ 3214d: 6fbe.*
+ 3214e: 0d31.*
+ 3214f: 6fbe.*
+ 32150: 0c31.*
+ 32151: 6fbe.*
+ 32152: 0e31.*
+ 32153: 6fbe.*
+ 32154: 0f31.*
+ 32155: 6fbe.*
+ 32156: 0f31.*
+ 32157: 6fbe.*
+ 32158: 0c32.*
+ 32159: 6fbe.*
+ 3215a: 0d32.*
+ 3215b: 6fbe.*
+ 3215c: 0c32.*
+ 3215d: 6fbe.*
+ 3215e: 0e32.*
+ 3215f: 6fbe.*
+ 32160: 0f32.*
+ 32161: 6fbe.*
+ 32162: 0f32.*
+ 32163: 6fbe.*
+ 32164: 0c33.*
+ 32165: 6fbe.*
+ 32166: 0d33.*
+ 32167: 6fbe.*
+ 32168: 0c33.*
+ 32169: 6fbe.*
+ 3216a: 0e33.*
+ 3216b: 6fbe.*
+ 3216c: 0f33.*
+ 3216d: 6fbe.*
+ 3216e: 0f33.*
+ 3216f: 6fbe.*
+ 32170: 0c34.*
+ 32171: 6fbe.*
+ 32172: 0d34.*
+ 32173: 6fbe.*
+ 32174: 0c34.*
+ 32175: 6fbe.*
+ 32176: 0e34.*
+ 32177: 6fbe.*
+ 32178: 0f34.*
+ 32179: 6fbe.*
+ 3217a: 0f34.*
+ 3217b: 6fbe.*
+ 3217c: 0c35.*
+ 3217d: 6fbe.*
+ 3217e: 0d35.*
+ 3217f: 6fbe.*
+ 32180: 0c35.*
+ 32181: 6fbe.*
+ 32182: 0e35.*
+ 32183: 6fbe.*
+ 32184: 0f35.*
+ 32185: 6fbe.*
+ 32186: 0f35.*
+ 32187: 6fbe.*
+ 32188: 0c36.*
+ 32189: 6fbe.*
+ 3218a: 0d36.*
+ 3218b: 6fbe.*
+ 3218c: 0c36.*
+ 3218d: 6fbe.*
+ 3218e: 0e36.*
+ 3218f: 6fbe.*
+ 32190: 0f36.*
+ 32191: 6fbe.*
+ 32192: 0f36.*
+ 32193: 6fbe.*
+ 32194: 0c37.*
+ 32195: 6fbe.*
+ 32196: 0d37.*
+ 32197: 6fbe.*
+ 32198: 0c37.*
+ 32199: 6fbe.*
+ 3219a: 0e37.*
+ 3219b: 6fbe.*
+ 3219c: 0f37.*
+ 3219d: 6fbe.*
+ 3219e: 0f37.*
+ 3219f: 6fbe.*
+ 321a0: 0c38.*
+ 321a1: 6fbe.*
+ 321a2: 0d38.*
+ 321a3: 6fbe.*
+ 321a4: 0c38.*
+ 321a5: 6fbe.*
+ 321a6: 0e38.*
+ 321a7: 6fbe.*
+ 321a8: 0f38.*
+ 321a9: 6fbe.*
+ 321aa: 0f38.*
+ 321ab: 6fbe.*
+ 321ac: 0c39.*
+ 321ad: 6fbe.*
+ 321ae: 0d39.*
+ 321af: 6fbe.*
+ 321b0: 0c39.*
+ 321b1: 6fbe.*
+ 321b2: 0e39.*
+ 321b3: 6fbe.*
+ 321b4: 0f39.*
+ 321b5: 6fbe.*
+ 321b6: 0f39.*
+ 321b7: 6fbe.*
+ 321b8: 0c3a.*
+ 321b9: 6fbe.*
+ 321ba: 0d3a.*
+ 321bb: 6fbe.*
+ 321bc: 0c3a.*
+ 321bd: 6fbe.*
+ 321be: 0e3a.*
+ 321bf: 6fbe.*
+ 321c0: 0f3a.*
+ 321c1: 6fbe.*
+ 321c2: 0f3a.*
+ 321c3: 6fbe.*
+ 321c4: 0c3b.*
+ 321c5: 6fbe.*
+ 321c6: 0d3b.*
+ 321c7: 6fbe.*
+ 321c8: 0c3b.*
+ 321c9: 6fbe.*
+ 321ca: 0e3b.*
+ 321cb: 6fbe.*
+ 321cc: 0f3b.*
+ 321cd: 6fbe.*
+ 321ce: 0f3b.*
+ 321cf: 6fbe.*
+ 321d0: 0c3c.*
+ 321d1: 6fbe.*
+ 321d2: 0d3c.*
+ 321d3: 6fbe.*
+ 321d4: 0c3c.*
+ 321d5: 6fbe.*
+ 321d6: 0e3c.*
+ 321d7: 6fbe.*
+ 321d8: 0f3c.*
+ 321d9: 6fbe.*
+ 321da: 0f3c.*
+ 321db: 6fbe.*
+ 321dc: 0c3d.*
+ 321dd: 6fbe.*
+ 321de: 0d3d.*
+ 321df: 6fbe.*
+ 321e0: 0c3d.*
+ 321e1: 6fbe.*
+ 321e2: 0e3d.*
+ 321e3: 6fbe.*
+ 321e4: 0f3d.*
+ 321e5: 6fbe.*
+ 321e6: 0f3d.*
+ 321e7: 6fbe.*
+ 321e8: 0c3e.*
+ 321e9: 6fbe.*
+ 321ea: 0d3e.*
+ 321eb: 6fbe.*
+ 321ec: 0c3e.*
+ 321ed: 6fbe.*
+ 321ee: 0e3e.*
+ 321ef: 6fbe.*
+ 321f0: 0f3e.*
+ 321f1: 6fbe.*
+ 321f2: 0f3e.*
+ 321f3: 6fbe.*
+ 321f4: 0c3f.*
+ 321f5: 6fbe.*
+ 321f6: 0d3f.*
+ 321f7: 6fbe.*
+ 321f8: 0c3f.*
+ 321f9: 6fbe.*
+ 321fa: 0e3f.*
+ 321fb: 6fbe.*
+ 321fc: 0f3f.*
+ 321fd: 6fbe.*
+ 321fe: 0f3f.*
+ 321ff: 08be.*
+ 32200: 6fbe.*
+ 32201: 0d20.*
+ 32202: 08be.*
+ 32203: 6fbe.*
+ 32204: 0e20.*
+ 32205: 09be.*
+ 32206: 09be.*
+ 32207: 6fbe.*
+ 32208: 0c21.*
+ 32209: 6fbe.*
+ 3220a: 0d21.*
+ 3220b: 6fbe.*
+ 3220c: 0c21.*
+ 3220d: 6fbe.*
+ 3220e: 0e21.*
+ 3220f: 6fbe.*
+ 32210: 0f21.*
+ 32211: 6fbe.*
+ 32212: 0f21.*
+ 32213: 6fbe.*
+ 32214: 0c22.*
+ 32215: 6fbe.*
+ 32216: 0d22.*
+ 32217: 6fbe.*
+ 32218: 0c22.*
+ 32219: 6fbe.*
+ 3221a: 0e22.*
+ 3221b: 6fbe.*
+ 3221c: 0f22.*
+ 3221d: 6fbe.*
+ 3221e: 0f22.*
+ 3221f: 6fbe.*
+ 32220: 0c23.*
+ 32221: 6fbe.*
+ 32222: 0d23.*
+ 32223: 6fbe.*
+ 32224: 0c23.*
+ 32225: 6fbe.*
+ 32226: 0e23.*
+ 32227: 6fbe.*
+ 32228: 0f23.*
+ 32229: 6fbe.*
+ 3222a: 0f23.*
+ 3222b: 6fbe.*
+ 3222c: 0c24.*
+ 3222d: 6fbe.*
+ 3222e: 0d24.*
+ 3222f: 6fbe.*
+ 32230: 0c24.*
+ 32231: 6fbe.*
+ 32232: 0e24.*
+ 32233: 6fbe.*
+ 32234: 0f24.*
+ 32235: 6fbe.*
+ 32236: 0f24.*
+ 32237: 6fbe.*
+ 32238: 0c25.*
+ 32239: 6fbe.*
+ 3223a: 0d25.*
+ 3223b: 6fbe.*
+ 3223c: 0c25.*
+ 3223d: 6fbe.*
+ 3223e: 0e25.*
+ 3223f: 6fbe.*
+ 32240: 0f25.*
+ 32241: 6fbe.*
+ 32242: 0f25.*
+ 32243: 6fbe.*
+ 32244: 0c26.*
+ 32245: 6fbe.*
+ 32246: 0d26.*
+ 32247: 6fbe.*
+ 32248: 0c26.*
+ 32249: 6fbe.*
+ 3224a: 0e26.*
+ 3224b: 6fbe.*
+ 3224c: 0f26.*
+ 3224d: 6fbe.*
+ 3224e: 0f26.*
+ 3224f: 6fbe.*
+ 32250: 0c27.*
+ 32251: 6fbe.*
+ 32252: 0d27.*
+ 32253: 6fbe.*
+ 32254: 0c27.*
+ 32255: 6fbe.*
+ 32256: 0e27.*
+ 32257: 6fbe.*
+ 32258: 0f27.*
+ 32259: 6fbe.*
+ 3225a: 0f27.*
+ 3225b: 6fbe.*
+ 3225c: 0c28.*
+ 3225d: 6fbe.*
+ 3225e: 0d28.*
+ 3225f: 6fbe.*
+ 32260: 0c28.*
+ 32261: 6fbe.*
+ 32262: 0e28.*
+ 32263: 6fbe.*
+ 32264: 0f28.*
+ 32265: 6fbe.*
+ 32266: 0f28.*
+ 32267: 6fbe.*
+ 32268: 0c29.*
+ 32269: 6fbe.*
+ 3226a: 0d29.*
+ 3226b: 6fbe.*
+ 3226c: 0c29.*
+ 3226d: 6fbe.*
+ 3226e: 0e29.*
+ 3226f: 6fbe.*
+ 32270: 0f29.*
+ 32271: 6fbe.*
+ 32272: 0f29.*
+ 32273: 6fbe.*
+ 32274: 0c2a.*
+ 32275: 6fbe.*
+ 32276: 0d2a.*
+ 32277: 6fbe.*
+ 32278: 0c2a.*
+ 32279: 6fbe.*
+ 3227a: 0e2a.*
+ 3227b: 6fbe.*
+ 3227c: 0f2a.*
+ 3227d: 6fbe.*
+ 3227e: 0f2a.*
+ 3227f: 6fbe.*
+ 32280: 0c2b.*
+ 32281: 6fbe.*
+ 32282: 0d2b.*
+ 32283: 6fbe.*
+ 32284: 0c2b.*
+ 32285: 6fbe.*
+ 32286: 0e2b.*
+ 32287: 6fbe.*
+ 32288: 0f2b.*
+ 32289: 6fbe.*
+ 3228a: 0f2b.*
+ 3228b: 6fbe.*
+ 3228c: 0c2c.*
+ 3228d: 6fbe.*
+ 3228e: 0d2c.*
+ 3228f: 6fbe.*
+ 32290: 0c2c.*
+ 32291: 6fbe.*
+ 32292: 0e2c.*
+ 32293: 6fbe.*
+ 32294: 0f2c.*
+ 32295: 6fbe.*
+ 32296: 0f2c.*
+ 32297: 6fbe.*
+ 32298: 0c2d.*
+ 32299: 6fbe.*
+ 3229a: 0d2d.*
+ 3229b: 6fbe.*
+ 3229c: 0c2d.*
+ 3229d: 6fbe.*
+ 3229e: 0e2d.*
+ 3229f: 6fbe.*
+ 322a0: 0f2d.*
+ 322a1: 6fbe.*
+ 322a2: 0f2d.*
+ 322a3: 6fbe.*
+ 322a4: 0c2e.*
+ 322a5: 6fbe.*
+ 322a6: 0d2e.*
+ 322a7: 6fbe.*
+ 322a8: 0c2e.*
+ 322a9: 6fbe.*
+ 322aa: 0e2e.*
+ 322ab: 6fbe.*
+ 322ac: 0f2e.*
+ 322ad: 6fbe.*
+ 322ae: 0f2e.*
+ 322af: 6fbe.*
+ 322b0: 0c2f.*
+ 322b1: 6fbe.*
+ 322b2: 0d2f.*
+ 322b3: 6fbe.*
+ 322b4: 0c2f.*
+ 322b5: 6fbe.*
+ 322b6: 0e2f.*
+ 322b7: 6fbe.*
+ 322b8: 0f2f.*
+ 322b9: 6fbe.*
+ 322ba: 0f2f.*
+ 322bb: 08c6.*
+ 322bc: 6fc6.*
+ 322bd: 0d20.*
+ 322be: 08c6.*
+ 322bf: 6fc6.*
+ 322c0: 0e20.*
+ 322c1: 09c6.*
+ 322c2: 09c6.*
+ 322c3: 6fc6.*
+ 322c4: 0c30.*
+ 322c5: 6fc6.*
+ 322c6: 0d30.*
+ 322c7: 6fc6.*
+ 322c8: 0c30.*
+ 322c9: 6fc6.*
+ 322ca: 0e30.*
+ 322cb: 6fc6.*
+ 322cc: 0f30.*
+ 322cd: 6fc6.*
+ 322ce: 0f30.*
+ 322cf: 6fc6.*
+ 322d0: 0c31.*
+ 322d1: 6fc6.*
+ 322d2: 0d31.*
+ 322d3: 6fc6.*
+ 322d4: 0c31.*
+ 322d5: 6fc6.*
+ 322d6: 0e31.*
+ 322d7: 6fc6.*
+ 322d8: 0f31.*
+ 322d9: 6fc6.*
+ 322da: 0f31.*
+ 322db: 6fc6.*
+ 322dc: 0c32.*
+ 322dd: 6fc6.*
+ 322de: 0d32.*
+ 322df: 6fc6.*
+ 322e0: 0c32.*
+ 322e1: 6fc6.*
+ 322e2: 0e32.*
+ 322e3: 6fc6.*
+ 322e4: 0f32.*
+ 322e5: 6fc6.*
+ 322e6: 0f32.*
+ 322e7: 6fc6.*
+ 322e8: 0c33.*
+ 322e9: 6fc6.*
+ 322ea: 0d33.*
+ 322eb: 6fc6.*
+ 322ec: 0c33.*
+ 322ed: 6fc6.*
+ 322ee: 0e33.*
+ 322ef: 6fc6.*
+ 322f0: 0f33.*
+ 322f1: 6fc6.*
+ 322f2: 0f33.*
+ 322f3: 6fc6.*
+ 322f4: 0c34.*
+ 322f5: 6fc6.*
+ 322f6: 0d34.*
+ 322f7: 6fc6.*
+ 322f8: 0c34.*
+ 322f9: 6fc6.*
+ 322fa: 0e34.*
+ 322fb: 6fc6.*
+ 322fc: 0f34.*
+ 322fd: 6fc6.*
+ 322fe: 0f34.*
+ 322ff: 6fc6.*
+ 32300: 0c35.*
+ 32301: 6fc6.*
+ 32302: 0d35.*
+ 32303: 6fc6.*
+ 32304: 0c35.*
+ 32305: 6fc6.*
+ 32306: 0e35.*
+ 32307: 6fc6.*
+ 32308: 0f35.*
+ 32309: 6fc6.*
+ 3230a: 0f35.*
+ 3230b: 6fc6.*
+ 3230c: 0c36.*
+ 3230d: 6fc6.*
+ 3230e: 0d36.*
+ 3230f: 6fc6.*
+ 32310: 0c36.*
+ 32311: 6fc6.*
+ 32312: 0e36.*
+ 32313: 6fc6.*
+ 32314: 0f36.*
+ 32315: 6fc6.*
+ 32316: 0f36.*
+ 32317: 6fc6.*
+ 32318: 0c37.*
+ 32319: 6fc6.*
+ 3231a: 0d37.*
+ 3231b: 6fc6.*
+ 3231c: 0c37.*
+ 3231d: 6fc6.*
+ 3231e: 0e37.*
+ 3231f: 6fc6.*
+ 32320: 0f37.*
+ 32321: 6fc6.*
+ 32322: 0f37.*
+ 32323: 6fc6.*
+ 32324: 0c38.*
+ 32325: 6fc6.*
+ 32326: 0d38.*
+ 32327: 6fc6.*
+ 32328: 0c38.*
+ 32329: 6fc6.*
+ 3232a: 0e38.*
+ 3232b: 6fc6.*
+ 3232c: 0f38.*
+ 3232d: 6fc6.*
+ 3232e: 0f38.*
+ 3232f: 6fc6.*
+ 32330: 0c39.*
+ 32331: 6fc6.*
+ 32332: 0d39.*
+ 32333: 6fc6.*
+ 32334: 0c39.*
+ 32335: 6fc6.*
+ 32336: 0e39.*
+ 32337: 6fc6.*
+ 32338: 0f39.*
+ 32339: 6fc6.*
+ 3233a: 0f39.*
+ 3233b: 6fc6.*
+ 3233c: 0c3a.*
+ 3233d: 6fc6.*
+ 3233e: 0d3a.*
+ 3233f: 6fc6.*
+ 32340: 0c3a.*
+ 32341: 6fc6.*
+ 32342: 0e3a.*
+ 32343: 6fc6.*
+ 32344: 0f3a.*
+ 32345: 6fc6.*
+ 32346: 0f3a.*
+ 32347: 6fc6.*
+ 32348: 0c3b.*
+ 32349: 6fc6.*
+ 3234a: 0d3b.*
+ 3234b: 6fc6.*
+ 3234c: 0c3b.*
+ 3234d: 6fc6.*
+ 3234e: 0e3b.*
+ 3234f: 6fc6.*
+ 32350: 0f3b.*
+ 32351: 6fc6.*
+ 32352: 0f3b.*
+ 32353: 6fc6.*
+ 32354: 0c3c.*
+ 32355: 6fc6.*
+ 32356: 0d3c.*
+ 32357: 6fc6.*
+ 32358: 0c3c.*
+ 32359: 6fc6.*
+ 3235a: 0e3c.*
+ 3235b: 6fc6.*
+ 3235c: 0f3c.*
+ 3235d: 6fc6.*
+ 3235e: 0f3c.*
+ 3235f: 6fc6.*
+ 32360: 0c3d.*
+ 32361: 6fc6.*
+ 32362: 0d3d.*
+ 32363: 6fc6.*
+ 32364: 0c3d.*
+ 32365: 6fc6.*
+ 32366: 0e3d.*
+ 32367: 6fc6.*
+ 32368: 0f3d.*
+ 32369: 6fc6.*
+ 3236a: 0f3d.*
+ 3236b: 6fc6.*
+ 3236c: 0c3e.*
+ 3236d: 6fc6.*
+ 3236e: 0d3e.*
+ 3236f: 6fc6.*
+ 32370: 0c3e.*
+ 32371: 6fc6.*
+ 32372: 0e3e.*
+ 32373: 6fc6.*
+ 32374: 0f3e.*
+ 32375: 6fc6.*
+ 32376: 0f3e.*
+ 32377: 6fc6.*
+ 32378: 0c3f.*
+ 32379: 6fc6.*
+ 3237a: 0d3f.*
+ 3237b: 6fc6.*
+ 3237c: 0c3f.*
+ 3237d: 6fc6.*
+ 3237e: 0e3f.*
+ 3237f: 6fc6.*
+ 32380: 0f3f.*
+ 32381: 6fc6.*
+ 32382: 0f3f.*
+ 32383: 08c6.*
+ 32384: 6fc6.*
+ 32385: 0d20.*
+ 32386: 08c6.*
+ 32387: 6fc6.*
+ 32388: 0e20.*
+ 32389: 09c6.*
+ 3238a: 09c6.*
+ 3238b: 6fc6.*
+ 3238c: 0c21.*
+ 3238d: 6fc6.*
+ 3238e: 0d21.*
+ 3238f: 6fc6.*
+ 32390: 0c21.*
+ 32391: 6fc6.*
+ 32392: 0e21.*
+ 32393: 6fc6.*
+ 32394: 0f21.*
+ 32395: 6fc6.*
+ 32396: 0f21.*
+ 32397: 6fc6.*
+ 32398: 0c22.*
+ 32399: 6fc6.*
+ 3239a: 0d22.*
+ 3239b: 6fc6.*
+ 3239c: 0c22.*
+ 3239d: 6fc6.*
+ 3239e: 0e22.*
+ 3239f: 6fc6.*
+ 323a0: 0f22.*
+ 323a1: 6fc6.*
+ 323a2: 0f22.*
+ 323a3: 6fc6.*
+ 323a4: 0c23.*
+ 323a5: 6fc6.*
+ 323a6: 0d23.*
+ 323a7: 6fc6.*
+ 323a8: 0c23.*
+ 323a9: 6fc6.*
+ 323aa: 0e23.*
+ 323ab: 6fc6.*
+ 323ac: 0f23.*
+ 323ad: 6fc6.*
+ 323ae: 0f23.*
+ 323af: 6fc6.*
+ 323b0: 0c24.*
+ 323b1: 6fc6.*
+ 323b2: 0d24.*
+ 323b3: 6fc6.*
+ 323b4: 0c24.*
+ 323b5: 6fc6.*
+ 323b6: 0e24.*
+ 323b7: 6fc6.*
+ 323b8: 0f24.*
+ 323b9: 6fc6.*
+ 323ba: 0f24.*
+ 323bb: 6fc6.*
+ 323bc: 0c25.*
+ 323bd: 6fc6.*
+ 323be: 0d25.*
+ 323bf: 6fc6.*
+ 323c0: 0c25.*
+ 323c1: 6fc6.*
+ 323c2: 0e25.*
+ 323c3: 6fc6.*
+ 323c4: 0f25.*
+ 323c5: 6fc6.*
+ 323c6: 0f25.*
+ 323c7: 6fc6.*
+ 323c8: 0c26.*
+ 323c9: 6fc6.*
+ 323ca: 0d26.*
+ 323cb: 6fc6.*
+ 323cc: 0c26.*
+ 323cd: 6fc6.*
+ 323ce: 0e26.*
+ 323cf: 6fc6.*
+ 323d0: 0f26.*
+ 323d1: 6fc6.*
+ 323d2: 0f26.*
+ 323d3: 6fc6.*
+ 323d4: 0c27.*
+ 323d5: 6fc6.*
+ 323d6: 0d27.*
+ 323d7: 6fc6.*
+ 323d8: 0c27.*
+ 323d9: 6fc6.*
+ 323da: 0e27.*
+ 323db: 6fc6.*
+ 323dc: 0f27.*
+ 323dd: 6fc6.*
+ 323de: 0f27.*
+ 323df: 6fc6.*
+ 323e0: 0c28.*
+ 323e1: 6fc6.*
+ 323e2: 0d28.*
+ 323e3: 6fc6.*
+ 323e4: 0c28.*
+ 323e5: 6fc6.*
+ 323e6: 0e28.*
+ 323e7: 6fc6.*
+ 323e8: 0f28.*
+ 323e9: 6fc6.*
+ 323ea: 0f28.*
+ 323eb: 6fc6.*
+ 323ec: 0c29.*
+ 323ed: 6fc6.*
+ 323ee: 0d29.*
+ 323ef: 6fc6.*
+ 323f0: 0c29.*
+ 323f1: 6fc6.*
+ 323f2: 0e29.*
+ 323f3: 6fc6.*
+ 323f4: 0f29.*
+ 323f5: 6fc6.*
+ 323f6: 0f29.*
+ 323f7: 6fc6.*
+ 323f8: 0c2a.*
+ 323f9: 6fc6.*
+ 323fa: 0d2a.*
+ 323fb: 6fc6.*
+ 323fc: 0c2a.*
+ 323fd: 6fc6.*
+ 323fe: 0e2a.*
+ 323ff: 6fc6.*
+ 32400: 0f2a.*
+ 32401: 6fc6.*
+ 32402: 0f2a.*
+ 32403: 6fc6.*
+ 32404: 0c2b.*
+ 32405: 6fc6.*
+ 32406: 0d2b.*
+ 32407: 6fc6.*
+ 32408: 0c2b.*
+ 32409: 6fc6.*
+ 3240a: 0e2b.*
+ 3240b: 6fc6.*
+ 3240c: 0f2b.*
+ 3240d: 6fc6.*
+ 3240e: 0f2b.*
+ 3240f: 6fc6.*
+ 32410: 0c2c.*
+ 32411: 6fc6.*
+ 32412: 0d2c.*
+ 32413: 6fc6.*
+ 32414: 0c2c.*
+ 32415: 6fc6.*
+ 32416: 0e2c.*
+ 32417: 6fc6.*
+ 32418: 0f2c.*
+ 32419: 6fc6.*
+ 3241a: 0f2c.*
+ 3241b: 6fc6.*
+ 3241c: 0c2d.*
+ 3241d: 6fc6.*
+ 3241e: 0d2d.*
+ 3241f: 6fc6.*
+ 32420: 0c2d.*
+ 32421: 6fc6.*
+ 32422: 0e2d.*
+ 32423: 6fc6.*
+ 32424: 0f2d.*
+ 32425: 6fc6.*
+ 32426: 0f2d.*
+ 32427: 6fc6.*
+ 32428: 0c2e.*
+ 32429: 6fc6.*
+ 3242a: 0d2e.*
+ 3242b: 6fc6.*
+ 3242c: 0c2e.*
+ 3242d: 6fc6.*
+ 3242e: 0e2e.*
+ 3242f: 6fc6.*
+ 32430: 0f2e.*
+ 32431: 6fc6.*
+ 32432: 0f2e.*
+ 32433: 6fc6.*
+ 32434: 0c2f.*
+ 32435: 6fc6.*
+ 32436: 0d2f.*
+ 32437: 6fc6.*
+ 32438: 0c2f.*
+ 32439: 6fc6.*
+ 3243a: 0e2f.*
+ 3243b: 6fc6.*
+ 3243c: 0f2f.*
+ 3243d: 6fc6.*
+ 3243e: 0f2f.*
+ 3243f: 08ce.*
+ 32440: 6fce.*
+ 32441: 0d20.*
+ 32442: 08ce.*
+ 32443: 6fce.*
+ 32444: 0e20.*
+ 32445: 09ce.*
+ 32446: 09ce.*
+ 32447: 6fce.*
+ 32448: 0c30.*
+ 32449: 6fce.*
+ 3244a: 0d30.*
+ 3244b: 6fce.*
+ 3244c: 0c30.*
+ 3244d: 6fce.*
+ 3244e: 0e30.*
+ 3244f: 6fce.*
+ 32450: 0f30.*
+ 32451: 6fce.*
+ 32452: 0f30.*
+ 32453: 6fce.*
+ 32454: 0c31.*
+ 32455: 6fce.*
+ 32456: 0d31.*
+ 32457: 6fce.*
+ 32458: 0c31.*
+ 32459: 6fce.*
+ 3245a: 0e31.*
+ 3245b: 6fce.*
+ 3245c: 0f31.*
+ 3245d: 6fce.*
+ 3245e: 0f31.*
+ 3245f: 6fce.*
+ 32460: 0c32.*
+ 32461: 6fce.*
+ 32462: 0d32.*
+ 32463: 6fce.*
+ 32464: 0c32.*
+ 32465: 6fce.*
+ 32466: 0e32.*
+ 32467: 6fce.*
+ 32468: 0f32.*
+ 32469: 6fce.*
+ 3246a: 0f32.*
+ 3246b: 6fce.*
+ 3246c: 0c33.*
+ 3246d: 6fce.*
+ 3246e: 0d33.*
+ 3246f: 6fce.*
+ 32470: 0c33.*
+ 32471: 6fce.*
+ 32472: 0e33.*
+ 32473: 6fce.*
+ 32474: 0f33.*
+ 32475: 6fce.*
+ 32476: 0f33.*
+ 32477: 6fce.*
+ 32478: 0c34.*
+ 32479: 6fce.*
+ 3247a: 0d34.*
+ 3247b: 6fce.*
+ 3247c: 0c34.*
+ 3247d: 6fce.*
+ 3247e: 0e34.*
+ 3247f: 6fce.*
+ 32480: 0f34.*
+ 32481: 6fce.*
+ 32482: 0f34.*
+ 32483: 6fce.*
+ 32484: 0c35.*
+ 32485: 6fce.*
+ 32486: 0d35.*
+ 32487: 6fce.*
+ 32488: 0c35.*
+ 32489: 6fce.*
+ 3248a: 0e35.*
+ 3248b: 6fce.*
+ 3248c: 0f35.*
+ 3248d: 6fce.*
+ 3248e: 0f35.*
+ 3248f: 6fce.*
+ 32490: 0c36.*
+ 32491: 6fce.*
+ 32492: 0d36.*
+ 32493: 6fce.*
+ 32494: 0c36.*
+ 32495: 6fce.*
+ 32496: 0e36.*
+ 32497: 6fce.*
+ 32498: 0f36.*
+ 32499: 6fce.*
+ 3249a: 0f36.*
+ 3249b: 6fce.*
+ 3249c: 0c37.*
+ 3249d: 6fce.*
+ 3249e: 0d37.*
+ 3249f: 6fce.*
+ 324a0: 0c37.*
+ 324a1: 6fce.*
+ 324a2: 0e37.*
+ 324a3: 6fce.*
+ 324a4: 0f37.*
+ 324a5: 6fce.*
+ 324a6: 0f37.*
+ 324a7: 6fce.*
+ 324a8: 0c38.*
+ 324a9: 6fce.*
+ 324aa: 0d38.*
+ 324ab: 6fce.*
+ 324ac: 0c38.*
+ 324ad: 6fce.*
+ 324ae: 0e38.*
+ 324af: 6fce.*
+ 324b0: 0f38.*
+ 324b1: 6fce.*
+ 324b2: 0f38.*
+ 324b3: 6fce.*
+ 324b4: 0c39.*
+ 324b5: 6fce.*
+ 324b6: 0d39.*
+ 324b7: 6fce.*
+ 324b8: 0c39.*
+ 324b9: 6fce.*
+ 324ba: 0e39.*
+ 324bb: 6fce.*
+ 324bc: 0f39.*
+ 324bd: 6fce.*
+ 324be: 0f39.*
+ 324bf: 6fce.*
+ 324c0: 0c3a.*
+ 324c1: 6fce.*
+ 324c2: 0d3a.*
+ 324c3: 6fce.*
+ 324c4: 0c3a.*
+ 324c5: 6fce.*
+ 324c6: 0e3a.*
+ 324c7: 6fce.*
+ 324c8: 0f3a.*
+ 324c9: 6fce.*
+ 324ca: 0f3a.*
+ 324cb: 6fce.*
+ 324cc: 0c3b.*
+ 324cd: 6fce.*
+ 324ce: 0d3b.*
+ 324cf: 6fce.*
+ 324d0: 0c3b.*
+ 324d1: 6fce.*
+ 324d2: 0e3b.*
+ 324d3: 6fce.*
+ 324d4: 0f3b.*
+ 324d5: 6fce.*
+ 324d6: 0f3b.*
+ 324d7: 6fce.*
+ 324d8: 0c3c.*
+ 324d9: 6fce.*
+ 324da: 0d3c.*
+ 324db: 6fce.*
+ 324dc: 0c3c.*
+ 324dd: 6fce.*
+ 324de: 0e3c.*
+ 324df: 6fce.*
+ 324e0: 0f3c.*
+ 324e1: 6fce.*
+ 324e2: 0f3c.*
+ 324e3: 6fce.*
+ 324e4: 0c3d.*
+ 324e5: 6fce.*
+ 324e6: 0d3d.*
+ 324e7: 6fce.*
+ 324e8: 0c3d.*
+ 324e9: 6fce.*
+ 324ea: 0e3d.*
+ 324eb: 6fce.*
+ 324ec: 0f3d.*
+ 324ed: 6fce.*
+ 324ee: 0f3d.*
+ 324ef: 6fce.*
+ 324f0: 0c3e.*
+ 324f1: 6fce.*
+ 324f2: 0d3e.*
+ 324f3: 6fce.*
+ 324f4: 0c3e.*
+ 324f5: 6fce.*
+ 324f6: 0e3e.*
+ 324f7: 6fce.*
+ 324f8: 0f3e.*
+ 324f9: 6fce.*
+ 324fa: 0f3e.*
+ 324fb: 6fce.*
+ 324fc: 0c3f.*
+ 324fd: 6fce.*
+ 324fe: 0d3f.*
+ 324ff: 6fce.*
+ 32500: 0c3f.*
+ 32501: 6fce.*
+ 32502: 0e3f.*
+ 32503: 6fce.*
+ 32504: 0f3f.*
+ 32505: 6fce.*
+ 32506: 0f3f.*
+ 32507: 08ce.*
+ 32508: 6fce.*
+ 32509: 0d20.*
+ 3250a: 08ce.*
+ 3250b: 6fce.*
+ 3250c: 0e20.*
+ 3250d: 09ce.*
+ 3250e: 09ce.*
+ 3250f: 6fce.*
+ 32510: 0c21.*
+ 32511: 6fce.*
+ 32512: 0d21.*
+ 32513: 6fce.*
+ 32514: 0c21.*
+ 32515: 6fce.*
+ 32516: 0e21.*
+ 32517: 6fce.*
+ 32518: 0f21.*
+ 32519: 6fce.*
+ 3251a: 0f21.*
+ 3251b: 6fce.*
+ 3251c: 0c22.*
+ 3251d: 6fce.*
+ 3251e: 0d22.*
+ 3251f: 6fce.*
+ 32520: 0c22.*
+ 32521: 6fce.*
+ 32522: 0e22.*
+ 32523: 6fce.*
+ 32524: 0f22.*
+ 32525: 6fce.*
+ 32526: 0f22.*
+ 32527: 6fce.*
+ 32528: 0c23.*
+ 32529: 6fce.*
+ 3252a: 0d23.*
+ 3252b: 6fce.*
+ 3252c: 0c23.*
+ 3252d: 6fce.*
+ 3252e: 0e23.*
+ 3252f: 6fce.*
+ 32530: 0f23.*
+ 32531: 6fce.*
+ 32532: 0f23.*
+ 32533: 6fce.*
+ 32534: 0c24.*
+ 32535: 6fce.*
+ 32536: 0d24.*
+ 32537: 6fce.*
+ 32538: 0c24.*
+ 32539: 6fce.*
+ 3253a: 0e24.*
+ 3253b: 6fce.*
+ 3253c: 0f24.*
+ 3253d: 6fce.*
+ 3253e: 0f24.*
+ 3253f: 6fce.*
+ 32540: 0c25.*
+ 32541: 6fce.*
+ 32542: 0d25.*
+ 32543: 6fce.*
+ 32544: 0c25.*
+ 32545: 6fce.*
+ 32546: 0e25.*
+ 32547: 6fce.*
+ 32548: 0f25.*
+ 32549: 6fce.*
+ 3254a: 0f25.*
+ 3254b: 6fce.*
+ 3254c: 0c26.*
+ 3254d: 6fce.*
+ 3254e: 0d26.*
+ 3254f: 6fce.*
+ 32550: 0c26.*
+ 32551: 6fce.*
+ 32552: 0e26.*
+ 32553: 6fce.*
+ 32554: 0f26.*
+ 32555: 6fce.*
+ 32556: 0f26.*
+ 32557: 6fce.*
+ 32558: 0c27.*
+ 32559: 6fce.*
+ 3255a: 0d27.*
+ 3255b: 6fce.*
+ 3255c: 0c27.*
+ 3255d: 6fce.*
+ 3255e: 0e27.*
+ 3255f: 6fce.*
+ 32560: 0f27.*
+ 32561: 6fce.*
+ 32562: 0f27.*
+ 32563: 6fce.*
+ 32564: 0c28.*
+ 32565: 6fce.*
+ 32566: 0d28.*
+ 32567: 6fce.*
+ 32568: 0c28.*
+ 32569: 6fce.*
+ 3256a: 0e28.*
+ 3256b: 6fce.*
+ 3256c: 0f28.*
+ 3256d: 6fce.*
+ 3256e: 0f28.*
+ 3256f: 6fce.*
+ 32570: 0c29.*
+ 32571: 6fce.*
+ 32572: 0d29.*
+ 32573: 6fce.*
+ 32574: 0c29.*
+ 32575: 6fce.*
+ 32576: 0e29.*
+ 32577: 6fce.*
+ 32578: 0f29.*
+ 32579: 6fce.*
+ 3257a: 0f29.*
+ 3257b: 6fce.*
+ 3257c: 0c2a.*
+ 3257d: 6fce.*
+ 3257e: 0d2a.*
+ 3257f: 6fce.*
+ 32580: 0c2a.*
+ 32581: 6fce.*
+ 32582: 0e2a.*
+ 32583: 6fce.*
+ 32584: 0f2a.*
+ 32585: 6fce.*
+ 32586: 0f2a.*
+ 32587: 6fce.*
+ 32588: 0c2b.*
+ 32589: 6fce.*
+ 3258a: 0d2b.*
+ 3258b: 6fce.*
+ 3258c: 0c2b.*
+ 3258d: 6fce.*
+ 3258e: 0e2b.*
+ 3258f: 6fce.*
+ 32590: 0f2b.*
+ 32591: 6fce.*
+ 32592: 0f2b.*
+ 32593: 6fce.*
+ 32594: 0c2c.*
+ 32595: 6fce.*
+ 32596: 0d2c.*
+ 32597: 6fce.*
+ 32598: 0c2c.*
+ 32599: 6fce.*
+ 3259a: 0e2c.*
+ 3259b: 6fce.*
+ 3259c: 0f2c.*
+ 3259d: 6fce.*
+ 3259e: 0f2c.*
+ 3259f: 6fce.*
+ 325a0: 0c2d.*
+ 325a1: 6fce.*
+ 325a2: 0d2d.*
+ 325a3: 6fce.*
+ 325a4: 0c2d.*
+ 325a5: 6fce.*
+ 325a6: 0e2d.*
+ 325a7: 6fce.*
+ 325a8: 0f2d.*
+ 325a9: 6fce.*
+ 325aa: 0f2d.*
+ 325ab: 6fce.*
+ 325ac: 0c2e.*
+ 325ad: 6fce.*
+ 325ae: 0d2e.*
+ 325af: 6fce.*
+ 325b0: 0c2e.*
+ 325b1: 6fce.*
+ 325b2: 0e2e.*
+ 325b3: 6fce.*
+ 325b4: 0f2e.*
+ 325b5: 6fce.*
+ 325b6: 0f2e.*
+ 325b7: 6fce.*
+ 325b8: 0c2f.*
+ 325b9: 6fce.*
+ 325ba: 0d2f.*
+ 325bb: 6fce.*
+ 325bc: 0c2f.*
+ 325bd: 6fce.*
+ 325be: 0e2f.*
+ 325bf: 6fce.*
+ 325c0: 0f2f.*
+ 325c1: 6fce.*
+ 325c2: 0f2f.*
+ 325c3: 08d6.*
+ 325c4: 6fd6.*
+ 325c5: 0d20.*
+ 325c6: 08d6.*
+ 325c7: 6fd6.*
+ 325c8: 0e20.*
+ 325c9: 09d6.*
+ 325ca: 09d6.*
+ 325cb: 6fd6.*
+ 325cc: 0c30.*
+ 325cd: 6fd6.*
+ 325ce: 0d30.*
+ 325cf: 6fd6.*
+ 325d0: 0c30.*
+ 325d1: 6fd6.*
+ 325d2: 0e30.*
+ 325d3: 6fd6.*
+ 325d4: 0f30.*
+ 325d5: 6fd6.*
+ 325d6: 0f30.*
+ 325d7: 6fd6.*
+ 325d8: 0c31.*
+ 325d9: 6fd6.*
+ 325da: 0d31.*
+ 325db: 6fd6.*
+ 325dc: 0c31.*
+ 325dd: 6fd6.*
+ 325de: 0e31.*
+ 325df: 6fd6.*
+ 325e0: 0f31.*
+ 325e1: 6fd6.*
+ 325e2: 0f31.*
+ 325e3: 6fd6.*
+ 325e4: 0c32.*
+ 325e5: 6fd6.*
+ 325e6: 0d32.*
+ 325e7: 6fd6.*
+ 325e8: 0c32.*
+ 325e9: 6fd6.*
+ 325ea: 0e32.*
+ 325eb: 6fd6.*
+ 325ec: 0f32.*
+ 325ed: 6fd6.*
+ 325ee: 0f32.*
+ 325ef: 6fd6.*
+ 325f0: 0c33.*
+ 325f1: 6fd6.*
+ 325f2: 0d33.*
+ 325f3: 6fd6.*
+ 325f4: 0c33.*
+ 325f5: 6fd6.*
+ 325f6: 0e33.*
+ 325f7: 6fd6.*
+ 325f8: 0f33.*
+ 325f9: 6fd6.*
+ 325fa: 0f33.*
+ 325fb: 6fd6.*
+ 325fc: 0c34.*
+ 325fd: 6fd6.*
+ 325fe: 0d34.*
+ 325ff: 6fd6.*
+ 32600: 0c34.*
+ 32601: 6fd6.*
+ 32602: 0e34.*
+ 32603: 6fd6.*
+ 32604: 0f34.*
+ 32605: 6fd6.*
+ 32606: 0f34.*
+ 32607: 6fd6.*
+ 32608: 0c35.*
+ 32609: 6fd6.*
+ 3260a: 0d35.*
+ 3260b: 6fd6.*
+ 3260c: 0c35.*
+ 3260d: 6fd6.*
+ 3260e: 0e35.*
+ 3260f: 6fd6.*
+ 32610: 0f35.*
+ 32611: 6fd6.*
+ 32612: 0f35.*
+ 32613: 6fd6.*
+ 32614: 0c36.*
+ 32615: 6fd6.*
+ 32616: 0d36.*
+ 32617: 6fd6.*
+ 32618: 0c36.*
+ 32619: 6fd6.*
+ 3261a: 0e36.*
+ 3261b: 6fd6.*
+ 3261c: 0f36.*
+ 3261d: 6fd6.*
+ 3261e: 0f36.*
+ 3261f: 6fd6.*
+ 32620: 0c37.*
+ 32621: 6fd6.*
+ 32622: 0d37.*
+ 32623: 6fd6.*
+ 32624: 0c37.*
+ 32625: 6fd6.*
+ 32626: 0e37.*
+ 32627: 6fd6.*
+ 32628: 0f37.*
+ 32629: 6fd6.*
+ 3262a: 0f37.*
+ 3262b: 6fd6.*
+ 3262c: 0c38.*
+ 3262d: 6fd6.*
+ 3262e: 0d38.*
+ 3262f: 6fd6.*
+ 32630: 0c38.*
+ 32631: 6fd6.*
+ 32632: 0e38.*
+ 32633: 6fd6.*
+ 32634: 0f38.*
+ 32635: 6fd6.*
+ 32636: 0f38.*
+ 32637: 6fd6.*
+ 32638: 0c39.*
+ 32639: 6fd6.*
+ 3263a: 0d39.*
+ 3263b: 6fd6.*
+ 3263c: 0c39.*
+ 3263d: 6fd6.*
+ 3263e: 0e39.*
+ 3263f: 6fd6.*
+ 32640: 0f39.*
+ 32641: 6fd6.*
+ 32642: 0f39.*
+ 32643: 6fd6.*
+ 32644: 0c3a.*
+ 32645: 6fd6.*
+ 32646: 0d3a.*
+ 32647: 6fd6.*
+ 32648: 0c3a.*
+ 32649: 6fd6.*
+ 3264a: 0e3a.*
+ 3264b: 6fd6.*
+ 3264c: 0f3a.*
+ 3264d: 6fd6.*
+ 3264e: 0f3a.*
+ 3264f: 6fd6.*
+ 32650: 0c3b.*
+ 32651: 6fd6.*
+ 32652: 0d3b.*
+ 32653: 6fd6.*
+ 32654: 0c3b.*
+ 32655: 6fd6.*
+ 32656: 0e3b.*
+ 32657: 6fd6.*
+ 32658: 0f3b.*
+ 32659: 6fd6.*
+ 3265a: 0f3b.*
+ 3265b: 6fd6.*
+ 3265c: 0c3c.*
+ 3265d: 6fd6.*
+ 3265e: 0d3c.*
+ 3265f: 6fd6.*
+ 32660: 0c3c.*
+ 32661: 6fd6.*
+ 32662: 0e3c.*
+ 32663: 6fd6.*
+ 32664: 0f3c.*
+ 32665: 6fd6.*
+ 32666: 0f3c.*
+ 32667: 6fd6.*
+ 32668: 0c3d.*
+ 32669: 6fd6.*
+ 3266a: 0d3d.*
+ 3266b: 6fd6.*
+ 3266c: 0c3d.*
+ 3266d: 6fd6.*
+ 3266e: 0e3d.*
+ 3266f: 6fd6.*
+ 32670: 0f3d.*
+ 32671: 6fd6.*
+ 32672: 0f3d.*
+ 32673: 6fd6.*
+ 32674: 0c3e.*
+ 32675: 6fd6.*
+ 32676: 0d3e.*
+ 32677: 6fd6.*
+ 32678: 0c3e.*
+ 32679: 6fd6.*
+ 3267a: 0e3e.*
+ 3267b: 6fd6.*
+ 3267c: 0f3e.*
+ 3267d: 6fd6.*
+ 3267e: 0f3e.*
+ 3267f: 6fd6.*
+ 32680: 0c3f.*
+ 32681: 6fd6.*
+ 32682: 0d3f.*
+ 32683: 6fd6.*
+ 32684: 0c3f.*
+ 32685: 6fd6.*
+ 32686: 0e3f.*
+ 32687: 6fd6.*
+ 32688: 0f3f.*
+ 32689: 6fd6.*
+ 3268a: 0f3f.*
+ 3268b: 08d6.*
+ 3268c: 6fd6.*
+ 3268d: 0d20.*
+ 3268e: 08d6.*
+ 3268f: 6fd6.*
+ 32690: 0e20.*
+ 32691: 09d6.*
+ 32692: 09d6.*
+ 32693: 6fd6.*
+ 32694: 0c21.*
+ 32695: 6fd6.*
+ 32696: 0d21.*
+ 32697: 6fd6.*
+ 32698: 0c21.*
+ 32699: 6fd6.*
+ 3269a: 0e21.*
+ 3269b: 6fd6.*
+ 3269c: 0f21.*
+ 3269d: 6fd6.*
+ 3269e: 0f21.*
+ 3269f: 6fd6.*
+ 326a0: 0c22.*
+ 326a1: 6fd6.*
+ 326a2: 0d22.*
+ 326a3: 6fd6.*
+ 326a4: 0c22.*
+ 326a5: 6fd6.*
+ 326a6: 0e22.*
+ 326a7: 6fd6.*
+ 326a8: 0f22.*
+ 326a9: 6fd6.*
+ 326aa: 0f22.*
+ 326ab: 6fd6.*
+ 326ac: 0c23.*
+ 326ad: 6fd6.*
+ 326ae: 0d23.*
+ 326af: 6fd6.*
+ 326b0: 0c23.*
+ 326b1: 6fd6.*
+ 326b2: 0e23.*
+ 326b3: 6fd6.*
+ 326b4: 0f23.*
+ 326b5: 6fd6.*
+ 326b6: 0f23.*
+ 326b7: 6fd6.*
+ 326b8: 0c24.*
+ 326b9: 6fd6.*
+ 326ba: 0d24.*
+ 326bb: 6fd6.*
+ 326bc: 0c24.*
+ 326bd: 6fd6.*
+ 326be: 0e24.*
+ 326bf: 6fd6.*
+ 326c0: 0f24.*
+ 326c1: 6fd6.*
+ 326c2: 0f24.*
+ 326c3: 6fd6.*
+ 326c4: 0c25.*
+ 326c5: 6fd6.*
+ 326c6: 0d25.*
+ 326c7: 6fd6.*
+ 326c8: 0c25.*
+ 326c9: 6fd6.*
+ 326ca: 0e25.*
+ 326cb: 6fd6.*
+ 326cc: 0f25.*
+ 326cd: 6fd6.*
+ 326ce: 0f25.*
+ 326cf: 6fd6.*
+ 326d0: 0c26.*
+ 326d1: 6fd6.*
+ 326d2: 0d26.*
+ 326d3: 6fd6.*
+ 326d4: 0c26.*
+ 326d5: 6fd6.*
+ 326d6: 0e26.*
+ 326d7: 6fd6.*
+ 326d8: 0f26.*
+ 326d9: 6fd6.*
+ 326da: 0f26.*
+ 326db: 6fd6.*
+ 326dc: 0c27.*
+ 326dd: 6fd6.*
+ 326de: 0d27.*
+ 326df: 6fd6.*
+ 326e0: 0c27.*
+ 326e1: 6fd6.*
+ 326e2: 0e27.*
+ 326e3: 6fd6.*
+ 326e4: 0f27.*
+ 326e5: 6fd6.*
+ 326e6: 0f27.*
+ 326e7: 6fd6.*
+ 326e8: 0c28.*
+ 326e9: 6fd6.*
+ 326ea: 0d28.*
+ 326eb: 6fd6.*
+ 326ec: 0c28.*
+ 326ed: 6fd6.*
+ 326ee: 0e28.*
+ 326ef: 6fd6.*
+ 326f0: 0f28.*
+ 326f1: 6fd6.*
+ 326f2: 0f28.*
+ 326f3: 6fd6.*
+ 326f4: 0c29.*
+ 326f5: 6fd6.*
+ 326f6: 0d29.*
+ 326f7: 6fd6.*
+ 326f8: 0c29.*
+ 326f9: 6fd6.*
+ 326fa: 0e29.*
+ 326fb: 6fd6.*
+ 326fc: 0f29.*
+ 326fd: 6fd6.*
+ 326fe: 0f29.*
+ 326ff: 6fd6.*
+ 32700: 0c2a.*
+ 32701: 6fd6.*
+ 32702: 0d2a.*
+ 32703: 6fd6.*
+ 32704: 0c2a.*
+ 32705: 6fd6.*
+ 32706: 0e2a.*
+ 32707: 6fd6.*
+ 32708: 0f2a.*
+ 32709: 6fd6.*
+ 3270a: 0f2a.*
+ 3270b: 6fd6.*
+ 3270c: 0c2b.*
+ 3270d: 6fd6.*
+ 3270e: 0d2b.*
+ 3270f: 6fd6.*
+ 32710: 0c2b.*
+ 32711: 6fd6.*
+ 32712: 0e2b.*
+ 32713: 6fd6.*
+ 32714: 0f2b.*
+ 32715: 6fd6.*
+ 32716: 0f2b.*
+ 32717: 6fd6.*
+ 32718: 0c2c.*
+ 32719: 6fd6.*
+ 3271a: 0d2c.*
+ 3271b: 6fd6.*
+ 3271c: 0c2c.*
+ 3271d: 6fd6.*
+ 3271e: 0e2c.*
+ 3271f: 6fd6.*
+ 32720: 0f2c.*
+ 32721: 6fd6.*
+ 32722: 0f2c.*
+ 32723: 6fd6.*
+ 32724: 0c2d.*
+ 32725: 6fd6.*
+ 32726: 0d2d.*
+ 32727: 6fd6.*
+ 32728: 0c2d.*
+ 32729: 6fd6.*
+ 3272a: 0e2d.*
+ 3272b: 6fd6.*
+ 3272c: 0f2d.*
+ 3272d: 6fd6.*
+ 3272e: 0f2d.*
+ 3272f: 6fd6.*
+ 32730: 0c2e.*
+ 32731: 6fd6.*
+ 32732: 0d2e.*
+ 32733: 6fd6.*
+ 32734: 0c2e.*
+ 32735: 6fd6.*
+ 32736: 0e2e.*
+ 32737: 6fd6.*
+ 32738: 0f2e.*
+ 32739: 6fd6.*
+ 3273a: 0f2e.*
+ 3273b: 6fd6.*
+ 3273c: 0c2f.*
+ 3273d: 6fd6.*
+ 3273e: 0d2f.*
+ 3273f: 6fd6.*
+ 32740: 0c2f.*
+ 32741: 6fd6.*
+ 32742: 0e2f.*
+ 32743: 6fd6.*
+ 32744: 0f2f.*
+ 32745: 6fd6.*
+ 32746: 0f2f.*
+ 32747: 08de.*
+ 32748: 6fde.*
+ 32749: 0d20.*
+ 3274a: 08de.*
+ 3274b: 6fde.*
+ 3274c: 0e20.*
+ 3274d: 09de.*
+ 3274e: 09de.*
+ 3274f: 6fde.*
+ 32750: 0c30.*
+ 32751: 6fde.*
+ 32752: 0d30.*
+ 32753: 6fde.*
+ 32754: 0c30.*
+ 32755: 6fde.*
+ 32756: 0e30.*
+ 32757: 6fde.*
+ 32758: 0f30.*
+ 32759: 6fde.*
+ 3275a: 0f30.*
+ 3275b: 6fde.*
+ 3275c: 0c31.*
+ 3275d: 6fde.*
+ 3275e: 0d31.*
+ 3275f: 6fde.*
+ 32760: 0c31.*
+ 32761: 6fde.*
+ 32762: 0e31.*
+ 32763: 6fde.*
+ 32764: 0f31.*
+ 32765: 6fde.*
+ 32766: 0f31.*
+ 32767: 6fde.*
+ 32768: 0c32.*
+ 32769: 6fde.*
+ 3276a: 0d32.*
+ 3276b: 6fde.*
+ 3276c: 0c32.*
+ 3276d: 6fde.*
+ 3276e: 0e32.*
+ 3276f: 6fde.*
+ 32770: 0f32.*
+ 32771: 6fde.*
+ 32772: 0f32.*
+ 32773: 6fde.*
+ 32774: 0c33.*
+ 32775: 6fde.*
+ 32776: 0d33.*
+ 32777: 6fde.*
+ 32778: 0c33.*
+ 32779: 6fde.*
+ 3277a: 0e33.*
+ 3277b: 6fde.*
+ 3277c: 0f33.*
+ 3277d: 6fde.*
+ 3277e: 0f33.*
+ 3277f: 6fde.*
+ 32780: 0c34.*
+ 32781: 6fde.*
+ 32782: 0d34.*
+ 32783: 6fde.*
+ 32784: 0c34.*
+ 32785: 6fde.*
+ 32786: 0e34.*
+ 32787: 6fde.*
+ 32788: 0f34.*
+ 32789: 6fde.*
+ 3278a: 0f34.*
+ 3278b: 6fde.*
+ 3278c: 0c35.*
+ 3278d: 6fde.*
+ 3278e: 0d35.*
+ 3278f: 6fde.*
+ 32790: 0c35.*
+ 32791: 6fde.*
+ 32792: 0e35.*
+ 32793: 6fde.*
+ 32794: 0f35.*
+ 32795: 6fde.*
+ 32796: 0f35.*
+ 32797: 6fde.*
+ 32798: 0c36.*
+ 32799: 6fde.*
+ 3279a: 0d36.*
+ 3279b: 6fde.*
+ 3279c: 0c36.*
+ 3279d: 6fde.*
+ 3279e: 0e36.*
+ 3279f: 6fde.*
+ 327a0: 0f36.*
+ 327a1: 6fde.*
+ 327a2: 0f36.*
+ 327a3: 6fde.*
+ 327a4: 0c37.*
+ 327a5: 6fde.*
+ 327a6: 0d37.*
+ 327a7: 6fde.*
+ 327a8: 0c37.*
+ 327a9: 6fde.*
+ 327aa: 0e37.*
+ 327ab: 6fde.*
+ 327ac: 0f37.*
+ 327ad: 6fde.*
+ 327ae: 0f37.*
+ 327af: 6fde.*
+ 327b0: 0c38.*
+ 327b1: 6fde.*
+ 327b2: 0d38.*
+ 327b3: 6fde.*
+ 327b4: 0c38.*
+ 327b5: 6fde.*
+ 327b6: 0e38.*
+ 327b7: 6fde.*
+ 327b8: 0f38.*
+ 327b9: 6fde.*
+ 327ba: 0f38.*
+ 327bb: 6fde.*
+ 327bc: 0c39.*
+ 327bd: 6fde.*
+ 327be: 0d39.*
+ 327bf: 6fde.*
+ 327c0: 0c39.*
+ 327c1: 6fde.*
+ 327c2: 0e39.*
+ 327c3: 6fde.*
+ 327c4: 0f39.*
+ 327c5: 6fde.*
+ 327c6: 0f39.*
+ 327c7: 6fde.*
+ 327c8: 0c3a.*
+ 327c9: 6fde.*
+ 327ca: 0d3a.*
+ 327cb: 6fde.*
+ 327cc: 0c3a.*
+ 327cd: 6fde.*
+ 327ce: 0e3a.*
+ 327cf: 6fde.*
+ 327d0: 0f3a.*
+ 327d1: 6fde.*
+ 327d2: 0f3a.*
+ 327d3: 6fde.*
+ 327d4: 0c3b.*
+ 327d5: 6fde.*
+ 327d6: 0d3b.*
+ 327d7: 6fde.*
+ 327d8: 0c3b.*
+ 327d9: 6fde.*
+ 327da: 0e3b.*
+ 327db: 6fde.*
+ 327dc: 0f3b.*
+ 327dd: 6fde.*
+ 327de: 0f3b.*
+ 327df: 6fde.*
+ 327e0: 0c3c.*
+ 327e1: 6fde.*
+ 327e2: 0d3c.*
+ 327e3: 6fde.*
+ 327e4: 0c3c.*
+ 327e5: 6fde.*
+ 327e6: 0e3c.*
+ 327e7: 6fde.*
+ 327e8: 0f3c.*
+ 327e9: 6fde.*
+ 327ea: 0f3c.*
+ 327eb: 6fde.*
+ 327ec: 0c3d.*
+ 327ed: 6fde.*
+ 327ee: 0d3d.*
+ 327ef: 6fde.*
+ 327f0: 0c3d.*
+ 327f1: 6fde.*
+ 327f2: 0e3d.*
+ 327f3: 6fde.*
+ 327f4: 0f3d.*
+ 327f5: 6fde.*
+ 327f6: 0f3d.*
+ 327f7: 6fde.*
+ 327f8: 0c3e.*
+ 327f9: 6fde.*
+ 327fa: 0d3e.*
+ 327fb: 6fde.*
+ 327fc: 0c3e.*
+ 327fd: 6fde.*
+ 327fe: 0e3e.*
+ 327ff: 6fde.*
+ 32800: 0f3e.*
+ 32801: 6fde.*
+ 32802: 0f3e.*
+ 32803: 6fde.*
+ 32804: 0c3f.*
+ 32805: 6fde.*
+ 32806: 0d3f.*
+ 32807: 6fde.*
+ 32808: 0c3f.*
+ 32809: 6fde.*
+ 3280a: 0e3f.*
+ 3280b: 6fde.*
+ 3280c: 0f3f.*
+ 3280d: 6fde.*
+ 3280e: 0f3f.*
+ 3280f: 08de.*
+ 32810: 6fde.*
+ 32811: 0d20.*
+ 32812: 08de.*
+ 32813: 6fde.*
+ 32814: 0e20.*
+ 32815: 09de.*
+ 32816: 09de.*
+ 32817: 6fde.*
+ 32818: 0c21.*
+ 32819: 6fde.*
+ 3281a: 0d21.*
+ 3281b: 6fde.*
+ 3281c: 0c21.*
+ 3281d: 6fde.*
+ 3281e: 0e21.*
+ 3281f: 6fde.*
+ 32820: 0f21.*
+ 32821: 6fde.*
+ 32822: 0f21.*
+ 32823: 6fde.*
+ 32824: 0c22.*
+ 32825: 6fde.*
+ 32826: 0d22.*
+ 32827: 6fde.*
+ 32828: 0c22.*
+ 32829: 6fde.*
+ 3282a: 0e22.*
+ 3282b: 6fde.*
+ 3282c: 0f22.*
+ 3282d: 6fde.*
+ 3282e: 0f22.*
+ 3282f: 6fde.*
+ 32830: 0c23.*
+ 32831: 6fde.*
+ 32832: 0d23.*
+ 32833: 6fde.*
+ 32834: 0c23.*
+ 32835: 6fde.*
+ 32836: 0e23.*
+ 32837: 6fde.*
+ 32838: 0f23.*
+ 32839: 6fde.*
+ 3283a: 0f23.*
+ 3283b: 6fde.*
+ 3283c: 0c24.*
+ 3283d: 6fde.*
+ 3283e: 0d24.*
+ 3283f: 6fde.*
+ 32840: 0c24.*
+ 32841: 6fde.*
+ 32842: 0e24.*
+ 32843: 6fde.*
+ 32844: 0f24.*
+ 32845: 6fde.*
+ 32846: 0f24.*
+ 32847: 6fde.*
+ 32848: 0c25.*
+ 32849: 6fde.*
+ 3284a: 0d25.*
+ 3284b: 6fde.*
+ 3284c: 0c25.*
+ 3284d: 6fde.*
+ 3284e: 0e25.*
+ 3284f: 6fde.*
+ 32850: 0f25.*
+ 32851: 6fde.*
+ 32852: 0f25.*
+ 32853: 6fde.*
+ 32854: 0c26.*
+ 32855: 6fde.*
+ 32856: 0d26.*
+ 32857: 6fde.*
+ 32858: 0c26.*
+ 32859: 6fde.*
+ 3285a: 0e26.*
+ 3285b: 6fde.*
+ 3285c: 0f26.*
+ 3285d: 6fde.*
+ 3285e: 0f26.*
+ 3285f: 6fde.*
+ 32860: 0c27.*
+ 32861: 6fde.*
+ 32862: 0d27.*
+ 32863: 6fde.*
+ 32864: 0c27.*
+ 32865: 6fde.*
+ 32866: 0e27.*
+ 32867: 6fde.*
+ 32868: 0f27.*
+ 32869: 6fde.*
+ 3286a: 0f27.*
+ 3286b: 6fde.*
+ 3286c: 0c28.*
+ 3286d: 6fde.*
+ 3286e: 0d28.*
+ 3286f: 6fde.*
+ 32870: 0c28.*
+ 32871: 6fde.*
+ 32872: 0e28.*
+ 32873: 6fde.*
+ 32874: 0f28.*
+ 32875: 6fde.*
+ 32876: 0f28.*
+ 32877: 6fde.*
+ 32878: 0c29.*
+ 32879: 6fde.*
+ 3287a: 0d29.*
+ 3287b: 6fde.*
+ 3287c: 0c29.*
+ 3287d: 6fde.*
+ 3287e: 0e29.*
+ 3287f: 6fde.*
+ 32880: 0f29.*
+ 32881: 6fde.*
+ 32882: 0f29.*
+ 32883: 6fde.*
+ 32884: 0c2a.*
+ 32885: 6fde.*
+ 32886: 0d2a.*
+ 32887: 6fde.*
+ 32888: 0c2a.*
+ 32889: 6fde.*
+ 3288a: 0e2a.*
+ 3288b: 6fde.*
+ 3288c: 0f2a.*
+ 3288d: 6fde.*
+ 3288e: 0f2a.*
+ 3288f: 6fde.*
+ 32890: 0c2b.*
+ 32891: 6fde.*
+ 32892: 0d2b.*
+ 32893: 6fde.*
+ 32894: 0c2b.*
+ 32895: 6fde.*
+ 32896: 0e2b.*
+ 32897: 6fde.*
+ 32898: 0f2b.*
+ 32899: 6fde.*
+ 3289a: 0f2b.*
+ 3289b: 6fde.*
+ 3289c: 0c2c.*
+ 3289d: 6fde.*
+ 3289e: 0d2c.*
+ 3289f: 6fde.*
+ 328a0: 0c2c.*
+ 328a1: 6fde.*
+ 328a2: 0e2c.*
+ 328a3: 6fde.*
+ 328a4: 0f2c.*
+ 328a5: 6fde.*
+ 328a6: 0f2c.*
+ 328a7: 6fde.*
+ 328a8: 0c2d.*
+ 328a9: 6fde.*
+ 328aa: 0d2d.*
+ 328ab: 6fde.*
+ 328ac: 0c2d.*
+ 328ad: 6fde.*
+ 328ae: 0e2d.*
+ 328af: 6fde.*
+ 328b0: 0f2d.*
+ 328b1: 6fde.*
+ 328b2: 0f2d.*
+ 328b3: 6fde.*
+ 328b4: 0c2e.*
+ 328b5: 6fde.*
+ 328b6: 0d2e.*
+ 328b7: 6fde.*
+ 328b8: 0c2e.*
+ 328b9: 6fde.*
+ 328ba: 0e2e.*
+ 328bb: 6fde.*
+ 328bc: 0f2e.*
+ 328bd: 6fde.*
+ 328be: 0f2e.*
+ 328bf: 6fde.*
+ 328c0: 0c2f.*
+ 328c1: 6fde.*
+ 328c2: 0d2f.*
+ 328c3: 6fde.*
+ 328c4: 0c2f.*
+ 328c5: 6fde.*
+ 328c6: 0e2f.*
+ 328c7: 6fde.*
+ 328c8: 0f2f.*
+ 328c9: 6fde.*
+ 328ca: 0f2f.*
+ 328cb: 08e6.*
+ 328cc: 5e16.*
+ 328cd: 6fe6.*
+ 328ce: 5e17.*
+ 328cf: 0d20.*
+ 328d0: 08e6.*
+ 328d1: 5e18.*
+ 328d2: 6fe6.*
+ 328d3: 5e19.*
+ 328d4: 0e20.*
+ 328d5: 09e6.*
+ 328d6: 5e1a.*
+ 328d7: 09e6.*
+ 328d8: 5e1b.*
+ 328d9: 6fe6.*
+ 328da: 5e1c.*
+ 328db: 0c30.*
+ 328dc: 6fe6.*
+ 328dd: 5e1d.*
+ 328de: 0d30.*
+ 328df: 6fe6.*
+ 328e0: 5e1e.*
+ 328e1: 0c30.*
+ 328e2: 6fe6.*
+ 328e3: 5e1f.*
+ 328e4: 0e30.*
+ 328e5: 6fe6.*
+ 328e6: 5e20.*
+ 328e7: 0f30.*
+ 328e8: 6fe6.*
+ 328e9: 5e21.*
+ 328ea: 0f30.*
+ 328eb: 6fe6.*
+ 328ec: 5e22.*
+ 328ed: 0c31.*
+ 328ee: 6fe6.*
+ 328ef: 5e23.*
+ 328f0: 0d31.*
+ 328f1: 6fe6.*
+ 328f2: 5e24.*
+ 328f3: 0c31.*
+ 328f4: 6fe6.*
+ 328f5: 5e25.*
+ 328f6: 0e31.*
+ 328f7: 6fe6.*
+ 328f8: 5e26.*
+ 328f9: 0f31.*
+ 328fa: 6fe6.*
+ 328fb: 5e27.*
+ 328fc: 0f31.*
+ 328fd: 6fe6.*
+ 328fe: 5e28.*
+ 328ff: 0c32.*
+ 32900: 6fe6.*
+ 32901: 5e29.*
+ 32902: 0d32.*
+ 32903: 6fe6.*
+ 32904: 5e2a.*
+ 32905: 0c32.*
+ 32906: 6fe6.*
+ 32907: 5e2b.*
+ 32908: 0e32.*
+ 32909: 6fe6.*
+ 3290a: 5e2c.*
+ 3290b: 0f32.*
+ 3290c: 6fe6.*
+ 3290d: 5e2d.*
+ 3290e: 0f32.*
+ 3290f: 6fe6.*
+ 32910: 5e2e.*
+ 32911: 0c33.*
+ 32912: 6fe6.*
+ 32913: 5e2f.*
+ 32914: 0d33.*
+ 32915: 6fe6.*
+ 32916: 5e30.*
+ 32917: 0c33.*
+ 32918: 6fe6.*
+ 32919: 5e31.*
+ 3291a: 0e33.*
+ 3291b: 6fe6.*
+ 3291c: 5e32.*
+ 3291d: 0f33.*
+ 3291e: 6fe6.*
+ 3291f: 5e33.*
+ 32920: 0f33.*
+ 32921: 6fe6.*
+ 32922: 5e34.*
+ 32923: 0c34.*
+ 32924: 6fe6.*
+ 32925: 5e35.*
+ 32926: 0d34.*
+ 32927: 6fe6.*
+ 32928: 5e36.*
+ 32929: 0c34.*
+ 3292a: 6fe6.*
+ 3292b: 5e37.*
+ 3292c: 0e34.*
+ 3292d: 6fe6.*
+ 3292e: 5e38.*
+ 3292f: 0f34.*
+ 32930: 6fe6.*
+ 32931: 5e39.*
+ 32932: 0f34.*
+ 32933: 6fe6.*
+ 32934: 5e3a.*
+ 32935: 0c35.*
+ 32936: 6fe6.*
+ 32937: 5e3b.*
+ 32938: 0d35.*
+ 32939: 6fe6.*
+ 3293a: 5e3c.*
+ 3293b: 0c35.*
+ 3293c: 6fe6.*
+ 3293d: 5e3d.*
+ 3293e: 0e35.*
+ 3293f: 6fe6.*
+ 32940: 5e3e.*
+ 32941: 0f35.*
+ 32942: 6fe6.*
+ 32943: 5e3f.*
+ 32944: 0f35.*
+ 32945: 6fe6.*
+ 32946: 5e40.*
+ 32947: 0c36.*
+ 32948: 6fe6.*
+ 32949: 5e41.*
+ 3294a: 0d36.*
+ 3294b: 6fe6.*
+ 3294c: 5e42.*
+ 3294d: 0c36.*
+ 3294e: 6fe6.*
+ 3294f: 5e43.*
+ 32950: 0e36.*
+ 32951: 6fe6.*
+ 32952: 5e44.*
+ 32953: 0f36.*
+ 32954: 6fe6.*
+ 32955: 5e45.*
+ 32956: 0f36.*
+ 32957: 6fe6.*
+ 32958: 5e46.*
+ 32959: 0c37.*
+ 3295a: 6fe6.*
+ 3295b: 5e47.*
+ 3295c: 0d37.*
+ 3295d: 6fe6.*
+ 3295e: 5e48.*
+ 3295f: 0c37.*
+ 32960: 6fe6.*
+ 32961: 5e49.*
+ 32962: 0e37.*
+ 32963: 6fe6.*
+ 32964: 5e4a.*
+ 32965: 0f37.*
+ 32966: 6fe6.*
+ 32967: 5e4b.*
+ 32968: 0f37.*
+ 32969: 6fe6.*
+ 3296a: 5e4c.*
+ 3296b: 0c38.*
+ 3296c: 6fe6.*
+ 3296d: 5e4d.*
+ 3296e: 0d38.*
+ 3296f: 6fe6.*
+ 32970: 5e4e.*
+ 32971: 0c38.*
+ 32972: 6fe6.*
+ 32973: 5e4f.*
+ 32974: 0e38.*
+ 32975: 6fe6.*
+ 32976: 5e50.*
+ 32977: 0f38.*
+ 32978: 6fe6.*
+ 32979: 5e51.*
+ 3297a: 0f38.*
+ 3297b: 6fe6.*
+ 3297c: 5e52.*
+ 3297d: 0c39.*
+ 3297e: 6fe6.*
+ 3297f: 5e53.*
+ 32980: 0d39.*
+ 32981: 6fe6.*
+ 32982: 5e54.*
+ 32983: 0c39.*
+ 32984: 6fe6.*
+ 32985: 5e55.*
+ 32986: 0e39.*
+ 32987: 6fe6.*
+ 32988: 5e56.*
+ 32989: 0f39.*
+ 3298a: 6fe6.*
+ 3298b: 5e57.*
+ 3298c: 0f39.*
+ 3298d: 6fe6.*
+ 3298e: 5e58.*
+ 3298f: 0c3a.*
+ 32990: 6fe6.*
+ 32991: 5e59.*
+ 32992: 0d3a.*
+ 32993: 6fe6.*
+ 32994: 5e5a.*
+ 32995: 0c3a.*
+ 32996: 6fe6.*
+ 32997: 5e5b.*
+ 32998: 0e3a.*
+ 32999: 6fe6.*
+ 3299a: 5e5c.*
+ 3299b: 0f3a.*
+ 3299c: 6fe6.*
+ 3299d: 5e5d.*
+ 3299e: 0f3a.*
+ 3299f: 6fe6.*
+ 329a0: 5e5e.*
+ 329a1: 0c3b.*
+ 329a2: 6fe6.*
+ 329a3: 5e5f.*
+ 329a4: 0d3b.*
+ 329a5: 6fe6.*
+ 329a6: 5e60.*
+ 329a7: 0c3b.*
+ 329a8: 6fe6.*
+ 329a9: 5e61.*
+ 329aa: 0e3b.*
+ 329ab: 6fe6.*
+ 329ac: 5e62.*
+ 329ad: 0f3b.*
+ 329ae: 6fe6.*
+ 329af: 5e63.*
+ 329b0: 0f3b.*
+ 329b1: 6fe6.*
+ 329b2: 5e64.*
+ 329b3: 0c3c.*
+ 329b4: 6fe6.*
+ 329b5: 5e65.*
+ 329b6: 0d3c.*
+ 329b7: 6fe6.*
+ 329b8: 5e66.*
+ 329b9: 0c3c.*
+ 329ba: 6fe6.*
+ 329bb: 5e67.*
+ 329bc: 0e3c.*
+ 329bd: 6fe6.*
+ 329be: 5e68.*
+ 329bf: 0f3c.*
+ 329c0: 6fe6.*
+ 329c1: 5e69.*
+ 329c2: 0f3c.*
+ 329c3: 6fe6.*
+ 329c4: 5e6a.*
+ 329c5: 0c3d.*
+ 329c6: 6fe6.*
+ 329c7: 5e6b.*
+ 329c8: 0d3d.*
+ 329c9: 6fe6.*
+ 329ca: 5e6c.*
+ 329cb: 0c3d.*
+ 329cc: 6fe6.*
+ 329cd: 5e6d.*
+ 329ce: 0e3d.*
+ 329cf: 6fe6.*
+ 329d0: 5e6e.*
+ 329d1: 0f3d.*
+ 329d2: 6fe6.*
+ 329d3: 5e6f.*
+ 329d4: 0f3d.*
+ 329d5: 6fe6.*
+ 329d6: 5e70.*
+ 329d7: 0c3e.*
+ 329d8: 6fe6.*
+ 329d9: 5e71.*
+ 329da: 0d3e.*
+ 329db: 6fe6.*
+ 329dc: 5e72.*
+ 329dd: 0c3e.*
+ 329de: 6fe6.*
+ 329df: 5e73.*
+ 329e0: 0e3e.*
+ 329e1: 6fe6.*
+ 329e2: 5e74.*
+ 329e3: 0f3e.*
+ 329e4: 6fe6.*
+ 329e5: 5e75.*
+ 329e6: 0f3e.*
+ 329e7: 6fe6.*
+ 329e8: 5e76.*
+ 329e9: 0c3f.*
+ 329ea: 6fe6.*
+ 329eb: 5e77.*
+ 329ec: 0d3f.*
+ 329ed: 6fe6.*
+ 329ee: 5e78.*
+ 329ef: 0c3f.*
+ 329f0: 6fe6.*
+ 329f1: 5e79.*
+ 329f2: 0e3f.*
+ 329f3: 6fe6.*
+ 329f4: 5e7a.*
+ 329f5: 0f3f.*
+ 329f6: 6fe6.*
+ 329f7: 5e7b.*
+ 329f8: 0f3f.*
+ 329f9: 08e6.*
+ 329fa: 5e7c.*
+ 329fb: 6fe6.*
+ 329fc: 5e7d.*
+ 329fd: 0d20.*
+ 329fe: 08e6.*
+ 329ff: 5e7e.*
+ 32a00: 6fe6.*
+ 32a01: 5e7f.*
+ 32a02: 0e20.*
+ 32a03: 09e6.*
+ 32a04: 5e80.*
+ 32a05: 09e6.*
+ 32a06: 5e81.*
+ 32a07: 6fe6.*
+ 32a08: 5e82.*
+ 32a09: 0c21.*
+ 32a0a: 6fe6.*
+ 32a0b: 5e83.*
+ 32a0c: 0d21.*
+ 32a0d: 6fe6.*
+ 32a0e: 5e84.*
+ 32a0f: 0c21.*
+ 32a10: 6fe6.*
+ 32a11: 5e85.*
+ 32a12: 0e21.*
+ 32a13: 6fe6.*
+ 32a14: 5e86.*
+ 32a15: 0f21.*
+ 32a16: 6fe6.*
+ 32a17: 5e87.*
+ 32a18: 0f21.*
+ 32a19: 6fe6.*
+ 32a1a: 5e88.*
+ 32a1b: 0c22.*
+ 32a1c: 6fe6.*
+ 32a1d: 5e89.*
+ 32a1e: 0d22.*
+ 32a1f: 6fe6.*
+ 32a20: 5e8a.*
+ 32a21: 0c22.*
+ 32a22: 6fe6.*
+ 32a23: 5e8b.*
+ 32a24: 0e22.*
+ 32a25: 6fe6.*
+ 32a26: 5e8c.*
+ 32a27: 0f22.*
+ 32a28: 6fe6.*
+ 32a29: 5e8d.*
+ 32a2a: 0f22.*
+ 32a2b: 6fe6.*
+ 32a2c: 5e8e.*
+ 32a2d: 0c23.*
+ 32a2e: 6fe6.*
+ 32a2f: 5e8f.*
+ 32a30: 0d23.*
+ 32a31: 6fe6.*
+ 32a32: 5e90.*
+ 32a33: 0c23.*
+ 32a34: 6fe6.*
+ 32a35: 5e91.*
+ 32a36: 0e23.*
+ 32a37: 6fe6.*
+ 32a38: 5e92.*
+ 32a39: 0f23.*
+ 32a3a: 6fe6.*
+ 32a3b: 5e93.*
+ 32a3c: 0f23.*
+ 32a3d: 6fe6.*
+ 32a3e: 5e94.*
+ 32a3f: 0c24.*
+ 32a40: 6fe6.*
+ 32a41: 5e95.*
+ 32a42: 0d24.*
+ 32a43: 6fe6.*
+ 32a44: 5e96.*
+ 32a45: 0c24.*
+ 32a46: 6fe6.*
+ 32a47: 5e97.*
+ 32a48: 0e24.*
+ 32a49: 6fe6.*
+ 32a4a: 5e98.*
+ 32a4b: 0f24.*
+ 32a4c: 6fe6.*
+ 32a4d: 5e99.*
+ 32a4e: 0f24.*
+ 32a4f: 6fe6.*
+ 32a50: 5e9a.*
+ 32a51: 0c25.*
+ 32a52: 6fe6.*
+ 32a53: 5e9b.*
+ 32a54: 0d25.*
+ 32a55: 6fe6.*
+ 32a56: 5e9c.*
+ 32a57: 0c25.*
+ 32a58: 6fe6.*
+ 32a59: 5e9d.*
+ 32a5a: 0e25.*
+ 32a5b: 6fe6.*
+ 32a5c: 5e9e.*
+ 32a5d: 0f25.*
+ 32a5e: 6fe6.*
+ 32a5f: 5e9f.*
+ 32a60: 0f25.*
+ 32a61: 6fe6.*
+ 32a62: 5ea0.*
+ 32a63: 0c26.*
+ 32a64: 6fe6.*
+ 32a65: 5ea1.*
+ 32a66: 0d26.*
+ 32a67: 6fe6.*
+ 32a68: 5ea2.*
+ 32a69: 0c26.*
+ 32a6a: 6fe6.*
+ 32a6b: 5ea3.*
+ 32a6c: 0e26.*
+ 32a6d: 6fe6.*
+ 32a6e: 5ea4.*
+ 32a6f: 0f26.*
+ 32a70: 6fe6.*
+ 32a71: 5ea5.*
+ 32a72: 0f26.*
+ 32a73: 6fe6.*
+ 32a74: 5ea6.*
+ 32a75: 0c27.*
+ 32a76: 6fe6.*
+ 32a77: 5ea7.*
+ 32a78: 0d27.*
+ 32a79: 6fe6.*
+ 32a7a: 5ea8.*
+ 32a7b: 0c27.*
+ 32a7c: 6fe6.*
+ 32a7d: 5ea9.*
+ 32a7e: 0e27.*
+ 32a7f: 6fe6.*
+ 32a80: 5eaa.*
+ 32a81: 0f27.*
+ 32a82: 6fe6.*
+ 32a83: 5eab.*
+ 32a84: 0f27.*
+ 32a85: 6fe6.*
+ 32a86: 5eac.*
+ 32a87: 0c28.*
+ 32a88: 6fe6.*
+ 32a89: 5ead.*
+ 32a8a: 0d28.*
+ 32a8b: 6fe6.*
+ 32a8c: 5eae.*
+ 32a8d: 0c28.*
+ 32a8e: 6fe6.*
+ 32a8f: 5eaf.*
+ 32a90: 0e28.*
+ 32a91: 6fe6.*
+ 32a92: 5eb0.*
+ 32a93: 0f28.*
+ 32a94: 6fe6.*
+ 32a95: 5eb1.*
+ 32a96: 0f28.*
+ 32a97: 6fe6.*
+ 32a98: 5eb2.*
+ 32a99: 0c29.*
+ 32a9a: 6fe6.*
+ 32a9b: 5eb3.*
+ 32a9c: 0d29.*
+ 32a9d: 6fe6.*
+ 32a9e: 5eb4.*
+ 32a9f: 0c29.*
+ 32aa0: 6fe6.*
+ 32aa1: 5eb5.*
+ 32aa2: 0e29.*
+ 32aa3: 6fe6.*
+ 32aa4: 5eb6.*
+ 32aa5: 0f29.*
+ 32aa6: 6fe6.*
+ 32aa7: 5eb7.*
+ 32aa8: 0f29.*
+ 32aa9: 6fe6.*
+ 32aaa: 5eb8.*
+ 32aab: 0c2a.*
+ 32aac: 6fe6.*
+ 32aad: 5eb9.*
+ 32aae: 0d2a.*
+ 32aaf: 6fe6.*
+ 32ab0: 5eba.*
+ 32ab1: 0c2a.*
+ 32ab2: 6fe6.*
+ 32ab3: 5ebb.*
+ 32ab4: 0e2a.*
+ 32ab5: 6fe6.*
+ 32ab6: 5ebc.*
+ 32ab7: 0f2a.*
+ 32ab8: 6fe6.*
+ 32ab9: 5ebd.*
+ 32aba: 0f2a.*
+ 32abb: 6fe6.*
+ 32abc: 5ebe.*
+ 32abd: 0c2b.*
+ 32abe: 6fe6.*
+ 32abf: 5ebf.*
+ 32ac0: 0d2b.*
+ 32ac1: 6fe6.*
+ 32ac2: 5ec0.*
+ 32ac3: 0c2b.*
+ 32ac4: 6fe6.*
+ 32ac5: 5ec1.*
+ 32ac6: 0e2b.*
+ 32ac7: 6fe6.*
+ 32ac8: 5ec2.*
+ 32ac9: 0f2b.*
+ 32aca: 6fe6.*
+ 32acb: 5ec3.*
+ 32acc: 0f2b.*
+ 32acd: 6fe6.*
+ 32ace: 5ec4.*
+ 32acf: 0c2c.*
+ 32ad0: 6fe6.*
+ 32ad1: 5ec5.*
+ 32ad2: 0d2c.*
+ 32ad3: 6fe6.*
+ 32ad4: 5ec6.*
+ 32ad5: 0c2c.*
+ 32ad6: 6fe6.*
+ 32ad7: 5ec7.*
+ 32ad8: 0e2c.*
+ 32ad9: 6fe6.*
+ 32ada: 5ec8.*
+ 32adb: 0f2c.*
+ 32adc: 6fe6.*
+ 32add: 5ec9.*
+ 32ade: 0f2c.*
+ 32adf: 6fe6.*
+ 32ae0: 5eca.*
+ 32ae1: 0c2d.*
+ 32ae2: 6fe6.*
+ 32ae3: 5ecb.*
+ 32ae4: 0d2d.*
+ 32ae5: 6fe6.*
+ 32ae6: 5ecc.*
+ 32ae7: 0c2d.*
+ 32ae8: 6fe6.*
+ 32ae9: 5ecd.*
+ 32aea: 0e2d.*
+ 32aeb: 6fe6.*
+ 32aec: 5ece.*
+ 32aed: 0f2d.*
+ 32aee: 6fe6.*
+ 32aef: 5ecf.*
+ 32af0: 0f2d.*
+ 32af1: 6fe6.*
+ 32af2: 5ed0.*
+ 32af3: 0c2e.*
+ 32af4: 6fe6.*
+ 32af5: 5ed1.*
+ 32af6: 0d2e.*
+ 32af7: 6fe6.*
+ 32af8: 5ed2.*
+ 32af9: 0c2e.*
+ 32afa: 6fe6.*
+ 32afb: 5ed3.*
+ 32afc: 0e2e.*
+ 32afd: 6fe6.*
+ 32afe: 5ed4.*
+ 32aff: 0f2e.*
+ 32b00: 6fe6.*
+ 32b01: 5ed5.*
+ 32b02: 0f2e.*
+ 32b03: 6fe6.*
+ 32b04: 5ed6.*
+ 32b05: 0c2f.*
+ 32b06: 6fe6.*
+ 32b07: 5ed7.*
+ 32b08: 0d2f.*
+ 32b09: 6fe6.*
+ 32b0a: 5ed8.*
+ 32b0b: 0c2f.*
+ 32b0c: 6fe6.*
+ 32b0d: 5ed9.*
+ 32b0e: 0e2f.*
+ 32b0f: 6fe6.*
+ 32b10: 5eda.*
+ 32b11: 0f2f.*
+ 32b12: 6fe6.*
+ 32b13: 5edb.*
+ 32b14: 0f2f.*
+ 32b15: 08ee.*
+ 32b16: 5edc.*
+ 32b17: 6fee.*
+ 32b18: 5edd.*
+ 32b19: 0d20.*
+ 32b1a: 08ee.*
+ 32b1b: 5ede.*
+ 32b1c: 6fee.*
+ 32b1d: 5edf.*
+ 32b1e: 0e20.*
+ 32b1f: 09ee.*
+ 32b20: 5ee0.*
+ 32b21: 09ee.*
+ 32b22: 5ee1.*
+ 32b23: 6fee.*
+ 32b24: 5ee2.*
+ 32b25: 0c30.*
+ 32b26: 6fee.*
+ 32b27: 5ee3.*
+ 32b28: 0d30.*
+ 32b29: 6fee.*
+ 32b2a: 5ee4.*
+ 32b2b: 0c30.*
+ 32b2c: 6fee.*
+ 32b2d: 5ee5.*
+ 32b2e: 0e30.*
+ 32b2f: 6fee.*
+ 32b30: 5ee6.*
+ 32b31: 0f30.*
+ 32b32: 6fee.*
+ 32b33: 5ee7.*
+ 32b34: 0f30.*
+ 32b35: 6fee.*
+ 32b36: 5ee8.*
+ 32b37: 0c31.*
+ 32b38: 6fee.*
+ 32b39: 5ee9.*
+ 32b3a: 0d31.*
+ 32b3b: 6fee.*
+ 32b3c: 5eea.*
+ 32b3d: 0c31.*
+ 32b3e: 6fee.*
+ 32b3f: 5eeb.*
+ 32b40: 0e31.*
+ 32b41: 6fee.*
+ 32b42: 5eec.*
+ 32b43: 0f31.*
+ 32b44: 6fee.*
+ 32b45: 5eed.*
+ 32b46: 0f31.*
+ 32b47: 6fee.*
+ 32b48: 5eee.*
+ 32b49: 0c32.*
+ 32b4a: 6fee.*
+ 32b4b: 5eef.*
+ 32b4c: 0d32.*
+ 32b4d: 6fee.*
+ 32b4e: 5ef0.*
+ 32b4f: 0c32.*
+ 32b50: 6fee.*
+ 32b51: 5ef1.*
+ 32b52: 0e32.*
+ 32b53: 6fee.*
+ 32b54: 5ef2.*
+ 32b55: 0f32.*
+ 32b56: 6fee.*
+ 32b57: 5ef3.*
+ 32b58: 0f32.*
+ 32b59: 6fee.*
+ 32b5a: 5ef4.*
+ 32b5b: 0c33.*
+ 32b5c: 6fee.*
+ 32b5d: 5ef5.*
+ 32b5e: 0d33.*
+ 32b5f: 6fee.*
+ 32b60: 5ef6.*
+ 32b61: 0c33.*
+ 32b62: 6fee.*
+ 32b63: 5ef7.*
+ 32b64: 0e33.*
+ 32b65: 6fee.*
+ 32b66: 5ef8.*
+ 32b67: 0f33.*
+ 32b68: 6fee.*
+ 32b69: 5ef9.*
+ 32b6a: 0f33.*
+ 32b6b: 6fee.*
+ 32b6c: 5efa.*
+ 32b6d: 0c34.*
+ 32b6e: 6fee.*
+ 32b6f: 5efb.*
+ 32b70: 0d34.*
+ 32b71: 6fee.*
+ 32b72: 5efc.*
+ 32b73: 0c34.*
+ 32b74: 6fee.*
+ 32b75: 5efd.*
+ 32b76: 0e34.*
+ 32b77: 6fee.*
+ 32b78: 5efe.*
+ 32b79: 0f34.*
+ 32b7a: 6fee.*
+ 32b7b: 5eff.*
+ 32b7c: 0f34.*
+ 32b7d: 6fee.*
+ 32b7e: 5f00.*
+ 32b7f: 0c35.*
+ 32b80: 6fee.*
+ 32b81: 5f01.*
+ 32b82: 0d35.*
+ 32b83: 6fee.*
+ 32b84: 5f02.*
+ 32b85: 0c35.*
+ 32b86: 6fee.*
+ 32b87: 5f03.*
+ 32b88: 0e35.*
+ 32b89: 6fee.*
+ 32b8a: 5f04.*
+ 32b8b: 0f35.*
+ 32b8c: 6fee.*
+ 32b8d: 5f05.*
+ 32b8e: 0f35.*
+ 32b8f: 6fee.*
+ 32b90: 5f06.*
+ 32b91: 0c36.*
+ 32b92: 6fee.*
+ 32b93: 5f07.*
+ 32b94: 0d36.*
+ 32b95: 6fee.*
+ 32b96: 5f08.*
+ 32b97: 0c36.*
+ 32b98: 6fee.*
+ 32b99: 5f09.*
+ 32b9a: 0e36.*
+ 32b9b: 6fee.*
+ 32b9c: 5f0a.*
+ 32b9d: 0f36.*
+ 32b9e: 6fee.*
+ 32b9f: 5f0b.*
+ 32ba0: 0f36.*
+ 32ba1: 6fee.*
+ 32ba2: 5f0c.*
+ 32ba3: 0c37.*
+ 32ba4: 6fee.*
+ 32ba5: 5f0d.*
+ 32ba6: 0d37.*
+ 32ba7: 6fee.*
+ 32ba8: 5f0e.*
+ 32ba9: 0c37.*
+ 32baa: 6fee.*
+ 32bab: 5f0f.*
+ 32bac: 0e37.*
+ 32bad: 6fee.*
+ 32bae: 5f10.*
+ 32baf: 0f37.*
+ 32bb0: 6fee.*
+ 32bb1: 5f11.*
+ 32bb2: 0f37.*
+ 32bb3: 6fee.*
+ 32bb4: 5f12.*
+ 32bb5: 0c38.*
+ 32bb6: 6fee.*
+ 32bb7: 5f13.*
+ 32bb8: 0d38.*
+ 32bb9: 6fee.*
+ 32bba: 5f14.*
+ 32bbb: 0c38.*
+ 32bbc: 6fee.*
+ 32bbd: 5f15.*
+ 32bbe: 0e38.*
+ 32bbf: 6fee.*
+ 32bc0: 5f16.*
+ 32bc1: 0f38.*
+ 32bc2: 6fee.*
+ 32bc3: 5f17.*
+ 32bc4: 0f38.*
+ 32bc5: 6fee.*
+ 32bc6: 5f18.*
+ 32bc7: 0c39.*
+ 32bc8: 6fee.*
+ 32bc9: 5f19.*
+ 32bca: 0d39.*
+ 32bcb: 6fee.*
+ 32bcc: 5f1a.*
+ 32bcd: 0c39.*
+ 32bce: 6fee.*
+ 32bcf: 5f1b.*
+ 32bd0: 0e39.*
+ 32bd1: 6fee.*
+ 32bd2: 5f1c.*
+ 32bd3: 0f39.*
+ 32bd4: 6fee.*
+ 32bd5: 5f1d.*
+ 32bd6: 0f39.*
+ 32bd7: 6fee.*
+ 32bd8: 5f1e.*
+ 32bd9: 0c3a.*
+ 32bda: 6fee.*
+ 32bdb: 5f1f.*
+ 32bdc: 0d3a.*
+ 32bdd: 6fee.*
+ 32bde: 5f20.*
+ 32bdf: 0c3a.*
+ 32be0: 6fee.*
+ 32be1: 5f21.*
+ 32be2: 0e3a.*
+ 32be3: 6fee.*
+ 32be4: 5f22.*
+ 32be5: 0f3a.*
+ 32be6: 6fee.*
+ 32be7: 5f23.*
+ 32be8: 0f3a.*
+ 32be9: 6fee.*
+ 32bea: 5f24.*
+ 32beb: 0c3b.*
+ 32bec: 6fee.*
+ 32bed: 5f25.*
+ 32bee: 0d3b.*
+ 32bef: 6fee.*
+ 32bf0: 5f26.*
+ 32bf1: 0c3b.*
+ 32bf2: 6fee.*
+ 32bf3: 5f27.*
+ 32bf4: 0e3b.*
+ 32bf5: 6fee.*
+ 32bf6: 5f28.*
+ 32bf7: 0f3b.*
+ 32bf8: 6fee.*
+ 32bf9: 5f29.*
+ 32bfa: 0f3b.*
+ 32bfb: 6fee.*
+ 32bfc: 5f2a.*
+ 32bfd: 0c3c.*
+ 32bfe: 6fee.*
+ 32bff: 5f2b.*
+ 32c00: 0d3c.*
+ 32c01: 6fee.*
+ 32c02: 5f2c.*
+ 32c03: 0c3c.*
+ 32c04: 6fee.*
+ 32c05: 5f2d.*
+ 32c06: 0e3c.*
+ 32c07: 6fee.*
+ 32c08: 5f2e.*
+ 32c09: 0f3c.*
+ 32c0a: 6fee.*
+ 32c0b: 5f2f.*
+ 32c0c: 0f3c.*
+ 32c0d: 6fee.*
+ 32c0e: 5f30.*
+ 32c0f: 0c3d.*
+ 32c10: 6fee.*
+ 32c11: 5f31.*
+ 32c12: 0d3d.*
+ 32c13: 6fee.*
+ 32c14: 5f32.*
+ 32c15: 0c3d.*
+ 32c16: 6fee.*
+ 32c17: 5f33.*
+ 32c18: 0e3d.*
+ 32c19: 6fee.*
+ 32c1a: 5f34.*
+ 32c1b: 0f3d.*
+ 32c1c: 6fee.*
+ 32c1d: 5f35.*
+ 32c1e: 0f3d.*
+ 32c1f: 6fee.*
+ 32c20: 5f36.*
+ 32c21: 0c3e.*
+ 32c22: 6fee.*
+ 32c23: 5f37.*
+ 32c24: 0d3e.*
+ 32c25: 6fee.*
+ 32c26: 5f38.*
+ 32c27: 0c3e.*
+ 32c28: 6fee.*
+ 32c29: 5f39.*
+ 32c2a: 0e3e.*
+ 32c2b: 6fee.*
+ 32c2c: 5f3a.*
+ 32c2d: 0f3e.*
+ 32c2e: 6fee.*
+ 32c2f: 5f3b.*
+ 32c30: 0f3e.*
+ 32c31: 6fee.*
+ 32c32: 5f3c.*
+ 32c33: 0c3f.*
+ 32c34: 6fee.*
+ 32c35: 5f3d.*
+ 32c36: 0d3f.*
+ 32c37: 6fee.*
+ 32c38: 5f3e.*
+ 32c39: 0c3f.*
+ 32c3a: 6fee.*
+ 32c3b: 5f3f.*
+ 32c3c: 0e3f.*
+ 32c3d: 6fee.*
+ 32c3e: 5f40.*
+ 32c3f: 0f3f.*
+ 32c40: 6fee.*
+ 32c41: 5f41.*
+ 32c42: 0f3f.*
+ 32c43: 08ee.*
+ 32c44: 5f42.*
+ 32c45: 6fee.*
+ 32c46: 5f43.*
+ 32c47: 0d20.*
+ 32c48: 08ee.*
+ 32c49: 5f44.*
+ 32c4a: 6fee.*
+ 32c4b: 5f45.*
+ 32c4c: 0e20.*
+ 32c4d: 09ee.*
+ 32c4e: 5f46.*
+ 32c4f: 09ee.*
+ 32c50: 5f47.*
+ 32c51: 6fee.*
+ 32c52: 5f48.*
+ 32c53: 0c21.*
+ 32c54: 6fee.*
+ 32c55: 5f49.*
+ 32c56: 0d21.*
+ 32c57: 6fee.*
+ 32c58: 5f4a.*
+ 32c59: 0c21.*
+ 32c5a: 6fee.*
+ 32c5b: 5f4b.*
+ 32c5c: 0e21.*
+ 32c5d: 6fee.*
+ 32c5e: 5f4c.*
+ 32c5f: 0f21.*
+ 32c60: 6fee.*
+ 32c61: 5f4d.*
+ 32c62: 0f21.*
+ 32c63: 6fee.*
+ 32c64: 5f4e.*
+ 32c65: 0c22.*
+ 32c66: 6fee.*
+ 32c67: 5f4f.*
+ 32c68: 0d22.*
+ 32c69: 6fee.*
+ 32c6a: 5f50.*
+ 32c6b: 0c22.*
+ 32c6c: 6fee.*
+ 32c6d: 5f51.*
+ 32c6e: 0e22.*
+ 32c6f: 6fee.*
+ 32c70: 5f52.*
+ 32c71: 0f22.*
+ 32c72: 6fee.*
+ 32c73: 5f53.*
+ 32c74: 0f22.*
+ 32c75: 6fee.*
+ 32c76: 5f54.*
+ 32c77: 0c23.*
+ 32c78: 6fee.*
+ 32c79: 5f55.*
+ 32c7a: 0d23.*
+ 32c7b: 6fee.*
+ 32c7c: 5f56.*
+ 32c7d: 0c23.*
+ 32c7e: 6fee.*
+ 32c7f: 5f57.*
+ 32c80: 0e23.*
+ 32c81: 6fee.*
+ 32c82: 5f58.*
+ 32c83: 0f23.*
+ 32c84: 6fee.*
+ 32c85: 5f59.*
+ 32c86: 0f23.*
+ 32c87: 6fee.*
+ 32c88: 5f5a.*
+ 32c89: 0c24.*
+ 32c8a: 6fee.*
+ 32c8b: 5f5b.*
+ 32c8c: 0d24.*
+ 32c8d: 6fee.*
+ 32c8e: 5f5c.*
+ 32c8f: 0c24.*
+ 32c90: 6fee.*
+ 32c91: 5f5d.*
+ 32c92: 0e24.*
+ 32c93: 6fee.*
+ 32c94: 5f5e.*
+ 32c95: 0f24.*
+ 32c96: 6fee.*
+ 32c97: 5f5f.*
+ 32c98: 0f24.*
+ 32c99: 6fee.*
+ 32c9a: 5f60.*
+ 32c9b: 0c25.*
+ 32c9c: 6fee.*
+ 32c9d: 5f61.*
+ 32c9e: 0d25.*
+ 32c9f: 6fee.*
+ 32ca0: 5f62.*
+ 32ca1: 0c25.*
+ 32ca2: 6fee.*
+ 32ca3: 5f63.*
+ 32ca4: 0e25.*
+ 32ca5: 6fee.*
+ 32ca6: 5f64.*
+ 32ca7: 0f25.*
+ 32ca8: 6fee.*
+ 32ca9: 5f65.*
+ 32caa: 0f25.*
+ 32cab: 6fee.*
+ 32cac: 5f66.*
+ 32cad: 0c26.*
+ 32cae: 6fee.*
+ 32caf: 5f67.*
+ 32cb0: 0d26.*
+ 32cb1: 6fee.*
+ 32cb2: 5f68.*
+ 32cb3: 0c26.*
+ 32cb4: 6fee.*
+ 32cb5: 5f69.*
+ 32cb6: 0e26.*
+ 32cb7: 6fee.*
+ 32cb8: 5f6a.*
+ 32cb9: 0f26.*
+ 32cba: 6fee.*
+ 32cbb: 5f6b.*
+ 32cbc: 0f26.*
+ 32cbd: 6fee.*
+ 32cbe: 5f6c.*
+ 32cbf: 0c27.*
+ 32cc0: 6fee.*
+ 32cc1: 5f6d.*
+ 32cc2: 0d27.*
+ 32cc3: 6fee.*
+ 32cc4: 5f6e.*
+ 32cc5: 0c27.*
+ 32cc6: 6fee.*
+ 32cc7: 5f6f.*
+ 32cc8: 0e27.*
+ 32cc9: 6fee.*
+ 32cca: 5f70.*
+ 32ccb: 0f27.*
+ 32ccc: 6fee.*
+ 32ccd: 5f71.*
+ 32cce: 0f27.*
+ 32ccf: 6fee.*
+ 32cd0: 5f72.*
+ 32cd1: 0c28.*
+ 32cd2: 6fee.*
+ 32cd3: 5f73.*
+ 32cd4: 0d28.*
+ 32cd5: 6fee.*
+ 32cd6: 5f74.*
+ 32cd7: 0c28.*
+ 32cd8: 6fee.*
+ 32cd9: 5f75.*
+ 32cda: 0e28.*
+ 32cdb: 6fee.*
+ 32cdc: 5f76.*
+ 32cdd: 0f28.*
+ 32cde: 6fee.*
+ 32cdf: 5f77.*
+ 32ce0: 0f28.*
+ 32ce1: 6fee.*
+ 32ce2: 5f78.*
+ 32ce3: 0c29.*
+ 32ce4: 6fee.*
+ 32ce5: 5f79.*
+ 32ce6: 0d29.*
+ 32ce7: 6fee.*
+ 32ce8: 5f7a.*
+ 32ce9: 0c29.*
+ 32cea: 6fee.*
+ 32ceb: 5f7b.*
+ 32cec: 0e29.*
+ 32ced: 6fee.*
+ 32cee: 5f7c.*
+ 32cef: 0f29.*
+ 32cf0: 6fee.*
+ 32cf1: 5f7d.*
+ 32cf2: 0f29.*
+ 32cf3: 6fee.*
+ 32cf4: 5f7e.*
+ 32cf5: 0c2a.*
+ 32cf6: 6fee.*
+ 32cf7: 5f7f.*
+ 32cf8: 0d2a.*
+ 32cf9: 6fee.*
+ 32cfa: 5f80.*
+ 32cfb: 0c2a.*
+ 32cfc: 6fee.*
+ 32cfd: 5f81.*
+ 32cfe: 0e2a.*
+ 32cff: 6fee.*
+ 32d00: 5f82.*
+ 32d01: 0f2a.*
+ 32d02: 6fee.*
+ 32d03: 5f83.*
+ 32d04: 0f2a.*
+ 32d05: 6fee.*
+ 32d06: 5f84.*
+ 32d07: 0c2b.*
+ 32d08: 6fee.*
+ 32d09: 5f85.*
+ 32d0a: 0d2b.*
+ 32d0b: 6fee.*
+ 32d0c: 5f86.*
+ 32d0d: 0c2b.*
+ 32d0e: 6fee.*
+ 32d0f: 5f87.*
+ 32d10: 0e2b.*
+ 32d11: 6fee.*
+ 32d12: 5f88.*
+ 32d13: 0f2b.*
+ 32d14: 6fee.*
+ 32d15: 5f89.*
+ 32d16: 0f2b.*
+ 32d17: 6fee.*
+ 32d18: 5f8a.*
+ 32d19: 0c2c.*
+ 32d1a: 6fee.*
+ 32d1b: 5f8b.*
+ 32d1c: 0d2c.*
+ 32d1d: 6fee.*
+ 32d1e: 5f8c.*
+ 32d1f: 0c2c.*
+ 32d20: 6fee.*
+ 32d21: 5f8d.*
+ 32d22: 0e2c.*
+ 32d23: 6fee.*
+ 32d24: 5f8e.*
+ 32d25: 0f2c.*
+ 32d26: 6fee.*
+ 32d27: 5f8f.*
+ 32d28: 0f2c.*
+ 32d29: 6fee.*
+ 32d2a: 5f90.*
+ 32d2b: 0c2d.*
+ 32d2c: 6fee.*
+ 32d2d: 5f91.*
+ 32d2e: 0d2d.*
+ 32d2f: 6fee.*
+ 32d30: 5f92.*
+ 32d31: 0c2d.*
+ 32d32: 6fee.*
+ 32d33: 5f93.*
+ 32d34: 0e2d.*
+ 32d35: 6fee.*
+ 32d36: 5f94.*
+ 32d37: 0f2d.*
+ 32d38: 6fee.*
+ 32d39: 5f95.*
+ 32d3a: 0f2d.*
+ 32d3b: 6fee.*
+ 32d3c: 5f96.*
+ 32d3d: 0c2e.*
+ 32d3e: 6fee.*
+ 32d3f: 5f97.*
+ 32d40: 0d2e.*
+ 32d41: 6fee.*
+ 32d42: 5f98.*
+ 32d43: 0c2e.*
+ 32d44: 6fee.*
+ 32d45: 5f99.*
+ 32d46: 0e2e.*
+ 32d47: 6fee.*
+ 32d48: 5f9a.*
+ 32d49: 0f2e.*
+ 32d4a: 6fee.*
+ 32d4b: 5f9b.*
+ 32d4c: 0f2e.*
+ 32d4d: 6fee.*
+ 32d4e: 5f9c.*
+ 32d4f: 0c2f.*
+ 32d50: 6fee.*
+ 32d51: 5f9d.*
+ 32d52: 0d2f.*
+ 32d53: 6fee.*
+ 32d54: 5f9e.*
+ 32d55: 0c2f.*
+ 32d56: 6fee.*
+ 32d57: 5f9f.*
+ 32d58: 0e2f.*
+ 32d59: 6fee.*
+ 32d5a: 5fa0.*
+ 32d5b: 0f2f.*
+ 32d5c: 6fee.*
+ 32d5d: 5fa1.*
+ 32d5e: 0f2f.*
+ 32d5f: 08f6.*
+ 32d60: 5fa2.*
+ 32d61: 6ff6.*
+ 32d62: 5fa3.*
+ 32d63: 0d20.*
+ 32d64: 08f6.*
+ 32d65: 5fa4.*
+ 32d66: 6ff6.*
+ 32d67: 5fa5.*
+ 32d68: 0e20.*
+ 32d69: 09f6.*
+ 32d6a: 5fa6.*
+ 32d6b: 09f6.*
+ 32d6c: 5fa7.*
+ 32d6d: 6ff6.*
+ 32d6e: 5fa8.*
+ 32d6f: 0c30.*
+ 32d70: 6ff6.*
+ 32d71: 5fa9.*
+ 32d72: 0d30.*
+ 32d73: 6ff6.*
+ 32d74: 5faa.*
+ 32d75: 0c30.*
+ 32d76: 6ff6.*
+ 32d77: 5fab.*
+ 32d78: 0e30.*
+ 32d79: 6ff6.*
+ 32d7a: 5fac.*
+ 32d7b: 0f30.*
+ 32d7c: 6ff6.*
+ 32d7d: 5fad.*
+ 32d7e: 0f30.*
+ 32d7f: 6ff6.*
+ 32d80: 5fae.*
+ 32d81: 0c31.*
+ 32d82: 6ff6.*
+ 32d83: 5faf.*
+ 32d84: 0d31.*
+ 32d85: 6ff6.*
+ 32d86: 5fb0.*
+ 32d87: 0c31.*
+ 32d88: 6ff6.*
+ 32d89: 5fb1.*
+ 32d8a: 0e31.*
+ 32d8b: 6ff6.*
+ 32d8c: 5fb2.*
+ 32d8d: 0f31.*
+ 32d8e: 6ff6.*
+ 32d8f: 5fb3.*
+ 32d90: 0f31.*
+ 32d91: 6ff6.*
+ 32d92: 5fb4.*
+ 32d93: 0c32.*
+ 32d94: 6ff6.*
+ 32d95: 5fb5.*
+ 32d96: 0d32.*
+ 32d97: 6ff6.*
+ 32d98: 5fb6.*
+ 32d99: 0c32.*
+ 32d9a: 6ff6.*
+ 32d9b: 5fb7.*
+ 32d9c: 0e32.*
+ 32d9d: 6ff6.*
+ 32d9e: 5fb8.*
+ 32d9f: 0f32.*
+ 32da0: 6ff6.*
+ 32da1: 5fb9.*
+ 32da2: 0f32.*
+ 32da3: 6ff6.*
+ 32da4: 5fba.*
+ 32da5: 0c33.*
+ 32da6: 6ff6.*
+ 32da7: 5fbb.*
+ 32da8: 0d33.*
+ 32da9: 6ff6.*
+ 32daa: 5fbc.*
+ 32dab: 0c33.*
+ 32dac: 6ff6.*
+ 32dad: 5fbd.*
+ 32dae: 0e33.*
+ 32daf: 6ff6.*
+ 32db0: 5fbe.*
+ 32db1: 0f33.*
+ 32db2: 6ff6.*
+ 32db3: 5fbf.*
+ 32db4: 0f33.*
+ 32db5: 6ff6.*
+ 32db6: 5fc0.*
+ 32db7: 0c34.*
+ 32db8: 6ff6.*
+ 32db9: 5fc1.*
+ 32dba: 0d34.*
+ 32dbb: 6ff6.*
+ 32dbc: 5fc2.*
+ 32dbd: 0c34.*
+ 32dbe: 6ff6.*
+ 32dbf: 5fc3.*
+ 32dc0: 0e34.*
+ 32dc1: 6ff6.*
+ 32dc2: 5fc4.*
+ 32dc3: 0f34.*
+ 32dc4: 6ff6.*
+ 32dc5: 5fc5.*
+ 32dc6: 0f34.*
+ 32dc7: 6ff6.*
+ 32dc8: 5fc6.*
+ 32dc9: 0c35.*
+ 32dca: 6ff6.*
+ 32dcb: 5fc7.*
+ 32dcc: 0d35.*
+ 32dcd: 6ff6.*
+ 32dce: 5fc8.*
+ 32dcf: 0c35.*
+ 32dd0: 6ff6.*
+ 32dd1: 5fc9.*
+ 32dd2: 0e35.*
+ 32dd3: 6ff6.*
+ 32dd4: 5fca.*
+ 32dd5: 0f35.*
+ 32dd6: 6ff6.*
+ 32dd7: 5fcb.*
+ 32dd8: 0f35.*
+ 32dd9: 6ff6.*
+ 32dda: 5fcc.*
+ 32ddb: 0c36.*
+ 32ddc: 6ff6.*
+ 32ddd: 5fcd.*
+ 32dde: 0d36.*
+ 32ddf: 6ff6.*
+ 32de0: 5fce.*
+ 32de1: 0c36.*
+ 32de2: 6ff6.*
+ 32de3: 5fcf.*
+ 32de4: 0e36.*
+ 32de5: 6ff6.*
+ 32de6: 5fd0.*
+ 32de7: 0f36.*
+ 32de8: 6ff6.*
+ 32de9: 5fd1.*
+ 32dea: 0f36.*
+ 32deb: 6ff6.*
+ 32dec: 5fd2.*
+ 32ded: 0c37.*
+ 32dee: 6ff6.*
+ 32def: 5fd3.*
+ 32df0: 0d37.*
+ 32df1: 6ff6.*
+ 32df2: 5fd4.*
+ 32df3: 0c37.*
+ 32df4: 6ff6.*
+ 32df5: 5fd5.*
+ 32df6: 0e37.*
+ 32df7: 6ff6.*
+ 32df8: 5fd6.*
+ 32df9: 0f37.*
+ 32dfa: 6ff6.*
+ 32dfb: 5fd7.*
+ 32dfc: 0f37.*
+ 32dfd: 6ff6.*
+ 32dfe: 5fd8.*
+ 32dff: 0c38.*
+ 32e00: 6ff6.*
+ 32e01: 5fd9.*
+ 32e02: 0d38.*
+ 32e03: 6ff6.*
+ 32e04: 5fda.*
+ 32e05: 0c38.*
+ 32e06: 6ff6.*
+ 32e07: 5fdb.*
+ 32e08: 0e38.*
+ 32e09: 6ff6.*
+ 32e0a: 5fdc.*
+ 32e0b: 0f38.*
+ 32e0c: 6ff6.*
+ 32e0d: 5fdd.*
+ 32e0e: 0f38.*
+ 32e0f: 6ff6.*
+ 32e10: 5fde.*
+ 32e11: 0c39.*
+ 32e12: 6ff6.*
+ 32e13: 5fdf.*
+ 32e14: 0d39.*
+ 32e15: 6ff6.*
+ 32e16: 5fe0.*
+ 32e17: 0c39.*
+ 32e18: 6ff6.*
+ 32e19: 5fe1.*
+ 32e1a: 0e39.*
+ 32e1b: 6ff6.*
+ 32e1c: 5fe2.*
+ 32e1d: 0f39.*
+ 32e1e: 6ff6.*
+ 32e1f: 5fe3.*
+ 32e20: 0f39.*
+ 32e21: 6ff6.*
+ 32e22: 5fe4.*
+ 32e23: 0c3a.*
+ 32e24: 6ff6.*
+ 32e25: 5fe5.*
+ 32e26: 0d3a.*
+ 32e27: 6ff6.*
+ 32e28: 5fe6.*
+ 32e29: 0c3a.*
+ 32e2a: 6ff6.*
+ 32e2b: 5fe7.*
+ 32e2c: 0e3a.*
+ 32e2d: 6ff6.*
+ 32e2e: 5fe8.*
+ 32e2f: 0f3a.*
+ 32e30: 6ff6.*
+ 32e31: 5fe9.*
+ 32e32: 0f3a.*
+ 32e33: 6ff6.*
+ 32e34: 5fea.*
+ 32e35: 0c3b.*
+ 32e36: 6ff6.*
+ 32e37: 5feb.*
+ 32e38: 0d3b.*
+ 32e39: 6ff6.*
+ 32e3a: 5fec.*
+ 32e3b: 0c3b.*
+ 32e3c: 6ff6.*
+ 32e3d: 5fed.*
+ 32e3e: 0e3b.*
+ 32e3f: 6ff6.*
+ 32e40: 5fee.*
+ 32e41: 0f3b.*
+ 32e42: 6ff6.*
+ 32e43: 5fef.*
+ 32e44: 0f3b.*
+ 32e45: 6ff6.*
+ 32e46: 5ff0.*
+ 32e47: 0c3c.*
+ 32e48: 6ff6.*
+ 32e49: 5ff1.*
+ 32e4a: 0d3c.*
+ 32e4b: 6ff6.*
+ 32e4c: 5ff2.*
+ 32e4d: 0c3c.*
+ 32e4e: 6ff6.*
+ 32e4f: 5ff3.*
+ 32e50: 0e3c.*
+ 32e51: 6ff6.*
+ 32e52: 5ff4.*
+ 32e53: 0f3c.*
+ 32e54: 6ff6.*
+ 32e55: 5ff5.*
+ 32e56: 0f3c.*
+ 32e57: 6ff6.*
+ 32e58: 5ff6.*
+ 32e59: 0c3d.*
+ 32e5a: 6ff6.*
+ 32e5b: 5ff7.*
+ 32e5c: 0d3d.*
+ 32e5d: 6ff6.*
+ 32e5e: 5ff8.*
+ 32e5f: 0c3d.*
+ 32e60: 6ff6.*
+ 32e61: 5ff9.*
+ 32e62: 0e3d.*
+ 32e63: 6ff6.*
+ 32e64: 5ffa.*
+ 32e65: 0f3d.*
+ 32e66: 6ff6.*
+ 32e67: 5ffb.*
+ 32e68: 0f3d.*
+ 32e69: 6ff6.*
+ 32e6a: 5ffc.*
+ 32e6b: 0c3e.*
+ 32e6c: 6ff6.*
+ 32e6d: 5ffd.*
+ 32e6e: 0d3e.*
+ 32e6f: 6ff6.*
+ 32e70: 5ffe.*
+ 32e71: 0c3e.*
+ 32e72: 6ff6.*
+ 32e73: 5fff.*
+ 32e74: 0e3e.*
+ 32e75: 6ff6.*
+ 32e76: 6000.*
+ 32e77: 0f3e.*
+ 32e78: 6ff6.*
+ 32e79: 6001.*
+ 32e7a: 0f3e.*
+ 32e7b: 6ff6.*
+ 32e7c: 6002.*
+ 32e7d: 0c3f.*
+ 32e7e: 6ff6.*
+ 32e7f: 6003.*
+ 32e80: 0d3f.*
+ 32e81: 6ff6.*
+ 32e82: 6004.*
+ 32e83: 0c3f.*
+ 32e84: 6ff6.*
+ 32e85: 6005.*
+ 32e86: 0e3f.*
+ 32e87: 6ff6.*
+ 32e88: 6006.*
+ 32e89: 0f3f.*
+ 32e8a: 6ff6.*
+ 32e8b: 6007.*
+ 32e8c: 0f3f.*
+ 32e8d: 08f6.*
+ 32e8e: 6008.*
+ 32e8f: 6ff6.*
+ 32e90: 6009.*
+ 32e91: 0d20.*
+ 32e92: 08f6.*
+ 32e93: 600a.*
+ 32e94: 6ff6.*
+ 32e95: 600b.*
+ 32e96: 0e20.*
+ 32e97: 09f6.*
+ 32e98: 600c.*
+ 32e99: 09f6.*
+ 32e9a: 600d.*
+ 32e9b: 6ff6.*
+ 32e9c: 600e.*
+ 32e9d: 0c21.*
+ 32e9e: 6ff6.*
+ 32e9f: 600f.*
+ 32ea0: 0d21.*
+ 32ea1: 6ff6.*
+ 32ea2: 6010.*
+ 32ea3: 0c21.*
+ 32ea4: 6ff6.*
+ 32ea5: 6011.*
+ 32ea6: 0e21.*
+ 32ea7: 6ff6.*
+ 32ea8: 6012.*
+ 32ea9: 0f21.*
+ 32eaa: 6ff6.*
+ 32eab: 6013.*
+ 32eac: 0f21.*
+ 32ead: 6ff6.*
+ 32eae: 6014.*
+ 32eaf: 0c22.*
+ 32eb0: 6ff6.*
+ 32eb1: 6015.*
+ 32eb2: 0d22.*
+ 32eb3: 6ff6.*
+ 32eb4: 6016.*
+ 32eb5: 0c22.*
+ 32eb6: 6ff6.*
+ 32eb7: 6017.*
+ 32eb8: 0e22.*
+ 32eb9: 6ff6.*
+ 32eba: 6018.*
+ 32ebb: 0f22.*
+ 32ebc: 6ff6.*
+ 32ebd: 6019.*
+ 32ebe: 0f22.*
+ 32ebf: 6ff6.*
+ 32ec0: 601a.*
+ 32ec1: 0c23.*
+ 32ec2: 6ff6.*
+ 32ec3: 601b.*
+ 32ec4: 0d23.*
+ 32ec5: 6ff6.*
+ 32ec6: 601c.*
+ 32ec7: 0c23.*
+ 32ec8: 6ff6.*
+ 32ec9: 601d.*
+ 32eca: 0e23.*
+ 32ecb: 6ff6.*
+ 32ecc: 601e.*
+ 32ecd: 0f23.*
+ 32ece: 6ff6.*
+ 32ecf: 601f.*
+ 32ed0: 0f23.*
+ 32ed1: 6ff6.*
+ 32ed2: 6020.*
+ 32ed3: 0c24.*
+ 32ed4: 6ff6.*
+ 32ed5: 6021.*
+ 32ed6: 0d24.*
+ 32ed7: 6ff6.*
+ 32ed8: 6022.*
+ 32ed9: 0c24.*
+ 32eda: 6ff6.*
+ 32edb: 6023.*
+ 32edc: 0e24.*
+ 32edd: 6ff6.*
+ 32ede: 6024.*
+ 32edf: 0f24.*
+ 32ee0: 6ff6.*
+ 32ee1: 6025.*
+ 32ee2: 0f24.*
+ 32ee3: 6ff6.*
+ 32ee4: 6026.*
+ 32ee5: 0c25.*
+ 32ee6: 6ff6.*
+ 32ee7: 6027.*
+ 32ee8: 0d25.*
+ 32ee9: 6ff6.*
+ 32eea: 6028.*
+ 32eeb: 0c25.*
+ 32eec: 6ff6.*
+ 32eed: 6029.*
+ 32eee: 0e25.*
+ 32eef: 6ff6.*
+ 32ef0: 602a.*
+ 32ef1: 0f25.*
+ 32ef2: 6ff6.*
+ 32ef3: 602b.*
+ 32ef4: 0f25.*
+ 32ef5: 6ff6.*
+ 32ef6: 602c.*
+ 32ef7: 0c26.*
+ 32ef8: 6ff6.*
+ 32ef9: 602d.*
+ 32efa: 0d26.*
+ 32efb: 6ff6.*
+ 32efc: 602e.*
+ 32efd: 0c26.*
+ 32efe: 6ff6.*
+ 32eff: 602f.*
+ 32f00: 0e26.*
+ 32f01: 6ff6.*
+ 32f02: 6030.*
+ 32f03: 0f26.*
+ 32f04: 6ff6.*
+ 32f05: 6031.*
+ 32f06: 0f26.*
+ 32f07: 6ff6.*
+ 32f08: 6032.*
+ 32f09: 0c27.*
+ 32f0a: 6ff6.*
+ 32f0b: 6033.*
+ 32f0c: 0d27.*
+ 32f0d: 6ff6.*
+ 32f0e: 6034.*
+ 32f0f: 0c27.*
+ 32f10: 6ff6.*
+ 32f11: 6035.*
+ 32f12: 0e27.*
+ 32f13: 6ff6.*
+ 32f14: 6036.*
+ 32f15: 0f27.*
+ 32f16: 6ff6.*
+ 32f17: 6037.*
+ 32f18: 0f27.*
+ 32f19: 6ff6.*
+ 32f1a: 6038.*
+ 32f1b: 0c28.*
+ 32f1c: 6ff6.*
+ 32f1d: 6039.*
+ 32f1e: 0d28.*
+ 32f1f: 6ff6.*
+ 32f20: 603a.*
+ 32f21: 0c28.*
+ 32f22: 6ff6.*
+ 32f23: 603b.*
+ 32f24: 0e28.*
+ 32f25: 6ff6.*
+ 32f26: 603c.*
+ 32f27: 0f28.*
+ 32f28: 6ff6.*
+ 32f29: 603d.*
+ 32f2a: 0f28.*
+ 32f2b: 6ff6.*
+ 32f2c: 603e.*
+ 32f2d: 0c29.*
+ 32f2e: 6ff6.*
+ 32f2f: 603f.*
+ 32f30: 0d29.*
+ 32f31: 6ff6.*
+ 32f32: 6040.*
+ 32f33: 0c29.*
+ 32f34: 6ff6.*
+ 32f35: 6041.*
+ 32f36: 0e29.*
+ 32f37: 6ff6.*
+ 32f38: 6042.*
+ 32f39: 0f29.*
+ 32f3a: 6ff6.*
+ 32f3b: 6043.*
+ 32f3c: 0f29.*
+ 32f3d: 6ff6.*
+ 32f3e: 6044.*
+ 32f3f: 0c2a.*
+ 32f40: 6ff6.*
+ 32f41: 6045.*
+ 32f42: 0d2a.*
+ 32f43: 6ff6.*
+ 32f44: 6046.*
+ 32f45: 0c2a.*
+ 32f46: 6ff6.*
+ 32f47: 6047.*
+ 32f48: 0e2a.*
+ 32f49: 6ff6.*
+ 32f4a: 6048.*
+ 32f4b: 0f2a.*
+ 32f4c: 6ff6.*
+ 32f4d: 6049.*
+ 32f4e: 0f2a.*
+ 32f4f: 6ff6.*
+ 32f50: 604a.*
+ 32f51: 0c2b.*
+ 32f52: 6ff6.*
+ 32f53: 604b.*
+ 32f54: 0d2b.*
+ 32f55: 6ff6.*
+ 32f56: 604c.*
+ 32f57: 0c2b.*
+ 32f58: 6ff6.*
+ 32f59: 604d.*
+ 32f5a: 0e2b.*
+ 32f5b: 6ff6.*
+ 32f5c: 604e.*
+ 32f5d: 0f2b.*
+ 32f5e: 6ff6.*
+ 32f5f: 604f.*
+ 32f60: 0f2b.*
+ 32f61: 6ff6.*
+ 32f62: 6050.*
+ 32f63: 0c2c.*
+ 32f64: 6ff6.*
+ 32f65: 6051.*
+ 32f66: 0d2c.*
+ 32f67: 6ff6.*
+ 32f68: 6052.*
+ 32f69: 0c2c.*
+ 32f6a: 6ff6.*
+ 32f6b: 6053.*
+ 32f6c: 0e2c.*
+ 32f6d: 6ff6.*
+ 32f6e: 6054.*
+ 32f6f: 0f2c.*
+ 32f70: 6ff6.*
+ 32f71: 6055.*
+ 32f72: 0f2c.*
+ 32f73: 6ff6.*
+ 32f74: 6056.*
+ 32f75: 0c2d.*
+ 32f76: 6ff6.*
+ 32f77: 6057.*
+ 32f78: 0d2d.*
+ 32f79: 6ff6.*
+ 32f7a: 6058.*
+ 32f7b: 0c2d.*
+ 32f7c: 6ff6.*
+ 32f7d: 6059.*
+ 32f7e: 0e2d.*
+ 32f7f: 6ff6.*
+ 32f80: 605a.*
+ 32f81: 0f2d.*
+ 32f82: 6ff6.*
+ 32f83: 605b.*
+ 32f84: 0f2d.*
+ 32f85: 6ff6.*
+ 32f86: 605c.*
+ 32f87: 0c2e.*
+ 32f88: 6ff6.*
+ 32f89: 605d.*
+ 32f8a: 0d2e.*
+ 32f8b: 6ff6.*
+ 32f8c: 605e.*
+ 32f8d: 0c2e.*
+ 32f8e: 6ff6.*
+ 32f8f: 605f.*
+ 32f90: 0e2e.*
+ 32f91: 6ff6.*
+ 32f92: 6060.*
+ 32f93: 0f2e.*
+ 32f94: 6ff6.*
+ 32f95: 6061.*
+ 32f96: 0f2e.*
+ 32f97: 6ff6.*
+ 32f98: 6062.*
+ 32f99: 0c2f.*
+ 32f9a: 6ff6.*
+ 32f9b: 6063.*
+ 32f9c: 0d2f.*
+ 32f9d: 6ff6.*
+ 32f9e: 6064.*
+ 32f9f: 0c2f.*
+ 32fa0: 6ff6.*
+ 32fa1: 6065.*
+ 32fa2: 0e2f.*
+ 32fa3: 6ff6.*
+ 32fa4: 6066.*
+ 32fa5: 0f2f.*
+ 32fa6: 6ff6.*
+ 32fa7: 6067.*
+ 32fa8: 0f2f.*
+ 32fa9: 08f8.*
+ 32faa: 6068.*
+ 32fab: 6ff8.*
+ 32fac: 6069.*
+ 32fad: 0d20.*
+ 32fae: 08f8.*
+ 32faf: 606a.*
+ 32fb0: 6ff8.*
+ 32fb1: 606b.*
+ 32fb2: 0e20.*
+ 32fb3: 09f8.*
+ 32fb4: 606c.*
+ 32fb5: 09f8.*
+ 32fb6: 606d.*
+ 32fb7: 6ff8.*
+ 32fb8: 606e.*
+ 32fb9: 0c30.*
+ 32fba: 6ff8.*
+ 32fbb: 606f.*
+ 32fbc: 0d30.*
+ 32fbd: 6ff8.*
+ 32fbe: 6070.*
+ 32fbf: 0c30.*
+ 32fc0: 6ff8.*
+ 32fc1: 6071.*
+ 32fc2: 0e30.*
+ 32fc3: 6ff8.*
+ 32fc4: 6072.*
+ 32fc5: 0f30.*
+ 32fc6: 6ff8.*
+ 32fc7: 6073.*
+ 32fc8: 0f30.*
+ 32fc9: 6ff8.*
+ 32fca: 6074.*
+ 32fcb: 0c31.*
+ 32fcc: 6ff8.*
+ 32fcd: 6075.*
+ 32fce: 0d31.*
+ 32fcf: 6ff8.*
+ 32fd0: 6076.*
+ 32fd1: 0c31.*
+ 32fd2: 6ff8.*
+ 32fd3: 6077.*
+ 32fd4: 0e31.*
+ 32fd5: 6ff8.*
+ 32fd6: 6078.*
+ 32fd7: 0f31.*
+ 32fd8: 6ff8.*
+ 32fd9: 6079.*
+ 32fda: 0f31.*
+ 32fdb: 6ff8.*
+ 32fdc: 607a.*
+ 32fdd: 0c32.*
+ 32fde: 6ff8.*
+ 32fdf: 607b.*
+ 32fe0: 0d32.*
+ 32fe1: 6ff8.*
+ 32fe2: 607c.*
+ 32fe3: 0c32.*
+ 32fe4: 6ff8.*
+ 32fe5: 607d.*
+ 32fe6: 0e32.*
+ 32fe7: 6ff8.*
+ 32fe8: 607e.*
+ 32fe9: 0f32.*
+ 32fea: 6ff8.*
+ 32feb: 607f.*
+ 32fec: 0f32.*
+ 32fed: 6ff8.*
+ 32fee: 6080.*
+ 32fef: 0c33.*
+ 32ff0: 6ff8.*
+ 32ff1: 6081.*
+ 32ff2: 0d33.*
+ 32ff3: 6ff8.*
+ 32ff4: 6082.*
+ 32ff5: 0c33.*
+ 32ff6: 6ff8.*
+ 32ff7: 6083.*
+ 32ff8: 0e33.*
+ 32ff9: 6ff8.*
+ 32ffa: 6084.*
+ 32ffb: 0f33.*
+ 32ffc: 6ff8.*
+ 32ffd: 6085.*
+ 32ffe: 0f33.*
+ 32fff: 6ff8.*
+ 33000: 6086.*
+ 33001: 0c34.*
+ 33002: 6ff8.*
+ 33003: 6087.*
+ 33004: 0d34.*
+ 33005: 6ff8.*
+ 33006: 6088.*
+ 33007: 0c34.*
+ 33008: 6ff8.*
+ 33009: 6089.*
+ 3300a: 0e34.*
+ 3300b: 6ff8.*
+ 3300c: 608a.*
+ 3300d: 0f34.*
+ 3300e: 6ff8.*
+ 3300f: 608b.*
+ 33010: 0f34.*
+ 33011: 6ff8.*
+ 33012: 608c.*
+ 33013: 0c35.*
+ 33014: 6ff8.*
+ 33015: 608d.*
+ 33016: 0d35.*
+ 33017: 6ff8.*
+ 33018: 608e.*
+ 33019: 0c35.*
+ 3301a: 6ff8.*
+ 3301b: 608f.*
+ 3301c: 0e35.*
+ 3301d: 6ff8.*
+ 3301e: 6090.*
+ 3301f: 0f35.*
+ 33020: 6ff8.*
+ 33021: 6091.*
+ 33022: 0f35.*
+ 33023: 6ff8.*
+ 33024: 6092.*
+ 33025: 0c36.*
+ 33026: 6ff8.*
+ 33027: 6093.*
+ 33028: 0d36.*
+ 33029: 6ff8.*
+ 3302a: 6094.*
+ 3302b: 0c36.*
+ 3302c: 6ff8.*
+ 3302d: 6095.*
+ 3302e: 0e36.*
+ 3302f: 6ff8.*
+ 33030: 6096.*
+ 33031: 0f36.*
+ 33032: 6ff8.*
+ 33033: 6097.*
+ 33034: 0f36.*
+ 33035: 6ff8.*
+ 33036: 6098.*
+ 33037: 0c37.*
+ 33038: 6ff8.*
+ 33039: 6099.*
+ 3303a: 0d37.*
+ 3303b: 6ff8.*
+ 3303c: 609a.*
+ 3303d: 0c37.*
+ 3303e: 6ff8.*
+ 3303f: 609b.*
+ 33040: 0e37.*
+ 33041: 6ff8.*
+ 33042: 609c.*
+ 33043: 0f37.*
+ 33044: 6ff8.*
+ 33045: 609d.*
+ 33046: 0f37.*
+ 33047: 6ff8.*
+ 33048: 609e.*
+ 33049: 0c38.*
+ 3304a: 6ff8.*
+ 3304b: 609f.*
+ 3304c: 0d38.*
+ 3304d: 6ff8.*
+ 3304e: 60a0.*
+ 3304f: 0c38.*
+ 33050: 6ff8.*
+ 33051: 60a1.*
+ 33052: 0e38.*
+ 33053: 6ff8.*
+ 33054: 60a2.*
+ 33055: 0f38.*
+ 33056: 6ff8.*
+ 33057: 60a3.*
+ 33058: 0f38.*
+ 33059: 6ff8.*
+ 3305a: 60a4.*
+ 3305b: 0c39.*
+ 3305c: 6ff8.*
+ 3305d: 60a5.*
+ 3305e: 0d39.*
+ 3305f: 6ff8.*
+ 33060: 60a6.*
+ 33061: 0c39.*
+ 33062: 6ff8.*
+ 33063: 60a7.*
+ 33064: 0e39.*
+ 33065: 6ff8.*
+ 33066: 60a8.*
+ 33067: 0f39.*
+ 33068: 6ff8.*
+ 33069: 60a9.*
+ 3306a: 0f39.*
+ 3306b: 6ff8.*
+ 3306c: 60aa.*
+ 3306d: 0c3a.*
+ 3306e: 6ff8.*
+ 3306f: 60ab.*
+ 33070: 0d3a.*
+ 33071: 6ff8.*
+ 33072: 60ac.*
+ 33073: 0c3a.*
+ 33074: 6ff8.*
+ 33075: 60ad.*
+ 33076: 0e3a.*
+ 33077: 6ff8.*
+ 33078: 60ae.*
+ 33079: 0f3a.*
+ 3307a: 6ff8.*
+ 3307b: 60af.*
+ 3307c: 0f3a.*
+ 3307d: 6ff8.*
+ 3307e: 60b0.*
+ 3307f: 0c3b.*
+ 33080: 6ff8.*
+ 33081: 60b1.*
+ 33082: 0d3b.*
+ 33083: 6ff8.*
+ 33084: 60b2.*
+ 33085: 0c3b.*
+ 33086: 6ff8.*
+ 33087: 60b3.*
+ 33088: 0e3b.*
+ 33089: 6ff8.*
+ 3308a: 60b4.*
+ 3308b: 0f3b.*
+ 3308c: 6ff8.*
+ 3308d: 60b5.*
+ 3308e: 0f3b.*
+ 3308f: 6ff8.*
+ 33090: 60b6.*
+ 33091: 0c3c.*
+ 33092: 6ff8.*
+ 33093: 60b7.*
+ 33094: 0d3c.*
+ 33095: 6ff8.*
+ 33096: 60b8.*
+ 33097: 0c3c.*
+ 33098: 6ff8.*
+ 33099: 60b9.*
+ 3309a: 0e3c.*
+ 3309b: 6ff8.*
+ 3309c: 60ba.*
+ 3309d: 0f3c.*
+ 3309e: 6ff8.*
+ 3309f: 60bb.*
+ 330a0: 0f3c.*
+ 330a1: 6ff8.*
+ 330a2: 60bc.*
+ 330a3: 0c3d.*
+ 330a4: 6ff8.*
+ 330a5: 60bd.*
+ 330a6: 0d3d.*
+ 330a7: 6ff8.*
+ 330a8: 60be.*
+ 330a9: 0c3d.*
+ 330aa: 6ff8.*
+ 330ab: 60bf.*
+ 330ac: 0e3d.*
+ 330ad: 6ff8.*
+ 330ae: 60c0.*
+ 330af: 0f3d.*
+ 330b0: 6ff8.*
+ 330b1: 60c1.*
+ 330b2: 0f3d.*
+ 330b3: 6ff8.*
+ 330b4: 60c2.*
+ 330b5: 0c3e.*
+ 330b6: 6ff8.*
+ 330b7: 60c3.*
+ 330b8: 0d3e.*
+ 330b9: 6ff8.*
+ 330ba: 60c4.*
+ 330bb: 0c3e.*
+ 330bc: 6ff8.*
+ 330bd: 60c5.*
+ 330be: 0e3e.*
+ 330bf: 6ff8.*
+ 330c0: 60c6.*
+ 330c1: 0f3e.*
+ 330c2: 6ff8.*
+ 330c3: 60c7.*
+ 330c4: 0f3e.*
+ 330c5: 6ff8.*
+ 330c6: 60c8.*
+ 330c7: 0c3f.*
+ 330c8: 6ff8.*
+ 330c9: 60c9.*
+ 330ca: 0d3f.*
+ 330cb: 6ff8.*
+ 330cc: 60ca.*
+ 330cd: 0c3f.*
+ 330ce: 6ff8.*
+ 330cf: 60cb.*
+ 330d0: 0e3f.*
+ 330d1: 6ff8.*
+ 330d2: 60cc.*
+ 330d3: 0f3f.*
+ 330d4: 6ff8.*
+ 330d5: 60cd.*
+ 330d6: 0f3f.*
+ 330d7: 08f8.*
+ 330d8: 60ce.*
+ 330d9: 6ff8.*
+ 330da: 60cf.*
+ 330db: 0d20.*
+ 330dc: 08f8.*
+ 330dd: 60d0.*
+ 330de: 6ff8.*
+ 330df: 60d1.*
+ 330e0: 0e20.*
+ 330e1: 09f8.*
+ 330e2: 60d2.*
+ 330e3: 09f8.*
+ 330e4: 60d3.*
+ 330e5: 6ff8.*
+ 330e6: 60d4.*
+ 330e7: 0c21.*
+ 330e8: 6ff8.*
+ 330e9: 60d5.*
+ 330ea: 0d21.*
+ 330eb: 6ff8.*
+ 330ec: 60d6.*
+ 330ed: 0c21.*
+ 330ee: 6ff8.*
+ 330ef: 60d7.*
+ 330f0: 0e21.*
+ 330f1: 6ff8.*
+ 330f2: 60d8.*
+ 330f3: 0f21.*
+ 330f4: 6ff8.*
+ 330f5: 60d9.*
+ 330f6: 0f21.*
+ 330f7: 6ff8.*
+ 330f8: 60da.*
+ 330f9: 0c22.*
+ 330fa: 6ff8.*
+ 330fb: 60db.*
+ 330fc: 0d22.*
+ 330fd: 6ff8.*
+ 330fe: 60dc.*
+ 330ff: 0c22.*
+ 33100: 6ff8.*
+ 33101: 60dd.*
+ 33102: 0e22.*
+ 33103: 6ff8.*
+ 33104: 60de.*
+ 33105: 0f22.*
+ 33106: 6ff8.*
+ 33107: 60df.*
+ 33108: 0f22.*
+ 33109: 6ff8.*
+ 3310a: 60e0.*
+ 3310b: 0c23.*
+ 3310c: 6ff8.*
+ 3310d: 60e1.*
+ 3310e: 0d23.*
+ 3310f: 6ff8.*
+ 33110: 60e2.*
+ 33111: 0c23.*
+ 33112: 6ff8.*
+ 33113: 60e3.*
+ 33114: 0e23.*
+ 33115: 6ff8.*
+ 33116: 60e4.*
+ 33117: 0f23.*
+ 33118: 6ff8.*
+ 33119: 60e5.*
+ 3311a: 0f23.*
+ 3311b: 6ff8.*
+ 3311c: 60e6.*
+ 3311d: 0c24.*
+ 3311e: 6ff8.*
+ 3311f: 60e7.*
+ 33120: 0d24.*
+ 33121: 6ff8.*
+ 33122: 60e8.*
+ 33123: 0c24.*
+ 33124: 6ff8.*
+ 33125: 60e9.*
+ 33126: 0e24.*
+ 33127: 6ff8.*
+ 33128: 60ea.*
+ 33129: 0f24.*
+ 3312a: 6ff8.*
+ 3312b: 60eb.*
+ 3312c: 0f24.*
+ 3312d: 6ff8.*
+ 3312e: 60ec.*
+ 3312f: 0c25.*
+ 33130: 6ff8.*
+ 33131: 60ed.*
+ 33132: 0d25.*
+ 33133: 6ff8.*
+ 33134: 60ee.*
+ 33135: 0c25.*
+ 33136: 6ff8.*
+ 33137: 60ef.*
+ 33138: 0e25.*
+ 33139: 6ff8.*
+ 3313a: 60f0.*
+ 3313b: 0f25.*
+ 3313c: 6ff8.*
+ 3313d: 60f1.*
+ 3313e: 0f25.*
+ 3313f: 6ff8.*
+ 33140: 60f2.*
+ 33141: 0c26.*
+ 33142: 6ff8.*
+ 33143: 60f3.*
+ 33144: 0d26.*
+ 33145: 6ff8.*
+ 33146: 60f4.*
+ 33147: 0c26.*
+ 33148: 6ff8.*
+ 33149: 60f5.*
+ 3314a: 0e26.*
+ 3314b: 6ff8.*
+ 3314c: 60f6.*
+ 3314d: 0f26.*
+ 3314e: 6ff8.*
+ 3314f: 60f7.*
+ 33150: 0f26.*
+ 33151: 6ff8.*
+ 33152: 60f8.*
+ 33153: 0c27.*
+ 33154: 6ff8.*
+ 33155: 60f9.*
+ 33156: 0d27.*
+ 33157: 6ff8.*
+ 33158: 60fa.*
+ 33159: 0c27.*
+ 3315a: 6ff8.*
+ 3315b: 60fb.*
+ 3315c: 0e27.*
+ 3315d: 6ff8.*
+ 3315e: 60fc.*
+ 3315f: 0f27.*
+ 33160: 6ff8.*
+ 33161: 60fd.*
+ 33162: 0f27.*
+ 33163: 6ff8.*
+ 33164: 60fe.*
+ 33165: 0c28.*
+ 33166: 6ff8.*
+ 33167: 60ff.*
+ 33168: 0d28.*
+ 33169: 6ff8.*
+ 3316a: 6100.*
+ 3316b: 0c28.*
+ 3316c: 6ff8.*
+ 3316d: 6101.*
+ 3316e: 0e28.*
+ 3316f: 6ff8.*
+ 33170: 6102.*
+ 33171: 0f28.*
+ 33172: 6ff8.*
+ 33173: 6103.*
+ 33174: 0f28.*
+ 33175: 6ff8.*
+ 33176: 6104.*
+ 33177: 0c29.*
+ 33178: 6ff8.*
+ 33179: 6105.*
+ 3317a: 0d29.*
+ 3317b: 6ff8.*
+ 3317c: 6106.*
+ 3317d: 0c29.*
+ 3317e: 6ff8.*
+ 3317f: 6107.*
+ 33180: 0e29.*
+ 33181: 6ff8.*
+ 33182: 6108.*
+ 33183: 0f29.*
+ 33184: 6ff8.*
+ 33185: 6109.*
+ 33186: 0f29.*
+ 33187: 6ff8.*
+ 33188: 610a.*
+ 33189: 0c2a.*
+ 3318a: 6ff8.*
+ 3318b: 610b.*
+ 3318c: 0d2a.*
+ 3318d: 6ff8.*
+ 3318e: 610c.*
+ 3318f: 0c2a.*
+ 33190: 6ff8.*
+ 33191: 610d.*
+ 33192: 0e2a.*
+ 33193: 6ff8.*
+ 33194: 610e.*
+ 33195: 0f2a.*
+ 33196: 6ff8.*
+ 33197: 610f.*
+ 33198: 0f2a.*
+ 33199: 6ff8.*
+ 3319a: 6110.*
+ 3319b: 0c2b.*
+ 3319c: 6ff8.*
+ 3319d: 6111.*
+ 3319e: 0d2b.*
+ 3319f: 6ff8.*
+ 331a0: 6112.*
+ 331a1: 0c2b.*
+ 331a2: 6ff8.*
+ 331a3: 6113.*
+ 331a4: 0e2b.*
+ 331a5: 6ff8.*
+ 331a6: 6114.*
+ 331a7: 0f2b.*
+ 331a8: 6ff8.*
+ 331a9: 6115.*
+ 331aa: 0f2b.*
+ 331ab: 6ff8.*
+ 331ac: 6116.*
+ 331ad: 0c2c.*
+ 331ae: 6ff8.*
+ 331af: 6117.*
+ 331b0: 0d2c.*
+ 331b1: 6ff8.*
+ 331b2: 6118.*
+ 331b3: 0c2c.*
+ 331b4: 6ff8.*
+ 331b5: 6119.*
+ 331b6: 0e2c.*
+ 331b7: 6ff8.*
+ 331b8: 611a.*
+ 331b9: 0f2c.*
+ 331ba: 6ff8.*
+ 331bb: 611b.*
+ 331bc: 0f2c.*
+ 331bd: 6ff8.*
+ 331be: 611c.*
+ 331bf: 0c2d.*
+ 331c0: 6ff8.*
+ 331c1: 611d.*
+ 331c2: 0d2d.*
+ 331c3: 6ff8.*
+ 331c4: 611e.*
+ 331c5: 0c2d.*
+ 331c6: 6ff8.*
+ 331c7: 611f.*
+ 331c8: 0e2d.*
+ 331c9: 6ff8.*
+ 331ca: 6120.*
+ 331cb: 0f2d.*
+ 331cc: 6ff8.*
+ 331cd: 6121.*
+ 331ce: 0f2d.*
+ 331cf: 6ff8.*
+ 331d0: 6122.*
+ 331d1: 0c2e.*
+ 331d2: 6ff8.*
+ 331d3: 6123.*
+ 331d4: 0d2e.*
+ 331d5: 6ff8.*
+ 331d6: 6124.*
+ 331d7: 0c2e.*
+ 331d8: 6ff8.*
+ 331d9: 6125.*
+ 331da: 0e2e.*
+ 331db: 6ff8.*
+ 331dc: 6126.*
+ 331dd: 0f2e.*
+ 331de: 6ff8.*
+ 331df: 6127.*
+ 331e0: 0f2e.*
+ 331e1: 6ff8.*
+ 331e2: 6128.*
+ 331e3: 0c2f.*
+ 331e4: 6ff8.*
+ 331e5: 6129.*
+ 331e6: 0d2f.*
+ 331e7: 6ff8.*
+ 331e8: 612a.*
+ 331e9: 0c2f.*
+ 331ea: 6ff8.*
+ 331eb: 612b.*
+ 331ec: 0e2f.*
+ 331ed: 6ff8.*
+ 331ee: 612c.*
+ 331ef: 0f2f.*
+ 331f0: 6ff8.*
+ 331f1: 612d.*
+ 331f2: 0f2f.*
+ 331f3: 0887.*
+ 331f4: 6f87.*
+ 331f5: 0d20.*
+ 331f6: 0887.*
+ 331f7: 6f87.*
+ 331f8: 0e20.*
+ 331f9: 0987.*
+ 331fa: 0987.*
+ 331fb: 6f87.*
+ 331fc: 0c30.*
+ 331fd: 6f87.*
+ 331fe: 0d30.*
+ 331ff: 6f87.*
+ 33200: 0c30.*
+ 33201: 6f87.*
+ 33202: 0e30.*
+ 33203: 6f87.*
+ 33204: 0f30.*
+ 33205: 6f87.*
+ 33206: 0f30.*
+ 33207: 6f87.*
+ 33208: 0c31.*
+ 33209: 6f87.*
+ 3320a: 0d31.*
+ 3320b: 6f87.*
+ 3320c: 0c31.*
+ 3320d: 6f87.*
+ 3320e: 0e31.*
+ 3320f: 6f87.*
+ 33210: 0f31.*
+ 33211: 6f87.*
+ 33212: 0f31.*
+ 33213: 6f87.*
+ 33214: 0c32.*
+ 33215: 6f87.*
+ 33216: 0d32.*
+ 33217: 6f87.*
+ 33218: 0c32.*
+ 33219: 6f87.*
+ 3321a: 0e32.*
+ 3321b: 6f87.*
+ 3321c: 0f32.*
+ 3321d: 6f87.*
+ 3321e: 0f32.*
+ 3321f: 6f87.*
+ 33220: 0c33.*
+ 33221: 6f87.*
+ 33222: 0d33.*
+ 33223: 6f87.*
+ 33224: 0c33.*
+ 33225: 6f87.*
+ 33226: 0e33.*
+ 33227: 6f87.*
+ 33228: 0f33.*
+ 33229: 6f87.*
+ 3322a: 0f33.*
+ 3322b: 6f87.*
+ 3322c: 0c34.*
+ 3322d: 6f87.*
+ 3322e: 0d34.*
+ 3322f: 6f87.*
+ 33230: 0c34.*
+ 33231: 6f87.*
+ 33232: 0e34.*
+ 33233: 6f87.*
+ 33234: 0f34.*
+ 33235: 6f87.*
+ 33236: 0f34.*
+ 33237: 6f87.*
+ 33238: 0c35.*
+ 33239: 6f87.*
+ 3323a: 0d35.*
+ 3323b: 6f87.*
+ 3323c: 0c35.*
+ 3323d: 6f87.*
+ 3323e: 0e35.*
+ 3323f: 6f87.*
+ 33240: 0f35.*
+ 33241: 6f87.*
+ 33242: 0f35.*
+ 33243: 6f87.*
+ 33244: 0c36.*
+ 33245: 6f87.*
+ 33246: 0d36.*
+ 33247: 6f87.*
+ 33248: 0c36.*
+ 33249: 6f87.*
+ 3324a: 0e36.*
+ 3324b: 6f87.*
+ 3324c: 0f36.*
+ 3324d: 6f87.*
+ 3324e: 0f36.*
+ 3324f: 6f87.*
+ 33250: 0c37.*
+ 33251: 6f87.*
+ 33252: 0d37.*
+ 33253: 6f87.*
+ 33254: 0c37.*
+ 33255: 6f87.*
+ 33256: 0e37.*
+ 33257: 6f87.*
+ 33258: 0f37.*
+ 33259: 6f87.*
+ 3325a: 0f37.*
+ 3325b: 6f87.*
+ 3325c: 0c38.*
+ 3325d: 6f87.*
+ 3325e: 0d38.*
+ 3325f: 6f87.*
+ 33260: 0c38.*
+ 33261: 6f87.*
+ 33262: 0e38.*
+ 33263: 6f87.*
+ 33264: 0f38.*
+ 33265: 6f87.*
+ 33266: 0f38.*
+ 33267: 6f87.*
+ 33268: 0c39.*
+ 33269: 6f87.*
+ 3326a: 0d39.*
+ 3326b: 6f87.*
+ 3326c: 0c39.*
+ 3326d: 6f87.*
+ 3326e: 0e39.*
+ 3326f: 6f87.*
+ 33270: 0f39.*
+ 33271: 6f87.*
+ 33272: 0f39.*
+ 33273: 6f87.*
+ 33274: 0c3a.*
+ 33275: 6f87.*
+ 33276: 0d3a.*
+ 33277: 6f87.*
+ 33278: 0c3a.*
+ 33279: 6f87.*
+ 3327a: 0e3a.*
+ 3327b: 6f87.*
+ 3327c: 0f3a.*
+ 3327d: 6f87.*
+ 3327e: 0f3a.*
+ 3327f: 6f87.*
+ 33280: 0c3b.*
+ 33281: 6f87.*
+ 33282: 0d3b.*
+ 33283: 6f87.*
+ 33284: 0c3b.*
+ 33285: 6f87.*
+ 33286: 0e3b.*
+ 33287: 6f87.*
+ 33288: 0f3b.*
+ 33289: 6f87.*
+ 3328a: 0f3b.*
+ 3328b: 6f87.*
+ 3328c: 0c3c.*
+ 3328d: 6f87.*
+ 3328e: 0d3c.*
+ 3328f: 6f87.*
+ 33290: 0c3c.*
+ 33291: 6f87.*
+ 33292: 0e3c.*
+ 33293: 6f87.*
+ 33294: 0f3c.*
+ 33295: 6f87.*
+ 33296: 0f3c.*
+ 33297: 6f87.*
+ 33298: 0c3d.*
+ 33299: 6f87.*
+ 3329a: 0d3d.*
+ 3329b: 6f87.*
+ 3329c: 0c3d.*
+ 3329d: 6f87.*
+ 3329e: 0e3d.*
+ 3329f: 6f87.*
+ 332a0: 0f3d.*
+ 332a1: 6f87.*
+ 332a2: 0f3d.*
+ 332a3: 6f87.*
+ 332a4: 0c3e.*
+ 332a5: 6f87.*
+ 332a6: 0d3e.*
+ 332a7: 6f87.*
+ 332a8: 0c3e.*
+ 332a9: 6f87.*
+ 332aa: 0e3e.*
+ 332ab: 6f87.*
+ 332ac: 0f3e.*
+ 332ad: 6f87.*
+ 332ae: 0f3e.*
+ 332af: 6f87.*
+ 332b0: 0c3f.*
+ 332b1: 6f87.*
+ 332b2: 0d3f.*
+ 332b3: 6f87.*
+ 332b4: 0c3f.*
+ 332b5: 6f87.*
+ 332b6: 0e3f.*
+ 332b7: 6f87.*
+ 332b8: 0f3f.*
+ 332b9: 6f87.*
+ 332ba: 0f3f.*
+ 332bb: 0887.*
+ 332bc: 6f87.*
+ 332bd: 0d20.*
+ 332be: 0887.*
+ 332bf: 6f87.*
+ 332c0: 0e20.*
+ 332c1: 0987.*
+ 332c2: 0987.*
+ 332c3: 6f87.*
+ 332c4: 0c21.*
+ 332c5: 6f87.*
+ 332c6: 0d21.*
+ 332c7: 6f87.*
+ 332c8: 0c21.*
+ 332c9: 6f87.*
+ 332ca: 0e21.*
+ 332cb: 6f87.*
+ 332cc: 0f21.*
+ 332cd: 6f87.*
+ 332ce: 0f21.*
+ 332cf: 6f87.*
+ 332d0: 0c22.*
+ 332d1: 6f87.*
+ 332d2: 0d22.*
+ 332d3: 6f87.*
+ 332d4: 0c22.*
+ 332d5: 6f87.*
+ 332d6: 0e22.*
+ 332d7: 6f87.*
+ 332d8: 0f22.*
+ 332d9: 6f87.*
+ 332da: 0f22.*
+ 332db: 6f87.*
+ 332dc: 0c23.*
+ 332dd: 6f87.*
+ 332de: 0d23.*
+ 332df: 6f87.*
+ 332e0: 0c23.*
+ 332e1: 6f87.*
+ 332e2: 0e23.*
+ 332e3: 6f87.*
+ 332e4: 0f23.*
+ 332e5: 6f87.*
+ 332e6: 0f23.*
+ 332e7: 6f87.*
+ 332e8: 0c24.*
+ 332e9: 6f87.*
+ 332ea: 0d24.*
+ 332eb: 6f87.*
+ 332ec: 0c24.*
+ 332ed: 6f87.*
+ 332ee: 0e24.*
+ 332ef: 6f87.*
+ 332f0: 0f24.*
+ 332f1: 6f87.*
+ 332f2: 0f24.*
+ 332f3: 6f87.*
+ 332f4: 0c25.*
+ 332f5: 6f87.*
+ 332f6: 0d25.*
+ 332f7: 6f87.*
+ 332f8: 0c25.*
+ 332f9: 6f87.*
+ 332fa: 0e25.*
+ 332fb: 6f87.*
+ 332fc: 0f25.*
+ 332fd: 6f87.*
+ 332fe: 0f25.*
+ 332ff: 6f87.*
+ 33300: 0c26.*
+ 33301: 6f87.*
+ 33302: 0d26.*
+ 33303: 6f87.*
+ 33304: 0c26.*
+ 33305: 6f87.*
+ 33306: 0e26.*
+ 33307: 6f87.*
+ 33308: 0f26.*
+ 33309: 6f87.*
+ 3330a: 0f26.*
+ 3330b: 6f87.*
+ 3330c: 0c27.*
+ 3330d: 6f87.*
+ 3330e: 0d27.*
+ 3330f: 6f87.*
+ 33310: 0c27.*
+ 33311: 6f87.*
+ 33312: 0e27.*
+ 33313: 6f87.*
+ 33314: 0f27.*
+ 33315: 6f87.*
+ 33316: 0f27.*
+ 33317: 6f87.*
+ 33318: 0c28.*
+ 33319: 6f87.*
+ 3331a: 0d28.*
+ 3331b: 6f87.*
+ 3331c: 0c28.*
+ 3331d: 6f87.*
+ 3331e: 0e28.*
+ 3331f: 6f87.*
+ 33320: 0f28.*
+ 33321: 6f87.*
+ 33322: 0f28.*
+ 33323: 6f87.*
+ 33324: 0c29.*
+ 33325: 6f87.*
+ 33326: 0d29.*
+ 33327: 6f87.*
+ 33328: 0c29.*
+ 33329: 6f87.*
+ 3332a: 0e29.*
+ 3332b: 6f87.*
+ 3332c: 0f29.*
+ 3332d: 6f87.*
+ 3332e: 0f29.*
+ 3332f: 6f87.*
+ 33330: 0c2a.*
+ 33331: 6f87.*
+ 33332: 0d2a.*
+ 33333: 6f87.*
+ 33334: 0c2a.*
+ 33335: 6f87.*
+ 33336: 0e2a.*
+ 33337: 6f87.*
+ 33338: 0f2a.*
+ 33339: 6f87.*
+ 3333a: 0f2a.*
+ 3333b: 6f87.*
+ 3333c: 0c2b.*
+ 3333d: 6f87.*
+ 3333e: 0d2b.*
+ 3333f: 6f87.*
+ 33340: 0c2b.*
+ 33341: 6f87.*
+ 33342: 0e2b.*
+ 33343: 6f87.*
+ 33344: 0f2b.*
+ 33345: 6f87.*
+ 33346: 0f2b.*
+ 33347: 6f87.*
+ 33348: 0c2c.*
+ 33349: 6f87.*
+ 3334a: 0d2c.*
+ 3334b: 6f87.*
+ 3334c: 0c2c.*
+ 3334d: 6f87.*
+ 3334e: 0e2c.*
+ 3334f: 6f87.*
+ 33350: 0f2c.*
+ 33351: 6f87.*
+ 33352: 0f2c.*
+ 33353: 6f87.*
+ 33354: 0c2d.*
+ 33355: 6f87.*
+ 33356: 0d2d.*
+ 33357: 6f87.*
+ 33358: 0c2d.*
+ 33359: 6f87.*
+ 3335a: 0e2d.*
+ 3335b: 6f87.*
+ 3335c: 0f2d.*
+ 3335d: 6f87.*
+ 3335e: 0f2d.*
+ 3335f: 6f87.*
+ 33360: 0c2e.*
+ 33361: 6f87.*
+ 33362: 0d2e.*
+ 33363: 6f87.*
+ 33364: 0c2e.*
+ 33365: 6f87.*
+ 33366: 0e2e.*
+ 33367: 6f87.*
+ 33368: 0f2e.*
+ 33369: 6f87.*
+ 3336a: 0f2e.*
+ 3336b: 6f87.*
+ 3336c: 0c2f.*
+ 3336d: 6f87.*
+ 3336e: 0d2f.*
+ 3336f: 6f87.*
+ 33370: 0c2f.*
+ 33371: 6f87.*
+ 33372: 0e2f.*
+ 33373: 6f87.*
+ 33374: 0f2f.*
+ 33375: 6f87.*
+ 33376: 0f2f.*
+ 33377: 088f.*
+ 33378: 6f8f.*
+ 33379: 0d20.*
+ 3337a: 088f.*
+ 3337b: 6f8f.*
+ 3337c: 0e20.*
+ 3337d: 098f.*
+ 3337e: 098f.*
+ 3337f: 6f8f.*
+ 33380: 0c30.*
+ 33381: 6f8f.*
+ 33382: 0d30.*
+ 33383: 6f8f.*
+ 33384: 0c30.*
+ 33385: 6f8f.*
+ 33386: 0e30.*
+ 33387: 6f8f.*
+ 33388: 0f30.*
+ 33389: 6f8f.*
+ 3338a: 0f30.*
+ 3338b: 6f8f.*
+ 3338c: 0c31.*
+ 3338d: 6f8f.*
+ 3338e: 0d31.*
+ 3338f: 6f8f.*
+ 33390: 0c31.*
+ 33391: 6f8f.*
+ 33392: 0e31.*
+ 33393: 6f8f.*
+ 33394: 0f31.*
+ 33395: 6f8f.*
+ 33396: 0f31.*
+ 33397: 6f8f.*
+ 33398: 0c32.*
+ 33399: 6f8f.*
+ 3339a: 0d32.*
+ 3339b: 6f8f.*
+ 3339c: 0c32.*
+ 3339d: 6f8f.*
+ 3339e: 0e32.*
+ 3339f: 6f8f.*
+ 333a0: 0f32.*
+ 333a1: 6f8f.*
+ 333a2: 0f32.*
+ 333a3: 6f8f.*
+ 333a4: 0c33.*
+ 333a5: 6f8f.*
+ 333a6: 0d33.*
+ 333a7: 6f8f.*
+ 333a8: 0c33.*
+ 333a9: 6f8f.*
+ 333aa: 0e33.*
+ 333ab: 6f8f.*
+ 333ac: 0f33.*
+ 333ad: 6f8f.*
+ 333ae: 0f33.*
+ 333af: 6f8f.*
+ 333b0: 0c34.*
+ 333b1: 6f8f.*
+ 333b2: 0d34.*
+ 333b3: 6f8f.*
+ 333b4: 0c34.*
+ 333b5: 6f8f.*
+ 333b6: 0e34.*
+ 333b7: 6f8f.*
+ 333b8: 0f34.*
+ 333b9: 6f8f.*
+ 333ba: 0f34.*
+ 333bb: 6f8f.*
+ 333bc: 0c35.*
+ 333bd: 6f8f.*
+ 333be: 0d35.*
+ 333bf: 6f8f.*
+ 333c0: 0c35.*
+ 333c1: 6f8f.*
+ 333c2: 0e35.*
+ 333c3: 6f8f.*
+ 333c4: 0f35.*
+ 333c5: 6f8f.*
+ 333c6: 0f35.*
+ 333c7: 6f8f.*
+ 333c8: 0c36.*
+ 333c9: 6f8f.*
+ 333ca: 0d36.*
+ 333cb: 6f8f.*
+ 333cc: 0c36.*
+ 333cd: 6f8f.*
+ 333ce: 0e36.*
+ 333cf: 6f8f.*
+ 333d0: 0f36.*
+ 333d1: 6f8f.*
+ 333d2: 0f36.*
+ 333d3: 6f8f.*
+ 333d4: 0c37.*
+ 333d5: 6f8f.*
+ 333d6: 0d37.*
+ 333d7: 6f8f.*
+ 333d8: 0c37.*
+ 333d9: 6f8f.*
+ 333da: 0e37.*
+ 333db: 6f8f.*
+ 333dc: 0f37.*
+ 333dd: 6f8f.*
+ 333de: 0f37.*
+ 333df: 6f8f.*
+ 333e0: 0c38.*
+ 333e1: 6f8f.*
+ 333e2: 0d38.*
+ 333e3: 6f8f.*
+ 333e4: 0c38.*
+ 333e5: 6f8f.*
+ 333e6: 0e38.*
+ 333e7: 6f8f.*
+ 333e8: 0f38.*
+ 333e9: 6f8f.*
+ 333ea: 0f38.*
+ 333eb: 6f8f.*
+ 333ec: 0c39.*
+ 333ed: 6f8f.*
+ 333ee: 0d39.*
+ 333ef: 6f8f.*
+ 333f0: 0c39.*
+ 333f1: 6f8f.*
+ 333f2: 0e39.*
+ 333f3: 6f8f.*
+ 333f4: 0f39.*
+ 333f5: 6f8f.*
+ 333f6: 0f39.*
+ 333f7: 6f8f.*
+ 333f8: 0c3a.*
+ 333f9: 6f8f.*
+ 333fa: 0d3a.*
+ 333fb: 6f8f.*
+ 333fc: 0c3a.*
+ 333fd: 6f8f.*
+ 333fe: 0e3a.*
+ 333ff: 6f8f.*
+ 33400: 0f3a.*
+ 33401: 6f8f.*
+ 33402: 0f3a.*
+ 33403: 6f8f.*
+ 33404: 0c3b.*
+ 33405: 6f8f.*
+ 33406: 0d3b.*
+ 33407: 6f8f.*
+ 33408: 0c3b.*
+ 33409: 6f8f.*
+ 3340a: 0e3b.*
+ 3340b: 6f8f.*
+ 3340c: 0f3b.*
+ 3340d: 6f8f.*
+ 3340e: 0f3b.*
+ 3340f: 6f8f.*
+ 33410: 0c3c.*
+ 33411: 6f8f.*
+ 33412: 0d3c.*
+ 33413: 6f8f.*
+ 33414: 0c3c.*
+ 33415: 6f8f.*
+ 33416: 0e3c.*
+ 33417: 6f8f.*
+ 33418: 0f3c.*
+ 33419: 6f8f.*
+ 3341a: 0f3c.*
+ 3341b: 6f8f.*
+ 3341c: 0c3d.*
+ 3341d: 6f8f.*
+ 3341e: 0d3d.*
+ 3341f: 6f8f.*
+ 33420: 0c3d.*
+ 33421: 6f8f.*
+ 33422: 0e3d.*
+ 33423: 6f8f.*
+ 33424: 0f3d.*
+ 33425: 6f8f.*
+ 33426: 0f3d.*
+ 33427: 6f8f.*
+ 33428: 0c3e.*
+ 33429: 6f8f.*
+ 3342a: 0d3e.*
+ 3342b: 6f8f.*
+ 3342c: 0c3e.*
+ 3342d: 6f8f.*
+ 3342e: 0e3e.*
+ 3342f: 6f8f.*
+ 33430: 0f3e.*
+ 33431: 6f8f.*
+ 33432: 0f3e.*
+ 33433: 6f8f.*
+ 33434: 0c3f.*
+ 33435: 6f8f.*
+ 33436: 0d3f.*
+ 33437: 6f8f.*
+ 33438: 0c3f.*
+ 33439: 6f8f.*
+ 3343a: 0e3f.*
+ 3343b: 6f8f.*
+ 3343c: 0f3f.*
+ 3343d: 6f8f.*
+ 3343e: 0f3f.*
+ 3343f: 088f.*
+ 33440: 6f8f.*
+ 33441: 0d20.*
+ 33442: 088f.*
+ 33443: 6f8f.*
+ 33444: 0e20.*
+ 33445: 098f.*
+ 33446: 098f.*
+ 33447: 6f8f.*
+ 33448: 0c21.*
+ 33449: 6f8f.*
+ 3344a: 0d21.*
+ 3344b: 6f8f.*
+ 3344c: 0c21.*
+ 3344d: 6f8f.*
+ 3344e: 0e21.*
+ 3344f: 6f8f.*
+ 33450: 0f21.*
+ 33451: 6f8f.*
+ 33452: 0f21.*
+ 33453: 6f8f.*
+ 33454: 0c22.*
+ 33455: 6f8f.*
+ 33456: 0d22.*
+ 33457: 6f8f.*
+ 33458: 0c22.*
+ 33459: 6f8f.*
+ 3345a: 0e22.*
+ 3345b: 6f8f.*
+ 3345c: 0f22.*
+ 3345d: 6f8f.*
+ 3345e: 0f22.*
+ 3345f: 6f8f.*
+ 33460: 0c23.*
+ 33461: 6f8f.*
+ 33462: 0d23.*
+ 33463: 6f8f.*
+ 33464: 0c23.*
+ 33465: 6f8f.*
+ 33466: 0e23.*
+ 33467: 6f8f.*
+ 33468: 0f23.*
+ 33469: 6f8f.*
+ 3346a: 0f23.*
+ 3346b: 6f8f.*
+ 3346c: 0c24.*
+ 3346d: 6f8f.*
+ 3346e: 0d24.*
+ 3346f: 6f8f.*
+ 33470: 0c24.*
+ 33471: 6f8f.*
+ 33472: 0e24.*
+ 33473: 6f8f.*
+ 33474: 0f24.*
+ 33475: 6f8f.*
+ 33476: 0f24.*
+ 33477: 6f8f.*
+ 33478: 0c25.*
+ 33479: 6f8f.*
+ 3347a: 0d25.*
+ 3347b: 6f8f.*
+ 3347c: 0c25.*
+ 3347d: 6f8f.*
+ 3347e: 0e25.*
+ 3347f: 6f8f.*
+ 33480: 0f25.*
+ 33481: 6f8f.*
+ 33482: 0f25.*
+ 33483: 6f8f.*
+ 33484: 0c26.*
+ 33485: 6f8f.*
+ 33486: 0d26.*
+ 33487: 6f8f.*
+ 33488: 0c26.*
+ 33489: 6f8f.*
+ 3348a: 0e26.*
+ 3348b: 6f8f.*
+ 3348c: 0f26.*
+ 3348d: 6f8f.*
+ 3348e: 0f26.*
+ 3348f: 6f8f.*
+ 33490: 0c27.*
+ 33491: 6f8f.*
+ 33492: 0d27.*
+ 33493: 6f8f.*
+ 33494: 0c27.*
+ 33495: 6f8f.*
+ 33496: 0e27.*
+ 33497: 6f8f.*
+ 33498: 0f27.*
+ 33499: 6f8f.*
+ 3349a: 0f27.*
+ 3349b: 6f8f.*
+ 3349c: 0c28.*
+ 3349d: 6f8f.*
+ 3349e: 0d28.*
+ 3349f: 6f8f.*
+ 334a0: 0c28.*
+ 334a1: 6f8f.*
+ 334a2: 0e28.*
+ 334a3: 6f8f.*
+ 334a4: 0f28.*
+ 334a5: 6f8f.*
+ 334a6: 0f28.*
+ 334a7: 6f8f.*
+ 334a8: 0c29.*
+ 334a9: 6f8f.*
+ 334aa: 0d29.*
+ 334ab: 6f8f.*
+ 334ac: 0c29.*
+ 334ad: 6f8f.*
+ 334ae: 0e29.*
+ 334af: 6f8f.*
+ 334b0: 0f29.*
+ 334b1: 6f8f.*
+ 334b2: 0f29.*
+ 334b3: 6f8f.*
+ 334b4: 0c2a.*
+ 334b5: 6f8f.*
+ 334b6: 0d2a.*
+ 334b7: 6f8f.*
+ 334b8: 0c2a.*
+ 334b9: 6f8f.*
+ 334ba: 0e2a.*
+ 334bb: 6f8f.*
+ 334bc: 0f2a.*
+ 334bd: 6f8f.*
+ 334be: 0f2a.*
+ 334bf: 6f8f.*
+ 334c0: 0c2b.*
+ 334c1: 6f8f.*
+ 334c2: 0d2b.*
+ 334c3: 6f8f.*
+ 334c4: 0c2b.*
+ 334c5: 6f8f.*
+ 334c6: 0e2b.*
+ 334c7: 6f8f.*
+ 334c8: 0f2b.*
+ 334c9: 6f8f.*
+ 334ca: 0f2b.*
+ 334cb: 6f8f.*
+ 334cc: 0c2c.*
+ 334cd: 6f8f.*
+ 334ce: 0d2c.*
+ 334cf: 6f8f.*
+ 334d0: 0c2c.*
+ 334d1: 6f8f.*
+ 334d2: 0e2c.*
+ 334d3: 6f8f.*
+ 334d4: 0f2c.*
+ 334d5: 6f8f.*
+ 334d6: 0f2c.*
+ 334d7: 6f8f.*
+ 334d8: 0c2d.*
+ 334d9: 6f8f.*
+ 334da: 0d2d.*
+ 334db: 6f8f.*
+ 334dc: 0c2d.*
+ 334dd: 6f8f.*
+ 334de: 0e2d.*
+ 334df: 6f8f.*
+ 334e0: 0f2d.*
+ 334e1: 6f8f.*
+ 334e2: 0f2d.*
+ 334e3: 6f8f.*
+ 334e4: 0c2e.*
+ 334e5: 6f8f.*
+ 334e6: 0d2e.*
+ 334e7: 6f8f.*
+ 334e8: 0c2e.*
+ 334e9: 6f8f.*
+ 334ea: 0e2e.*
+ 334eb: 6f8f.*
+ 334ec: 0f2e.*
+ 334ed: 6f8f.*
+ 334ee: 0f2e.*
+ 334ef: 6f8f.*
+ 334f0: 0c2f.*
+ 334f1: 6f8f.*
+ 334f2: 0d2f.*
+ 334f3: 6f8f.*
+ 334f4: 0c2f.*
+ 334f5: 6f8f.*
+ 334f6: 0e2f.*
+ 334f7: 6f8f.*
+ 334f8: 0f2f.*
+ 334f9: 6f8f.*
+ 334fa: 0f2f.*
+ 334fb: 0897.*
+ 334fc: 6f97.*
+ 334fd: 0d20.*
+ 334fe: 0897.*
+ 334ff: 6f97.*
+ 33500: 0e20.*
+ 33501: 0997.*
+ 33502: 0997.*
+ 33503: 6f97.*
+ 33504: 0c30.*
+ 33505: 6f97.*
+ 33506: 0d30.*
+ 33507: 6f97.*
+ 33508: 0c30.*
+ 33509: 6f97.*
+ 3350a: 0e30.*
+ 3350b: 6f97.*
+ 3350c: 0f30.*
+ 3350d: 6f97.*
+ 3350e: 0f30.*
+ 3350f: 6f97.*
+ 33510: 0c31.*
+ 33511: 6f97.*
+ 33512: 0d31.*
+ 33513: 6f97.*
+ 33514: 0c31.*
+ 33515: 6f97.*
+ 33516: 0e31.*
+ 33517: 6f97.*
+ 33518: 0f31.*
+ 33519: 6f97.*
+ 3351a: 0f31.*
+ 3351b: 6f97.*
+ 3351c: 0c32.*
+ 3351d: 6f97.*
+ 3351e: 0d32.*
+ 3351f: 6f97.*
+ 33520: 0c32.*
+ 33521: 6f97.*
+ 33522: 0e32.*
+ 33523: 6f97.*
+ 33524: 0f32.*
+ 33525: 6f97.*
+ 33526: 0f32.*
+ 33527: 6f97.*
+ 33528: 0c33.*
+ 33529: 6f97.*
+ 3352a: 0d33.*
+ 3352b: 6f97.*
+ 3352c: 0c33.*
+ 3352d: 6f97.*
+ 3352e: 0e33.*
+ 3352f: 6f97.*
+ 33530: 0f33.*
+ 33531: 6f97.*
+ 33532: 0f33.*
+ 33533: 6f97.*
+ 33534: 0c34.*
+ 33535: 6f97.*
+ 33536: 0d34.*
+ 33537: 6f97.*
+ 33538: 0c34.*
+ 33539: 6f97.*
+ 3353a: 0e34.*
+ 3353b: 6f97.*
+ 3353c: 0f34.*
+ 3353d: 6f97.*
+ 3353e: 0f34.*
+ 3353f: 6f97.*
+ 33540: 0c35.*
+ 33541: 6f97.*
+ 33542: 0d35.*
+ 33543: 6f97.*
+ 33544: 0c35.*
+ 33545: 6f97.*
+ 33546: 0e35.*
+ 33547: 6f97.*
+ 33548: 0f35.*
+ 33549: 6f97.*
+ 3354a: 0f35.*
+ 3354b: 6f97.*
+ 3354c: 0c36.*
+ 3354d: 6f97.*
+ 3354e: 0d36.*
+ 3354f: 6f97.*
+ 33550: 0c36.*
+ 33551: 6f97.*
+ 33552: 0e36.*
+ 33553: 6f97.*
+ 33554: 0f36.*
+ 33555: 6f97.*
+ 33556: 0f36.*
+ 33557: 6f97.*
+ 33558: 0c37.*
+ 33559: 6f97.*
+ 3355a: 0d37.*
+ 3355b: 6f97.*
+ 3355c: 0c37.*
+ 3355d: 6f97.*
+ 3355e: 0e37.*
+ 3355f: 6f97.*
+ 33560: 0f37.*
+ 33561: 6f97.*
+ 33562: 0f37.*
+ 33563: 6f97.*
+ 33564: 0c38.*
+ 33565: 6f97.*
+ 33566: 0d38.*
+ 33567: 6f97.*
+ 33568: 0c38.*
+ 33569: 6f97.*
+ 3356a: 0e38.*
+ 3356b: 6f97.*
+ 3356c: 0f38.*
+ 3356d: 6f97.*
+ 3356e: 0f38.*
+ 3356f: 6f97.*
+ 33570: 0c39.*
+ 33571: 6f97.*
+ 33572: 0d39.*
+ 33573: 6f97.*
+ 33574: 0c39.*
+ 33575: 6f97.*
+ 33576: 0e39.*
+ 33577: 6f97.*
+ 33578: 0f39.*
+ 33579: 6f97.*
+ 3357a: 0f39.*
+ 3357b: 6f97.*
+ 3357c: 0c3a.*
+ 3357d: 6f97.*
+ 3357e: 0d3a.*
+ 3357f: 6f97.*
+ 33580: 0c3a.*
+ 33581: 6f97.*
+ 33582: 0e3a.*
+ 33583: 6f97.*
+ 33584: 0f3a.*
+ 33585: 6f97.*
+ 33586: 0f3a.*
+ 33587: 6f97.*
+ 33588: 0c3b.*
+ 33589: 6f97.*
+ 3358a: 0d3b.*
+ 3358b: 6f97.*
+ 3358c: 0c3b.*
+ 3358d: 6f97.*
+ 3358e: 0e3b.*
+ 3358f: 6f97.*
+ 33590: 0f3b.*
+ 33591: 6f97.*
+ 33592: 0f3b.*
+ 33593: 6f97.*
+ 33594: 0c3c.*
+ 33595: 6f97.*
+ 33596: 0d3c.*
+ 33597: 6f97.*
+ 33598: 0c3c.*
+ 33599: 6f97.*
+ 3359a: 0e3c.*
+ 3359b: 6f97.*
+ 3359c: 0f3c.*
+ 3359d: 6f97.*
+ 3359e: 0f3c.*
+ 3359f: 6f97.*
+ 335a0: 0c3d.*
+ 335a1: 6f97.*
+ 335a2: 0d3d.*
+ 335a3: 6f97.*
+ 335a4: 0c3d.*
+ 335a5: 6f97.*
+ 335a6: 0e3d.*
+ 335a7: 6f97.*
+ 335a8: 0f3d.*
+ 335a9: 6f97.*
+ 335aa: 0f3d.*
+ 335ab: 6f97.*
+ 335ac: 0c3e.*
+ 335ad: 6f97.*
+ 335ae: 0d3e.*
+ 335af: 6f97.*
+ 335b0: 0c3e.*
+ 335b1: 6f97.*
+ 335b2: 0e3e.*
+ 335b3: 6f97.*
+ 335b4: 0f3e.*
+ 335b5: 6f97.*
+ 335b6: 0f3e.*
+ 335b7: 6f97.*
+ 335b8: 0c3f.*
+ 335b9: 6f97.*
+ 335ba: 0d3f.*
+ 335bb: 6f97.*
+ 335bc: 0c3f.*
+ 335bd: 6f97.*
+ 335be: 0e3f.*
+ 335bf: 6f97.*
+ 335c0: 0f3f.*
+ 335c1: 6f97.*
+ 335c2: 0f3f.*
+ 335c3: 0897.*
+ 335c4: 6f97.*
+ 335c5: 0d20.*
+ 335c6: 0897.*
+ 335c7: 6f97.*
+ 335c8: 0e20.*
+ 335c9: 0997.*
+ 335ca: 0997.*
+ 335cb: 6f97.*
+ 335cc: 0c21.*
+ 335cd: 6f97.*
+ 335ce: 0d21.*
+ 335cf: 6f97.*
+ 335d0: 0c21.*
+ 335d1: 6f97.*
+ 335d2: 0e21.*
+ 335d3: 6f97.*
+ 335d4: 0f21.*
+ 335d5: 6f97.*
+ 335d6: 0f21.*
+ 335d7: 6f97.*
+ 335d8: 0c22.*
+ 335d9: 6f97.*
+ 335da: 0d22.*
+ 335db: 6f97.*
+ 335dc: 0c22.*
+ 335dd: 6f97.*
+ 335de: 0e22.*
+ 335df: 6f97.*
+ 335e0: 0f22.*
+ 335e1: 6f97.*
+ 335e2: 0f22.*
+ 335e3: 6f97.*
+ 335e4: 0c23.*
+ 335e5: 6f97.*
+ 335e6: 0d23.*
+ 335e7: 6f97.*
+ 335e8: 0c23.*
+ 335e9: 6f97.*
+ 335ea: 0e23.*
+ 335eb: 6f97.*
+ 335ec: 0f23.*
+ 335ed: 6f97.*
+ 335ee: 0f23.*
+ 335ef: 6f97.*
+ 335f0: 0c24.*
+ 335f1: 6f97.*
+ 335f2: 0d24.*
+ 335f3: 6f97.*
+ 335f4: 0c24.*
+ 335f5: 6f97.*
+ 335f6: 0e24.*
+ 335f7: 6f97.*
+ 335f8: 0f24.*
+ 335f9: 6f97.*
+ 335fa: 0f24.*
+ 335fb: 6f97.*
+ 335fc: 0c25.*
+ 335fd: 6f97.*
+ 335fe: 0d25.*
+ 335ff: 6f97.*
+ 33600: 0c25.*
+ 33601: 6f97.*
+ 33602: 0e25.*
+ 33603: 6f97.*
+ 33604: 0f25.*
+ 33605: 6f97.*
+ 33606: 0f25.*
+ 33607: 6f97.*
+ 33608: 0c26.*
+ 33609: 6f97.*
+ 3360a: 0d26.*
+ 3360b: 6f97.*
+ 3360c: 0c26.*
+ 3360d: 6f97.*
+ 3360e: 0e26.*
+ 3360f: 6f97.*
+ 33610: 0f26.*
+ 33611: 6f97.*
+ 33612: 0f26.*
+ 33613: 6f97.*
+ 33614: 0c27.*
+ 33615: 6f97.*
+ 33616: 0d27.*
+ 33617: 6f97.*
+ 33618: 0c27.*
+ 33619: 6f97.*
+ 3361a: 0e27.*
+ 3361b: 6f97.*
+ 3361c: 0f27.*
+ 3361d: 6f97.*
+ 3361e: 0f27.*
+ 3361f: 6f97.*
+ 33620: 0c28.*
+ 33621: 6f97.*
+ 33622: 0d28.*
+ 33623: 6f97.*
+ 33624: 0c28.*
+ 33625: 6f97.*
+ 33626: 0e28.*
+ 33627: 6f97.*
+ 33628: 0f28.*
+ 33629: 6f97.*
+ 3362a: 0f28.*
+ 3362b: 6f97.*
+ 3362c: 0c29.*
+ 3362d: 6f97.*
+ 3362e: 0d29.*
+ 3362f: 6f97.*
+ 33630: 0c29.*
+ 33631: 6f97.*
+ 33632: 0e29.*
+ 33633: 6f97.*
+ 33634: 0f29.*
+ 33635: 6f97.*
+ 33636: 0f29.*
+ 33637: 6f97.*
+ 33638: 0c2a.*
+ 33639: 6f97.*
+ 3363a: 0d2a.*
+ 3363b: 6f97.*
+ 3363c: 0c2a.*
+ 3363d: 6f97.*
+ 3363e: 0e2a.*
+ 3363f: 6f97.*
+ 33640: 0f2a.*
+ 33641: 6f97.*
+ 33642: 0f2a.*
+ 33643: 6f97.*
+ 33644: 0c2b.*
+ 33645: 6f97.*
+ 33646: 0d2b.*
+ 33647: 6f97.*
+ 33648: 0c2b.*
+ 33649: 6f97.*
+ 3364a: 0e2b.*
+ 3364b: 6f97.*
+ 3364c: 0f2b.*
+ 3364d: 6f97.*
+ 3364e: 0f2b.*
+ 3364f: 6f97.*
+ 33650: 0c2c.*
+ 33651: 6f97.*
+ 33652: 0d2c.*
+ 33653: 6f97.*
+ 33654: 0c2c.*
+ 33655: 6f97.*
+ 33656: 0e2c.*
+ 33657: 6f97.*
+ 33658: 0f2c.*
+ 33659: 6f97.*
+ 3365a: 0f2c.*
+ 3365b: 6f97.*
+ 3365c: 0c2d.*
+ 3365d: 6f97.*
+ 3365e: 0d2d.*
+ 3365f: 6f97.*
+ 33660: 0c2d.*
+ 33661: 6f97.*
+ 33662: 0e2d.*
+ 33663: 6f97.*
+ 33664: 0f2d.*
+ 33665: 6f97.*
+ 33666: 0f2d.*
+ 33667: 6f97.*
+ 33668: 0c2e.*
+ 33669: 6f97.*
+ 3366a: 0d2e.*
+ 3366b: 6f97.*
+ 3366c: 0c2e.*
+ 3366d: 6f97.*
+ 3366e: 0e2e.*
+ 3366f: 6f97.*
+ 33670: 0f2e.*
+ 33671: 6f97.*
+ 33672: 0f2e.*
+ 33673: 6f97.*
+ 33674: 0c2f.*
+ 33675: 6f97.*
+ 33676: 0d2f.*
+ 33677: 6f97.*
+ 33678: 0c2f.*
+ 33679: 6f97.*
+ 3367a: 0e2f.*
+ 3367b: 6f97.*
+ 3367c: 0f2f.*
+ 3367d: 6f97.*
+ 3367e: 0f2f.*
+ 3367f: 08a7.*
+ 33680: 6fa7.*
+ 33681: 0d20.*
+ 33682: 08a7.*
+ 33683: 6fa7.*
+ 33684: 0e20.*
+ 33685: 09a7.*
+ 33686: 09a7.*
+ 33687: 6fa7.*
+ 33688: 0c30.*
+ 33689: 6fa7.*
+ 3368a: 0d30.*
+ 3368b: 6fa7.*
+ 3368c: 0c30.*
+ 3368d: 6fa7.*
+ 3368e: 0e30.*
+ 3368f: 6fa7.*
+ 33690: 0f30.*
+ 33691: 6fa7.*
+ 33692: 0f30.*
+ 33693: 6fa7.*
+ 33694: 0c31.*
+ 33695: 6fa7.*
+ 33696: 0d31.*
+ 33697: 6fa7.*
+ 33698: 0c31.*
+ 33699: 6fa7.*
+ 3369a: 0e31.*
+ 3369b: 6fa7.*
+ 3369c: 0f31.*
+ 3369d: 6fa7.*
+ 3369e: 0f31.*
+ 3369f: 6fa7.*
+ 336a0: 0c32.*
+ 336a1: 6fa7.*
+ 336a2: 0d32.*
+ 336a3: 6fa7.*
+ 336a4: 0c32.*
+ 336a5: 6fa7.*
+ 336a6: 0e32.*
+ 336a7: 6fa7.*
+ 336a8: 0f32.*
+ 336a9: 6fa7.*
+ 336aa: 0f32.*
+ 336ab: 6fa7.*
+ 336ac: 0c33.*
+ 336ad: 6fa7.*
+ 336ae: 0d33.*
+ 336af: 6fa7.*
+ 336b0: 0c33.*
+ 336b1: 6fa7.*
+ 336b2: 0e33.*
+ 336b3: 6fa7.*
+ 336b4: 0f33.*
+ 336b5: 6fa7.*
+ 336b6: 0f33.*
+ 336b7: 6fa7.*
+ 336b8: 0c34.*
+ 336b9: 6fa7.*
+ 336ba: 0d34.*
+ 336bb: 6fa7.*
+ 336bc: 0c34.*
+ 336bd: 6fa7.*
+ 336be: 0e34.*
+ 336bf: 6fa7.*
+ 336c0: 0f34.*
+ 336c1: 6fa7.*
+ 336c2: 0f34.*
+ 336c3: 6fa7.*
+ 336c4: 0c35.*
+ 336c5: 6fa7.*
+ 336c6: 0d35.*
+ 336c7: 6fa7.*
+ 336c8: 0c35.*
+ 336c9: 6fa7.*
+ 336ca: 0e35.*
+ 336cb: 6fa7.*
+ 336cc: 0f35.*
+ 336cd: 6fa7.*
+ 336ce: 0f35.*
+ 336cf: 6fa7.*
+ 336d0: 0c36.*
+ 336d1: 6fa7.*
+ 336d2: 0d36.*
+ 336d3: 6fa7.*
+ 336d4: 0c36.*
+ 336d5: 6fa7.*
+ 336d6: 0e36.*
+ 336d7: 6fa7.*
+ 336d8: 0f36.*
+ 336d9: 6fa7.*
+ 336da: 0f36.*
+ 336db: 6fa7.*
+ 336dc: 0c37.*
+ 336dd: 6fa7.*
+ 336de: 0d37.*
+ 336df: 6fa7.*
+ 336e0: 0c37.*
+ 336e1: 6fa7.*
+ 336e2: 0e37.*
+ 336e3: 6fa7.*
+ 336e4: 0f37.*
+ 336e5: 6fa7.*
+ 336e6: 0f37.*
+ 336e7: 6fa7.*
+ 336e8: 0c38.*
+ 336e9: 6fa7.*
+ 336ea: 0d38.*
+ 336eb: 6fa7.*
+ 336ec: 0c38.*
+ 336ed: 6fa7.*
+ 336ee: 0e38.*
+ 336ef: 6fa7.*
+ 336f0: 0f38.*
+ 336f1: 6fa7.*
+ 336f2: 0f38.*
+ 336f3: 6fa7.*
+ 336f4: 0c39.*
+ 336f5: 6fa7.*
+ 336f6: 0d39.*
+ 336f7: 6fa7.*
+ 336f8: 0c39.*
+ 336f9: 6fa7.*
+ 336fa: 0e39.*
+ 336fb: 6fa7.*
+ 336fc: 0f39.*
+ 336fd: 6fa7.*
+ 336fe: 0f39.*
+ 336ff: 6fa7.*
+ 33700: 0c3a.*
+ 33701: 6fa7.*
+ 33702: 0d3a.*
+ 33703: 6fa7.*
+ 33704: 0c3a.*
+ 33705: 6fa7.*
+ 33706: 0e3a.*
+ 33707: 6fa7.*
+ 33708: 0f3a.*
+ 33709: 6fa7.*
+ 3370a: 0f3a.*
+ 3370b: 6fa7.*
+ 3370c: 0c3b.*
+ 3370d: 6fa7.*
+ 3370e: 0d3b.*
+ 3370f: 6fa7.*
+ 33710: 0c3b.*
+ 33711: 6fa7.*
+ 33712: 0e3b.*
+ 33713: 6fa7.*
+ 33714: 0f3b.*
+ 33715: 6fa7.*
+ 33716: 0f3b.*
+ 33717: 6fa7.*
+ 33718: 0c3c.*
+ 33719: 6fa7.*
+ 3371a: 0d3c.*
+ 3371b: 6fa7.*
+ 3371c: 0c3c.*
+ 3371d: 6fa7.*
+ 3371e: 0e3c.*
+ 3371f: 6fa7.*
+ 33720: 0f3c.*
+ 33721: 6fa7.*
+ 33722: 0f3c.*
+ 33723: 6fa7.*
+ 33724: 0c3d.*
+ 33725: 6fa7.*
+ 33726: 0d3d.*
+ 33727: 6fa7.*
+ 33728: 0c3d.*
+ 33729: 6fa7.*
+ 3372a: 0e3d.*
+ 3372b: 6fa7.*
+ 3372c: 0f3d.*
+ 3372d: 6fa7.*
+ 3372e: 0f3d.*
+ 3372f: 6fa7.*
+ 33730: 0c3e.*
+ 33731: 6fa7.*
+ 33732: 0d3e.*
+ 33733: 6fa7.*
+ 33734: 0c3e.*
+ 33735: 6fa7.*
+ 33736: 0e3e.*
+ 33737: 6fa7.*
+ 33738: 0f3e.*
+ 33739: 6fa7.*
+ 3373a: 0f3e.*
+ 3373b: 6fa7.*
+ 3373c: 0c3f.*
+ 3373d: 6fa7.*
+ 3373e: 0d3f.*
+ 3373f: 6fa7.*
+ 33740: 0c3f.*
+ 33741: 6fa7.*
+ 33742: 0e3f.*
+ 33743: 6fa7.*
+ 33744: 0f3f.*
+ 33745: 6fa7.*
+ 33746: 0f3f.*
+ 33747: 08a7.*
+ 33748: 6fa7.*
+ 33749: 0d20.*
+ 3374a: 08a7.*
+ 3374b: 6fa7.*
+ 3374c: 0e20.*
+ 3374d: 09a7.*
+ 3374e: 09a7.*
+ 3374f: 6fa7.*
+ 33750: 0c21.*
+ 33751: 6fa7.*
+ 33752: 0d21.*
+ 33753: 6fa7.*
+ 33754: 0c21.*
+ 33755: 6fa7.*
+ 33756: 0e21.*
+ 33757: 6fa7.*
+ 33758: 0f21.*
+ 33759: 6fa7.*
+ 3375a: 0f21.*
+ 3375b: 6fa7.*
+ 3375c: 0c22.*
+ 3375d: 6fa7.*
+ 3375e: 0d22.*
+ 3375f: 6fa7.*
+ 33760: 0c22.*
+ 33761: 6fa7.*
+ 33762: 0e22.*
+ 33763: 6fa7.*
+ 33764: 0f22.*
+ 33765: 6fa7.*
+ 33766: 0f22.*
+ 33767: 6fa7.*
+ 33768: 0c23.*
+ 33769: 6fa7.*
+ 3376a: 0d23.*
+ 3376b: 6fa7.*
+ 3376c: 0c23.*
+ 3376d: 6fa7.*
+ 3376e: 0e23.*
+ 3376f: 6fa7.*
+ 33770: 0f23.*
+ 33771: 6fa7.*
+ 33772: 0f23.*
+ 33773: 6fa7.*
+ 33774: 0c24.*
+ 33775: 6fa7.*
+ 33776: 0d24.*
+ 33777: 6fa7.*
+ 33778: 0c24.*
+ 33779: 6fa7.*
+ 3377a: 0e24.*
+ 3377b: 6fa7.*
+ 3377c: 0f24.*
+ 3377d: 6fa7.*
+ 3377e: 0f24.*
+ 3377f: 6fa7.*
+ 33780: 0c25.*
+ 33781: 6fa7.*
+ 33782: 0d25.*
+ 33783: 6fa7.*
+ 33784: 0c25.*
+ 33785: 6fa7.*
+ 33786: 0e25.*
+ 33787: 6fa7.*
+ 33788: 0f25.*
+ 33789: 6fa7.*
+ 3378a: 0f25.*
+ 3378b: 6fa7.*
+ 3378c: 0c26.*
+ 3378d: 6fa7.*
+ 3378e: 0d26.*
+ 3378f: 6fa7.*
+ 33790: 0c26.*
+ 33791: 6fa7.*
+ 33792: 0e26.*
+ 33793: 6fa7.*
+ 33794: 0f26.*
+ 33795: 6fa7.*
+ 33796: 0f26.*
+ 33797: 6fa7.*
+ 33798: 0c27.*
+ 33799: 6fa7.*
+ 3379a: 0d27.*
+ 3379b: 6fa7.*
+ 3379c: 0c27.*
+ 3379d: 6fa7.*
+ 3379e: 0e27.*
+ 3379f: 6fa7.*
+ 337a0: 0f27.*
+ 337a1: 6fa7.*
+ 337a2: 0f27.*
+ 337a3: 6fa7.*
+ 337a4: 0c28.*
+ 337a5: 6fa7.*
+ 337a6: 0d28.*
+ 337a7: 6fa7.*
+ 337a8: 0c28.*
+ 337a9: 6fa7.*
+ 337aa: 0e28.*
+ 337ab: 6fa7.*
+ 337ac: 0f28.*
+ 337ad: 6fa7.*
+ 337ae: 0f28.*
+ 337af: 6fa7.*
+ 337b0: 0c29.*
+ 337b1: 6fa7.*
+ 337b2: 0d29.*
+ 337b3: 6fa7.*
+ 337b4: 0c29.*
+ 337b5: 6fa7.*
+ 337b6: 0e29.*
+ 337b7: 6fa7.*
+ 337b8: 0f29.*
+ 337b9: 6fa7.*
+ 337ba: 0f29.*
+ 337bb: 6fa7.*
+ 337bc: 0c2a.*
+ 337bd: 6fa7.*
+ 337be: 0d2a.*
+ 337bf: 6fa7.*
+ 337c0: 0c2a.*
+ 337c1: 6fa7.*
+ 337c2: 0e2a.*
+ 337c3: 6fa7.*
+ 337c4: 0f2a.*
+ 337c5: 6fa7.*
+ 337c6: 0f2a.*
+ 337c7: 6fa7.*
+ 337c8: 0c2b.*
+ 337c9: 6fa7.*
+ 337ca: 0d2b.*
+ 337cb: 6fa7.*
+ 337cc: 0c2b.*
+ 337cd: 6fa7.*
+ 337ce: 0e2b.*
+ 337cf: 6fa7.*
+ 337d0: 0f2b.*
+ 337d1: 6fa7.*
+ 337d2: 0f2b.*
+ 337d3: 6fa7.*
+ 337d4: 0c2c.*
+ 337d5: 6fa7.*
+ 337d6: 0d2c.*
+ 337d7: 6fa7.*
+ 337d8: 0c2c.*
+ 337d9: 6fa7.*
+ 337da: 0e2c.*
+ 337db: 6fa7.*
+ 337dc: 0f2c.*
+ 337dd: 6fa7.*
+ 337de: 0f2c.*
+ 337df: 6fa7.*
+ 337e0: 0c2d.*
+ 337e1: 6fa7.*
+ 337e2: 0d2d.*
+ 337e3: 6fa7.*
+ 337e4: 0c2d.*
+ 337e5: 6fa7.*
+ 337e6: 0e2d.*
+ 337e7: 6fa7.*
+ 337e8: 0f2d.*
+ 337e9: 6fa7.*
+ 337ea: 0f2d.*
+ 337eb: 6fa7.*
+ 337ec: 0c2e.*
+ 337ed: 6fa7.*
+ 337ee: 0d2e.*
+ 337ef: 6fa7.*
+ 337f0: 0c2e.*
+ 337f1: 6fa7.*
+ 337f2: 0e2e.*
+ 337f3: 6fa7.*
+ 337f4: 0f2e.*
+ 337f5: 6fa7.*
+ 337f6: 0f2e.*
+ 337f7: 6fa7.*
+ 337f8: 0c2f.*
+ 337f9: 6fa7.*
+ 337fa: 0d2f.*
+ 337fb: 6fa7.*
+ 337fc: 0c2f.*
+ 337fd: 6fa7.*
+ 337fe: 0e2f.*
+ 337ff: 6fa7.*
+ 33800: 0f2f.*
+ 33801: 6fa7.*
+ 33802: 0f2f.*
+ 33803: 08af.*
+ 33804: 6faf.*
+ 33805: 0d20.*
+ 33806: 08af.*
+ 33807: 6faf.*
+ 33808: 0e20.*
+ 33809: 09af.*
+ 3380a: 09af.*
+ 3380b: 6faf.*
+ 3380c: 0c30.*
+ 3380d: 6faf.*
+ 3380e: 0d30.*
+ 3380f: 6faf.*
+ 33810: 0c30.*
+ 33811: 6faf.*
+ 33812: 0e30.*
+ 33813: 6faf.*
+ 33814: 0f30.*
+ 33815: 6faf.*
+ 33816: 0f30.*
+ 33817: 6faf.*
+ 33818: 0c31.*
+ 33819: 6faf.*
+ 3381a: 0d31.*
+ 3381b: 6faf.*
+ 3381c: 0c31.*
+ 3381d: 6faf.*
+ 3381e: 0e31.*
+ 3381f: 6faf.*
+ 33820: 0f31.*
+ 33821: 6faf.*
+ 33822: 0f31.*
+ 33823: 6faf.*
+ 33824: 0c32.*
+ 33825: 6faf.*
+ 33826: 0d32.*
+ 33827: 6faf.*
+ 33828: 0c32.*
+ 33829: 6faf.*
+ 3382a: 0e32.*
+ 3382b: 6faf.*
+ 3382c: 0f32.*
+ 3382d: 6faf.*
+ 3382e: 0f32.*
+ 3382f: 6faf.*
+ 33830: 0c33.*
+ 33831: 6faf.*
+ 33832: 0d33.*
+ 33833: 6faf.*
+ 33834: 0c33.*
+ 33835: 6faf.*
+ 33836: 0e33.*
+ 33837: 6faf.*
+ 33838: 0f33.*
+ 33839: 6faf.*
+ 3383a: 0f33.*
+ 3383b: 6faf.*
+ 3383c: 0c34.*
+ 3383d: 6faf.*
+ 3383e: 0d34.*
+ 3383f: 6faf.*
+ 33840: 0c34.*
+ 33841: 6faf.*
+ 33842: 0e34.*
+ 33843: 6faf.*
+ 33844: 0f34.*
+ 33845: 6faf.*
+ 33846: 0f34.*
+ 33847: 6faf.*
+ 33848: 0c35.*
+ 33849: 6faf.*
+ 3384a: 0d35.*
+ 3384b: 6faf.*
+ 3384c: 0c35.*
+ 3384d: 6faf.*
+ 3384e: 0e35.*
+ 3384f: 6faf.*
+ 33850: 0f35.*
+ 33851: 6faf.*
+ 33852: 0f35.*
+ 33853: 6faf.*
+ 33854: 0c36.*
+ 33855: 6faf.*
+ 33856: 0d36.*
+ 33857: 6faf.*
+ 33858: 0c36.*
+ 33859: 6faf.*
+ 3385a: 0e36.*
+ 3385b: 6faf.*
+ 3385c: 0f36.*
+ 3385d: 6faf.*
+ 3385e: 0f36.*
+ 3385f: 6faf.*
+ 33860: 0c37.*
+ 33861: 6faf.*
+ 33862: 0d37.*
+ 33863: 6faf.*
+ 33864: 0c37.*
+ 33865: 6faf.*
+ 33866: 0e37.*
+ 33867: 6faf.*
+ 33868: 0f37.*
+ 33869: 6faf.*
+ 3386a: 0f37.*
+ 3386b: 6faf.*
+ 3386c: 0c38.*
+ 3386d: 6faf.*
+ 3386e: 0d38.*
+ 3386f: 6faf.*
+ 33870: 0c38.*
+ 33871: 6faf.*
+ 33872: 0e38.*
+ 33873: 6faf.*
+ 33874: 0f38.*
+ 33875: 6faf.*
+ 33876: 0f38.*
+ 33877: 6faf.*
+ 33878: 0c39.*
+ 33879: 6faf.*
+ 3387a: 0d39.*
+ 3387b: 6faf.*
+ 3387c: 0c39.*
+ 3387d: 6faf.*
+ 3387e: 0e39.*
+ 3387f: 6faf.*
+ 33880: 0f39.*
+ 33881: 6faf.*
+ 33882: 0f39.*
+ 33883: 6faf.*
+ 33884: 0c3a.*
+ 33885: 6faf.*
+ 33886: 0d3a.*
+ 33887: 6faf.*
+ 33888: 0c3a.*
+ 33889: 6faf.*
+ 3388a: 0e3a.*
+ 3388b: 6faf.*
+ 3388c: 0f3a.*
+ 3388d: 6faf.*
+ 3388e: 0f3a.*
+ 3388f: 6faf.*
+ 33890: 0c3b.*
+ 33891: 6faf.*
+ 33892: 0d3b.*
+ 33893: 6faf.*
+ 33894: 0c3b.*
+ 33895: 6faf.*
+ 33896: 0e3b.*
+ 33897: 6faf.*
+ 33898: 0f3b.*
+ 33899: 6faf.*
+ 3389a: 0f3b.*
+ 3389b: 6faf.*
+ 3389c: 0c3c.*
+ 3389d: 6faf.*
+ 3389e: 0d3c.*
+ 3389f: 6faf.*
+ 338a0: 0c3c.*
+ 338a1: 6faf.*
+ 338a2: 0e3c.*
+ 338a3: 6faf.*
+ 338a4: 0f3c.*
+ 338a5: 6faf.*
+ 338a6: 0f3c.*
+ 338a7: 6faf.*
+ 338a8: 0c3d.*
+ 338a9: 6faf.*
+ 338aa: 0d3d.*
+ 338ab: 6faf.*
+ 338ac: 0c3d.*
+ 338ad: 6faf.*
+ 338ae: 0e3d.*
+ 338af: 6faf.*
+ 338b0: 0f3d.*
+ 338b1: 6faf.*
+ 338b2: 0f3d.*
+ 338b3: 6faf.*
+ 338b4: 0c3e.*
+ 338b5: 6faf.*
+ 338b6: 0d3e.*
+ 338b7: 6faf.*
+ 338b8: 0c3e.*
+ 338b9: 6faf.*
+ 338ba: 0e3e.*
+ 338bb: 6faf.*
+ 338bc: 0f3e.*
+ 338bd: 6faf.*
+ 338be: 0f3e.*
+ 338bf: 6faf.*
+ 338c0: 0c3f.*
+ 338c1: 6faf.*
+ 338c2: 0d3f.*
+ 338c3: 6faf.*
+ 338c4: 0c3f.*
+ 338c5: 6faf.*
+ 338c6: 0e3f.*
+ 338c7: 6faf.*
+ 338c8: 0f3f.*
+ 338c9: 6faf.*
+ 338ca: 0f3f.*
+ 338cb: 08af.*
+ 338cc: 6faf.*
+ 338cd: 0d20.*
+ 338ce: 08af.*
+ 338cf: 6faf.*
+ 338d0: 0e20.*
+ 338d1: 09af.*
+ 338d2: 09af.*
+ 338d3: 6faf.*
+ 338d4: 0c21.*
+ 338d5: 6faf.*
+ 338d6: 0d21.*
+ 338d7: 6faf.*
+ 338d8: 0c21.*
+ 338d9: 6faf.*
+ 338da: 0e21.*
+ 338db: 6faf.*
+ 338dc: 0f21.*
+ 338dd: 6faf.*
+ 338de: 0f21.*
+ 338df: 6faf.*
+ 338e0: 0c22.*
+ 338e1: 6faf.*
+ 338e2: 0d22.*
+ 338e3: 6faf.*
+ 338e4: 0c22.*
+ 338e5: 6faf.*
+ 338e6: 0e22.*
+ 338e7: 6faf.*
+ 338e8: 0f22.*
+ 338e9: 6faf.*
+ 338ea: 0f22.*
+ 338eb: 6faf.*
+ 338ec: 0c23.*
+ 338ed: 6faf.*
+ 338ee: 0d23.*
+ 338ef: 6faf.*
+ 338f0: 0c23.*
+ 338f1: 6faf.*
+ 338f2: 0e23.*
+ 338f3: 6faf.*
+ 338f4: 0f23.*
+ 338f5: 6faf.*
+ 338f6: 0f23.*
+ 338f7: 6faf.*
+ 338f8: 0c24.*
+ 338f9: 6faf.*
+ 338fa: 0d24.*
+ 338fb: 6faf.*
+ 338fc: 0c24.*
+ 338fd: 6faf.*
+ 338fe: 0e24.*
+ 338ff: 6faf.*
+ 33900: 0f24.*
+ 33901: 6faf.*
+ 33902: 0f24.*
+ 33903: 6faf.*
+ 33904: 0c25.*
+ 33905: 6faf.*
+ 33906: 0d25.*
+ 33907: 6faf.*
+ 33908: 0c25.*
+ 33909: 6faf.*
+ 3390a: 0e25.*
+ 3390b: 6faf.*
+ 3390c: 0f25.*
+ 3390d: 6faf.*
+ 3390e: 0f25.*
+ 3390f: 6faf.*
+ 33910: 0c26.*
+ 33911: 6faf.*
+ 33912: 0d26.*
+ 33913: 6faf.*
+ 33914: 0c26.*
+ 33915: 6faf.*
+ 33916: 0e26.*
+ 33917: 6faf.*
+ 33918: 0f26.*
+ 33919: 6faf.*
+ 3391a: 0f26.*
+ 3391b: 6faf.*
+ 3391c: 0c27.*
+ 3391d: 6faf.*
+ 3391e: 0d27.*
+ 3391f: 6faf.*
+ 33920: 0c27.*
+ 33921: 6faf.*
+ 33922: 0e27.*
+ 33923: 6faf.*
+ 33924: 0f27.*
+ 33925: 6faf.*
+ 33926: 0f27.*
+ 33927: 6faf.*
+ 33928: 0c28.*
+ 33929: 6faf.*
+ 3392a: 0d28.*
+ 3392b: 6faf.*
+ 3392c: 0c28.*
+ 3392d: 6faf.*
+ 3392e: 0e28.*
+ 3392f: 6faf.*
+ 33930: 0f28.*
+ 33931: 6faf.*
+ 33932: 0f28.*
+ 33933: 6faf.*
+ 33934: 0c29.*
+ 33935: 6faf.*
+ 33936: 0d29.*
+ 33937: 6faf.*
+ 33938: 0c29.*
+ 33939: 6faf.*
+ 3393a: 0e29.*
+ 3393b: 6faf.*
+ 3393c: 0f29.*
+ 3393d: 6faf.*
+ 3393e: 0f29.*
+ 3393f: 6faf.*
+ 33940: 0c2a.*
+ 33941: 6faf.*
+ 33942: 0d2a.*
+ 33943: 6faf.*
+ 33944: 0c2a.*
+ 33945: 6faf.*
+ 33946: 0e2a.*
+ 33947: 6faf.*
+ 33948: 0f2a.*
+ 33949: 6faf.*
+ 3394a: 0f2a.*
+ 3394b: 6faf.*
+ 3394c: 0c2b.*
+ 3394d: 6faf.*
+ 3394e: 0d2b.*
+ 3394f: 6faf.*
+ 33950: 0c2b.*
+ 33951: 6faf.*
+ 33952: 0e2b.*
+ 33953: 6faf.*
+ 33954: 0f2b.*
+ 33955: 6faf.*
+ 33956: 0f2b.*
+ 33957: 6faf.*
+ 33958: 0c2c.*
+ 33959: 6faf.*
+ 3395a: 0d2c.*
+ 3395b: 6faf.*
+ 3395c: 0c2c.*
+ 3395d: 6faf.*
+ 3395e: 0e2c.*
+ 3395f: 6faf.*
+ 33960: 0f2c.*
+ 33961: 6faf.*
+ 33962: 0f2c.*
+ 33963: 6faf.*
+ 33964: 0c2d.*
+ 33965: 6faf.*
+ 33966: 0d2d.*
+ 33967: 6faf.*
+ 33968: 0c2d.*
+ 33969: 6faf.*
+ 3396a: 0e2d.*
+ 3396b: 6faf.*
+ 3396c: 0f2d.*
+ 3396d: 6faf.*
+ 3396e: 0f2d.*
+ 3396f: 6faf.*
+ 33970: 0c2e.*
+ 33971: 6faf.*
+ 33972: 0d2e.*
+ 33973: 6faf.*
+ 33974: 0c2e.*
+ 33975: 6faf.*
+ 33976: 0e2e.*
+ 33977: 6faf.*
+ 33978: 0f2e.*
+ 33979: 6faf.*
+ 3397a: 0f2e.*
+ 3397b: 6faf.*
+ 3397c: 0c2f.*
+ 3397d: 6faf.*
+ 3397e: 0d2f.*
+ 3397f: 6faf.*
+ 33980: 0c2f.*
+ 33981: 6faf.*
+ 33982: 0e2f.*
+ 33983: 6faf.*
+ 33984: 0f2f.*
+ 33985: 6faf.*
+ 33986: 0f2f.*
+ 33987: 08b7.*
+ 33988: 6fb7.*
+ 33989: 0d20.*
+ 3398a: 08b7.*
+ 3398b: 6fb7.*
+ 3398c: 0e20.*
+ 3398d: 09b7.*
+ 3398e: 09b7.*
+ 3398f: 6fb7.*
+ 33990: 0c30.*
+ 33991: 6fb7.*
+ 33992: 0d30.*
+ 33993: 6fb7.*
+ 33994: 0c30.*
+ 33995: 6fb7.*
+ 33996: 0e30.*
+ 33997: 6fb7.*
+ 33998: 0f30.*
+ 33999: 6fb7.*
+ 3399a: 0f30.*
+ 3399b: 6fb7.*
+ 3399c: 0c31.*
+ 3399d: 6fb7.*
+ 3399e: 0d31.*
+ 3399f: 6fb7.*
+ 339a0: 0c31.*
+ 339a1: 6fb7.*
+ 339a2: 0e31.*
+ 339a3: 6fb7.*
+ 339a4: 0f31.*
+ 339a5: 6fb7.*
+ 339a6: 0f31.*
+ 339a7: 6fb7.*
+ 339a8: 0c32.*
+ 339a9: 6fb7.*
+ 339aa: 0d32.*
+ 339ab: 6fb7.*
+ 339ac: 0c32.*
+ 339ad: 6fb7.*
+ 339ae: 0e32.*
+ 339af: 6fb7.*
+ 339b0: 0f32.*
+ 339b1: 6fb7.*
+ 339b2: 0f32.*
+ 339b3: 6fb7.*
+ 339b4: 0c33.*
+ 339b5: 6fb7.*
+ 339b6: 0d33.*
+ 339b7: 6fb7.*
+ 339b8: 0c33.*
+ 339b9: 6fb7.*
+ 339ba: 0e33.*
+ 339bb: 6fb7.*
+ 339bc: 0f33.*
+ 339bd: 6fb7.*
+ 339be: 0f33.*
+ 339bf: 6fb7.*
+ 339c0: 0c34.*
+ 339c1: 6fb7.*
+ 339c2: 0d34.*
+ 339c3: 6fb7.*
+ 339c4: 0c34.*
+ 339c5: 6fb7.*
+ 339c6: 0e34.*
+ 339c7: 6fb7.*
+ 339c8: 0f34.*
+ 339c9: 6fb7.*
+ 339ca: 0f34.*
+ 339cb: 6fb7.*
+ 339cc: 0c35.*
+ 339cd: 6fb7.*
+ 339ce: 0d35.*
+ 339cf: 6fb7.*
+ 339d0: 0c35.*
+ 339d1: 6fb7.*
+ 339d2: 0e35.*
+ 339d3: 6fb7.*
+ 339d4: 0f35.*
+ 339d5: 6fb7.*
+ 339d6: 0f35.*
+ 339d7: 6fb7.*
+ 339d8: 0c36.*
+ 339d9: 6fb7.*
+ 339da: 0d36.*
+ 339db: 6fb7.*
+ 339dc: 0c36.*
+ 339dd: 6fb7.*
+ 339de: 0e36.*
+ 339df: 6fb7.*
+ 339e0: 0f36.*
+ 339e1: 6fb7.*
+ 339e2: 0f36.*
+ 339e3: 6fb7.*
+ 339e4: 0c37.*
+ 339e5: 6fb7.*
+ 339e6: 0d37.*
+ 339e7: 6fb7.*
+ 339e8: 0c37.*
+ 339e9: 6fb7.*
+ 339ea: 0e37.*
+ 339eb: 6fb7.*
+ 339ec: 0f37.*
+ 339ed: 6fb7.*
+ 339ee: 0f37.*
+ 339ef: 6fb7.*
+ 339f0: 0c38.*
+ 339f1: 6fb7.*
+ 339f2: 0d38.*
+ 339f3: 6fb7.*
+ 339f4: 0c38.*
+ 339f5: 6fb7.*
+ 339f6: 0e38.*
+ 339f7: 6fb7.*
+ 339f8: 0f38.*
+ 339f9: 6fb7.*
+ 339fa: 0f38.*
+ 339fb: 6fb7.*
+ 339fc: 0c39.*
+ 339fd: 6fb7.*
+ 339fe: 0d39.*
+ 339ff: 6fb7.*
+ 33a00: 0c39.*
+ 33a01: 6fb7.*
+ 33a02: 0e39.*
+ 33a03: 6fb7.*
+ 33a04: 0f39.*
+ 33a05: 6fb7.*
+ 33a06: 0f39.*
+ 33a07: 6fb7.*
+ 33a08: 0c3a.*
+ 33a09: 6fb7.*
+ 33a0a: 0d3a.*
+ 33a0b: 6fb7.*
+ 33a0c: 0c3a.*
+ 33a0d: 6fb7.*
+ 33a0e: 0e3a.*
+ 33a0f: 6fb7.*
+ 33a10: 0f3a.*
+ 33a11: 6fb7.*
+ 33a12: 0f3a.*
+ 33a13: 6fb7.*
+ 33a14: 0c3b.*
+ 33a15: 6fb7.*
+ 33a16: 0d3b.*
+ 33a17: 6fb7.*
+ 33a18: 0c3b.*
+ 33a19: 6fb7.*
+ 33a1a: 0e3b.*
+ 33a1b: 6fb7.*
+ 33a1c: 0f3b.*
+ 33a1d: 6fb7.*
+ 33a1e: 0f3b.*
+ 33a1f: 6fb7.*
+ 33a20: 0c3c.*
+ 33a21: 6fb7.*
+ 33a22: 0d3c.*
+ 33a23: 6fb7.*
+ 33a24: 0c3c.*
+ 33a25: 6fb7.*
+ 33a26: 0e3c.*
+ 33a27: 6fb7.*
+ 33a28: 0f3c.*
+ 33a29: 6fb7.*
+ 33a2a: 0f3c.*
+ 33a2b: 6fb7.*
+ 33a2c: 0c3d.*
+ 33a2d: 6fb7.*
+ 33a2e: 0d3d.*
+ 33a2f: 6fb7.*
+ 33a30: 0c3d.*
+ 33a31: 6fb7.*
+ 33a32: 0e3d.*
+ 33a33: 6fb7.*
+ 33a34: 0f3d.*
+ 33a35: 6fb7.*
+ 33a36: 0f3d.*
+ 33a37: 6fb7.*
+ 33a38: 0c3e.*
+ 33a39: 6fb7.*
+ 33a3a: 0d3e.*
+ 33a3b: 6fb7.*
+ 33a3c: 0c3e.*
+ 33a3d: 6fb7.*
+ 33a3e: 0e3e.*
+ 33a3f: 6fb7.*
+ 33a40: 0f3e.*
+ 33a41: 6fb7.*
+ 33a42: 0f3e.*
+ 33a43: 6fb7.*
+ 33a44: 0c3f.*
+ 33a45: 6fb7.*
+ 33a46: 0d3f.*
+ 33a47: 6fb7.*
+ 33a48: 0c3f.*
+ 33a49: 6fb7.*
+ 33a4a: 0e3f.*
+ 33a4b: 6fb7.*
+ 33a4c: 0f3f.*
+ 33a4d: 6fb7.*
+ 33a4e: 0f3f.*
+ 33a4f: 08b7.*
+ 33a50: 6fb7.*
+ 33a51: 0d20.*
+ 33a52: 08b7.*
+ 33a53: 6fb7.*
+ 33a54: 0e20.*
+ 33a55: 09b7.*
+ 33a56: 09b7.*
+ 33a57: 6fb7.*
+ 33a58: 0c21.*
+ 33a59: 6fb7.*
+ 33a5a: 0d21.*
+ 33a5b: 6fb7.*
+ 33a5c: 0c21.*
+ 33a5d: 6fb7.*
+ 33a5e: 0e21.*
+ 33a5f: 6fb7.*
+ 33a60: 0f21.*
+ 33a61: 6fb7.*
+ 33a62: 0f21.*
+ 33a63: 6fb7.*
+ 33a64: 0c22.*
+ 33a65: 6fb7.*
+ 33a66: 0d22.*
+ 33a67: 6fb7.*
+ 33a68: 0c22.*
+ 33a69: 6fb7.*
+ 33a6a: 0e22.*
+ 33a6b: 6fb7.*
+ 33a6c: 0f22.*
+ 33a6d: 6fb7.*
+ 33a6e: 0f22.*
+ 33a6f: 6fb7.*
+ 33a70: 0c23.*
+ 33a71: 6fb7.*
+ 33a72: 0d23.*
+ 33a73: 6fb7.*
+ 33a74: 0c23.*
+ 33a75: 6fb7.*
+ 33a76: 0e23.*
+ 33a77: 6fb7.*
+ 33a78: 0f23.*
+ 33a79: 6fb7.*
+ 33a7a: 0f23.*
+ 33a7b: 6fb7.*
+ 33a7c: 0c24.*
+ 33a7d: 6fb7.*
+ 33a7e: 0d24.*
+ 33a7f: 6fb7.*
+ 33a80: 0c24.*
+ 33a81: 6fb7.*
+ 33a82: 0e24.*
+ 33a83: 6fb7.*
+ 33a84: 0f24.*
+ 33a85: 6fb7.*
+ 33a86: 0f24.*
+ 33a87: 6fb7.*
+ 33a88: 0c25.*
+ 33a89: 6fb7.*
+ 33a8a: 0d25.*
+ 33a8b: 6fb7.*
+ 33a8c: 0c25.*
+ 33a8d: 6fb7.*
+ 33a8e: 0e25.*
+ 33a8f: 6fb7.*
+ 33a90: 0f25.*
+ 33a91: 6fb7.*
+ 33a92: 0f25.*
+ 33a93: 6fb7.*
+ 33a94: 0c26.*
+ 33a95: 6fb7.*
+ 33a96: 0d26.*
+ 33a97: 6fb7.*
+ 33a98: 0c26.*
+ 33a99: 6fb7.*
+ 33a9a: 0e26.*
+ 33a9b: 6fb7.*
+ 33a9c: 0f26.*
+ 33a9d: 6fb7.*
+ 33a9e: 0f26.*
+ 33a9f: 6fb7.*
+ 33aa0: 0c27.*
+ 33aa1: 6fb7.*
+ 33aa2: 0d27.*
+ 33aa3: 6fb7.*
+ 33aa4: 0c27.*
+ 33aa5: 6fb7.*
+ 33aa6: 0e27.*
+ 33aa7: 6fb7.*
+ 33aa8: 0f27.*
+ 33aa9: 6fb7.*
+ 33aaa: 0f27.*
+ 33aab: 6fb7.*
+ 33aac: 0c28.*
+ 33aad: 6fb7.*
+ 33aae: 0d28.*
+ 33aaf: 6fb7.*
+ 33ab0: 0c28.*
+ 33ab1: 6fb7.*
+ 33ab2: 0e28.*
+ 33ab3: 6fb7.*
+ 33ab4: 0f28.*
+ 33ab5: 6fb7.*
+ 33ab6: 0f28.*
+ 33ab7: 6fb7.*
+ 33ab8: 0c29.*
+ 33ab9: 6fb7.*
+ 33aba: 0d29.*
+ 33abb: 6fb7.*
+ 33abc: 0c29.*
+ 33abd: 6fb7.*
+ 33abe: 0e29.*
+ 33abf: 6fb7.*
+ 33ac0: 0f29.*
+ 33ac1: 6fb7.*
+ 33ac2: 0f29.*
+ 33ac3: 6fb7.*
+ 33ac4: 0c2a.*
+ 33ac5: 6fb7.*
+ 33ac6: 0d2a.*
+ 33ac7: 6fb7.*
+ 33ac8: 0c2a.*
+ 33ac9: 6fb7.*
+ 33aca: 0e2a.*
+ 33acb: 6fb7.*
+ 33acc: 0f2a.*
+ 33acd: 6fb7.*
+ 33ace: 0f2a.*
+ 33acf: 6fb7.*
+ 33ad0: 0c2b.*
+ 33ad1: 6fb7.*
+ 33ad2: 0d2b.*
+ 33ad3: 6fb7.*
+ 33ad4: 0c2b.*
+ 33ad5: 6fb7.*
+ 33ad6: 0e2b.*
+ 33ad7: 6fb7.*
+ 33ad8: 0f2b.*
+ 33ad9: 6fb7.*
+ 33ada: 0f2b.*
+ 33adb: 6fb7.*
+ 33adc: 0c2c.*
+ 33add: 6fb7.*
+ 33ade: 0d2c.*
+ 33adf: 6fb7.*
+ 33ae0: 0c2c.*
+ 33ae1: 6fb7.*
+ 33ae2: 0e2c.*
+ 33ae3: 6fb7.*
+ 33ae4: 0f2c.*
+ 33ae5: 6fb7.*
+ 33ae6: 0f2c.*
+ 33ae7: 6fb7.*
+ 33ae8: 0c2d.*
+ 33ae9: 6fb7.*
+ 33aea: 0d2d.*
+ 33aeb: 6fb7.*
+ 33aec: 0c2d.*
+ 33aed: 6fb7.*
+ 33aee: 0e2d.*
+ 33aef: 6fb7.*
+ 33af0: 0f2d.*
+ 33af1: 6fb7.*
+ 33af2: 0f2d.*
+ 33af3: 6fb7.*
+ 33af4: 0c2e.*
+ 33af5: 6fb7.*
+ 33af6: 0d2e.*
+ 33af7: 6fb7.*
+ 33af8: 0c2e.*
+ 33af9: 6fb7.*
+ 33afa: 0e2e.*
+ 33afb: 6fb7.*
+ 33afc: 0f2e.*
+ 33afd: 6fb7.*
+ 33afe: 0f2e.*
+ 33aff: 6fb7.*
+ 33b00: 0c2f.*
+ 33b01: 6fb7.*
+ 33b02: 0d2f.*
+ 33b03: 6fb7.*
+ 33b04: 0c2f.*
+ 33b05: 6fb7.*
+ 33b06: 0e2f.*
+ 33b07: 6fb7.*
+ 33b08: 0f2f.*
+ 33b09: 6fb7.*
+ 33b0a: 0f2f.*
+ 33b0b: 08bf.*
+ 33b0c: 6fbf.*
+ 33b0d: 0d20.*
+ 33b0e: 08bf.*
+ 33b0f: 6fbf.*
+ 33b10: 0e20.*
+ 33b11: 09bf.*
+ 33b12: 09bf.*
+ 33b13: 6fbf.*
+ 33b14: 0c30.*
+ 33b15: 6fbf.*
+ 33b16: 0d30.*
+ 33b17: 6fbf.*
+ 33b18: 0c30.*
+ 33b19: 6fbf.*
+ 33b1a: 0e30.*
+ 33b1b: 6fbf.*
+ 33b1c: 0f30.*
+ 33b1d: 6fbf.*
+ 33b1e: 0f30.*
+ 33b1f: 6fbf.*
+ 33b20: 0c31.*
+ 33b21: 6fbf.*
+ 33b22: 0d31.*
+ 33b23: 6fbf.*
+ 33b24: 0c31.*
+ 33b25: 6fbf.*
+ 33b26: 0e31.*
+ 33b27: 6fbf.*
+ 33b28: 0f31.*
+ 33b29: 6fbf.*
+ 33b2a: 0f31.*
+ 33b2b: 6fbf.*
+ 33b2c: 0c32.*
+ 33b2d: 6fbf.*
+ 33b2e: 0d32.*
+ 33b2f: 6fbf.*
+ 33b30: 0c32.*
+ 33b31: 6fbf.*
+ 33b32: 0e32.*
+ 33b33: 6fbf.*
+ 33b34: 0f32.*
+ 33b35: 6fbf.*
+ 33b36: 0f32.*
+ 33b37: 6fbf.*
+ 33b38: 0c33.*
+ 33b39: 6fbf.*
+ 33b3a: 0d33.*
+ 33b3b: 6fbf.*
+ 33b3c: 0c33.*
+ 33b3d: 6fbf.*
+ 33b3e: 0e33.*
+ 33b3f: 6fbf.*
+ 33b40: 0f33.*
+ 33b41: 6fbf.*
+ 33b42: 0f33.*
+ 33b43: 6fbf.*
+ 33b44: 0c34.*
+ 33b45: 6fbf.*
+ 33b46: 0d34.*
+ 33b47: 6fbf.*
+ 33b48: 0c34.*
+ 33b49: 6fbf.*
+ 33b4a: 0e34.*
+ 33b4b: 6fbf.*
+ 33b4c: 0f34.*
+ 33b4d: 6fbf.*
+ 33b4e: 0f34.*
+ 33b4f: 6fbf.*
+ 33b50: 0c35.*
+ 33b51: 6fbf.*
+ 33b52: 0d35.*
+ 33b53: 6fbf.*
+ 33b54: 0c35.*
+ 33b55: 6fbf.*
+ 33b56: 0e35.*
+ 33b57: 6fbf.*
+ 33b58: 0f35.*
+ 33b59: 6fbf.*
+ 33b5a: 0f35.*
+ 33b5b: 6fbf.*
+ 33b5c: 0c36.*
+ 33b5d: 6fbf.*
+ 33b5e: 0d36.*
+ 33b5f: 6fbf.*
+ 33b60: 0c36.*
+ 33b61: 6fbf.*
+ 33b62: 0e36.*
+ 33b63: 6fbf.*
+ 33b64: 0f36.*
+ 33b65: 6fbf.*
+ 33b66: 0f36.*
+ 33b67: 6fbf.*
+ 33b68: 0c37.*
+ 33b69: 6fbf.*
+ 33b6a: 0d37.*
+ 33b6b: 6fbf.*
+ 33b6c: 0c37.*
+ 33b6d: 6fbf.*
+ 33b6e: 0e37.*
+ 33b6f: 6fbf.*
+ 33b70: 0f37.*
+ 33b71: 6fbf.*
+ 33b72: 0f37.*
+ 33b73: 6fbf.*
+ 33b74: 0c38.*
+ 33b75: 6fbf.*
+ 33b76: 0d38.*
+ 33b77: 6fbf.*
+ 33b78: 0c38.*
+ 33b79: 6fbf.*
+ 33b7a: 0e38.*
+ 33b7b: 6fbf.*
+ 33b7c: 0f38.*
+ 33b7d: 6fbf.*
+ 33b7e: 0f38.*
+ 33b7f: 6fbf.*
+ 33b80: 0c39.*
+ 33b81: 6fbf.*
+ 33b82: 0d39.*
+ 33b83: 6fbf.*
+ 33b84: 0c39.*
+ 33b85: 6fbf.*
+ 33b86: 0e39.*
+ 33b87: 6fbf.*
+ 33b88: 0f39.*
+ 33b89: 6fbf.*
+ 33b8a: 0f39.*
+ 33b8b: 6fbf.*
+ 33b8c: 0c3a.*
+ 33b8d: 6fbf.*
+ 33b8e: 0d3a.*
+ 33b8f: 6fbf.*
+ 33b90: 0c3a.*
+ 33b91: 6fbf.*
+ 33b92: 0e3a.*
+ 33b93: 6fbf.*
+ 33b94: 0f3a.*
+ 33b95: 6fbf.*
+ 33b96: 0f3a.*
+ 33b97: 6fbf.*
+ 33b98: 0c3b.*
+ 33b99: 6fbf.*
+ 33b9a: 0d3b.*
+ 33b9b: 6fbf.*
+ 33b9c: 0c3b.*
+ 33b9d: 6fbf.*
+ 33b9e: 0e3b.*
+ 33b9f: 6fbf.*
+ 33ba0: 0f3b.*
+ 33ba1: 6fbf.*
+ 33ba2: 0f3b.*
+ 33ba3: 6fbf.*
+ 33ba4: 0c3c.*
+ 33ba5: 6fbf.*
+ 33ba6: 0d3c.*
+ 33ba7: 6fbf.*
+ 33ba8: 0c3c.*
+ 33ba9: 6fbf.*
+ 33baa: 0e3c.*
+ 33bab: 6fbf.*
+ 33bac: 0f3c.*
+ 33bad: 6fbf.*
+ 33bae: 0f3c.*
+ 33baf: 6fbf.*
+ 33bb0: 0c3d.*
+ 33bb1: 6fbf.*
+ 33bb2: 0d3d.*
+ 33bb3: 6fbf.*
+ 33bb4: 0c3d.*
+ 33bb5: 6fbf.*
+ 33bb6: 0e3d.*
+ 33bb7: 6fbf.*
+ 33bb8: 0f3d.*
+ 33bb9: 6fbf.*
+ 33bba: 0f3d.*
+ 33bbb: 6fbf.*
+ 33bbc: 0c3e.*
+ 33bbd: 6fbf.*
+ 33bbe: 0d3e.*
+ 33bbf: 6fbf.*
+ 33bc0: 0c3e.*
+ 33bc1: 6fbf.*
+ 33bc2: 0e3e.*
+ 33bc3: 6fbf.*
+ 33bc4: 0f3e.*
+ 33bc5: 6fbf.*
+ 33bc6: 0f3e.*
+ 33bc7: 6fbf.*
+ 33bc8: 0c3f.*
+ 33bc9: 6fbf.*
+ 33bca: 0d3f.*
+ 33bcb: 6fbf.*
+ 33bcc: 0c3f.*
+ 33bcd: 6fbf.*
+ 33bce: 0e3f.*
+ 33bcf: 6fbf.*
+ 33bd0: 0f3f.*
+ 33bd1: 6fbf.*
+ 33bd2: 0f3f.*
+ 33bd3: 08bf.*
+ 33bd4: 6fbf.*
+ 33bd5: 0d20.*
+ 33bd6: 08bf.*
+ 33bd7: 6fbf.*
+ 33bd8: 0e20.*
+ 33bd9: 09bf.*
+ 33bda: 09bf.*
+ 33bdb: 6fbf.*
+ 33bdc: 0c21.*
+ 33bdd: 6fbf.*
+ 33bde: 0d21.*
+ 33bdf: 6fbf.*
+ 33be0: 0c21.*
+ 33be1: 6fbf.*
+ 33be2: 0e21.*
+ 33be3: 6fbf.*
+ 33be4: 0f21.*
+ 33be5: 6fbf.*
+ 33be6: 0f21.*
+ 33be7: 6fbf.*
+ 33be8: 0c22.*
+ 33be9: 6fbf.*
+ 33bea: 0d22.*
+ 33beb: 6fbf.*
+ 33bec: 0c22.*
+ 33bed: 6fbf.*
+ 33bee: 0e22.*
+ 33bef: 6fbf.*
+ 33bf0: 0f22.*
+ 33bf1: 6fbf.*
+ 33bf2: 0f22.*
+ 33bf3: 6fbf.*
+ 33bf4: 0c23.*
+ 33bf5: 6fbf.*
+ 33bf6: 0d23.*
+ 33bf7: 6fbf.*
+ 33bf8: 0c23.*
+ 33bf9: 6fbf.*
+ 33bfa: 0e23.*
+ 33bfb: 6fbf.*
+ 33bfc: 0f23.*
+ 33bfd: 6fbf.*
+ 33bfe: 0f23.*
+ 33bff: 6fbf.*
+ 33c00: 0c24.*
+ 33c01: 6fbf.*
+ 33c02: 0d24.*
+ 33c03: 6fbf.*
+ 33c04: 0c24.*
+ 33c05: 6fbf.*
+ 33c06: 0e24.*
+ 33c07: 6fbf.*
+ 33c08: 0f24.*
+ 33c09: 6fbf.*
+ 33c0a: 0f24.*
+ 33c0b: 6fbf.*
+ 33c0c: 0c25.*
+ 33c0d: 6fbf.*
+ 33c0e: 0d25.*
+ 33c0f: 6fbf.*
+ 33c10: 0c25.*
+ 33c11: 6fbf.*
+ 33c12: 0e25.*
+ 33c13: 6fbf.*
+ 33c14: 0f25.*
+ 33c15: 6fbf.*
+ 33c16: 0f25.*
+ 33c17: 6fbf.*
+ 33c18: 0c26.*
+ 33c19: 6fbf.*
+ 33c1a: 0d26.*
+ 33c1b: 6fbf.*
+ 33c1c: 0c26.*
+ 33c1d: 6fbf.*
+ 33c1e: 0e26.*
+ 33c1f: 6fbf.*
+ 33c20: 0f26.*
+ 33c21: 6fbf.*
+ 33c22: 0f26.*
+ 33c23: 6fbf.*
+ 33c24: 0c27.*
+ 33c25: 6fbf.*
+ 33c26: 0d27.*
+ 33c27: 6fbf.*
+ 33c28: 0c27.*
+ 33c29: 6fbf.*
+ 33c2a: 0e27.*
+ 33c2b: 6fbf.*
+ 33c2c: 0f27.*
+ 33c2d: 6fbf.*
+ 33c2e: 0f27.*
+ 33c2f: 6fbf.*
+ 33c30: 0c28.*
+ 33c31: 6fbf.*
+ 33c32: 0d28.*
+ 33c33: 6fbf.*
+ 33c34: 0c28.*
+ 33c35: 6fbf.*
+ 33c36: 0e28.*
+ 33c37: 6fbf.*
+ 33c38: 0f28.*
+ 33c39: 6fbf.*
+ 33c3a: 0f28.*
+ 33c3b: 6fbf.*
+ 33c3c: 0c29.*
+ 33c3d: 6fbf.*
+ 33c3e: 0d29.*
+ 33c3f: 6fbf.*
+ 33c40: 0c29.*
+ 33c41: 6fbf.*
+ 33c42: 0e29.*
+ 33c43: 6fbf.*
+ 33c44: 0f29.*
+ 33c45: 6fbf.*
+ 33c46: 0f29.*
+ 33c47: 6fbf.*
+ 33c48: 0c2a.*
+ 33c49: 6fbf.*
+ 33c4a: 0d2a.*
+ 33c4b: 6fbf.*
+ 33c4c: 0c2a.*
+ 33c4d: 6fbf.*
+ 33c4e: 0e2a.*
+ 33c4f: 6fbf.*
+ 33c50: 0f2a.*
+ 33c51: 6fbf.*
+ 33c52: 0f2a.*
+ 33c53: 6fbf.*
+ 33c54: 0c2b.*
+ 33c55: 6fbf.*
+ 33c56: 0d2b.*
+ 33c57: 6fbf.*
+ 33c58: 0c2b.*
+ 33c59: 6fbf.*
+ 33c5a: 0e2b.*
+ 33c5b: 6fbf.*
+ 33c5c: 0f2b.*
+ 33c5d: 6fbf.*
+ 33c5e: 0f2b.*
+ 33c5f: 6fbf.*
+ 33c60: 0c2c.*
+ 33c61: 6fbf.*
+ 33c62: 0d2c.*
+ 33c63: 6fbf.*
+ 33c64: 0c2c.*
+ 33c65: 6fbf.*
+ 33c66: 0e2c.*
+ 33c67: 6fbf.*
+ 33c68: 0f2c.*
+ 33c69: 6fbf.*
+ 33c6a: 0f2c.*
+ 33c6b: 6fbf.*
+ 33c6c: 0c2d.*
+ 33c6d: 6fbf.*
+ 33c6e: 0d2d.*
+ 33c6f: 6fbf.*
+ 33c70: 0c2d.*
+ 33c71: 6fbf.*
+ 33c72: 0e2d.*
+ 33c73: 6fbf.*
+ 33c74: 0f2d.*
+ 33c75: 6fbf.*
+ 33c76: 0f2d.*
+ 33c77: 6fbf.*
+ 33c78: 0c2e.*
+ 33c79: 6fbf.*
+ 33c7a: 0d2e.*
+ 33c7b: 6fbf.*
+ 33c7c: 0c2e.*
+ 33c7d: 6fbf.*
+ 33c7e: 0e2e.*
+ 33c7f: 6fbf.*
+ 33c80: 0f2e.*
+ 33c81: 6fbf.*
+ 33c82: 0f2e.*
+ 33c83: 6fbf.*
+ 33c84: 0c2f.*
+ 33c85: 6fbf.*
+ 33c86: 0d2f.*
+ 33c87: 6fbf.*
+ 33c88: 0c2f.*
+ 33c89: 6fbf.*
+ 33c8a: 0e2f.*
+ 33c8b: 6fbf.*
+ 33c8c: 0f2f.*
+ 33c8d: 6fbf.*
+ 33c8e: 0f2f.*
+ 33c8f: 08c7.*
+ 33c90: 6fc7.*
+ 33c91: 0d20.*
+ 33c92: 08c7.*
+ 33c93: 6fc7.*
+ 33c94: 0e20.*
+ 33c95: 09c7.*
+ 33c96: 09c7.*
+ 33c97: 6fc7.*
+ 33c98: 0c30.*
+ 33c99: 6fc7.*
+ 33c9a: 0d30.*
+ 33c9b: 6fc7.*
+ 33c9c: 0c30.*
+ 33c9d: 6fc7.*
+ 33c9e: 0e30.*
+ 33c9f: 6fc7.*
+ 33ca0: 0f30.*
+ 33ca1: 6fc7.*
+ 33ca2: 0f30.*
+ 33ca3: 6fc7.*
+ 33ca4: 0c31.*
+ 33ca5: 6fc7.*
+ 33ca6: 0d31.*
+ 33ca7: 6fc7.*
+ 33ca8: 0c31.*
+ 33ca9: 6fc7.*
+ 33caa: 0e31.*
+ 33cab: 6fc7.*
+ 33cac: 0f31.*
+ 33cad: 6fc7.*
+ 33cae: 0f31.*
+ 33caf: 6fc7.*
+ 33cb0: 0c32.*
+ 33cb1: 6fc7.*
+ 33cb2: 0d32.*
+ 33cb3: 6fc7.*
+ 33cb4: 0c32.*
+ 33cb5: 6fc7.*
+ 33cb6: 0e32.*
+ 33cb7: 6fc7.*
+ 33cb8: 0f32.*
+ 33cb9: 6fc7.*
+ 33cba: 0f32.*
+ 33cbb: 6fc7.*
+ 33cbc: 0c33.*
+ 33cbd: 6fc7.*
+ 33cbe: 0d33.*
+ 33cbf: 6fc7.*
+ 33cc0: 0c33.*
+ 33cc1: 6fc7.*
+ 33cc2: 0e33.*
+ 33cc3: 6fc7.*
+ 33cc4: 0f33.*
+ 33cc5: 6fc7.*
+ 33cc6: 0f33.*
+ 33cc7: 6fc7.*
+ 33cc8: 0c34.*
+ 33cc9: 6fc7.*
+ 33cca: 0d34.*
+ 33ccb: 6fc7.*
+ 33ccc: 0c34.*
+ 33ccd: 6fc7.*
+ 33cce: 0e34.*
+ 33ccf: 6fc7.*
+ 33cd0: 0f34.*
+ 33cd1: 6fc7.*
+ 33cd2: 0f34.*
+ 33cd3: 6fc7.*
+ 33cd4: 0c35.*
+ 33cd5: 6fc7.*
+ 33cd6: 0d35.*
+ 33cd7: 6fc7.*
+ 33cd8: 0c35.*
+ 33cd9: 6fc7.*
+ 33cda: 0e35.*
+ 33cdb: 6fc7.*
+ 33cdc: 0f35.*
+ 33cdd: 6fc7.*
+ 33cde: 0f35.*
+ 33cdf: 6fc7.*
+ 33ce0: 0c36.*
+ 33ce1: 6fc7.*
+ 33ce2: 0d36.*
+ 33ce3: 6fc7.*
+ 33ce4: 0c36.*
+ 33ce5: 6fc7.*
+ 33ce6: 0e36.*
+ 33ce7: 6fc7.*
+ 33ce8: 0f36.*
+ 33ce9: 6fc7.*
+ 33cea: 0f36.*
+ 33ceb: 6fc7.*
+ 33cec: 0c37.*
+ 33ced: 6fc7.*
+ 33cee: 0d37.*
+ 33cef: 6fc7.*
+ 33cf0: 0c37.*
+ 33cf1: 6fc7.*
+ 33cf2: 0e37.*
+ 33cf3: 6fc7.*
+ 33cf4: 0f37.*
+ 33cf5: 6fc7.*
+ 33cf6: 0f37.*
+ 33cf7: 6fc7.*
+ 33cf8: 0c38.*
+ 33cf9: 6fc7.*
+ 33cfa: 0d38.*
+ 33cfb: 6fc7.*
+ 33cfc: 0c38.*
+ 33cfd: 6fc7.*
+ 33cfe: 0e38.*
+ 33cff: 6fc7.*
+ 33d00: 0f38.*
+ 33d01: 6fc7.*
+ 33d02: 0f38.*
+ 33d03: 6fc7.*
+ 33d04: 0c39.*
+ 33d05: 6fc7.*
+ 33d06: 0d39.*
+ 33d07: 6fc7.*
+ 33d08: 0c39.*
+ 33d09: 6fc7.*
+ 33d0a: 0e39.*
+ 33d0b: 6fc7.*
+ 33d0c: 0f39.*
+ 33d0d: 6fc7.*
+ 33d0e: 0f39.*
+ 33d0f: 6fc7.*
+ 33d10: 0c3a.*
+ 33d11: 6fc7.*
+ 33d12: 0d3a.*
+ 33d13: 6fc7.*
+ 33d14: 0c3a.*
+ 33d15: 6fc7.*
+ 33d16: 0e3a.*
+ 33d17: 6fc7.*
+ 33d18: 0f3a.*
+ 33d19: 6fc7.*
+ 33d1a: 0f3a.*
+ 33d1b: 6fc7.*
+ 33d1c: 0c3b.*
+ 33d1d: 6fc7.*
+ 33d1e: 0d3b.*
+ 33d1f: 6fc7.*
+ 33d20: 0c3b.*
+ 33d21: 6fc7.*
+ 33d22: 0e3b.*
+ 33d23: 6fc7.*
+ 33d24: 0f3b.*
+ 33d25: 6fc7.*
+ 33d26: 0f3b.*
+ 33d27: 6fc7.*
+ 33d28: 0c3c.*
+ 33d29: 6fc7.*
+ 33d2a: 0d3c.*
+ 33d2b: 6fc7.*
+ 33d2c: 0c3c.*
+ 33d2d: 6fc7.*
+ 33d2e: 0e3c.*
+ 33d2f: 6fc7.*
+ 33d30: 0f3c.*
+ 33d31: 6fc7.*
+ 33d32: 0f3c.*
+ 33d33: 6fc7.*
+ 33d34: 0c3d.*
+ 33d35: 6fc7.*
+ 33d36: 0d3d.*
+ 33d37: 6fc7.*
+ 33d38: 0c3d.*
+ 33d39: 6fc7.*
+ 33d3a: 0e3d.*
+ 33d3b: 6fc7.*
+ 33d3c: 0f3d.*
+ 33d3d: 6fc7.*
+ 33d3e: 0f3d.*
+ 33d3f: 6fc7.*
+ 33d40: 0c3e.*
+ 33d41: 6fc7.*
+ 33d42: 0d3e.*
+ 33d43: 6fc7.*
+ 33d44: 0c3e.*
+ 33d45: 6fc7.*
+ 33d46: 0e3e.*
+ 33d47: 6fc7.*
+ 33d48: 0f3e.*
+ 33d49: 6fc7.*
+ 33d4a: 0f3e.*
+ 33d4b: 6fc7.*
+ 33d4c: 0c3f.*
+ 33d4d: 6fc7.*
+ 33d4e: 0d3f.*
+ 33d4f: 6fc7.*
+ 33d50: 0c3f.*
+ 33d51: 6fc7.*
+ 33d52: 0e3f.*
+ 33d53: 6fc7.*
+ 33d54: 0f3f.*
+ 33d55: 6fc7.*
+ 33d56: 0f3f.*
+ 33d57: 08c7.*
+ 33d58: 6fc7.*
+ 33d59: 0d20.*
+ 33d5a: 08c7.*
+ 33d5b: 6fc7.*
+ 33d5c: 0e20.*
+ 33d5d: 09c7.*
+ 33d5e: 09c7.*
+ 33d5f: 6fc7.*
+ 33d60: 0c21.*
+ 33d61: 6fc7.*
+ 33d62: 0d21.*
+ 33d63: 6fc7.*
+ 33d64: 0c21.*
+ 33d65: 6fc7.*
+ 33d66: 0e21.*
+ 33d67: 6fc7.*
+ 33d68: 0f21.*
+ 33d69: 6fc7.*
+ 33d6a: 0f21.*
+ 33d6b: 6fc7.*
+ 33d6c: 0c22.*
+ 33d6d: 6fc7.*
+ 33d6e: 0d22.*
+ 33d6f: 6fc7.*
+ 33d70: 0c22.*
+ 33d71: 6fc7.*
+ 33d72: 0e22.*
+ 33d73: 6fc7.*
+ 33d74: 0f22.*
+ 33d75: 6fc7.*
+ 33d76: 0f22.*
+ 33d77: 6fc7.*
+ 33d78: 0c23.*
+ 33d79: 6fc7.*
+ 33d7a: 0d23.*
+ 33d7b: 6fc7.*
+ 33d7c: 0c23.*
+ 33d7d: 6fc7.*
+ 33d7e: 0e23.*
+ 33d7f: 6fc7.*
+ 33d80: 0f23.*
+ 33d81: 6fc7.*
+ 33d82: 0f23.*
+ 33d83: 6fc7.*
+ 33d84: 0c24.*
+ 33d85: 6fc7.*
+ 33d86: 0d24.*
+ 33d87: 6fc7.*
+ 33d88: 0c24.*
+ 33d89: 6fc7.*
+ 33d8a: 0e24.*
+ 33d8b: 6fc7.*
+ 33d8c: 0f24.*
+ 33d8d: 6fc7.*
+ 33d8e: 0f24.*
+ 33d8f: 6fc7.*
+ 33d90: 0c25.*
+ 33d91: 6fc7.*
+ 33d92: 0d25.*
+ 33d93: 6fc7.*
+ 33d94: 0c25.*
+ 33d95: 6fc7.*
+ 33d96: 0e25.*
+ 33d97: 6fc7.*
+ 33d98: 0f25.*
+ 33d99: 6fc7.*
+ 33d9a: 0f25.*
+ 33d9b: 6fc7.*
+ 33d9c: 0c26.*
+ 33d9d: 6fc7.*
+ 33d9e: 0d26.*
+ 33d9f: 6fc7.*
+ 33da0: 0c26.*
+ 33da1: 6fc7.*
+ 33da2: 0e26.*
+ 33da3: 6fc7.*
+ 33da4: 0f26.*
+ 33da5: 6fc7.*
+ 33da6: 0f26.*
+ 33da7: 6fc7.*
+ 33da8: 0c27.*
+ 33da9: 6fc7.*
+ 33daa: 0d27.*
+ 33dab: 6fc7.*
+ 33dac: 0c27.*
+ 33dad: 6fc7.*
+ 33dae: 0e27.*
+ 33daf: 6fc7.*
+ 33db0: 0f27.*
+ 33db1: 6fc7.*
+ 33db2: 0f27.*
+ 33db3: 6fc7.*
+ 33db4: 0c28.*
+ 33db5: 6fc7.*
+ 33db6: 0d28.*
+ 33db7: 6fc7.*
+ 33db8: 0c28.*
+ 33db9: 6fc7.*
+ 33dba: 0e28.*
+ 33dbb: 6fc7.*
+ 33dbc: 0f28.*
+ 33dbd: 6fc7.*
+ 33dbe: 0f28.*
+ 33dbf: 6fc7.*
+ 33dc0: 0c29.*
+ 33dc1: 6fc7.*
+ 33dc2: 0d29.*
+ 33dc3: 6fc7.*
+ 33dc4: 0c29.*
+ 33dc5: 6fc7.*
+ 33dc6: 0e29.*
+ 33dc7: 6fc7.*
+ 33dc8: 0f29.*
+ 33dc9: 6fc7.*
+ 33dca: 0f29.*
+ 33dcb: 6fc7.*
+ 33dcc: 0c2a.*
+ 33dcd: 6fc7.*
+ 33dce: 0d2a.*
+ 33dcf: 6fc7.*
+ 33dd0: 0c2a.*
+ 33dd1: 6fc7.*
+ 33dd2: 0e2a.*
+ 33dd3: 6fc7.*
+ 33dd4: 0f2a.*
+ 33dd5: 6fc7.*
+ 33dd6: 0f2a.*
+ 33dd7: 6fc7.*
+ 33dd8: 0c2b.*
+ 33dd9: 6fc7.*
+ 33dda: 0d2b.*
+ 33ddb: 6fc7.*
+ 33ddc: 0c2b.*
+ 33ddd: 6fc7.*
+ 33dde: 0e2b.*
+ 33ddf: 6fc7.*
+ 33de0: 0f2b.*
+ 33de1: 6fc7.*
+ 33de2: 0f2b.*
+ 33de3: 6fc7.*
+ 33de4: 0c2c.*
+ 33de5: 6fc7.*
+ 33de6: 0d2c.*
+ 33de7: 6fc7.*
+ 33de8: 0c2c.*
+ 33de9: 6fc7.*
+ 33dea: 0e2c.*
+ 33deb: 6fc7.*
+ 33dec: 0f2c.*
+ 33ded: 6fc7.*
+ 33dee: 0f2c.*
+ 33def: 6fc7.*
+ 33df0: 0c2d.*
+ 33df1: 6fc7.*
+ 33df2: 0d2d.*
+ 33df3: 6fc7.*
+ 33df4: 0c2d.*
+ 33df5: 6fc7.*
+ 33df6: 0e2d.*
+ 33df7: 6fc7.*
+ 33df8: 0f2d.*
+ 33df9: 6fc7.*
+ 33dfa: 0f2d.*
+ 33dfb: 6fc7.*
+ 33dfc: 0c2e.*
+ 33dfd: 6fc7.*
+ 33dfe: 0d2e.*
+ 33dff: 6fc7.*
+ 33e00: 0c2e.*
+ 33e01: 6fc7.*
+ 33e02: 0e2e.*
+ 33e03: 6fc7.*
+ 33e04: 0f2e.*
+ 33e05: 6fc7.*
+ 33e06: 0f2e.*
+ 33e07: 6fc7.*
+ 33e08: 0c2f.*
+ 33e09: 6fc7.*
+ 33e0a: 0d2f.*
+ 33e0b: 6fc7.*
+ 33e0c: 0c2f.*
+ 33e0d: 6fc7.*
+ 33e0e: 0e2f.*
+ 33e0f: 6fc7.*
+ 33e10: 0f2f.*
+ 33e11: 6fc7.*
+ 33e12: 0f2f.*
+ 33e13: 08cf.*
+ 33e14: 6fcf.*
+ 33e15: 0d20.*
+ 33e16: 08cf.*
+ 33e17: 6fcf.*
+ 33e18: 0e20.*
+ 33e19: 09cf.*
+ 33e1a: 09cf.*
+ 33e1b: 6fcf.*
+ 33e1c: 0c30.*
+ 33e1d: 6fcf.*
+ 33e1e: 0d30.*
+ 33e1f: 6fcf.*
+ 33e20: 0c30.*
+ 33e21: 6fcf.*
+ 33e22: 0e30.*
+ 33e23: 6fcf.*
+ 33e24: 0f30.*
+ 33e25: 6fcf.*
+ 33e26: 0f30.*
+ 33e27: 6fcf.*
+ 33e28: 0c31.*
+ 33e29: 6fcf.*
+ 33e2a: 0d31.*
+ 33e2b: 6fcf.*
+ 33e2c: 0c31.*
+ 33e2d: 6fcf.*
+ 33e2e: 0e31.*
+ 33e2f: 6fcf.*
+ 33e30: 0f31.*
+ 33e31: 6fcf.*
+ 33e32: 0f31.*
+ 33e33: 6fcf.*
+ 33e34: 0c32.*
+ 33e35: 6fcf.*
+ 33e36: 0d32.*
+ 33e37: 6fcf.*
+ 33e38: 0c32.*
+ 33e39: 6fcf.*
+ 33e3a: 0e32.*
+ 33e3b: 6fcf.*
+ 33e3c: 0f32.*
+ 33e3d: 6fcf.*
+ 33e3e: 0f32.*
+ 33e3f: 6fcf.*
+ 33e40: 0c33.*
+ 33e41: 6fcf.*
+ 33e42: 0d33.*
+ 33e43: 6fcf.*
+ 33e44: 0c33.*
+ 33e45: 6fcf.*
+ 33e46: 0e33.*
+ 33e47: 6fcf.*
+ 33e48: 0f33.*
+ 33e49: 6fcf.*
+ 33e4a: 0f33.*
+ 33e4b: 6fcf.*
+ 33e4c: 0c34.*
+ 33e4d: 6fcf.*
+ 33e4e: 0d34.*
+ 33e4f: 6fcf.*
+ 33e50: 0c34.*
+ 33e51: 6fcf.*
+ 33e52: 0e34.*
+ 33e53: 6fcf.*
+ 33e54: 0f34.*
+ 33e55: 6fcf.*
+ 33e56: 0f34.*
+ 33e57: 6fcf.*
+ 33e58: 0c35.*
+ 33e59: 6fcf.*
+ 33e5a: 0d35.*
+ 33e5b: 6fcf.*
+ 33e5c: 0c35.*
+ 33e5d: 6fcf.*
+ 33e5e: 0e35.*
+ 33e5f: 6fcf.*
+ 33e60: 0f35.*
+ 33e61: 6fcf.*
+ 33e62: 0f35.*
+ 33e63: 6fcf.*
+ 33e64: 0c36.*
+ 33e65: 6fcf.*
+ 33e66: 0d36.*
+ 33e67: 6fcf.*
+ 33e68: 0c36.*
+ 33e69: 6fcf.*
+ 33e6a: 0e36.*
+ 33e6b: 6fcf.*
+ 33e6c: 0f36.*
+ 33e6d: 6fcf.*
+ 33e6e: 0f36.*
+ 33e6f: 6fcf.*
+ 33e70: 0c37.*
+ 33e71: 6fcf.*
+ 33e72: 0d37.*
+ 33e73: 6fcf.*
+ 33e74: 0c37.*
+ 33e75: 6fcf.*
+ 33e76: 0e37.*
+ 33e77: 6fcf.*
+ 33e78: 0f37.*
+ 33e79: 6fcf.*
+ 33e7a: 0f37.*
+ 33e7b: 6fcf.*
+ 33e7c: 0c38.*
+ 33e7d: 6fcf.*
+ 33e7e: 0d38.*
+ 33e7f: 6fcf.*
+ 33e80: 0c38.*
+ 33e81: 6fcf.*
+ 33e82: 0e38.*
+ 33e83: 6fcf.*
+ 33e84: 0f38.*
+ 33e85: 6fcf.*
+ 33e86: 0f38.*
+ 33e87: 6fcf.*
+ 33e88: 0c39.*
+ 33e89: 6fcf.*
+ 33e8a: 0d39.*
+ 33e8b: 6fcf.*
+ 33e8c: 0c39.*
+ 33e8d: 6fcf.*
+ 33e8e: 0e39.*
+ 33e8f: 6fcf.*
+ 33e90: 0f39.*
+ 33e91: 6fcf.*
+ 33e92: 0f39.*
+ 33e93: 6fcf.*
+ 33e94: 0c3a.*
+ 33e95: 6fcf.*
+ 33e96: 0d3a.*
+ 33e97: 6fcf.*
+ 33e98: 0c3a.*
+ 33e99: 6fcf.*
+ 33e9a: 0e3a.*
+ 33e9b: 6fcf.*
+ 33e9c: 0f3a.*
+ 33e9d: 6fcf.*
+ 33e9e: 0f3a.*
+ 33e9f: 6fcf.*
+ 33ea0: 0c3b.*
+ 33ea1: 6fcf.*
+ 33ea2: 0d3b.*
+ 33ea3: 6fcf.*
+ 33ea4: 0c3b.*
+ 33ea5: 6fcf.*
+ 33ea6: 0e3b.*
+ 33ea7: 6fcf.*
+ 33ea8: 0f3b.*
+ 33ea9: 6fcf.*
+ 33eaa: 0f3b.*
+ 33eab: 6fcf.*
+ 33eac: 0c3c.*
+ 33ead: 6fcf.*
+ 33eae: 0d3c.*
+ 33eaf: 6fcf.*
+ 33eb0: 0c3c.*
+ 33eb1: 6fcf.*
+ 33eb2: 0e3c.*
+ 33eb3: 6fcf.*
+ 33eb4: 0f3c.*
+ 33eb5: 6fcf.*
+ 33eb6: 0f3c.*
+ 33eb7: 6fcf.*
+ 33eb8: 0c3d.*
+ 33eb9: 6fcf.*
+ 33eba: 0d3d.*
+ 33ebb: 6fcf.*
+ 33ebc: 0c3d.*
+ 33ebd: 6fcf.*
+ 33ebe: 0e3d.*
+ 33ebf: 6fcf.*
+ 33ec0: 0f3d.*
+ 33ec1: 6fcf.*
+ 33ec2: 0f3d.*
+ 33ec3: 6fcf.*
+ 33ec4: 0c3e.*
+ 33ec5: 6fcf.*
+ 33ec6: 0d3e.*
+ 33ec7: 6fcf.*
+ 33ec8: 0c3e.*
+ 33ec9: 6fcf.*
+ 33eca: 0e3e.*
+ 33ecb: 6fcf.*
+ 33ecc: 0f3e.*
+ 33ecd: 6fcf.*
+ 33ece: 0f3e.*
+ 33ecf: 6fcf.*
+ 33ed0: 0c3f.*
+ 33ed1: 6fcf.*
+ 33ed2: 0d3f.*
+ 33ed3: 6fcf.*
+ 33ed4: 0c3f.*
+ 33ed5: 6fcf.*
+ 33ed6: 0e3f.*
+ 33ed7: 6fcf.*
+ 33ed8: 0f3f.*
+ 33ed9: 6fcf.*
+ 33eda: 0f3f.*
+ 33edb: 08cf.*
+ 33edc: 6fcf.*
+ 33edd: 0d20.*
+ 33ede: 08cf.*
+ 33edf: 6fcf.*
+ 33ee0: 0e20.*
+ 33ee1: 09cf.*
+ 33ee2: 09cf.*
+ 33ee3: 6fcf.*
+ 33ee4: 0c21.*
+ 33ee5: 6fcf.*
+ 33ee6: 0d21.*
+ 33ee7: 6fcf.*
+ 33ee8: 0c21.*
+ 33ee9: 6fcf.*
+ 33eea: 0e21.*
+ 33eeb: 6fcf.*
+ 33eec: 0f21.*
+ 33eed: 6fcf.*
+ 33eee: 0f21.*
+ 33eef: 6fcf.*
+ 33ef0: 0c22.*
+ 33ef1: 6fcf.*
+ 33ef2: 0d22.*
+ 33ef3: 6fcf.*
+ 33ef4: 0c22.*
+ 33ef5: 6fcf.*
+ 33ef6: 0e22.*
+ 33ef7: 6fcf.*
+ 33ef8: 0f22.*
+ 33ef9: 6fcf.*
+ 33efa: 0f22.*
+ 33efb: 6fcf.*
+ 33efc: 0c23.*
+ 33efd: 6fcf.*
+ 33efe: 0d23.*
+ 33eff: 6fcf.*
+ 33f00: 0c23.*
+ 33f01: 6fcf.*
+ 33f02: 0e23.*
+ 33f03: 6fcf.*
+ 33f04: 0f23.*
+ 33f05: 6fcf.*
+ 33f06: 0f23.*
+ 33f07: 6fcf.*
+ 33f08: 0c24.*
+ 33f09: 6fcf.*
+ 33f0a: 0d24.*
+ 33f0b: 6fcf.*
+ 33f0c: 0c24.*
+ 33f0d: 6fcf.*
+ 33f0e: 0e24.*
+ 33f0f: 6fcf.*
+ 33f10: 0f24.*
+ 33f11: 6fcf.*
+ 33f12: 0f24.*
+ 33f13: 6fcf.*
+ 33f14: 0c25.*
+ 33f15: 6fcf.*
+ 33f16: 0d25.*
+ 33f17: 6fcf.*
+ 33f18: 0c25.*
+ 33f19: 6fcf.*
+ 33f1a: 0e25.*
+ 33f1b: 6fcf.*
+ 33f1c: 0f25.*
+ 33f1d: 6fcf.*
+ 33f1e: 0f25.*
+ 33f1f: 6fcf.*
+ 33f20: 0c26.*
+ 33f21: 6fcf.*
+ 33f22: 0d26.*
+ 33f23: 6fcf.*
+ 33f24: 0c26.*
+ 33f25: 6fcf.*
+ 33f26: 0e26.*
+ 33f27: 6fcf.*
+ 33f28: 0f26.*
+ 33f29: 6fcf.*
+ 33f2a: 0f26.*
+ 33f2b: 6fcf.*
+ 33f2c: 0c27.*
+ 33f2d: 6fcf.*
+ 33f2e: 0d27.*
+ 33f2f: 6fcf.*
+ 33f30: 0c27.*
+ 33f31: 6fcf.*
+ 33f32: 0e27.*
+ 33f33: 6fcf.*
+ 33f34: 0f27.*
+ 33f35: 6fcf.*
+ 33f36: 0f27.*
+ 33f37: 6fcf.*
+ 33f38: 0c28.*
+ 33f39: 6fcf.*
+ 33f3a: 0d28.*
+ 33f3b: 6fcf.*
+ 33f3c: 0c28.*
+ 33f3d: 6fcf.*
+ 33f3e: 0e28.*
+ 33f3f: 6fcf.*
+ 33f40: 0f28.*
+ 33f41: 6fcf.*
+ 33f42: 0f28.*
+ 33f43: 6fcf.*
+ 33f44: 0c29.*
+ 33f45: 6fcf.*
+ 33f46: 0d29.*
+ 33f47: 6fcf.*
+ 33f48: 0c29.*
+ 33f49: 6fcf.*
+ 33f4a: 0e29.*
+ 33f4b: 6fcf.*
+ 33f4c: 0f29.*
+ 33f4d: 6fcf.*
+ 33f4e: 0f29.*
+ 33f4f: 6fcf.*
+ 33f50: 0c2a.*
+ 33f51: 6fcf.*
+ 33f52: 0d2a.*
+ 33f53: 6fcf.*
+ 33f54: 0c2a.*
+ 33f55: 6fcf.*
+ 33f56: 0e2a.*
+ 33f57: 6fcf.*
+ 33f58: 0f2a.*
+ 33f59: 6fcf.*
+ 33f5a: 0f2a.*
+ 33f5b: 6fcf.*
+ 33f5c: 0c2b.*
+ 33f5d: 6fcf.*
+ 33f5e: 0d2b.*
+ 33f5f: 6fcf.*
+ 33f60: 0c2b.*
+ 33f61: 6fcf.*
+ 33f62: 0e2b.*
+ 33f63: 6fcf.*
+ 33f64: 0f2b.*
+ 33f65: 6fcf.*
+ 33f66: 0f2b.*
+ 33f67: 6fcf.*
+ 33f68: 0c2c.*
+ 33f69: 6fcf.*
+ 33f6a: 0d2c.*
+ 33f6b: 6fcf.*
+ 33f6c: 0c2c.*
+ 33f6d: 6fcf.*
+ 33f6e: 0e2c.*
+ 33f6f: 6fcf.*
+ 33f70: 0f2c.*
+ 33f71: 6fcf.*
+ 33f72: 0f2c.*
+ 33f73: 6fcf.*
+ 33f74: 0c2d.*
+ 33f75: 6fcf.*
+ 33f76: 0d2d.*
+ 33f77: 6fcf.*
+ 33f78: 0c2d.*
+ 33f79: 6fcf.*
+ 33f7a: 0e2d.*
+ 33f7b: 6fcf.*
+ 33f7c: 0f2d.*
+ 33f7d: 6fcf.*
+ 33f7e: 0f2d.*
+ 33f7f: 6fcf.*
+ 33f80: 0c2e.*
+ 33f81: 6fcf.*
+ 33f82: 0d2e.*
+ 33f83: 6fcf.*
+ 33f84: 0c2e.*
+ 33f85: 6fcf.*
+ 33f86: 0e2e.*
+ 33f87: 6fcf.*
+ 33f88: 0f2e.*
+ 33f89: 6fcf.*
+ 33f8a: 0f2e.*
+ 33f8b: 6fcf.*
+ 33f8c: 0c2f.*
+ 33f8d: 6fcf.*
+ 33f8e: 0d2f.*
+ 33f8f: 6fcf.*
+ 33f90: 0c2f.*
+ 33f91: 6fcf.*
+ 33f92: 0e2f.*
+ 33f93: 6fcf.*
+ 33f94: 0f2f.*
+ 33f95: 6fcf.*
+ 33f96: 0f2f.*
+ 33f97: 08d7.*
+ 33f98: 6fd7.*
+ 33f99: 0d20.*
+ 33f9a: 08d7.*
+ 33f9b: 6fd7.*
+ 33f9c: 0e20.*
+ 33f9d: 09d7.*
+ 33f9e: 09d7.*
+ 33f9f: 6fd7.*
+ 33fa0: 0c30.*
+ 33fa1: 6fd7.*
+ 33fa2: 0d30.*
+ 33fa3: 6fd7.*
+ 33fa4: 0c30.*
+ 33fa5: 6fd7.*
+ 33fa6: 0e30.*
+ 33fa7: 6fd7.*
+ 33fa8: 0f30.*
+ 33fa9: 6fd7.*
+ 33faa: 0f30.*
+ 33fab: 6fd7.*
+ 33fac: 0c31.*
+ 33fad: 6fd7.*
+ 33fae: 0d31.*
+ 33faf: 6fd7.*
+ 33fb0: 0c31.*
+ 33fb1: 6fd7.*
+ 33fb2: 0e31.*
+ 33fb3: 6fd7.*
+ 33fb4: 0f31.*
+ 33fb5: 6fd7.*
+ 33fb6: 0f31.*
+ 33fb7: 6fd7.*
+ 33fb8: 0c32.*
+ 33fb9: 6fd7.*
+ 33fba: 0d32.*
+ 33fbb: 6fd7.*
+ 33fbc: 0c32.*
+ 33fbd: 6fd7.*
+ 33fbe: 0e32.*
+ 33fbf: 6fd7.*
+ 33fc0: 0f32.*
+ 33fc1: 6fd7.*
+ 33fc2: 0f32.*
+ 33fc3: 6fd7.*
+ 33fc4: 0c33.*
+ 33fc5: 6fd7.*
+ 33fc6: 0d33.*
+ 33fc7: 6fd7.*
+ 33fc8: 0c33.*
+ 33fc9: 6fd7.*
+ 33fca: 0e33.*
+ 33fcb: 6fd7.*
+ 33fcc: 0f33.*
+ 33fcd: 6fd7.*
+ 33fce: 0f33.*
+ 33fcf: 6fd7.*
+ 33fd0: 0c34.*
+ 33fd1: 6fd7.*
+ 33fd2: 0d34.*
+ 33fd3: 6fd7.*
+ 33fd4: 0c34.*
+ 33fd5: 6fd7.*
+ 33fd6: 0e34.*
+ 33fd7: 6fd7.*
+ 33fd8: 0f34.*
+ 33fd9: 6fd7.*
+ 33fda: 0f34.*
+ 33fdb: 6fd7.*
+ 33fdc: 0c35.*
+ 33fdd: 6fd7.*
+ 33fde: 0d35.*
+ 33fdf: 6fd7.*
+ 33fe0: 0c35.*
+ 33fe1: 6fd7.*
+ 33fe2: 0e35.*
+ 33fe3: 6fd7.*
+ 33fe4: 0f35.*
+ 33fe5: 6fd7.*
+ 33fe6: 0f35.*
+ 33fe7: 6fd7.*
+ 33fe8: 0c36.*
+ 33fe9: 6fd7.*
+ 33fea: 0d36.*
+ 33feb: 6fd7.*
+ 33fec: 0c36.*
+ 33fed: 6fd7.*
+ 33fee: 0e36.*
+ 33fef: 6fd7.*
+ 33ff0: 0f36.*
+ 33ff1: 6fd7.*
+ 33ff2: 0f36.*
+ 33ff3: 6fd7.*
+ 33ff4: 0c37.*
+ 33ff5: 6fd7.*
+ 33ff6: 0d37.*
+ 33ff7: 6fd7.*
+ 33ff8: 0c37.*
+ 33ff9: 6fd7.*
+ 33ffa: 0e37.*
+ 33ffb: 6fd7.*
+ 33ffc: 0f37.*
+ 33ffd: 6fd7.*
+ 33ffe: 0f37.*
+ 33fff: 6fd7.*
+ 34000: 0c38.*
+ 34001: 6fd7.*
+ 34002: 0d38.*
+ 34003: 6fd7.*
+ 34004: 0c38.*
+ 34005: 6fd7.*
+ 34006: 0e38.*
+ 34007: 6fd7.*
+ 34008: 0f38.*
+ 34009: 6fd7.*
+ 3400a: 0f38.*
+ 3400b: 6fd7.*
+ 3400c: 0c39.*
+ 3400d: 6fd7.*
+ 3400e: 0d39.*
+ 3400f: 6fd7.*
+ 34010: 0c39.*
+ 34011: 6fd7.*
+ 34012: 0e39.*
+ 34013: 6fd7.*
+ 34014: 0f39.*
+ 34015: 6fd7.*
+ 34016: 0f39.*
+ 34017: 6fd7.*
+ 34018: 0c3a.*
+ 34019: 6fd7.*
+ 3401a: 0d3a.*
+ 3401b: 6fd7.*
+ 3401c: 0c3a.*
+ 3401d: 6fd7.*
+ 3401e: 0e3a.*
+ 3401f: 6fd7.*
+ 34020: 0f3a.*
+ 34021: 6fd7.*
+ 34022: 0f3a.*
+ 34023: 6fd7.*
+ 34024: 0c3b.*
+ 34025: 6fd7.*
+ 34026: 0d3b.*
+ 34027: 6fd7.*
+ 34028: 0c3b.*
+ 34029: 6fd7.*
+ 3402a: 0e3b.*
+ 3402b: 6fd7.*
+ 3402c: 0f3b.*
+ 3402d: 6fd7.*
+ 3402e: 0f3b.*
+ 3402f: 6fd7.*
+ 34030: 0c3c.*
+ 34031: 6fd7.*
+ 34032: 0d3c.*
+ 34033: 6fd7.*
+ 34034: 0c3c.*
+ 34035: 6fd7.*
+ 34036: 0e3c.*
+ 34037: 6fd7.*
+ 34038: 0f3c.*
+ 34039: 6fd7.*
+ 3403a: 0f3c.*
+ 3403b: 6fd7.*
+ 3403c: 0c3d.*
+ 3403d: 6fd7.*
+ 3403e: 0d3d.*
+ 3403f: 6fd7.*
+ 34040: 0c3d.*
+ 34041: 6fd7.*
+ 34042: 0e3d.*
+ 34043: 6fd7.*
+ 34044: 0f3d.*
+ 34045: 6fd7.*
+ 34046: 0f3d.*
+ 34047: 6fd7.*
+ 34048: 0c3e.*
+ 34049: 6fd7.*
+ 3404a: 0d3e.*
+ 3404b: 6fd7.*
+ 3404c: 0c3e.*
+ 3404d: 6fd7.*
+ 3404e: 0e3e.*
+ 3404f: 6fd7.*
+ 34050: 0f3e.*
+ 34051: 6fd7.*
+ 34052: 0f3e.*
+ 34053: 6fd7.*
+ 34054: 0c3f.*
+ 34055: 6fd7.*
+ 34056: 0d3f.*
+ 34057: 6fd7.*
+ 34058: 0c3f.*
+ 34059: 6fd7.*
+ 3405a: 0e3f.*
+ 3405b: 6fd7.*
+ 3405c: 0f3f.*
+ 3405d: 6fd7.*
+ 3405e: 0f3f.*
+ 3405f: 08d7.*
+ 34060: 6fd7.*
+ 34061: 0d20.*
+ 34062: 08d7.*
+ 34063: 6fd7.*
+ 34064: 0e20.*
+ 34065: 09d7.*
+ 34066: 09d7.*
+ 34067: 6fd7.*
+ 34068: 0c21.*
+ 34069: 6fd7.*
+ 3406a: 0d21.*
+ 3406b: 6fd7.*
+ 3406c: 0c21.*
+ 3406d: 6fd7.*
+ 3406e: 0e21.*
+ 3406f: 6fd7.*
+ 34070: 0f21.*
+ 34071: 6fd7.*
+ 34072: 0f21.*
+ 34073: 6fd7.*
+ 34074: 0c22.*
+ 34075: 6fd7.*
+ 34076: 0d22.*
+ 34077: 6fd7.*
+ 34078: 0c22.*
+ 34079: 6fd7.*
+ 3407a: 0e22.*
+ 3407b: 6fd7.*
+ 3407c: 0f22.*
+ 3407d: 6fd7.*
+ 3407e: 0f22.*
+ 3407f: 6fd7.*
+ 34080: 0c23.*
+ 34081: 6fd7.*
+ 34082: 0d23.*
+ 34083: 6fd7.*
+ 34084: 0c23.*
+ 34085: 6fd7.*
+ 34086: 0e23.*
+ 34087: 6fd7.*
+ 34088: 0f23.*
+ 34089: 6fd7.*
+ 3408a: 0f23.*
+ 3408b: 6fd7.*
+ 3408c: 0c24.*
+ 3408d: 6fd7.*
+ 3408e: 0d24.*
+ 3408f: 6fd7.*
+ 34090: 0c24.*
+ 34091: 6fd7.*
+ 34092: 0e24.*
+ 34093: 6fd7.*
+ 34094: 0f24.*
+ 34095: 6fd7.*
+ 34096: 0f24.*
+ 34097: 6fd7.*
+ 34098: 0c25.*
+ 34099: 6fd7.*
+ 3409a: 0d25.*
+ 3409b: 6fd7.*
+ 3409c: 0c25.*
+ 3409d: 6fd7.*
+ 3409e: 0e25.*
+ 3409f: 6fd7.*
+ 340a0: 0f25.*
+ 340a1: 6fd7.*
+ 340a2: 0f25.*
+ 340a3: 6fd7.*
+ 340a4: 0c26.*
+ 340a5: 6fd7.*
+ 340a6: 0d26.*
+ 340a7: 6fd7.*
+ 340a8: 0c26.*
+ 340a9: 6fd7.*
+ 340aa: 0e26.*
+ 340ab: 6fd7.*
+ 340ac: 0f26.*
+ 340ad: 6fd7.*
+ 340ae: 0f26.*
+ 340af: 6fd7.*
+ 340b0: 0c27.*
+ 340b1: 6fd7.*
+ 340b2: 0d27.*
+ 340b3: 6fd7.*
+ 340b4: 0c27.*
+ 340b5: 6fd7.*
+ 340b6: 0e27.*
+ 340b7: 6fd7.*
+ 340b8: 0f27.*
+ 340b9: 6fd7.*
+ 340ba: 0f27.*
+ 340bb: 6fd7.*
+ 340bc: 0c28.*
+ 340bd: 6fd7.*
+ 340be: 0d28.*
+ 340bf: 6fd7.*
+ 340c0: 0c28.*
+ 340c1: 6fd7.*
+ 340c2: 0e28.*
+ 340c3: 6fd7.*
+ 340c4: 0f28.*
+ 340c5: 6fd7.*
+ 340c6: 0f28.*
+ 340c7: 6fd7.*
+ 340c8: 0c29.*
+ 340c9: 6fd7.*
+ 340ca: 0d29.*
+ 340cb: 6fd7.*
+ 340cc: 0c29.*
+ 340cd: 6fd7.*
+ 340ce: 0e29.*
+ 340cf: 6fd7.*
+ 340d0: 0f29.*
+ 340d1: 6fd7.*
+ 340d2: 0f29.*
+ 340d3: 6fd7.*
+ 340d4: 0c2a.*
+ 340d5: 6fd7.*
+ 340d6: 0d2a.*
+ 340d7: 6fd7.*
+ 340d8: 0c2a.*
+ 340d9: 6fd7.*
+ 340da: 0e2a.*
+ 340db: 6fd7.*
+ 340dc: 0f2a.*
+ 340dd: 6fd7.*
+ 340de: 0f2a.*
+ 340df: 6fd7.*
+ 340e0: 0c2b.*
+ 340e1: 6fd7.*
+ 340e2: 0d2b.*
+ 340e3: 6fd7.*
+ 340e4: 0c2b.*
+ 340e5: 6fd7.*
+ 340e6: 0e2b.*
+ 340e7: 6fd7.*
+ 340e8: 0f2b.*
+ 340e9: 6fd7.*
+ 340ea: 0f2b.*
+ 340eb: 6fd7.*
+ 340ec: 0c2c.*
+ 340ed: 6fd7.*
+ 340ee: 0d2c.*
+ 340ef: 6fd7.*
+ 340f0: 0c2c.*
+ 340f1: 6fd7.*
+ 340f2: 0e2c.*
+ 340f3: 6fd7.*
+ 340f4: 0f2c.*
+ 340f5: 6fd7.*
+ 340f6: 0f2c.*
+ 340f7: 6fd7.*
+ 340f8: 0c2d.*
+ 340f9: 6fd7.*
+ 340fa: 0d2d.*
+ 340fb: 6fd7.*
+ 340fc: 0c2d.*
+ 340fd: 6fd7.*
+ 340fe: 0e2d.*
+ 340ff: 6fd7.*
+ 34100: 0f2d.*
+ 34101: 6fd7.*
+ 34102: 0f2d.*
+ 34103: 6fd7.*
+ 34104: 0c2e.*
+ 34105: 6fd7.*
+ 34106: 0d2e.*
+ 34107: 6fd7.*
+ 34108: 0c2e.*
+ 34109: 6fd7.*
+ 3410a: 0e2e.*
+ 3410b: 6fd7.*
+ 3410c: 0f2e.*
+ 3410d: 6fd7.*
+ 3410e: 0f2e.*
+ 3410f: 6fd7.*
+ 34110: 0c2f.*
+ 34111: 6fd7.*
+ 34112: 0d2f.*
+ 34113: 6fd7.*
+ 34114: 0c2f.*
+ 34115: 6fd7.*
+ 34116: 0e2f.*
+ 34117: 6fd7.*
+ 34118: 0f2f.*
+ 34119: 6fd7.*
+ 3411a: 0f2f.*
+ 3411b: 08df.*
+ 3411c: 6fdf.*
+ 3411d: 0d20.*
+ 3411e: 08df.*
+ 3411f: 6fdf.*
+ 34120: 0e20.*
+ 34121: 09df.*
+ 34122: 09df.*
+ 34123: 6fdf.*
+ 34124: 0c30.*
+ 34125: 6fdf.*
+ 34126: 0d30.*
+ 34127: 6fdf.*
+ 34128: 0c30.*
+ 34129: 6fdf.*
+ 3412a: 0e30.*
+ 3412b: 6fdf.*
+ 3412c: 0f30.*
+ 3412d: 6fdf.*
+ 3412e: 0f30.*
+ 3412f: 6fdf.*
+ 34130: 0c31.*
+ 34131: 6fdf.*
+ 34132: 0d31.*
+ 34133: 6fdf.*
+ 34134: 0c31.*
+ 34135: 6fdf.*
+ 34136: 0e31.*
+ 34137: 6fdf.*
+ 34138: 0f31.*
+ 34139: 6fdf.*
+ 3413a: 0f31.*
+ 3413b: 6fdf.*
+ 3413c: 0c32.*
+ 3413d: 6fdf.*
+ 3413e: 0d32.*
+ 3413f: 6fdf.*
+ 34140: 0c32.*
+ 34141: 6fdf.*
+ 34142: 0e32.*
+ 34143: 6fdf.*
+ 34144: 0f32.*
+ 34145: 6fdf.*
+ 34146: 0f32.*
+ 34147: 6fdf.*
+ 34148: 0c33.*
+ 34149: 6fdf.*
+ 3414a: 0d33.*
+ 3414b: 6fdf.*
+ 3414c: 0c33.*
+ 3414d: 6fdf.*
+ 3414e: 0e33.*
+ 3414f: 6fdf.*
+ 34150: 0f33.*
+ 34151: 6fdf.*
+ 34152: 0f33.*
+ 34153: 6fdf.*
+ 34154: 0c34.*
+ 34155: 6fdf.*
+ 34156: 0d34.*
+ 34157: 6fdf.*
+ 34158: 0c34.*
+ 34159: 6fdf.*
+ 3415a: 0e34.*
+ 3415b: 6fdf.*
+ 3415c: 0f34.*
+ 3415d: 6fdf.*
+ 3415e: 0f34.*
+ 3415f: 6fdf.*
+ 34160: 0c35.*
+ 34161: 6fdf.*
+ 34162: 0d35.*
+ 34163: 6fdf.*
+ 34164: 0c35.*
+ 34165: 6fdf.*
+ 34166: 0e35.*
+ 34167: 6fdf.*
+ 34168: 0f35.*
+ 34169: 6fdf.*
+ 3416a: 0f35.*
+ 3416b: 6fdf.*
+ 3416c: 0c36.*
+ 3416d: 6fdf.*
+ 3416e: 0d36.*
+ 3416f: 6fdf.*
+ 34170: 0c36.*
+ 34171: 6fdf.*
+ 34172: 0e36.*
+ 34173: 6fdf.*
+ 34174: 0f36.*
+ 34175: 6fdf.*
+ 34176: 0f36.*
+ 34177: 6fdf.*
+ 34178: 0c37.*
+ 34179: 6fdf.*
+ 3417a: 0d37.*
+ 3417b: 6fdf.*
+ 3417c: 0c37.*
+ 3417d: 6fdf.*
+ 3417e: 0e37.*
+ 3417f: 6fdf.*
+ 34180: 0f37.*
+ 34181: 6fdf.*
+ 34182: 0f37.*
+ 34183: 6fdf.*
+ 34184: 0c38.*
+ 34185: 6fdf.*
+ 34186: 0d38.*
+ 34187: 6fdf.*
+ 34188: 0c38.*
+ 34189: 6fdf.*
+ 3418a: 0e38.*
+ 3418b: 6fdf.*
+ 3418c: 0f38.*
+ 3418d: 6fdf.*
+ 3418e: 0f38.*
+ 3418f: 6fdf.*
+ 34190: 0c39.*
+ 34191: 6fdf.*
+ 34192: 0d39.*
+ 34193: 6fdf.*
+ 34194: 0c39.*
+ 34195: 6fdf.*
+ 34196: 0e39.*
+ 34197: 6fdf.*
+ 34198: 0f39.*
+ 34199: 6fdf.*
+ 3419a: 0f39.*
+ 3419b: 6fdf.*
+ 3419c: 0c3a.*
+ 3419d: 6fdf.*
+ 3419e: 0d3a.*
+ 3419f: 6fdf.*
+ 341a0: 0c3a.*
+ 341a1: 6fdf.*
+ 341a2: 0e3a.*
+ 341a3: 6fdf.*
+ 341a4: 0f3a.*
+ 341a5: 6fdf.*
+ 341a6: 0f3a.*
+ 341a7: 6fdf.*
+ 341a8: 0c3b.*
+ 341a9: 6fdf.*
+ 341aa: 0d3b.*
+ 341ab: 6fdf.*
+ 341ac: 0c3b.*
+ 341ad: 6fdf.*
+ 341ae: 0e3b.*
+ 341af: 6fdf.*
+ 341b0: 0f3b.*
+ 341b1: 6fdf.*
+ 341b2: 0f3b.*
+ 341b3: 6fdf.*
+ 341b4: 0c3c.*
+ 341b5: 6fdf.*
+ 341b6: 0d3c.*
+ 341b7: 6fdf.*
+ 341b8: 0c3c.*
+ 341b9: 6fdf.*
+ 341ba: 0e3c.*
+ 341bb: 6fdf.*
+ 341bc: 0f3c.*
+ 341bd: 6fdf.*
+ 341be: 0f3c.*
+ 341bf: 6fdf.*
+ 341c0: 0c3d.*
+ 341c1: 6fdf.*
+ 341c2: 0d3d.*
+ 341c3: 6fdf.*
+ 341c4: 0c3d.*
+ 341c5: 6fdf.*
+ 341c6: 0e3d.*
+ 341c7: 6fdf.*
+ 341c8: 0f3d.*
+ 341c9: 6fdf.*
+ 341ca: 0f3d.*
+ 341cb: 6fdf.*
+ 341cc: 0c3e.*
+ 341cd: 6fdf.*
+ 341ce: 0d3e.*
+ 341cf: 6fdf.*
+ 341d0: 0c3e.*
+ 341d1: 6fdf.*
+ 341d2: 0e3e.*
+ 341d3: 6fdf.*
+ 341d4: 0f3e.*
+ 341d5: 6fdf.*
+ 341d6: 0f3e.*
+ 341d7: 6fdf.*
+ 341d8: 0c3f.*
+ 341d9: 6fdf.*
+ 341da: 0d3f.*
+ 341db: 6fdf.*
+ 341dc: 0c3f.*
+ 341dd: 6fdf.*
+ 341de: 0e3f.*
+ 341df: 6fdf.*
+ 341e0: 0f3f.*
+ 341e1: 6fdf.*
+ 341e2: 0f3f.*
+ 341e3: 08df.*
+ 341e4: 6fdf.*
+ 341e5: 0d20.*
+ 341e6: 08df.*
+ 341e7: 6fdf.*
+ 341e8: 0e20.*
+ 341e9: 09df.*
+ 341ea: 09df.*
+ 341eb: 6fdf.*
+ 341ec: 0c21.*
+ 341ed: 6fdf.*
+ 341ee: 0d21.*
+ 341ef: 6fdf.*
+ 341f0: 0c21.*
+ 341f1: 6fdf.*
+ 341f2: 0e21.*
+ 341f3: 6fdf.*
+ 341f4: 0f21.*
+ 341f5: 6fdf.*
+ 341f6: 0f21.*
+ 341f7: 6fdf.*
+ 341f8: 0c22.*
+ 341f9: 6fdf.*
+ 341fa: 0d22.*
+ 341fb: 6fdf.*
+ 341fc: 0c22.*
+ 341fd: 6fdf.*
+ 341fe: 0e22.*
+ 341ff: 6fdf.*
+ 34200: 0f22.*
+ 34201: 6fdf.*
+ 34202: 0f22.*
+ 34203: 6fdf.*
+ 34204: 0c23.*
+ 34205: 6fdf.*
+ 34206: 0d23.*
+ 34207: 6fdf.*
+ 34208: 0c23.*
+ 34209: 6fdf.*
+ 3420a: 0e23.*
+ 3420b: 6fdf.*
+ 3420c: 0f23.*
+ 3420d: 6fdf.*
+ 3420e: 0f23.*
+ 3420f: 6fdf.*
+ 34210: 0c24.*
+ 34211: 6fdf.*
+ 34212: 0d24.*
+ 34213: 6fdf.*
+ 34214: 0c24.*
+ 34215: 6fdf.*
+ 34216: 0e24.*
+ 34217: 6fdf.*
+ 34218: 0f24.*
+ 34219: 6fdf.*
+ 3421a: 0f24.*
+ 3421b: 6fdf.*
+ 3421c: 0c25.*
+ 3421d: 6fdf.*
+ 3421e: 0d25.*
+ 3421f: 6fdf.*
+ 34220: 0c25.*
+ 34221: 6fdf.*
+ 34222: 0e25.*
+ 34223: 6fdf.*
+ 34224: 0f25.*
+ 34225: 6fdf.*
+ 34226: 0f25.*
+ 34227: 6fdf.*
+ 34228: 0c26.*
+ 34229: 6fdf.*
+ 3422a: 0d26.*
+ 3422b: 6fdf.*
+ 3422c: 0c26.*
+ 3422d: 6fdf.*
+ 3422e: 0e26.*
+ 3422f: 6fdf.*
+ 34230: 0f26.*
+ 34231: 6fdf.*
+ 34232: 0f26.*
+ 34233: 6fdf.*
+ 34234: 0c27.*
+ 34235: 6fdf.*
+ 34236: 0d27.*
+ 34237: 6fdf.*
+ 34238: 0c27.*
+ 34239: 6fdf.*
+ 3423a: 0e27.*
+ 3423b: 6fdf.*
+ 3423c: 0f27.*
+ 3423d: 6fdf.*
+ 3423e: 0f27.*
+ 3423f: 6fdf.*
+ 34240: 0c28.*
+ 34241: 6fdf.*
+ 34242: 0d28.*
+ 34243: 6fdf.*
+ 34244: 0c28.*
+ 34245: 6fdf.*
+ 34246: 0e28.*
+ 34247: 6fdf.*
+ 34248: 0f28.*
+ 34249: 6fdf.*
+ 3424a: 0f28.*
+ 3424b: 6fdf.*
+ 3424c: 0c29.*
+ 3424d: 6fdf.*
+ 3424e: 0d29.*
+ 3424f: 6fdf.*
+ 34250: 0c29.*
+ 34251: 6fdf.*
+ 34252: 0e29.*
+ 34253: 6fdf.*
+ 34254: 0f29.*
+ 34255: 6fdf.*
+ 34256: 0f29.*
+ 34257: 6fdf.*
+ 34258: 0c2a.*
+ 34259: 6fdf.*
+ 3425a: 0d2a.*
+ 3425b: 6fdf.*
+ 3425c: 0c2a.*
+ 3425d: 6fdf.*
+ 3425e: 0e2a.*
+ 3425f: 6fdf.*
+ 34260: 0f2a.*
+ 34261: 6fdf.*
+ 34262: 0f2a.*
+ 34263: 6fdf.*
+ 34264: 0c2b.*
+ 34265: 6fdf.*
+ 34266: 0d2b.*
+ 34267: 6fdf.*
+ 34268: 0c2b.*
+ 34269: 6fdf.*
+ 3426a: 0e2b.*
+ 3426b: 6fdf.*
+ 3426c: 0f2b.*
+ 3426d: 6fdf.*
+ 3426e: 0f2b.*
+ 3426f: 6fdf.*
+ 34270: 0c2c.*
+ 34271: 6fdf.*
+ 34272: 0d2c.*
+ 34273: 6fdf.*
+ 34274: 0c2c.*
+ 34275: 6fdf.*
+ 34276: 0e2c.*
+ 34277: 6fdf.*
+ 34278: 0f2c.*
+ 34279: 6fdf.*
+ 3427a: 0f2c.*
+ 3427b: 6fdf.*
+ 3427c: 0c2d.*
+ 3427d: 6fdf.*
+ 3427e: 0d2d.*
+ 3427f: 6fdf.*
+ 34280: 0c2d.*
+ 34281: 6fdf.*
+ 34282: 0e2d.*
+ 34283: 6fdf.*
+ 34284: 0f2d.*
+ 34285: 6fdf.*
+ 34286: 0f2d.*
+ 34287: 6fdf.*
+ 34288: 0c2e.*
+ 34289: 6fdf.*
+ 3428a: 0d2e.*
+ 3428b: 6fdf.*
+ 3428c: 0c2e.*
+ 3428d: 6fdf.*
+ 3428e: 0e2e.*
+ 3428f: 6fdf.*
+ 34290: 0f2e.*
+ 34291: 6fdf.*
+ 34292: 0f2e.*
+ 34293: 6fdf.*
+ 34294: 0c2f.*
+ 34295: 6fdf.*
+ 34296: 0d2f.*
+ 34297: 6fdf.*
+ 34298: 0c2f.*
+ 34299: 6fdf.*
+ 3429a: 0e2f.*
+ 3429b: 6fdf.*
+ 3429c: 0f2f.*
+ 3429d: 6fdf.*
+ 3429e: 0f2f.*
+ 3429f: 08e7.*
+ 342a0: 612e.*
+ 342a1: 6fe7.*
+ 342a2: 612f.*
+ 342a3: 0d20.*
+ 342a4: 08e7.*
+ 342a5: 6130.*
+ 342a6: 6fe7.*
+ 342a7: 6131.*
+ 342a8: 0e20.*
+ 342a9: 09e7.*
+ 342aa: 6132.*
+ 342ab: 09e7.*
+ 342ac: 6133.*
+ 342ad: 6fe7.*
+ 342ae: 6134.*
+ 342af: 0c30.*
+ 342b0: 6fe7.*
+ 342b1: 6135.*
+ 342b2: 0d30.*
+ 342b3: 6fe7.*
+ 342b4: 6136.*
+ 342b5: 0c30.*
+ 342b6: 6fe7.*
+ 342b7: 6137.*
+ 342b8: 0e30.*
+ 342b9: 6fe7.*
+ 342ba: 6138.*
+ 342bb: 0f30.*
+ 342bc: 6fe7.*
+ 342bd: 6139.*
+ 342be: 0f30.*
+ 342bf: 6fe7.*
+ 342c0: 613a.*
+ 342c1: 0c31.*
+ 342c2: 6fe7.*
+ 342c3: 613b.*
+ 342c4: 0d31.*
+ 342c5: 6fe7.*
+ 342c6: 613c.*
+ 342c7: 0c31.*
+ 342c8: 6fe7.*
+ 342c9: 613d.*
+ 342ca: 0e31.*
+ 342cb: 6fe7.*
+ 342cc: 613e.*
+ 342cd: 0f31.*
+ 342ce: 6fe7.*
+ 342cf: 613f.*
+ 342d0: 0f31.*
+ 342d1: 6fe7.*
+ 342d2: 6140.*
+ 342d3: 0c32.*
+ 342d4: 6fe7.*
+ 342d5: 6141.*
+ 342d6: 0d32.*
+ 342d7: 6fe7.*
+ 342d8: 6142.*
+ 342d9: 0c32.*
+ 342da: 6fe7.*
+ 342db: 6143.*
+ 342dc: 0e32.*
+ 342dd: 6fe7.*
+ 342de: 6144.*
+ 342df: 0f32.*
+ 342e0: 6fe7.*
+ 342e1: 6145.*
+ 342e2: 0f32.*
+ 342e3: 6fe7.*
+ 342e4: 6146.*
+ 342e5: 0c33.*
+ 342e6: 6fe7.*
+ 342e7: 6147.*
+ 342e8: 0d33.*
+ 342e9: 6fe7.*
+ 342ea: 6148.*
+ 342eb: 0c33.*
+ 342ec: 6fe7.*
+ 342ed: 6149.*
+ 342ee: 0e33.*
+ 342ef: 6fe7.*
+ 342f0: 614a.*
+ 342f1: 0f33.*
+ 342f2: 6fe7.*
+ 342f3: 614b.*
+ 342f4: 0f33.*
+ 342f5: 6fe7.*
+ 342f6: 614c.*
+ 342f7: 0c34.*
+ 342f8: 6fe7.*
+ 342f9: 614d.*
+ 342fa: 0d34.*
+ 342fb: 6fe7.*
+ 342fc: 614e.*
+ 342fd: 0c34.*
+ 342fe: 6fe7.*
+ 342ff: 614f.*
+ 34300: 0e34.*
+ 34301: 6fe7.*
+ 34302: 6150.*
+ 34303: 0f34.*
+ 34304: 6fe7.*
+ 34305: 6151.*
+ 34306: 0f34.*
+ 34307: 6fe7.*
+ 34308: 6152.*
+ 34309: 0c35.*
+ 3430a: 6fe7.*
+ 3430b: 6153.*
+ 3430c: 0d35.*
+ 3430d: 6fe7.*
+ 3430e: 6154.*
+ 3430f: 0c35.*
+ 34310: 6fe7.*
+ 34311: 6155.*
+ 34312: 0e35.*
+ 34313: 6fe7.*
+ 34314: 6156.*
+ 34315: 0f35.*
+ 34316: 6fe7.*
+ 34317: 6157.*
+ 34318: 0f35.*
+ 34319: 6fe7.*
+ 3431a: 6158.*
+ 3431b: 0c36.*
+ 3431c: 6fe7.*
+ 3431d: 6159.*
+ 3431e: 0d36.*
+ 3431f: 6fe7.*
+ 34320: 615a.*
+ 34321: 0c36.*
+ 34322: 6fe7.*
+ 34323: 615b.*
+ 34324: 0e36.*
+ 34325: 6fe7.*
+ 34326: 615c.*
+ 34327: 0f36.*
+ 34328: 6fe7.*
+ 34329: 615d.*
+ 3432a: 0f36.*
+ 3432b: 6fe7.*
+ 3432c: 615e.*
+ 3432d: 0c37.*
+ 3432e: 6fe7.*
+ 3432f: 615f.*
+ 34330: 0d37.*
+ 34331: 6fe7.*
+ 34332: 6160.*
+ 34333: 0c37.*
+ 34334: 6fe7.*
+ 34335: 6161.*
+ 34336: 0e37.*
+ 34337: 6fe7.*
+ 34338: 6162.*
+ 34339: 0f37.*
+ 3433a: 6fe7.*
+ 3433b: 6163.*
+ 3433c: 0f37.*
+ 3433d: 6fe7.*
+ 3433e: 6164.*
+ 3433f: 0c38.*
+ 34340: 6fe7.*
+ 34341: 6165.*
+ 34342: 0d38.*
+ 34343: 6fe7.*
+ 34344: 6166.*
+ 34345: 0c38.*
+ 34346: 6fe7.*
+ 34347: 6167.*
+ 34348: 0e38.*
+ 34349: 6fe7.*
+ 3434a: 6168.*
+ 3434b: 0f38.*
+ 3434c: 6fe7.*
+ 3434d: 6169.*
+ 3434e: 0f38.*
+ 3434f: 6fe7.*
+ 34350: 616a.*
+ 34351: 0c39.*
+ 34352: 6fe7.*
+ 34353: 616b.*
+ 34354: 0d39.*
+ 34355: 6fe7.*
+ 34356: 616c.*
+ 34357: 0c39.*
+ 34358: 6fe7.*
+ 34359: 616d.*
+ 3435a: 0e39.*
+ 3435b: 6fe7.*
+ 3435c: 616e.*
+ 3435d: 0f39.*
+ 3435e: 6fe7.*
+ 3435f: 616f.*
+ 34360: 0f39.*
+ 34361: 6fe7.*
+ 34362: 6170.*
+ 34363: 0c3a.*
+ 34364: 6fe7.*
+ 34365: 6171.*
+ 34366: 0d3a.*
+ 34367: 6fe7.*
+ 34368: 6172.*
+ 34369: 0c3a.*
+ 3436a: 6fe7.*
+ 3436b: 6173.*
+ 3436c: 0e3a.*
+ 3436d: 6fe7.*
+ 3436e: 6174.*
+ 3436f: 0f3a.*
+ 34370: 6fe7.*
+ 34371: 6175.*
+ 34372: 0f3a.*
+ 34373: 6fe7.*
+ 34374: 6176.*
+ 34375: 0c3b.*
+ 34376: 6fe7.*
+ 34377: 6177.*
+ 34378: 0d3b.*
+ 34379: 6fe7.*
+ 3437a: 6178.*
+ 3437b: 0c3b.*
+ 3437c: 6fe7.*
+ 3437d: 6179.*
+ 3437e: 0e3b.*
+ 3437f: 6fe7.*
+ 34380: 617a.*
+ 34381: 0f3b.*
+ 34382: 6fe7.*
+ 34383: 617b.*
+ 34384: 0f3b.*
+ 34385: 6fe7.*
+ 34386: 617c.*
+ 34387: 0c3c.*
+ 34388: 6fe7.*
+ 34389: 617d.*
+ 3438a: 0d3c.*
+ 3438b: 6fe7.*
+ 3438c: 617e.*
+ 3438d: 0c3c.*
+ 3438e: 6fe7.*
+ 3438f: 617f.*
+ 34390: 0e3c.*
+ 34391: 6fe7.*
+ 34392: 6180.*
+ 34393: 0f3c.*
+ 34394: 6fe7.*
+ 34395: 6181.*
+ 34396: 0f3c.*
+ 34397: 6fe7.*
+ 34398: 6182.*
+ 34399: 0c3d.*
+ 3439a: 6fe7.*
+ 3439b: 6183.*
+ 3439c: 0d3d.*
+ 3439d: 6fe7.*
+ 3439e: 6184.*
+ 3439f: 0c3d.*
+ 343a0: 6fe7.*
+ 343a1: 6185.*
+ 343a2: 0e3d.*
+ 343a3: 6fe7.*
+ 343a4: 6186.*
+ 343a5: 0f3d.*
+ 343a6: 6fe7.*
+ 343a7: 6187.*
+ 343a8: 0f3d.*
+ 343a9: 6fe7.*
+ 343aa: 6188.*
+ 343ab: 0c3e.*
+ 343ac: 6fe7.*
+ 343ad: 6189.*
+ 343ae: 0d3e.*
+ 343af: 6fe7.*
+ 343b0: 618a.*
+ 343b1: 0c3e.*
+ 343b2: 6fe7.*
+ 343b3: 618b.*
+ 343b4: 0e3e.*
+ 343b5: 6fe7.*
+ 343b6: 618c.*
+ 343b7: 0f3e.*
+ 343b8: 6fe7.*
+ 343b9: 618d.*
+ 343ba: 0f3e.*
+ 343bb: 6fe7.*
+ 343bc: 618e.*
+ 343bd: 0c3f.*
+ 343be: 6fe7.*
+ 343bf: 618f.*
+ 343c0: 0d3f.*
+ 343c1: 6fe7.*
+ 343c2: 6190.*
+ 343c3: 0c3f.*
+ 343c4: 6fe7.*
+ 343c5: 6191.*
+ 343c6: 0e3f.*
+ 343c7: 6fe7.*
+ 343c8: 6192.*
+ 343c9: 0f3f.*
+ 343ca: 6fe7.*
+ 343cb: 6193.*
+ 343cc: 0f3f.*
+ 343cd: 08e7.*
+ 343ce: 6194.*
+ 343cf: 6fe7.*
+ 343d0: 6195.*
+ 343d1: 0d20.*
+ 343d2: 08e7.*
+ 343d3: 6196.*
+ 343d4: 6fe7.*
+ 343d5: 6197.*
+ 343d6: 0e20.*
+ 343d7: 09e7.*
+ 343d8: 6198.*
+ 343d9: 09e7.*
+ 343da: 6199.*
+ 343db: 6fe7.*
+ 343dc: 619a.*
+ 343dd: 0c21.*
+ 343de: 6fe7.*
+ 343df: 619b.*
+ 343e0: 0d21.*
+ 343e1: 6fe7.*
+ 343e2: 619c.*
+ 343e3: 0c21.*
+ 343e4: 6fe7.*
+ 343e5: 619d.*
+ 343e6: 0e21.*
+ 343e7: 6fe7.*
+ 343e8: 619e.*
+ 343e9: 0f21.*
+ 343ea: 6fe7.*
+ 343eb: 619f.*
+ 343ec: 0f21.*
+ 343ed: 6fe7.*
+ 343ee: 61a0.*
+ 343ef: 0c22.*
+ 343f0: 6fe7.*
+ 343f1: 61a1.*
+ 343f2: 0d22.*
+ 343f3: 6fe7.*
+ 343f4: 61a2.*
+ 343f5: 0c22.*
+ 343f6: 6fe7.*
+ 343f7: 61a3.*
+ 343f8: 0e22.*
+ 343f9: 6fe7.*
+ 343fa: 61a4.*
+ 343fb: 0f22.*
+ 343fc: 6fe7.*
+ 343fd: 61a5.*
+ 343fe: 0f22.*
+ 343ff: 6fe7.*
+ 34400: 61a6.*
+ 34401: 0c23.*
+ 34402: 6fe7.*
+ 34403: 61a7.*
+ 34404: 0d23.*
+ 34405: 6fe7.*
+ 34406: 61a8.*
+ 34407: 0c23.*
+ 34408: 6fe7.*
+ 34409: 61a9.*
+ 3440a: 0e23.*
+ 3440b: 6fe7.*
+ 3440c: 61aa.*
+ 3440d: 0f23.*
+ 3440e: 6fe7.*
+ 3440f: 61ab.*
+ 34410: 0f23.*
+ 34411: 6fe7.*
+ 34412: 61ac.*
+ 34413: 0c24.*
+ 34414: 6fe7.*
+ 34415: 61ad.*
+ 34416: 0d24.*
+ 34417: 6fe7.*
+ 34418: 61ae.*
+ 34419: 0c24.*
+ 3441a: 6fe7.*
+ 3441b: 61af.*
+ 3441c: 0e24.*
+ 3441d: 6fe7.*
+ 3441e: 61b0.*
+ 3441f: 0f24.*
+ 34420: 6fe7.*
+ 34421: 61b1.*
+ 34422: 0f24.*
+ 34423: 6fe7.*
+ 34424: 61b2.*
+ 34425: 0c25.*
+ 34426: 6fe7.*
+ 34427: 61b3.*
+ 34428: 0d25.*
+ 34429: 6fe7.*
+ 3442a: 61b4.*
+ 3442b: 0c25.*
+ 3442c: 6fe7.*
+ 3442d: 61b5.*
+ 3442e: 0e25.*
+ 3442f: 6fe7.*
+ 34430: 61b6.*
+ 34431: 0f25.*
+ 34432: 6fe7.*
+ 34433: 61b7.*
+ 34434: 0f25.*
+ 34435: 6fe7.*
+ 34436: 61b8.*
+ 34437: 0c26.*
+ 34438: 6fe7.*
+ 34439: 61b9.*
+ 3443a: 0d26.*
+ 3443b: 6fe7.*
+ 3443c: 61ba.*
+ 3443d: 0c26.*
+ 3443e: 6fe7.*
+ 3443f: 61bb.*
+ 34440: 0e26.*
+ 34441: 6fe7.*
+ 34442: 61bc.*
+ 34443: 0f26.*
+ 34444: 6fe7.*
+ 34445: 61bd.*
+ 34446: 0f26.*
+ 34447: 6fe7.*
+ 34448: 61be.*
+ 34449: 0c27.*
+ 3444a: 6fe7.*
+ 3444b: 61bf.*
+ 3444c: 0d27.*
+ 3444d: 6fe7.*
+ 3444e: 61c0.*
+ 3444f: 0c27.*
+ 34450: 6fe7.*
+ 34451: 61c1.*
+ 34452: 0e27.*
+ 34453: 6fe7.*
+ 34454: 61c2.*
+ 34455: 0f27.*
+ 34456: 6fe7.*
+ 34457: 61c3.*
+ 34458: 0f27.*
+ 34459: 6fe7.*
+ 3445a: 61c4.*
+ 3445b: 0c28.*
+ 3445c: 6fe7.*
+ 3445d: 61c5.*
+ 3445e: 0d28.*
+ 3445f: 6fe7.*
+ 34460: 61c6.*
+ 34461: 0c28.*
+ 34462: 6fe7.*
+ 34463: 61c7.*
+ 34464: 0e28.*
+ 34465: 6fe7.*
+ 34466: 61c8.*
+ 34467: 0f28.*
+ 34468: 6fe7.*
+ 34469: 61c9.*
+ 3446a: 0f28.*
+ 3446b: 6fe7.*
+ 3446c: 61ca.*
+ 3446d: 0c29.*
+ 3446e: 6fe7.*
+ 3446f: 61cb.*
+ 34470: 0d29.*
+ 34471: 6fe7.*
+ 34472: 61cc.*
+ 34473: 0c29.*
+ 34474: 6fe7.*
+ 34475: 61cd.*
+ 34476: 0e29.*
+ 34477: 6fe7.*
+ 34478: 61ce.*
+ 34479: 0f29.*
+ 3447a: 6fe7.*
+ 3447b: 61cf.*
+ 3447c: 0f29.*
+ 3447d: 6fe7.*
+ 3447e: 61d0.*
+ 3447f: 0c2a.*
+ 34480: 6fe7.*
+ 34481: 61d1.*
+ 34482: 0d2a.*
+ 34483: 6fe7.*
+ 34484: 61d2.*
+ 34485: 0c2a.*
+ 34486: 6fe7.*
+ 34487: 61d3.*
+ 34488: 0e2a.*
+ 34489: 6fe7.*
+ 3448a: 61d4.*
+ 3448b: 0f2a.*
+ 3448c: 6fe7.*
+ 3448d: 61d5.*
+ 3448e: 0f2a.*
+ 3448f: 6fe7.*
+ 34490: 61d6.*
+ 34491: 0c2b.*
+ 34492: 6fe7.*
+ 34493: 61d7.*
+ 34494: 0d2b.*
+ 34495: 6fe7.*
+ 34496: 61d8.*
+ 34497: 0c2b.*
+ 34498: 6fe7.*
+ 34499: 61d9.*
+ 3449a: 0e2b.*
+ 3449b: 6fe7.*
+ 3449c: 61da.*
+ 3449d: 0f2b.*
+ 3449e: 6fe7.*
+ 3449f: 61db.*
+ 344a0: 0f2b.*
+ 344a1: 6fe7.*
+ 344a2: 61dc.*
+ 344a3: 0c2c.*
+ 344a4: 6fe7.*
+ 344a5: 61dd.*
+ 344a6: 0d2c.*
+ 344a7: 6fe7.*
+ 344a8: 61de.*
+ 344a9: 0c2c.*
+ 344aa: 6fe7.*
+ 344ab: 61df.*
+ 344ac: 0e2c.*
+ 344ad: 6fe7.*
+ 344ae: 61e0.*
+ 344af: 0f2c.*
+ 344b0: 6fe7.*
+ 344b1: 61e1.*
+ 344b2: 0f2c.*
+ 344b3: 6fe7.*
+ 344b4: 61e2.*
+ 344b5: 0c2d.*
+ 344b6: 6fe7.*
+ 344b7: 61e3.*
+ 344b8: 0d2d.*
+ 344b9: 6fe7.*
+ 344ba: 61e4.*
+ 344bb: 0c2d.*
+ 344bc: 6fe7.*
+ 344bd: 61e5.*
+ 344be: 0e2d.*
+ 344bf: 6fe7.*
+ 344c0: 61e6.*
+ 344c1: 0f2d.*
+ 344c2: 6fe7.*
+ 344c3: 61e7.*
+ 344c4: 0f2d.*
+ 344c5: 6fe7.*
+ 344c6: 61e8.*
+ 344c7: 0c2e.*
+ 344c8: 6fe7.*
+ 344c9: 61e9.*
+ 344ca: 0d2e.*
+ 344cb: 6fe7.*
+ 344cc: 61ea.*
+ 344cd: 0c2e.*
+ 344ce: 6fe7.*
+ 344cf: 61eb.*
+ 344d0: 0e2e.*
+ 344d1: 6fe7.*
+ 344d2: 61ec.*
+ 344d3: 0f2e.*
+ 344d4: 6fe7.*
+ 344d5: 61ed.*
+ 344d6: 0f2e.*
+ 344d7: 6fe7.*
+ 344d8: 61ee.*
+ 344d9: 0c2f.*
+ 344da: 6fe7.*
+ 344db: 61ef.*
+ 344dc: 0d2f.*
+ 344dd: 6fe7.*
+ 344de: 61f0.*
+ 344df: 0c2f.*
+ 344e0: 6fe7.*
+ 344e1: 61f1.*
+ 344e2: 0e2f.*
+ 344e3: 6fe7.*
+ 344e4: 61f2.*
+ 344e5: 0f2f.*
+ 344e6: 6fe7.*
+ 344e7: 61f3.*
+ 344e8: 0f2f.*
+ 344e9: 08ef.*
+ 344ea: 61f4.*
+ 344eb: 6fef.*
+ 344ec: 61f5.*
+ 344ed: 0d20.*
+ 344ee: 08ef.*
+ 344ef: 61f6.*
+ 344f0: 6fef.*
+ 344f1: 61f7.*
+ 344f2: 0e20.*
+ 344f3: 09ef.*
+ 344f4: 61f8.*
+ 344f5: 09ef.*
+ 344f6: 61f9.*
+ 344f7: 6fef.*
+ 344f8: 61fa.*
+ 344f9: 0c30.*
+ 344fa: 6fef.*
+ 344fb: 61fb.*
+ 344fc: 0d30.*
+ 344fd: 6fef.*
+ 344fe: 61fc.*
+ 344ff: 0c30.*
+ 34500: 6fef.*
+ 34501: 61fd.*
+ 34502: 0e30.*
+ 34503: 6fef.*
+ 34504: 61fe.*
+ 34505: 0f30.*
+ 34506: 6fef.*
+ 34507: 61ff.*
+ 34508: 0f30.*
+ 34509: 6fef.*
+ 3450a: 6200.*
+ 3450b: 0c31.*
+ 3450c: 6fef.*
+ 3450d: 6201.*
+ 3450e: 0d31.*
+ 3450f: 6fef.*
+ 34510: 6202.*
+ 34511: 0c31.*
+ 34512: 6fef.*
+ 34513: 6203.*
+ 34514: 0e31.*
+ 34515: 6fef.*
+ 34516: 6204.*
+ 34517: 0f31.*
+ 34518: 6fef.*
+ 34519: 6205.*
+ 3451a: 0f31.*
+ 3451b: 6fef.*
+ 3451c: 6206.*
+ 3451d: 0c32.*
+ 3451e: 6fef.*
+ 3451f: 6207.*
+ 34520: 0d32.*
+ 34521: 6fef.*
+ 34522: 6208.*
+ 34523: 0c32.*
+ 34524: 6fef.*
+ 34525: 6209.*
+ 34526: 0e32.*
+ 34527: 6fef.*
+ 34528: 620a.*
+ 34529: 0f32.*
+ 3452a: 6fef.*
+ 3452b: 620b.*
+ 3452c: 0f32.*
+ 3452d: 6fef.*
+ 3452e: 620c.*
+ 3452f: 0c33.*
+ 34530: 6fef.*
+ 34531: 620d.*
+ 34532: 0d33.*
+ 34533: 6fef.*
+ 34534: 620e.*
+ 34535: 0c33.*
+ 34536: 6fef.*
+ 34537: 620f.*
+ 34538: 0e33.*
+ 34539: 6fef.*
+ 3453a: 6210.*
+ 3453b: 0f33.*
+ 3453c: 6fef.*
+ 3453d: 6211.*
+ 3453e: 0f33.*
+ 3453f: 6fef.*
+ 34540: 6212.*
+ 34541: 0c34.*
+ 34542: 6fef.*
+ 34543: 6213.*
+ 34544: 0d34.*
+ 34545: 6fef.*
+ 34546: 6214.*
+ 34547: 0c34.*
+ 34548: 6fef.*
+ 34549: 6215.*
+ 3454a: 0e34.*
+ 3454b: 6fef.*
+ 3454c: 6216.*
+ 3454d: 0f34.*
+ 3454e: 6fef.*
+ 3454f: 6217.*
+ 34550: 0f34.*
+ 34551: 6fef.*
+ 34552: 6218.*
+ 34553: 0c35.*
+ 34554: 6fef.*
+ 34555: 6219.*
+ 34556: 0d35.*
+ 34557: 6fef.*
+ 34558: 621a.*
+ 34559: 0c35.*
+ 3455a: 6fef.*
+ 3455b: 621b.*
+ 3455c: 0e35.*
+ 3455d: 6fef.*
+ 3455e: 621c.*
+ 3455f: 0f35.*
+ 34560: 6fef.*
+ 34561: 621d.*
+ 34562: 0f35.*
+ 34563: 6fef.*
+ 34564: 621e.*
+ 34565: 0c36.*
+ 34566: 6fef.*
+ 34567: 621f.*
+ 34568: 0d36.*
+ 34569: 6fef.*
+ 3456a: 6220.*
+ 3456b: 0c36.*
+ 3456c: 6fef.*
+ 3456d: 6221.*
+ 3456e: 0e36.*
+ 3456f: 6fef.*
+ 34570: 6222.*
+ 34571: 0f36.*
+ 34572: 6fef.*
+ 34573: 6223.*
+ 34574: 0f36.*
+ 34575: 6fef.*
+ 34576: 6224.*
+ 34577: 0c37.*
+ 34578: 6fef.*
+ 34579: 6225.*
+ 3457a: 0d37.*
+ 3457b: 6fef.*
+ 3457c: 6226.*
+ 3457d: 0c37.*
+ 3457e: 6fef.*
+ 3457f: 6227.*
+ 34580: 0e37.*
+ 34581: 6fef.*
+ 34582: 6228.*
+ 34583: 0f37.*
+ 34584: 6fef.*
+ 34585: 6229.*
+ 34586: 0f37.*
+ 34587: 6fef.*
+ 34588: 622a.*
+ 34589: 0c38.*
+ 3458a: 6fef.*
+ 3458b: 622b.*
+ 3458c: 0d38.*
+ 3458d: 6fef.*
+ 3458e: 622c.*
+ 3458f: 0c38.*
+ 34590: 6fef.*
+ 34591: 622d.*
+ 34592: 0e38.*
+ 34593: 6fef.*
+ 34594: 622e.*
+ 34595: 0f38.*
+ 34596: 6fef.*
+ 34597: 622f.*
+ 34598: 0f38.*
+ 34599: 6fef.*
+ 3459a: 6230.*
+ 3459b: 0c39.*
+ 3459c: 6fef.*
+ 3459d: 6231.*
+ 3459e: 0d39.*
+ 3459f: 6fef.*
+ 345a0: 6232.*
+ 345a1: 0c39.*
+ 345a2: 6fef.*
+ 345a3: 6233.*
+ 345a4: 0e39.*
+ 345a5: 6fef.*
+ 345a6: 6234.*
+ 345a7: 0f39.*
+ 345a8: 6fef.*
+ 345a9: 6235.*
+ 345aa: 0f39.*
+ 345ab: 6fef.*
+ 345ac: 6236.*
+ 345ad: 0c3a.*
+ 345ae: 6fef.*
+ 345af: 6237.*
+ 345b0: 0d3a.*
+ 345b1: 6fef.*
+ 345b2: 6238.*
+ 345b3: 0c3a.*
+ 345b4: 6fef.*
+ 345b5: 6239.*
+ 345b6: 0e3a.*
+ 345b7: 6fef.*
+ 345b8: 623a.*
+ 345b9: 0f3a.*
+ 345ba: 6fef.*
+ 345bb: 623b.*
+ 345bc: 0f3a.*
+ 345bd: 6fef.*
+ 345be: 623c.*
+ 345bf: 0c3b.*
+ 345c0: 6fef.*
+ 345c1: 623d.*
+ 345c2: 0d3b.*
+ 345c3: 6fef.*
+ 345c4: 623e.*
+ 345c5: 0c3b.*
+ 345c6: 6fef.*
+ 345c7: 623f.*
+ 345c8: 0e3b.*
+ 345c9: 6fef.*
+ 345ca: 6240.*
+ 345cb: 0f3b.*
+ 345cc: 6fef.*
+ 345cd: 6241.*
+ 345ce: 0f3b.*
+ 345cf: 6fef.*
+ 345d0: 6242.*
+ 345d1: 0c3c.*
+ 345d2: 6fef.*
+ 345d3: 6243.*
+ 345d4: 0d3c.*
+ 345d5: 6fef.*
+ 345d6: 6244.*
+ 345d7: 0c3c.*
+ 345d8: 6fef.*
+ 345d9: 6245.*
+ 345da: 0e3c.*
+ 345db: 6fef.*
+ 345dc: 6246.*
+ 345dd: 0f3c.*
+ 345de: 6fef.*
+ 345df: 6247.*
+ 345e0: 0f3c.*
+ 345e1: 6fef.*
+ 345e2: 6248.*
+ 345e3: 0c3d.*
+ 345e4: 6fef.*
+ 345e5: 6249.*
+ 345e6: 0d3d.*
+ 345e7: 6fef.*
+ 345e8: 624a.*
+ 345e9: 0c3d.*
+ 345ea: 6fef.*
+ 345eb: 624b.*
+ 345ec: 0e3d.*
+ 345ed: 6fef.*
+ 345ee: 624c.*
+ 345ef: 0f3d.*
+ 345f0: 6fef.*
+ 345f1: 624d.*
+ 345f2: 0f3d.*
+ 345f3: 6fef.*
+ 345f4: 624e.*
+ 345f5: 0c3e.*
+ 345f6: 6fef.*
+ 345f7: 624f.*
+ 345f8: 0d3e.*
+ 345f9: 6fef.*
+ 345fa: 6250.*
+ 345fb: 0c3e.*
+ 345fc: 6fef.*
+ 345fd: 6251.*
+ 345fe: 0e3e.*
+ 345ff: 6fef.*
+ 34600: 6252.*
+ 34601: 0f3e.*
+ 34602: 6fef.*
+ 34603: 6253.*
+ 34604: 0f3e.*
+ 34605: 6fef.*
+ 34606: 6254.*
+ 34607: 0c3f.*
+ 34608: 6fef.*
+ 34609: 6255.*
+ 3460a: 0d3f.*
+ 3460b: 6fef.*
+ 3460c: 6256.*
+ 3460d: 0c3f.*
+ 3460e: 6fef.*
+ 3460f: 6257.*
+ 34610: 0e3f.*
+ 34611: 6fef.*
+ 34612: 6258.*
+ 34613: 0f3f.*
+ 34614: 6fef.*
+ 34615: 6259.*
+ 34616: 0f3f.*
+ 34617: 08ef.*
+ 34618: 625a.*
+ 34619: 6fef.*
+ 3461a: 625b.*
+ 3461b: 0d20.*
+ 3461c: 08ef.*
+ 3461d: 625c.*
+ 3461e: 6fef.*
+ 3461f: 625d.*
+ 34620: 0e20.*
+ 34621: 09ef.*
+ 34622: 625e.*
+ 34623: 09ef.*
+ 34624: 625f.*
+ 34625: 6fef.*
+ 34626: 6260.*
+ 34627: 0c21.*
+ 34628: 6fef.*
+ 34629: 6261.*
+ 3462a: 0d21.*
+ 3462b: 6fef.*
+ 3462c: 6262.*
+ 3462d: 0c21.*
+ 3462e: 6fef.*
+ 3462f: 6263.*
+ 34630: 0e21.*
+ 34631: 6fef.*
+ 34632: 6264.*
+ 34633: 0f21.*
+ 34634: 6fef.*
+ 34635: 6265.*
+ 34636: 0f21.*
+ 34637: 6fef.*
+ 34638: 6266.*
+ 34639: 0c22.*
+ 3463a: 6fef.*
+ 3463b: 6267.*
+ 3463c: 0d22.*
+ 3463d: 6fef.*
+ 3463e: 6268.*
+ 3463f: 0c22.*
+ 34640: 6fef.*
+ 34641: 6269.*
+ 34642: 0e22.*
+ 34643: 6fef.*
+ 34644: 626a.*
+ 34645: 0f22.*
+ 34646: 6fef.*
+ 34647: 626b.*
+ 34648: 0f22.*
+ 34649: 6fef.*
+ 3464a: 626c.*
+ 3464b: 0c23.*
+ 3464c: 6fef.*
+ 3464d: 626d.*
+ 3464e: 0d23.*
+ 3464f: 6fef.*
+ 34650: 626e.*
+ 34651: 0c23.*
+ 34652: 6fef.*
+ 34653: 626f.*
+ 34654: 0e23.*
+ 34655: 6fef.*
+ 34656: 6270.*
+ 34657: 0f23.*
+ 34658: 6fef.*
+ 34659: 6271.*
+ 3465a: 0f23.*
+ 3465b: 6fef.*
+ 3465c: 6272.*
+ 3465d: 0c24.*
+ 3465e: 6fef.*
+ 3465f: 6273.*
+ 34660: 0d24.*
+ 34661: 6fef.*
+ 34662: 6274.*
+ 34663: 0c24.*
+ 34664: 6fef.*
+ 34665: 6275.*
+ 34666: 0e24.*
+ 34667: 6fef.*
+ 34668: 6276.*
+ 34669: 0f24.*
+ 3466a: 6fef.*
+ 3466b: 6277.*
+ 3466c: 0f24.*
+ 3466d: 6fef.*
+ 3466e: 6278.*
+ 3466f: 0c25.*
+ 34670: 6fef.*
+ 34671: 6279.*
+ 34672: 0d25.*
+ 34673: 6fef.*
+ 34674: 627a.*
+ 34675: 0c25.*
+ 34676: 6fef.*
+ 34677: 627b.*
+ 34678: 0e25.*
+ 34679: 6fef.*
+ 3467a: 627c.*
+ 3467b: 0f25.*
+ 3467c: 6fef.*
+ 3467d: 627d.*
+ 3467e: 0f25.*
+ 3467f: 6fef.*
+ 34680: 627e.*
+ 34681: 0c26.*
+ 34682: 6fef.*
+ 34683: 627f.*
+ 34684: 0d26.*
+ 34685: 6fef.*
+ 34686: 6280.*
+ 34687: 0c26.*
+ 34688: 6fef.*
+ 34689: 6281.*
+ 3468a: 0e26.*
+ 3468b: 6fef.*
+ 3468c: 6282.*
+ 3468d: 0f26.*
+ 3468e: 6fef.*
+ 3468f: 6283.*
+ 34690: 0f26.*
+ 34691: 6fef.*
+ 34692: 6284.*
+ 34693: 0c27.*
+ 34694: 6fef.*
+ 34695: 6285.*
+ 34696: 0d27.*
+ 34697: 6fef.*
+ 34698: 6286.*
+ 34699: 0c27.*
+ 3469a: 6fef.*
+ 3469b: 6287.*
+ 3469c: 0e27.*
+ 3469d: 6fef.*
+ 3469e: 6288.*
+ 3469f: 0f27.*
+ 346a0: 6fef.*
+ 346a1: 6289.*
+ 346a2: 0f27.*
+ 346a3: 6fef.*
+ 346a4: 628a.*
+ 346a5: 0c28.*
+ 346a6: 6fef.*
+ 346a7: 628b.*
+ 346a8: 0d28.*
+ 346a9: 6fef.*
+ 346aa: 628c.*
+ 346ab: 0c28.*
+ 346ac: 6fef.*
+ 346ad: 628d.*
+ 346ae: 0e28.*
+ 346af: 6fef.*
+ 346b0: 628e.*
+ 346b1: 0f28.*
+ 346b2: 6fef.*
+ 346b3: 628f.*
+ 346b4: 0f28.*
+ 346b5: 6fef.*
+ 346b6: 6290.*
+ 346b7: 0c29.*
+ 346b8: 6fef.*
+ 346b9: 6291.*
+ 346ba: 0d29.*
+ 346bb: 6fef.*
+ 346bc: 6292.*
+ 346bd: 0c29.*
+ 346be: 6fef.*
+ 346bf: 6293.*
+ 346c0: 0e29.*
+ 346c1: 6fef.*
+ 346c2: 6294.*
+ 346c3: 0f29.*
+ 346c4: 6fef.*
+ 346c5: 6295.*
+ 346c6: 0f29.*
+ 346c7: 6fef.*
+ 346c8: 6296.*
+ 346c9: 0c2a.*
+ 346ca: 6fef.*
+ 346cb: 6297.*
+ 346cc: 0d2a.*
+ 346cd: 6fef.*
+ 346ce: 6298.*
+ 346cf: 0c2a.*
+ 346d0: 6fef.*
+ 346d1: 6299.*
+ 346d2: 0e2a.*
+ 346d3: 6fef.*
+ 346d4: 629a.*
+ 346d5: 0f2a.*
+ 346d6: 6fef.*
+ 346d7: 629b.*
+ 346d8: 0f2a.*
+ 346d9: 6fef.*
+ 346da: 629c.*
+ 346db: 0c2b.*
+ 346dc: 6fef.*
+ 346dd: 629d.*
+ 346de: 0d2b.*
+ 346df: 6fef.*
+ 346e0: 629e.*
+ 346e1: 0c2b.*
+ 346e2: 6fef.*
+ 346e3: 629f.*
+ 346e4: 0e2b.*
+ 346e5: 6fef.*
+ 346e6: 62a0.*
+ 346e7: 0f2b.*
+ 346e8: 6fef.*
+ 346e9: 62a1.*
+ 346ea: 0f2b.*
+ 346eb: 6fef.*
+ 346ec: 62a2.*
+ 346ed: 0c2c.*
+ 346ee: 6fef.*
+ 346ef: 62a3.*
+ 346f0: 0d2c.*
+ 346f1: 6fef.*
+ 346f2: 62a4.*
+ 346f3: 0c2c.*
+ 346f4: 6fef.*
+ 346f5: 62a5.*
+ 346f6: 0e2c.*
+ 346f7: 6fef.*
+ 346f8: 62a6.*
+ 346f9: 0f2c.*
+ 346fa: 6fef.*
+ 346fb: 62a7.*
+ 346fc: 0f2c.*
+ 346fd: 6fef.*
+ 346fe: 62a8.*
+ 346ff: 0c2d.*
+ 34700: 6fef.*
+ 34701: 62a9.*
+ 34702: 0d2d.*
+ 34703: 6fef.*
+ 34704: 62aa.*
+ 34705: 0c2d.*
+ 34706: 6fef.*
+ 34707: 62ab.*
+ 34708: 0e2d.*
+ 34709: 6fef.*
+ 3470a: 62ac.*
+ 3470b: 0f2d.*
+ 3470c: 6fef.*
+ 3470d: 62ad.*
+ 3470e: 0f2d.*
+ 3470f: 6fef.*
+ 34710: 62ae.*
+ 34711: 0c2e.*
+ 34712: 6fef.*
+ 34713: 62af.*
+ 34714: 0d2e.*
+ 34715: 6fef.*
+ 34716: 62b0.*
+ 34717: 0c2e.*
+ 34718: 6fef.*
+ 34719: 62b1.*
+ 3471a: 0e2e.*
+ 3471b: 6fef.*
+ 3471c: 62b2.*
+ 3471d: 0f2e.*
+ 3471e: 6fef.*
+ 3471f: 62b3.*
+ 34720: 0f2e.*
+ 34721: 6fef.*
+ 34722: 62b4.*
+ 34723: 0c2f.*
+ 34724: 6fef.*
+ 34725: 62b5.*
+ 34726: 0d2f.*
+ 34727: 6fef.*
+ 34728: 62b6.*
+ 34729: 0c2f.*
+ 3472a: 6fef.*
+ 3472b: 62b7.*
+ 3472c: 0e2f.*
+ 3472d: 6fef.*
+ 3472e: 62b8.*
+ 3472f: 0f2f.*
+ 34730: 6fef.*
+ 34731: 62b9.*
+ 34732: 0f2f.*
+ 34733: 08f7.*
+ 34734: 62ba.*
+ 34735: 6ff7.*
+ 34736: 62bb.*
+ 34737: 0d20.*
+ 34738: 08f7.*
+ 34739: 62bc.*
+ 3473a: 6ff7.*
+ 3473b: 62bd.*
+ 3473c: 0e20.*
+ 3473d: 09f7.*
+ 3473e: 62be.*
+ 3473f: 09f7.*
+ 34740: 62bf.*
+ 34741: 6ff7.*
+ 34742: 62c0.*
+ 34743: 0c30.*
+ 34744: 6ff7.*
+ 34745: 62c1.*
+ 34746: 0d30.*
+ 34747: 6ff7.*
+ 34748: 62c2.*
+ 34749: 0c30.*
+ 3474a: 6ff7.*
+ 3474b: 62c3.*
+ 3474c: 0e30.*
+ 3474d: 6ff7.*
+ 3474e: 62c4.*
+ 3474f: 0f30.*
+ 34750: 6ff7.*
+ 34751: 62c5.*
+ 34752: 0f30.*
+ 34753: 6ff7.*
+ 34754: 62c6.*
+ 34755: 0c31.*
+ 34756: 6ff7.*
+ 34757: 62c7.*
+ 34758: 0d31.*
+ 34759: 6ff7.*
+ 3475a: 62c8.*
+ 3475b: 0c31.*
+ 3475c: 6ff7.*
+ 3475d: 62c9.*
+ 3475e: 0e31.*
+ 3475f: 6ff7.*
+ 34760: 62ca.*
+ 34761: 0f31.*
+ 34762: 6ff7.*
+ 34763: 62cb.*
+ 34764: 0f31.*
+ 34765: 6ff7.*
+ 34766: 62cc.*
+ 34767: 0c32.*
+ 34768: 6ff7.*
+ 34769: 62cd.*
+ 3476a: 0d32.*
+ 3476b: 6ff7.*
+ 3476c: 62ce.*
+ 3476d: 0c32.*
+ 3476e: 6ff7.*
+ 3476f: 62cf.*
+ 34770: 0e32.*
+ 34771: 6ff7.*
+ 34772: 62d0.*
+ 34773: 0f32.*
+ 34774: 6ff7.*
+ 34775: 62d1.*
+ 34776: 0f32.*
+ 34777: 6ff7.*
+ 34778: 62d2.*
+ 34779: 0c33.*
+ 3477a: 6ff7.*
+ 3477b: 62d3.*
+ 3477c: 0d33.*
+ 3477d: 6ff7.*
+ 3477e: 62d4.*
+ 3477f: 0c33.*
+ 34780: 6ff7.*
+ 34781: 62d5.*
+ 34782: 0e33.*
+ 34783: 6ff7.*
+ 34784: 62d6.*
+ 34785: 0f33.*
+ 34786: 6ff7.*
+ 34787: 62d7.*
+ 34788: 0f33.*
+ 34789: 6ff7.*
+ 3478a: 62d8.*
+ 3478b: 0c34.*
+ 3478c: 6ff7.*
+ 3478d: 62d9.*
+ 3478e: 0d34.*
+ 3478f: 6ff7.*
+ 34790: 62da.*
+ 34791: 0c34.*
+ 34792: 6ff7.*
+ 34793: 62db.*
+ 34794: 0e34.*
+ 34795: 6ff7.*
+ 34796: 62dc.*
+ 34797: 0f34.*
+ 34798: 6ff7.*
+ 34799: 62dd.*
+ 3479a: 0f34.*
+ 3479b: 6ff7.*
+ 3479c: 62de.*
+ 3479d: 0c35.*
+ 3479e: 6ff7.*
+ 3479f: 62df.*
+ 347a0: 0d35.*
+ 347a1: 6ff7.*
+ 347a2: 62e0.*
+ 347a3: 0c35.*
+ 347a4: 6ff7.*
+ 347a5: 62e1.*
+ 347a6: 0e35.*
+ 347a7: 6ff7.*
+ 347a8: 62e2.*
+ 347a9: 0f35.*
+ 347aa: 6ff7.*
+ 347ab: 62e3.*
+ 347ac: 0f35.*
+ 347ad: 6ff7.*
+ 347ae: 62e4.*
+ 347af: 0c36.*
+ 347b0: 6ff7.*
+ 347b1: 62e5.*
+ 347b2: 0d36.*
+ 347b3: 6ff7.*
+ 347b4: 62e6.*
+ 347b5: 0c36.*
+ 347b6: 6ff7.*
+ 347b7: 62e7.*
+ 347b8: 0e36.*
+ 347b9: 6ff7.*
+ 347ba: 62e8.*
+ 347bb: 0f36.*
+ 347bc: 6ff7.*
+ 347bd: 62e9.*
+ 347be: 0f36.*
+ 347bf: 6ff7.*
+ 347c0: 62ea.*
+ 347c1: 0c37.*
+ 347c2: 6ff7.*
+ 347c3: 62eb.*
+ 347c4: 0d37.*
+ 347c5: 6ff7.*
+ 347c6: 62ec.*
+ 347c7: 0c37.*
+ 347c8: 6ff7.*
+ 347c9: 62ed.*
+ 347ca: 0e37.*
+ 347cb: 6ff7.*
+ 347cc: 62ee.*
+ 347cd: 0f37.*
+ 347ce: 6ff7.*
+ 347cf: 62ef.*
+ 347d0: 0f37.*
+ 347d1: 6ff7.*
+ 347d2: 62f0.*
+ 347d3: 0c38.*
+ 347d4: 6ff7.*
+ 347d5: 62f1.*
+ 347d6: 0d38.*
+ 347d7: 6ff7.*
+ 347d8: 62f2.*
+ 347d9: 0c38.*
+ 347da: 6ff7.*
+ 347db: 62f3.*
+ 347dc: 0e38.*
+ 347dd: 6ff7.*
+ 347de: 62f4.*
+ 347df: 0f38.*
+ 347e0: 6ff7.*
+ 347e1: 62f5.*
+ 347e2: 0f38.*
+ 347e3: 6ff7.*
+ 347e4: 62f6.*
+ 347e5: 0c39.*
+ 347e6: 6ff7.*
+ 347e7: 62f7.*
+ 347e8: 0d39.*
+ 347e9: 6ff7.*
+ 347ea: 62f8.*
+ 347eb: 0c39.*
+ 347ec: 6ff7.*
+ 347ed: 62f9.*
+ 347ee: 0e39.*
+ 347ef: 6ff7.*
+ 347f0: 62fa.*
+ 347f1: 0f39.*
+ 347f2: 6ff7.*
+ 347f3: 62fb.*
+ 347f4: 0f39.*
+ 347f5: 6ff7.*
+ 347f6: 62fc.*
+ 347f7: 0c3a.*
+ 347f8: 6ff7.*
+ 347f9: 62fd.*
+ 347fa: 0d3a.*
+ 347fb: 6ff7.*
+ 347fc: 62fe.*
+ 347fd: 0c3a.*
+ 347fe: 6ff7.*
+ 347ff: 62ff.*
+ 34800: 0e3a.*
+ 34801: 6ff7.*
+ 34802: 6300.*
+ 34803: 0f3a.*
+ 34804: 6ff7.*
+ 34805: 6301.*
+ 34806: 0f3a.*
+ 34807: 6ff7.*
+ 34808: 6302.*
+ 34809: 0c3b.*
+ 3480a: 6ff7.*
+ 3480b: 6303.*
+ 3480c: 0d3b.*
+ 3480d: 6ff7.*
+ 3480e: 6304.*
+ 3480f: 0c3b.*
+ 34810: 6ff7.*
+ 34811: 6305.*
+ 34812: 0e3b.*
+ 34813: 6ff7.*
+ 34814: 6306.*
+ 34815: 0f3b.*
+ 34816: 6ff7.*
+ 34817: 6307.*
+ 34818: 0f3b.*
+ 34819: 6ff7.*
+ 3481a: 6308.*
+ 3481b: 0c3c.*
+ 3481c: 6ff7.*
+ 3481d: 6309.*
+ 3481e: 0d3c.*
+ 3481f: 6ff7.*
+ 34820: 630a.*
+ 34821: 0c3c.*
+ 34822: 6ff7.*
+ 34823: 630b.*
+ 34824: 0e3c.*
+ 34825: 6ff7.*
+ 34826: 630c.*
+ 34827: 0f3c.*
+ 34828: 6ff7.*
+ 34829: 630d.*
+ 3482a: 0f3c.*
+ 3482b: 6ff7.*
+ 3482c: 630e.*
+ 3482d: 0c3d.*
+ 3482e: 6ff7.*
+ 3482f: 630f.*
+ 34830: 0d3d.*
+ 34831: 6ff7.*
+ 34832: 6310.*
+ 34833: 0c3d.*
+ 34834: 6ff7.*
+ 34835: 6311.*
+ 34836: 0e3d.*
+ 34837: 6ff7.*
+ 34838: 6312.*
+ 34839: 0f3d.*
+ 3483a: 6ff7.*
+ 3483b: 6313.*
+ 3483c: 0f3d.*
+ 3483d: 6ff7.*
+ 3483e: 6314.*
+ 3483f: 0c3e.*
+ 34840: 6ff7.*
+ 34841: 6315.*
+ 34842: 0d3e.*
+ 34843: 6ff7.*
+ 34844: 6316.*
+ 34845: 0c3e.*
+ 34846: 6ff7.*
+ 34847: 6317.*
+ 34848: 0e3e.*
+ 34849: 6ff7.*
+ 3484a: 6318.*
+ 3484b: 0f3e.*
+ 3484c: 6ff7.*
+ 3484d: 6319.*
+ 3484e: 0f3e.*
+ 3484f: 6ff7.*
+ 34850: 631a.*
+ 34851: 0c3f.*
+ 34852: 6ff7.*
+ 34853: 631b.*
+ 34854: 0d3f.*
+ 34855: 6ff7.*
+ 34856: 631c.*
+ 34857: 0c3f.*
+ 34858: 6ff7.*
+ 34859: 631d.*
+ 3485a: 0e3f.*
+ 3485b: 6ff7.*
+ 3485c: 631e.*
+ 3485d: 0f3f.*
+ 3485e: 6ff7.*
+ 3485f: 631f.*
+ 34860: 0f3f.*
+ 34861: 08f7.*
+ 34862: 6320.*
+ 34863: 6ff7.*
+ 34864: 6321.*
+ 34865: 0d20.*
+ 34866: 08f7.*
+ 34867: 6322.*
+ 34868: 6ff7.*
+ 34869: 6323.*
+ 3486a: 0e20.*
+ 3486b: 09f7.*
+ 3486c: 6324.*
+ 3486d: 09f7.*
+ 3486e: 6325.*
+ 3486f: 6ff7.*
+ 34870: 6326.*
+ 34871: 0c21.*
+ 34872: 6ff7.*
+ 34873: 6327.*
+ 34874: 0d21.*
+ 34875: 6ff7.*
+ 34876: 6328.*
+ 34877: 0c21.*
+ 34878: 6ff7.*
+ 34879: 6329.*
+ 3487a: 0e21.*
+ 3487b: 6ff7.*
+ 3487c: 632a.*
+ 3487d: 0f21.*
+ 3487e: 6ff7.*
+ 3487f: 632b.*
+ 34880: 0f21.*
+ 34881: 6ff7.*
+ 34882: 632c.*
+ 34883: 0c22.*
+ 34884: 6ff7.*
+ 34885: 632d.*
+ 34886: 0d22.*
+ 34887: 6ff7.*
+ 34888: 632e.*
+ 34889: 0c22.*
+ 3488a: 6ff7.*
+ 3488b: 632f.*
+ 3488c: 0e22.*
+ 3488d: 6ff7.*
+ 3488e: 6330.*
+ 3488f: 0f22.*
+ 34890: 6ff7.*
+ 34891: 6331.*
+ 34892: 0f22.*
+ 34893: 6ff7.*
+ 34894: 6332.*
+ 34895: 0c23.*
+ 34896: 6ff7.*
+ 34897: 6333.*
+ 34898: 0d23.*
+ 34899: 6ff7.*
+ 3489a: 6334.*
+ 3489b: 0c23.*
+ 3489c: 6ff7.*
+ 3489d: 6335.*
+ 3489e: 0e23.*
+ 3489f: 6ff7.*
+ 348a0: 6336.*
+ 348a1: 0f23.*
+ 348a2: 6ff7.*
+ 348a3: 6337.*
+ 348a4: 0f23.*
+ 348a5: 6ff7.*
+ 348a6: 6338.*
+ 348a7: 0c24.*
+ 348a8: 6ff7.*
+ 348a9: 6339.*
+ 348aa: 0d24.*
+ 348ab: 6ff7.*
+ 348ac: 633a.*
+ 348ad: 0c24.*
+ 348ae: 6ff7.*
+ 348af: 633b.*
+ 348b0: 0e24.*
+ 348b1: 6ff7.*
+ 348b2: 633c.*
+ 348b3: 0f24.*
+ 348b4: 6ff7.*
+ 348b5: 633d.*
+ 348b6: 0f24.*
+ 348b7: 6ff7.*
+ 348b8: 633e.*
+ 348b9: 0c25.*
+ 348ba: 6ff7.*
+ 348bb: 633f.*
+ 348bc: 0d25.*
+ 348bd: 6ff7.*
+ 348be: 6340.*
+ 348bf: 0c25.*
+ 348c0: 6ff7.*
+ 348c1: 6341.*
+ 348c2: 0e25.*
+ 348c3: 6ff7.*
+ 348c4: 6342.*
+ 348c5: 0f25.*
+ 348c6: 6ff7.*
+ 348c7: 6343.*
+ 348c8: 0f25.*
+ 348c9: 6ff7.*
+ 348ca: 6344.*
+ 348cb: 0c26.*
+ 348cc: 6ff7.*
+ 348cd: 6345.*
+ 348ce: 0d26.*
+ 348cf: 6ff7.*
+ 348d0: 6346.*
+ 348d1: 0c26.*
+ 348d2: 6ff7.*
+ 348d3: 6347.*
+ 348d4: 0e26.*
+ 348d5: 6ff7.*
+ 348d6: 6348.*
+ 348d7: 0f26.*
+ 348d8: 6ff7.*
+ 348d9: 6349.*
+ 348da: 0f26.*
+ 348db: 6ff7.*
+ 348dc: 634a.*
+ 348dd: 0c27.*
+ 348de: 6ff7.*
+ 348df: 634b.*
+ 348e0: 0d27.*
+ 348e1: 6ff7.*
+ 348e2: 634c.*
+ 348e3: 0c27.*
+ 348e4: 6ff7.*
+ 348e5: 634d.*
+ 348e6: 0e27.*
+ 348e7: 6ff7.*
+ 348e8: 634e.*
+ 348e9: 0f27.*
+ 348ea: 6ff7.*
+ 348eb: 634f.*
+ 348ec: 0f27.*
+ 348ed: 6ff7.*
+ 348ee: 6350.*
+ 348ef: 0c28.*
+ 348f0: 6ff7.*
+ 348f1: 6351.*
+ 348f2: 0d28.*
+ 348f3: 6ff7.*
+ 348f4: 6352.*
+ 348f5: 0c28.*
+ 348f6: 6ff7.*
+ 348f7: 6353.*
+ 348f8: 0e28.*
+ 348f9: 6ff7.*
+ 348fa: 6354.*
+ 348fb: 0f28.*
+ 348fc: 6ff7.*
+ 348fd: 6355.*
+ 348fe: 0f28.*
+ 348ff: 6ff7.*
+ 34900: 6356.*
+ 34901: 0c29.*
+ 34902: 6ff7.*
+ 34903: 6357.*
+ 34904: 0d29.*
+ 34905: 6ff7.*
+ 34906: 6358.*
+ 34907: 0c29.*
+ 34908: 6ff7.*
+ 34909: 6359.*
+ 3490a: 0e29.*
+ 3490b: 6ff7.*
+ 3490c: 635a.*
+ 3490d: 0f29.*
+ 3490e: 6ff7.*
+ 3490f: 635b.*
+ 34910: 0f29.*
+ 34911: 6ff7.*
+ 34912: 635c.*
+ 34913: 0c2a.*
+ 34914: 6ff7.*
+ 34915: 635d.*
+ 34916: 0d2a.*
+ 34917: 6ff7.*
+ 34918: 635e.*
+ 34919: 0c2a.*
+ 3491a: 6ff7.*
+ 3491b: 635f.*
+ 3491c: 0e2a.*
+ 3491d: 6ff7.*
+ 3491e: 6360.*
+ 3491f: 0f2a.*
+ 34920: 6ff7.*
+ 34921: 6361.*
+ 34922: 0f2a.*
+ 34923: 6ff7.*
+ 34924: 6362.*
+ 34925: 0c2b.*
+ 34926: 6ff7.*
+ 34927: 6363.*
+ 34928: 0d2b.*
+ 34929: 6ff7.*
+ 3492a: 6364.*
+ 3492b: 0c2b.*
+ 3492c: 6ff7.*
+ 3492d: 6365.*
+ 3492e: 0e2b.*
+ 3492f: 6ff7.*
+ 34930: 6366.*
+ 34931: 0f2b.*
+ 34932: 6ff7.*
+ 34933: 6367.*
+ 34934: 0f2b.*
+ 34935: 6ff7.*
+ 34936: 6368.*
+ 34937: 0c2c.*
+ 34938: 6ff7.*
+ 34939: 6369.*
+ 3493a: 0d2c.*
+ 3493b: 6ff7.*
+ 3493c: 636a.*
+ 3493d: 0c2c.*
+ 3493e: 6ff7.*
+ 3493f: 636b.*
+ 34940: 0e2c.*
+ 34941: 6ff7.*
+ 34942: 636c.*
+ 34943: 0f2c.*
+ 34944: 6ff7.*
+ 34945: 636d.*
+ 34946: 0f2c.*
+ 34947: 6ff7.*
+ 34948: 636e.*
+ 34949: 0c2d.*
+ 3494a: 6ff7.*
+ 3494b: 636f.*
+ 3494c: 0d2d.*
+ 3494d: 6ff7.*
+ 3494e: 6370.*
+ 3494f: 0c2d.*
+ 34950: 6ff7.*
+ 34951: 6371.*
+ 34952: 0e2d.*
+ 34953: 6ff7.*
+ 34954: 6372.*
+ 34955: 0f2d.*
+ 34956: 6ff7.*
+ 34957: 6373.*
+ 34958: 0f2d.*
+ 34959: 6ff7.*
+ 3495a: 6374.*
+ 3495b: 0c2e.*
+ 3495c: 6ff7.*
+ 3495d: 6375.*
+ 3495e: 0d2e.*
+ 3495f: 6ff7.*
+ 34960: 6376.*
+ 34961: 0c2e.*
+ 34962: 6ff7.*
+ 34963: 6377.*
+ 34964: 0e2e.*
+ 34965: 6ff7.*
+ 34966: 6378.*
+ 34967: 0f2e.*
+ 34968: 6ff7.*
+ 34969: 6379.*
+ 3496a: 0f2e.*
+ 3496b: 6ff7.*
+ 3496c: 637a.*
+ 3496d: 0c2f.*
+ 3496e: 6ff7.*
+ 3496f: 637b.*
+ 34970: 0d2f.*
+ 34971: 6ff7.*
+ 34972: 637c.*
+ 34973: 0c2f.*
+ 34974: 6ff7.*
+ 34975: 637d.*
+ 34976: 0e2f.*
+ 34977: 6ff7.*
+ 34978: 637e.*
+ 34979: 0f2f.*
+ 3497a: 6ff7.*
+ 3497b: 637f.*
+ 3497c: 0f2f.*
+ 3497d: 08f8.*
+ 3497e: 6380.*
+ 3497f: 6ff8.*
+ 34980: 6381.*
+ 34981: 0d20.*
+ 34982: 08f8.*
+ 34983: 6382.*
+ 34984: 6ff8.*
+ 34985: 6383.*
+ 34986: 0e20.*
+ 34987: 09f8.*
+ 34988: 6384.*
+ 34989: 09f8.*
+ 3498a: 6385.*
+ 3498b: 6ff8.*
+ 3498c: 6386.*
+ 3498d: 0c30.*
+ 3498e: 6ff8.*
+ 3498f: 6387.*
+ 34990: 0d30.*
+ 34991: 6ff8.*
+ 34992: 6388.*
+ 34993: 0c30.*
+ 34994: 6ff8.*
+ 34995: 6389.*
+ 34996: 0e30.*
+ 34997: 6ff8.*
+ 34998: 638a.*
+ 34999: 0f30.*
+ 3499a: 6ff8.*
+ 3499b: 638b.*
+ 3499c: 0f30.*
+ 3499d: 6ff8.*
+ 3499e: 638c.*
+ 3499f: 0c31.*
+ 349a0: 6ff8.*
+ 349a1: 638d.*
+ 349a2: 0d31.*
+ 349a3: 6ff8.*
+ 349a4: 638e.*
+ 349a5: 0c31.*
+ 349a6: 6ff8.*
+ 349a7: 638f.*
+ 349a8: 0e31.*
+ 349a9: 6ff8.*
+ 349aa: 6390.*
+ 349ab: 0f31.*
+ 349ac: 6ff8.*
+ 349ad: 6391.*
+ 349ae: 0f31.*
+ 349af: 6ff8.*
+ 349b0: 6392.*
+ 349b1: 0c32.*
+ 349b2: 6ff8.*
+ 349b3: 6393.*
+ 349b4: 0d32.*
+ 349b5: 6ff8.*
+ 349b6: 6394.*
+ 349b7: 0c32.*
+ 349b8: 6ff8.*
+ 349b9: 6395.*
+ 349ba: 0e32.*
+ 349bb: 6ff8.*
+ 349bc: 6396.*
+ 349bd: 0f32.*
+ 349be: 6ff8.*
+ 349bf: 6397.*
+ 349c0: 0f32.*
+ 349c1: 6ff8.*
+ 349c2: 6398.*
+ 349c3: 0c33.*
+ 349c4: 6ff8.*
+ 349c5: 6399.*
+ 349c6: 0d33.*
+ 349c7: 6ff8.*
+ 349c8: 639a.*
+ 349c9: 0c33.*
+ 349ca: 6ff8.*
+ 349cb: 639b.*
+ 349cc: 0e33.*
+ 349cd: 6ff8.*
+ 349ce: 639c.*
+ 349cf: 0f33.*
+ 349d0: 6ff8.*
+ 349d1: 639d.*
+ 349d2: 0f33.*
+ 349d3: 6ff8.*
+ 349d4: 639e.*
+ 349d5: 0c34.*
+ 349d6: 6ff8.*
+ 349d7: 639f.*
+ 349d8: 0d34.*
+ 349d9: 6ff8.*
+ 349da: 63a0.*
+ 349db: 0c34.*
+ 349dc: 6ff8.*
+ 349dd: 63a1.*
+ 349de: 0e34.*
+ 349df: 6ff8.*
+ 349e0: 63a2.*
+ 349e1: 0f34.*
+ 349e2: 6ff8.*
+ 349e3: 63a3.*
+ 349e4: 0f34.*
+ 349e5: 6ff8.*
+ 349e6: 63a4.*
+ 349e7: 0c35.*
+ 349e8: 6ff8.*
+ 349e9: 63a5.*
+ 349ea: 0d35.*
+ 349eb: 6ff8.*
+ 349ec: 63a6.*
+ 349ed: 0c35.*
+ 349ee: 6ff8.*
+ 349ef: 63a7.*
+ 349f0: 0e35.*
+ 349f1: 6ff8.*
+ 349f2: 63a8.*
+ 349f3: 0f35.*
+ 349f4: 6ff8.*
+ 349f5: 63a9.*
+ 349f6: 0f35.*
+ 349f7: 6ff8.*
+ 349f8: 63aa.*
+ 349f9: 0c36.*
+ 349fa: 6ff8.*
+ 349fb: 63ab.*
+ 349fc: 0d36.*
+ 349fd: 6ff8.*
+ 349fe: 63ac.*
+ 349ff: 0c36.*
+ 34a00: 6ff8.*
+ 34a01: 63ad.*
+ 34a02: 0e36.*
+ 34a03: 6ff8.*
+ 34a04: 63ae.*
+ 34a05: 0f36.*
+ 34a06: 6ff8.*
+ 34a07: 63af.*
+ 34a08: 0f36.*
+ 34a09: 6ff8.*
+ 34a0a: 63b0.*
+ 34a0b: 0c37.*
+ 34a0c: 6ff8.*
+ 34a0d: 63b1.*
+ 34a0e: 0d37.*
+ 34a0f: 6ff8.*
+ 34a10: 63b2.*
+ 34a11: 0c37.*
+ 34a12: 6ff8.*
+ 34a13: 63b3.*
+ 34a14: 0e37.*
+ 34a15: 6ff8.*
+ 34a16: 63b4.*
+ 34a17: 0f37.*
+ 34a18: 6ff8.*
+ 34a19: 63b5.*
+ 34a1a: 0f37.*
+ 34a1b: 6ff8.*
+ 34a1c: 63b6.*
+ 34a1d: 0c38.*
+ 34a1e: 6ff8.*
+ 34a1f: 63b7.*
+ 34a20: 0d38.*
+ 34a21: 6ff8.*
+ 34a22: 63b8.*
+ 34a23: 0c38.*
+ 34a24: 6ff8.*
+ 34a25: 63b9.*
+ 34a26: 0e38.*
+ 34a27: 6ff8.*
+ 34a28: 63ba.*
+ 34a29: 0f38.*
+ 34a2a: 6ff8.*
+ 34a2b: 63bb.*
+ 34a2c: 0f38.*
+ 34a2d: 6ff8.*
+ 34a2e: 63bc.*
+ 34a2f: 0c39.*
+ 34a30: 6ff8.*
+ 34a31: 63bd.*
+ 34a32: 0d39.*
+ 34a33: 6ff8.*
+ 34a34: 63be.*
+ 34a35: 0c39.*
+ 34a36: 6ff8.*
+ 34a37: 63bf.*
+ 34a38: 0e39.*
+ 34a39: 6ff8.*
+ 34a3a: 63c0.*
+ 34a3b: 0f39.*
+ 34a3c: 6ff8.*
+ 34a3d: 63c1.*
+ 34a3e: 0f39.*
+ 34a3f: 6ff8.*
+ 34a40: 63c2.*
+ 34a41: 0c3a.*
+ 34a42: 6ff8.*
+ 34a43: 63c3.*
+ 34a44: 0d3a.*
+ 34a45: 6ff8.*
+ 34a46: 63c4.*
+ 34a47: 0c3a.*
+ 34a48: 6ff8.*
+ 34a49: 63c5.*
+ 34a4a: 0e3a.*
+ 34a4b: 6ff8.*
+ 34a4c: 63c6.*
+ 34a4d: 0f3a.*
+ 34a4e: 6ff8.*
+ 34a4f: 63c7.*
+ 34a50: 0f3a.*
+ 34a51: 6ff8.*
+ 34a52: 63c8.*
+ 34a53: 0c3b.*
+ 34a54: 6ff8.*
+ 34a55: 63c9.*
+ 34a56: 0d3b.*
+ 34a57: 6ff8.*
+ 34a58: 63ca.*
+ 34a59: 0c3b.*
+ 34a5a: 6ff8.*
+ 34a5b: 63cb.*
+ 34a5c: 0e3b.*
+ 34a5d: 6ff8.*
+ 34a5e: 63cc.*
+ 34a5f: 0f3b.*
+ 34a60: 6ff8.*
+ 34a61: 63cd.*
+ 34a62: 0f3b.*
+ 34a63: 6ff8.*
+ 34a64: 63ce.*
+ 34a65: 0c3c.*
+ 34a66: 6ff8.*
+ 34a67: 63cf.*
+ 34a68: 0d3c.*
+ 34a69: 6ff8.*
+ 34a6a: 63d0.*
+ 34a6b: 0c3c.*
+ 34a6c: 6ff8.*
+ 34a6d: 63d1.*
+ 34a6e: 0e3c.*
+ 34a6f: 6ff8.*
+ 34a70: 63d2.*
+ 34a71: 0f3c.*
+ 34a72: 6ff8.*
+ 34a73: 63d3.*
+ 34a74: 0f3c.*
+ 34a75: 6ff8.*
+ 34a76: 63d4.*
+ 34a77: 0c3d.*
+ 34a78: 6ff8.*
+ 34a79: 63d5.*
+ 34a7a: 0d3d.*
+ 34a7b: 6ff8.*
+ 34a7c: 63d6.*
+ 34a7d: 0c3d.*
+ 34a7e: 6ff8.*
+ 34a7f: 63d7.*
+ 34a80: 0e3d.*
+ 34a81: 6ff8.*
+ 34a82: 63d8.*
+ 34a83: 0f3d.*
+ 34a84: 6ff8.*
+ 34a85: 63d9.*
+ 34a86: 0f3d.*
+ 34a87: 6ff8.*
+ 34a88: 63da.*
+ 34a89: 0c3e.*
+ 34a8a: 6ff8.*
+ 34a8b: 63db.*
+ 34a8c: 0d3e.*
+ 34a8d: 6ff8.*
+ 34a8e: 63dc.*
+ 34a8f: 0c3e.*
+ 34a90: 6ff8.*
+ 34a91: 63dd.*
+ 34a92: 0e3e.*
+ 34a93: 6ff8.*
+ 34a94: 63de.*
+ 34a95: 0f3e.*
+ 34a96: 6ff8.*
+ 34a97: 63df.*
+ 34a98: 0f3e.*
+ 34a99: 6ff8.*
+ 34a9a: 63e0.*
+ 34a9b: 0c3f.*
+ 34a9c: 6ff8.*
+ 34a9d: 63e1.*
+ 34a9e: 0d3f.*
+ 34a9f: 6ff8.*
+ 34aa0: 63e2.*
+ 34aa1: 0c3f.*
+ 34aa2: 6ff8.*
+ 34aa3: 63e3.*
+ 34aa4: 0e3f.*
+ 34aa5: 6ff8.*
+ 34aa6: 63e4.*
+ 34aa7: 0f3f.*
+ 34aa8: 6ff8.*
+ 34aa9: 63e5.*
+ 34aaa: 0f3f.*
+ 34aab: 08f8.*
+ 34aac: 63e6.*
+ 34aad: 6ff8.*
+ 34aae: 63e7.*
+ 34aaf: 0d20.*
+ 34ab0: 08f8.*
+ 34ab1: 63e8.*
+ 34ab2: 6ff8.*
+ 34ab3: 63e9.*
+ 34ab4: 0e20.*
+ 34ab5: 09f8.*
+ 34ab6: 63ea.*
+ 34ab7: 09f8.*
+ 34ab8: 63eb.*
+ 34ab9: 6ff8.*
+ 34aba: 63ec.*
+ 34abb: 0c21.*
+ 34abc: 6ff8.*
+ 34abd: 63ed.*
+ 34abe: 0d21.*
+ 34abf: 6ff8.*
+ 34ac0: 63ee.*
+ 34ac1: 0c21.*
+ 34ac2: 6ff8.*
+ 34ac3: 63ef.*
+ 34ac4: 0e21.*
+ 34ac5: 6ff8.*
+ 34ac6: 63f0.*
+ 34ac7: 0f21.*
+ 34ac8: 6ff8.*
+ 34ac9: 63f1.*
+ 34aca: 0f21.*
+ 34acb: 6ff8.*
+ 34acc: 63f2.*
+ 34acd: 0c22.*
+ 34ace: 6ff8.*
+ 34acf: 63f3.*
+ 34ad0: 0d22.*
+ 34ad1: 6ff8.*
+ 34ad2: 63f4.*
+ 34ad3: 0c22.*
+ 34ad4: 6ff8.*
+ 34ad5: 63f5.*
+ 34ad6: 0e22.*
+ 34ad7: 6ff8.*
+ 34ad8: 63f6.*
+ 34ad9: 0f22.*
+ 34ada: 6ff8.*
+ 34adb: 63f7.*
+ 34adc: 0f22.*
+ 34add: 6ff8.*
+ 34ade: 63f8.*
+ 34adf: 0c23.*
+ 34ae0: 6ff8.*
+ 34ae1: 63f9.*
+ 34ae2: 0d23.*
+ 34ae3: 6ff8.*
+ 34ae4: 63fa.*
+ 34ae5: 0c23.*
+ 34ae6: 6ff8.*
+ 34ae7: 63fb.*
+ 34ae8: 0e23.*
+ 34ae9: 6ff8.*
+ 34aea: 63fc.*
+ 34aeb: 0f23.*
+ 34aec: 6ff8.*
+ 34aed: 63fd.*
+ 34aee: 0f23.*
+ 34aef: 6ff8.*
+ 34af0: 63fe.*
+ 34af1: 0c24.*
+ 34af2: 6ff8.*
+ 34af3: 63ff.*
+ 34af4: 0d24.*
+ 34af5: 6ff8.*
+ 34af6: 6400.*
+ 34af7: 0c24.*
+ 34af8: 6ff8.*
+ 34af9: 6401.*
+ 34afa: 0e24.*
+ 34afb: 6ff8.*
+ 34afc: 6402.*
+ 34afd: 0f24.*
+ 34afe: 6ff8.*
+ 34aff: 6403.*
+ 34b00: 0f24.*
+ 34b01: 6ff8.*
+ 34b02: 6404.*
+ 34b03: 0c25.*
+ 34b04: 6ff8.*
+ 34b05: 6405.*
+ 34b06: 0d25.*
+ 34b07: 6ff8.*
+ 34b08: 6406.*
+ 34b09: 0c25.*
+ 34b0a: 6ff8.*
+ 34b0b: 6407.*
+ 34b0c: 0e25.*
+ 34b0d: 6ff8.*
+ 34b0e: 6408.*
+ 34b0f: 0f25.*
+ 34b10: 6ff8.*
+ 34b11: 6409.*
+ 34b12: 0f25.*
+ 34b13: 6ff8.*
+ 34b14: 640a.*
+ 34b15: 0c26.*
+ 34b16: 6ff8.*
+ 34b17: 640b.*
+ 34b18: 0d26.*
+ 34b19: 6ff8.*
+ 34b1a: 640c.*
+ 34b1b: 0c26.*
+ 34b1c: 6ff8.*
+ 34b1d: 640d.*
+ 34b1e: 0e26.*
+ 34b1f: 6ff8.*
+ 34b20: 640e.*
+ 34b21: 0f26.*
+ 34b22: 6ff8.*
+ 34b23: 640f.*
+ 34b24: 0f26.*
+ 34b25: 6ff8.*
+ 34b26: 6410.*
+ 34b27: 0c27.*
+ 34b28: 6ff8.*
+ 34b29: 6411.*
+ 34b2a: 0d27.*
+ 34b2b: 6ff8.*
+ 34b2c: 6412.*
+ 34b2d: 0c27.*
+ 34b2e: 6ff8.*
+ 34b2f: 6413.*
+ 34b30: 0e27.*
+ 34b31: 6ff8.*
+ 34b32: 6414.*
+ 34b33: 0f27.*
+ 34b34: 6ff8.*
+ 34b35: 6415.*
+ 34b36: 0f27.*
+ 34b37: 6ff8.*
+ 34b38: 6416.*
+ 34b39: 0c28.*
+ 34b3a: 6ff8.*
+ 34b3b: 6417.*
+ 34b3c: 0d28.*
+ 34b3d: 6ff8.*
+ 34b3e: 6418.*
+ 34b3f: 0c28.*
+ 34b40: 6ff8.*
+ 34b41: 6419.*
+ 34b42: 0e28.*
+ 34b43: 6ff8.*
+ 34b44: 641a.*
+ 34b45: 0f28.*
+ 34b46: 6ff8.*
+ 34b47: 641b.*
+ 34b48: 0f28.*
+ 34b49: 6ff8.*
+ 34b4a: 641c.*
+ 34b4b: 0c29.*
+ 34b4c: 6ff8.*
+ 34b4d: 641d.*
+ 34b4e: 0d29.*
+ 34b4f: 6ff8.*
+ 34b50: 641e.*
+ 34b51: 0c29.*
+ 34b52: 6ff8.*
+ 34b53: 641f.*
+ 34b54: 0e29.*
+ 34b55: 6ff8.*
+ 34b56: 6420.*
+ 34b57: 0f29.*
+ 34b58: 6ff8.*
+ 34b59: 6421.*
+ 34b5a: 0f29.*
+ 34b5b: 6ff8.*
+ 34b5c: 6422.*
+ 34b5d: 0c2a.*
+ 34b5e: 6ff8.*
+ 34b5f: 6423.*
+ 34b60: 0d2a.*
+ 34b61: 6ff8.*
+ 34b62: 6424.*
+ 34b63: 0c2a.*
+ 34b64: 6ff8.*
+ 34b65: 6425.*
+ 34b66: 0e2a.*
+ 34b67: 6ff8.*
+ 34b68: 6426.*
+ 34b69: 0f2a.*
+ 34b6a: 6ff8.*
+ 34b6b: 6427.*
+ 34b6c: 0f2a.*
+ 34b6d: 6ff8.*
+ 34b6e: 6428.*
+ 34b6f: 0c2b.*
+ 34b70: 6ff8.*
+ 34b71: 6429.*
+ 34b72: 0d2b.*
+ 34b73: 6ff8.*
+ 34b74: 642a.*
+ 34b75: 0c2b.*
+ 34b76: 6ff8.*
+ 34b77: 642b.*
+ 34b78: 0e2b.*
+ 34b79: 6ff8.*
+ 34b7a: 642c.*
+ 34b7b: 0f2b.*
+ 34b7c: 6ff8.*
+ 34b7d: 642d.*
+ 34b7e: 0f2b.*
+ 34b7f: 6ff8.*
+ 34b80: 642e.*
+ 34b81: 0c2c.*
+ 34b82: 6ff8.*
+ 34b83: 642f.*
+ 34b84: 0d2c.*
+ 34b85: 6ff8.*
+ 34b86: 6430.*
+ 34b87: 0c2c.*
+ 34b88: 6ff8.*
+ 34b89: 6431.*
+ 34b8a: 0e2c.*
+ 34b8b: 6ff8.*
+ 34b8c: 6432.*
+ 34b8d: 0f2c.*
+ 34b8e: 6ff8.*
+ 34b8f: 6433.*
+ 34b90: 0f2c.*
+ 34b91: 6ff8.*
+ 34b92: 6434.*
+ 34b93: 0c2d.*
+ 34b94: 6ff8.*
+ 34b95: 6435.*
+ 34b96: 0d2d.*
+ 34b97: 6ff8.*
+ 34b98: 6436.*
+ 34b99: 0c2d.*
+ 34b9a: 6ff8.*
+ 34b9b: 6437.*
+ 34b9c: 0e2d.*
+ 34b9d: 6ff8.*
+ 34b9e: 6438.*
+ 34b9f: 0f2d.*
+ 34ba0: 6ff8.*
+ 34ba1: 6439.*
+ 34ba2: 0f2d.*
+ 34ba3: 6ff8.*
+ 34ba4: 643a.*
+ 34ba5: 0c2e.*
+ 34ba6: 6ff8.*
+ 34ba7: 643b.*
+ 34ba8: 0d2e.*
+ 34ba9: 6ff8.*
+ 34baa: 643c.*
+ 34bab: 0c2e.*
+ 34bac: 6ff8.*
+ 34bad: 643d.*
+ 34bae: 0e2e.*
+ 34baf: 6ff8.*
+ 34bb0: 643e.*
+ 34bb1: 0f2e.*
+ 34bb2: 6ff8.*
+ 34bb3: 643f.*
+ 34bb4: 0f2e.*
+ 34bb5: 6ff8.*
+ 34bb6: 6440.*
+ 34bb7: 0c2f.*
+ 34bb8: 6ff8.*
+ 34bb9: 6441.*
+ 34bba: 0d2f.*
+ 34bbb: 6ff8.*
+ 34bbc: 6442.*
+ 34bbd: 0c2f.*
+ 34bbe: 6ff8.*
+ 34bbf: 6443.*
+ 34bc0: 0e2f.*
+ 34bc1: 6ff8.*
+ 34bc2: 6444.*
+ 34bc3: 0f2f.*
+ 34bc4: 6ff8.*
+ 34bc5: 6445.*
+ 34bc6: 0f2f.*
+ 34bc7: 0880.*
+ 34bc8: 0980.*
+ 34bc9: 0888.*
+ 34bca: 0988.*
+ 34bcb: 0890.*
+ 34bcc: 0990.*
+ 34bcd: 08a0.*
+ 34bce: 09a0.*
+ 34bcf: 08a8.*
+ 34bd0: 09a8.*
+ 34bd1: 08b0.*
+ 34bd2: 09b0.*
+ 34bd3: 08b8.*
+ 34bd4: 09b8.*
+ 34bd5: 08c0.*
+ 34bd6: 09c0.*
+ 34bd7: 08c8.*
+ 34bd8: 09c8.*
+ 34bd9: 08d0.*
+ 34bda: 09d0.*
+ 34bdb: 08d8.*
+ 34bdc: 09d8.*
+ 34bdd: 08e0.*
+ 34bde: 6446.*
+ 34bdf: 09e0.*
+ 34be0: 6447.*
+ 34be1: 08e8.*
+ 34be2: 6448.*
+ 34be3: 09e8.*
+ 34be4: 6449.*
+ 34be5: 08f0.*
+ 34be6: 644a.*
+ 34be7: 09f0.*
+ 34be8: 644b.*
+ 34be9: 08f8.*
+ 34bea: 644c.*
+ 34beb: 09f8.*
+ 34bec: 644d.*
+ 34bed: 0881.*
+ 34bee: 0981.*
+ 34bef: 0889.*
+ 34bf0: 0989.*
+ 34bf1: 0891.*
+ 34bf2: 0991.*
+ 34bf3: 08a1.*
+ 34bf4: 09a1.*
+ 34bf5: 08a9.*
+ 34bf6: 09a9.*
+ 34bf7: 08b1.*
+ 34bf8: 09b1.*
+ 34bf9: 08b9.*
+ 34bfa: 09b9.*
+ 34bfb: 08c1.*
+ 34bfc: 09c1.*
+ 34bfd: 08c9.*
+ 34bfe: 09c9.*
+ 34bff: 08d1.*
+ 34c00: 09d1.*
+ 34c01: 08d9.*
+ 34c02: 09d9.*
+ 34c03: 08e1.*
+ 34c04: 644e.*
+ 34c05: 09e1.*
+ 34c06: 644f.*
+ 34c07: 08e9.*
+ 34c08: 6450.*
+ 34c09: 09e9.*
+ 34c0a: 6451.*
+ 34c0b: 08f1.*
+ 34c0c: 6452.*
+ 34c0d: 09f1.*
+ 34c0e: 6453.*
+ 34c0f: 08f8.*
+ 34c10: 6454.*
+ 34c11: 09f8.*
+ 34c12: 6455.*
+ 34c13: 0882.*
+ 34c14: 0982.*
+ 34c15: 088a.*
+ 34c16: 098a.*
+ 34c17: 0892.*
+ 34c18: 0992.*
+ 34c19: 08a2.*
+ 34c1a: 09a2.*
+ 34c1b: 08aa.*
+ 34c1c: 09aa.*
+ 34c1d: 08b2.*
+ 34c1e: 09b2.*
+ 34c1f: 08ba.*
+ 34c20: 09ba.*
+ 34c21: 08c2.*
+ 34c22: 09c2.*
+ 34c23: 08ca.*
+ 34c24: 09ca.*
+ 34c25: 08d2.*
+ 34c26: 09d2.*
+ 34c27: 08da.*
+ 34c28: 09da.*
+ 34c29: 08e2.*
+ 34c2a: 6456.*
+ 34c2b: 09e2.*
+ 34c2c: 6457.*
+ 34c2d: 08ea.*
+ 34c2e: 6458.*
+ 34c2f: 09ea.*
+ 34c30: 6459.*
+ 34c31: 08f2.*
+ 34c32: 645a.*
+ 34c33: 09f2.*
+ 34c34: 645b.*
+ 34c35: 08f8.*
+ 34c36: 645c.*
+ 34c37: 09f8.*
+ 34c38: 645d.*
+ 34c39: 0883.*
+ 34c3a: 0983.*
+ 34c3b: 088b.*
+ 34c3c: 098b.*
+ 34c3d: 0893.*
+ 34c3e: 0993.*
+ 34c3f: 08a3.*
+ 34c40: 09a3.*
+ 34c41: 08ab.*
+ 34c42: 09ab.*
+ 34c43: 08b3.*
+ 34c44: 09b3.*
+ 34c45: 08bb.*
+ 34c46: 09bb.*
+ 34c47: 08c3.*
+ 34c48: 09c3.*
+ 34c49: 08cb.*
+ 34c4a: 09cb.*
+ 34c4b: 08d3.*
+ 34c4c: 09d3.*
+ 34c4d: 08db.*
+ 34c4e: 09db.*
+ 34c4f: 08e3.*
+ 34c50: 645e.*
+ 34c51: 09e3.*
+ 34c52: 645f.*
+ 34c53: 08eb.*
+ 34c54: 6460.*
+ 34c55: 09eb.*
+ 34c56: 6461.*
+ 34c57: 08f3.*
+ 34c58: 6462.*
+ 34c59: 09f3.*
+ 34c5a: 6463.*
+ 34c5b: 08f8.*
+ 34c5c: 6464.*
+ 34c5d: 09f8.*
+ 34c5e: 6465.*
+ 34c5f: 0884.*
+ 34c60: 0984.*
+ 34c61: 088c.*
+ 34c62: 098c.*
+ 34c63: 0894.*
+ 34c64: 0994.*
+ 34c65: 08a4.*
+ 34c66: 09a4.*
+ 34c67: 08ac.*
+ 34c68: 09ac.*
+ 34c69: 08b4.*
+ 34c6a: 09b4.*
+ 34c6b: 08bc.*
+ 34c6c: 09bc.*
+ 34c6d: 08c4.*
+ 34c6e: 09c4.*
+ 34c6f: 08cc.*
+ 34c70: 09cc.*
+ 34c71: 08d4.*
+ 34c72: 09d4.*
+ 34c73: 08dc.*
+ 34c74: 09dc.*
+ 34c75: 08e4.*
+ 34c76: 6466.*
+ 34c77: 09e4.*
+ 34c78: 6467.*
+ 34c79: 08ec.*
+ 34c7a: 6468.*
+ 34c7b: 09ec.*
+ 34c7c: 6469.*
+ 34c7d: 08f4.*
+ 34c7e: 646a.*
+ 34c7f: 09f4.*
+ 34c80: 646b.*
+ 34c81: 08f8.*
+ 34c82: 646c.*
+ 34c83: 09f8.*
+ 34c84: 646d.*
+ 34c85: 0885.*
+ 34c86: 0985.*
+ 34c87: 088d.*
+ 34c88: 098d.*
+ 34c89: 0895.*
+ 34c8a: 0995.*
+ 34c8b: 08a5.*
+ 34c8c: 09a5.*
+ 34c8d: 08ad.*
+ 34c8e: 09ad.*
+ 34c8f: 08b5.*
+ 34c90: 09b5.*
+ 34c91: 08bd.*
+ 34c92: 09bd.*
+ 34c93: 08c5.*
+ 34c94: 09c5.*
+ 34c95: 08cd.*
+ 34c96: 09cd.*
+ 34c97: 08d5.*
+ 34c98: 09d5.*
+ 34c99: 08dd.*
+ 34c9a: 09dd.*
+ 34c9b: 08e5.*
+ 34c9c: 646e.*
+ 34c9d: 09e5.*
+ 34c9e: 646f.*
+ 34c9f: 08ed.*
+ 34ca0: 6470.*
+ 34ca1: 09ed.*
+ 34ca2: 6471.*
+ 34ca3: 08f5.*
+ 34ca4: 6472.*
+ 34ca5: 09f5.*
+ 34ca6: 6473.*
+ 34ca7: 08f8.*
+ 34ca8: 6474.*
+ 34ca9: 09f8.*
+ 34caa: 6475.*
+ 34cab: 0886.*
+ 34cac: 0986.*
+ 34cad: 088e.*
+ 34cae: 098e.*
+ 34caf: 0896.*
+ 34cb0: 0996.*
+ 34cb1: 08a6.*
+ 34cb2: 09a6.*
+ 34cb3: 08ae.*
+ 34cb4: 09ae.*
+ 34cb5: 08b6.*
+ 34cb6: 09b6.*
+ 34cb7: 08be.*
+ 34cb8: 09be.*
+ 34cb9: 08c6.*
+ 34cba: 09c6.*
+ 34cbb: 08ce.*
+ 34cbc: 09ce.*
+ 34cbd: 08d6.*
+ 34cbe: 09d6.*
+ 34cbf: 08de.*
+ 34cc0: 09de.*
+ 34cc1: 08e6.*
+ 34cc2: 6476.*
+ 34cc3: 09e6.*
+ 34cc4: 6477.*
+ 34cc5: 08ee.*
+ 34cc6: 6478.*
+ 34cc7: 09ee.*
+ 34cc8: 6479.*
+ 34cc9: 08f6.*
+ 34cca: 647a.*
+ 34ccb: 09f6.*
+ 34ccc: 647b.*
+ 34ccd: 08f8.*
+ 34cce: 647c.*
+ 34ccf: 09f8.*
+ 34cd0: 647d.*
+ 34cd1: 0887.*
+ 34cd2: 0987.*
+ 34cd3: 088f.*
+ 34cd4: 098f.*
+ 34cd5: 0897.*
+ 34cd6: 0997.*
+ 34cd7: 08a7.*
+ 34cd8: 09a7.*
+ 34cd9: 08af.*
+ 34cda: 09af.*
+ 34cdb: 08b7.*
+ 34cdc: 09b7.*
+ 34cdd: 08bf.*
+ 34cde: 09bf.*
+ 34cdf: 08c7.*
+ 34ce0: 09c7.*
+ 34ce1: 08cf.*
+ 34ce2: 09cf.*
+ 34ce3: 08d7.*
+ 34ce4: 09d7.*
+ 34ce5: 08df.*
+ 34ce6: 09df.*
+ 34ce7: 08e7.*
+ 34ce8: 647e.*
+ 34ce9: 09e7.*
+ 34cea: 647f.*
+ 34ceb: 08ef.*
+ 34cec: 6480.*
+ 34ced: 09ef.*
+ 34cee: 6481.*
+ 34cef: 08f7.*
+ 34cf0: 6482.*
+ 34cf1: 09f7.*
+ 34cf2: 6483.*
+ 34cf3: 08f8.*
+ 34cf4: 6484.*
+ 34cf5: 09f8.*
+ 34cf6: 6485.*
+ 34cf7: 0c64.*
+ 34cf8: 0d65.*
+ 34cf9: 0c80.*
+ 34cfa: 0d80.*
+ 34cfb: 0c88.*
+ 34cfc: 0d88.*
+ 34cfd: 0c90.*
+ 34cfe: 0d90.*
+ 34cff: 0ca0.*
+ 34d00: 0da0.*
+ 34d01: 0ca8.*
+ 34d02: 0da8.*
+ 34d03: 0cb0.*
+ 34d04: 0db0.*
+ 34d05: 0cb8.*
+ 34d06: 0db8.*
+ 34d07: 0cc0.*
+ 34d08: 0dc0.*
+ 34d09: 0cc8.*
+ 34d0a: 0dc8.*
+ 34d0b: 0cd0.*
+ 34d0c: 0dd0.*
+ 34d0d: 0cd8.*
+ 34d0e: 0dd8.*
+ 34d0f: 0ce0.*
+ 34d10: 6486.*
+ 34d11: 0de0.*
+ 34d12: 6487.*
+ 34d13: 0ce8.*
+ 34d14: 6488.*
+ 34d15: 0de8.*
+ 34d16: 6489.*
+ 34d17: 0cf0.*
+ 34d18: 648a.*
+ 34d19: 0df0.*
+ 34d1a: 648b.*
+ 34d1b: 0cf8.*
+ 34d1c: 648c.*
+ 34d1d: 0df8.*
+ 34d1e: 648d.*
+ 34d1f: 0c81.*
+ 34d20: 0d81.*
+ 34d21: 0c89.*
+ 34d22: 0d89.*
+ 34d23: 0c91.*
+ 34d24: 0d91.*
+ 34d25: 0ca1.*
+ 34d26: 0da1.*
+ 34d27: 0ca9.*
+ 34d28: 0da9.*
+ 34d29: 0cb1.*
+ 34d2a: 0db1.*
+ 34d2b: 0cb9.*
+ 34d2c: 0db9.*
+ 34d2d: 0cc1.*
+ 34d2e: 0dc1.*
+ 34d2f: 0cc9.*
+ 34d30: 0dc9.*
+ 34d31: 0cd1.*
+ 34d32: 0dd1.*
+ 34d33: 0cd9.*
+ 34d34: 0dd9.*
+ 34d35: 0ce1.*
+ 34d36: 648e.*
+ 34d37: 0de1.*
+ 34d38: 648f.*
+ 34d39: 0ce9.*
+ 34d3a: 6490.*
+ 34d3b: 0de9.*
+ 34d3c: 6491.*
+ 34d3d: 0cf1.*
+ 34d3e: 6492.*
+ 34d3f: 0df1.*
+ 34d40: 6493.*
+ 34d41: 0cf8.*
+ 34d42: 6494.*
+ 34d43: 0df8.*
+ 34d44: 6495.*
+ 34d45: 0c82.*
+ 34d46: 0d82.*
+ 34d47: 0c8a.*
+ 34d48: 0d8a.*
+ 34d49: 0c92.*
+ 34d4a: 0d92.*
+ 34d4b: 0ca2.*
+ 34d4c: 0da2.*
+ 34d4d: 0caa.*
+ 34d4e: 0daa.*
+ 34d4f: 0cb2.*
+ 34d50: 0db2.*
+ 34d51: 0cba.*
+ 34d52: 0dba.*
+ 34d53: 0cc2.*
+ 34d54: 0dc2.*
+ 34d55: 0cca.*
+ 34d56: 0dca.*
+ 34d57: 0cd2.*
+ 34d58: 0dd2.*
+ 34d59: 0cda.*
+ 34d5a: 0dda.*
+ 34d5b: 0ce2.*
+ 34d5c: 6496.*
+ 34d5d: 0de2.*
+ 34d5e: 6497.*
+ 34d5f: 0cea.*
+ 34d60: 6498.*
+ 34d61: 0dea.*
+ 34d62: 6499.*
+ 34d63: 0cf2.*
+ 34d64: 649a.*
+ 34d65: 0df2.*
+ 34d66: 649b.*
+ 34d67: 0cf8.*
+ 34d68: 649c.*
+ 34d69: 0df8.*
+ 34d6a: 649d.*
+ 34d6b: 0c83.*
+ 34d6c: 0d83.*
+ 34d6d: 0c8b.*
+ 34d6e: 0d8b.*
+ 34d6f: 0c93.*
+ 34d70: 0d93.*
+ 34d71: 0ca3.*
+ 34d72: 0da3.*
+ 34d73: 0cab.*
+ 34d74: 0dab.*
+ 34d75: 0cb3.*
+ 34d76: 0db3.*
+ 34d77: 0cbb.*
+ 34d78: 0dbb.*
+ 34d79: 0cc3.*
+ 34d7a: 0dc3.*
+ 34d7b: 0ccb.*
+ 34d7c: 0dcb.*
+ 34d7d: 0cd3.*
+ 34d7e: 0dd3.*
+ 34d7f: 0cdb.*
+ 34d80: 0ddb.*
+ 34d81: 0ce3.*
+ 34d82: 649e.*
+ 34d83: 0de3.*
+ 34d84: 649f.*
+ 34d85: 0ceb.*
+ 34d86: 64a0.*
+ 34d87: 0deb.*
+ 34d88: 64a1.*
+ 34d89: 0cf3.*
+ 34d8a: 64a2.*
+ 34d8b: 0df3.*
+ 34d8c: 64a3.*
+ 34d8d: 0cf8.*
+ 34d8e: 64a4.*
+ 34d8f: 0df8.*
+ 34d90: 64a5.*
+ 34d91: 0c84.*
+ 34d92: 0d84.*
+ 34d93: 0c8c.*
+ 34d94: 0d8c.*
+ 34d95: 0c94.*
+ 34d96: 0d94.*
+ 34d97: 0ca4.*
+ 34d98: 0da4.*
+ 34d99: 0cac.*
+ 34d9a: 0dac.*
+ 34d9b: 0cb4.*
+ 34d9c: 0db4.*
+ 34d9d: 0cbc.*
+ 34d9e: 0dbc.*
+ 34d9f: 0cc4.*
+ 34da0: 0dc4.*
+ 34da1: 0ccc.*
+ 34da2: 0dcc.*
+ 34da3: 0cd4.*
+ 34da4: 0dd4.*
+ 34da5: 0cdc.*
+ 34da6: 0ddc.*
+ 34da7: 0ce4.*
+ 34da8: 64a6.*
+ 34da9: 0de4.*
+ 34daa: 64a7.*
+ 34dab: 0cec.*
+ 34dac: 64a8.*
+ 34dad: 0dec.*
+ 34dae: 64a9.*
+ 34daf: 0cf4.*
+ 34db0: 64aa.*
+ 34db1: 0df4.*
+ 34db2: 64ab.*
+ 34db3: 0cf8.*
+ 34db4: 64ac.*
+ 34db5: 0df8.*
+ 34db6: 64ad.*
+ 34db7: 0c85.*
+ 34db8: 0d85.*
+ 34db9: 0c8d.*
+ 34dba: 0d8d.*
+ 34dbb: 0c95.*
+ 34dbc: 0d95.*
+ 34dbd: 0ca5.*
+ 34dbe: 0da5.*
+ 34dbf: 0cad.*
+ 34dc0: 0dad.*
+ 34dc1: 0cb5.*
+ 34dc2: 0db5.*
+ 34dc3: 0cbd.*
+ 34dc4: 0dbd.*
+ 34dc5: 0cc5.*
+ 34dc6: 0dc5.*
+ 34dc7: 0ccd.*
+ 34dc8: 0dcd.*
+ 34dc9: 0cd5.*
+ 34dca: 0dd5.*
+ 34dcb: 0cdd.*
+ 34dcc: 0ddd.*
+ 34dcd: 0ce5.*
+ 34dce: 64ae.*
+ 34dcf: 0de5.*
+ 34dd0: 64af.*
+ 34dd1: 0ced.*
+ 34dd2: 64b0.*
+ 34dd3: 0ded.*
+ 34dd4: 64b1.*
+ 34dd5: 0cf5.*
+ 34dd6: 64b2.*
+ 34dd7: 0df5.*
+ 34dd8: 64b3.*
+ 34dd9: 0cf8.*
+ 34dda: 64b4.*
+ 34ddb: 0df8.*
+ 34ddc: 64b5.*
+ 34ddd: 0c86.*
+ 34dde: 0d86.*
+ 34ddf: 0c8e.*
+ 34de0: 0d8e.*
+ 34de1: 0c96.*
+ 34de2: 0d96.*
+ 34de3: 0ca6.*
+ 34de4: 0da6.*
+ 34de5: 0cae.*
+ 34de6: 0dae.*
+ 34de7: 0cb6.*
+ 34de8: 0db6.*
+ 34de9: 0cbe.*
+ 34dea: 0dbe.*
+ 34deb: 0cc6.*
+ 34dec: 0dc6.*
+ 34ded: 0cce.*
+ 34dee: 0dce.*
+ 34def: 0cd6.*
+ 34df0: 0dd6.*
+ 34df1: 0cde.*
+ 34df2: 0dde.*
+ 34df3: 0ce6.*
+ 34df4: 64b6.*
+ 34df5: 0de6.*
+ 34df6: 64b7.*
+ 34df7: 0cee.*
+ 34df8: 64b8.*
+ 34df9: 0dee.*
+ 34dfa: 64b9.*
+ 34dfb: 0cf6.*
+ 34dfc: 64ba.*
+ 34dfd: 0df6.*
+ 34dfe: 64bb.*
+ 34dff: 0cf8.*
+ 34e00: 64bc.*
+ 34e01: 0df8.*
+ 34e02: 64bd.*
+ 34e03: 0c87.*
+ 34e04: 0d87.*
+ 34e05: 0c8f.*
+ 34e06: 0d8f.*
+ 34e07: 0c97.*
+ 34e08: 0d97.*
+ 34e09: 0ca7.*
+ 34e0a: 0da7.*
+ 34e0b: 0caf.*
+ 34e0c: 0daf.*
+ 34e0d: 0cb7.*
+ 34e0e: 0db7.*
+ 34e0f: 0cbf.*
+ 34e10: 0dbf.*
+ 34e11: 0cc7.*
+ 34e12: 0dc7.*
+ 34e13: 0ccf.*
+ 34e14: 0dcf.*
+ 34e15: 0cd7.*
+ 34e16: 0dd7.*
+ 34e17: 0cdf.*
+ 34e18: 0ddf.*
+ 34e19: 0ce7.*
+ 34e1a: 64be.*
+ 34e1b: 0de7.*
+ 34e1c: 64bf.*
+ 34e1d: 0cef.*
+ 34e1e: 64c0.*
+ 34e1f: 0def.*
+ 34e20: 64c1.*
+ 34e21: 0cf7.*
+ 34e22: 64c2.*
+ 34e23: 0df7.*
+ 34e24: 64c3.*
+ 34e25: 0cf8.*
+ 34e26: 64c4.*
+ 34e27: 0df8.*
+ 34e28: 64c5.*
+ 34e29: 0882.*
+ 34e2a: 0982.*
+ 34e2b: 9201.*
+ 34e2c: 9301.*
+ 34e2d: 9202.*
+ 34e2e: 9302.*
+ 34e2f: 9203.*
+ 34e30: 9303.*
+ 34e31: 9204.*
+ 34e32: 9304.*
+ 34e33: 9205.*
+ 34e34: 9305.*
+ 34e35: 9206.*
+ 34e36: 9306.*
+ 34e37: 9207.*
+ 34e38: 9307.*
+ 34e39: 9208.*
+ 34e3a: 9308.*
+ 34e3b: 9209.*
+ 34e3c: 9309.*
+ 34e3d: 920a.*
+ 34e3e: 930a.*
+ 34e3f: 920b.*
+ 34e40: 930b.*
+ 34e41: 920c.*
+ 34e42: 930c.*
+ 34e43: 920d.*
+ 34e44: 930d.*
+ 34e45: 920e.*
+ 34e46: 930e.*
+ 34e47: 920f.*
+ 34e48: 930f.*
+ 34e49: 088a.*
+ 34e4a: 098a.*
+ 34e4b: 9241.*
+ 34e4c: 9341.*
+ 34e4d: 9242.*
+ 34e4e: 9342.*
+ 34e4f: 9243.*
+ 34e50: 9343.*
+ 34e51: 9244.*
+ 34e52: 9344.*
+ 34e53: 9245.*
+ 34e54: 9345.*
+ 34e55: 9246.*
+ 34e56: 9346.*
+ 34e57: 9247.*
+ 34e58: 9347.*
+ 34e59: 9248.*
+ 34e5a: 9348.*
+ 34e5b: 9249.*
+ 34e5c: 9349.*
+ 34e5d: 924a.*
+ 34e5e: 934a.*
+ 34e5f: 924b.*
+ 34e60: 934b.*
+ 34e61: 924c.*
+ 34e62: 934c.*
+ 34e63: 924d.*
+ 34e64: 934d.*
+ 34e65: 924e.*
+ 34e66: 934e.*
+ 34e67: 924f.*
+ 34e68: 934f.*
+ 34e69: 0892.*
+ 34e6a: 0992.*
+ 34e6b: 9281.*
+ 34e6c: 9381.*
+ 34e6d: 9282.*
+ 34e6e: 9382.*
+ 34e6f: 9283.*
+ 34e70: 9383.*
+ 34e71: 9284.*
+ 34e72: 9384.*
+ 34e73: 9285.*
+ 34e74: 9385.*
+ 34e75: 9286.*
+ 34e76: 9386.*
+ 34e77: 9287.*
+ 34e78: 9387.*
+ 34e79: 9288.*
+ 34e7a: 9388.*
+ 34e7b: 9289.*
+ 34e7c: 9389.*
+ 34e7d: 928a.*
+ 34e7e: 938a.*
+ 34e7f: 928b.*
+ 34e80: 938b.*
+ 34e81: 928c.*
+ 34e82: 938c.*
+ 34e83: 928d.*
+ 34e84: 938d.*
+ 34e85: 928e.*
+ 34e86: 938e.*
+ 34e87: 928f.*
+ 34e88: 938f.*
+ 34e89: 08da.*
+ 34e8a: 09da.*
+ 34e8b: 92c1.*
+ 34e8c: 93c1.*
+ 34e8d: 92c2.*
+ 34e8e: 93c2.*
+ 34e8f: 92c3.*
+ 34e90: 93c3.*
+ 34e91: 92c4.*
+ 34e92: 93c4.*
+ 34e93: 92c5.*
+ 34e94: 93c5.*
+ 34e95: 92c6.*
+ 34e96: 93c6.*
+ 34e97: 92c7.*
+ 34e98: 93c7.*
+ 34e99: 92c8.*
+ 34e9a: 93c8.*
+ 34e9b: 92c9.*
+ 34e9c: 93c9.*
+ 34e9d: 92ca.*
+ 34e9e: 93ca.*
+ 34e9f: 92cb.*
+ 34ea0: 93cb.*
+ 34ea1: 92cc.*
+ 34ea2: 93cc.*
+ 34ea3: 92cd.*
+ 34ea4: 93cd.*
+ 34ea5: 92ce.*
+ 34ea6: 93ce.*
+ 34ea7: 92cf.*
+ 34ea8: 93cf.*
+ 34ea9: 0883.*
+ 34eaa: 0983.*
+ 34eab: 9211.*
+ 34eac: 9311.*
+ 34ead: 9212.*
+ 34eae: 9312.*
+ 34eaf: 9213.*
+ 34eb0: 9313.*
+ 34eb1: 9214.*
+ 34eb2: 9314.*
+ 34eb3: 9215.*
+ 34eb4: 9315.*
+ 34eb5: 9216.*
+ 34eb6: 9316.*
+ 34eb7: 9217.*
+ 34eb8: 9317.*
+ 34eb9: 9218.*
+ 34eba: 9318.*
+ 34ebb: 9219.*
+ 34ebc: 9319.*
+ 34ebd: 921a.*
+ 34ebe: 931a.*
+ 34ebf: 921b.*
+ 34ec0: 931b.*
+ 34ec1: 921c.*
+ 34ec2: 931c.*
+ 34ec3: 921d.*
+ 34ec4: 931d.*
+ 34ec5: 921e.*
+ 34ec6: 931e.*
+ 34ec7: 921f.*
+ 34ec8: 931f.*
+ 34ec9: 088b.*
+ 34eca: 098b.*
+ 34ecb: 9251.*
+ 34ecc: 9351.*
+ 34ecd: 9252.*
+ 34ece: 9352.*
+ 34ecf: 9253.*
+ 34ed0: 9353.*
+ 34ed1: 9254.*
+ 34ed2: 9354.*
+ 34ed3: 9255.*
+ 34ed4: 9355.*
+ 34ed5: 9256.*
+ 34ed6: 9356.*
+ 34ed7: 9257.*
+ 34ed8: 9357.*
+ 34ed9: 9258.*
+ 34eda: 9358.*
+ 34edb: 9259.*
+ 34edc: 9359.*
+ 34edd: 925a.*
+ 34ede: 935a.*
+ 34edf: 925b.*
+ 34ee0: 935b.*
+ 34ee1: 925c.*
+ 34ee2: 935c.*
+ 34ee3: 925d.*
+ 34ee4: 935d.*
+ 34ee5: 925e.*
+ 34ee6: 935e.*
+ 34ee7: 925f.*
+ 34ee8: 935f.*
+ 34ee9: 0893.*
+ 34eea: 0993.*
+ 34eeb: 9291.*
+ 34eec: 9391.*
+ 34eed: 9292.*
+ 34eee: 9392.*
+ 34eef: 9293.*
+ 34ef0: 9393.*
+ 34ef1: 9294.*
+ 34ef2: 9394.*
+ 34ef3: 9295.*
+ 34ef4: 9395.*
+ 34ef5: 9296.*
+ 34ef6: 9396.*
+ 34ef7: 9297.*
+ 34ef8: 9397.*
+ 34ef9: 9298.*
+ 34efa: 9398.*
+ 34efb: 9299.*
+ 34efc: 9399.*
+ 34efd: 929a.*
+ 34efe: 939a.*
+ 34eff: 929b.*
+ 34f00: 939b.*
+ 34f01: 929c.*
+ 34f02: 939c.*
+ 34f03: 929d.*
+ 34f04: 939d.*
+ 34f05: 929e.*
+ 34f06: 939e.*
+ 34f07: 929f.*
+ 34f08: 939f.*
+ 34f09: 08db.*
+ 34f0a: 09db.*
+ 34f0b: 92d1.*
+ 34f0c: 93d1.*
+ 34f0d: 92d2.*
+ 34f0e: 93d2.*
+ 34f0f: 92d3.*
+ 34f10: 93d3.*
+ 34f11: 92d4.*
+ 34f12: 93d4.*
+ 34f13: 92d5.*
+ 34f14: 93d5.*
+ 34f15: 92d6.*
+ 34f16: 93d6.*
+ 34f17: 92d7.*
+ 34f18: 93d7.*
+ 34f19: 92d8.*
+ 34f1a: 93d8.*
+ 34f1b: 92d9.*
+ 34f1c: 93d9.*
+ 34f1d: 92da.*
+ 34f1e: 93da.*
+ 34f1f: 92db.*
+ 34f20: 93db.*
+ 34f21: 92dc.*
+ 34f22: 93dc.*
+ 34f23: 92dd.*
+ 34f24: 93dd.*
+ 34f25: 92de.*
+ 34f26: 93de.*
+ 34f27: 92df.*
+ 34f28: 93df.*
+ 34f29: 0884.*
+ 34f2a: 0984.*
+ 34f2b: 9221.*
+ 34f2c: 9321.*
+ 34f2d: 9222.*
+ 34f2e: 9322.*
+ 34f2f: 9223.*
+ 34f30: 9323.*
+ 34f31: 9224.*
+ 34f32: 9324.*
+ 34f33: 9225.*
+ 34f34: 9325.*
+ 34f35: 9226.*
+ 34f36: 9326.*
+ 34f37: 9227.*
+ 34f38: 9327.*
+ 34f39: 9228.*
+ 34f3a: 9328.*
+ 34f3b: 9229.*
+ 34f3c: 9329.*
+ 34f3d: 922a.*
+ 34f3e: 932a.*
+ 34f3f: 922b.*
+ 34f40: 932b.*
+ 34f41: 922c.*
+ 34f42: 932c.*
+ 34f43: 922d.*
+ 34f44: 932d.*
+ 34f45: 922e.*
+ 34f46: 932e.*
+ 34f47: 922f.*
+ 34f48: 932f.*
+ 34f49: 088c.*
+ 34f4a: 098c.*
+ 34f4b: 9261.*
+ 34f4c: 9361.*
+ 34f4d: 9262.*
+ 34f4e: 9362.*
+ 34f4f: 9263.*
+ 34f50: 9363.*
+ 34f51: 9264.*
+ 34f52: 9364.*
+ 34f53: 9265.*
+ 34f54: 9365.*
+ 34f55: 9266.*
+ 34f56: 9366.*
+ 34f57: 9267.*
+ 34f58: 9367.*
+ 34f59: 9268.*
+ 34f5a: 9368.*
+ 34f5b: 9269.*
+ 34f5c: 9369.*
+ 34f5d: 926a.*
+ 34f5e: 936a.*
+ 34f5f: 926b.*
+ 34f60: 936b.*
+ 34f61: 926c.*
+ 34f62: 936c.*
+ 34f63: 926d.*
+ 34f64: 936d.*
+ 34f65: 926e.*
+ 34f66: 936e.*
+ 34f67: 926f.*
+ 34f68: 936f.*
+ 34f69: 0894.*
+ 34f6a: 0994.*
+ 34f6b: 92a1.*
+ 34f6c: 93a1.*
+ 34f6d: 92a2.*
+ 34f6e: 93a2.*
+ 34f6f: 92a3.*
+ 34f70: 93a3.*
+ 34f71: 92a4.*
+ 34f72: 93a4.*
+ 34f73: 92a5.*
+ 34f74: 93a5.*
+ 34f75: 92a6.*
+ 34f76: 93a6.*
+ 34f77: 92a7.*
+ 34f78: 93a7.*
+ 34f79: 92a8.*
+ 34f7a: 93a8.*
+ 34f7b: 92a9.*
+ 34f7c: 93a9.*
+ 34f7d: 92aa.*
+ 34f7e: 93aa.*
+ 34f7f: 92ab.*
+ 34f80: 93ab.*
+ 34f81: 92ac.*
+ 34f82: 93ac.*
+ 34f83: 92ad.*
+ 34f84: 93ad.*
+ 34f85: 92ae.*
+ 34f86: 93ae.*
+ 34f87: 92af.*
+ 34f88: 93af.*
+ 34f89: 08dc.*
+ 34f8a: 09dc.*
+ 34f8b: 92e1.*
+ 34f8c: 93e1.*
+ 34f8d: 92e2.*
+ 34f8e: 93e2.*
+ 34f8f: 92e3.*
+ 34f90: 93e3.*
+ 34f91: 92e4.*
+ 34f92: 93e4.*
+ 34f93: 92e5.*
+ 34f94: 93e5.*
+ 34f95: 92e6.*
+ 34f96: 93e6.*
+ 34f97: 92e7.*
+ 34f98: 93e7.*
+ 34f99: 92e8.*
+ 34f9a: 93e8.*
+ 34f9b: 92e9.*
+ 34f9c: 93e9.*
+ 34f9d: 92ea.*
+ 34f9e: 93ea.*
+ 34f9f: 92eb.*
+ 34fa0: 93eb.*
+ 34fa1: 92ec.*
+ 34fa2: 93ec.*
+ 34fa3: 92ed.*
+ 34fa4: 93ed.*
+ 34fa5: 92ee.*
+ 34fa6: 93ee.*
+ 34fa7: 92ef.*
+ 34fa8: 93ef.*
+ 34fa9: 0885.*
+ 34faa: 0985.*
+ 34fab: 9231.*
+ 34fac: 9331.*
+ 34fad: 9232.*
+ 34fae: 9332.*
+ 34faf: 9233.*
+ 34fb0: 9333.*
+ 34fb1: 9234.*
+ 34fb2: 9334.*
+ 34fb3: 9235.*
+ 34fb4: 9335.*
+ 34fb5: 9236.*
+ 34fb6: 9336.*
+ 34fb7: 9237.*
+ 34fb8: 9337.*
+ 34fb9: 9238.*
+ 34fba: 9338.*
+ 34fbb: 9239.*
+ 34fbc: 9339.*
+ 34fbd: 923a.*
+ 34fbe: 933a.*
+ 34fbf: 923b.*
+ 34fc0: 933b.*
+ 34fc1: 923c.*
+ 34fc2: 933c.*
+ 34fc3: 923d.*
+ 34fc4: 933d.*
+ 34fc5: 923e.*
+ 34fc6: 933e.*
+ 34fc7: 923f.*
+ 34fc8: 933f.*
+ 34fc9: 088d.*
+ 34fca: 098d.*
+ 34fcb: 9271.*
+ 34fcc: 9371.*
+ 34fcd: 9272.*
+ 34fce: 9372.*
+ 34fcf: 9273.*
+ 34fd0: 9373.*
+ 34fd1: 9274.*
+ 34fd2: 9374.*
+ 34fd3: 9275.*
+ 34fd4: 9375.*
+ 34fd5: 9276.*
+ 34fd6: 9376.*
+ 34fd7: 9277.*
+ 34fd8: 9377.*
+ 34fd9: 9278.*
+ 34fda: 9378.*
+ 34fdb: 9279.*
+ 34fdc: 9379.*
+ 34fdd: 927a.*
+ 34fde: 937a.*
+ 34fdf: 927b.*
+ 34fe0: 937b.*
+ 34fe1: 927c.*
+ 34fe2: 937c.*
+ 34fe3: 927d.*
+ 34fe4: 937d.*
+ 34fe5: 927e.*
+ 34fe6: 937e.*
+ 34fe7: 927f.*
+ 34fe8: 937f.*
+ 34fe9: 0895.*
+ 34fea: 0995.*
+ 34feb: 92b1.*
+ 34fec: 93b1.*
+ 34fed: 92b2.*
+ 34fee: 93b2.*
+ 34fef: 92b3.*
+ 34ff0: 93b3.*
+ 34ff1: 92b4.*
+ 34ff2: 93b4.*
+ 34ff3: 92b5.*
+ 34ff4: 93b5.*
+ 34ff5: 92b6.*
+ 34ff6: 93b6.*
+ 34ff7: 92b7.*
+ 34ff8: 93b7.*
+ 34ff9: 92b8.*
+ 34ffa: 93b8.*
+ 34ffb: 92b9.*
+ 34ffc: 93b9.*
+ 34ffd: 92ba.*
+ 34ffe: 93ba.*
+ 34fff: 92bb.*
+ 35000: 93bb.*
+ 35001: 92bc.*
+ 35002: 93bc.*
+ 35003: 92bd.*
+ 35004: 93bd.*
+ 35005: 92be.*
+ 35006: 93be.*
+ 35007: 92bf.*
+ 35008: 93bf.*
+ 35009: 08dd.*
+ 3500a: 09dd.*
+ 3500b: 92f1.*
+ 3500c: 93f1.*
+ 3500d: 92f2.*
+ 3500e: 93f2.*
+ 3500f: 92f3.*
+ 35010: 93f3.*
+ 35011: 92f4.*
+ 35012: 93f4.*
+ 35013: 92f5.*
+ 35014: 93f5.*
+ 35015: 92f6.*
+ 35016: 93f6.*
+ 35017: 92f7.*
+ 35018: 93f7.*
+ 35019: 92f8.*
+ 3501a: 93f8.*
+ 3501b: 92f9.*
+ 3501c: 93f9.*
+ 3501d: 92fa.*
+ 3501e: 93fa.*
+ 3501f: 92fb.*
+ 35020: 93fb.*
+ 35021: 92fc.*
+ 35022: 93fc.*
+ 35023: 92fd.*
+ 35024: 93fd.*
+ 35025: 92fe.*
+ 35026: 93fe.*
+ 35027: 92ff.*
+ 35028: 93ff.*
+ 35029: a200.*
+ 3502a: a300.*
+ 3502b: a204.*
+ 3502c: a304.*
+ 3502d: a208.*
+ 3502e: a308.*
+ 3502f: a20c.*
+ 35030: a30c.*
+ 35031: a201.*
+ 35032: a301.*
+ 35033: a205.*
+ 35034: a305.*
+ 35035: a209.*
+ 35036: a309.*
+ 35037: a20d.*
+ 35038: a30d.*
+ 35039: a202.*
+ 3503a: a302.*
+ 3503b: a206.*
+ 3503c: a306.*
+ 3503d: a20a.*
+ 3503e: a30a.*
+ 3503f: a20e.*
+ 35040: a30e.*
+ 35041: a203.*
+ 35042: a303.*
+ 35043: a207.*
+ 35044: a307.*
+ 35045: a20b.*
+ 35046: a30b.*
+ 35047: a20f.*
+ 35048: a30f.*
+ 35049: a240.*
+ 3504a: a340.*
+ 3504b: a244.*
+ 3504c: a344.*
+ 3504d: a248.*
+ 3504e: a348.*
+ 3504f: a24c.*
+ 35050: a34c.*
+ 35051: a241.*
+ 35052: a341.*
+ 35053: a245.*
+ 35054: a345.*
+ 35055: a249.*
+ 35056: a349.*
+ 35057: a24d.*
+ 35058: a34d.*
+ 35059: a242.*
+ 3505a: a342.*
+ 3505b: a246.*
+ 3505c: a346.*
+ 3505d: a24a.*
+ 3505e: a34a.*
+ 3505f: a24e.*
+ 35060: a34e.*
+ 35061: a243.*
+ 35062: a343.*
+ 35063: a247.*
+ 35064: a347.*
+ 35065: a24b.*
+ 35066: a34b.*
+ 35067: a24f.*
+ 35068: a34f.*
+ 35069: a280.*
+ 3506a: a380.*
+ 3506b: a284.*
+ 3506c: a384.*
+ 3506d: a288.*
+ 3506e: a388.*
+ 3506f: a28c.*
+ 35070: a38c.*
+ 35071: a281.*
+ 35072: a381.*
+ 35073: a285.*
+ 35074: a385.*
+ 35075: a289.*
+ 35076: a389.*
+ 35077: a28d.*
+ 35078: a38d.*
+ 35079: a282.*
+ 3507a: a382.*
+ 3507b: a286.*
+ 3507c: a386.*
+ 3507d: a28a.*
+ 3507e: a38a.*
+ 3507f: a28e.*
+ 35080: a38e.*
+ 35081: a283.*
+ 35082: a383.*
+ 35083: a287.*
+ 35084: a387.*
+ 35085: a28b.*
+ 35086: a38b.*
+ 35087: a28f.*
+ 35088: a38f.*
+ 35089: a2c0.*
+ 3508a: a3c0.*
+ 3508b: a2c4.*
+ 3508c: a3c4.*
+ 3508d: a2c8.*
+ 3508e: a3c8.*
+ 3508f: a2cc.*
+ 35090: a3cc.*
+ 35091: a2c1.*
+ 35092: a3c1.*
+ 35093: a2c5.*
+ 35094: a3c5.*
+ 35095: a2c9.*
+ 35096: a3c9.*
+ 35097: a2cd.*
+ 35098: a3cd.*
+ 35099: a2c2.*
+ 3509a: a3c2.*
+ 3509b: a2c6.*
+ 3509c: a3c6.*
+ 3509d: a2ca.*
+ 3509e: a3ca.*
+ 3509f: a2ce.*
+ 350a0: a3ce.*
+ 350a1: a2c3.*
+ 350a2: a3c3.*
+ 350a3: a2c7.*
+ 350a4: a3c7.*
+ 350a5: a2cb.*
+ 350a6: a3cb.*
+ 350a7: a2cf.*
+ 350a8: a3cf.*
+ 350a9: a210.*
+ 350aa: a310.*
+ 350ab: a214.*
+ 350ac: a314.*
+ 350ad: a218.*
+ 350ae: a318.*
+ 350af: a21c.*
+ 350b0: a31c.*
+ 350b1: a211.*
+ 350b2: a311.*
+ 350b3: a215.*
+ 350b4: a315.*
+ 350b5: a219.*
+ 350b6: a319.*
+ 350b7: a21d.*
+ 350b8: a31d.*
+ 350b9: a212.*
+ 350ba: a312.*
+ 350bb: a216.*
+ 350bc: a316.*
+ 350bd: a21a.*
+ 350be: a31a.*
+ 350bf: a21e.*
+ 350c0: a31e.*
+ 350c1: a213.*
+ 350c2: a313.*
+ 350c3: a217.*
+ 350c4: a317.*
+ 350c5: a21b.*
+ 350c6: a31b.*
+ 350c7: a21f.*
+ 350c8: a31f.*
+ 350c9: a250.*
+ 350ca: a350.*
+ 350cb: a254.*
+ 350cc: a354.*
+ 350cd: a258.*
+ 350ce: a358.*
+ 350cf: a25c.*
+ 350d0: a35c.*
+ 350d1: a251.*
+ 350d2: a351.*
+ 350d3: a255.*
+ 350d4: a355.*
+ 350d5: a259.*
+ 350d6: a359.*
+ 350d7: a25d.*
+ 350d8: a35d.*
+ 350d9: a252.*
+ 350da: a352.*
+ 350db: a256.*
+ 350dc: a356.*
+ 350dd: a25a.*
+ 350de: a35a.*
+ 350df: a25e.*
+ 350e0: a35e.*
+ 350e1: a253.*
+ 350e2: a353.*
+ 350e3: a257.*
+ 350e4: a357.*
+ 350e5: a25b.*
+ 350e6: a35b.*
+ 350e7: a25f.*
+ 350e8: a35f.*
+ 350e9: a290.*
+ 350ea: a390.*
+ 350eb: a294.*
+ 350ec: a394.*
+ 350ed: a298.*
+ 350ee: a398.*
+ 350ef: a29c.*
+ 350f0: a39c.*
+ 350f1: a291.*
+ 350f2: a391.*
+ 350f3: a295.*
+ 350f4: a395.*
+ 350f5: a299.*
+ 350f6: a399.*
+ 350f7: a29d.*
+ 350f8: a39d.*
+ 350f9: a292.*
+ 350fa: a392.*
+ 350fb: a296.*
+ 350fc: a396.*
+ 350fd: a29a.*
+ 350fe: a39a.*
+ 350ff: a29e.*
+ 35100: a39e.*
+ 35101: a293.*
+ 35102: a393.*
+ 35103: a297.*
+ 35104: a397.*
+ 35105: a29b.*
+ 35106: a39b.*
+ 35107: a29f.*
+ 35108: a39f.*
+ 35109: a2d0.*
+ 3510a: a3d0.*
+ 3510b: a2d4.*
+ 3510c: a3d4.*
+ 3510d: a2d8.*
+ 3510e: a3d8.*
+ 3510f: a2dc.*
+ 35110: a3dc.*
+ 35111: a2d1.*
+ 35112: a3d1.*
+ 35113: a2d5.*
+ 35114: a3d5.*
+ 35115: a2d9.*
+ 35116: a3d9.*
+ 35117: a2dd.*
+ 35118: a3dd.*
+ 35119: a2d2.*
+ 3511a: a3d2.*
+ 3511b: a2d6.*
+ 3511c: a3d6.*
+ 3511d: a2da.*
+ 3511e: a3da.*
+ 3511f: a2de.*
+ 35120: a3de.*
+ 35121: a2d3.*
+ 35122: a3d3.*
+ 35123: a2d7.*
+ 35124: a3d7.*
+ 35125: a2db.*
+ 35126: a3db.*
+ 35127: a2df.*
+ 35128: a3df.*
+ 35129: a220.*
+ 3512a: a320.*
+ 3512b: a224.*
+ 3512c: a324.*
+ 3512d: a228.*
+ 3512e: a328.*
+ 3512f: a22c.*
+ 35130: a32c.*
+ 35131: a221.*
+ 35132: a321.*
+ 35133: a225.*
+ 35134: a325.*
+ 35135: a229.*
+ 35136: a329.*
+ 35137: a22d.*
+ 35138: a32d.*
+ 35139: a222.*
+ 3513a: a322.*
+ 3513b: a226.*
+ 3513c: a326.*
+ 3513d: a22a.*
+ 3513e: a32a.*
+ 3513f: a22e.*
+ 35140: a32e.*
+ 35141: a223.*
+ 35142: a323.*
+ 35143: a227.*
+ 35144: a327.*
+ 35145: a22b.*
+ 35146: a32b.*
+ 35147: a22f.*
+ 35148: a32f.*
+ 35149: a260.*
+ 3514a: a360.*
+ 3514b: a264.*
+ 3514c: a364.*
+ 3514d: a268.*
+ 3514e: a368.*
+ 3514f: a26c.*
+ 35150: a36c.*
+ 35151: a261.*
+ 35152: a361.*
+ 35153: a265.*
+ 35154: a365.*
+ 35155: a269.*
+ 35156: a369.*
+ 35157: a26d.*
+ 35158: a36d.*
+ 35159: a262.*
+ 3515a: a362.*
+ 3515b: a266.*
+ 3515c: a366.*
+ 3515d: a26a.*
+ 3515e: a36a.*
+ 3515f: a26e.*
+ 35160: a36e.*
+ 35161: a263.*
+ 35162: a363.*
+ 35163: a267.*
+ 35164: a367.*
+ 35165: a26b.*
+ 35166: a36b.*
+ 35167: a26f.*
+ 35168: a36f.*
+ 35169: a2a0.*
+ 3516a: a3a0.*
+ 3516b: a2a4.*
+ 3516c: a3a4.*
+ 3516d: a2a8.*
+ 3516e: a3a8.*
+ 3516f: a2ac.*
+ 35170: a3ac.*
+ 35171: a2a1.*
+ 35172: a3a1.*
+ 35173: a2a5.*
+ 35174: a3a5.*
+ 35175: a2a9.*
+ 35176: a3a9.*
+ 35177: a2ad.*
+ 35178: a3ad.*
+ 35179: a2a2.*
+ 3517a: a3a2.*
+ 3517b: a2a6.*
+ 3517c: a3a6.*
+ 3517d: a2aa.*
+ 3517e: a3aa.*
+ 3517f: a2ae.*
+ 35180: a3ae.*
+ 35181: a2a3.*
+ 35182: a3a3.*
+ 35183: a2a7.*
+ 35184: a3a7.*
+ 35185: a2ab.*
+ 35186: a3ab.*
+ 35187: a2af.*
+ 35188: a3af.*
+ 35189: a2e0.*
+ 3518a: a3e0.*
+ 3518b: a2e4.*
+ 3518c: a3e4.*
+ 3518d: a2e8.*
+ 3518e: a3e8.*
+ 3518f: a2ec.*
+ 35190: a3ec.*
+ 35191: a2e1.*
+ 35192: a3e1.*
+ 35193: a2e5.*
+ 35194: a3e5.*
+ 35195: a2e9.*
+ 35196: a3e9.*
+ 35197: a2ed.*
+ 35198: a3ed.*
+ 35199: a2e2.*
+ 3519a: a3e2.*
+ 3519b: a2e6.*
+ 3519c: a3e6.*
+ 3519d: a2ea.*
+ 3519e: a3ea.*
+ 3519f: a2ee.*
+ 351a0: a3ee.*
+ 351a1: a2e3.*
+ 351a2: a3e3.*
+ 351a3: a2e7.*
+ 351a4: a3e7.*
+ 351a5: a2eb.*
+ 351a6: a3eb.*
+ 351a7: a2ef.*
+ 351a8: a3ef.*
+ 351a9: a230.*
+ 351aa: a330.*
+ 351ab: a234.*
+ 351ac: a334.*
+ 351ad: a238.*
+ 351ae: a338.*
+ 351af: a23c.*
+ 351b0: a33c.*
+ 351b1: a231.*
+ 351b2: a331.*
+ 351b3: a235.*
+ 351b4: a335.*
+ 351b5: a239.*
+ 351b6: a339.*
+ 351b7: a23d.*
+ 351b8: a33d.*
+ 351b9: a232.*
+ 351ba: a332.*
+ 351bb: a236.*
+ 351bc: a336.*
+ 351bd: a23a.*
+ 351be: a33a.*
+ 351bf: a23e.*
+ 351c0: a33e.*
+ 351c1: a233.*
+ 351c2: a333.*
+ 351c3: a237.*
+ 351c4: a337.*
+ 351c5: a23b.*
+ 351c6: a33b.*
+ 351c7: a23f.*
+ 351c8: a33f.*
+ 351c9: a270.*
+ 351ca: a370.*
+ 351cb: a274.*
+ 351cc: a374.*
+ 351cd: a278.*
+ 351ce: a378.*
+ 351cf: a27c.*
+ 351d0: a37c.*
+ 351d1: a271.*
+ 351d2: a371.*
+ 351d3: a275.*
+ 351d4: a375.*
+ 351d5: a279.*
+ 351d6: a379.*
+ 351d7: a27d.*
+ 351d8: a37d.*
+ 351d9: a272.*
+ 351da: a372.*
+ 351db: a276.*
+ 351dc: a376.*
+ 351dd: a27a.*
+ 351de: a37a.*
+ 351df: a27e.*
+ 351e0: a37e.*
+ 351e1: a273.*
+ 351e2: a373.*
+ 351e3: a277.*
+ 351e4: a377.*
+ 351e5: a27b.*
+ 351e6: a37b.*
+ 351e7: a27f.*
+ 351e8: a37f.*
+ 351e9: a2b0.*
+ 351ea: a3b0.*
+ 351eb: a2b4.*
+ 351ec: a3b4.*
+ 351ed: a2b8.*
+ 351ee: a3b8.*
+ 351ef: a2bc.*
+ 351f0: a3bc.*
+ 351f1: a2b1.*
+ 351f2: a3b1.*
+ 351f3: a2b5.*
+ 351f4: a3b5.*
+ 351f5: a2b9.*
+ 351f6: a3b9.*
+ 351f7: a2bd.*
+ 351f8: a3bd.*
+ 351f9: a2b2.*
+ 351fa: a3b2.*
+ 351fb: a2b6.*
+ 351fc: a3b6.*
+ 351fd: a2ba.*
+ 351fe: a3ba.*
+ 351ff: a2be.*
+ 35200: a3be.*
+ 35201: a2b3.*
+ 35202: a3b3.*
+ 35203: a2b7.*
+ 35204: a3b7.*
+ 35205: a2bb.*
+ 35206: a3bb.*
+ 35207: a2bf.*
+ 35208: a3bf.*
+ 35209: a2f0.*
+ 3520a: a3f0.*
+ 3520b: a2f4.*
+ 3520c: a3f4.*
+ 3520d: a2f8.*
+ 3520e: a3f8.*
+ 3520f: a2fc.*
+ 35210: a3fc.*
+ 35211: a2f1.*
+ 35212: a3f1.*
+ 35213: a2f5.*
+ 35214: a3f5.*
+ 35215: a2f9.*
+ 35216: a3f9.*
+ 35217: a2fd.*
+ 35218: a3fd.*
+ 35219: a2f2.*
+ 3521a: a3f2.*
+ 3521b: a2f6.*
+ 3521c: a3f6.*
+ 3521d: a2fa.*
+ 3521e: a3fa.*
+ 3521f: a2fe.*
+ 35220: a3fe.*
+ 35221: a2f3.*
+ 35222: a3f3.*
+ 35223: a2f7.*
+ 35224: a3f7.*
+ 35225: a2fb.*
+ 35226: a3fb.*
+ 35227: a2ff.*
+ 35228: a3ff.*
+ 35229: f4c0.*
+ 3522a: f4c1.*
+ 3522b: f4c2.*
+ 3522c: f4c3.*
+ 3522d: f4c4.*
+ 3522e: f4c5.*
+ 3522f: f4c6.*
+ 35230: f4c7.*
+ 35231: f4c8.*
+ 35232: f4c9.*
+ 35233: f4ca.*
+ 35234: f4cb.*
+ 35235: f4cc.*
+ 35236: f4cd.*
+ 35237: f4ce.*
+ 35238: f4cf.*
+ 35239: f4d0.*
+ 3523a: f4d1.*
+ 3523b: f4d2.*
+ 3523c: f4d3.*
+ 3523d: f4d4.*
+ 3523e: f4d5.*
+ 3523f: f4d6.*
+ 35240: f4d7.*
+ 35241: f4d8.*
+ 35242: f4d9.*
+ 35243: f4da.*
+ 35244: f4db.*
+ 35245: f4dc.*
+ 35246: f4dd.*
+ 35247: f4de.*
+ 35248: f4df.*
+ 35249: 7f66.*
+ 3524a: 7f80.*
+ 3524b: 7f88.*
+ 3524c: 7f90.*
+ 3524d: 7fa0.*
+ 3524e: 7fa8.*
+ 3524f: 7fb0.*
+ 35250: 7fb8.*
+ 35251: 7fc0.*
+ 35252: 7fc8.*
+ 35253: 7fd0.*
+ 35254: 7fd8.*
+ 35255: 7fe0.*
+ 35256: 64c6.*
+ 35257: 7fe8.*
+ 35258: 64c7.*
+ 35259: 7ff0.*
+ 3525a: 64c8.*
+ 3525b: 7ff8.*
+ 3525c: 64c9.*
+ 3525d: 7f81.*
+ 3525e: 7f89.*
+ 3525f: 7f91.*
+ 35260: 7fa1.*
+ 35261: 7fa9.*
+ 35262: 7fb1.*
+ 35263: 7fb9.*
+ 35264: 7fc1.*
+ 35265: 7fc9.*
+ 35266: 7fd1.*
+ 35267: 7fd9.*
+ 35268: 7fe1.*
+ 35269: 64ca.*
+ 3526a: 7fe9.*
+ 3526b: 64cb.*
+ 3526c: 7ff1.*
+ 3526d: 64cc.*
+ 3526e: 7ff8.*
+ 3526f: 64cd.*
+ 35270: 7f82.*
+ 35271: 7f8a.*
+ 35272: 7f92.*
+ 35273: 7fa2.*
+ 35274: 7faa.*
+ 35275: 7fb2.*
+ 35276: 7fba.*
+ 35277: 7fc2.*
+ 35278: 7fca.*
+ 35279: 7fd2.*
+ 3527a: 7fda.*
+ 3527b: 7fe2.*
+ 3527c: 64ce.*
+ 3527d: 7fea.*
+ 3527e: 64cf.*
+ 3527f: 7ff2.*
+ 35280: 64d0.*
+ 35281: 7ff8.*
+ 35282: 64d1.*
+ 35283: 7f83.*
+ 35284: 7f8b.*
+ 35285: 7f93.*
+ 35286: 7fa3.*
+ 35287: 7fab.*
+ 35288: 7fb3.*
+ 35289: 7fbb.*
+ 3528a: 7fc3.*
+ 3528b: 7fcb.*
+ 3528c: 7fd3.*
+ 3528d: 7fdb.*
+ 3528e: 7fe3.*
+ 3528f: 64d2.*
+ 35290: 7feb.*
+ 35291: 64d3.*
+ 35292: 7ff3.*
+ 35293: 64d4.*
+ 35294: 7ff8.*
+ 35295: 64d5.*
+ 35296: 7f84.*
+ 35297: 7f8c.*
+ 35298: 7f94.*
+ 35299: 7fa4.*
+ 3529a: 7fac.*
+ 3529b: 7fb4.*
+ 3529c: 7fbc.*
+ 3529d: 7fc4.*
+ 3529e: 7fcc.*
+ 3529f: 7fd4.*
+ 352a0: 7fdc.*
+ 352a1: 7fe4.*
+ 352a2: 64d6.*
+ 352a3: 7fec.*
+ 352a4: 64d7.*
+ 352a5: 7ff4.*
+ 352a6: 64d8.*
+ 352a7: 7ff8.*
+ 352a8: 64d9.*
+ 352a9: 7f85.*
+ 352aa: 7f8d.*
+ 352ab: 7f95.*
+ 352ac: 7fa5.*
+ 352ad: 7fad.*
+ 352ae: 7fb5.*
+ 352af: 7fbd.*
+ 352b0: 7fc5.*
+ 352b1: 7fcd.*
+ 352b2: 7fd5.*
+ 352b3: 7fdd.*
+ 352b4: 7fe5.*
+ 352b5: 64da.*
+ 352b6: 7fed.*
+ 352b7: 64db.*
+ 352b8: 7ff5.*
+ 352b9: 64dc.*
+ 352ba: 7ff8.*
+ 352bb: 64dd.*
+ 352bc: 7f86.*
+ 352bd: 7f8e.*
+ 352be: 7f96.*
+ 352bf: 7fa6.*
+ 352c0: 7fae.*
+ 352c1: 7fb6.*
+ 352c2: 7fbe.*
+ 352c3: 7fc6.*
+ 352c4: 7fce.*
+ 352c5: 7fd6.*
+ 352c6: 7fde.*
+ 352c7: 7fe6.*
+ 352c8: 64de.*
+ 352c9: 7fee.*
+ 352ca: 64df.*
+ 352cb: 7ff6.*
+ 352cc: 64e0.*
+ 352cd: 7ff8.*
+ 352ce: 64e1.*
+ 352cf: 7f87.*
+ 352d0: 7f8f.*
+ 352d1: 7f97.*
+ 352d2: 7fa7.*
+ 352d3: 7faf.*
+ 352d4: 7fb7.*
+ 352d5: 7fbf.*
+ 352d6: 7fc7.*
+ 352d7: 7fcf.*
+ 352d8: 7fd7.*
+ 352d9: 7fdf.*
+ 352da: 7fe7.*
+ 352db: 64e2.*
+ 352dc: 7fef.*
+ 352dd: 64e3.*
+ 352de: 7ff7.*
+ 352df: 64e4.*
+ 352e0: 7ff8.*
+ 352e1: 64e5.*
+ 352e2: fd00.*
+ 352e3: fd43.*
+ 352e4: fd4b.*
+ 352e5: fd47.*
+ 352e6: fd4f.*
+ 352e7: fd46.*
+ 352e8: fd4e.*
+ 352e9: fd44.*
+ 352ea: fd4c.*
+ 352eb: fd42.*
+ 352ec: fd4a.*
+ 352ed: fd45.*
+ 352ee: fd4d.*
+ 352ef: fd60.*
+ 352f0: fd68.*
+ 352f1: fd70.*
+ 352f2: fd78.*
+ 352f3: fd20.*
+ 352f4: fd30.*
+ 352f5: fd08.*
+ 352f6: fd0c.*
+ 352f7: fd02.*
+ 352f8: fd03.*
+ 352f9: fd63.*
+ 352fa: fd63.*
+ 352fb: fd6b.*
+ 352fc: fd6b.*
+ 352fd: fd73.*
+ 352fe: fd73.*
+ 352ff: fd7b.*
+ 35300: fd7b.*
+ 35301: fd67.*
+ 35302: fd67.*
+ 35303: fd6f.*
+ 35304: fd6f.*
+ 35305: fd77.*
+ 35306: fd77.*
+ 35307: fd7f.*
+ 35308: fd7f.*
+ 35309: fd66.*
+ 3530a: fd66.*
+ 3530b: fd6e.*
+ 3530c: fd6e.*
+ 3530d: fd76.*
+ 3530e: fd76.*
+ 3530f: fd7e.*
+ 35310: fd7e.*
+ 35311: fd64.*
+ 35312: fd64.*
+ 35313: fd6c.*
+ 35314: fd6c.*
+ 35315: fd74.*
+ 35316: fd74.*
+ 35317: fd7c.*
+ 35318: fd7c.*
+ 35319: fd62.*
+ 3531a: fd62.*
+ 3531b: fd6a.*
+ 3531c: fd6a.*
+ 3531d: fd72.*
+ 3531e: fd72.*
+ 3531f: fd7a.*
+ 35320: fd7a.*
+ 35321: fd65.*
+ 35322: fd65.*
+ 35323: fd6d.*
+ 35324: fd6d.*
+ 35325: fd75.*
+ 35326: fd75.*
+ 35327: fd7d.*
+ 35328: fd7d.*
+ 35329: fd2a.*
+ 3532a: fd2a.*
+ 3532b: fd2a.*
+ 3532c: fd2a.*
+ 3532d: fd2a.*
+ 3532e: fd2a.*
+ 3532f: fd28.*
+ 35330: fd22.*
+ 35331: fd22.*
+ 35332: fd0a.*
+ 35333: fd0a.*
+ 35334: fd2b.*
+ 35335: fd2b.*
+ 35336: fd2b.*
+ 35337: fd2b.*
+ 35338: fd2b.*
+ 35339: fd2b.*
+ 3533a: fd28.*
+ 3533b: fd23.*
+ 3533c: fd23.*
+ 3533d: fd0b.*
+ 3533e: fd0b.*
+ 3533f: fd2e.*
+ 35340: fd2e.*
+ 35341: fd2e.*
+ 35342: fd2e.*
+ 35343: fd2e.*
+ 35344: fd2e.*
+ 35345: fd2c.*
+ 35346: fd22.*
+ 35347: fd22.*
+ 35348: fd0e.*
+ 35349: fd0e.*
+ 3534a: fd2f.*
+ 3534b: fd2f.*
+ 3534c: fd2f.*
+ 3534d: fd2f.*
+ 3534e: fd2f.*
+ 3534f: fd2f.*
+ 35350: fd2c.*
+ 35351: fd23.*
+ 35352: fd23.*
+ 35353: fd0f.*
+ 35354: fd0f.*
+ 35355: fd3a.*
+ 35356: fd3a.*
+ 35357: fd3a.*
+ 35358: fd3a.*
+ 35359: fd3a.*
+ 3535a: fd3a.*
+ 3535b: fd38.*
+ 3535c: fd32.*
+ 3535d: fd32.*
+ 3535e: fd0a.*
+ 3535f: fd0a.*
+ 35360: fd3b.*
+ 35361: fd3b.*
+ 35362: fd3b.*
+ 35363: fd3b.*
+ 35364: fd3b.*
+ 35365: fd3b.*
+ 35366: fd38.*
+ 35367: fd33.*
+ 35368: fd33.*
+ 35369: fd0b.*
+ 3536a: fd0b.*
+ 3536b: fd3e.*
+ 3536c: fd3e.*
+ 3536d: fd3e.*
+ 3536e: fd3e.*
+ 3536f: fd3e.*
+ 35370: fd3e.*
+ 35371: fd3c.*
+ 35372: fd32.*
+ 35373: fd32.*
+ 35374: fd0e.*
+ 35375: fd0e.*
+ 35376: fd3f.*
+ 35377: fd3f.*
+ 35378: fd3f.*
+ 35379: fd3f.*
+ 3537a: fd3f.*
+ 3537b: fd3f.*
+ 3537c: fd3c.*
+ 3537d: fd33.*
+ 3537e: fd33.*
+ 3537f: fd0f.*
+ 35380: fd0f.*
+ 35381: ff00.*
+ 35382: ff43.*
+ 35383: ff4b.*
+ 35384: ff47.*
+ 35385: ff4f.*
+ 35386: ff46.*
+ 35387: ff4e.*
+ 35388: ff44.*
+ 35389: ff4c.*
+ 3538a: ff42.*
+ 3538b: ff4a.*
+ 3538c: ff45.*
+ 3538d: ff4d.*
+ 3538e: ff60.*
+ 3538f: ff68.*
+ 35390: ff70.*
+ 35391: ff78.*
+ 35392: ff20.*
+ 35393: ff30.*
+ 35394: ff08.*
+ 35395: ff0c.*
+ 35396: ff02.*
+ 35397: ff03.*
+ 35398: ff63.*
+ 35399: ff63.*
+ 3539a: ff6b.*
+ 3539b: ff6b.*
+ 3539c: ff73.*
+ 3539d: ff73.*
+ 3539e: ff7b.*
+ 3539f: ff7b.*
+ 353a0: ff67.*
+ 353a1: ff67.*
+ 353a2: ff6f.*
+ 353a3: ff6f.*
+ 353a4: ff77.*
+ 353a5: ff77.*
+ 353a6: ff7f.*
+ 353a7: ff7f.*
+ 353a8: ff66.*
+ 353a9: ff66.*
+ 353aa: ff6e.*
+ 353ab: ff6e.*
+ 353ac: ff76.*
+ 353ad: ff76.*
+ 353ae: ff7e.*
+ 353af: ff7e.*
+ 353b0: ff64.*
+ 353b1: ff64.*
+ 353b2: ff6c.*
+ 353b3: ff6c.*
+ 353b4: ff74.*
+ 353b5: ff74.*
+ 353b6: ff7c.*
+ 353b7: ff7c.*
+ 353b8: ff62.*
+ 353b9: ff62.*
+ 353ba: ff6a.*
+ 353bb: ff6a.*
+ 353bc: ff72.*
+ 353bd: ff72.*
+ 353be: ff7a.*
+ 353bf: ff7a.*
+ 353c0: ff65.*
+ 353c1: ff65.*
+ 353c2: ff6d.*
+ 353c3: ff6d.*
+ 353c4: ff75.*
+ 353c5: ff75.*
+ 353c6: ff7d.*
+ 353c7: ff7d.*
+ 353c8: ff2a.*
+ 353c9: ff2a.*
+ 353ca: ff2a.*
+ 353cb: ff2a.*
+ 353cc: ff2a.*
+ 353cd: ff2a.*
+ 353ce: ff28.*
+ 353cf: ff22.*
+ 353d0: ff22.*
+ 353d1: ff0a.*
+ 353d2: ff0a.*
+ 353d3: ff2b.*
+ 353d4: ff2b.*
+ 353d5: ff2b.*
+ 353d6: ff2b.*
+ 353d7: ff2b.*
+ 353d8: ff2b.*
+ 353d9: ff28.*
+ 353da: ff23.*
+ 353db: ff23.*
+ 353dc: ff0b.*
+ 353dd: ff0b.*
+ 353de: ff2e.*
+ 353df: ff2e.*
+ 353e0: ff2e.*
+ 353e1: ff2e.*
+ 353e2: ff2e.*
+ 353e3: ff2e.*
+ 353e4: ff2c.*
+ 353e5: ff22.*
+ 353e6: ff22.*
+ 353e7: ff0e.*
+ 353e8: ff0e.*
+ 353e9: ff2f.*
+ 353ea: ff2f.*
+ 353eb: ff2f.*
+ 353ec: ff2f.*
+ 353ed: ff2f.*
+ 353ee: ff2f.*
+ 353ef: ff2c.*
+ 353f0: ff23.*
+ 353f1: ff23.*
+ 353f2: ff0f.*
+ 353f3: ff0f.*
+ 353f4: ff3a.*
+ 353f5: ff3a.*
+ 353f6: ff3a.*
+ 353f7: ff3a.*
+ 353f8: ff3a.*
+ 353f9: ff3a.*
+ 353fa: ff38.*
+ 353fb: ff32.*
+ 353fc: ff32.*
+ 353fd: ff0a.*
+ 353fe: ff0a.*
+ 353ff: ff3b.*
+ 35400: ff3b.*
+ 35401: ff3b.*
+ 35402: ff3b.*
+ 35403: ff3b.*
+ 35404: ff3b.*
+ 35405: ff38.*
+ 35406: ff33.*
+ 35407: ff33.*
+ 35408: ff0b.*
+ 35409: ff0b.*
+ 3540a: ff3e.*
+ 3540b: ff3e.*
+ 3540c: ff3e.*
+ 3540d: ff3e.*
+ 3540e: ff3e.*
+ 3540f: ff3e.*
+ 35410: ff3c.*
+ 35411: ff32.*
+ 35412: ff32.*
+ 35413: ff0e.*
+ 35414: ff0e.*
+ 35415: ff3f.*
+ 35416: ff3f.*
+ 35417: ff3f.*
+ 35418: ff3f.*
+ 35419: ff3f.*
+ 3541a: ff3f.*
+ 3541b: ff3c.*
+ 3541c: ff33.*
+ 3541d: ff33.*
+ 3541e: ff0f.*
+ 3541f: ff0f.*
+ 35420: f065.*
+ 35421: 64e6.*
+ 35422: f165.*
+ 35423: 64e7.*
+ 35424: f065.*
+ 35425: 64e8.*
+ 35426: f265.*
+ 35427: 64e9.*
+ 35428: f365.*
+ 35429: 64ea.*
+ 3542a: f365.*
+ 3542b: 64eb.*
+ 3542c: 6a67.*
+ 3542d: 64ec.*
+ 3542e: 6a80.*
+ 3542f: 64ed.*
+ 35430: 6a88.*
+ 35431: 64ee.*
+ 35432: 6a90.*
+ 35433: 64ef.*
+ 35434: 6aa0.*
+ 35435: 64f0.*
+ 35436: 6aa8.*
+ 35437: 64f1.*
+ 35438: 6ab0.*
+ 35439: 64f2.*
+ 3543a: 6ab8.*
+ 3543b: 64f3.*
+ 3543c: 6ac0.*
+ 3543d: 64f4.*
+ 3543e: 6ac8.*
+ 3543f: 64f5.*
+ 35440: 6ad0.*
+ 35441: 64f6.*
+ 35442: 6ad8.*
+ 35443: 64f7.*
+ 35444: 6ae0.*
+ 35445: 64f8.*
+ 35446: 64f9.*
+ 35447: 6ae8.*
+ 35448: 64fa.*
+ 35449: 64fb.*
+ 3544a: 6af0.*
+ 3544b: 64fc.*
+ 3544c: 64fd.*
+ 3544d: 6af8.*
+ 3544e: 64fe.*
+ 3544f: 64ff.*
+ 35450: 6a81.*
+ 35451: 6500.*
+ 35452: 6a89.*
+ 35453: 6501.*
+ 35454: 6a91.*
+ 35455: 6502.*
+ 35456: 6aa1.*
+ 35457: 6503.*
+ 35458: 6aa9.*
+ 35459: 6504.*
+ 3545a: 6ab1.*
+ 3545b: 6505.*
+ 3545c: 6ab9.*
+ 3545d: 6506.*
+ 3545e: 6ac1.*
+ 3545f: 6507.*
+ 35460: 6ac9.*
+ 35461: 6508.*
+ 35462: 6ad1.*
+ 35463: 6509.*
+ 35464: 6ad9.*
+ 35465: 650a.*
+ 35466: 6ae1.*
+ 35467: 650b.*
+ 35468: 650c.*
+ 35469: 6ae9.*
+ 3546a: 650d.*
+ 3546b: 650e.*
+ 3546c: 6af1.*
+ 3546d: 650f.*
+ 3546e: 6510.*
+ 3546f: 6af8.*
+ 35470: 6511.*
+ 35471: 6512.*
+ 35472: 6a82.*
+ 35473: 6513.*
+ 35474: 6a8a.*
+ 35475: 6514.*
+ 35476: 6a92.*
+ 35477: 6515.*
+ 35478: 6aa2.*
+ 35479: 6516.*
+ 3547a: 6aaa.*
+ 3547b: 6517.*
+ 3547c: 6ab2.*
+ 3547d: 6518.*
+ 3547e: 6aba.*
+ 3547f: 6519.*
+ 35480: 6ac2.*
+ 35481: 651a.*
+ 35482: 6aca.*
+ 35483: 651b.*
+ 35484: 6ad2.*
+ 35485: 651c.*
+ 35486: 6ada.*
+ 35487: 651d.*
+ 35488: 6ae2.*
+ 35489: 651e.*
+ 3548a: 651f.*
+ 3548b: 6aea.*
+ 3548c: 6520.*
+ 3548d: 6521.*
+ 3548e: 6af2.*
+ 3548f: 6522.*
+ 35490: 6523.*
+ 35491: 6af8.*
+ 35492: 6524.*
+ 35493: 6525.*
+ 35494: 6a83.*
+ 35495: 6526.*
+ 35496: 6a8b.*
+ 35497: 6527.*
+ 35498: 6a93.*
+ 35499: 6528.*
+ 3549a: 6aa3.*
+ 3549b: 6529.*
+ 3549c: 6aab.*
+ 3549d: 652a.*
+ 3549e: 6ab3.*
+ 3549f: 652b.*
+ 354a0: 6abb.*
+ 354a1: 652c.*
+ 354a2: 6ac3.*
+ 354a3: 652d.*
+ 354a4: 6acb.*
+ 354a5: 652e.*
+ 354a6: 6ad3.*
+ 354a7: 652f.*
+ 354a8: 6adb.*
+ 354a9: 6530.*
+ 354aa: 6ae3.*
+ 354ab: 6531.*
+ 354ac: 6532.*
+ 354ad: 6aeb.*
+ 354ae: 6533.*
+ 354af: 6534.*
+ 354b0: 6af3.*
+ 354b1: 6535.*
+ 354b2: 6536.*
+ 354b3: 6af8.*
+ 354b4: 6537.*
+ 354b5: 6538.*
+ 354b6: 6a84.*
+ 354b7: 6539.*
+ 354b8: 6a8c.*
+ 354b9: 653a.*
+ 354ba: 6a94.*
+ 354bb: 653b.*
+ 354bc: 6aa4.*
+ 354bd: 653c.*
+ 354be: 6aac.*
+ 354bf: 653d.*
+ 354c0: 6ab4.*
+ 354c1: 653e.*
+ 354c2: 6abc.*
+ 354c3: 653f.*
+ 354c4: 6ac4.*
+ 354c5: 6540.*
+ 354c6: 6acc.*
+ 354c7: 6541.*
+ 354c8: 6ad4.*
+ 354c9: 6542.*
+ 354ca: 6adc.*
+ 354cb: 6543.*
+ 354cc: 6ae4.*
+ 354cd: 6544.*
+ 354ce: 6545.*
+ 354cf: 6aec.*
+ 354d0: 6546.*
+ 354d1: 6547.*
+ 354d2: 6af4.*
+ 354d3: 6548.*
+ 354d4: 6549.*
+ 354d5: 6af8.*
+ 354d6: 654a.*
+ 354d7: 654b.*
+ 354d8: 6a85.*
+ 354d9: 654c.*
+ 354da: 6a8d.*
+ 354db: 654d.*
+ 354dc: 6a95.*
+ 354dd: 654e.*
+ 354de: 6aa5.*
+ 354df: 654f.*
+ 354e0: 6aad.*
+ 354e1: 6550.*
+ 354e2: 6ab5.*
+ 354e3: 6551.*
+ 354e4: 6abd.*
+ 354e5: 6552.*
+ 354e6: 6ac5.*
+ 354e7: 6553.*
+ 354e8: 6acd.*
+ 354e9: 6554.*
+ 354ea: 6ad5.*
+ 354eb: 6555.*
+ 354ec: 6add.*
+ 354ed: 6556.*
+ 354ee: 6ae5.*
+ 354ef: 6557.*
+ 354f0: 6558.*
+ 354f1: 6aed.*
+ 354f2: 6559.*
+ 354f3: 655a.*
+ 354f4: 6af5.*
+ 354f5: 655b.*
+ 354f6: 655c.*
+ 354f7: 6af8.*
+ 354f8: 655d.*
+ 354f9: 655e.*
+ 354fa: 6a86.*
+ 354fb: 655f.*
+ 354fc: 6a8e.*
+ 354fd: 6560.*
+ 354fe: 6a96.*
+ 354ff: 6561.*
+ 35500: 6aa6.*
+ 35501: 6562.*
+ 35502: 6aae.*
+ 35503: 6563.*
+ 35504: 6ab6.*
+ 35505: 6564.*
+ 35506: 6abe.*
+ 35507: 6565.*
+ 35508: 6ac6.*
+ 35509: 6566.*
+ 3550a: 6ace.*
+ 3550b: 6567.*
+ 3550c: 6ad6.*
+ 3550d: 6568.*
+ 3550e: 6ade.*
+ 3550f: 6569.*
+ 35510: 6ae6.*
+ 35511: 656a.*
+ 35512: 656b.*
+ 35513: 6aee.*
+ 35514: 656c.*
+ 35515: 656d.*
+ 35516: 6af6.*
+ 35517: 656e.*
+ 35518: 656f.*
+ 35519: 6af8.*
+ 3551a: 6570.*
+ 3551b: 6571.*
+ 3551c: 6a87.*
+ 3551d: 6572.*
+ 3551e: 6a8f.*
+ 3551f: 6573.*
+ 35520: 6a97.*
+ 35521: 6574.*
+ 35522: 6aa7.*
+ 35523: 6575.*
+ 35524: 6aaf.*
+ 35525: 6576.*
+ 35526: 6ab7.*
+ 35527: 6577.*
+ 35528: 6abf.*
+ 35529: 6578.*
+ 3552a: 6ac7.*
+ 3552b: 6579.*
+ 3552c: 6acf.*
+ 3552d: 657a.*
+ 3552e: 6ad7.*
+ 3552f: 657b.*
+ 35530: 6adf.*
+ 35531: 657c.*
+ 35532: 6ae7.*
+ 35533: 657d.*
+ 35534: 657e.*
+ 35535: 6aef.*
+ 35536: 657f.*
+ 35537: 6580.*
+ 35538: 6af7.*
+ 35539: 6581.*
+ 3553a: 6582.*
+ 3553b: 6af8.*
+ 3553c: 6583.*
+ 3553d: 6584.*
+ 3553e: f050.*
+ 3553f: 6585.*
+ 35540: f150.*
+ 35541: 6586.*
+ 35542: f050.*
+ 35543: 6587.*
+ 35544: f250.*
+ 35545: 6588.*
+ 35546: f350.*
+ 35547: 6589.*
+ 35548: f350.*
+ 35549: 658a.*
+ 3554a: f050.*
+ 3554b: 658b.*
+ 3554c: f150.*
+ 3554d: 658c.*
+ 3554e: f050.*
+ 3554f: 658d.*
+ 35550: f250.*
+ 35551: 658e.*
+ 35552: f350.*
+ 35553: 658f.*
+ 35554: f350.*
+ 35555: 6590.*
+ 35556: f051.*
+ 35557: 6591.*
+ 35558: f151.*
+ 35559: 6592.*
+ 3555a: f051.*
+ 3555b: 6593.*
+ 3555c: f251.*
+ 3555d: 6594.*
+ 3555e: f351.*
+ 3555f: 6595.*
+ 35560: f351.*
+ 35561: 6596.*
+ 35562: f052.*
+ 35563: 6597.*
+ 35564: f152.*
+ 35565: 6598.*
+ 35566: f052.*
+ 35567: 6599.*
+ 35568: f252.*
+ 35569: 659a.*
+ 3556a: f352.*
+ 3556b: 659b.*
+ 3556c: f352.*
+ 3556d: 659c.*
+ 3556e: f053.*
+ 3556f: 659d.*
+ 35570: f153.*
+ 35571: 659e.*
+ 35572: f053.*
+ 35573: 659f.*
+ 35574: f253.*
+ 35575: 65a0.*
+ 35576: f353.*
+ 35577: 65a1.*
+ 35578: f353.*
+ 35579: 65a2.*
+ 3557a: f054.*
+ 3557b: 65a3.*
+ 3557c: f154.*
+ 3557d: 65a4.*
+ 3557e: f054.*
+ 3557f: 65a5.*
+ 35580: f254.*
+ 35581: 65a6.*
+ 35582: f354.*
+ 35583: 65a7.*
+ 35584: f354.*
+ 35585: 65a8.*
+ 35586: f055.*
+ 35587: 65a9.*
+ 35588: f155.*
+ 35589: 65aa.*
+ 3558a: f055.*
+ 3558b: 65ab.*
+ 3558c: f255.*
+ 3558d: 65ac.*
+ 3558e: f355.*
+ 3558f: 65ad.*
+ 35590: f355.*
+ 35591: 65ae.*
+ 35592: f056.*
+ 35593: 65af.*
+ 35594: f156.*
+ 35595: 65b0.*
+ 35596: f056.*
+ 35597: 65b1.*
+ 35598: f256.*
+ 35599: 65b2.*
+ 3559a: f356.*
+ 3559b: 65b3.*
+ 3559c: f356.*
+ 3559d: 65b4.*
+ 3559e: f057.*
+ 3559f: 65b5.*
+ 355a0: f157.*
+ 355a1: 65b6.*
+ 355a2: f057.*
+ 355a3: 65b7.*
+ 355a4: f257.*
+ 355a5: 65b8.*
+ 355a6: f357.*
+ 355a7: 65b9.*
+ 355a8: f357.*
+ 355a9: 65ba.*
+ 355aa: f058.*
+ 355ab: 65bb.*
+ 355ac: f158.*
+ 355ad: 65bc.*
+ 355ae: f058.*
+ 355af: 65bd.*
+ 355b0: f258.*
+ 355b1: 65be.*
+ 355b2: f358.*
+ 355b3: 65bf.*
+ 355b4: f358.*
+ 355b5: 65c0.*
+ 355b6: f059.*
+ 355b7: 65c1.*
+ 355b8: f159.*
+ 355b9: 65c2.*
+ 355ba: f059.*
+ 355bb: 65c3.*
+ 355bc: f259.*
+ 355bd: 65c4.*
+ 355be: f359.*
+ 355bf: 65c5.*
+ 355c0: f359.*
+ 355c1: 65c6.*
+ 355c2: f05a.*
+ 355c3: 65c7.*
+ 355c4: f15a.*
+ 355c5: 65c8.*
+ 355c6: f05a.*
+ 355c7: 65c9.*
+ 355c8: f25a.*
+ 355c9: 65ca.*
+ 355ca: f35a.*
+ 355cb: 65cb.*
+ 355cc: f35a.*
+ 355cd: 65cc.*
+ 355ce: f05b.*
+ 355cf: 65cd.*
+ 355d0: f15b.*
+ 355d1: 65ce.*
+ 355d2: f05b.*
+ 355d3: 65cf.*
+ 355d4: f25b.*
+ 355d5: 65d0.*
+ 355d6: f35b.*
+ 355d7: 65d1.*
+ 355d8: f35b.*
+ 355d9: 65d2.*
+ 355da: f05c.*
+ 355db: 65d3.*
+ 355dc: f15c.*
+ 355dd: 65d4.*
+ 355de: f05c.*
+ 355df: 65d5.*
+ 355e0: f25c.*
+ 355e1: 65d6.*
+ 355e2: f35c.*
+ 355e3: 65d7.*
+ 355e4: f35c.*
+ 355e5: 65d8.*
+ 355e6: f05d.*
+ 355e7: 65d9.*
+ 355e8: f15d.*
+ 355e9: 65da.*
+ 355ea: f05d.*
+ 355eb: 65db.*
+ 355ec: f25d.*
+ 355ed: 65dc.*
+ 355ee: f35d.*
+ 355ef: 65dd.*
+ 355f0: f35d.*
+ 355f1: 65de.*
+ 355f2: f05e.*
+ 355f3: 65df.*
+ 355f4: f15e.*
+ 355f5: 65e0.*
+ 355f6: f05e.*
+ 355f7: 65e1.*
+ 355f8: f25e.*
+ 355f9: 65e2.*
+ 355fa: f35e.*
+ 355fb: 65e3.*
+ 355fc: f35e.*
+ 355fd: 65e4.*
+ 355fe: f05f.*
+ 355ff: 65e5.*
+ 35600: f15f.*
+ 35601: 65e6.*
+ 35602: f05f.*
+ 35603: 65e7.*
+ 35604: f25f.*
+ 35605: 65e8.*
+ 35606: f35f.*
+ 35607: 65e9.*
+ 35608: f35f.*
+ 35609: 65ea.*
+ 3560a: f0c0.*
+ 3560b: f1c0.*
+ 3560c: f0c0.*
+ 3560d: f0d0.*
+ 3560e: f1d0.*
+ 3560f: f0d0.*
+ 35610: f0d1.*
+ 35611: f1d1.*
+ 35612: f0d1.*
+ 35613: f0d2.*
+ 35614: f1d2.*
+ 35615: f0d2.*
+ 35616: f0d3.*
+ 35617: f1d3.*
+ 35618: f0d3.*
+ 35619: f0d4.*
+ 3561a: f1d4.*
+ 3561b: f0d4.*
+ 3561c: f0d5.*
+ 3561d: f1d5.*
+ 3561e: f0d5.*
+ 3561f: f0d6.*
+ 35620: f1d6.*
+ 35621: f0d6.*
+ 35622: f0d7.*
+ 35623: f1d7.*
+ 35624: f0d7.*
+ 35625: f0d8.*
+ 35626: f1d8.*
+ 35627: f0d8.*
+ 35628: f0d9.*
+ 35629: f1d9.*
+ 3562a: f0d9.*
+ 3562b: f0da.*
+ 3562c: f1da.*
+ 3562d: f0da.*
+ 3562e: f0db.*
+ 3562f: f1db.*
+ 35630: f0db.*
+ 35631: f0dc.*
+ 35632: f1dc.*
+ 35633: f0dc.*
+ 35634: f0dd.*
+ 35635: f1dd.*
+ 35636: f0dd.*
+ 35637: f0de.*
+ 35638: f1de.*
+ 35639: f0de.*
+ 3563a: f0df.*
+ 3563b: f1df.*
+ 3563c: f0df.*
+ 3563d: f0c0.*
+ 3563e: f1c0.*
+ 3563f: f0c0.*
+ 35640: f0c1.*
+ 35641: f1c1.*
+ 35642: f0c1.*
+ 35643: f0c2.*
+ 35644: f1c2.*
+ 35645: f0c2.*
+ 35646: f0c3.*
+ 35647: f1c3.*
+ 35648: f0c3.*
+ 35649: f0c4.*
+ 3564a: f1c4.*
+ 3564b: f0c4.*
+ 3564c: f0c5.*
+ 3564d: f1c5.*
+ 3564e: f0c5.*
+ 3564f: f0c6.*
+ 35650: f1c6.*
+ 35651: f0c6.*
+ 35652: f0c7.*
+ 35653: f1c7.*
+ 35654: f0c7.*
+ 35655: f0c8.*
+ 35656: f1c8.*
+ 35657: f0c8.*
+ 35658: f0c9.*
+ 35659: f1c9.*
+ 3565a: f0c9.*
+ 3565b: f0ca.*
+ 3565c: f1ca.*
+ 3565d: f0ca.*
+ 3565e: f0cb.*
+ 3565f: f1cb.*
+ 35660: f0cb.*
+ 35661: f0cc.*
+ 35662: f1cc.*
+ 35663: f0cc.*
+ 35664: f0cd.*
+ 35665: f1cd.*
+ 35666: f0cd.*
+ 35667: f0ce.*
+ 35668: f1ce.*
+ 35669: f0ce.*
+ 3566a: f0cf.*
+ 3566b: f1cf.*
+ 3566c: f0cf.*
+ 3566d: f2c0.*
+ 3566e: f3c0.*
+ 3566f: f3c0.*
+ 35670: f2d0.*
+ 35671: f3d0.*
+ 35672: f3d0.*
+ 35673: f2d1.*
+ 35674: f3d1.*
+ 35675: f3d1.*
+ 35676: f2d2.*
+ 35677: f3d2.*
+ 35678: f3d2.*
+ 35679: f2d3.*
+ 3567a: f3d3.*
+ 3567b: f3d3.*
+ 3567c: f2d4.*
+ 3567d: f3d4.*
+ 3567e: f3d4.*
+ 3567f: f2d5.*
+ 35680: f3d5.*
+ 35681: f3d5.*
+ 35682: f2d6.*
+ 35683: f3d6.*
+ 35684: f3d6.*
+ 35685: f2d7.*
+ 35686: f3d7.*
+ 35687: f3d7.*
+ 35688: f2d8.*
+ 35689: f3d8.*
+ 3568a: f3d8.*
+ 3568b: f2d9.*
+ 3568c: f3d9.*
+ 3568d: f3d9.*
+ 3568e: f2da.*
+ 3568f: f3da.*
+ 35690: f3da.*
+ 35691: f2db.*
+ 35692: f3db.*
+ 35693: f3db.*
+ 35694: f2dc.*
+ 35695: f3dc.*
+ 35696: f3dc.*
+ 35697: f2dd.*
+ 35698: f3dd.*
+ 35699: f3dd.*
+ 3569a: f2de.*
+ 3569b: f3de.*
+ 3569c: f3de.*
+ 3569d: f2df.*
+ 3569e: f3df.*
+ 3569f: f3df.*
+ 356a0: f2c0.*
+ 356a1: f3c0.*
+ 356a2: f3c0.*
+ 356a3: f2c1.*
+ 356a4: f3c1.*
+ 356a5: f3c1.*
+ 356a6: f2c2.*
+ 356a7: f3c2.*
+ 356a8: f3c2.*
+ 356a9: f2c3.*
+ 356aa: f3c3.*
+ 356ab: f3c3.*
+ 356ac: f2c4.*
+ 356ad: f3c4.*
+ 356ae: f3c4.*
+ 356af: f2c5.*
+ 356b0: f3c5.*
+ 356b1: f3c5.*
+ 356b2: f2c6.*
+ 356b3: f3c6.*
+ 356b4: f3c6.*
+ 356b5: f2c7.*
+ 356b6: f3c7.*
+ 356b7: f3c7.*
+ 356b8: f2c8.*
+ 356b9: f3c8.*
+ 356ba: f3c8.*
+ 356bb: f2c9.*
+ 356bc: f3c9.*
+ 356bd: f3c9.*
+ 356be: f2ca.*
+ 356bf: f3ca.*
+ 356c0: f3ca.*
+ 356c1: f2cb.*
+ 356c2: f3cb.*
+ 356c3: f3cb.*
+ 356c4: f2cc.*
+ 356c5: f3cc.*
+ 356c6: f3cc.*
+ 356c7: f2cd.*
+ 356c8: f3cd.*
+ 356c9: f3cd.*
+ 356ca: f2ce.*
+ 356cb: f3ce.*
+ 356cc: f3ce.*
+ 356cd: f2cf.*
+ 356ce: f3cf.*
+ 356cf: f3cf.*
+ 356d0: 1c68.*
+ 356d1: 1d69.*
+ 356d2: 1c80.*
+ 356d3: 1d80.*
+ 356d4: 1c88.*
+ 356d5: 1d88.*
+ 356d6: 1c90.*
+ 356d7: 1d90.*
+ 356d8: 1ca0.*
+ 356d9: 1da0.*
+ 356da: 1ca8.*
+ 356db: 1da8.*
+ 356dc: 1cb0.*
+ 356dd: 1db0.*
+ 356de: 1cb8.*
+ 356df: 1db8.*
+ 356e0: 1cc0.*
+ 356e1: 1dc0.*
+ 356e2: 1cc8.*
+ 356e3: 1dc8.*
+ 356e4: 1cd0.*
+ 356e5: 1dd0.*
+ 356e6: 1cd8.*
+ 356e7: 1dd8.*
+ 356e8: 1ce0.*
+ 356e9: 65eb.*
+ 356ea: 1de0.*
+ 356eb: 65ec.*
+ 356ec: 1ce8.*
+ 356ed: 65ed.*
+ 356ee: 1de8.*
+ 356ef: 65ee.*
+ 356f0: 1cf0.*
+ 356f1: 65ef.*
+ 356f2: 1df0.*
+ 356f3: 65f0.*
+ 356f4: 1cf8.*
+ 356f5: 65f1.*
+ 356f6: 1df8.*
+ 356f7: 65f2.*
+ 356f8: 1c81.*
+ 356f9: 1d81.*
+ 356fa: 1c89.*
+ 356fb: 1d89.*
+ 356fc: 1c91.*
+ 356fd: 1d91.*
+ 356fe: 1ca1.*
+ 356ff: 1da1.*
+ 35700: 1ca9.*
+ 35701: 1da9.*
+ 35702: 1cb1.*
+ 35703: 1db1.*
+ 35704: 1cb9.*
+ 35705: 1db9.*
+ 35706: 1cc1.*
+ 35707: 1dc1.*
+ 35708: 1cc9.*
+ 35709: 1dc9.*
+ 3570a: 1cd1.*
+ 3570b: 1dd1.*
+ 3570c: 1cd9.*
+ 3570d: 1dd9.*
+ 3570e: 1ce1.*
+ 3570f: 65f3.*
+ 35710: 1de1.*
+ 35711: 65f4.*
+ 35712: 1ce9.*
+ 35713: 65f5.*
+ 35714: 1de9.*
+ 35715: 65f6.*
+ 35716: 1cf1.*
+ 35717: 65f7.*
+ 35718: 1df1.*
+ 35719: 65f8.*
+ 3571a: 1cf8.*
+ 3571b: 65f9.*
+ 3571c: 1df8.*
+ 3571d: 65fa.*
+ 3571e: 1c82.*
+ 3571f: 1d82.*
+ 35720: 1c8a.*
+ 35721: 1d8a.*
+ 35722: 1c92.*
+ 35723: 1d92.*
+ 35724: 1ca2.*
+ 35725: 1da2.*
+ 35726: 1caa.*
+ 35727: 1daa.*
+ 35728: 1cb2.*
+ 35729: 1db2.*
+ 3572a: 1cba.*
+ 3572b: 1dba.*
+ 3572c: 1cc2.*
+ 3572d: 1dc2.*
+ 3572e: 1cca.*
+ 3572f: 1dca.*
+ 35730: 1cd2.*
+ 35731: 1dd2.*
+ 35732: 1cda.*
+ 35733: 1dda.*
+ 35734: 1ce2.*
+ 35735: 65fb.*
+ 35736: 1de2.*
+ 35737: 65fc.*
+ 35738: 1cea.*
+ 35739: 65fd.*
+ 3573a: 1dea.*
+ 3573b: 65fe.*
+ 3573c: 1cf2.*
+ 3573d: 65ff.*
+ 3573e: 1df2.*
+ 3573f: 6600.*
+ 35740: 1cf8.*
+ 35741: 6601.*
+ 35742: 1df8.*
+ 35743: 6602.*
+ 35744: 1c83.*
+ 35745: 1d83.*
+ 35746: 1c8b.*
+ 35747: 1d8b.*
+ 35748: 1c93.*
+ 35749: 1d93.*
+ 3574a: 1ca3.*
+ 3574b: 1da3.*
+ 3574c: 1cab.*
+ 3574d: 1dab.*
+ 3574e: 1cb3.*
+ 3574f: 1db3.*
+ 35750: 1cbb.*
+ 35751: 1dbb.*
+ 35752: 1cc3.*
+ 35753: 1dc3.*
+ 35754: 1ccb.*
+ 35755: 1dcb.*
+ 35756: 1cd3.*
+ 35757: 1dd3.*
+ 35758: 1cdb.*
+ 35759: 1ddb.*
+ 3575a: 1ce3.*
+ 3575b: 6603.*
+ 3575c: 1de3.*
+ 3575d: 6604.*
+ 3575e: 1ceb.*
+ 3575f: 6605.*
+ 35760: 1deb.*
+ 35761: 6606.*
+ 35762: 1cf3.*
+ 35763: 6607.*
+ 35764: 1df3.*
+ 35765: 6608.*
+ 35766: 1cf8.*
+ 35767: 6609.*
+ 35768: 1df8.*
+ 35769: 660a.*
+ 3576a: 1c84.*
+ 3576b: 1d84.*
+ 3576c: 1c8c.*
+ 3576d: 1d8c.*
+ 3576e: 1c94.*
+ 3576f: 1d94.*
+ 35770: 1ca4.*
+ 35771: 1da4.*
+ 35772: 1cac.*
+ 35773: 1dac.*
+ 35774: 1cb4.*
+ 35775: 1db4.*
+ 35776: 1cbc.*
+ 35777: 1dbc.*
+ 35778: 1cc4.*
+ 35779: 1dc4.*
+ 3577a: 1ccc.*
+ 3577b: 1dcc.*
+ 3577c: 1cd4.*
+ 3577d: 1dd4.*
+ 3577e: 1cdc.*
+ 3577f: 1ddc.*
+ 35780: 1ce4.*
+ 35781: 660b.*
+ 35782: 1de4.*
+ 35783: 660c.*
+ 35784: 1cec.*
+ 35785: 660d.*
+ 35786: 1dec.*
+ 35787: 660e.*
+ 35788: 1cf4.*
+ 35789: 660f.*
+ 3578a: 1df4.*
+ 3578b: 6610.*
+ 3578c: 1cf8.*
+ 3578d: 6611.*
+ 3578e: 1df8.*
+ 3578f: 6612.*
+ 35790: 1c85.*
+ 35791: 1d85.*
+ 35792: 1c8d.*
+ 35793: 1d8d.*
+ 35794: 1c95.*
+ 35795: 1d95.*
+ 35796: 1ca5.*
+ 35797: 1da5.*
+ 35798: 1cad.*
+ 35799: 1dad.*
+ 3579a: 1cb5.*
+ 3579b: 1db5.*
+ 3579c: 1cbd.*
+ 3579d: 1dbd.*
+ 3579e: 1cc5.*
+ 3579f: 1dc5.*
+ 357a0: 1ccd.*
+ 357a1: 1dcd.*
+ 357a2: 1cd5.*
+ 357a3: 1dd5.*
+ 357a4: 1cdd.*
+ 357a5: 1ddd.*
+ 357a6: 1ce5.*
+ 357a7: 6613.*
+ 357a8: 1de5.*
+ 357a9: 6614.*
+ 357aa: 1ced.*
+ 357ab: 6615.*
+ 357ac: 1ded.*
+ 357ad: 6616.*
+ 357ae: 1cf5.*
+ 357af: 6617.*
+ 357b0: 1df5.*
+ 357b1: 6618.*
+ 357b2: 1cf8.*
+ 357b3: 6619.*
+ 357b4: 1df8.*
+ 357b5: 661a.*
+ 357b6: 1c86.*
+ 357b7: 1d86.*
+ 357b8: 1c8e.*
+ 357b9: 1d8e.*
+ 357ba: 1c96.*
+ 357bb: 1d96.*
+ 357bc: 1ca6.*
+ 357bd: 1da6.*
+ 357be: 1cae.*
+ 357bf: 1dae.*
+ 357c0: 1cb6.*
+ 357c1: 1db6.*
+ 357c2: 1cbe.*
+ 357c3: 1dbe.*
+ 357c4: 1cc6.*
+ 357c5: 1dc6.*
+ 357c6: 1cce.*
+ 357c7: 1dce.*
+ 357c8: 1cd6.*
+ 357c9: 1dd6.*
+ 357ca: 1cde.*
+ 357cb: 1dde.*
+ 357cc: 1ce6.*
+ 357cd: 661b.*
+ 357ce: 1de6.*
+ 357cf: 661c.*
+ 357d0: 1cee.*
+ 357d1: 661d.*
+ 357d2: 1dee.*
+ 357d3: 661e.*
+ 357d4: 1cf6.*
+ 357d5: 661f.*
+ 357d6: 1df6.*
+ 357d7: 6620.*
+ 357d8: 1cf8.*
+ 357d9: 6621.*
+ 357da: 1df8.*
+ 357db: 6622.*
+ 357dc: 1c87.*
+ 357dd: 1d87.*
+ 357de: 1c8f.*
+ 357df: 1d8f.*
+ 357e0: 1c97.*
+ 357e1: 1d97.*
+ 357e2: 1ca7.*
+ 357e3: 1da7.*
+ 357e4: 1caf.*
+ 357e5: 1daf.*
+ 357e6: 1cb7.*
+ 357e7: 1db7.*
+ 357e8: 1cbf.*
+ 357e9: 1dbf.*
+ 357ea: 1cc7.*
+ 357eb: 1dc7.*
+ 357ec: 1ccf.*
+ 357ed: 1dcf.*
+ 357ee: 1cd7.*
+ 357ef: 1dd7.*
+ 357f0: 1cdf.*
+ 357f1: 1ddf.*
+ 357f2: 1ce7.*
+ 357f3: 6623.*
+ 357f4: 1de7.*
+ 357f5: 6624.*
+ 357f6: 1cef.*
+ 357f7: 6625.*
+ 357f8: 1def.*
+ 357f9: 6626.*
+ 357fa: 1cf7.*
+ 357fb: 6627.*
+ 357fc: 1df7.*
+ 357fd: 6628.*
+ 357fe: 1cf8.*
+ 357ff: 6629.*
+ 35800: 1df8.*
+ 35801: 662a.*
+00035802 <testend>:
+ 35802: f073.*
+ 35803: 5802.*
+.*35803: ARELEXT16.*
diff --git a/gas/testsuite/gas/tic54x/all-opcodes.s b/gas/testsuite/gas/tic54x/all-opcodes.s
new file mode 100644
index 0000000..8ba6679
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/all-opcodes.s
@@ -0,0 +1,124668 @@
+;
+; Modified twall@cygnus.com 990920
+; Add extended addressing and LP insns
+
+ .title " *** all opcodes/mnemonics ***"
+
+start:
+ ;
+ ; ABDST : ABDST Xmem, Ymem : 256
+ ABDST *AR2, *AR2 ; 1
+ ABDST *AR2, *AR2- ; 2
+ ABDST *AR2, *AR2+ ; 3
+ ABDST *AR2, *AR2+0% ; 4
+ ABDST *AR2, *AR3 ; 5
+ ABDST *AR2, *AR3- ; 6
+ ABDST *AR2, *AR3+ ; 7
+ ABDST *AR2, *AR3+0% ; 8
+ ABDST *AR2, *AR4 ; 9
+ ABDST *AR2, *AR4- ; 10
+ ABDST *AR2, *AR4+ ; 11
+ ABDST *AR2, *AR4+0% ; 12
+ ABDST *AR2, *AR5 ; 13
+ ABDST *AR2, *AR5- ; 14
+ ABDST *AR2, *AR5+ ; 15
+ ABDST *AR2, *AR5+0% ; 16
+ ABDST *AR2-, *AR2 ; 17
+ ABDST *AR2-, *AR2- ; 18
+ ABDST *AR2-, *AR2+ ; 19
+ ABDST *AR2-, *AR2+0% ; 20
+ ABDST *AR2-, *AR3 ; 21
+ ABDST *AR2-, *AR3- ; 22
+ ABDST *AR2-, *AR3+ ; 23
+ ABDST *AR2-, *AR3+0% ; 24
+ ABDST *AR2-, *AR4 ; 25
+ ABDST *AR2-, *AR4- ; 26
+ ABDST *AR2-, *AR4+ ; 27
+ ABDST *AR2-, *AR4+0% ; 28
+ ABDST *AR2-, *AR5 ; 29
+ ABDST *AR2-, *AR5- ; 30
+ ABDST *AR2-, *AR5+ ; 31
+ ABDST *AR2-, *AR5+0% ; 32
+ ABDST *AR2+, *AR2 ; 33
+ ABDST *AR2+, *AR2- ; 34
+ ABDST *AR2+, *AR2+ ; 35
+ ABDST *AR2+, *AR2+0% ; 36
+ ABDST *AR2+, *AR3 ; 37
+ ABDST *AR2+, *AR3- ; 38
+ ABDST *AR2+, *AR3+ ; 39
+ ABDST *AR2+, *AR3+0% ; 40
+ ABDST *AR2+, *AR4 ; 41
+ ABDST *AR2+, *AR4- ; 42
+ ABDST *AR2+, *AR4+ ; 43
+ ABDST *AR2+, *AR4+0% ; 44
+ ABDST *AR2+, *AR5 ; 45
+ ABDST *AR2+, *AR5- ; 46
+ ABDST *AR2+, *AR5+ ; 47
+ ABDST *AR2+, *AR5+0% ; 48
+ ABDST *AR2+0%, *AR2 ; 49
+ ABDST *AR2+0%, *AR2- ; 50
+ ABDST *AR2+0%, *AR2+ ; 51
+ ABDST *AR2+0%, *AR2+0% ; 52
+ ABDST *AR2+0%, *AR3 ; 53
+ ABDST *AR2+0%, *AR3- ; 54
+ ABDST *AR2+0%, *AR3+ ; 55
+ ABDST *AR2+0%, *AR3+0% ; 56
+ ABDST *AR2+0%, *AR4 ; 57
+ ABDST *AR2+0%, *AR4- ; 58
+ ABDST *AR2+0%, *AR4+ ; 59
+ ABDST *AR2+0%, *AR4+0% ; 60
+ ABDST *AR2+0%, *AR5 ; 61
+ ABDST *AR2+0%, *AR5- ; 62
+ ABDST *AR2+0%, *AR5+ ; 63
+ ABDST *AR2+0%, *AR5+0% ; 64
+ ABDST *AR3, *AR2 ; 65
+ ABDST *AR3, *AR2- ; 66
+ ABDST *AR3, *AR2+ ; 67
+ ABDST *AR3, *AR2+0% ; 68
+ ABDST *AR3, *AR3 ; 69
+ ABDST *AR3, *AR3- ; 70
+ ABDST *AR3, *AR3+ ; 71
+ ABDST *AR3, *AR3+0% ; 72
+ ABDST *AR3, *AR4 ; 73
+ ABDST *AR3, *AR4- ; 74
+ ABDST *AR3, *AR4+ ; 75
+ ABDST *AR3, *AR4+0% ; 76
+ ABDST *AR3, *AR5 ; 77
+ ABDST *AR3, *AR5- ; 78
+ ABDST *AR3, *AR5+ ; 79
+ ABDST *AR3, *AR5+0% ; 80
+ ABDST *AR3-, *AR2 ; 81
+ ABDST *AR3-, *AR2- ; 82
+ ABDST *AR3-, *AR2+ ; 83
+ ABDST *AR3-, *AR2+0% ; 84
+ ABDST *AR3-, *AR3 ; 85
+ ABDST *AR3-, *AR3- ; 86
+ ABDST *AR3-, *AR3+ ; 87
+ ABDST *AR3-, *AR3+0% ; 88
+ ABDST *AR3-, *AR4 ; 89
+ ABDST *AR3-, *AR4- ; 90
+ ABDST *AR3-, *AR4+ ; 91
+ ABDST *AR3-, *AR4+0% ; 92
+ ABDST *AR3-, *AR5 ; 93
+ ABDST *AR3-, *AR5- ; 94
+ ABDST *AR3-, *AR5+ ; 95
+ ABDST *AR3-, *AR5+0% ; 96
+ ABDST *AR3+, *AR2 ; 97
+ ABDST *AR3+, *AR2- ; 98
+ ABDST *AR3+, *AR2+ ; 99
+ ABDST *AR3+, *AR2+0% ; 100
+ ABDST *AR3+, *AR3 ; 101
+ ABDST *AR3+, *AR3- ; 102
+ ABDST *AR3+, *AR3+ ; 103
+ ABDST *AR3+, *AR3+0% ; 104
+ ABDST *AR3+, *AR4 ; 105
+ ABDST *AR3+, *AR4- ; 106
+ ABDST *AR3+, *AR4+ ; 107
+ ABDST *AR3+, *AR4+0% ; 108
+ ABDST *AR3+, *AR5 ; 109
+ ABDST *AR3+, *AR5- ; 110
+ ABDST *AR3+, *AR5+ ; 111
+ ABDST *AR3+, *AR5+0% ; 112
+ ABDST *AR3+0%, *AR2 ; 113
+ ABDST *AR3+0%, *AR2- ; 114
+ ABDST *AR3+0%, *AR2+ ; 115
+ ABDST *AR3+0%, *AR2+0% ; 116
+ ABDST *AR3+0%, *AR3 ; 117
+ ABDST *AR3+0%, *AR3- ; 118
+ ABDST *AR3+0%, *AR3+ ; 119
+ ABDST *AR3+0%, *AR3+0% ; 120
+ ABDST *AR3+0%, *AR4 ; 121
+ ABDST *AR3+0%, *AR4- ; 122
+ ABDST *AR3+0%, *AR4+ ; 123
+ ABDST *AR3+0%, *AR4+0% ; 124
+ ABDST *AR3+0%, *AR5 ; 125
+ ABDST *AR3+0%, *AR5- ; 126
+ ABDST *AR3+0%, *AR5+ ; 127
+ ABDST *AR3+0%, *AR5+0% ; 128
+ ABDST *AR4, *AR2 ; 129
+ ABDST *AR4, *AR2- ; 130
+ ABDST *AR4, *AR2+ ; 131
+ ABDST *AR4, *AR2+0% ; 132
+ ABDST *AR4, *AR3 ; 133
+ ABDST *AR4, *AR3- ; 134
+ ABDST *AR4, *AR3+ ; 135
+ ABDST *AR4, *AR3+0% ; 136
+ ABDST *AR4, *AR4 ; 137
+ ABDST *AR4, *AR4- ; 138
+ ABDST *AR4, *AR4+ ; 139
+ ABDST *AR4, *AR4+0% ; 140
+ ABDST *AR4, *AR5 ; 141
+ ABDST *AR4, *AR5- ; 142
+ ABDST *AR4, *AR5+ ; 143
+ ABDST *AR4, *AR5+0% ; 144
+ ABDST *AR4-, *AR2 ; 145
+ ABDST *AR4-, *AR2- ; 146
+ ABDST *AR4-, *AR2+ ; 147
+ ABDST *AR4-, *AR2+0% ; 148
+ ABDST *AR4-, *AR3 ; 149
+ ABDST *AR4-, *AR3- ; 150
+ ABDST *AR4-, *AR3+ ; 151
+ ABDST *AR4-, *AR3+0% ; 152
+ ABDST *AR4-, *AR4 ; 153
+ ABDST *AR4-, *AR4- ; 154
+ ABDST *AR4-, *AR4+ ; 155
+ ABDST *AR4-, *AR4+0% ; 156
+ ABDST *AR4-, *AR5 ; 157
+ ABDST *AR4-, *AR5- ; 158
+ ABDST *AR4-, *AR5+ ; 159
+ ABDST *AR4-, *AR5+0% ; 160
+ ABDST *AR4+, *AR2 ; 161
+ ABDST *AR4+, *AR2- ; 162
+ ABDST *AR4+, *AR2+ ; 163
+ ABDST *AR4+, *AR2+0% ; 164
+ ABDST *AR4+, *AR3 ; 165
+ ABDST *AR4+, *AR3- ; 166
+ ABDST *AR4+, *AR3+ ; 167
+ ABDST *AR4+, *AR3+0% ; 168
+ ABDST *AR4+, *AR4 ; 169
+ ABDST *AR4+, *AR4- ; 170
+ ABDST *AR4+, *AR4+ ; 171
+ ABDST *AR4+, *AR4+0% ; 172
+ ABDST *AR4+, *AR5 ; 173
+ ABDST *AR4+, *AR5- ; 174
+ ABDST *AR4+, *AR5+ ; 175
+ ABDST *AR4+, *AR5+0% ; 176
+ ABDST *AR4+0%, *AR2 ; 177
+ ABDST *AR4+0%, *AR2- ; 178
+ ABDST *AR4+0%, *AR2+ ; 179
+ ABDST *AR4+0%, *AR2+0% ; 180
+ ABDST *AR4+0%, *AR3 ; 181
+ ABDST *AR4+0%, *AR3- ; 182
+ ABDST *AR4+0%, *AR3+ ; 183
+ ABDST *AR4+0%, *AR3+0% ; 184
+ ABDST *AR4+0%, *AR4 ; 185
+ ABDST *AR4+0%, *AR4- ; 186
+ ABDST *AR4+0%, *AR4+ ; 187
+ ABDST *AR4+0%, *AR4+0% ; 188
+ ABDST *AR4+0%, *AR5 ; 189
+ ABDST *AR4+0%, *AR5- ; 190
+ ABDST *AR4+0%, *AR5+ ; 191
+ ABDST *AR4+0%, *AR5+0% ; 192
+ ABDST *AR5, *AR2 ; 193
+ ABDST *AR5, *AR2- ; 194
+ ABDST *AR5, *AR2+ ; 195
+ ABDST *AR5, *AR2+0% ; 196
+ ABDST *AR5, *AR3 ; 197
+ ABDST *AR5, *AR3- ; 198
+ ABDST *AR5, *AR3+ ; 199
+ ABDST *AR5, *AR3+0% ; 200
+ ABDST *AR5, *AR4 ; 201
+ ABDST *AR5, *AR4- ; 202
+ ABDST *AR5, *AR4+ ; 203
+ ABDST *AR5, *AR4+0% ; 204
+ ABDST *AR5, *AR5 ; 205
+ ABDST *AR5, *AR5- ; 206
+ ABDST *AR5, *AR5+ ; 207
+ ABDST *AR5, *AR5+0% ; 208
+ ABDST *AR5-, *AR2 ; 209
+ ABDST *AR5-, *AR2- ; 210
+ ABDST *AR5-, *AR2+ ; 211
+ ABDST *AR5-, *AR2+0% ; 212
+ ABDST *AR5-, *AR3 ; 213
+ ABDST *AR5-, *AR3- ; 214
+ ABDST *AR5-, *AR3+ ; 215
+ ABDST *AR5-, *AR3+0% ; 216
+ ABDST *AR5-, *AR4 ; 217
+ ABDST *AR5-, *AR4- ; 218
+ ABDST *AR5-, *AR4+ ; 219
+ ABDST *AR5-, *AR4+0% ; 220
+ ABDST *AR5-, *AR5 ; 221
+ ABDST *AR5-, *AR5- ; 222
+ ABDST *AR5-, *AR5+ ; 223
+ ABDST *AR5-, *AR5+0% ; 224
+ ABDST *AR5+, *AR2 ; 225
+ ABDST *AR5+, *AR2- ; 226
+ ABDST *AR5+, *AR2+ ; 227
+ ABDST *AR5+, *AR2+0% ; 228
+ ABDST *AR5+, *AR3 ; 229
+ ABDST *AR5+, *AR3- ; 230
+ ABDST *AR5+, *AR3+ ; 231
+ ABDST *AR5+, *AR3+0% ; 232
+ ABDST *AR5+, *AR4 ; 233
+ ABDST *AR5+, *AR4- ; 234
+ ABDST *AR5+, *AR4+ ; 235
+ ABDST *AR5+, *AR4+0% ; 236
+ ABDST *AR5+, *AR5 ; 237
+ ABDST *AR5+, *AR5- ; 238
+ ABDST *AR5+, *AR5+ ; 239
+ ABDST *AR5+, *AR5+0% ; 240
+ ABDST *AR5+0%, *AR2 ; 241
+ ABDST *AR5+0%, *AR2- ; 242
+ ABDST *AR5+0%, *AR2+ ; 243
+ ABDST *AR5+0%, *AR2+0% ; 244
+ ABDST *AR5+0%, *AR3 ; 245
+ ABDST *AR5+0%, *AR3- ; 246
+ ABDST *AR5+0%, *AR3+ ; 247
+ ABDST *AR5+0%, *AR3+0% ; 248
+ ABDST *AR5+0%, *AR4 ; 249
+ ABDST *AR5+0%, *AR4- ; 250
+ ABDST *AR5+0%, *AR4+ ; 251
+ ABDST *AR5+0%, *AR4+0% ; 252
+ ABDST *AR5+0%, *AR5 ; 253
+ ABDST *AR5+0%, *AR5- ; 254
+ ABDST *AR5+0%, *AR5+ ; 255
+ ABDST *AR5+0%, *AR5+0% ; 256
+ ; ABS : ABS AB, AB2 : 6
+ ABS A, A ; 1
+ ABS A, B ; 2
+ ABS A ; 3
+ ABS B, A ; 4
+ ABS B, B ; 5
+ ABS B ; 6
+ ; ADD16lk : ADD lk, 16, AB, AB2 : 6
+ ADD #00000h, 16, A, A ; 1
+ ADD #00001h, 16, A, B ; 2
+ ADD #00002h, 16, A ; 3
+ ADD #00003h, 16, B, A ; 4
+ ADD #00004h, 16, B, B ; 5
+ ADD #00005h, 16, B ; 6
+ ; ADD16smem : ADD Smem, 16, AB, AB2 : 6
+ ADD 00h, 16, A, A ; 1
+ ADD 01h, 16, A, B ; 2
+ ADD 02h, 16, A ; 3
+ ADD 03h, 16, B, A ; 4
+ ADD 04h, 16, B, B ; 5
+ ADD 05h, 16, B ; 6
+ ; ADD16smem_I : ADD Smem_I, 16, AB, AB2 : 720
+ ADD *AR0, 16, A, A ; 1
+ ADD *AR0, 16, A, B ; 2
+ ADD *AR0, 16, A ; 3
+ ADD *AR0, 16, B, A ; 4
+ ADD *AR0, 16, B, B ; 5
+ ADD *AR0, 16, B ; 6
+ ADD *AR0-, 16, A, A ; 7
+ ADD *AR0-, 16, A, B ; 8
+ ADD *AR0-, 16, A ; 9
+ ADD *AR0-, 16, B, A ; 10
+ ADD *AR0-, 16, B, B ; 11
+ ADD *AR0-, 16, B ; 12
+ ADD *AR0+, 16, A, A ; 13
+ ADD *AR0+, 16, A, B ; 14
+ ADD *AR0+, 16, A ; 15
+ ADD *AR0+, 16, B, A ; 16
+ ADD *AR0+, 16, B, B ; 17
+ ADD *AR0+, 16, B ; 18
+ ADD *AR0-0B, 16, A, A ; 19
+ ADD *AR0-0B, 16, A, B ; 20
+ ADD *AR0-0B, 16, A ; 21
+ ADD *AR0-0B, 16, B, A ; 22
+ ADD *AR0-0B, 16, B, B ; 23
+ ADD *AR0-0B, 16, B ; 24
+ ADD *AR0-0, 16, A, A ; 25
+ ADD *AR0-0, 16, A, B ; 26
+ ADD *AR0-0, 16, A ; 27
+ ADD *AR0-0, 16, B, A ; 28
+ ADD *AR0-0, 16, B, B ; 29
+ ADD *AR0-0, 16, B ; 30
+ ADD *AR0+0, 16, A, A ; 31
+ ADD *AR0+0, 16, A, B ; 32
+ ADD *AR0+0, 16, A ; 33
+ ADD *AR0+0, 16, B, A ; 34
+ ADD *AR0+0, 16, B, B ; 35
+ ADD *AR0+0, 16, B ; 36
+ ADD *AR0+0B, 16, A, A ; 37
+ ADD *AR0+0B, 16, A, B ; 38
+ ADD *AR0+0B, 16, A ; 39
+ ADD *AR0+0B, 16, B, A ; 40
+ ADD *AR0+0B, 16, B, B ; 41
+ ADD *AR0+0B, 16, B ; 42
+ ADD *AR0-%, 16, A, A ; 43
+ ADD *AR0-%, 16, A, B ; 44
+ ADD *AR0-%, 16, A ; 45
+ ADD *AR0-%, 16, B, A ; 46
+ ADD *AR0-%, 16, B, B ; 47
+ ADD *AR0-%, 16, B ; 48
+ ADD *AR0-0%, 16, A, A ; 49
+ ADD *AR0-0%, 16, A, B ; 50
+ ADD *AR0-0%, 16, A ; 51
+ ADD *AR0-0%, 16, B, A ; 52
+ ADD *AR0-0%, 16, B, B ; 53
+ ADD *AR0-0%, 16, B ; 54
+ ADD *AR0+%, 16, A, A ; 55
+ ADD *AR0+%, 16, A, B ; 56
+ ADD *AR0+%, 16, A ; 57
+ ADD *AR0+%, 16, B, A ; 58
+ ADD *AR0+%, 16, B, B ; 59
+ ADD *AR0+%, 16, B ; 60
+ ADD *AR0+0%, 16, A, A ; 61
+ ADD *AR0+0%, 16, A, B ; 62
+ ADD *AR0+0%, 16, A ; 63
+ ADD *AR0+0%, 16, B, A ; 64
+ ADD *AR0+0%, 16, B, B ; 65
+ ADD *AR0+0%, 16, B ; 66
+ ADD *AR0(00006h), 16, A, A ; 67
+ ADD *AR0(00007h), 16, A, B ; 68
+ ADD *AR0(00008h), 16, A ; 69
+ ADD *AR0(00009h), 16, B, A ; 70
+ ADD *AR0(0000Ah), 16, B, B ; 71
+ ADD *AR0(0000Bh), 16, B ; 72
+ ADD *+AR0(0000Ch), 16, A, A ; 73
+ ADD *+AR0(0000Dh), 16, A, B ; 74
+ ADD *+AR0(0000Eh), 16, A ; 75
+ ADD *+AR0(0000Fh), 16, B, A ; 76
+ ADD *+AR0(00010h), 16, B, B ; 77
+ ADD *+AR0(00011h), 16, B ; 78
+ ADD *+AR0(00012h)%, 16, A, A ; 79
+ ADD *+AR0(00013h)%, 16, A, B ; 80
+ ADD *+AR0(00014h)%, 16, A ; 81
+ ADD *+AR0(00015h)%, 16, B, A ; 82
+ ADD *+AR0(00016h)%, 16, B, B ; 83
+ ADD *+AR0(00017h)%, 16, B ; 84
+ ADD *(00018h), 16, A, A ; 85
+ ADD *(00019h), 16, A, B ; 86
+ ADD *(0001Ah), 16, A ; 87
+ ADD *(0001Bh), 16, B, A ; 88
+ ADD *(0001Ch), 16, B, B ; 89
+ ADD *(0001Dh), 16, B ; 90
+ ADD *AR1, 16, A, A ; 91
+ ADD *AR1, 16, A, B ; 92
+ ADD *AR1, 16, A ; 93
+ ADD *AR1, 16, B, A ; 94
+ ADD *AR1, 16, B, B ; 95
+ ADD *AR1, 16, B ; 96
+ ADD *AR1-, 16, A, A ; 97
+ ADD *AR1-, 16, A, B ; 98
+ ADD *AR1-, 16, A ; 99
+ ADD *AR1-, 16, B, A ; 100
+ ADD *AR1-, 16, B, B ; 101
+ ADD *AR1-, 16, B ; 102
+ ADD *AR1+, 16, A, A ; 103
+ ADD *AR1+, 16, A, B ; 104
+ ADD *AR1+, 16, A ; 105
+ ADD *AR1+, 16, B, A ; 106
+ ADD *AR1+, 16, B, B ; 107
+ ADD *AR1+, 16, B ; 108
+ ADD *AR1-0B, 16, A, A ; 109
+ ADD *AR1-0B, 16, A, B ; 110
+ ADD *AR1-0B, 16, A ; 111
+ ADD *AR1-0B, 16, B, A ; 112
+ ADD *AR1-0B, 16, B, B ; 113
+ ADD *AR1-0B, 16, B ; 114
+ ADD *AR1-0, 16, A, A ; 115
+ ADD *AR1-0, 16, A, B ; 116
+ ADD *AR1-0, 16, A ; 117
+ ADD *AR1-0, 16, B, A ; 118
+ ADD *AR1-0, 16, B, B ; 119
+ ADD *AR1-0, 16, B ; 120
+ ADD *AR1+0, 16, A, A ; 121
+ ADD *AR1+0, 16, A, B ; 122
+ ADD *AR1+0, 16, A ; 123
+ ADD *AR1+0, 16, B, A ; 124
+ ADD *AR1+0, 16, B, B ; 125
+ ADD *AR1+0, 16, B ; 126
+ ADD *AR1+0B, 16, A, A ; 127
+ ADD *AR1+0B, 16, A, B ; 128
+ ADD *AR1+0B, 16, A ; 129
+ ADD *AR1+0B, 16, B, A ; 130
+ ADD *AR1+0B, 16, B, B ; 131
+ ADD *AR1+0B, 16, B ; 132
+ ADD *AR1-%, 16, A, A ; 133
+ ADD *AR1-%, 16, A, B ; 134
+ ADD *AR1-%, 16, A ; 135
+ ADD *AR1-%, 16, B, A ; 136
+ ADD *AR1-%, 16, B, B ; 137
+ ADD *AR1-%, 16, B ; 138
+ ADD *AR1-0%, 16, A, A ; 139
+ ADD *AR1-0%, 16, A, B ; 140
+ ADD *AR1-0%, 16, A ; 141
+ ADD *AR1-0%, 16, B, A ; 142
+ ADD *AR1-0%, 16, B, B ; 143
+ ADD *AR1-0%, 16, B ; 144
+ ADD *AR1+%, 16, A, A ; 145
+ ADD *AR1+%, 16, A, B ; 146
+ ADD *AR1+%, 16, A ; 147
+ ADD *AR1+%, 16, B, A ; 148
+ ADD *AR1+%, 16, B, B ; 149
+ ADD *AR1+%, 16, B ; 150
+ ADD *AR1+0%, 16, A, A ; 151
+ ADD *AR1+0%, 16, A, B ; 152
+ ADD *AR1+0%, 16, A ; 153
+ ADD *AR1+0%, 16, B, A ; 154
+ ADD *AR1+0%, 16, B, B ; 155
+ ADD *AR1+0%, 16, B ; 156
+ ADD *AR1(0001Eh), 16, A, A ; 157
+ ADD *AR1(0001Fh), 16, A, B ; 158
+ ADD *AR1(00020h), 16, A ; 159
+ ADD *AR1(00021h), 16, B, A ; 160
+ ADD *AR1(00022h), 16, B, B ; 161
+ ADD *AR1(00023h), 16, B ; 162
+ ADD *+AR1(00024h), 16, A, A ; 163
+ ADD *+AR1(00025h), 16, A, B ; 164
+ ADD *+AR1(00026h), 16, A ; 165
+ ADD *+AR1(00027h), 16, B, A ; 166
+ ADD *+AR1(00028h), 16, B, B ; 167
+ ADD *+AR1(00029h), 16, B ; 168
+ ADD *+AR1(0002Ah)%, 16, A, A ; 169
+ ADD *+AR1(0002Bh)%, 16, A, B ; 170
+ ADD *+AR1(0002Ch)%, 16, A ; 171
+ ADD *+AR1(0002Dh)%, 16, B, A ; 172
+ ADD *+AR1(0002Eh)%, 16, B, B ; 173
+ ADD *+AR1(0002Fh)%, 16, B ; 174
+ ADD *(00030h), 16, A, A ; 175
+ ADD *(00031h), 16, A, B ; 176
+ ADD *(00032h), 16, A ; 177
+ ADD *(00033h), 16, B, A ; 178
+ ADD *(00034h), 16, B, B ; 179
+ ADD *(00035h), 16, B ; 180
+ ADD *AR2, 16, A, A ; 181
+ ADD *AR2, 16, A, B ; 182
+ ADD *AR2, 16, A ; 183
+ ADD *AR2, 16, B, A ; 184
+ ADD *AR2, 16, B, B ; 185
+ ADD *AR2, 16, B ; 186
+ ADD *AR2-, 16, A, A ; 187
+ ADD *AR2-, 16, A, B ; 188
+ ADD *AR2-, 16, A ; 189
+ ADD *AR2-, 16, B, A ; 190
+ ADD *AR2-, 16, B, B ; 191
+ ADD *AR2-, 16, B ; 192
+ ADD *AR2+, 16, A, A ; 193
+ ADD *AR2+, 16, A, B ; 194
+ ADD *AR2+, 16, A ; 195
+ ADD *AR2+, 16, B, A ; 196
+ ADD *AR2+, 16, B, B ; 197
+ ADD *AR2+, 16, B ; 198
+ ADD *AR2-0B, 16, A, A ; 199
+ ADD *AR2-0B, 16, A, B ; 200
+ ADD *AR2-0B, 16, A ; 201
+ ADD *AR2-0B, 16, B, A ; 202
+ ADD *AR2-0B, 16, B, B ; 203
+ ADD *AR2-0B, 16, B ; 204
+ ADD *AR2-0, 16, A, A ; 205
+ ADD *AR2-0, 16, A, B ; 206
+ ADD *AR2-0, 16, A ; 207
+ ADD *AR2-0, 16, B, A ; 208
+ ADD *AR2-0, 16, B, B ; 209
+ ADD *AR2-0, 16, B ; 210
+ ADD *AR2+0, 16, A, A ; 211
+ ADD *AR2+0, 16, A, B ; 212
+ ADD *AR2+0, 16, A ; 213
+ ADD *AR2+0, 16, B, A ; 214
+ ADD *AR2+0, 16, B, B ; 215
+ ADD *AR2+0, 16, B ; 216
+ ADD *AR2+0B, 16, A, A ; 217
+ ADD *AR2+0B, 16, A, B ; 218
+ ADD *AR2+0B, 16, A ; 219
+ ADD *AR2+0B, 16, B, A ; 220
+ ADD *AR2+0B, 16, B, B ; 221
+ ADD *AR2+0B, 16, B ; 222
+ ADD *AR2-%, 16, A, A ; 223
+ ADD *AR2-%, 16, A, B ; 224
+ ADD *AR2-%, 16, A ; 225
+ ADD *AR2-%, 16, B, A ; 226
+ ADD *AR2-%, 16, B, B ; 227
+ ADD *AR2-%, 16, B ; 228
+ ADD *AR2-0%, 16, A, A ; 229
+ ADD *AR2-0%, 16, A, B ; 230
+ ADD *AR2-0%, 16, A ; 231
+ ADD *AR2-0%, 16, B, A ; 232
+ ADD *AR2-0%, 16, B, B ; 233
+ ADD *AR2-0%, 16, B ; 234
+ ADD *AR2+%, 16, A, A ; 235
+ ADD *AR2+%, 16, A, B ; 236
+ ADD *AR2+%, 16, A ; 237
+ ADD *AR2+%, 16, B, A ; 238
+ ADD *AR2+%, 16, B, B ; 239
+ ADD *AR2+%, 16, B ; 240
+ ADD *AR2+0%, 16, A, A ; 241
+ ADD *AR2+0%, 16, A, B ; 242
+ ADD *AR2+0%, 16, A ; 243
+ ADD *AR2+0%, 16, B, A ; 244
+ ADD *AR2+0%, 16, B, B ; 245
+ ADD *AR2+0%, 16, B ; 246
+ ADD *AR2(00036h), 16, A, A ; 247
+ ADD *AR2(00037h), 16, A, B ; 248
+ ADD *AR2(00038h), 16, A ; 249
+ ADD *AR2(00039h), 16, B, A ; 250
+ ADD *AR2(0003Ah), 16, B, B ; 251
+ ADD *AR2(0003Bh), 16, B ; 252
+ ADD *+AR2(0003Ch), 16, A, A ; 253
+ ADD *+AR2(0003Dh), 16, A, B ; 254
+ ADD *+AR2(0003Eh), 16, A ; 255
+ ADD *+AR2(0003Fh), 16, B, A ; 256
+ ADD *+AR2(00040h), 16, B, B ; 257
+ ADD *+AR2(00041h), 16, B ; 258
+ ADD *+AR2(00042h)%, 16, A, A ; 259
+ ADD *+AR2(00043h)%, 16, A, B ; 260
+ ADD *+AR2(00044h)%, 16, A ; 261
+ ADD *+AR2(00045h)%, 16, B, A ; 262
+ ADD *+AR2(00046h)%, 16, B, B ; 263
+ ADD *+AR2(00047h)%, 16, B ; 264
+ ADD *(00048h), 16, A, A ; 265
+ ADD *(00049h), 16, A, B ; 266
+ ADD *(0004Ah), 16, A ; 267
+ ADD *(0004Bh), 16, B, A ; 268
+ ADD *(0004Ch), 16, B, B ; 269
+ ADD *(0004Dh), 16, B ; 270
+ ADD *AR3, 16, A, A ; 271
+ ADD *AR3, 16, A, B ; 272
+ ADD *AR3, 16, A ; 273
+ ADD *AR3, 16, B, A ; 274
+ ADD *AR3, 16, B, B ; 275
+ ADD *AR3, 16, B ; 276
+ ADD *AR3-, 16, A, A ; 277
+ ADD *AR3-, 16, A, B ; 278
+ ADD *AR3-, 16, A ; 279
+ ADD *AR3-, 16, B, A ; 280
+ ADD *AR3-, 16, B, B ; 281
+ ADD *AR3-, 16, B ; 282
+ ADD *AR3+, 16, A, A ; 283
+ ADD *AR3+, 16, A, B ; 284
+ ADD *AR3+, 16, A ; 285
+ ADD *AR3+, 16, B, A ; 286
+ ADD *AR3+, 16, B, B ; 287
+ ADD *AR3+, 16, B ; 288
+ ADD *AR3-0B, 16, A, A ; 289
+ ADD *AR3-0B, 16, A, B ; 290
+ ADD *AR3-0B, 16, A ; 291
+ ADD *AR3-0B, 16, B, A ; 292
+ ADD *AR3-0B, 16, B, B ; 293
+ ADD *AR3-0B, 16, B ; 294
+ ADD *AR3-0, 16, A, A ; 295
+ ADD *AR3-0, 16, A, B ; 296
+ ADD *AR3-0, 16, A ; 297
+ ADD *AR3-0, 16, B, A ; 298
+ ADD *AR3-0, 16, B, B ; 299
+ ADD *AR3-0, 16, B ; 300
+ ADD *AR3+0, 16, A, A ; 301
+ ADD *AR3+0, 16, A, B ; 302
+ ADD *AR3+0, 16, A ; 303
+ ADD *AR3+0, 16, B, A ; 304
+ ADD *AR3+0, 16, B, B ; 305
+ ADD *AR3+0, 16, B ; 306
+ ADD *AR3+0B, 16, A, A ; 307
+ ADD *AR3+0B, 16, A, B ; 308
+ ADD *AR3+0B, 16, A ; 309
+ ADD *AR3+0B, 16, B, A ; 310
+ ADD *AR3+0B, 16, B, B ; 311
+ ADD *AR3+0B, 16, B ; 312
+ ADD *AR3-%, 16, A, A ; 313
+ ADD *AR3-%, 16, A, B ; 314
+ ADD *AR3-%, 16, A ; 315
+ ADD *AR3-%, 16, B, A ; 316
+ ADD *AR3-%, 16, B, B ; 317
+ ADD *AR3-%, 16, B ; 318
+ ADD *AR3-0%, 16, A, A ; 319
+ ADD *AR3-0%, 16, A, B ; 320
+ ADD *AR3-0%, 16, A ; 321
+ ADD *AR3-0%, 16, B, A ; 322
+ ADD *AR3-0%, 16, B, B ; 323
+ ADD *AR3-0%, 16, B ; 324
+ ADD *AR3+%, 16, A, A ; 325
+ ADD *AR3+%, 16, A, B ; 326
+ ADD *AR3+%, 16, A ; 327
+ ADD *AR3+%, 16, B, A ; 328
+ ADD *AR3+%, 16, B, B ; 329
+ ADD *AR3+%, 16, B ; 330
+ ADD *AR3+0%, 16, A, A ; 331
+ ADD *AR3+0%, 16, A, B ; 332
+ ADD *AR3+0%, 16, A ; 333
+ ADD *AR3+0%, 16, B, A ; 334
+ ADD *AR3+0%, 16, B, B ; 335
+ ADD *AR3+0%, 16, B ; 336
+ ADD *AR3(0004Eh), 16, A, A ; 337
+ ADD *AR3(0004Fh), 16, A, B ; 338
+ ADD *AR3(00050h), 16, A ; 339
+ ADD *AR3(00051h), 16, B, A ; 340
+ ADD *AR3(00052h), 16, B, B ; 341
+ ADD *AR3(00053h), 16, B ; 342
+ ADD *+AR3(00054h), 16, A, A ; 343
+ ADD *+AR3(00055h), 16, A, B ; 344
+ ADD *+AR3(00056h), 16, A ; 345
+ ADD *+AR3(00057h), 16, B, A ; 346
+ ADD *+AR3(00058h), 16, B, B ; 347
+ ADD *+AR3(00059h), 16, B ; 348
+ ADD *+AR3(0005Ah)%, 16, A, A ; 349
+ ADD *+AR3(0005Bh)%, 16, A, B ; 350
+ ADD *+AR3(0005Ch)%, 16, A ; 351
+ ADD *+AR3(0005Dh)%, 16, B, A ; 352
+ ADD *+AR3(0005Eh)%, 16, B, B ; 353
+ ADD *+AR3(0005Fh)%, 16, B ; 354
+ ADD *(00060h), 16, A, A ; 355
+ ADD *(00061h), 16, A, B ; 356
+ ADD *(00062h), 16, A ; 357
+ ADD *(00063h), 16, B, A ; 358
+ ADD *(00064h), 16, B, B ; 359
+ ADD *(00065h), 16, B ; 360
+ ADD *AR4, 16, A, A ; 361
+ ADD *AR4, 16, A, B ; 362
+ ADD *AR4, 16, A ; 363
+ ADD *AR4, 16, B, A ; 364
+ ADD *AR4, 16, B, B ; 365
+ ADD *AR4, 16, B ; 366
+ ADD *AR4-, 16, A, A ; 367
+ ADD *AR4-, 16, A, B ; 368
+ ADD *AR4-, 16, A ; 369
+ ADD *AR4-, 16, B, A ; 370
+ ADD *AR4-, 16, B, B ; 371
+ ADD *AR4-, 16, B ; 372
+ ADD *AR4+, 16, A, A ; 373
+ ADD *AR4+, 16, A, B ; 374
+ ADD *AR4+, 16, A ; 375
+ ADD *AR4+, 16, B, A ; 376
+ ADD *AR4+, 16, B, B ; 377
+ ADD *AR4+, 16, B ; 378
+ ADD *AR4-0B, 16, A, A ; 379
+ ADD *AR4-0B, 16, A, B ; 380
+ ADD *AR4-0B, 16, A ; 381
+ ADD *AR4-0B, 16, B, A ; 382
+ ADD *AR4-0B, 16, B, B ; 383
+ ADD *AR4-0B, 16, B ; 384
+ ADD *AR4-0, 16, A, A ; 385
+ ADD *AR4-0, 16, A, B ; 386
+ ADD *AR4-0, 16, A ; 387
+ ADD *AR4-0, 16, B, A ; 388
+ ADD *AR4-0, 16, B, B ; 389
+ ADD *AR4-0, 16, B ; 390
+ ADD *AR4+0, 16, A, A ; 391
+ ADD *AR4+0, 16, A, B ; 392
+ ADD *AR4+0, 16, A ; 393
+ ADD *AR4+0, 16, B, A ; 394
+ ADD *AR4+0, 16, B, B ; 395
+ ADD *AR4+0, 16, B ; 396
+ ADD *AR4+0B, 16, A, A ; 397
+ ADD *AR4+0B, 16, A, B ; 398
+ ADD *AR4+0B, 16, A ; 399
+ ADD *AR4+0B, 16, B, A ; 400
+ ADD *AR4+0B, 16, B, B ; 401
+ ADD *AR4+0B, 16, B ; 402
+ ADD *AR4-%, 16, A, A ; 403
+ ADD *AR4-%, 16, A, B ; 404
+ ADD *AR4-%, 16, A ; 405
+ ADD *AR4-%, 16, B, A ; 406
+ ADD *AR4-%, 16, B, B ; 407
+ ADD *AR4-%, 16, B ; 408
+ ADD *AR4-0%, 16, A, A ; 409
+ ADD *AR4-0%, 16, A, B ; 410
+ ADD *AR4-0%, 16, A ; 411
+ ADD *AR4-0%, 16, B, A ; 412
+ ADD *AR4-0%, 16, B, B ; 413
+ ADD *AR4-0%, 16, B ; 414
+ ADD *AR4+%, 16, A, A ; 415
+ ADD *AR4+%, 16, A, B ; 416
+ ADD *AR4+%, 16, A ; 417
+ ADD *AR4+%, 16, B, A ; 418
+ ADD *AR4+%, 16, B, B ; 419
+ ADD *AR4+%, 16, B ; 420
+ ADD *AR4+0%, 16, A, A ; 421
+ ADD *AR4+0%, 16, A, B ; 422
+ ADD *AR4+0%, 16, A ; 423
+ ADD *AR4+0%, 16, B, A ; 424
+ ADD *AR4+0%, 16, B, B ; 425
+ ADD *AR4+0%, 16, B ; 426
+ ADD *AR4(00066h), 16, A, A ; 427
+ ADD *AR4(00067h), 16, A, B ; 428
+ ADD *AR4(00068h), 16, A ; 429
+ ADD *AR4(00069h), 16, B, A ; 430
+ ADD *AR4(0006Ah), 16, B, B ; 431
+ ADD *AR4(0006Bh), 16, B ; 432
+ ADD *+AR4(0006Ch), 16, A, A ; 433
+ ADD *+AR4(0006Dh), 16, A, B ; 434
+ ADD *+AR4(0006Eh), 16, A ; 435
+ ADD *+AR4(0006Fh), 16, B, A ; 436
+ ADD *+AR4(00070h), 16, B, B ; 437
+ ADD *+AR4(00071h), 16, B ; 438
+ ADD *+AR4(00072h)%, 16, A, A ; 439
+ ADD *+AR4(00073h)%, 16, A, B ; 440
+ ADD *+AR4(00074h)%, 16, A ; 441
+ ADD *+AR4(00075h)%, 16, B, A ; 442
+ ADD *+AR4(00076h)%, 16, B, B ; 443
+ ADD *+AR4(00077h)%, 16, B ; 444
+ ADD *(00078h), 16, A, A ; 445
+ ADD *(00079h), 16, A, B ; 446
+ ADD *(0007Ah), 16, A ; 447
+ ADD *(0007Bh), 16, B, A ; 448
+ ADD *(0007Ch), 16, B, B ; 449
+ ADD *(0007Dh), 16, B ; 450
+ ADD *AR5, 16, A, A ; 451
+ ADD *AR5, 16, A, B ; 452
+ ADD *AR5, 16, A ; 453
+ ADD *AR5, 16, B, A ; 454
+ ADD *AR5, 16, B, B ; 455
+ ADD *AR5, 16, B ; 456
+ ADD *AR5-, 16, A, A ; 457
+ ADD *AR5-, 16, A, B ; 458
+ ADD *AR5-, 16, A ; 459
+ ADD *AR5-, 16, B, A ; 460
+ ADD *AR5-, 16, B, B ; 461
+ ADD *AR5-, 16, B ; 462
+ ADD *AR5+, 16, A, A ; 463
+ ADD *AR5+, 16, A, B ; 464
+ ADD *AR5+, 16, A ; 465
+ ADD *AR5+, 16, B, A ; 466
+ ADD *AR5+, 16, B, B ; 467
+ ADD *AR5+, 16, B ; 468
+ ADD *AR5-0B, 16, A, A ; 469
+ ADD *AR5-0B, 16, A, B ; 470
+ ADD *AR5-0B, 16, A ; 471
+ ADD *AR5-0B, 16, B, A ; 472
+ ADD *AR5-0B, 16, B, B ; 473
+ ADD *AR5-0B, 16, B ; 474
+ ADD *AR5-0, 16, A, A ; 475
+ ADD *AR5-0, 16, A, B ; 476
+ ADD *AR5-0, 16, A ; 477
+ ADD *AR5-0, 16, B, A ; 478
+ ADD *AR5-0, 16, B, B ; 479
+ ADD *AR5-0, 16, B ; 480
+ ADD *AR5+0, 16, A, A ; 481
+ ADD *AR5+0, 16, A, B ; 482
+ ADD *AR5+0, 16, A ; 483
+ ADD *AR5+0, 16, B, A ; 484
+ ADD *AR5+0, 16, B, B ; 485
+ ADD *AR5+0, 16, B ; 486
+ ADD *AR5+0B, 16, A, A ; 487
+ ADD *AR5+0B, 16, A, B ; 488
+ ADD *AR5+0B, 16, A ; 489
+ ADD *AR5+0B, 16, B, A ; 490
+ ADD *AR5+0B, 16, B, B ; 491
+ ADD *AR5+0B, 16, B ; 492
+ ADD *AR5-%, 16, A, A ; 493
+ ADD *AR5-%, 16, A, B ; 494
+ ADD *AR5-%, 16, A ; 495
+ ADD *AR5-%, 16, B, A ; 496
+ ADD *AR5-%, 16, B, B ; 497
+ ADD *AR5-%, 16, B ; 498
+ ADD *AR5-0%, 16, A, A ; 499
+ ADD *AR5-0%, 16, A, B ; 500
+ ADD *AR5-0%, 16, A ; 501
+ ADD *AR5-0%, 16, B, A ; 502
+ ADD *AR5-0%, 16, B, B ; 503
+ ADD *AR5-0%, 16, B ; 504
+ ADD *AR5+%, 16, A, A ; 505
+ ADD *AR5+%, 16, A, B ; 506
+ ADD *AR5+%, 16, A ; 507
+ ADD *AR5+%, 16, B, A ; 508
+ ADD *AR5+%, 16, B, B ; 509
+ ADD *AR5+%, 16, B ; 510
+ ADD *AR5+0%, 16, A, A ; 511
+ ADD *AR5+0%, 16, A, B ; 512
+ ADD *AR5+0%, 16, A ; 513
+ ADD *AR5+0%, 16, B, A ; 514
+ ADD *AR5+0%, 16, B, B ; 515
+ ADD *AR5+0%, 16, B ; 516
+ ADD *AR5(0007Eh), 16, A, A ; 517
+ ADD *AR5(0007Fh), 16, A, B ; 518
+ ADD *AR5(00080h), 16, A ; 519
+ ADD *AR5(00081h), 16, B, A ; 520
+ ADD *AR5(00082h), 16, B, B ; 521
+ ADD *AR5(00083h), 16, B ; 522
+ ADD *+AR5(00084h), 16, A, A ; 523
+ ADD *+AR5(00085h), 16, A, B ; 524
+ ADD *+AR5(00086h), 16, A ; 525
+ ADD *+AR5(00087h), 16, B, A ; 526
+ ADD *+AR5(00088h), 16, B, B ; 527
+ ADD *+AR5(00089h), 16, B ; 528
+ ADD *+AR5(0008Ah)%, 16, A, A ; 529
+ ADD *+AR5(0008Bh)%, 16, A, B ; 530
+ ADD *+AR5(0008Ch)%, 16, A ; 531
+ ADD *+AR5(0008Dh)%, 16, B, A ; 532
+ ADD *+AR5(0008Eh)%, 16, B, B ; 533
+ ADD *+AR5(0008Fh)%, 16, B ; 534
+ ADD *(00090h), 16, A, A ; 535
+ ADD *(00091h), 16, A, B ; 536
+ ADD *(00092h), 16, A ; 537
+ ADD *(00093h), 16, B, A ; 538
+ ADD *(00094h), 16, B, B ; 539
+ ADD *(00095h), 16, B ; 540
+ ADD *AR6, 16, A, A ; 541
+ ADD *AR6, 16, A, B ; 542
+ ADD *AR6, 16, A ; 543
+ ADD *AR6, 16, B, A ; 544
+ ADD *AR6, 16, B, B ; 545
+ ADD *AR6, 16, B ; 546
+ ADD *AR6-, 16, A, A ; 547
+ ADD *AR6-, 16, A, B ; 548
+ ADD *AR6-, 16, A ; 549
+ ADD *AR6-, 16, B, A ; 550
+ ADD *AR6-, 16, B, B ; 551
+ ADD *AR6-, 16, B ; 552
+ ADD *AR6+, 16, A, A ; 553
+ ADD *AR6+, 16, A, B ; 554
+ ADD *AR6+, 16, A ; 555
+ ADD *AR6+, 16, B, A ; 556
+ ADD *AR6+, 16, B, B ; 557
+ ADD *AR6+, 16, B ; 558
+ ADD *AR6-0B, 16, A, A ; 559
+ ADD *AR6-0B, 16, A, B ; 560
+ ADD *AR6-0B, 16, A ; 561
+ ADD *AR6-0B, 16, B, A ; 562
+ ADD *AR6-0B, 16, B, B ; 563
+ ADD *AR6-0B, 16, B ; 564
+ ADD *AR6-0, 16, A, A ; 565
+ ADD *AR6-0, 16, A, B ; 566
+ ADD *AR6-0, 16, A ; 567
+ ADD *AR6-0, 16, B, A ; 568
+ ADD *AR6-0, 16, B, B ; 569
+ ADD *AR6-0, 16, B ; 570
+ ADD *AR6+0, 16, A, A ; 571
+ ADD *AR6+0, 16, A, B ; 572
+ ADD *AR6+0, 16, A ; 573
+ ADD *AR6+0, 16, B, A ; 574
+ ADD *AR6+0, 16, B, B ; 575
+ ADD *AR6+0, 16, B ; 576
+ ADD *AR6+0B, 16, A, A ; 577
+ ADD *AR6+0B, 16, A, B ; 578
+ ADD *AR6+0B, 16, A ; 579
+ ADD *AR6+0B, 16, B, A ; 580
+ ADD *AR6+0B, 16, B, B ; 581
+ ADD *AR6+0B, 16, B ; 582
+ ADD *AR6-%, 16, A, A ; 583
+ ADD *AR6-%, 16, A, B ; 584
+ ADD *AR6-%, 16, A ; 585
+ ADD *AR6-%, 16, B, A ; 586
+ ADD *AR6-%, 16, B, B ; 587
+ ADD *AR6-%, 16, B ; 588
+ ADD *AR6-0%, 16, A, A ; 589
+ ADD *AR6-0%, 16, A, B ; 590
+ ADD *AR6-0%, 16, A ; 591
+ ADD *AR6-0%, 16, B, A ; 592
+ ADD *AR6-0%, 16, B, B ; 593
+ ADD *AR6-0%, 16, B ; 594
+ ADD *AR6+%, 16, A, A ; 595
+ ADD *AR6+%, 16, A, B ; 596
+ ADD *AR6+%, 16, A ; 597
+ ADD *AR6+%, 16, B, A ; 598
+ ADD *AR6+%, 16, B, B ; 599
+ ADD *AR6+%, 16, B ; 600
+ ADD *AR6+0%, 16, A, A ; 601
+ ADD *AR6+0%, 16, A, B ; 602
+ ADD *AR6+0%, 16, A ; 603
+ ADD *AR6+0%, 16, B, A ; 604
+ ADD *AR6+0%, 16, B, B ; 605
+ ADD *AR6+0%, 16, B ; 606
+ ADD *AR6(00096h), 16, A, A ; 607
+ ADD *AR6(00097h), 16, A, B ; 608
+ ADD *AR6(00098h), 16, A ; 609
+ ADD *AR6(00099h), 16, B, A ; 610
+ ADD *AR6(0009Ah), 16, B, B ; 611
+ ADD *AR6(0009Bh), 16, B ; 612
+ ADD *+AR6(0009Ch), 16, A, A ; 613
+ ADD *+AR6(0009Dh), 16, A, B ; 614
+ ADD *+AR6(0009Eh), 16, A ; 615
+ ADD *+AR6(0009Fh), 16, B, A ; 616
+ ADD *+AR6(000A0h), 16, B, B ; 617
+ ADD *+AR6(000A1h), 16, B ; 618
+ ADD *+AR6(000A2h)%, 16, A, A ; 619
+ ADD *+AR6(000A3h)%, 16, A, B ; 620
+ ADD *+AR6(000A4h)%, 16, A ; 621
+ ADD *+AR6(000A5h)%, 16, B, A ; 622
+ ADD *+AR6(000A6h)%, 16, B, B ; 623
+ ADD *+AR6(000A7h)%, 16, B ; 624
+ ADD *(000A8h), 16, A, A ; 625
+ ADD *(000A9h), 16, A, B ; 626
+ ADD *(000AAh), 16, A ; 627
+ ADD *(000ABh), 16, B, A ; 628
+ ADD *(000ACh), 16, B, B ; 629
+ ADD *(000ADh), 16, B ; 630
+ ADD *AR7, 16, A, A ; 631
+ ADD *AR7, 16, A, B ; 632
+ ADD *AR7, 16, A ; 633
+ ADD *AR7, 16, B, A ; 634
+ ADD *AR7, 16, B, B ; 635
+ ADD *AR7, 16, B ; 636
+ ADD *AR7-, 16, A, A ; 637
+ ADD *AR7-, 16, A, B ; 638
+ ADD *AR7-, 16, A ; 639
+ ADD *AR7-, 16, B, A ; 640
+ ADD *AR7-, 16, B, B ; 641
+ ADD *AR7-, 16, B ; 642
+ ADD *AR7+, 16, A, A ; 643
+ ADD *AR7+, 16, A, B ; 644
+ ADD *AR7+, 16, A ; 645
+ ADD *AR7+, 16, B, A ; 646
+ ADD *AR7+, 16, B, B ; 647
+ ADD *AR7+, 16, B ; 648
+ ADD *AR7-0B, 16, A, A ; 649
+ ADD *AR7-0B, 16, A, B ; 650
+ ADD *AR7-0B, 16, A ; 651
+ ADD *AR7-0B, 16, B, A ; 652
+ ADD *AR7-0B, 16, B, B ; 653
+ ADD *AR7-0B, 16, B ; 654
+ ADD *AR7-0, 16, A, A ; 655
+ ADD *AR7-0, 16, A, B ; 656
+ ADD *AR7-0, 16, A ; 657
+ ADD *AR7-0, 16, B, A ; 658
+ ADD *AR7-0, 16, B, B ; 659
+ ADD *AR7-0, 16, B ; 660
+ ADD *AR7+0, 16, A, A ; 661
+ ADD *AR7+0, 16, A, B ; 662
+ ADD *AR7+0, 16, A ; 663
+ ADD *AR7+0, 16, B, A ; 664
+ ADD *AR7+0, 16, B, B ; 665
+ ADD *AR7+0, 16, B ; 666
+ ADD *AR7+0B, 16, A, A ; 667
+ ADD *AR7+0B, 16, A, B ; 668
+ ADD *AR7+0B, 16, A ; 669
+ ADD *AR7+0B, 16, B, A ; 670
+ ADD *AR7+0B, 16, B, B ; 671
+ ADD *AR7+0B, 16, B ; 672
+ ADD *AR7-%, 16, A, A ; 673
+ ADD *AR7-%, 16, A, B ; 674
+ ADD *AR7-%, 16, A ; 675
+ ADD *AR7-%, 16, B, A ; 676
+ ADD *AR7-%, 16, B, B ; 677
+ ADD *AR7-%, 16, B ; 678
+ ADD *AR7-0%, 16, A, A ; 679
+ ADD *AR7-0%, 16, A, B ; 680
+ ADD *AR7-0%, 16, A ; 681
+ ADD *AR7-0%, 16, B, A ; 682
+ ADD *AR7-0%, 16, B, B ; 683
+ ADD *AR7-0%, 16, B ; 684
+ ADD *AR7+%, 16, A, A ; 685
+ ADD *AR7+%, 16, A, B ; 686
+ ADD *AR7+%, 16, A ; 687
+ ADD *AR7+%, 16, B, A ; 688
+ ADD *AR7+%, 16, B, B ; 689
+ ADD *AR7+%, 16, B ; 690
+ ADD *AR7+0%, 16, A, A ; 691
+ ADD *AR7+0%, 16, A, B ; 692
+ ADD *AR7+0%, 16, A ; 693
+ ADD *AR7+0%, 16, B, A ; 694
+ ADD *AR7+0%, 16, B, B ; 695
+ ADD *AR7+0%, 16, B ; 696
+ ADD *AR7(000AEh), 16, A, A ; 697
+ ADD *AR7(000AFh), 16, A, B ; 698
+ ADD *AR7(000B0h), 16, A ; 699
+ ADD *AR7(000B1h), 16, B, A ; 700
+ ADD *AR7(000B2h), 16, B, B ; 701
+ ADD *AR7(000B3h), 16, B ; 702
+ ADD *+AR7(000B4h), 16, A, A ; 703
+ ADD *+AR7(000B5h), 16, A, B ; 704
+ ADD *+AR7(000B6h), 16, A ; 705
+ ADD *+AR7(000B7h), 16, B, A ; 706
+ ADD *+AR7(000B8h), 16, B, B ; 707
+ ADD *+AR7(000B9h), 16, B ; 708
+ ADD *+AR7(000BAh)%, 16, A, A ; 709
+ ADD *+AR7(000BBh)%, 16, A, B ; 710
+ ADD *+AR7(000BCh)%, 16, A ; 711
+ ADD *+AR7(000BDh)%, 16, B, A ; 712
+ ADD *+AR7(000BEh)%, 16, B, B ; 713
+ ADD *+AR7(000BFh)%, 16, B ; 714
+ ADD *(000C0h), 16, A, A ; 715
+ ADD *(000C1h), 16, A, B ; 716
+ ADD *(000C2h), 16, A ; 717
+ ADD *(000C3h), 16, B, A ; 718
+ ADD *(000C4h), 16, B, B ; 719
+ ADD *(000C5h), 16, B ; 720
+ ; ADDC : ADDC Smem, AB : 2
+ ADDC 06h, A ; 1
+ ADDC 07h, B ; 2
+ ; ADDC_I : ADDC Smem_I, AB : 240
+ ADDC *AR0, A ; 1
+ ADDC *AR0, B ; 2
+ ADDC *AR0-, A ; 3
+ ADDC *AR0-, B ; 4
+ ADDC *AR0+, A ; 5
+ ADDC *AR0+, B ; 6
+ ADDC *AR0-0B, A ; 7
+ ADDC *AR0-0B, B ; 8
+ ADDC *AR0-0, A ; 9
+ ADDC *AR0-0, B ; 10
+ ADDC *AR0+0, A ; 11
+ ADDC *AR0+0, B ; 12
+ ADDC *AR0+0B, A ; 13
+ ADDC *AR0+0B, B ; 14
+ ADDC *AR0-%, A ; 15
+ ADDC *AR0-%, B ; 16
+ ADDC *AR0-0%, A ; 17
+ ADDC *AR0-0%, B ; 18
+ ADDC *AR0+%, A ; 19
+ ADDC *AR0+%, B ; 20
+ ADDC *AR0+0%, A ; 21
+ ADDC *AR0+0%, B ; 22
+ ADDC *AR0(000C6h), A ; 23
+ ADDC *AR0(000C7h), B ; 24
+ ADDC *+AR0(000C8h), A ; 25
+ ADDC *+AR0(000C9h), B ; 26
+ ADDC *+AR0(000CAh)%, A ; 27
+ ADDC *+AR0(000CBh)%, B ; 28
+ ADDC *(000CCh), A ; 29
+ ADDC *(000CDh), B ; 30
+ ADDC *AR1, A ; 31
+ ADDC *AR1, B ; 32
+ ADDC *AR1-, A ; 33
+ ADDC *AR1-, B ; 34
+ ADDC *AR1+, A ; 35
+ ADDC *AR1+, B ; 36
+ ADDC *AR1-0B, A ; 37
+ ADDC *AR1-0B, B ; 38
+ ADDC *AR1-0, A ; 39
+ ADDC *AR1-0, B ; 40
+ ADDC *AR1+0, A ; 41
+ ADDC *AR1+0, B ; 42
+ ADDC *AR1+0B, A ; 43
+ ADDC *AR1+0B, B ; 44
+ ADDC *AR1-%, A ; 45
+ ADDC *AR1-%, B ; 46
+ ADDC *AR1-0%, A ; 47
+ ADDC *AR1-0%, B ; 48
+ ADDC *AR1+%, A ; 49
+ ADDC *AR1+%, B ; 50
+ ADDC *AR1+0%, A ; 51
+ ADDC *AR1+0%, B ; 52
+ ADDC *AR1(000CEh), A ; 53
+ ADDC *AR1(000CFh), B ; 54
+ ADDC *+AR1(000D0h), A ; 55
+ ADDC *+AR1(000D1h), B ; 56
+ ADDC *+AR1(000D2h)%, A ; 57
+ ADDC *+AR1(000D3h)%, B ; 58
+ ADDC *(000D4h), A ; 59
+ ADDC *(000D5h), B ; 60
+ ADDC *AR2, A ; 61
+ ADDC *AR2, B ; 62
+ ADDC *AR2-, A ; 63
+ ADDC *AR2-, B ; 64
+ ADDC *AR2+, A ; 65
+ ADDC *AR2+, B ; 66
+ ADDC *AR2-0B, A ; 67
+ ADDC *AR2-0B, B ; 68
+ ADDC *AR2-0, A ; 69
+ ADDC *AR2-0, B ; 70
+ ADDC *AR2+0, A ; 71
+ ADDC *AR2+0, B ; 72
+ ADDC *AR2+0B, A ; 73
+ ADDC *AR2+0B, B ; 74
+ ADDC *AR2-%, A ; 75
+ ADDC *AR2-%, B ; 76
+ ADDC *AR2-0%, A ; 77
+ ADDC *AR2-0%, B ; 78
+ ADDC *AR2+%, A ; 79
+ ADDC *AR2+%, B ; 80
+ ADDC *AR2+0%, A ; 81
+ ADDC *AR2+0%, B ; 82
+ ADDC *AR2(000D6h), A ; 83
+ ADDC *AR2(000D7h), B ; 84
+ ADDC *+AR2(000D8h), A ; 85
+ ADDC *+AR2(000D9h), B ; 86
+ ADDC *+AR2(000DAh)%, A ; 87
+ ADDC *+AR2(000DBh)%, B ; 88
+ ADDC *(000DCh), A ; 89
+ ADDC *(000DDh), B ; 90
+ ADDC *AR3, A ; 91
+ ADDC *AR3, B ; 92
+ ADDC *AR3-, A ; 93
+ ADDC *AR3-, B ; 94
+ ADDC *AR3+, A ; 95
+ ADDC *AR3+, B ; 96
+ ADDC *AR3-0B, A ; 97
+ ADDC *AR3-0B, B ; 98
+ ADDC *AR3-0, A ; 99
+ ADDC *AR3-0, B ; 100
+ ADDC *AR3+0, A ; 101
+ ADDC *AR3+0, B ; 102
+ ADDC *AR3+0B, A ; 103
+ ADDC *AR3+0B, B ; 104
+ ADDC *AR3-%, A ; 105
+ ADDC *AR3-%, B ; 106
+ ADDC *AR3-0%, A ; 107
+ ADDC *AR3-0%, B ; 108
+ ADDC *AR3+%, A ; 109
+ ADDC *AR3+%, B ; 110
+ ADDC *AR3+0%, A ; 111
+ ADDC *AR3+0%, B ; 112
+ ADDC *AR3(000DEh), A ; 113
+ ADDC *AR3(000DFh), B ; 114
+ ADDC *+AR3(000E0h), A ; 115
+ ADDC *+AR3(000E1h), B ; 116
+ ADDC *+AR3(000E2h)%, A ; 117
+ ADDC *+AR3(000E3h)%, B ; 118
+ ADDC *(000E4h), A ; 119
+ ADDC *(000E5h), B ; 120
+ ADDC *AR4, A ; 121
+ ADDC *AR4, B ; 122
+ ADDC *AR4-, A ; 123
+ ADDC *AR4-, B ; 124
+ ADDC *AR4+, A ; 125
+ ADDC *AR4+, B ; 126
+ ADDC *AR4-0B, A ; 127
+ ADDC *AR4-0B, B ; 128
+ ADDC *AR4-0, A ; 129
+ ADDC *AR4-0, B ; 130
+ ADDC *AR4+0, A ; 131
+ ADDC *AR4+0, B ; 132
+ ADDC *AR4+0B, A ; 133
+ ADDC *AR4+0B, B ; 134
+ ADDC *AR4-%, A ; 135
+ ADDC *AR4-%, B ; 136
+ ADDC *AR4-0%, A ; 137
+ ADDC *AR4-0%, B ; 138
+ ADDC *AR4+%, A ; 139
+ ADDC *AR4+%, B ; 140
+ ADDC *AR4+0%, A ; 141
+ ADDC *AR4+0%, B ; 142
+ ADDC *AR4(000E6h), A ; 143
+ ADDC *AR4(000E7h), B ; 144
+ ADDC *+AR4(000E8h), A ; 145
+ ADDC *+AR4(000E9h), B ; 146
+ ADDC *+AR4(000EAh)%, A ; 147
+ ADDC *+AR4(000EBh)%, B ; 148
+ ADDC *(000ECh), A ; 149
+ ADDC *(000EDh), B ; 150
+ ADDC *AR5, A ; 151
+ ADDC *AR5, B ; 152
+ ADDC *AR5-, A ; 153
+ ADDC *AR5-, B ; 154
+ ADDC *AR5+, A ; 155
+ ADDC *AR5+, B ; 156
+ ADDC *AR5-0B, A ; 157
+ ADDC *AR5-0B, B ; 158
+ ADDC *AR5-0, A ; 159
+ ADDC *AR5-0, B ; 160
+ ADDC *AR5+0, A ; 161
+ ADDC *AR5+0, B ; 162
+ ADDC *AR5+0B, A ; 163
+ ADDC *AR5+0B, B ; 164
+ ADDC *AR5-%, A ; 165
+ ADDC *AR5-%, B ; 166
+ ADDC *AR5-0%, A ; 167
+ ADDC *AR5-0%, B ; 168
+ ADDC *AR5+%, A ; 169
+ ADDC *AR5+%, B ; 170
+ ADDC *AR5+0%, A ; 171
+ ADDC *AR5+0%, B ; 172
+ ADDC *AR5(000EEh), A ; 173
+ ADDC *AR5(000EFh), B ; 174
+ ADDC *+AR5(000F0h), A ; 175
+ ADDC *+AR5(000F1h), B ; 176
+ ADDC *+AR5(000F2h)%, A ; 177
+ ADDC *+AR5(000F3h)%, B ; 178
+ ADDC *(000F4h), A ; 179
+ ADDC *(000F5h), B ; 180
+ ADDC *AR6, A ; 181
+ ADDC *AR6, B ; 182
+ ADDC *AR6-, A ; 183
+ ADDC *AR6-, B ; 184
+ ADDC *AR6+, A ; 185
+ ADDC *AR6+, B ; 186
+ ADDC *AR6-0B, A ; 187
+ ADDC *AR6-0B, B ; 188
+ ADDC *AR6-0, A ; 189
+ ADDC *AR6-0, B ; 190
+ ADDC *AR6+0, A ; 191
+ ADDC *AR6+0, B ; 192
+ ADDC *AR6+0B, A ; 193
+ ADDC *AR6+0B, B ; 194
+ ADDC *AR6-%, A ; 195
+ ADDC *AR6-%, B ; 196
+ ADDC *AR6-0%, A ; 197
+ ADDC *AR6-0%, B ; 198
+ ADDC *AR6+%, A ; 199
+ ADDC *AR6+%, B ; 200
+ ADDC *AR6+0%, A ; 201
+ ADDC *AR6+0%, B ; 202
+ ADDC *AR6(000F6h), A ; 203
+ ADDC *AR6(000F7h), B ; 204
+ ADDC *+AR6(000F8h), A ; 205
+ ADDC *+AR6(000F9h), B ; 206
+ ADDC *+AR6(000FAh)%, A ; 207
+ ADDC *+AR6(000FBh)%, B ; 208
+ ADDC *(000FCh), A ; 209
+ ADDC *(000FDh), B ; 210
+ ADDC *AR7, A ; 211
+ ADDC *AR7, B ; 212
+ ADDC *AR7-, A ; 213
+ ADDC *AR7-, B ; 214
+ ADDC *AR7+, A ; 215
+ ADDC *AR7+, B ; 216
+ ADDC *AR7-0B, A ; 217
+ ADDC *AR7-0B, B ; 218
+ ADDC *AR7-0, A ; 219
+ ADDC *AR7-0, B ; 220
+ ADDC *AR7+0, A ; 221
+ ADDC *AR7+0, B ; 222
+ ADDC *AR7+0B, A ; 223
+ ADDC *AR7+0B, B ; 224
+ ADDC *AR7-%, A ; 225
+ ADDC *AR7-%, B ; 226
+ ADDC *AR7-0%, A ; 227
+ ADDC *AR7-0%, B ; 228
+ ADDC *AR7+%, A ; 229
+ ADDC *AR7+%, B ; 230
+ ADDC *AR7+0%, A ; 231
+ ADDC *AR7+0%, B ; 232
+ ADDC *AR7(000FEh), A ; 233
+ ADDC *AR7(000FFh), B ; 234
+ ADDC *+AR7(00100h), A ; 235
+ ADDC *+AR7(00101h), B ; 236
+ ADDC *+AR7(00102h)%, A ; 237
+ ADDC *+AR7(00103h)%, B ; 238
+ ADDC *(00104h), A ; 239
+ ADDC *(00105h), B ; 240
+ ; ADDM : ADDM lk, Smem : 1
+ ADDM #00106h, 08h ; 1
+ ; ADDM_I : ADDM lk, Smem_I : 120
+ ADDM #00107h, *AR0 ; 1
+ ADDM #00108h, *AR0- ; 2
+ ADDM #00109h, *AR0+ ; 3
+ ADDM #0010Ah, *AR0-0B ; 4
+ ADDM #0010Bh, *AR0-0 ; 5
+ ADDM #0010Ch, *AR0+0 ; 6
+ ADDM #0010Dh, *AR0+0B ; 7
+ ADDM #0010Eh, *AR0-% ; 8
+ ADDM #0010Fh, *AR0-0% ; 9
+ ADDM #00110h, *AR0+% ; 10
+ ADDM #00111h, *AR0+0% ; 11
+ ADDM #00113h, *AR0(00112h) ; 12
+ ADDM #00115h, *+AR0(00114h) ; 13
+ ADDM #00117h, *+AR0(00116h)% ; 14
+ ADDM #00119h, *(00118h) ; 15
+ ADDM #0011Ah, *AR1 ; 16
+ ADDM #0011Bh, *AR1- ; 17
+ ADDM #0011Ch, *AR1+ ; 18
+ ADDM #0011Dh, *AR1-0B ; 19
+ ADDM #0011Eh, *AR1-0 ; 20
+ ADDM #0011Fh, *AR1+0 ; 21
+ ADDM #00120h, *AR1+0B ; 22
+ ADDM #00121h, *AR1-% ; 23
+ ADDM #00122h, *AR1-0% ; 24
+ ADDM #00123h, *AR1+% ; 25
+ ADDM #00124h, *AR1+0% ; 26
+ ADDM #00126h, *AR1(00125h) ; 27
+ ADDM #00128h, *+AR1(00127h) ; 28
+ ADDM #0012Ah, *+AR1(00129h)% ; 29
+ ADDM #0012Ch, *(0012Bh) ; 30
+ ADDM #0012Dh, *AR2 ; 31
+ ADDM #0012Eh, *AR2- ; 32
+ ADDM #0012Fh, *AR2+ ; 33
+ ADDM #00130h, *AR2-0B ; 34
+ ADDM #00131h, *AR2-0 ; 35
+ ADDM #00132h, *AR2+0 ; 36
+ ADDM #00133h, *AR2+0B ; 37
+ ADDM #00134h, *AR2-% ; 38
+ ADDM #00135h, *AR2-0% ; 39
+ ADDM #00136h, *AR2+% ; 40
+ ADDM #00137h, *AR2+0% ; 41
+ ADDM #00139h, *AR2(00138h) ; 42
+ ADDM #0013Bh, *+AR2(0013Ah) ; 43
+ ADDM #0013Dh, *+AR2(0013Ch)% ; 44
+ ADDM #0013Fh, *(0013Eh) ; 45
+ ADDM #00140h, *AR3 ; 46
+ ADDM #00141h, *AR3- ; 47
+ ADDM #00142h, *AR3+ ; 48
+ ADDM #00143h, *AR3-0B ; 49
+ ADDM #00144h, *AR3-0 ; 50
+ ADDM #00145h, *AR3+0 ; 51
+ ADDM #00146h, *AR3+0B ; 52
+ ADDM #00147h, *AR3-% ; 53
+ ADDM #00148h, *AR3-0% ; 54
+ ADDM #00149h, *AR3+% ; 55
+ ADDM #0014Ah, *AR3+0% ; 56
+ ADDM #0014Ch, *AR3(0014Bh) ; 57
+ ADDM #0014Eh, *+AR3(0014Dh) ; 58
+ ADDM #00150h, *+AR3(0014Fh)% ; 59
+ ADDM #00152h, *(00151h) ; 60
+ ADDM #00153h, *AR4 ; 61
+ ADDM #00154h, *AR4- ; 62
+ ADDM #00155h, *AR4+ ; 63
+ ADDM #00156h, *AR4-0B ; 64
+ ADDM #00157h, *AR4-0 ; 65
+ ADDM #00158h, *AR4+0 ; 66
+ ADDM #00159h, *AR4+0B ; 67
+ ADDM #0015Ah, *AR4-% ; 68
+ ADDM #0015Bh, *AR4-0% ; 69
+ ADDM #0015Ch, *AR4+% ; 70
+ ADDM #0015Dh, *AR4+0% ; 71
+ ADDM #0015Fh, *AR4(0015Eh) ; 72
+ ADDM #00161h, *+AR4(00160h) ; 73
+ ADDM #00163h, *+AR4(00162h)% ; 74
+ ADDM #00165h, *(00164h) ; 75
+ ADDM #00166h, *AR5 ; 76
+ ADDM #00167h, *AR5- ; 77
+ ADDM #00168h, *AR5+ ; 78
+ ADDM #00169h, *AR5-0B ; 79
+ ADDM #0016Ah, *AR5-0 ; 80
+ ADDM #0016Bh, *AR5+0 ; 81
+ ADDM #0016Ch, *AR5+0B ; 82
+ ADDM #0016Dh, *AR5-% ; 83
+ ADDM #0016Eh, *AR5-0% ; 84
+ ADDM #0016Fh, *AR5+% ; 85
+ ADDM #00170h, *AR5+0% ; 86
+ ADDM #00172h, *AR5(00171h) ; 87
+ ADDM #00174h, *+AR5(00173h) ; 88
+ ADDM #00176h, *+AR5(00175h)% ; 89
+ ADDM #00178h, *(00177h) ; 90
+ ADDM #00179h, *AR6 ; 91
+ ADDM #0017Ah, *AR6- ; 92
+ ADDM #0017Bh, *AR6+ ; 93
+ ADDM #0017Ch, *AR6-0B ; 94
+ ADDM #0017Dh, *AR6-0 ; 95
+ ADDM #0017Eh, *AR6+0 ; 96
+ ADDM #0017Fh, *AR6+0B ; 97
+ ADDM #00180h, *AR6-% ; 98
+ ADDM #00181h, *AR6-0% ; 99
+ ADDM #00182h, *AR6+% ; 100
+ ADDM #00183h, *AR6+0% ; 101
+ ADDM #00185h, *AR6(00184h) ; 102
+ ADDM #00187h, *+AR6(00186h) ; 103
+ ADDM #00189h, *+AR6(00188h)% ; 104
+ ADDM #0018Bh, *(0018Ah) ; 105
+ ADDM #0018Ch, *AR7 ; 106
+ ADDM #0018Dh, *AR7- ; 107
+ ADDM #0018Eh, *AR7+ ; 108
+ ADDM #0018Fh, *AR7-0B ; 109
+ ADDM #00190h, *AR7-0 ; 110
+ ADDM #00191h, *AR7+0 ; 111
+ ADDM #00192h, *AR7+0B ; 112
+ ADDM #00193h, *AR7-% ; 113
+ ADDM #00194h, *AR7-0% ; 114
+ ADDM #00195h, *AR7+% ; 115
+ ADDM #00196h, *AR7+0% ; 116
+ ADDM #00198h, *AR7(00197h) ; 117
+ ADDM #0019Ah, *+AR7(00199h) ; 118
+ ADDM #0019Ch, *+AR7(0019Bh)% ; 119
+ ADDM #0019Eh, *(0019Dh) ; 120
+ ; ADDS : ADDS Smem, AB : 2
+ ADDS 09h, A ; 1
+ ADDS 0Ah, B ; 2
+ ; ADDS_I : ADDS Smem_I, AB : 240
+ ADDS *AR0, A ; 1
+ ADDS *AR0, B ; 2
+ ADDS *AR0-, A ; 3
+ ADDS *AR0-, B ; 4
+ ADDS *AR0+, A ; 5
+ ADDS *AR0+, B ; 6
+ ADDS *AR0-0B, A ; 7
+ ADDS *AR0-0B, B ; 8
+ ADDS *AR0-0, A ; 9
+ ADDS *AR0-0, B ; 10
+ ADDS *AR0+0, A ; 11
+ ADDS *AR0+0, B ; 12
+ ADDS *AR0+0B, A ; 13
+ ADDS *AR0+0B, B ; 14
+ ADDS *AR0-%, A ; 15
+ ADDS *AR0-%, B ; 16
+ ADDS *AR0-0%, A ; 17
+ ADDS *AR0-0%, B ; 18
+ ADDS *AR0+%, A ; 19
+ ADDS *AR0+%, B ; 20
+ ADDS *AR0+0%, A ; 21
+ ADDS *AR0+0%, B ; 22
+ ADDS *AR0(0019Fh), A ; 23
+ ADDS *AR0(001A0h), B ; 24
+ ADDS *+AR0(001A1h), A ; 25
+ ADDS *+AR0(001A2h), B ; 26
+ ADDS *+AR0(001A3h)%, A ; 27
+ ADDS *+AR0(001A4h)%, B ; 28
+ ADDS *(001A5h), A ; 29
+ ADDS *(001A6h), B ; 30
+ ADDS *AR1, A ; 31
+ ADDS *AR1, B ; 32
+ ADDS *AR1-, A ; 33
+ ADDS *AR1-, B ; 34
+ ADDS *AR1+, A ; 35
+ ADDS *AR1+, B ; 36
+ ADDS *AR1-0B, A ; 37
+ ADDS *AR1-0B, B ; 38
+ ADDS *AR1-0, A ; 39
+ ADDS *AR1-0, B ; 40
+ ADDS *AR1+0, A ; 41
+ ADDS *AR1+0, B ; 42
+ ADDS *AR1+0B, A ; 43
+ ADDS *AR1+0B, B ; 44
+ ADDS *AR1-%, A ; 45
+ ADDS *AR1-%, B ; 46
+ ADDS *AR1-0%, A ; 47
+ ADDS *AR1-0%, B ; 48
+ ADDS *AR1+%, A ; 49
+ ADDS *AR1+%, B ; 50
+ ADDS *AR1+0%, A ; 51
+ ADDS *AR1+0%, B ; 52
+ ADDS *AR1(001A7h), A ; 53
+ ADDS *AR1(001A8h), B ; 54
+ ADDS *+AR1(001A9h), A ; 55
+ ADDS *+AR1(001AAh), B ; 56
+ ADDS *+AR1(001ABh)%, A ; 57
+ ADDS *+AR1(001ACh)%, B ; 58
+ ADDS *(001ADh), A ; 59
+ ADDS *(001AEh), B ; 60
+ ADDS *AR2, A ; 61
+ ADDS *AR2, B ; 62
+ ADDS *AR2-, A ; 63
+ ADDS *AR2-, B ; 64
+ ADDS *AR2+, A ; 65
+ ADDS *AR2+, B ; 66
+ ADDS *AR2-0B, A ; 67
+ ADDS *AR2-0B, B ; 68
+ ADDS *AR2-0, A ; 69
+ ADDS *AR2-0, B ; 70
+ ADDS *AR2+0, A ; 71
+ ADDS *AR2+0, B ; 72
+ ADDS *AR2+0B, A ; 73
+ ADDS *AR2+0B, B ; 74
+ ADDS *AR2-%, A ; 75
+ ADDS *AR2-%, B ; 76
+ ADDS *AR2-0%, A ; 77
+ ADDS *AR2-0%, B ; 78
+ ADDS *AR2+%, A ; 79
+ ADDS *AR2+%, B ; 80
+ ADDS *AR2+0%, A ; 81
+ ADDS *AR2+0%, B ; 82
+ ADDS *AR2(001AFh), A ; 83
+ ADDS *AR2(001B0h), B ; 84
+ ADDS *+AR2(001B1h), A ; 85
+ ADDS *+AR2(001B2h), B ; 86
+ ADDS *+AR2(001B3h)%, A ; 87
+ ADDS *+AR2(001B4h)%, B ; 88
+ ADDS *(001B5h), A ; 89
+ ADDS *(001B6h), B ; 90
+ ADDS *AR3, A ; 91
+ ADDS *AR3, B ; 92
+ ADDS *AR3-, A ; 93
+ ADDS *AR3-, B ; 94
+ ADDS *AR3+, A ; 95
+ ADDS *AR3+, B ; 96
+ ADDS *AR3-0B, A ; 97
+ ADDS *AR3-0B, B ; 98
+ ADDS *AR3-0, A ; 99
+ ADDS *AR3-0, B ; 100
+ ADDS *AR3+0, A ; 101
+ ADDS *AR3+0, B ; 102
+ ADDS *AR3+0B, A ; 103
+ ADDS *AR3+0B, B ; 104
+ ADDS *AR3-%, A ; 105
+ ADDS *AR3-%, B ; 106
+ ADDS *AR3-0%, A ; 107
+ ADDS *AR3-0%, B ; 108
+ ADDS *AR3+%, A ; 109
+ ADDS *AR3+%, B ; 110
+ ADDS *AR3+0%, A ; 111
+ ADDS *AR3+0%, B ; 112
+ ADDS *AR3(001B7h), A ; 113
+ ADDS *AR3(001B8h), B ; 114
+ ADDS *+AR3(001B9h), A ; 115
+ ADDS *+AR3(001BAh), B ; 116
+ ADDS *+AR3(001BBh)%, A ; 117
+ ADDS *+AR3(001BCh)%, B ; 118
+ ADDS *(001BDh), A ; 119
+ ADDS *(001BEh), B ; 120
+ ADDS *AR4, A ; 121
+ ADDS *AR4, B ; 122
+ ADDS *AR4-, A ; 123
+ ADDS *AR4-, B ; 124
+ ADDS *AR4+, A ; 125
+ ADDS *AR4+, B ; 126
+ ADDS *AR4-0B, A ; 127
+ ADDS *AR4-0B, B ; 128
+ ADDS *AR4-0, A ; 129
+ ADDS *AR4-0, B ; 130
+ ADDS *AR4+0, A ; 131
+ ADDS *AR4+0, B ; 132
+ ADDS *AR4+0B, A ; 133
+ ADDS *AR4+0B, B ; 134
+ ADDS *AR4-%, A ; 135
+ ADDS *AR4-%, B ; 136
+ ADDS *AR4-0%, A ; 137
+ ADDS *AR4-0%, B ; 138
+ ADDS *AR4+%, A ; 139
+ ADDS *AR4+%, B ; 140
+ ADDS *AR4+0%, A ; 141
+ ADDS *AR4+0%, B ; 142
+ ADDS *AR4(001BFh), A ; 143
+ ADDS *AR4(001C0h), B ; 144
+ ADDS *+AR4(001C1h), A ; 145
+ ADDS *+AR4(001C2h), B ; 146
+ ADDS *+AR4(001C3h)%, A ; 147
+ ADDS *+AR4(001C4h)%, B ; 148
+ ADDS *(001C5h), A ; 149
+ ADDS *(001C6h), B ; 150
+ ADDS *AR5, A ; 151
+ ADDS *AR5, B ; 152
+ ADDS *AR5-, A ; 153
+ ADDS *AR5-, B ; 154
+ ADDS *AR5+, A ; 155
+ ADDS *AR5+, B ; 156
+ ADDS *AR5-0B, A ; 157
+ ADDS *AR5-0B, B ; 158
+ ADDS *AR5-0, A ; 159
+ ADDS *AR5-0, B ; 160
+ ADDS *AR5+0, A ; 161
+ ADDS *AR5+0, B ; 162
+ ADDS *AR5+0B, A ; 163
+ ADDS *AR5+0B, B ; 164
+ ADDS *AR5-%, A ; 165
+ ADDS *AR5-%, B ; 166
+ ADDS *AR5-0%, A ; 167
+ ADDS *AR5-0%, B ; 168
+ ADDS *AR5+%, A ; 169
+ ADDS *AR5+%, B ; 170
+ ADDS *AR5+0%, A ; 171
+ ADDS *AR5+0%, B ; 172
+ ADDS *AR5(001C7h), A ; 173
+ ADDS *AR5(001C8h), B ; 174
+ ADDS *+AR5(001C9h), A ; 175
+ ADDS *+AR5(001CAh), B ; 176
+ ADDS *+AR5(001CBh)%, A ; 177
+ ADDS *+AR5(001CCh)%, B ; 178
+ ADDS *(001CDh), A ; 179
+ ADDS *(001CEh), B ; 180
+ ADDS *AR6, A ; 181
+ ADDS *AR6, B ; 182
+ ADDS *AR6-, A ; 183
+ ADDS *AR6-, B ; 184
+ ADDS *AR6+, A ; 185
+ ADDS *AR6+, B ; 186
+ ADDS *AR6-0B, A ; 187
+ ADDS *AR6-0B, B ; 188
+ ADDS *AR6-0, A ; 189
+ ADDS *AR6-0, B ; 190
+ ADDS *AR6+0, A ; 191
+ ADDS *AR6+0, B ; 192
+ ADDS *AR6+0B, A ; 193
+ ADDS *AR6+0B, B ; 194
+ ADDS *AR6-%, A ; 195
+ ADDS *AR6-%, B ; 196
+ ADDS *AR6-0%, A ; 197
+ ADDS *AR6-0%, B ; 198
+ ADDS *AR6+%, A ; 199
+ ADDS *AR6+%, B ; 200
+ ADDS *AR6+0%, A ; 201
+ ADDS *AR6+0%, B ; 202
+ ADDS *AR6(001CFh), A ; 203
+ ADDS *AR6(001D0h), B ; 204
+ ADDS *+AR6(001D1h), A ; 205
+ ADDS *+AR6(001D2h), B ; 206
+ ADDS *+AR6(001D3h)%, A ; 207
+ ADDS *+AR6(001D4h)%, B ; 208
+ ADDS *(001D5h), A ; 209
+ ADDS *(001D6h), B ; 210
+ ADDS *AR7, A ; 211
+ ADDS *AR7, B ; 212
+ ADDS *AR7-, A ; 213
+ ADDS *AR7-, B ; 214
+ ADDS *AR7+, A ; 215
+ ADDS *AR7+, B ; 216
+ ADDS *AR7-0B, A ; 217
+ ADDS *AR7-0B, B ; 218
+ ADDS *AR7-0, A ; 219
+ ADDS *AR7-0, B ; 220
+ ADDS *AR7+0, A ; 221
+ ADDS *AR7+0, B ; 222
+ ADDS *AR7+0B, A ; 223
+ ADDS *AR7+0B, B ; 224
+ ADDS *AR7-%, A ; 225
+ ADDS *AR7-%, B ; 226
+ ADDS *AR7-0%, A ; 227
+ ADDS *AR7-0%, B ; 228
+ ADDS *AR7+%, A ; 229
+ ADDS *AR7+%, B ; 230
+ ADDS *AR7+0%, A ; 231
+ ADDS *AR7+0%, B ; 232
+ ADDS *AR7(001D7h), A ; 233
+ ADDS *AR7(001D8h), B ; 234
+ ADDS *+AR7(001D9h), A ; 235
+ ADDS *+AR7(001DAh), B ; 236
+ ADDS *+AR7(001DBh)%, A ; 237
+ ADDS *+AR7(001DCh)%, B ; 238
+ ADDS *(001DDh), A ; 239
+ ADDS *(001DEh), B ; 240
+ ; ADDasm : ADD AB, ASM, AB2 : 6
+ ADD A, ASM, A ; 1
+ ADD A, ASM, B ; 2
+ ADD A, ASM ; 3
+ ADD B, ASM, A ; 4
+ ADD B, ASM, B ; 5
+ ADD B, ASM ; 6
+ ; ADDlkShift : ADD lk, SHFT2, AB, AB2 : 102
+ ADD #001DFh, A, A ; 1
+ ADD #001E0h, A, B ; 2
+ ADD #001E1h, A ; 3
+ ADD #001E2h, B, A ; 4
+ ADD #001E3h, B, B ; 5
+ ADD #001E4h, B ; 6
+ ADD #001E5h, 0, A, A ; 7
+ ADD #001E6h, 0, A, B ; 8
+ ADD #001E7h, 0, A ; 9
+ ADD #001E8h, 0, B, A ; 10
+ ADD #001E9h, 0, B, B ; 11
+ ADD #001EAh, 0, B ; 12
+ ADD #001EBh, 1, A, A ; 13
+ ADD #001ECh, 1, A, B ; 14
+ ADD #001EDh, 1, A ; 15
+ ADD #001EEh, 1, B, A ; 16
+ ADD #001EFh, 1, B, B ; 17
+ ADD #001F0h, 1, B ; 18
+ ADD #001F1h, 2, A, A ; 19
+ ADD #001F2h, 2, A, B ; 20
+ ADD #001F3h, 2, A ; 21
+ ADD #001F4h, 2, B, A ; 22
+ ADD #001F5h, 2, B, B ; 23
+ ADD #001F6h, 2, B ; 24
+ ADD #001F7h, 3, A, A ; 25
+ ADD #001F8h, 3, A, B ; 26
+ ADD #001F9h, 3, A ; 27
+ ADD #001FAh, 3, B, A ; 28
+ ADD #001FBh, 3, B, B ; 29
+ ADD #001FCh, 3, B ; 30
+ ADD #001FDh, 4, A, A ; 31
+ ADD #001FEh, 4, A, B ; 32
+ ADD #001FFh, 4, A ; 33
+ ADD #00200h, 4, B, A ; 34
+ ADD #00201h, 4, B, B ; 35
+ ADD #00202h, 4, B ; 36
+ ADD #00203h, 5, A, A ; 37
+ ADD #00204h, 5, A, B ; 38
+ ADD #00205h, 5, A ; 39
+ ADD #00206h, 5, B, A ; 40
+ ADD #00207h, 5, B, B ; 41
+ ADD #00208h, 5, B ; 42
+ ADD #00209h, 6, A, A ; 43
+ ADD #0020Ah, 6, A, B ; 44
+ ADD #0020Bh, 6, A ; 45
+ ADD #0020Ch, 6, B, A ; 46
+ ADD #0020Dh, 6, B, B ; 47
+ ADD #0020Eh, 6, B ; 48
+ ADD #0020Fh, 7, A, A ; 49
+ ADD #00210h, 7, A, B ; 50
+ ADD #00211h, 7, A ; 51
+ ADD #00212h, 7, B, A ; 52
+ ADD #00213h, 7, B, B ; 53
+ ADD #00214h, 7, B ; 54
+ ADD #00215h, 8, A, A ; 55
+ ADD #00216h, 8, A, B ; 56
+ ADD #00217h, 8, A ; 57
+ ADD #00218h, 8, B, A ; 58
+ ADD #00219h, 8, B, B ; 59
+ ADD #0021Ah, 8, B ; 60
+ ADD #0021Bh, 9, A, A ; 61
+ ADD #0021Ch, 9, A, B ; 62
+ ADD #0021Dh, 9, A ; 63
+ ADD #0021Eh, 9, B, A ; 64
+ ADD #0021Fh, 9, B, B ; 65
+ ADD #00220h, 9, B ; 66
+ ADD #00221h, 10, A, A ; 67
+ ADD #00222h, 10, A, B ; 68
+ ADD #00223h, 10, A ; 69
+ ADD #00224h, 10, B, A ; 70
+ ADD #00225h, 10, B, B ; 71
+ ADD #00226h, 10, B ; 72
+ ADD #00227h, 11, A, A ; 73
+ ADD #00228h, 11, A, B ; 74
+ ADD #00229h, 11, A ; 75
+ ADD #0022Ah, 11, B, A ; 76
+ ADD #0022Bh, 11, B, B ; 77
+ ADD #0022Ch, 11, B ; 78
+ ADD #0022Dh, 12, A, A ; 79
+ ADD #0022Eh, 12, A, B ; 80
+ ADD #0022Fh, 12, A ; 81
+ ADD #00230h, 12, B, A ; 82
+ ADD #00231h, 12, B, B ; 83
+ ADD #00232h, 12, B ; 84
+ ADD #00233h, 13, A, A ; 85
+ ADD #00234h, 13, A, B ; 86
+ ADD #00235h, 13, A ; 87
+ ADD #00236h, 13, B, A ; 88
+ ADD #00237h, 13, B, B ; 89
+ ADD #00238h, 13, B ; 90
+ ADD #00239h, 14, A, A ; 91
+ ADD #0023Ah, 14, A, B ; 92
+ ADD #0023Bh, 14, A ; 93
+ ADD #0023Ch, 14, B, A ; 94
+ ADD #0023Dh, 14, B, B ; 95
+ ADD #0023Eh, 14, B ; 96
+ ADD #0023Fh, 15, A, A ; 97
+ ADD #00240h, 15, A, B ; 98
+ ADD #00241h, 15, A ; 99
+ ADD #00242h, 15, B, A ; 100
+ ADD #00243h, 15, B, B ; 101
+ ADD #00244h, 15, B ; 102
+ ; ADDsdShift : ADD AB, SHIFT, AB2 : 198
+ ADD A, A ; 1
+ ADD A, B ; 2
+ ADD A ; 3
+ ADD A, -16, A ; 4
+ ADD A, -16, B ; 5
+ ADD A, -16 ; 6
+ ADD A, -15, A ; 7
+ ADD A, -15, B ; 8
+ ADD A, -15 ; 9
+ ADD A, -14, A ; 10
+ ADD A, -14, B ; 11
+ ADD A, -14 ; 12
+ ADD A, -13, A ; 13
+ ADD A, -13, B ; 14
+ ADD A, -13 ; 15
+ ADD A, -12, A ; 16
+ ADD A, -12, B ; 17
+ ADD A, -12 ; 18
+ ADD A, -11, A ; 19
+ ADD A, -11, B ; 20
+ ADD A, -11 ; 21
+ ADD A, -10, A ; 22
+ ADD A, -10, B ; 23
+ ADD A, -10 ; 24
+ ADD A, -9, A ; 25
+ ADD A, -9, B ; 26
+ ADD A, -9 ; 27
+ ADD A, -8, A ; 28
+ ADD A, -8, B ; 29
+ ADD A, -8 ; 30
+ ADD A, -7, A ; 31
+ ADD A, -7, B ; 32
+ ADD A, -7 ; 33
+ ADD A, -6, A ; 34
+ ADD A, -6, B ; 35
+ ADD A, -6 ; 36
+ ADD A, -5, A ; 37
+ ADD A, -5, B ; 38
+ ADD A, -5 ; 39
+ ADD A, -4, A ; 40
+ ADD A, -4, B ; 41
+ ADD A, -4 ; 42
+ ADD A, -3, A ; 43
+ ADD A, -3, B ; 44
+ ADD A, -3 ; 45
+ ADD A, -2, A ; 46
+ ADD A, -2, B ; 47
+ ADD A, -2 ; 48
+ ADD A, -1, A ; 49
+ ADD A, -1, B ; 50
+ ADD A, -1 ; 51
+ ADD A, 0, A ; 52
+ ADD A, 0, B ; 53
+ ADD A, 0 ; 54
+ ADD A, 1, A ; 55
+ ADD A, 1, B ; 56
+ ADD A, 1 ; 57
+ ADD A, 2, A ; 58
+ ADD A, 2, B ; 59
+ ADD A, 2 ; 60
+ ADD A, 3, A ; 61
+ ADD A, 3, B ; 62
+ ADD A, 3 ; 63
+ ADD A, 4, A ; 64
+ ADD A, 4, B ; 65
+ ADD A, 4 ; 66
+ ADD A, 5, A ; 67
+ ADD A, 5, B ; 68
+ ADD A, 5 ; 69
+ ADD A, 6, A ; 70
+ ADD A, 6, B ; 71
+ ADD A, 6 ; 72
+ ADD A, 7, A ; 73
+ ADD A, 7, B ; 74
+ ADD A, 7 ; 75
+ ADD A, 8, A ; 76
+ ADD A, 8, B ; 77
+ ADD A, 8 ; 78
+ ADD A, 9, A ; 79
+ ADD A, 9, B ; 80
+ ADD A, 9 ; 81
+ ADD A, 10, A ; 82
+ ADD A, 10, B ; 83
+ ADD A, 10 ; 84
+ ADD A, 11, A ; 85
+ ADD A, 11, B ; 86
+ ADD A, 11 ; 87
+ ADD A, 12, A ; 88
+ ADD A, 12, B ; 89
+ ADD A, 12 ; 90
+ ADD A, 13, A ; 91
+ ADD A, 13, B ; 92
+ ADD A, 13 ; 93
+ ADD A, 14, A ; 94
+ ADD A, 14, B ; 95
+ ADD A, 14 ; 96
+ ADD A, 15, A ; 97
+ ADD A, 15, B ; 98
+ ADD A, 15 ; 99
+ ADD B, A ; 100
+ ADD B, B ; 101
+ ADD B ; 102
+ ADD B, -16, A ; 103
+ ADD B, -16, B ; 104
+ ADD B, -16 ; 105
+ ADD B, -15, A ; 106
+ ADD B, -15, B ; 107
+ ADD B, -15 ; 108
+ ADD B, -14, A ; 109
+ ADD B, -14, B ; 110
+ ADD B, -14 ; 111
+ ADD B, -13, A ; 112
+ ADD B, -13, B ; 113
+ ADD B, -13 ; 114
+ ADD B, -12, A ; 115
+ ADD B, -12, B ; 116
+ ADD B, -12 ; 117
+ ADD B, -11, A ; 118
+ ADD B, -11, B ; 119
+ ADD B, -11 ; 120
+ ADD B, -10, A ; 121
+ ADD B, -10, B ; 122
+ ADD B, -10 ; 123
+ ADD B, -9, A ; 124
+ ADD B, -9, B ; 125
+ ADD B, -9 ; 126
+ ADD B, -8, A ; 127
+ ADD B, -8, B ; 128
+ ADD B, -8 ; 129
+ ADD B, -7, A ; 130
+ ADD B, -7, B ; 131
+ ADD B, -7 ; 132
+ ADD B, -6, A ; 133
+ ADD B, -6, B ; 134
+ ADD B, -6 ; 135
+ ADD B, -5, A ; 136
+ ADD B, -5, B ; 137
+ ADD B, -5 ; 138
+ ADD B, -4, A ; 139
+ ADD B, -4, B ; 140
+ ADD B, -4 ; 141
+ ADD B, -3, A ; 142
+ ADD B, -3, B ; 143
+ ADD B, -3 ; 144
+ ADD B, -2, A ; 145
+ ADD B, -2, B ; 146
+ ADD B, -2 ; 147
+ ADD B, -1, A ; 148
+ ADD B, -1, B ; 149
+ ADD B, -1 ; 150
+ ADD B, 0, A ; 151
+ ADD B, 0, B ; 152
+ ADD B, 0 ; 153
+ ADD B, 1, A ; 154
+ ADD B, 1, B ; 155
+ ADD B, 1 ; 156
+ ADD B, 2, A ; 157
+ ADD B, 2, B ; 158
+ ADD B, 2 ; 159
+ ADD B, 3, A ; 160
+ ADD B, 3, B ; 161
+ ADD B, 3 ; 162
+ ADD B, 4, A ; 163
+ ADD B, 4, B ; 164
+ ADD B, 4 ; 165
+ ADD B, 5, A ; 166
+ ADD B, 5, B ; 167
+ ADD B, 5 ; 168
+ ADD B, 6, A ; 169
+ ADD B, 6, B ; 170
+ ADD B, 6 ; 171
+ ADD B, 7, A ; 172
+ ADD B, 7, B ; 173
+ ADD B, 7 ; 174
+ ADD B, 8, A ; 175
+ ADD B, 8, B ; 176
+ ADD B, 8 ; 177
+ ADD B, 9, A ; 178
+ ADD B, 9, B ; 179
+ ADD B, 9 ; 180
+ ADD B, 10, A ; 181
+ ADD B, 10, B ; 182
+ ADD B, 10 ; 183
+ ADD B, 11, A ; 184
+ ADD B, 11, B ; 185
+ ADD B, 11 ; 186
+ ADD B, 12, A ; 187
+ ADD B, 12, B ; 188
+ ADD B, 12 ; 189
+ ADD B, 13, A ; 190
+ ADD B, 13, B ; 191
+ ADD B, 13 ; 192
+ ADD B, 14, A ; 193
+ ADD B, 14, B ; 194
+ ADD B, 14 ; 195
+ ADD B, 15, A ; 196
+ ADD B, 15, B ; 197
+ ADD B, 15 ; 198
+ ; ADDsmem : ADD Smem, AB : 2
+ ADD 0Bh, A ; 1
+ ADD 0Ch, B ; 2
+ ; ADDsmemShift : ADD Smem, SHIFT, AB, AB2 : 198
+ ADD 0Dh, A, A ; 1
+ ADD 0Eh, A, B ; 2
+ ADD 0Fh, A ; 3
+ ADD 10h, B, A ; 4
+ ADD 11h, B, B ; 5
+ ADD 12h, B ; 6
+ ADD 13h, -16, A, A ; 7
+ ADD 14h, -16, A, B ; 8
+ ADD 15h, -16, A ; 9
+ ADD 16h, -16, B, A ; 10
+ ADD 17h, -16, B, B ; 11
+ ADD 18h, -16, B ; 12
+ ADD 19h, -15, A, A ; 13
+ ADD 1Ah, -15, A, B ; 14
+ ADD 1Bh, -15, A ; 15
+ ADD 1Ch, -15, B, A ; 16
+ ADD 1Dh, -15, B, B ; 17
+ ADD 1Eh, -15, B ; 18
+ ADD 1Fh, -14, A, A ; 19
+ ADD 20h, -14, A, B ; 20
+ ADD 21h, -14, A ; 21
+ ADD 22h, -14, B, A ; 22
+ ADD 23h, -14, B, B ; 23
+ ADD 24h, -14, B ; 24
+ ADD 25h, -13, A, A ; 25
+ ADD 26h, -13, A, B ; 26
+ ADD 27h, -13, A ; 27
+ ADD 28h, -13, B, A ; 28
+ ADD 29h, -13, B, B ; 29
+ ADD 2Ah, -13, B ; 30
+ ADD 2Bh, -12, A, A ; 31
+ ADD 2Ch, -12, A, B ; 32
+ ADD 2Dh, -12, A ; 33
+ ADD 2Eh, -12, B, A ; 34
+ ADD 2Fh, -12, B, B ; 35
+ ADD 30h, -12, B ; 36
+ ADD 31h, -11, A, A ; 37
+ ADD 32h, -11, A, B ; 38
+ ADD 33h, -11, A ; 39
+ ADD 34h, -11, B, A ; 40
+ ADD 35h, -11, B, B ; 41
+ ADD 36h, -11, B ; 42
+ ADD 37h, -10, A, A ; 43
+ ADD 38h, -10, A, B ; 44
+ ADD 39h, -10, A ; 45
+ ADD 3Ah, -10, B, A ; 46
+ ADD 3Bh, -10, B, B ; 47
+ ADD 3Ch, -10, B ; 48
+ ADD 3Dh, -9, A, A ; 49
+ ADD 3Eh, -9, A, B ; 50
+ ADD 3Fh, -9, A ; 51
+ ADD 40h, -9, B, A ; 52
+ ADD 41h, -9, B, B ; 53
+ ADD 42h, -9, B ; 54
+ ADD 43h, -8, A, A ; 55
+ ADD 44h, -8, A, B ; 56
+ ADD 45h, -8, A ; 57
+ ADD 46h, -8, B, A ; 58
+ ADD 47h, -8, B, B ; 59
+ ADD 48h, -8, B ; 60
+ ADD 49h, -7, A, A ; 61
+ ADD 4Ah, -7, A, B ; 62
+ ADD 4Bh, -7, A ; 63
+ ADD 4Ch, -7, B, A ; 64
+ ADD 4Dh, -7, B, B ; 65
+ ADD 4Eh, -7, B ; 66
+ ADD 4Fh, -6, A, A ; 67
+ ADD 50h, -6, A, B ; 68
+ ADD 51h, -6, A ; 69
+ ADD 52h, -6, B, A ; 70
+ ADD 53h, -6, B, B ; 71
+ ADD 54h, -6, B ; 72
+ ADD 55h, -5, A, A ; 73
+ ADD 56h, -5, A, B ; 74
+ ADD 57h, -5, A ; 75
+ ADD 58h, -5, B, A ; 76
+ ADD 59h, -5, B, B ; 77
+ ADD 5Ah, -5, B ; 78
+ ADD 5Bh, -4, A, A ; 79
+ ADD 5Ch, -4, A, B ; 80
+ ADD 5Dh, -4, A ; 81
+ ADD 5Eh, -4, B, A ; 82
+ ADD 5Fh, -4, B, B ; 83
+ ADD 60h, -4, B ; 84
+ ADD 61h, -3, A, A ; 85
+ ADD 62h, -3, A, B ; 86
+ ADD 63h, -3, A ; 87
+ ADD 64h, -3, B, A ; 88
+ ADD 65h, -3, B, B ; 89
+ ADD 66h, -3, B ; 90
+ ADD 67h, -2, A, A ; 91
+ ADD 68h, -2, A, B ; 92
+ ADD 69h, -2, A ; 93
+ ADD 6Ah, -2, B, A ; 94
+ ADD 6Bh, -2, B, B ; 95
+ ADD 6Ch, -2, B ; 96
+ ADD 6Dh, -1, A, A ; 97
+ ADD 6Eh, -1, A, B ; 98
+ ADD 6Fh, -1, A ; 99
+ ADD 70h, -1, B, A ; 100
+ ADD 71h, -1, B, B ; 101
+ ADD 72h, -1, B ; 102
+ ADD 73h, 0, A, A ; 103
+ ADD 74h, 0, A, B ; 104
+ ADD 75h, 0, A ; 105
+ ADD 76h, 0, B, A ; 106
+ ADD 77h, 0, B, B ; 107
+ ADD 78h, 0, B ; 108
+ ADD 79h, 1, A, A ; 109
+ ADD 7Ah, 1, A, B ; 110
+ ADD 7Bh, 1, A ; 111
+ ADD 7Ch, 1, B, A ; 112
+ ADD 7Dh, 1, B, B ; 113
+ ADD 7Eh, 1, B ; 114
+ ADD 7Fh, 2, A, A ; 115
+ ADD 00h, 2, A, B ; 116
+ ADD 01h, 2, A ; 117
+ ADD 02h, 2, B, A ; 118
+ ADD 03h, 2, B, B ; 119
+ ADD 04h, 2, B ; 120
+ ADD 05h, 3, A, A ; 121
+ ADD 06h, 3, A, B ; 122
+ ADD 07h, 3, A ; 123
+ ADD 08h, 3, B, A ; 124
+ ADD 09h, 3, B, B ; 125
+ ADD 0Ah, 3, B ; 126
+ ADD 0Bh, 4, A, A ; 127
+ ADD 0Ch, 4, A, B ; 128
+ ADD 0Dh, 4, A ; 129
+ ADD 0Eh, 4, B, A ; 130
+ ADD 0Fh, 4, B, B ; 131
+ ADD 10h, 4, B ; 132
+ ADD 11h, 5, A, A ; 133
+ ADD 12h, 5, A, B ; 134
+ ADD 13h, 5, A ; 135
+ ADD 14h, 5, B, A ; 136
+ ADD 15h, 5, B, B ; 137
+ ADD 16h, 5, B ; 138
+ ADD 17h, 6, A, A ; 139
+ ADD 18h, 6, A, B ; 140
+ ADD 19h, 6, A ; 141
+ ADD 1Ah, 6, B, A ; 142
+ ADD 1Bh, 6, B, B ; 143
+ ADD 1Ch, 6, B ; 144
+ ADD 1Dh, 7, A, A ; 145
+ ADD 1Eh, 7, A, B ; 146
+ ADD 1Fh, 7, A ; 147
+ ADD 20h, 7, B, A ; 148
+ ADD 21h, 7, B, B ; 149
+ ADD 22h, 7, B ; 150
+ ADD 23h, 8, A, A ; 151
+ ADD 24h, 8, A, B ; 152
+ ADD 25h, 8, A ; 153
+ ADD 26h, 8, B, A ; 154
+ ADD 27h, 8, B, B ; 155
+ ADD 28h, 8, B ; 156
+ ADD 29h, 9, A, A ; 157
+ ADD 2Ah, 9, A, B ; 158
+ ADD 2Bh, 9, A ; 159
+ ADD 2Ch, 9, B, A ; 160
+ ADD 2Dh, 9, B, B ; 161
+ ADD 2Eh, 9, B ; 162
+ ADD 2Fh, 10, A, A ; 163
+ ADD 30h, 10, A, B ; 164
+ ADD 31h, 10, A ; 165
+ ADD 32h, 10, B, A ; 166
+ ADD 33h, 10, B, B ; 167
+ ADD 34h, 10, B ; 168
+ ADD 35h, 11, A, A ; 169
+ ADD 36h, 11, A, B ; 170
+ ADD 37h, 11, A ; 171
+ ADD 38h, 11, B, A ; 172
+ ADD 39h, 11, B, B ; 173
+ ADD 3Ah, 11, B ; 174
+ ADD 3Bh, 12, A, A ; 175
+ ADD 3Ch, 12, A, B ; 176
+ ADD 3Dh, 12, A ; 177
+ ADD 3Eh, 12, B, A ; 178
+ ADD 3Fh, 12, B, B ; 179
+ ADD 40h, 12, B ; 180
+ ADD 41h, 13, A, A ; 181
+ ADD 42h, 13, A, B ; 182
+ ADD 43h, 13, A ; 183
+ ADD 44h, 13, B, A ; 184
+ ADD 45h, 13, B, B ; 185
+ ADD 46h, 13, B ; 186
+ ADD 47h, 14, A, A ; 187
+ ADD 48h, 14, A, B ; 188
+ ADD 49h, 14, A ; 189
+ ADD 4Ah, 14, B, A ; 190
+ ADD 4Bh, 14, B, B ; 191
+ ADD 4Ch, 14, B ; 192
+ ADD 4Dh, 15, A, A ; 193
+ ADD 4Eh, 15, A, B ; 194
+ ADD 4Fh, 15, A ; 195
+ ADD 50h, 15, B, A ; 196
+ ADD 51h, 15, B, B ; 197
+ ADD 52h, 15, B ; 198
+ ; ADDsmemShift_I : ADD Smem_I, SHIFT, AB, AB2 : 23760
+ ADD *AR0, A, A ; 1
+ ADD *AR0, A, B ; 2
+ ADD *AR0, A ; 3
+ ADD *AR0, B, A ; 4
+ ADD *AR0, B, B ; 5
+ ADD *AR0, B ; 6
+ ADD *AR0, -16, A, A ; 7
+ ADD *AR0, -16, A, B ; 8
+ ADD *AR0, -16, A ; 9
+ ADD *AR0, -16, B, A ; 10
+ ADD *AR0, -16, B, B ; 11
+ ADD *AR0, -16, B ; 12
+ ADD *AR0, -15, A, A ; 13
+ ADD *AR0, -15, A, B ; 14
+ ADD *AR0, -15, A ; 15
+ ADD *AR0, -15, B, A ; 16
+ ADD *AR0, -15, B, B ; 17
+ ADD *AR0, -15, B ; 18
+ ADD *AR0, -14, A, A ; 19
+ ADD *AR0, -14, A, B ; 20
+ ADD *AR0, -14, A ; 21
+ ADD *AR0, -14, B, A ; 22
+ ADD *AR0, -14, B, B ; 23
+ ADD *AR0, -14, B ; 24
+ ADD *AR0, -13, A, A ; 25
+ ADD *AR0, -13, A, B ; 26
+ ADD *AR0, -13, A ; 27
+ ADD *AR0, -13, B, A ; 28
+ ADD *AR0, -13, B, B ; 29
+ ADD *AR0, -13, B ; 30
+ ADD *AR0, -12, A, A ; 31
+ ADD *AR0, -12, A, B ; 32
+ ADD *AR0, -12, A ; 33
+ ADD *AR0, -12, B, A ; 34
+ ADD *AR0, -12, B, B ; 35
+ ADD *AR0, -12, B ; 36
+ ADD *AR0, -11, A, A ; 37
+ ADD *AR0, -11, A, B ; 38
+ ADD *AR0, -11, A ; 39
+ ADD *AR0, -11, B, A ; 40
+ ADD *AR0, -11, B, B ; 41
+ ADD *AR0, -11, B ; 42
+ ADD *AR0, -10, A, A ; 43
+ ADD *AR0, -10, A, B ; 44
+ ADD *AR0, -10, A ; 45
+ ADD *AR0, -10, B, A ; 46
+ ADD *AR0, -10, B, B ; 47
+ ADD *AR0, -10, B ; 48
+ ADD *AR0, -9, A, A ; 49
+ ADD *AR0, -9, A, B ; 50
+ ADD *AR0, -9, A ; 51
+ ADD *AR0, -9, B, A ; 52
+ ADD *AR0, -9, B, B ; 53
+ ADD *AR0, -9, B ; 54
+ ADD *AR0, -8, A, A ; 55
+ ADD *AR0, -8, A, B ; 56
+ ADD *AR0, -8, A ; 57
+ ADD *AR0, -8, B, A ; 58
+ ADD *AR0, -8, B, B ; 59
+ ADD *AR0, -8, B ; 60
+ ADD *AR0, -7, A, A ; 61
+ ADD *AR0, -7, A, B ; 62
+ ADD *AR0, -7, A ; 63
+ ADD *AR0, -7, B, A ; 64
+ ADD *AR0, -7, B, B ; 65
+ ADD *AR0, -7, B ; 66
+ ADD *AR0, -6, A, A ; 67
+ ADD *AR0, -6, A, B ; 68
+ ADD *AR0, -6, A ; 69
+ ADD *AR0, -6, B, A ; 70
+ ADD *AR0, -6, B, B ; 71
+ ADD *AR0, -6, B ; 72
+ ADD *AR0, -5, A, A ; 73
+ ADD *AR0, -5, A, B ; 74
+ ADD *AR0, -5, A ; 75
+ ADD *AR0, -5, B, A ; 76
+ ADD *AR0, -5, B, B ; 77
+ ADD *AR0, -5, B ; 78
+ ADD *AR0, -4, A, A ; 79
+ ADD *AR0, -4, A, B ; 80
+ ADD *AR0, -4, A ; 81
+ ADD *AR0, -4, B, A ; 82
+ ADD *AR0, -4, B, B ; 83
+ ADD *AR0, -4, B ; 84
+ ADD *AR0, -3, A, A ; 85
+ ADD *AR0, -3, A, B ; 86
+ ADD *AR0, -3, A ; 87
+ ADD *AR0, -3, B, A ; 88
+ ADD *AR0, -3, B, B ; 89
+ ADD *AR0, -3, B ; 90
+ ADD *AR0, -2, A, A ; 91
+ ADD *AR0, -2, A, B ; 92
+ ADD *AR0, -2, A ; 93
+ ADD *AR0, -2, B, A ; 94
+ ADD *AR0, -2, B, B ; 95
+ ADD *AR0, -2, B ; 96
+ ADD *AR0, -1, A, A ; 97
+ ADD *AR0, -1, A, B ; 98
+ ADD *AR0, -1, A ; 99
+ ADD *AR0, -1, B, A ; 100
+ ADD *AR0, -1, B, B ; 101
+ ADD *AR0, -1, B ; 102
+ ADD *AR0, 0, A, A ; 103
+ ADD *AR0, 0, A, B ; 104
+ ADD *AR0, 0, A ; 105
+ ADD *AR0, 0, B, A ; 106
+ ADD *AR0, 0, B, B ; 107
+ ADD *AR0, 0, B ; 108
+ ADD *AR0, 1, A, A ; 109
+ ADD *AR0, 1, A, B ; 110
+ ADD *AR0, 1, A ; 111
+ ADD *AR0, 1, B, A ; 112
+ ADD *AR0, 1, B, B ; 113
+ ADD *AR0, 1, B ; 114
+ ADD *AR0, 2, A, A ; 115
+ ADD *AR0, 2, A, B ; 116
+ ADD *AR0, 2, A ; 117
+ ADD *AR0, 2, B, A ; 118
+ ADD *AR0, 2, B, B ; 119
+ ADD *AR0, 2, B ; 120
+ ADD *AR0, 3, A, A ; 121
+ ADD *AR0, 3, A, B ; 122
+ ADD *AR0, 3, A ; 123
+ ADD *AR0, 3, B, A ; 124
+ ADD *AR0, 3, B, B ; 125
+ ADD *AR0, 3, B ; 126
+ ADD *AR0, 4, A, A ; 127
+ ADD *AR0, 4, A, B ; 128
+ ADD *AR0, 4, A ; 129
+ ADD *AR0, 4, B, A ; 130
+ ADD *AR0, 4, B, B ; 131
+ ADD *AR0, 4, B ; 132
+ ADD *AR0, 5, A, A ; 133
+ ADD *AR0, 5, A, B ; 134
+ ADD *AR0, 5, A ; 135
+ ADD *AR0, 5, B, A ; 136
+ ADD *AR0, 5, B, B ; 137
+ ADD *AR0, 5, B ; 138
+ ADD *AR0, 6, A, A ; 139
+ ADD *AR0, 6, A, B ; 140
+ ADD *AR0, 6, A ; 141
+ ADD *AR0, 6, B, A ; 142
+ ADD *AR0, 6, B, B ; 143
+ ADD *AR0, 6, B ; 144
+ ADD *AR0, 7, A, A ; 145
+ ADD *AR0, 7, A, B ; 146
+ ADD *AR0, 7, A ; 147
+ ADD *AR0, 7, B, A ; 148
+ ADD *AR0, 7, B, B ; 149
+ ADD *AR0, 7, B ; 150
+ ADD *AR0, 8, A, A ; 151
+ ADD *AR0, 8, A, B ; 152
+ ADD *AR0, 8, A ; 153
+ ADD *AR0, 8, B, A ; 154
+ ADD *AR0, 8, B, B ; 155
+ ADD *AR0, 8, B ; 156
+ ADD *AR0, 9, A, A ; 157
+ ADD *AR0, 9, A, B ; 158
+ ADD *AR0, 9, A ; 159
+ ADD *AR0, 9, B, A ; 160
+ ADD *AR0, 9, B, B ; 161
+ ADD *AR0, 9, B ; 162
+ ADD *AR0, 10, A, A ; 163
+ ADD *AR0, 10, A, B ; 164
+ ADD *AR0, 10, A ; 165
+ ADD *AR0, 10, B, A ; 166
+ ADD *AR0, 10, B, B ; 167
+ ADD *AR0, 10, B ; 168
+ ADD *AR0, 11, A, A ; 169
+ ADD *AR0, 11, A, B ; 170
+ ADD *AR0, 11, A ; 171
+ ADD *AR0, 11, B, A ; 172
+ ADD *AR0, 11, B, B ; 173
+ ADD *AR0, 11, B ; 174
+ ADD *AR0, 12, A, A ; 175
+ ADD *AR0, 12, A, B ; 176
+ ADD *AR0, 12, A ; 177
+ ADD *AR0, 12, B, A ; 178
+ ADD *AR0, 12, B, B ; 179
+ ADD *AR0, 12, B ; 180
+ ADD *AR0, 13, A, A ; 181
+ ADD *AR0, 13, A, B ; 182
+ ADD *AR0, 13, A ; 183
+ ADD *AR0, 13, B, A ; 184
+ ADD *AR0, 13, B, B ; 185
+ ADD *AR0, 13, B ; 186
+ ADD *AR0, 14, A, A ; 187
+ ADD *AR0, 14, A, B ; 188
+ ADD *AR0, 14, A ; 189
+ ADD *AR0, 14, B, A ; 190
+ ADD *AR0, 14, B, B ; 191
+ ADD *AR0, 14, B ; 192
+ ADD *AR0, 15, A, A ; 193
+ ADD *AR0, 15, A, B ; 194
+ ADD *AR0, 15, A ; 195
+ ADD *AR0, 15, B, A ; 196
+ ADD *AR0, 15, B, B ; 197
+ ADD *AR0, 15, B ; 198
+ ADD *AR0-, A, A ; 199
+ ADD *AR0-, A, B ; 200
+ ADD *AR0-, A ; 201
+ ADD *AR0-, B, A ; 202
+ ADD *AR0-, B, B ; 203
+ ADD *AR0-, B ; 204
+ ADD *AR0-, -16, A, A ; 205
+ ADD *AR0-, -16, A, B ; 206
+ ADD *AR0-, -16, A ; 207
+ ADD *AR0-, -16, B, A ; 208
+ ADD *AR0-, -16, B, B ; 209
+ ADD *AR0-, -16, B ; 210
+ ADD *AR0-, -15, A, A ; 211
+ ADD *AR0-, -15, A, B ; 212
+ ADD *AR0-, -15, A ; 213
+ ADD *AR0-, -15, B, A ; 214
+ ADD *AR0-, -15, B, B ; 215
+ ADD *AR0-, -15, B ; 216
+ ADD *AR0-, -14, A, A ; 217
+ ADD *AR0-, -14, A, B ; 218
+ ADD *AR0-, -14, A ; 219
+ ADD *AR0-, -14, B, A ; 220
+ ADD *AR0-, -14, B, B ; 221
+ ADD *AR0-, -14, B ; 222
+ ADD *AR0-, -13, A, A ; 223
+ ADD *AR0-, -13, A, B ; 224
+ ADD *AR0-, -13, A ; 225
+ ADD *AR0-, -13, B, A ; 226
+ ADD *AR0-, -13, B, B ; 227
+ ADD *AR0-, -13, B ; 228
+ ADD *AR0-, -12, A, A ; 229
+ ADD *AR0-, -12, A, B ; 230
+ ADD *AR0-, -12, A ; 231
+ ADD *AR0-, -12, B, A ; 232
+ ADD *AR0-, -12, B, B ; 233
+ ADD *AR0-, -12, B ; 234
+ ADD *AR0-, -11, A, A ; 235
+ ADD *AR0-, -11, A, B ; 236
+ ADD *AR0-, -11, A ; 237
+ ADD *AR0-, -11, B, A ; 238
+ ADD *AR0-, -11, B, B ; 239
+ ADD *AR0-, -11, B ; 240
+ ADD *AR0-, -10, A, A ; 241
+ ADD *AR0-, -10, A, B ; 242
+ ADD *AR0-, -10, A ; 243
+ ADD *AR0-, -10, B, A ; 244
+ ADD *AR0-, -10, B, B ; 245
+ ADD *AR0-, -10, B ; 246
+ ADD *AR0-, -9, A, A ; 247
+ ADD *AR0-, -9, A, B ; 248
+ ADD *AR0-, -9, A ; 249
+ ADD *AR0-, -9, B, A ; 250
+ ADD *AR0-, -9, B, B ; 251
+ ADD *AR0-, -9, B ; 252
+ ADD *AR0-, -8, A, A ; 253
+ ADD *AR0-, -8, A, B ; 254
+ ADD *AR0-, -8, A ; 255
+ ADD *AR0-, -8, B, A ; 256
+ ADD *AR0-, -8, B, B ; 257
+ ADD *AR0-, -8, B ; 258
+ ADD *AR0-, -7, A, A ; 259
+ ADD *AR0-, -7, A, B ; 260
+ ADD *AR0-, -7, A ; 261
+ ADD *AR0-, -7, B, A ; 262
+ ADD *AR0-, -7, B, B ; 263
+ ADD *AR0-, -7, B ; 264
+ ADD *AR0-, -6, A, A ; 265
+ ADD *AR0-, -6, A, B ; 266
+ ADD *AR0-, -6, A ; 267
+ ADD *AR0-, -6, B, A ; 268
+ ADD *AR0-, -6, B, B ; 269
+ ADD *AR0-, -6, B ; 270
+ ADD *AR0-, -5, A, A ; 271
+ ADD *AR0-, -5, A, B ; 272
+ ADD *AR0-, -5, A ; 273
+ ADD *AR0-, -5, B, A ; 274
+ ADD *AR0-, -5, B, B ; 275
+ ADD *AR0-, -5, B ; 276
+ ADD *AR0-, -4, A, A ; 277
+ ADD *AR0-, -4, A, B ; 278
+ ADD *AR0-, -4, A ; 279
+ ADD *AR0-, -4, B, A ; 280
+ ADD *AR0-, -4, B, B ; 281
+ ADD *AR0-, -4, B ; 282
+ ADD *AR0-, -3, A, A ; 283
+ ADD *AR0-, -3, A, B ; 284
+ ADD *AR0-, -3, A ; 285
+ ADD *AR0-, -3, B, A ; 286
+ ADD *AR0-, -3, B, B ; 287
+ ADD *AR0-, -3, B ; 288
+ ADD *AR0-, -2, A, A ; 289
+ ADD *AR0-, -2, A, B ; 290
+ ADD *AR0-, -2, A ; 291
+ ADD *AR0-, -2, B, A ; 292
+ ADD *AR0-, -2, B, B ; 293
+ ADD *AR0-, -2, B ; 294
+ ADD *AR0-, -1, A, A ; 295
+ ADD *AR0-, -1, A, B ; 296
+ ADD *AR0-, -1, A ; 297
+ ADD *AR0-, -1, B, A ; 298
+ ADD *AR0-, -1, B, B ; 299
+ ADD *AR0-, -1, B ; 300
+ ADD *AR0-, 0, A, A ; 301
+ ADD *AR0-, 0, A, B ; 302
+ ADD *AR0-, 0, A ; 303
+ ADD *AR0-, 0, B, A ; 304
+ ADD *AR0-, 0, B, B ; 305
+ ADD *AR0-, 0, B ; 306
+ ADD *AR0-, 1, A, A ; 307
+ ADD *AR0-, 1, A, B ; 308
+ ADD *AR0-, 1, A ; 309
+ ADD *AR0-, 1, B, A ; 310
+ ADD *AR0-, 1, B, B ; 311
+ ADD *AR0-, 1, B ; 312
+ ADD *AR0-, 2, A, A ; 313
+ ADD *AR0-, 2, A, B ; 314
+ ADD *AR0-, 2, A ; 315
+ ADD *AR0-, 2, B, A ; 316
+ ADD *AR0-, 2, B, B ; 317
+ ADD *AR0-, 2, B ; 318
+ ADD *AR0-, 3, A, A ; 319
+ ADD *AR0-, 3, A, B ; 320
+ ADD *AR0-, 3, A ; 321
+ ADD *AR0-, 3, B, A ; 322
+ ADD *AR0-, 3, B, B ; 323
+ ADD *AR0-, 3, B ; 324
+ ADD *AR0-, 4, A, A ; 325
+ ADD *AR0-, 4, A, B ; 326
+ ADD *AR0-, 4, A ; 327
+ ADD *AR0-, 4, B, A ; 328
+ ADD *AR0-, 4, B, B ; 329
+ ADD *AR0-, 4, B ; 330
+ ADD *AR0-, 5, A, A ; 331
+ ADD *AR0-, 5, A, B ; 332
+ ADD *AR0-, 5, A ; 333
+ ADD *AR0-, 5, B, A ; 334
+ ADD *AR0-, 5, B, B ; 335
+ ADD *AR0-, 5, B ; 336
+ ADD *AR0-, 6, A, A ; 337
+ ADD *AR0-, 6, A, B ; 338
+ ADD *AR0-, 6, A ; 339
+ ADD *AR0-, 6, B, A ; 340
+ ADD *AR0-, 6, B, B ; 341
+ ADD *AR0-, 6, B ; 342
+ ADD *AR0-, 7, A, A ; 343
+ ADD *AR0-, 7, A, B ; 344
+ ADD *AR0-, 7, A ; 345
+ ADD *AR0-, 7, B, A ; 346
+ ADD *AR0-, 7, B, B ; 347
+ ADD *AR0-, 7, B ; 348
+ ADD *AR0-, 8, A, A ; 349
+ ADD *AR0-, 8, A, B ; 350
+ ADD *AR0-, 8, A ; 351
+ ADD *AR0-, 8, B, A ; 352
+ ADD *AR0-, 8, B, B ; 353
+ ADD *AR0-, 8, B ; 354
+ ADD *AR0-, 9, A, A ; 355
+ ADD *AR0-, 9, A, B ; 356
+ ADD *AR0-, 9, A ; 357
+ ADD *AR0-, 9, B, A ; 358
+ ADD *AR0-, 9, B, B ; 359
+ ADD *AR0-, 9, B ; 360
+ ADD *AR0-, 10, A, A ; 361
+ ADD *AR0-, 10, A, B ; 362
+ ADD *AR0-, 10, A ; 363
+ ADD *AR0-, 10, B, A ; 364
+ ADD *AR0-, 10, B, B ; 365
+ ADD *AR0-, 10, B ; 366
+ ADD *AR0-, 11, A, A ; 367
+ ADD *AR0-, 11, A, B ; 368
+ ADD *AR0-, 11, A ; 369
+ ADD *AR0-, 11, B, A ; 370
+ ADD *AR0-, 11, B, B ; 371
+ ADD *AR0-, 11, B ; 372
+ ADD *AR0-, 12, A, A ; 373
+ ADD *AR0-, 12, A, B ; 374
+ ADD *AR0-, 12, A ; 375
+ ADD *AR0-, 12, B, A ; 376
+ ADD *AR0-, 12, B, B ; 377
+ ADD *AR0-, 12, B ; 378
+ ADD *AR0-, 13, A, A ; 379
+ ADD *AR0-, 13, A, B ; 380
+ ADD *AR0-, 13, A ; 381
+ ADD *AR0-, 13, B, A ; 382
+ ADD *AR0-, 13, B, B ; 383
+ ADD *AR0-, 13, B ; 384
+ ADD *AR0-, 14, A, A ; 385
+ ADD *AR0-, 14, A, B ; 386
+ ADD *AR0-, 14, A ; 387
+ ADD *AR0-, 14, B, A ; 388
+ ADD *AR0-, 14, B, B ; 389
+ ADD *AR0-, 14, B ; 390
+ ADD *AR0-, 15, A, A ; 391
+ ADD *AR0-, 15, A, B ; 392
+ ADD *AR0-, 15, A ; 393
+ ADD *AR0-, 15, B, A ; 394
+ ADD *AR0-, 15, B, B ; 395
+ ADD *AR0-, 15, B ; 396
+ ADD *AR0+, A, A ; 397
+ ADD *AR0+, A, B ; 398
+ ADD *AR0+, A ; 399
+ ADD *AR0+, B, A ; 400
+ ADD *AR0+, B, B ; 401
+ ADD *AR0+, B ; 402
+ ADD *AR0+, -16, A, A ; 403
+ ADD *AR0+, -16, A, B ; 404
+ ADD *AR0+, -16, A ; 405
+ ADD *AR0+, -16, B, A ; 406
+ ADD *AR0+, -16, B, B ; 407
+ ADD *AR0+, -16, B ; 408
+ ADD *AR0+, -15, A, A ; 409
+ ADD *AR0+, -15, A, B ; 410
+ ADD *AR0+, -15, A ; 411
+ ADD *AR0+, -15, B, A ; 412
+ ADD *AR0+, -15, B, B ; 413
+ ADD *AR0+, -15, B ; 414
+ ADD *AR0+, -14, A, A ; 415
+ ADD *AR0+, -14, A, B ; 416
+ ADD *AR0+, -14, A ; 417
+ ADD *AR0+, -14, B, A ; 418
+ ADD *AR0+, -14, B, B ; 419
+ ADD *AR0+, -14, B ; 420
+ ADD *AR0+, -13, A, A ; 421
+ ADD *AR0+, -13, A, B ; 422
+ ADD *AR0+, -13, A ; 423
+ ADD *AR0+, -13, B, A ; 424
+ ADD *AR0+, -13, B, B ; 425
+ ADD *AR0+, -13, B ; 426
+ ADD *AR0+, -12, A, A ; 427
+ ADD *AR0+, -12, A, B ; 428
+ ADD *AR0+, -12, A ; 429
+ ADD *AR0+, -12, B, A ; 430
+ ADD *AR0+, -12, B, B ; 431
+ ADD *AR0+, -12, B ; 432
+ ADD *AR0+, -11, A, A ; 433
+ ADD *AR0+, -11, A, B ; 434
+ ADD *AR0+, -11, A ; 435
+ ADD *AR0+, -11, B, A ; 436
+ ADD *AR0+, -11, B, B ; 437
+ ADD *AR0+, -11, B ; 438
+ ADD *AR0+, -10, A, A ; 439
+ ADD *AR0+, -10, A, B ; 440
+ ADD *AR0+, -10, A ; 441
+ ADD *AR0+, -10, B, A ; 442
+ ADD *AR0+, -10, B, B ; 443
+ ADD *AR0+, -10, B ; 444
+ ADD *AR0+, -9, A, A ; 445
+ ADD *AR0+, -9, A, B ; 446
+ ADD *AR0+, -9, A ; 447
+ ADD *AR0+, -9, B, A ; 448
+ ADD *AR0+, -9, B, B ; 449
+ ADD *AR0+, -9, B ; 450
+ ADD *AR0+, -8, A, A ; 451
+ ADD *AR0+, -8, A, B ; 452
+ ADD *AR0+, -8, A ; 453
+ ADD *AR0+, -8, B, A ; 454
+ ADD *AR0+, -8, B, B ; 455
+ ADD *AR0+, -8, B ; 456
+ ADD *AR0+, -7, A, A ; 457
+ ADD *AR0+, -7, A, B ; 458
+ ADD *AR0+, -7, A ; 459
+ ADD *AR0+, -7, B, A ; 460
+ ADD *AR0+, -7, B, B ; 461
+ ADD *AR0+, -7, B ; 462
+ ADD *AR0+, -6, A, A ; 463
+ ADD *AR0+, -6, A, B ; 464
+ ADD *AR0+, -6, A ; 465
+ ADD *AR0+, -6, B, A ; 466
+ ADD *AR0+, -6, B, B ; 467
+ ADD *AR0+, -6, B ; 468
+ ADD *AR0+, -5, A, A ; 469
+ ADD *AR0+, -5, A, B ; 470
+ ADD *AR0+, -5, A ; 471
+ ADD *AR0+, -5, B, A ; 472
+ ADD *AR0+, -5, B, B ; 473
+ ADD *AR0+, -5, B ; 474
+ ADD *AR0+, -4, A, A ; 475
+ ADD *AR0+, -4, A, B ; 476
+ ADD *AR0+, -4, A ; 477
+ ADD *AR0+, -4, B, A ; 478
+ ADD *AR0+, -4, B, B ; 479
+ ADD *AR0+, -4, B ; 480
+ ADD *AR0+, -3, A, A ; 481
+ ADD *AR0+, -3, A, B ; 482
+ ADD *AR0+, -3, A ; 483
+ ADD *AR0+, -3, B, A ; 484
+ ADD *AR0+, -3, B, B ; 485
+ ADD *AR0+, -3, B ; 486
+ ADD *AR0+, -2, A, A ; 487
+ ADD *AR0+, -2, A, B ; 488
+ ADD *AR0+, -2, A ; 489
+ ADD *AR0+, -2, B, A ; 490
+ ADD *AR0+, -2, B, B ; 491
+ ADD *AR0+, -2, B ; 492
+ ADD *AR0+, -1, A, A ; 493
+ ADD *AR0+, -1, A, B ; 494
+ ADD *AR0+, -1, A ; 495
+ ADD *AR0+, -1, B, A ; 496
+ ADD *AR0+, -1, B, B ; 497
+ ADD *AR0+, -1, B ; 498
+ ADD *AR0+, 0, A, A ; 499
+ ADD *AR0+, 0, A, B ; 500
+ ADD *AR0+, 0, A ; 501
+ ADD *AR0+, 0, B, A ; 502
+ ADD *AR0+, 0, B, B ; 503
+ ADD *AR0+, 0, B ; 504
+ ADD *AR0+, 1, A, A ; 505
+ ADD *AR0+, 1, A, B ; 506
+ ADD *AR0+, 1, A ; 507
+ ADD *AR0+, 1, B, A ; 508
+ ADD *AR0+, 1, B, B ; 509
+ ADD *AR0+, 1, B ; 510
+ ADD *AR0+, 2, A, A ; 511
+ ADD *AR0+, 2, A, B ; 512
+ ADD *AR0+, 2, A ; 513
+ ADD *AR0+, 2, B, A ; 514
+ ADD *AR0+, 2, B, B ; 515
+ ADD *AR0+, 2, B ; 516
+ ADD *AR0+, 3, A, A ; 517
+ ADD *AR0+, 3, A, B ; 518
+ ADD *AR0+, 3, A ; 519
+ ADD *AR0+, 3, B, A ; 520
+ ADD *AR0+, 3, B, B ; 521
+ ADD *AR0+, 3, B ; 522
+ ADD *AR0+, 4, A, A ; 523
+ ADD *AR0+, 4, A, B ; 524
+ ADD *AR0+, 4, A ; 525
+ ADD *AR0+, 4, B, A ; 526
+ ADD *AR0+, 4, B, B ; 527
+ ADD *AR0+, 4, B ; 528
+ ADD *AR0+, 5, A, A ; 529
+ ADD *AR0+, 5, A, B ; 530
+ ADD *AR0+, 5, A ; 531
+ ADD *AR0+, 5, B, A ; 532
+ ADD *AR0+, 5, B, B ; 533
+ ADD *AR0+, 5, B ; 534
+ ADD *AR0+, 6, A, A ; 535
+ ADD *AR0+, 6, A, B ; 536
+ ADD *AR0+, 6, A ; 537
+ ADD *AR0+, 6, B, A ; 538
+ ADD *AR0+, 6, B, B ; 539
+ ADD *AR0+, 6, B ; 540
+ ADD *AR0+, 7, A, A ; 541
+ ADD *AR0+, 7, A, B ; 542
+ ADD *AR0+, 7, A ; 543
+ ADD *AR0+, 7, B, A ; 544
+ ADD *AR0+, 7, B, B ; 545
+ ADD *AR0+, 7, B ; 546
+ ADD *AR0+, 8, A, A ; 547
+ ADD *AR0+, 8, A, B ; 548
+ ADD *AR0+, 8, A ; 549
+ ADD *AR0+, 8, B, A ; 550
+ ADD *AR0+, 8, B, B ; 551
+ ADD *AR0+, 8, B ; 552
+ ADD *AR0+, 9, A, A ; 553
+ ADD *AR0+, 9, A, B ; 554
+ ADD *AR0+, 9, A ; 555
+ ADD *AR0+, 9, B, A ; 556
+ ADD *AR0+, 9, B, B ; 557
+ ADD *AR0+, 9, B ; 558
+ ADD *AR0+, 10, A, A ; 559
+ ADD *AR0+, 10, A, B ; 560
+ ADD *AR0+, 10, A ; 561
+ ADD *AR0+, 10, B, A ; 562
+ ADD *AR0+, 10, B, B ; 563
+ ADD *AR0+, 10, B ; 564
+ ADD *AR0+, 11, A, A ; 565
+ ADD *AR0+, 11, A, B ; 566
+ ADD *AR0+, 11, A ; 567
+ ADD *AR0+, 11, B, A ; 568
+ ADD *AR0+, 11, B, B ; 569
+ ADD *AR0+, 11, B ; 570
+ ADD *AR0+, 12, A, A ; 571
+ ADD *AR0+, 12, A, B ; 572
+ ADD *AR0+, 12, A ; 573
+ ADD *AR0+, 12, B, A ; 574
+ ADD *AR0+, 12, B, B ; 575
+ ADD *AR0+, 12, B ; 576
+ ADD *AR0+, 13, A, A ; 577
+ ADD *AR0+, 13, A, B ; 578
+ ADD *AR0+, 13, A ; 579
+ ADD *AR0+, 13, B, A ; 580
+ ADD *AR0+, 13, B, B ; 581
+ ADD *AR0+, 13, B ; 582
+ ADD *AR0+, 14, A, A ; 583
+ ADD *AR0+, 14, A, B ; 584
+ ADD *AR0+, 14, A ; 585
+ ADD *AR0+, 14, B, A ; 586
+ ADD *AR0+, 14, B, B ; 587
+ ADD *AR0+, 14, B ; 588
+ ADD *AR0+, 15, A, A ; 589
+ ADD *AR0+, 15, A, B ; 590
+ ADD *AR0+, 15, A ; 591
+ ADD *AR0+, 15, B, A ; 592
+ ADD *AR0+, 15, B, B ; 593
+ ADD *AR0+, 15, B ; 594
+ ADD *AR0-0B, A, A ; 595
+ ADD *AR0-0B, A, B ; 596
+ ADD *AR0-0B, A ; 597
+ ADD *AR0-0B, B, A ; 598
+ ADD *AR0-0B, B, B ; 599
+ ADD *AR0-0B, B ; 600
+ ADD *AR0-0B, -16, A, A ; 601
+ ADD *AR0-0B, -16, A, B ; 602
+ ADD *AR0-0B, -16, A ; 603
+ ADD *AR0-0B, -16, B, A ; 604
+ ADD *AR0-0B, -16, B, B ; 605
+ ADD *AR0-0B, -16, B ; 606
+ ADD *AR0-0B, -15, A, A ; 607
+ ADD *AR0-0B, -15, A, B ; 608
+ ADD *AR0-0B, -15, A ; 609
+ ADD *AR0-0B, -15, B, A ; 610
+ ADD *AR0-0B, -15, B, B ; 611
+ ADD *AR0-0B, -15, B ; 612
+ ADD *AR0-0B, -14, A, A ; 613
+ ADD *AR0-0B, -14, A, B ; 614
+ ADD *AR0-0B, -14, A ; 615
+ ADD *AR0-0B, -14, B, A ; 616
+ ADD *AR0-0B, -14, B, B ; 617
+ ADD *AR0-0B, -14, B ; 618
+ ADD *AR0-0B, -13, A, A ; 619
+ ADD *AR0-0B, -13, A, B ; 620
+ ADD *AR0-0B, -13, A ; 621
+ ADD *AR0-0B, -13, B, A ; 622
+ ADD *AR0-0B, -13, B, B ; 623
+ ADD *AR0-0B, -13, B ; 624
+ ADD *AR0-0B, -12, A, A ; 625
+ ADD *AR0-0B, -12, A, B ; 626
+ ADD *AR0-0B, -12, A ; 627
+ ADD *AR0-0B, -12, B, A ; 628
+ ADD *AR0-0B, -12, B, B ; 629
+ ADD *AR0-0B, -12, B ; 630
+ ADD *AR0-0B, -11, A, A ; 631
+ ADD *AR0-0B, -11, A, B ; 632
+ ADD *AR0-0B, -11, A ; 633
+ ADD *AR0-0B, -11, B, A ; 634
+ ADD *AR0-0B, -11, B, B ; 635
+ ADD *AR0-0B, -11, B ; 636
+ ADD *AR0-0B, -10, A, A ; 637
+ ADD *AR0-0B, -10, A, B ; 638
+ ADD *AR0-0B, -10, A ; 639
+ ADD *AR0-0B, -10, B, A ; 640
+ ADD *AR0-0B, -10, B, B ; 641
+ ADD *AR0-0B, -10, B ; 642
+ ADD *AR0-0B, -9, A, A ; 643
+ ADD *AR0-0B, -9, A, B ; 644
+ ADD *AR0-0B, -9, A ; 645
+ ADD *AR0-0B, -9, B, A ; 646
+ ADD *AR0-0B, -9, B, B ; 647
+ ADD *AR0-0B, -9, B ; 648
+ ADD *AR0-0B, -8, A, A ; 649
+ ADD *AR0-0B, -8, A, B ; 650
+ ADD *AR0-0B, -8, A ; 651
+ ADD *AR0-0B, -8, B, A ; 652
+ ADD *AR0-0B, -8, B, B ; 653
+ ADD *AR0-0B, -8, B ; 654
+ ADD *AR0-0B, -7, A, A ; 655
+ ADD *AR0-0B, -7, A, B ; 656
+ ADD *AR0-0B, -7, A ; 657
+ ADD *AR0-0B, -7, B, A ; 658
+ ADD *AR0-0B, -7, B, B ; 659
+ ADD *AR0-0B, -7, B ; 660
+ ADD *AR0-0B, -6, A, A ; 661
+ ADD *AR0-0B, -6, A, B ; 662
+ ADD *AR0-0B, -6, A ; 663
+ ADD *AR0-0B, -6, B, A ; 664
+ ADD *AR0-0B, -6, B, B ; 665
+ ADD *AR0-0B, -6, B ; 666
+ ADD *AR0-0B, -5, A, A ; 667
+ ADD *AR0-0B, -5, A, B ; 668
+ ADD *AR0-0B, -5, A ; 669
+ ADD *AR0-0B, -5, B, A ; 670
+ ADD *AR0-0B, -5, B, B ; 671
+ ADD *AR0-0B, -5, B ; 672
+ ADD *AR0-0B, -4, A, A ; 673
+ ADD *AR0-0B, -4, A, B ; 674
+ ADD *AR0-0B, -4, A ; 675
+ ADD *AR0-0B, -4, B, A ; 676
+ ADD *AR0-0B, -4, B, B ; 677
+ ADD *AR0-0B, -4, B ; 678
+ ADD *AR0-0B, -3, A, A ; 679
+ ADD *AR0-0B, -3, A, B ; 680
+ ADD *AR0-0B, -3, A ; 681
+ ADD *AR0-0B, -3, B, A ; 682
+ ADD *AR0-0B, -3, B, B ; 683
+ ADD *AR0-0B, -3, B ; 684
+ ADD *AR0-0B, -2, A, A ; 685
+ ADD *AR0-0B, -2, A, B ; 686
+ ADD *AR0-0B, -2, A ; 687
+ ADD *AR0-0B, -2, B, A ; 688
+ ADD *AR0-0B, -2, B, B ; 689
+ ADD *AR0-0B, -2, B ; 690
+ ADD *AR0-0B, -1, A, A ; 691
+ ADD *AR0-0B, -1, A, B ; 692
+ ADD *AR0-0B, -1, A ; 693
+ ADD *AR0-0B, -1, B, A ; 694
+ ADD *AR0-0B, -1, B, B ; 695
+ ADD *AR0-0B, -1, B ; 696
+ ADD *AR0-0B, 0, A, A ; 697
+ ADD *AR0-0B, 0, A, B ; 698
+ ADD *AR0-0B, 0, A ; 699
+ ADD *AR0-0B, 0, B, A ; 700
+ ADD *AR0-0B, 0, B, B ; 701
+ ADD *AR0-0B, 0, B ; 702
+ ADD *AR0-0B, 1, A, A ; 703
+ ADD *AR0-0B, 1, A, B ; 704
+ ADD *AR0-0B, 1, A ; 705
+ ADD *AR0-0B, 1, B, A ; 706
+ ADD *AR0-0B, 1, B, B ; 707
+ ADD *AR0-0B, 1, B ; 708
+ ADD *AR0-0B, 2, A, A ; 709
+ ADD *AR0-0B, 2, A, B ; 710
+ ADD *AR0-0B, 2, A ; 711
+ ADD *AR0-0B, 2, B, A ; 712
+ ADD *AR0-0B, 2, B, B ; 713
+ ADD *AR0-0B, 2, B ; 714
+ ADD *AR0-0B, 3, A, A ; 715
+ ADD *AR0-0B, 3, A, B ; 716
+ ADD *AR0-0B, 3, A ; 717
+ ADD *AR0-0B, 3, B, A ; 718
+ ADD *AR0-0B, 3, B, B ; 719
+ ADD *AR0-0B, 3, B ; 720
+ ADD *AR0-0B, 4, A, A ; 721
+ ADD *AR0-0B, 4, A, B ; 722
+ ADD *AR0-0B, 4, A ; 723
+ ADD *AR0-0B, 4, B, A ; 724
+ ADD *AR0-0B, 4, B, B ; 725
+ ADD *AR0-0B, 4, B ; 726
+ ADD *AR0-0B, 5, A, A ; 727
+ ADD *AR0-0B, 5, A, B ; 728
+ ADD *AR0-0B, 5, A ; 729
+ ADD *AR0-0B, 5, B, A ; 730
+ ADD *AR0-0B, 5, B, B ; 731
+ ADD *AR0-0B, 5, B ; 732
+ ADD *AR0-0B, 6, A, A ; 733
+ ADD *AR0-0B, 6, A, B ; 734
+ ADD *AR0-0B, 6, A ; 735
+ ADD *AR0-0B, 6, B, A ; 736
+ ADD *AR0-0B, 6, B, B ; 737
+ ADD *AR0-0B, 6, B ; 738
+ ADD *AR0-0B, 7, A, A ; 739
+ ADD *AR0-0B, 7, A, B ; 740
+ ADD *AR0-0B, 7, A ; 741
+ ADD *AR0-0B, 7, B, A ; 742
+ ADD *AR0-0B, 7, B, B ; 743
+ ADD *AR0-0B, 7, B ; 744
+ ADD *AR0-0B, 8, A, A ; 745
+ ADD *AR0-0B, 8, A, B ; 746
+ ADD *AR0-0B, 8, A ; 747
+ ADD *AR0-0B, 8, B, A ; 748
+ ADD *AR0-0B, 8, B, B ; 749
+ ADD *AR0-0B, 8, B ; 750
+ ADD *AR0-0B, 9, A, A ; 751
+ ADD *AR0-0B, 9, A, B ; 752
+ ADD *AR0-0B, 9, A ; 753
+ ADD *AR0-0B, 9, B, A ; 754
+ ADD *AR0-0B, 9, B, B ; 755
+ ADD *AR0-0B, 9, B ; 756
+ ADD *AR0-0B, 10, A, A ; 757
+ ADD *AR0-0B, 10, A, B ; 758
+ ADD *AR0-0B, 10, A ; 759
+ ADD *AR0-0B, 10, B, A ; 760
+ ADD *AR0-0B, 10, B, B ; 761
+ ADD *AR0-0B, 10, B ; 762
+ ADD *AR0-0B, 11, A, A ; 763
+ ADD *AR0-0B, 11, A, B ; 764
+ ADD *AR0-0B, 11, A ; 765
+ ADD *AR0-0B, 11, B, A ; 766
+ ADD *AR0-0B, 11, B, B ; 767
+ ADD *AR0-0B, 11, B ; 768
+ ADD *AR0-0B, 12, A, A ; 769
+ ADD *AR0-0B, 12, A, B ; 770
+ ADD *AR0-0B, 12, A ; 771
+ ADD *AR0-0B, 12, B, A ; 772
+ ADD *AR0-0B, 12, B, B ; 773
+ ADD *AR0-0B, 12, B ; 774
+ ADD *AR0-0B, 13, A, A ; 775
+ ADD *AR0-0B, 13, A, B ; 776
+ ADD *AR0-0B, 13, A ; 777
+ ADD *AR0-0B, 13, B, A ; 778
+ ADD *AR0-0B, 13, B, B ; 779
+ ADD *AR0-0B, 13, B ; 780
+ ADD *AR0-0B, 14, A, A ; 781
+ ADD *AR0-0B, 14, A, B ; 782
+ ADD *AR0-0B, 14, A ; 783
+ ADD *AR0-0B, 14, B, A ; 784
+ ADD *AR0-0B, 14, B, B ; 785
+ ADD *AR0-0B, 14, B ; 786
+ ADD *AR0-0B, 15, A, A ; 787
+ ADD *AR0-0B, 15, A, B ; 788
+ ADD *AR0-0B, 15, A ; 789
+ ADD *AR0-0B, 15, B, A ; 790
+ ADD *AR0-0B, 15, B, B ; 791
+ ADD *AR0-0B, 15, B ; 792
+ ADD *AR0-0, A, A ; 793
+ ADD *AR0-0, A, B ; 794
+ ADD *AR0-0, A ; 795
+ ADD *AR0-0, B, A ; 796
+ ADD *AR0-0, B, B ; 797
+ ADD *AR0-0, B ; 798
+ ADD *AR0-0, -16, A, A ; 799
+ ADD *AR0-0, -16, A, B ; 800
+ ADD *AR0-0, -16, A ; 801
+ ADD *AR0-0, -16, B, A ; 802
+ ADD *AR0-0, -16, B, B ; 803
+ ADD *AR0-0, -16, B ; 804
+ ADD *AR0-0, -15, A, A ; 805
+ ADD *AR0-0, -15, A, B ; 806
+ ADD *AR0-0, -15, A ; 807
+ ADD *AR0-0, -15, B, A ; 808
+ ADD *AR0-0, -15, B, B ; 809
+ ADD *AR0-0, -15, B ; 810
+ ADD *AR0-0, -14, A, A ; 811
+ ADD *AR0-0, -14, A, B ; 812
+ ADD *AR0-0, -14, A ; 813
+ ADD *AR0-0, -14, B, A ; 814
+ ADD *AR0-0, -14, B, B ; 815
+ ADD *AR0-0, -14, B ; 816
+ ADD *AR0-0, -13, A, A ; 817
+ ADD *AR0-0, -13, A, B ; 818
+ ADD *AR0-0, -13, A ; 819
+ ADD *AR0-0, -13, B, A ; 820
+ ADD *AR0-0, -13, B, B ; 821
+ ADD *AR0-0, -13, B ; 822
+ ADD *AR0-0, -12, A, A ; 823
+ ADD *AR0-0, -12, A, B ; 824
+ ADD *AR0-0, -12, A ; 825
+ ADD *AR0-0, -12, B, A ; 826
+ ADD *AR0-0, -12, B, B ; 827
+ ADD *AR0-0, -12, B ; 828
+ ADD *AR0-0, -11, A, A ; 829
+ ADD *AR0-0, -11, A, B ; 830
+ ADD *AR0-0, -11, A ; 831
+ ADD *AR0-0, -11, B, A ; 832
+ ADD *AR0-0, -11, B, B ; 833
+ ADD *AR0-0, -11, B ; 834
+ ADD *AR0-0, -10, A, A ; 835
+ ADD *AR0-0, -10, A, B ; 836
+ ADD *AR0-0, -10, A ; 837
+ ADD *AR0-0, -10, B, A ; 838
+ ADD *AR0-0, -10, B, B ; 839
+ ADD *AR0-0, -10, B ; 840
+ ADD *AR0-0, -9, A, A ; 841
+ ADD *AR0-0, -9, A, B ; 842
+ ADD *AR0-0, -9, A ; 843
+ ADD *AR0-0, -9, B, A ; 844
+ ADD *AR0-0, -9, B, B ; 845
+ ADD *AR0-0, -9, B ; 846
+ ADD *AR0-0, -8, A, A ; 847
+ ADD *AR0-0, -8, A, B ; 848
+ ADD *AR0-0, -8, A ; 849
+ ADD *AR0-0, -8, B, A ; 850
+ ADD *AR0-0, -8, B, B ; 851
+ ADD *AR0-0, -8, B ; 852
+ ADD *AR0-0, -7, A, A ; 853
+ ADD *AR0-0, -7, A, B ; 854
+ ADD *AR0-0, -7, A ; 855
+ ADD *AR0-0, -7, B, A ; 856
+ ADD *AR0-0, -7, B, B ; 857
+ ADD *AR0-0, -7, B ; 858
+ ADD *AR0-0, -6, A, A ; 859
+ ADD *AR0-0, -6, A, B ; 860
+ ADD *AR0-0, -6, A ; 861
+ ADD *AR0-0, -6, B, A ; 862
+ ADD *AR0-0, -6, B, B ; 863
+ ADD *AR0-0, -6, B ; 864
+ ADD *AR0-0, -5, A, A ; 865
+ ADD *AR0-0, -5, A, B ; 866
+ ADD *AR0-0, -5, A ; 867
+ ADD *AR0-0, -5, B, A ; 868
+ ADD *AR0-0, -5, B, B ; 869
+ ADD *AR0-0, -5, B ; 870
+ ADD *AR0-0, -4, A, A ; 871
+ ADD *AR0-0, -4, A, B ; 872
+ ADD *AR0-0, -4, A ; 873
+ ADD *AR0-0, -4, B, A ; 874
+ ADD *AR0-0, -4, B, B ; 875
+ ADD *AR0-0, -4, B ; 876
+ ADD *AR0-0, -3, A, A ; 877
+ ADD *AR0-0, -3, A, B ; 878
+ ADD *AR0-0, -3, A ; 879
+ ADD *AR0-0, -3, B, A ; 880
+ ADD *AR0-0, -3, B, B ; 881
+ ADD *AR0-0, -3, B ; 882
+ ADD *AR0-0, -2, A, A ; 883
+ ADD *AR0-0, -2, A, B ; 884
+ ADD *AR0-0, -2, A ; 885
+ ADD *AR0-0, -2, B, A ; 886
+ ADD *AR0-0, -2, B, B ; 887
+ ADD *AR0-0, -2, B ; 888
+ ADD *AR0-0, -1, A, A ; 889
+ ADD *AR0-0, -1, A, B ; 890
+ ADD *AR0-0, -1, A ; 891
+ ADD *AR0-0, -1, B, A ; 892
+ ADD *AR0-0, -1, B, B ; 893
+ ADD *AR0-0, -1, B ; 894
+ ADD *AR0-0, 0, A, A ; 895
+ ADD *AR0-0, 0, A, B ; 896
+ ADD *AR0-0, 0, A ; 897
+ ADD *AR0-0, 0, B, A ; 898
+ ADD *AR0-0, 0, B, B ; 899
+ ADD *AR0-0, 0, B ; 900
+ ADD *AR0-0, 1, A, A ; 901
+ ADD *AR0-0, 1, A, B ; 902
+ ADD *AR0-0, 1, A ; 903
+ ADD *AR0-0, 1, B, A ; 904
+ ADD *AR0-0, 1, B, B ; 905
+ ADD *AR0-0, 1, B ; 906
+ ADD *AR0-0, 2, A, A ; 907
+ ADD *AR0-0, 2, A, B ; 908
+ ADD *AR0-0, 2, A ; 909
+ ADD *AR0-0, 2, B, A ; 910
+ ADD *AR0-0, 2, B, B ; 911
+ ADD *AR0-0, 2, B ; 912
+ ADD *AR0-0, 3, A, A ; 913
+ ADD *AR0-0, 3, A, B ; 914
+ ADD *AR0-0, 3, A ; 915
+ ADD *AR0-0, 3, B, A ; 916
+ ADD *AR0-0, 3, B, B ; 917
+ ADD *AR0-0, 3, B ; 918
+ ADD *AR0-0, 4, A, A ; 919
+ ADD *AR0-0, 4, A, B ; 920
+ ADD *AR0-0, 4, A ; 921
+ ADD *AR0-0, 4, B, A ; 922
+ ADD *AR0-0, 4, B, B ; 923
+ ADD *AR0-0, 4, B ; 924
+ ADD *AR0-0, 5, A, A ; 925
+ ADD *AR0-0, 5, A, B ; 926
+ ADD *AR0-0, 5, A ; 927
+ ADD *AR0-0, 5, B, A ; 928
+ ADD *AR0-0, 5, B, B ; 929
+ ADD *AR0-0, 5, B ; 930
+ ADD *AR0-0, 6, A, A ; 931
+ ADD *AR0-0, 6, A, B ; 932
+ ADD *AR0-0, 6, A ; 933
+ ADD *AR0-0, 6, B, A ; 934
+ ADD *AR0-0, 6, B, B ; 935
+ ADD *AR0-0, 6, B ; 936
+ ADD *AR0-0, 7, A, A ; 937
+ ADD *AR0-0, 7, A, B ; 938
+ ADD *AR0-0, 7, A ; 939
+ ADD *AR0-0, 7, B, A ; 940
+ ADD *AR0-0, 7, B, B ; 941
+ ADD *AR0-0, 7, B ; 942
+ ADD *AR0-0, 8, A, A ; 943
+ ADD *AR0-0, 8, A, B ; 944
+ ADD *AR0-0, 8, A ; 945
+ ADD *AR0-0, 8, B, A ; 946
+ ADD *AR0-0, 8, B, B ; 947
+ ADD *AR0-0, 8, B ; 948
+ ADD *AR0-0, 9, A, A ; 949
+ ADD *AR0-0, 9, A, B ; 950
+ ADD *AR0-0, 9, A ; 951
+ ADD *AR0-0, 9, B, A ; 952
+ ADD *AR0-0, 9, B, B ; 953
+ ADD *AR0-0, 9, B ; 954
+ ADD *AR0-0, 10, A, A ; 955
+ ADD *AR0-0, 10, A, B ; 956
+ ADD *AR0-0, 10, A ; 957
+ ADD *AR0-0, 10, B, A ; 958
+ ADD *AR0-0, 10, B, B ; 959
+ ADD *AR0-0, 10, B ; 960
+ ADD *AR0-0, 11, A, A ; 961
+ ADD *AR0-0, 11, A, B ; 962
+ ADD *AR0-0, 11, A ; 963
+ ADD *AR0-0, 11, B, A ; 964
+ ADD *AR0-0, 11, B, B ; 965
+ ADD *AR0-0, 11, B ; 966
+ ADD *AR0-0, 12, A, A ; 967
+ ADD *AR0-0, 12, A, B ; 968
+ ADD *AR0-0, 12, A ; 969
+ ADD *AR0-0, 12, B, A ; 970
+ ADD *AR0-0, 12, B, B ; 971
+ ADD *AR0-0, 12, B ; 972
+ ADD *AR0-0, 13, A, A ; 973
+ ADD *AR0-0, 13, A, B ; 974
+ ADD *AR0-0, 13, A ; 975
+ ADD *AR0-0, 13, B, A ; 976
+ ADD *AR0-0, 13, B, B ; 977
+ ADD *AR0-0, 13, B ; 978
+ ADD *AR0-0, 14, A, A ; 979
+ ADD *AR0-0, 14, A, B ; 980
+ ADD *AR0-0, 14, A ; 981
+ ADD *AR0-0, 14, B, A ; 982
+ ADD *AR0-0, 14, B, B ; 983
+ ADD *AR0-0, 14, B ; 984
+ ADD *AR0-0, 15, A, A ; 985
+ ADD *AR0-0, 15, A, B ; 986
+ ADD *AR0-0, 15, A ; 987
+ ADD *AR0-0, 15, B, A ; 988
+ ADD *AR0-0, 15, B, B ; 989
+ ADD *AR0-0, 15, B ; 990
+ ADD *AR0+0, A, A ; 991
+ ADD *AR0+0, A, B ; 992
+ ADD *AR0+0, A ; 993
+ ADD *AR0+0, B, A ; 994
+ ADD *AR0+0, B, B ; 995
+ ADD *AR0+0, B ; 996
+ ADD *AR0+0, -16, A, A ; 997
+ ADD *AR0+0, -16, A, B ; 998
+ ADD *AR0+0, -16, A ; 999
+ ADD *AR0+0, -16, B, A ; 1000
+ ADD *AR0+0, -16, B, B ; 1001
+ ADD *AR0+0, -16, B ; 1002
+ ADD *AR0+0, -15, A, A ; 1003
+ ADD *AR0+0, -15, A, B ; 1004
+ ADD *AR0+0, -15, A ; 1005
+ ADD *AR0+0, -15, B, A ; 1006
+ ADD *AR0+0, -15, B, B ; 1007
+ ADD *AR0+0, -15, B ; 1008
+ ADD *AR0+0, -14, A, A ; 1009
+ ADD *AR0+0, -14, A, B ; 1010
+ ADD *AR0+0, -14, A ; 1011
+ ADD *AR0+0, -14, B, A ; 1012
+ ADD *AR0+0, -14, B, B ; 1013
+ ADD *AR0+0, -14, B ; 1014
+ ADD *AR0+0, -13, A, A ; 1015
+ ADD *AR0+0, -13, A, B ; 1016
+ ADD *AR0+0, -13, A ; 1017
+ ADD *AR0+0, -13, B, A ; 1018
+ ADD *AR0+0, -13, B, B ; 1019
+ ADD *AR0+0, -13, B ; 1020
+ ADD *AR0+0, -12, A, A ; 1021
+ ADD *AR0+0, -12, A, B ; 1022
+ ADD *AR0+0, -12, A ; 1023
+ ADD *AR0+0, -12, B, A ; 1024
+ ADD *AR0+0, -12, B, B ; 1025
+ ADD *AR0+0, -12, B ; 1026
+ ADD *AR0+0, -11, A, A ; 1027
+ ADD *AR0+0, -11, A, B ; 1028
+ ADD *AR0+0, -11, A ; 1029
+ ADD *AR0+0, -11, B, A ; 1030
+ ADD *AR0+0, -11, B, B ; 1031
+ ADD *AR0+0, -11, B ; 1032
+ ADD *AR0+0, -10, A, A ; 1033
+ ADD *AR0+0, -10, A, B ; 1034
+ ADD *AR0+0, -10, A ; 1035
+ ADD *AR0+0, -10, B, A ; 1036
+ ADD *AR0+0, -10, B, B ; 1037
+ ADD *AR0+0, -10, B ; 1038
+ ADD *AR0+0, -9, A, A ; 1039
+ ADD *AR0+0, -9, A, B ; 1040
+ ADD *AR0+0, -9, A ; 1041
+ ADD *AR0+0, -9, B, A ; 1042
+ ADD *AR0+0, -9, B, B ; 1043
+ ADD *AR0+0, -9, B ; 1044
+ ADD *AR0+0, -8, A, A ; 1045
+ ADD *AR0+0, -8, A, B ; 1046
+ ADD *AR0+0, -8, A ; 1047
+ ADD *AR0+0, -8, B, A ; 1048
+ ADD *AR0+0, -8, B, B ; 1049
+ ADD *AR0+0, -8, B ; 1050
+ ADD *AR0+0, -7, A, A ; 1051
+ ADD *AR0+0, -7, A, B ; 1052
+ ADD *AR0+0, -7, A ; 1053
+ ADD *AR0+0, -7, B, A ; 1054
+ ADD *AR0+0, -7, B, B ; 1055
+ ADD *AR0+0, -7, B ; 1056
+ ADD *AR0+0, -6, A, A ; 1057
+ ADD *AR0+0, -6, A, B ; 1058
+ ADD *AR0+0, -6, A ; 1059
+ ADD *AR0+0, -6, B, A ; 1060
+ ADD *AR0+0, -6, B, B ; 1061
+ ADD *AR0+0, -6, B ; 1062
+ ADD *AR0+0, -5, A, A ; 1063
+ ADD *AR0+0, -5, A, B ; 1064
+ ADD *AR0+0, -5, A ; 1065
+ ADD *AR0+0, -5, B, A ; 1066
+ ADD *AR0+0, -5, B, B ; 1067
+ ADD *AR0+0, -5, B ; 1068
+ ADD *AR0+0, -4, A, A ; 1069
+ ADD *AR0+0, -4, A, B ; 1070
+ ADD *AR0+0, -4, A ; 1071
+ ADD *AR0+0, -4, B, A ; 1072
+ ADD *AR0+0, -4, B, B ; 1073
+ ADD *AR0+0, -4, B ; 1074
+ ADD *AR0+0, -3, A, A ; 1075
+ ADD *AR0+0, -3, A, B ; 1076
+ ADD *AR0+0, -3, A ; 1077
+ ADD *AR0+0, -3, B, A ; 1078
+ ADD *AR0+0, -3, B, B ; 1079
+ ADD *AR0+0, -3, B ; 1080
+ ADD *AR0+0, -2, A, A ; 1081
+ ADD *AR0+0, -2, A, B ; 1082
+ ADD *AR0+0, -2, A ; 1083
+ ADD *AR0+0, -2, B, A ; 1084
+ ADD *AR0+0, -2, B, B ; 1085
+ ADD *AR0+0, -2, B ; 1086
+ ADD *AR0+0, -1, A, A ; 1087
+ ADD *AR0+0, -1, A, B ; 1088
+ ADD *AR0+0, -1, A ; 1089
+ ADD *AR0+0, -1, B, A ; 1090
+ ADD *AR0+0, -1, B, B ; 1091
+ ADD *AR0+0, -1, B ; 1092
+ ADD *AR0+0, 0, A, A ; 1093
+ ADD *AR0+0, 0, A, B ; 1094
+ ADD *AR0+0, 0, A ; 1095
+ ADD *AR0+0, 0, B, A ; 1096
+ ADD *AR0+0, 0, B, B ; 1097
+ ADD *AR0+0, 0, B ; 1098
+ ADD *AR0+0, 1, A, A ; 1099
+ ADD *AR0+0, 1, A, B ; 1100
+ ADD *AR0+0, 1, A ; 1101
+ ADD *AR0+0, 1, B, A ; 1102
+ ADD *AR0+0, 1, B, B ; 1103
+ ADD *AR0+0, 1, B ; 1104
+ ADD *AR0+0, 2, A, A ; 1105
+ ADD *AR0+0, 2, A, B ; 1106
+ ADD *AR0+0, 2, A ; 1107
+ ADD *AR0+0, 2, B, A ; 1108
+ ADD *AR0+0, 2, B, B ; 1109
+ ADD *AR0+0, 2, B ; 1110
+ ADD *AR0+0, 3, A, A ; 1111
+ ADD *AR0+0, 3, A, B ; 1112
+ ADD *AR0+0, 3, A ; 1113
+ ADD *AR0+0, 3, B, A ; 1114
+ ADD *AR0+0, 3, B, B ; 1115
+ ADD *AR0+0, 3, B ; 1116
+ ADD *AR0+0, 4, A, A ; 1117
+ ADD *AR0+0, 4, A, B ; 1118
+ ADD *AR0+0, 4, A ; 1119
+ ADD *AR0+0, 4, B, A ; 1120
+ ADD *AR0+0, 4, B, B ; 1121
+ ADD *AR0+0, 4, B ; 1122
+ ADD *AR0+0, 5, A, A ; 1123
+ ADD *AR0+0, 5, A, B ; 1124
+ ADD *AR0+0, 5, A ; 1125
+ ADD *AR0+0, 5, B, A ; 1126
+ ADD *AR0+0, 5, B, B ; 1127
+ ADD *AR0+0, 5, B ; 1128
+ ADD *AR0+0, 6, A, A ; 1129
+ ADD *AR0+0, 6, A, B ; 1130
+ ADD *AR0+0, 6, A ; 1131
+ ADD *AR0+0, 6, B, A ; 1132
+ ADD *AR0+0, 6, B, B ; 1133
+ ADD *AR0+0, 6, B ; 1134
+ ADD *AR0+0, 7, A, A ; 1135
+ ADD *AR0+0, 7, A, B ; 1136
+ ADD *AR0+0, 7, A ; 1137
+ ADD *AR0+0, 7, B, A ; 1138
+ ADD *AR0+0, 7, B, B ; 1139
+ ADD *AR0+0, 7, B ; 1140
+ ADD *AR0+0, 8, A, A ; 1141
+ ADD *AR0+0, 8, A, B ; 1142
+ ADD *AR0+0, 8, A ; 1143
+ ADD *AR0+0, 8, B, A ; 1144
+ ADD *AR0+0, 8, B, B ; 1145
+ ADD *AR0+0, 8, B ; 1146
+ ADD *AR0+0, 9, A, A ; 1147
+ ADD *AR0+0, 9, A, B ; 1148
+ ADD *AR0+0, 9, A ; 1149
+ ADD *AR0+0, 9, B, A ; 1150
+ ADD *AR0+0, 9, B, B ; 1151
+ ADD *AR0+0, 9, B ; 1152
+ ADD *AR0+0, 10, A, A ; 1153
+ ADD *AR0+0, 10, A, B ; 1154
+ ADD *AR0+0, 10, A ; 1155
+ ADD *AR0+0, 10, B, A ; 1156
+ ADD *AR0+0, 10, B, B ; 1157
+ ADD *AR0+0, 10, B ; 1158
+ ADD *AR0+0, 11, A, A ; 1159
+ ADD *AR0+0, 11, A, B ; 1160
+ ADD *AR0+0, 11, A ; 1161
+ ADD *AR0+0, 11, B, A ; 1162
+ ADD *AR0+0, 11, B, B ; 1163
+ ADD *AR0+0, 11, B ; 1164
+ ADD *AR0+0, 12, A, A ; 1165
+ ADD *AR0+0, 12, A, B ; 1166
+ ADD *AR0+0, 12, A ; 1167
+ ADD *AR0+0, 12, B, A ; 1168
+ ADD *AR0+0, 12, B, B ; 1169
+ ADD *AR0+0, 12, B ; 1170
+ ADD *AR0+0, 13, A, A ; 1171
+ ADD *AR0+0, 13, A, B ; 1172
+ ADD *AR0+0, 13, A ; 1173
+ ADD *AR0+0, 13, B, A ; 1174
+ ADD *AR0+0, 13, B, B ; 1175
+ ADD *AR0+0, 13, B ; 1176
+ ADD *AR0+0, 14, A, A ; 1177
+ ADD *AR0+0, 14, A, B ; 1178
+ ADD *AR0+0, 14, A ; 1179
+ ADD *AR0+0, 14, B, A ; 1180
+ ADD *AR0+0, 14, B, B ; 1181
+ ADD *AR0+0, 14, B ; 1182
+ ADD *AR0+0, 15, A, A ; 1183
+ ADD *AR0+0, 15, A, B ; 1184
+ ADD *AR0+0, 15, A ; 1185
+ ADD *AR0+0, 15, B, A ; 1186
+ ADD *AR0+0, 15, B, B ; 1187
+ ADD *AR0+0, 15, B ; 1188
+ ADD *AR0+0B, A, A ; 1189
+ ADD *AR0+0B, A, B ; 1190
+ ADD *AR0+0B, A ; 1191
+ ADD *AR0+0B, B, A ; 1192
+ ADD *AR0+0B, B, B ; 1193
+ ADD *AR0+0B, B ; 1194
+ ADD *AR0+0B, -16, A, A ; 1195
+ ADD *AR0+0B, -16, A, B ; 1196
+ ADD *AR0+0B, -16, A ; 1197
+ ADD *AR0+0B, -16, B, A ; 1198
+ ADD *AR0+0B, -16, B, B ; 1199
+ ADD *AR0+0B, -16, B ; 1200
+ ADD *AR0+0B, -15, A, A ; 1201
+ ADD *AR0+0B, -15, A, B ; 1202
+ ADD *AR0+0B, -15, A ; 1203
+ ADD *AR0+0B, -15, B, A ; 1204
+ ADD *AR0+0B, -15, B, B ; 1205
+ ADD *AR0+0B, -15, B ; 1206
+ ADD *AR0+0B, -14, A, A ; 1207
+ ADD *AR0+0B, -14, A, B ; 1208
+ ADD *AR0+0B, -14, A ; 1209
+ ADD *AR0+0B, -14, B, A ; 1210
+ ADD *AR0+0B, -14, B, B ; 1211
+ ADD *AR0+0B, -14, B ; 1212
+ ADD *AR0+0B, -13, A, A ; 1213
+ ADD *AR0+0B, -13, A, B ; 1214
+ ADD *AR0+0B, -13, A ; 1215
+ ADD *AR0+0B, -13, B, A ; 1216
+ ADD *AR0+0B, -13, B, B ; 1217
+ ADD *AR0+0B, -13, B ; 1218
+ ADD *AR0+0B, -12, A, A ; 1219
+ ADD *AR0+0B, -12, A, B ; 1220
+ ADD *AR0+0B, -12, A ; 1221
+ ADD *AR0+0B, -12, B, A ; 1222
+ ADD *AR0+0B, -12, B, B ; 1223
+ ADD *AR0+0B, -12, B ; 1224
+ ADD *AR0+0B, -11, A, A ; 1225
+ ADD *AR0+0B, -11, A, B ; 1226
+ ADD *AR0+0B, -11, A ; 1227
+ ADD *AR0+0B, -11, B, A ; 1228
+ ADD *AR0+0B, -11, B, B ; 1229
+ ADD *AR0+0B, -11, B ; 1230
+ ADD *AR0+0B, -10, A, A ; 1231
+ ADD *AR0+0B, -10, A, B ; 1232
+ ADD *AR0+0B, -10, A ; 1233
+ ADD *AR0+0B, -10, B, A ; 1234
+ ADD *AR0+0B, -10, B, B ; 1235
+ ADD *AR0+0B, -10, B ; 1236
+ ADD *AR0+0B, -9, A, A ; 1237
+ ADD *AR0+0B, -9, A, B ; 1238
+ ADD *AR0+0B, -9, A ; 1239
+ ADD *AR0+0B, -9, B, A ; 1240
+ ADD *AR0+0B, -9, B, B ; 1241
+ ADD *AR0+0B, -9, B ; 1242
+ ADD *AR0+0B, -8, A, A ; 1243
+ ADD *AR0+0B, -8, A, B ; 1244
+ ADD *AR0+0B, -8, A ; 1245
+ ADD *AR0+0B, -8, B, A ; 1246
+ ADD *AR0+0B, -8, B, B ; 1247
+ ADD *AR0+0B, -8, B ; 1248
+ ADD *AR0+0B, -7, A, A ; 1249
+ ADD *AR0+0B, -7, A, B ; 1250
+ ADD *AR0+0B, -7, A ; 1251
+ ADD *AR0+0B, -7, B, A ; 1252
+ ADD *AR0+0B, -7, B, B ; 1253
+ ADD *AR0+0B, -7, B ; 1254
+ ADD *AR0+0B, -6, A, A ; 1255
+ ADD *AR0+0B, -6, A, B ; 1256
+ ADD *AR0+0B, -6, A ; 1257
+ ADD *AR0+0B, -6, B, A ; 1258
+ ADD *AR0+0B, -6, B, B ; 1259
+ ADD *AR0+0B, -6, B ; 1260
+ ADD *AR0+0B, -5, A, A ; 1261
+ ADD *AR0+0B, -5, A, B ; 1262
+ ADD *AR0+0B, -5, A ; 1263
+ ADD *AR0+0B, -5, B, A ; 1264
+ ADD *AR0+0B, -5, B, B ; 1265
+ ADD *AR0+0B, -5, B ; 1266
+ ADD *AR0+0B, -4, A, A ; 1267
+ ADD *AR0+0B, -4, A, B ; 1268
+ ADD *AR0+0B, -4, A ; 1269
+ ADD *AR0+0B, -4, B, A ; 1270
+ ADD *AR0+0B, -4, B, B ; 1271
+ ADD *AR0+0B, -4, B ; 1272
+ ADD *AR0+0B, -3, A, A ; 1273
+ ADD *AR0+0B, -3, A, B ; 1274
+ ADD *AR0+0B, -3, A ; 1275
+ ADD *AR0+0B, -3, B, A ; 1276
+ ADD *AR0+0B, -3, B, B ; 1277
+ ADD *AR0+0B, -3, B ; 1278
+ ADD *AR0+0B, -2, A, A ; 1279
+ ADD *AR0+0B, -2, A, B ; 1280
+ ADD *AR0+0B, -2, A ; 1281
+ ADD *AR0+0B, -2, B, A ; 1282
+ ADD *AR0+0B, -2, B, B ; 1283
+ ADD *AR0+0B, -2, B ; 1284
+ ADD *AR0+0B, -1, A, A ; 1285
+ ADD *AR0+0B, -1, A, B ; 1286
+ ADD *AR0+0B, -1, A ; 1287
+ ADD *AR0+0B, -1, B, A ; 1288
+ ADD *AR0+0B, -1, B, B ; 1289
+ ADD *AR0+0B, -1, B ; 1290
+ ADD *AR0+0B, 0, A, A ; 1291
+ ADD *AR0+0B, 0, A, B ; 1292
+ ADD *AR0+0B, 0, A ; 1293
+ ADD *AR0+0B, 0, B, A ; 1294
+ ADD *AR0+0B, 0, B, B ; 1295
+ ADD *AR0+0B, 0, B ; 1296
+ ADD *AR0+0B, 1, A, A ; 1297
+ ADD *AR0+0B, 1, A, B ; 1298
+ ADD *AR0+0B, 1, A ; 1299
+ ADD *AR0+0B, 1, B, A ; 1300
+ ADD *AR0+0B, 1, B, B ; 1301
+ ADD *AR0+0B, 1, B ; 1302
+ ADD *AR0+0B, 2, A, A ; 1303
+ ADD *AR0+0B, 2, A, B ; 1304
+ ADD *AR0+0B, 2, A ; 1305
+ ADD *AR0+0B, 2, B, A ; 1306
+ ADD *AR0+0B, 2, B, B ; 1307
+ ADD *AR0+0B, 2, B ; 1308
+ ADD *AR0+0B, 3, A, A ; 1309
+ ADD *AR0+0B, 3, A, B ; 1310
+ ADD *AR0+0B, 3, A ; 1311
+ ADD *AR0+0B, 3, B, A ; 1312
+ ADD *AR0+0B, 3, B, B ; 1313
+ ADD *AR0+0B, 3, B ; 1314
+ ADD *AR0+0B, 4, A, A ; 1315
+ ADD *AR0+0B, 4, A, B ; 1316
+ ADD *AR0+0B, 4, A ; 1317
+ ADD *AR0+0B, 4, B, A ; 1318
+ ADD *AR0+0B, 4, B, B ; 1319
+ ADD *AR0+0B, 4, B ; 1320
+ ADD *AR0+0B, 5, A, A ; 1321
+ ADD *AR0+0B, 5, A, B ; 1322
+ ADD *AR0+0B, 5, A ; 1323
+ ADD *AR0+0B, 5, B, A ; 1324
+ ADD *AR0+0B, 5, B, B ; 1325
+ ADD *AR0+0B, 5, B ; 1326
+ ADD *AR0+0B, 6, A, A ; 1327
+ ADD *AR0+0B, 6, A, B ; 1328
+ ADD *AR0+0B, 6, A ; 1329
+ ADD *AR0+0B, 6, B, A ; 1330
+ ADD *AR0+0B, 6, B, B ; 1331
+ ADD *AR0+0B, 6, B ; 1332
+ ADD *AR0+0B, 7, A, A ; 1333
+ ADD *AR0+0B, 7, A, B ; 1334
+ ADD *AR0+0B, 7, A ; 1335
+ ADD *AR0+0B, 7, B, A ; 1336
+ ADD *AR0+0B, 7, B, B ; 1337
+ ADD *AR0+0B, 7, B ; 1338
+ ADD *AR0+0B, 8, A, A ; 1339
+ ADD *AR0+0B, 8, A, B ; 1340
+ ADD *AR0+0B, 8, A ; 1341
+ ADD *AR0+0B, 8, B, A ; 1342
+ ADD *AR0+0B, 8, B, B ; 1343
+ ADD *AR0+0B, 8, B ; 1344
+ ADD *AR0+0B, 9, A, A ; 1345
+ ADD *AR0+0B, 9, A, B ; 1346
+ ADD *AR0+0B, 9, A ; 1347
+ ADD *AR0+0B, 9, B, A ; 1348
+ ADD *AR0+0B, 9, B, B ; 1349
+ ADD *AR0+0B, 9, B ; 1350
+ ADD *AR0+0B, 10, A, A ; 1351
+ ADD *AR0+0B, 10, A, B ; 1352
+ ADD *AR0+0B, 10, A ; 1353
+ ADD *AR0+0B, 10, B, A ; 1354
+ ADD *AR0+0B, 10, B, B ; 1355
+ ADD *AR0+0B, 10, B ; 1356
+ ADD *AR0+0B, 11, A, A ; 1357
+ ADD *AR0+0B, 11, A, B ; 1358
+ ADD *AR0+0B, 11, A ; 1359
+ ADD *AR0+0B, 11, B, A ; 1360
+ ADD *AR0+0B, 11, B, B ; 1361
+ ADD *AR0+0B, 11, B ; 1362
+ ADD *AR0+0B, 12, A, A ; 1363
+ ADD *AR0+0B, 12, A, B ; 1364
+ ADD *AR0+0B, 12, A ; 1365
+ ADD *AR0+0B, 12, B, A ; 1366
+ ADD *AR0+0B, 12, B, B ; 1367
+ ADD *AR0+0B, 12, B ; 1368
+ ADD *AR0+0B, 13, A, A ; 1369
+ ADD *AR0+0B, 13, A, B ; 1370
+ ADD *AR0+0B, 13, A ; 1371
+ ADD *AR0+0B, 13, B, A ; 1372
+ ADD *AR0+0B, 13, B, B ; 1373
+ ADD *AR0+0B, 13, B ; 1374
+ ADD *AR0+0B, 14, A, A ; 1375
+ ADD *AR0+0B, 14, A, B ; 1376
+ ADD *AR0+0B, 14, A ; 1377
+ ADD *AR0+0B, 14, B, A ; 1378
+ ADD *AR0+0B, 14, B, B ; 1379
+ ADD *AR0+0B, 14, B ; 1380
+ ADD *AR0+0B, 15, A, A ; 1381
+ ADD *AR0+0B, 15, A, B ; 1382
+ ADD *AR0+0B, 15, A ; 1383
+ ADD *AR0+0B, 15, B, A ; 1384
+ ADD *AR0+0B, 15, B, B ; 1385
+ ADD *AR0+0B, 15, B ; 1386
+ ADD *AR0-%, A, A ; 1387
+ ADD *AR0-%, A, B ; 1388
+ ADD *AR0-%, A ; 1389
+ ADD *AR0-%, B, A ; 1390
+ ADD *AR0-%, B, B ; 1391
+ ADD *AR0-%, B ; 1392
+ ADD *AR0-%, -16, A, A ; 1393
+ ADD *AR0-%, -16, A, B ; 1394
+ ADD *AR0-%, -16, A ; 1395
+ ADD *AR0-%, -16, B, A ; 1396
+ ADD *AR0-%, -16, B, B ; 1397
+ ADD *AR0-%, -16, B ; 1398
+ ADD *AR0-%, -15, A, A ; 1399
+ ADD *AR0-%, -15, A, B ; 1400
+ ADD *AR0-%, -15, A ; 1401
+ ADD *AR0-%, -15, B, A ; 1402
+ ADD *AR0-%, -15, B, B ; 1403
+ ADD *AR0-%, -15, B ; 1404
+ ADD *AR0-%, -14, A, A ; 1405
+ ADD *AR0-%, -14, A, B ; 1406
+ ADD *AR0-%, -14, A ; 1407
+ ADD *AR0-%, -14, B, A ; 1408
+ ADD *AR0-%, -14, B, B ; 1409
+ ADD *AR0-%, -14, B ; 1410
+ ADD *AR0-%, -13, A, A ; 1411
+ ADD *AR0-%, -13, A, B ; 1412
+ ADD *AR0-%, -13, A ; 1413
+ ADD *AR0-%, -13, B, A ; 1414
+ ADD *AR0-%, -13, B, B ; 1415
+ ADD *AR0-%, -13, B ; 1416
+ ADD *AR0-%, -12, A, A ; 1417
+ ADD *AR0-%, -12, A, B ; 1418
+ ADD *AR0-%, -12, A ; 1419
+ ADD *AR0-%, -12, B, A ; 1420
+ ADD *AR0-%, -12, B, B ; 1421
+ ADD *AR0-%, -12, B ; 1422
+ ADD *AR0-%, -11, A, A ; 1423
+ ADD *AR0-%, -11, A, B ; 1424
+ ADD *AR0-%, -11, A ; 1425
+ ADD *AR0-%, -11, B, A ; 1426
+ ADD *AR0-%, -11, B, B ; 1427
+ ADD *AR0-%, -11, B ; 1428
+ ADD *AR0-%, -10, A, A ; 1429
+ ADD *AR0-%, -10, A, B ; 1430
+ ADD *AR0-%, -10, A ; 1431
+ ADD *AR0-%, -10, B, A ; 1432
+ ADD *AR0-%, -10, B, B ; 1433
+ ADD *AR0-%, -10, B ; 1434
+ ADD *AR0-%, -9, A, A ; 1435
+ ADD *AR0-%, -9, A, B ; 1436
+ ADD *AR0-%, -9, A ; 1437
+ ADD *AR0-%, -9, B, A ; 1438
+ ADD *AR0-%, -9, B, B ; 1439
+ ADD *AR0-%, -9, B ; 1440
+ ADD *AR0-%, -8, A, A ; 1441
+ ADD *AR0-%, -8, A, B ; 1442
+ ADD *AR0-%, -8, A ; 1443
+ ADD *AR0-%, -8, B, A ; 1444
+ ADD *AR0-%, -8, B, B ; 1445
+ ADD *AR0-%, -8, B ; 1446
+ ADD *AR0-%, -7, A, A ; 1447
+ ADD *AR0-%, -7, A, B ; 1448
+ ADD *AR0-%, -7, A ; 1449
+ ADD *AR0-%, -7, B, A ; 1450
+ ADD *AR0-%, -7, B, B ; 1451
+ ADD *AR0-%, -7, B ; 1452
+ ADD *AR0-%, -6, A, A ; 1453
+ ADD *AR0-%, -6, A, B ; 1454
+ ADD *AR0-%, -6, A ; 1455
+ ADD *AR0-%, -6, B, A ; 1456
+ ADD *AR0-%, -6, B, B ; 1457
+ ADD *AR0-%, -6, B ; 1458
+ ADD *AR0-%, -5, A, A ; 1459
+ ADD *AR0-%, -5, A, B ; 1460
+ ADD *AR0-%, -5, A ; 1461
+ ADD *AR0-%, -5, B, A ; 1462
+ ADD *AR0-%, -5, B, B ; 1463
+ ADD *AR0-%, -5, B ; 1464
+ ADD *AR0-%, -4, A, A ; 1465
+ ADD *AR0-%, -4, A, B ; 1466
+ ADD *AR0-%, -4, A ; 1467
+ ADD *AR0-%, -4, B, A ; 1468
+ ADD *AR0-%, -4, B, B ; 1469
+ ADD *AR0-%, -4, B ; 1470
+ ADD *AR0-%, -3, A, A ; 1471
+ ADD *AR0-%, -3, A, B ; 1472
+ ADD *AR0-%, -3, A ; 1473
+ ADD *AR0-%, -3, B, A ; 1474
+ ADD *AR0-%, -3, B, B ; 1475
+ ADD *AR0-%, -3, B ; 1476
+ ADD *AR0-%, -2, A, A ; 1477
+ ADD *AR0-%, -2, A, B ; 1478
+ ADD *AR0-%, -2, A ; 1479
+ ADD *AR0-%, -2, B, A ; 1480
+ ADD *AR0-%, -2, B, B ; 1481
+ ADD *AR0-%, -2, B ; 1482
+ ADD *AR0-%, -1, A, A ; 1483
+ ADD *AR0-%, -1, A, B ; 1484
+ ADD *AR0-%, -1, A ; 1485
+ ADD *AR0-%, -1, B, A ; 1486
+ ADD *AR0-%, -1, B, B ; 1487
+ ADD *AR0-%, -1, B ; 1488
+ ADD *AR0-%, 0, A, A ; 1489
+ ADD *AR0-%, 0, A, B ; 1490
+ ADD *AR0-%, 0, A ; 1491
+ ADD *AR0-%, 0, B, A ; 1492
+ ADD *AR0-%, 0, B, B ; 1493
+ ADD *AR0-%, 0, B ; 1494
+ ADD *AR0-%, 1, A, A ; 1495
+ ADD *AR0-%, 1, A, B ; 1496
+ ADD *AR0-%, 1, A ; 1497
+ ADD *AR0-%, 1, B, A ; 1498
+ ADD *AR0-%, 1, B, B ; 1499
+ ADD *AR0-%, 1, B ; 1500
+ ADD *AR0-%, 2, A, A ; 1501
+ ADD *AR0-%, 2, A, B ; 1502
+ ADD *AR0-%, 2, A ; 1503
+ ADD *AR0-%, 2, B, A ; 1504
+ ADD *AR0-%, 2, B, B ; 1505
+ ADD *AR0-%, 2, B ; 1506
+ ADD *AR0-%, 3, A, A ; 1507
+ ADD *AR0-%, 3, A, B ; 1508
+ ADD *AR0-%, 3, A ; 1509
+ ADD *AR0-%, 3, B, A ; 1510
+ ADD *AR0-%, 3, B, B ; 1511
+ ADD *AR0-%, 3, B ; 1512
+ ADD *AR0-%, 4, A, A ; 1513
+ ADD *AR0-%, 4, A, B ; 1514
+ ADD *AR0-%, 4, A ; 1515
+ ADD *AR0-%, 4, B, A ; 1516
+ ADD *AR0-%, 4, B, B ; 1517
+ ADD *AR0-%, 4, B ; 1518
+ ADD *AR0-%, 5, A, A ; 1519
+ ADD *AR0-%, 5, A, B ; 1520
+ ADD *AR0-%, 5, A ; 1521
+ ADD *AR0-%, 5, B, A ; 1522
+ ADD *AR0-%, 5, B, B ; 1523
+ ADD *AR0-%, 5, B ; 1524
+ ADD *AR0-%, 6, A, A ; 1525
+ ADD *AR0-%, 6, A, B ; 1526
+ ADD *AR0-%, 6, A ; 1527
+ ADD *AR0-%, 6, B, A ; 1528
+ ADD *AR0-%, 6, B, B ; 1529
+ ADD *AR0-%, 6, B ; 1530
+ ADD *AR0-%, 7, A, A ; 1531
+ ADD *AR0-%, 7, A, B ; 1532
+ ADD *AR0-%, 7, A ; 1533
+ ADD *AR0-%, 7, B, A ; 1534
+ ADD *AR0-%, 7, B, B ; 1535
+ ADD *AR0-%, 7, B ; 1536
+ ADD *AR0-%, 8, A, A ; 1537
+ ADD *AR0-%, 8, A, B ; 1538
+ ADD *AR0-%, 8, A ; 1539
+ ADD *AR0-%, 8, B, A ; 1540
+ ADD *AR0-%, 8, B, B ; 1541
+ ADD *AR0-%, 8, B ; 1542
+ ADD *AR0-%, 9, A, A ; 1543
+ ADD *AR0-%, 9, A, B ; 1544
+ ADD *AR0-%, 9, A ; 1545
+ ADD *AR0-%, 9, B, A ; 1546
+ ADD *AR0-%, 9, B, B ; 1547
+ ADD *AR0-%, 9, B ; 1548
+ ADD *AR0-%, 10, A, A ; 1549
+ ADD *AR0-%, 10, A, B ; 1550
+ ADD *AR0-%, 10, A ; 1551
+ ADD *AR0-%, 10, B, A ; 1552
+ ADD *AR0-%, 10, B, B ; 1553
+ ADD *AR0-%, 10, B ; 1554
+ ADD *AR0-%, 11, A, A ; 1555
+ ADD *AR0-%, 11, A, B ; 1556
+ ADD *AR0-%, 11, A ; 1557
+ ADD *AR0-%, 11, B, A ; 1558
+ ADD *AR0-%, 11, B, B ; 1559
+ ADD *AR0-%, 11, B ; 1560
+ ADD *AR0-%, 12, A, A ; 1561
+ ADD *AR0-%, 12, A, B ; 1562
+ ADD *AR0-%, 12, A ; 1563
+ ADD *AR0-%, 12, B, A ; 1564
+ ADD *AR0-%, 12, B, B ; 1565
+ ADD *AR0-%, 12, B ; 1566
+ ADD *AR0-%, 13, A, A ; 1567
+ ADD *AR0-%, 13, A, B ; 1568
+ ADD *AR0-%, 13, A ; 1569
+ ADD *AR0-%, 13, B, A ; 1570
+ ADD *AR0-%, 13, B, B ; 1571
+ ADD *AR0-%, 13, B ; 1572
+ ADD *AR0-%, 14, A, A ; 1573
+ ADD *AR0-%, 14, A, B ; 1574
+ ADD *AR0-%, 14, A ; 1575
+ ADD *AR0-%, 14, B, A ; 1576
+ ADD *AR0-%, 14, B, B ; 1577
+ ADD *AR0-%, 14, B ; 1578
+ ADD *AR0-%, 15, A, A ; 1579
+ ADD *AR0-%, 15, A, B ; 1580
+ ADD *AR0-%, 15, A ; 1581
+ ADD *AR0-%, 15, B, A ; 1582
+ ADD *AR0-%, 15, B, B ; 1583
+ ADD *AR0-%, 15, B ; 1584
+ ADD *AR0-0%, A, A ; 1585
+ ADD *AR0-0%, A, B ; 1586
+ ADD *AR0-0%, A ; 1587
+ ADD *AR0-0%, B, A ; 1588
+ ADD *AR0-0%, B, B ; 1589
+ ADD *AR0-0%, B ; 1590
+ ADD *AR0-0%, -16, A, A ; 1591
+ ADD *AR0-0%, -16, A, B ; 1592
+ ADD *AR0-0%, -16, A ; 1593
+ ADD *AR0-0%, -16, B, A ; 1594
+ ADD *AR0-0%, -16, B, B ; 1595
+ ADD *AR0-0%, -16, B ; 1596
+ ADD *AR0-0%, -15, A, A ; 1597
+ ADD *AR0-0%, -15, A, B ; 1598
+ ADD *AR0-0%, -15, A ; 1599
+ ADD *AR0-0%, -15, B, A ; 1600
+ ADD *AR0-0%, -15, B, B ; 1601
+ ADD *AR0-0%, -15, B ; 1602
+ ADD *AR0-0%, -14, A, A ; 1603
+ ADD *AR0-0%, -14, A, B ; 1604
+ ADD *AR0-0%, -14, A ; 1605
+ ADD *AR0-0%, -14, B, A ; 1606
+ ADD *AR0-0%, -14, B, B ; 1607
+ ADD *AR0-0%, -14, B ; 1608
+ ADD *AR0-0%, -13, A, A ; 1609
+ ADD *AR0-0%, -13, A, B ; 1610
+ ADD *AR0-0%, -13, A ; 1611
+ ADD *AR0-0%, -13, B, A ; 1612
+ ADD *AR0-0%, -13, B, B ; 1613
+ ADD *AR0-0%, -13, B ; 1614
+ ADD *AR0-0%, -12, A, A ; 1615
+ ADD *AR0-0%, -12, A, B ; 1616
+ ADD *AR0-0%, -12, A ; 1617
+ ADD *AR0-0%, -12, B, A ; 1618
+ ADD *AR0-0%, -12, B, B ; 1619
+ ADD *AR0-0%, -12, B ; 1620
+ ADD *AR0-0%, -11, A, A ; 1621
+ ADD *AR0-0%, -11, A, B ; 1622
+ ADD *AR0-0%, -11, A ; 1623
+ ADD *AR0-0%, -11, B, A ; 1624
+ ADD *AR0-0%, -11, B, B ; 1625
+ ADD *AR0-0%, -11, B ; 1626
+ ADD *AR0-0%, -10, A, A ; 1627
+ ADD *AR0-0%, -10, A, B ; 1628
+ ADD *AR0-0%, -10, A ; 1629
+ ADD *AR0-0%, -10, B, A ; 1630
+ ADD *AR0-0%, -10, B, B ; 1631
+ ADD *AR0-0%, -10, B ; 1632
+ ADD *AR0-0%, -9, A, A ; 1633
+ ADD *AR0-0%, -9, A, B ; 1634
+ ADD *AR0-0%, -9, A ; 1635
+ ADD *AR0-0%, -9, B, A ; 1636
+ ADD *AR0-0%, -9, B, B ; 1637
+ ADD *AR0-0%, -9, B ; 1638
+ ADD *AR0-0%, -8, A, A ; 1639
+ ADD *AR0-0%, -8, A, B ; 1640
+ ADD *AR0-0%, -8, A ; 1641
+ ADD *AR0-0%, -8, B, A ; 1642
+ ADD *AR0-0%, -8, B, B ; 1643
+ ADD *AR0-0%, -8, B ; 1644
+ ADD *AR0-0%, -7, A, A ; 1645
+ ADD *AR0-0%, -7, A, B ; 1646
+ ADD *AR0-0%, -7, A ; 1647
+ ADD *AR0-0%, -7, B, A ; 1648
+ ADD *AR0-0%, -7, B, B ; 1649
+ ADD *AR0-0%, -7, B ; 1650
+ ADD *AR0-0%, -6, A, A ; 1651
+ ADD *AR0-0%, -6, A, B ; 1652
+ ADD *AR0-0%, -6, A ; 1653
+ ADD *AR0-0%, -6, B, A ; 1654
+ ADD *AR0-0%, -6, B, B ; 1655
+ ADD *AR0-0%, -6, B ; 1656
+ ADD *AR0-0%, -5, A, A ; 1657
+ ADD *AR0-0%, -5, A, B ; 1658
+ ADD *AR0-0%, -5, A ; 1659
+ ADD *AR0-0%, -5, B, A ; 1660
+ ADD *AR0-0%, -5, B, B ; 1661
+ ADD *AR0-0%, -5, B ; 1662
+ ADD *AR0-0%, -4, A, A ; 1663
+ ADD *AR0-0%, -4, A, B ; 1664
+ ADD *AR0-0%, -4, A ; 1665
+ ADD *AR0-0%, -4, B, A ; 1666
+ ADD *AR0-0%, -4, B, B ; 1667
+ ADD *AR0-0%, -4, B ; 1668
+ ADD *AR0-0%, -3, A, A ; 1669
+ ADD *AR0-0%, -3, A, B ; 1670
+ ADD *AR0-0%, -3, A ; 1671
+ ADD *AR0-0%, -3, B, A ; 1672
+ ADD *AR0-0%, -3, B, B ; 1673
+ ADD *AR0-0%, -3, B ; 1674
+ ADD *AR0-0%, -2, A, A ; 1675
+ ADD *AR0-0%, -2, A, B ; 1676
+ ADD *AR0-0%, -2, A ; 1677
+ ADD *AR0-0%, -2, B, A ; 1678
+ ADD *AR0-0%, -2, B, B ; 1679
+ ADD *AR0-0%, -2, B ; 1680
+ ADD *AR0-0%, -1, A, A ; 1681
+ ADD *AR0-0%, -1, A, B ; 1682
+ ADD *AR0-0%, -1, A ; 1683
+ ADD *AR0-0%, -1, B, A ; 1684
+ ADD *AR0-0%, -1, B, B ; 1685
+ ADD *AR0-0%, -1, B ; 1686
+ ADD *AR0-0%, 0, A, A ; 1687
+ ADD *AR0-0%, 0, A, B ; 1688
+ ADD *AR0-0%, 0, A ; 1689
+ ADD *AR0-0%, 0, B, A ; 1690
+ ADD *AR0-0%, 0, B, B ; 1691
+ ADD *AR0-0%, 0, B ; 1692
+ ADD *AR0-0%, 1, A, A ; 1693
+ ADD *AR0-0%, 1, A, B ; 1694
+ ADD *AR0-0%, 1, A ; 1695
+ ADD *AR0-0%, 1, B, A ; 1696
+ ADD *AR0-0%, 1, B, B ; 1697
+ ADD *AR0-0%, 1, B ; 1698
+ ADD *AR0-0%, 2, A, A ; 1699
+ ADD *AR0-0%, 2, A, B ; 1700
+ ADD *AR0-0%, 2, A ; 1701
+ ADD *AR0-0%, 2, B, A ; 1702
+ ADD *AR0-0%, 2, B, B ; 1703
+ ADD *AR0-0%, 2, B ; 1704
+ ADD *AR0-0%, 3, A, A ; 1705
+ ADD *AR0-0%, 3, A, B ; 1706
+ ADD *AR0-0%, 3, A ; 1707
+ ADD *AR0-0%, 3, B, A ; 1708
+ ADD *AR0-0%, 3, B, B ; 1709
+ ADD *AR0-0%, 3, B ; 1710
+ ADD *AR0-0%, 4, A, A ; 1711
+ ADD *AR0-0%, 4, A, B ; 1712
+ ADD *AR0-0%, 4, A ; 1713
+ ADD *AR0-0%, 4, B, A ; 1714
+ ADD *AR0-0%, 4, B, B ; 1715
+ ADD *AR0-0%, 4, B ; 1716
+ ADD *AR0-0%, 5, A, A ; 1717
+ ADD *AR0-0%, 5, A, B ; 1718
+ ADD *AR0-0%, 5, A ; 1719
+ ADD *AR0-0%, 5, B, A ; 1720
+ ADD *AR0-0%, 5, B, B ; 1721
+ ADD *AR0-0%, 5, B ; 1722
+ ADD *AR0-0%, 6, A, A ; 1723
+ ADD *AR0-0%, 6, A, B ; 1724
+ ADD *AR0-0%, 6, A ; 1725
+ ADD *AR0-0%, 6, B, A ; 1726
+ ADD *AR0-0%, 6, B, B ; 1727
+ ADD *AR0-0%, 6, B ; 1728
+ ADD *AR0-0%, 7, A, A ; 1729
+ ADD *AR0-0%, 7, A, B ; 1730
+ ADD *AR0-0%, 7, A ; 1731
+ ADD *AR0-0%, 7, B, A ; 1732
+ ADD *AR0-0%, 7, B, B ; 1733
+ ADD *AR0-0%, 7, B ; 1734
+ ADD *AR0-0%, 8, A, A ; 1735
+ ADD *AR0-0%, 8, A, B ; 1736
+ ADD *AR0-0%, 8, A ; 1737
+ ADD *AR0-0%, 8, B, A ; 1738
+ ADD *AR0-0%, 8, B, B ; 1739
+ ADD *AR0-0%, 8, B ; 1740
+ ADD *AR0-0%, 9, A, A ; 1741
+ ADD *AR0-0%, 9, A, B ; 1742
+ ADD *AR0-0%, 9, A ; 1743
+ ADD *AR0-0%, 9, B, A ; 1744
+ ADD *AR0-0%, 9, B, B ; 1745
+ ADD *AR0-0%, 9, B ; 1746
+ ADD *AR0-0%, 10, A, A ; 1747
+ ADD *AR0-0%, 10, A, B ; 1748
+ ADD *AR0-0%, 10, A ; 1749
+ ADD *AR0-0%, 10, B, A ; 1750
+ ADD *AR0-0%, 10, B, B ; 1751
+ ADD *AR0-0%, 10, B ; 1752
+ ADD *AR0-0%, 11, A, A ; 1753
+ ADD *AR0-0%, 11, A, B ; 1754
+ ADD *AR0-0%, 11, A ; 1755
+ ADD *AR0-0%, 11, B, A ; 1756
+ ADD *AR0-0%, 11, B, B ; 1757
+ ADD *AR0-0%, 11, B ; 1758
+ ADD *AR0-0%, 12, A, A ; 1759
+ ADD *AR0-0%, 12, A, B ; 1760
+ ADD *AR0-0%, 12, A ; 1761
+ ADD *AR0-0%, 12, B, A ; 1762
+ ADD *AR0-0%, 12, B, B ; 1763
+ ADD *AR0-0%, 12, B ; 1764
+ ADD *AR0-0%, 13, A, A ; 1765
+ ADD *AR0-0%, 13, A, B ; 1766
+ ADD *AR0-0%, 13, A ; 1767
+ ADD *AR0-0%, 13, B, A ; 1768
+ ADD *AR0-0%, 13, B, B ; 1769
+ ADD *AR0-0%, 13, B ; 1770
+ ADD *AR0-0%, 14, A, A ; 1771
+ ADD *AR0-0%, 14, A, B ; 1772
+ ADD *AR0-0%, 14, A ; 1773
+ ADD *AR0-0%, 14, B, A ; 1774
+ ADD *AR0-0%, 14, B, B ; 1775
+ ADD *AR0-0%, 14, B ; 1776
+ ADD *AR0-0%, 15, A, A ; 1777
+ ADD *AR0-0%, 15, A, B ; 1778
+ ADD *AR0-0%, 15, A ; 1779
+ ADD *AR0-0%, 15, B, A ; 1780
+ ADD *AR0-0%, 15, B, B ; 1781
+ ADD *AR0-0%, 15, B ; 1782
+ ADD *AR0+%, A, A ; 1783
+ ADD *AR0+%, A, B ; 1784
+ ADD *AR0+%, A ; 1785
+ ADD *AR0+%, B, A ; 1786
+ ADD *AR0+%, B, B ; 1787
+ ADD *AR0+%, B ; 1788
+ ADD *AR0+%, -16, A, A ; 1789
+ ADD *AR0+%, -16, A, B ; 1790
+ ADD *AR0+%, -16, A ; 1791
+ ADD *AR0+%, -16, B, A ; 1792
+ ADD *AR0+%, -16, B, B ; 1793
+ ADD *AR0+%, -16, B ; 1794
+ ADD *AR0+%, -15, A, A ; 1795
+ ADD *AR0+%, -15, A, B ; 1796
+ ADD *AR0+%, -15, A ; 1797
+ ADD *AR0+%, -15, B, A ; 1798
+ ADD *AR0+%, -15, B, B ; 1799
+ ADD *AR0+%, -15, B ; 1800
+ ADD *AR0+%, -14, A, A ; 1801
+ ADD *AR0+%, -14, A, B ; 1802
+ ADD *AR0+%, -14, A ; 1803
+ ADD *AR0+%, -14, B, A ; 1804
+ ADD *AR0+%, -14, B, B ; 1805
+ ADD *AR0+%, -14, B ; 1806
+ ADD *AR0+%, -13, A, A ; 1807
+ ADD *AR0+%, -13, A, B ; 1808
+ ADD *AR0+%, -13, A ; 1809
+ ADD *AR0+%, -13, B, A ; 1810
+ ADD *AR0+%, -13, B, B ; 1811
+ ADD *AR0+%, -13, B ; 1812
+ ADD *AR0+%, -12, A, A ; 1813
+ ADD *AR0+%, -12, A, B ; 1814
+ ADD *AR0+%, -12, A ; 1815
+ ADD *AR0+%, -12, B, A ; 1816
+ ADD *AR0+%, -12, B, B ; 1817
+ ADD *AR0+%, -12, B ; 1818
+ ADD *AR0+%, -11, A, A ; 1819
+ ADD *AR0+%, -11, A, B ; 1820
+ ADD *AR0+%, -11, A ; 1821
+ ADD *AR0+%, -11, B, A ; 1822
+ ADD *AR0+%, -11, B, B ; 1823
+ ADD *AR0+%, -11, B ; 1824
+ ADD *AR0+%, -10, A, A ; 1825
+ ADD *AR0+%, -10, A, B ; 1826
+ ADD *AR0+%, -10, A ; 1827
+ ADD *AR0+%, -10, B, A ; 1828
+ ADD *AR0+%, -10, B, B ; 1829
+ ADD *AR0+%, -10, B ; 1830
+ ADD *AR0+%, -9, A, A ; 1831
+ ADD *AR0+%, -9, A, B ; 1832
+ ADD *AR0+%, -9, A ; 1833
+ ADD *AR0+%, -9, B, A ; 1834
+ ADD *AR0+%, -9, B, B ; 1835
+ ADD *AR0+%, -9, B ; 1836
+ ADD *AR0+%, -8, A, A ; 1837
+ ADD *AR0+%, -8, A, B ; 1838
+ ADD *AR0+%, -8, A ; 1839
+ ADD *AR0+%, -8, B, A ; 1840
+ ADD *AR0+%, -8, B, B ; 1841
+ ADD *AR0+%, -8, B ; 1842
+ ADD *AR0+%, -7, A, A ; 1843
+ ADD *AR0+%, -7, A, B ; 1844
+ ADD *AR0+%, -7, A ; 1845
+ ADD *AR0+%, -7, B, A ; 1846
+ ADD *AR0+%, -7, B, B ; 1847
+ ADD *AR0+%, -7, B ; 1848
+ ADD *AR0+%, -6, A, A ; 1849
+ ADD *AR0+%, -6, A, B ; 1850
+ ADD *AR0+%, -6, A ; 1851
+ ADD *AR0+%, -6, B, A ; 1852
+ ADD *AR0+%, -6, B, B ; 1853
+ ADD *AR0+%, -6, B ; 1854
+ ADD *AR0+%, -5, A, A ; 1855
+ ADD *AR0+%, -5, A, B ; 1856
+ ADD *AR0+%, -5, A ; 1857
+ ADD *AR0+%, -5, B, A ; 1858
+ ADD *AR0+%, -5, B, B ; 1859
+ ADD *AR0+%, -5, B ; 1860
+ ADD *AR0+%, -4, A, A ; 1861
+ ADD *AR0+%, -4, A, B ; 1862
+ ADD *AR0+%, -4, A ; 1863
+ ADD *AR0+%, -4, B, A ; 1864
+ ADD *AR0+%, -4, B, B ; 1865
+ ADD *AR0+%, -4, B ; 1866
+ ADD *AR0+%, -3, A, A ; 1867
+ ADD *AR0+%, -3, A, B ; 1868
+ ADD *AR0+%, -3, A ; 1869
+ ADD *AR0+%, -3, B, A ; 1870
+ ADD *AR0+%, -3, B, B ; 1871
+ ADD *AR0+%, -3, B ; 1872
+ ADD *AR0+%, -2, A, A ; 1873
+ ADD *AR0+%, -2, A, B ; 1874
+ ADD *AR0+%, -2, A ; 1875
+ ADD *AR0+%, -2, B, A ; 1876
+ ADD *AR0+%, -2, B, B ; 1877
+ ADD *AR0+%, -2, B ; 1878
+ ADD *AR0+%, -1, A, A ; 1879
+ ADD *AR0+%, -1, A, B ; 1880
+ ADD *AR0+%, -1, A ; 1881
+ ADD *AR0+%, -1, B, A ; 1882
+ ADD *AR0+%, -1, B, B ; 1883
+ ADD *AR0+%, -1, B ; 1884
+ ADD *AR0+%, 0, A, A ; 1885
+ ADD *AR0+%, 0, A, B ; 1886
+ ADD *AR0+%, 0, A ; 1887
+ ADD *AR0+%, 0, B, A ; 1888
+ ADD *AR0+%, 0, B, B ; 1889
+ ADD *AR0+%, 0, B ; 1890
+ ADD *AR0+%, 1, A, A ; 1891
+ ADD *AR0+%, 1, A, B ; 1892
+ ADD *AR0+%, 1, A ; 1893
+ ADD *AR0+%, 1, B, A ; 1894
+ ADD *AR0+%, 1, B, B ; 1895
+ ADD *AR0+%, 1, B ; 1896
+ ADD *AR0+%, 2, A, A ; 1897
+ ADD *AR0+%, 2, A, B ; 1898
+ ADD *AR0+%, 2, A ; 1899
+ ADD *AR0+%, 2, B, A ; 1900
+ ADD *AR0+%, 2, B, B ; 1901
+ ADD *AR0+%, 2, B ; 1902
+ ADD *AR0+%, 3, A, A ; 1903
+ ADD *AR0+%, 3, A, B ; 1904
+ ADD *AR0+%, 3, A ; 1905
+ ADD *AR0+%, 3, B, A ; 1906
+ ADD *AR0+%, 3, B, B ; 1907
+ ADD *AR0+%, 3, B ; 1908
+ ADD *AR0+%, 4, A, A ; 1909
+ ADD *AR0+%, 4, A, B ; 1910
+ ADD *AR0+%, 4, A ; 1911
+ ADD *AR0+%, 4, B, A ; 1912
+ ADD *AR0+%, 4, B, B ; 1913
+ ADD *AR0+%, 4, B ; 1914
+ ADD *AR0+%, 5, A, A ; 1915
+ ADD *AR0+%, 5, A, B ; 1916
+ ADD *AR0+%, 5, A ; 1917
+ ADD *AR0+%, 5, B, A ; 1918
+ ADD *AR0+%, 5, B, B ; 1919
+ ADD *AR0+%, 5, B ; 1920
+ ADD *AR0+%, 6, A, A ; 1921
+ ADD *AR0+%, 6, A, B ; 1922
+ ADD *AR0+%, 6, A ; 1923
+ ADD *AR0+%, 6, B, A ; 1924
+ ADD *AR0+%, 6, B, B ; 1925
+ ADD *AR0+%, 6, B ; 1926
+ ADD *AR0+%, 7, A, A ; 1927
+ ADD *AR0+%, 7, A, B ; 1928
+ ADD *AR0+%, 7, A ; 1929
+ ADD *AR0+%, 7, B, A ; 1930
+ ADD *AR0+%, 7, B, B ; 1931
+ ADD *AR0+%, 7, B ; 1932
+ ADD *AR0+%, 8, A, A ; 1933
+ ADD *AR0+%, 8, A, B ; 1934
+ ADD *AR0+%, 8, A ; 1935
+ ADD *AR0+%, 8, B, A ; 1936
+ ADD *AR0+%, 8, B, B ; 1937
+ ADD *AR0+%, 8, B ; 1938
+ ADD *AR0+%, 9, A, A ; 1939
+ ADD *AR0+%, 9, A, B ; 1940
+ ADD *AR0+%, 9, A ; 1941
+ ADD *AR0+%, 9, B, A ; 1942
+ ADD *AR0+%, 9, B, B ; 1943
+ ADD *AR0+%, 9, B ; 1944
+ ADD *AR0+%, 10, A, A ; 1945
+ ADD *AR0+%, 10, A, B ; 1946
+ ADD *AR0+%, 10, A ; 1947
+ ADD *AR0+%, 10, B, A ; 1948
+ ADD *AR0+%, 10, B, B ; 1949
+ ADD *AR0+%, 10, B ; 1950
+ ADD *AR0+%, 11, A, A ; 1951
+ ADD *AR0+%, 11, A, B ; 1952
+ ADD *AR0+%, 11, A ; 1953
+ ADD *AR0+%, 11, B, A ; 1954
+ ADD *AR0+%, 11, B, B ; 1955
+ ADD *AR0+%, 11, B ; 1956
+ ADD *AR0+%, 12, A, A ; 1957
+ ADD *AR0+%, 12, A, B ; 1958
+ ADD *AR0+%, 12, A ; 1959
+ ADD *AR0+%, 12, B, A ; 1960
+ ADD *AR0+%, 12, B, B ; 1961
+ ADD *AR0+%, 12, B ; 1962
+ ADD *AR0+%, 13, A, A ; 1963
+ ADD *AR0+%, 13, A, B ; 1964
+ ADD *AR0+%, 13, A ; 1965
+ ADD *AR0+%, 13, B, A ; 1966
+ ADD *AR0+%, 13, B, B ; 1967
+ ADD *AR0+%, 13, B ; 1968
+ ADD *AR0+%, 14, A, A ; 1969
+ ADD *AR0+%, 14, A, B ; 1970
+ ADD *AR0+%, 14, A ; 1971
+ ADD *AR0+%, 14, B, A ; 1972
+ ADD *AR0+%, 14, B, B ; 1973
+ ADD *AR0+%, 14, B ; 1974
+ ADD *AR0+%, 15, A, A ; 1975
+ ADD *AR0+%, 15, A, B ; 1976
+ ADD *AR0+%, 15, A ; 1977
+ ADD *AR0+%, 15, B, A ; 1978
+ ADD *AR0+%, 15, B, B ; 1979
+ ADD *AR0+%, 15, B ; 1980
+ ADD *AR0+0%, A, A ; 1981
+ ADD *AR0+0%, A, B ; 1982
+ ADD *AR0+0%, A ; 1983
+ ADD *AR0+0%, B, A ; 1984
+ ADD *AR0+0%, B, B ; 1985
+ ADD *AR0+0%, B ; 1986
+ ADD *AR0+0%, -16, A, A ; 1987
+ ADD *AR0+0%, -16, A, B ; 1988
+ ADD *AR0+0%, -16, A ; 1989
+ ADD *AR0+0%, -16, B, A ; 1990
+ ADD *AR0+0%, -16, B, B ; 1991
+ ADD *AR0+0%, -16, B ; 1992
+ ADD *AR0+0%, -15, A, A ; 1993
+ ADD *AR0+0%, -15, A, B ; 1994
+ ADD *AR0+0%, -15, A ; 1995
+ ADD *AR0+0%, -15, B, A ; 1996
+ ADD *AR0+0%, -15, B, B ; 1997
+ ADD *AR0+0%, -15, B ; 1998
+ ADD *AR0+0%, -14, A, A ; 1999
+ ADD *AR0+0%, -14, A, B ; 2000
+ ADD *AR0+0%, -14, A ; 2001
+ ADD *AR0+0%, -14, B, A ; 2002
+ ADD *AR0+0%, -14, B, B ; 2003
+ ADD *AR0+0%, -14, B ; 2004
+ ADD *AR0+0%, -13, A, A ; 2005
+ ADD *AR0+0%, -13, A, B ; 2006
+ ADD *AR0+0%, -13, A ; 2007
+ ADD *AR0+0%, -13, B, A ; 2008
+ ADD *AR0+0%, -13, B, B ; 2009
+ ADD *AR0+0%, -13, B ; 2010
+ ADD *AR0+0%, -12, A, A ; 2011
+ ADD *AR0+0%, -12, A, B ; 2012
+ ADD *AR0+0%, -12, A ; 2013
+ ADD *AR0+0%, -12, B, A ; 2014
+ ADD *AR0+0%, -12, B, B ; 2015
+ ADD *AR0+0%, -12, B ; 2016
+ ADD *AR0+0%, -11, A, A ; 2017
+ ADD *AR0+0%, -11, A, B ; 2018
+ ADD *AR0+0%, -11, A ; 2019
+ ADD *AR0+0%, -11, B, A ; 2020
+ ADD *AR0+0%, -11, B, B ; 2021
+ ADD *AR0+0%, -11, B ; 2022
+ ADD *AR0+0%, -10, A, A ; 2023
+ ADD *AR0+0%, -10, A, B ; 2024
+ ADD *AR0+0%, -10, A ; 2025
+ ADD *AR0+0%, -10, B, A ; 2026
+ ADD *AR0+0%, -10, B, B ; 2027
+ ADD *AR0+0%, -10, B ; 2028
+ ADD *AR0+0%, -9, A, A ; 2029
+ ADD *AR0+0%, -9, A, B ; 2030
+ ADD *AR0+0%, -9, A ; 2031
+ ADD *AR0+0%, -9, B, A ; 2032
+ ADD *AR0+0%, -9, B, B ; 2033
+ ADD *AR0+0%, -9, B ; 2034
+ ADD *AR0+0%, -8, A, A ; 2035
+ ADD *AR0+0%, -8, A, B ; 2036
+ ADD *AR0+0%, -8, A ; 2037
+ ADD *AR0+0%, -8, B, A ; 2038
+ ADD *AR0+0%, -8, B, B ; 2039
+ ADD *AR0+0%, -8, B ; 2040
+ ADD *AR0+0%, -7, A, A ; 2041
+ ADD *AR0+0%, -7, A, B ; 2042
+ ADD *AR0+0%, -7, A ; 2043
+ ADD *AR0+0%, -7, B, A ; 2044
+ ADD *AR0+0%, -7, B, B ; 2045
+ ADD *AR0+0%, -7, B ; 2046
+ ADD *AR0+0%, -6, A, A ; 2047
+ ADD *AR0+0%, -6, A, B ; 2048
+ ADD *AR0+0%, -6, A ; 2049
+ ADD *AR0+0%, -6, B, A ; 2050
+ ADD *AR0+0%, -6, B, B ; 2051
+ ADD *AR0+0%, -6, B ; 2052
+ ADD *AR0+0%, -5, A, A ; 2053
+ ADD *AR0+0%, -5, A, B ; 2054
+ ADD *AR0+0%, -5, A ; 2055
+ ADD *AR0+0%, -5, B, A ; 2056
+ ADD *AR0+0%, -5, B, B ; 2057
+ ADD *AR0+0%, -5, B ; 2058
+ ADD *AR0+0%, -4, A, A ; 2059
+ ADD *AR0+0%, -4, A, B ; 2060
+ ADD *AR0+0%, -4, A ; 2061
+ ADD *AR0+0%, -4, B, A ; 2062
+ ADD *AR0+0%, -4, B, B ; 2063
+ ADD *AR0+0%, -4, B ; 2064
+ ADD *AR0+0%, -3, A, A ; 2065
+ ADD *AR0+0%, -3, A, B ; 2066
+ ADD *AR0+0%, -3, A ; 2067
+ ADD *AR0+0%, -3, B, A ; 2068
+ ADD *AR0+0%, -3, B, B ; 2069
+ ADD *AR0+0%, -3, B ; 2070
+ ADD *AR0+0%, -2, A, A ; 2071
+ ADD *AR0+0%, -2, A, B ; 2072
+ ADD *AR0+0%, -2, A ; 2073
+ ADD *AR0+0%, -2, B, A ; 2074
+ ADD *AR0+0%, -2, B, B ; 2075
+ ADD *AR0+0%, -2, B ; 2076
+ ADD *AR0+0%, -1, A, A ; 2077
+ ADD *AR0+0%, -1, A, B ; 2078
+ ADD *AR0+0%, -1, A ; 2079
+ ADD *AR0+0%, -1, B, A ; 2080
+ ADD *AR0+0%, -1, B, B ; 2081
+ ADD *AR0+0%, -1, B ; 2082
+ ADD *AR0+0%, 0, A, A ; 2083
+ ADD *AR0+0%, 0, A, B ; 2084
+ ADD *AR0+0%, 0, A ; 2085
+ ADD *AR0+0%, 0, B, A ; 2086
+ ADD *AR0+0%, 0, B, B ; 2087
+ ADD *AR0+0%, 0, B ; 2088
+ ADD *AR0+0%, 1, A, A ; 2089
+ ADD *AR0+0%, 1, A, B ; 2090
+ ADD *AR0+0%, 1, A ; 2091
+ ADD *AR0+0%, 1, B, A ; 2092
+ ADD *AR0+0%, 1, B, B ; 2093
+ ADD *AR0+0%, 1, B ; 2094
+ ADD *AR0+0%, 2, A, A ; 2095
+ ADD *AR0+0%, 2, A, B ; 2096
+ ADD *AR0+0%, 2, A ; 2097
+ ADD *AR0+0%, 2, B, A ; 2098
+ ADD *AR0+0%, 2, B, B ; 2099
+ ADD *AR0+0%, 2, B ; 2100
+ ADD *AR0+0%, 3, A, A ; 2101
+ ADD *AR0+0%, 3, A, B ; 2102
+ ADD *AR0+0%, 3, A ; 2103
+ ADD *AR0+0%, 3, B, A ; 2104
+ ADD *AR0+0%, 3, B, B ; 2105
+ ADD *AR0+0%, 3, B ; 2106
+ ADD *AR0+0%, 4, A, A ; 2107
+ ADD *AR0+0%, 4, A, B ; 2108
+ ADD *AR0+0%, 4, A ; 2109
+ ADD *AR0+0%, 4, B, A ; 2110
+ ADD *AR0+0%, 4, B, B ; 2111
+ ADD *AR0+0%, 4, B ; 2112
+ ADD *AR0+0%, 5, A, A ; 2113
+ ADD *AR0+0%, 5, A, B ; 2114
+ ADD *AR0+0%, 5, A ; 2115
+ ADD *AR0+0%, 5, B, A ; 2116
+ ADD *AR0+0%, 5, B, B ; 2117
+ ADD *AR0+0%, 5, B ; 2118
+ ADD *AR0+0%, 6, A, A ; 2119
+ ADD *AR0+0%, 6, A, B ; 2120
+ ADD *AR0+0%, 6, A ; 2121
+ ADD *AR0+0%, 6, B, A ; 2122
+ ADD *AR0+0%, 6, B, B ; 2123
+ ADD *AR0+0%, 6, B ; 2124
+ ADD *AR0+0%, 7, A, A ; 2125
+ ADD *AR0+0%, 7, A, B ; 2126
+ ADD *AR0+0%, 7, A ; 2127
+ ADD *AR0+0%, 7, B, A ; 2128
+ ADD *AR0+0%, 7, B, B ; 2129
+ ADD *AR0+0%, 7, B ; 2130
+ ADD *AR0+0%, 8, A, A ; 2131
+ ADD *AR0+0%, 8, A, B ; 2132
+ ADD *AR0+0%, 8, A ; 2133
+ ADD *AR0+0%, 8, B, A ; 2134
+ ADD *AR0+0%, 8, B, B ; 2135
+ ADD *AR0+0%, 8, B ; 2136
+ ADD *AR0+0%, 9, A, A ; 2137
+ ADD *AR0+0%, 9, A, B ; 2138
+ ADD *AR0+0%, 9, A ; 2139
+ ADD *AR0+0%, 9, B, A ; 2140
+ ADD *AR0+0%, 9, B, B ; 2141
+ ADD *AR0+0%, 9, B ; 2142
+ ADD *AR0+0%, 10, A, A ; 2143
+ ADD *AR0+0%, 10, A, B ; 2144
+ ADD *AR0+0%, 10, A ; 2145
+ ADD *AR0+0%, 10, B, A ; 2146
+ ADD *AR0+0%, 10, B, B ; 2147
+ ADD *AR0+0%, 10, B ; 2148
+ ADD *AR0+0%, 11, A, A ; 2149
+ ADD *AR0+0%, 11, A, B ; 2150
+ ADD *AR0+0%, 11, A ; 2151
+ ADD *AR0+0%, 11, B, A ; 2152
+ ADD *AR0+0%, 11, B, B ; 2153
+ ADD *AR0+0%, 11, B ; 2154
+ ADD *AR0+0%, 12, A, A ; 2155
+ ADD *AR0+0%, 12, A, B ; 2156
+ ADD *AR0+0%, 12, A ; 2157
+ ADD *AR0+0%, 12, B, A ; 2158
+ ADD *AR0+0%, 12, B, B ; 2159
+ ADD *AR0+0%, 12, B ; 2160
+ ADD *AR0+0%, 13, A, A ; 2161
+ ADD *AR0+0%, 13, A, B ; 2162
+ ADD *AR0+0%, 13, A ; 2163
+ ADD *AR0+0%, 13, B, A ; 2164
+ ADD *AR0+0%, 13, B, B ; 2165
+ ADD *AR0+0%, 13, B ; 2166
+ ADD *AR0+0%, 14, A, A ; 2167
+ ADD *AR0+0%, 14, A, B ; 2168
+ ADD *AR0+0%, 14, A ; 2169
+ ADD *AR0+0%, 14, B, A ; 2170
+ ADD *AR0+0%, 14, B, B ; 2171
+ ADD *AR0+0%, 14, B ; 2172
+ ADD *AR0+0%, 15, A, A ; 2173
+ ADD *AR0+0%, 15, A, B ; 2174
+ ADD *AR0+0%, 15, A ; 2175
+ ADD *AR0+0%, 15, B, A ; 2176
+ ADD *AR0+0%, 15, B, B ; 2177
+ ADD *AR0+0%, 15, B ; 2178
+ ADD *AR0(00245h), A, A ; 2179
+ ADD *AR0(00246h), A, B ; 2180
+ ADD *AR0(00247h), A ; 2181
+ ADD *AR0(00248h), B, A ; 2182
+ ADD *AR0(00249h), B, B ; 2183
+ ADD *AR0(0024Ah), B ; 2184
+ ADD *AR0(0024Bh), -16, A, A ; 2185
+ ADD *AR0(0024Ch), -16, A, B ; 2186
+ ADD *AR0(0024Dh), -16, A ; 2187
+ ADD *AR0(0024Eh), -16, B, A ; 2188
+ ADD *AR0(0024Fh), -16, B, B ; 2189
+ ADD *AR0(00250h), -16, B ; 2190
+ ADD *AR0(00251h), -15, A, A ; 2191
+ ADD *AR0(00252h), -15, A, B ; 2192
+ ADD *AR0(00253h), -15, A ; 2193
+ ADD *AR0(00254h), -15, B, A ; 2194
+ ADD *AR0(00255h), -15, B, B ; 2195
+ ADD *AR0(00256h), -15, B ; 2196
+ ADD *AR0(00257h), -14, A, A ; 2197
+ ADD *AR0(00258h), -14, A, B ; 2198
+ ADD *AR0(00259h), -14, A ; 2199
+ ADD *AR0(0025Ah), -14, B, A ; 2200
+ ADD *AR0(0025Bh), -14, B, B ; 2201
+ ADD *AR0(0025Ch), -14, B ; 2202
+ ADD *AR0(0025Dh), -13, A, A ; 2203
+ ADD *AR0(0025Eh), -13, A, B ; 2204
+ ADD *AR0(0025Fh), -13, A ; 2205
+ ADD *AR0(00260h), -13, B, A ; 2206
+ ADD *AR0(00261h), -13, B, B ; 2207
+ ADD *AR0(00262h), -13, B ; 2208
+ ADD *AR0(00263h), -12, A, A ; 2209
+ ADD *AR0(00264h), -12, A, B ; 2210
+ ADD *AR0(00265h), -12, A ; 2211
+ ADD *AR0(00266h), -12, B, A ; 2212
+ ADD *AR0(00267h), -12, B, B ; 2213
+ ADD *AR0(00268h), -12, B ; 2214
+ ADD *AR0(00269h), -11, A, A ; 2215
+ ADD *AR0(0026Ah), -11, A, B ; 2216
+ ADD *AR0(0026Bh), -11, A ; 2217
+ ADD *AR0(0026Ch), -11, B, A ; 2218
+ ADD *AR0(0026Dh), -11, B, B ; 2219
+ ADD *AR0(0026Eh), -11, B ; 2220
+ ADD *AR0(0026Fh), -10, A, A ; 2221
+ ADD *AR0(00270h), -10, A, B ; 2222
+ ADD *AR0(00271h), -10, A ; 2223
+ ADD *AR0(00272h), -10, B, A ; 2224
+ ADD *AR0(00273h), -10, B, B ; 2225
+ ADD *AR0(00274h), -10, B ; 2226
+ ADD *AR0(00275h), -9, A, A ; 2227
+ ADD *AR0(00276h), -9, A, B ; 2228
+ ADD *AR0(00277h), -9, A ; 2229
+ ADD *AR0(00278h), -9, B, A ; 2230
+ ADD *AR0(00279h), -9, B, B ; 2231
+ ADD *AR0(0027Ah), -9, B ; 2232
+ ADD *AR0(0027Bh), -8, A, A ; 2233
+ ADD *AR0(0027Ch), -8, A, B ; 2234
+ ADD *AR0(0027Dh), -8, A ; 2235
+ ADD *AR0(0027Eh), -8, B, A ; 2236
+ ADD *AR0(0027Fh), -8, B, B ; 2237
+ ADD *AR0(00280h), -8, B ; 2238
+ ADD *AR0(00281h), -7, A, A ; 2239
+ ADD *AR0(00282h), -7, A, B ; 2240
+ ADD *AR0(00283h), -7, A ; 2241
+ ADD *AR0(00284h), -7, B, A ; 2242
+ ADD *AR0(00285h), -7, B, B ; 2243
+ ADD *AR0(00286h), -7, B ; 2244
+ ADD *AR0(00287h), -6, A, A ; 2245
+ ADD *AR0(00288h), -6, A, B ; 2246
+ ADD *AR0(00289h), -6, A ; 2247
+ ADD *AR0(0028Ah), -6, B, A ; 2248
+ ADD *AR0(0028Bh), -6, B, B ; 2249
+ ADD *AR0(0028Ch), -6, B ; 2250
+ ADD *AR0(0028Dh), -5, A, A ; 2251
+ ADD *AR0(0028Eh), -5, A, B ; 2252
+ ADD *AR0(0028Fh), -5, A ; 2253
+ ADD *AR0(00290h), -5, B, A ; 2254
+ ADD *AR0(00291h), -5, B, B ; 2255
+ ADD *AR0(00292h), -5, B ; 2256
+ ADD *AR0(00293h), -4, A, A ; 2257
+ ADD *AR0(00294h), -4, A, B ; 2258
+ ADD *AR0(00295h), -4, A ; 2259
+ ADD *AR0(00296h), -4, B, A ; 2260
+ ADD *AR0(00297h), -4, B, B ; 2261
+ ADD *AR0(00298h), -4, B ; 2262
+ ADD *AR0(00299h), -3, A, A ; 2263
+ ADD *AR0(0029Ah), -3, A, B ; 2264
+ ADD *AR0(0029Bh), -3, A ; 2265
+ ADD *AR0(0029Ch), -3, B, A ; 2266
+ ADD *AR0(0029Dh), -3, B, B ; 2267
+ ADD *AR0(0029Eh), -3, B ; 2268
+ ADD *AR0(0029Fh), -2, A, A ; 2269
+ ADD *AR0(002A0h), -2, A, B ; 2270
+ ADD *AR0(002A1h), -2, A ; 2271
+ ADD *AR0(002A2h), -2, B, A ; 2272
+ ADD *AR0(002A3h), -2, B, B ; 2273
+ ADD *AR0(002A4h), -2, B ; 2274
+ ADD *AR0(002A5h), -1, A, A ; 2275
+ ADD *AR0(002A6h), -1, A, B ; 2276
+ ADD *AR0(002A7h), -1, A ; 2277
+ ADD *AR0(002A8h), -1, B, A ; 2278
+ ADD *AR0(002A9h), -1, B, B ; 2279
+ ADD *AR0(002AAh), -1, B ; 2280
+ ADD *AR0(002ABh), 0, A, A ; 2281
+ ADD *AR0(002ACh), 0, A, B ; 2282
+ ADD *AR0(002ADh), 0, A ; 2283
+ ADD *AR0(002AEh), 0, B, A ; 2284
+ ADD *AR0(002AFh), 0, B, B ; 2285
+ ADD *AR0(002B0h), 0, B ; 2286
+ ADD *AR0(002B1h), 1, A, A ; 2287
+ ADD *AR0(002B2h), 1, A, B ; 2288
+ ADD *AR0(002B3h), 1, A ; 2289
+ ADD *AR0(002B4h), 1, B, A ; 2290
+ ADD *AR0(002B5h), 1, B, B ; 2291
+ ADD *AR0(002B6h), 1, B ; 2292
+ ADD *AR0(002B7h), 2, A, A ; 2293
+ ADD *AR0(002B8h), 2, A, B ; 2294
+ ADD *AR0(002B9h), 2, A ; 2295
+ ADD *AR0(002BAh), 2, B, A ; 2296
+ ADD *AR0(002BBh), 2, B, B ; 2297
+ ADD *AR0(002BCh), 2, B ; 2298
+ ADD *AR0(002BDh), 3, A, A ; 2299
+ ADD *AR0(002BEh), 3, A, B ; 2300
+ ADD *AR0(002BFh), 3, A ; 2301
+ ADD *AR0(002C0h), 3, B, A ; 2302
+ ADD *AR0(002C1h), 3, B, B ; 2303
+ ADD *AR0(002C2h), 3, B ; 2304
+ ADD *AR0(002C3h), 4, A, A ; 2305
+ ADD *AR0(002C4h), 4, A, B ; 2306
+ ADD *AR0(002C5h), 4, A ; 2307
+ ADD *AR0(002C6h), 4, B, A ; 2308
+ ADD *AR0(002C7h), 4, B, B ; 2309
+ ADD *AR0(002C8h), 4, B ; 2310
+ ADD *AR0(002C9h), 5, A, A ; 2311
+ ADD *AR0(002CAh), 5, A, B ; 2312
+ ADD *AR0(002CBh), 5, A ; 2313
+ ADD *AR0(002CCh), 5, B, A ; 2314
+ ADD *AR0(002CDh), 5, B, B ; 2315
+ ADD *AR0(002CEh), 5, B ; 2316
+ ADD *AR0(002CFh), 6, A, A ; 2317
+ ADD *AR0(002D0h), 6, A, B ; 2318
+ ADD *AR0(002D1h), 6, A ; 2319
+ ADD *AR0(002D2h), 6, B, A ; 2320
+ ADD *AR0(002D3h), 6, B, B ; 2321
+ ADD *AR0(002D4h), 6, B ; 2322
+ ADD *AR0(002D5h), 7, A, A ; 2323
+ ADD *AR0(002D6h), 7, A, B ; 2324
+ ADD *AR0(002D7h), 7, A ; 2325
+ ADD *AR0(002D8h), 7, B, A ; 2326
+ ADD *AR0(002D9h), 7, B, B ; 2327
+ ADD *AR0(002DAh), 7, B ; 2328
+ ADD *AR0(002DBh), 8, A, A ; 2329
+ ADD *AR0(002DCh), 8, A, B ; 2330
+ ADD *AR0(002DDh), 8, A ; 2331
+ ADD *AR0(002DEh), 8, B, A ; 2332
+ ADD *AR0(002DFh), 8, B, B ; 2333
+ ADD *AR0(002E0h), 8, B ; 2334
+ ADD *AR0(002E1h), 9, A, A ; 2335
+ ADD *AR0(002E2h), 9, A, B ; 2336
+ ADD *AR0(002E3h), 9, A ; 2337
+ ADD *AR0(002E4h), 9, B, A ; 2338
+ ADD *AR0(002E5h), 9, B, B ; 2339
+ ADD *AR0(002E6h), 9, B ; 2340
+ ADD *AR0(002E7h), 10, A, A ; 2341
+ ADD *AR0(002E8h), 10, A, B ; 2342
+ ADD *AR0(002E9h), 10, A ; 2343
+ ADD *AR0(002EAh), 10, B, A ; 2344
+ ADD *AR0(002EBh), 10, B, B ; 2345
+ ADD *AR0(002ECh), 10, B ; 2346
+ ADD *AR0(002EDh), 11, A, A ; 2347
+ ADD *AR0(002EEh), 11, A, B ; 2348
+ ADD *AR0(002EFh), 11, A ; 2349
+ ADD *AR0(002F0h), 11, B, A ; 2350
+ ADD *AR0(002F1h), 11, B, B ; 2351
+ ADD *AR0(002F2h), 11, B ; 2352
+ ADD *AR0(002F3h), 12, A, A ; 2353
+ ADD *AR0(002F4h), 12, A, B ; 2354
+ ADD *AR0(002F5h), 12, A ; 2355
+ ADD *AR0(002F6h), 12, B, A ; 2356
+ ADD *AR0(002F7h), 12, B, B ; 2357
+ ADD *AR0(002F8h), 12, B ; 2358
+ ADD *AR0(002F9h), 13, A, A ; 2359
+ ADD *AR0(002FAh), 13, A, B ; 2360
+ ADD *AR0(002FBh), 13, A ; 2361
+ ADD *AR0(002FCh), 13, B, A ; 2362
+ ADD *AR0(002FDh), 13, B, B ; 2363
+ ADD *AR0(002FEh), 13, B ; 2364
+ ADD *AR0(002FFh), 14, A, A ; 2365
+ ADD *AR0(00300h), 14, A, B ; 2366
+ ADD *AR0(00301h), 14, A ; 2367
+ ADD *AR0(00302h), 14, B, A ; 2368
+ ADD *AR0(00303h), 14, B, B ; 2369
+ ADD *AR0(00304h), 14, B ; 2370
+ ADD *AR0(00305h), 15, A, A ; 2371
+ ADD *AR0(00306h), 15, A, B ; 2372
+ ADD *AR0(00307h), 15, A ; 2373
+ ADD *AR0(00308h), 15, B, A ; 2374
+ ADD *AR0(00309h), 15, B, B ; 2375
+ ADD *AR0(0030Ah), 15, B ; 2376
+ ADD *+AR0(0030Bh), A, A ; 2377
+ ADD *+AR0(0030Ch), A, B ; 2378
+ ADD *+AR0(0030Dh), A ; 2379
+ ADD *+AR0(0030Eh), B, A ; 2380
+ ADD *+AR0(0030Fh), B, B ; 2381
+ ADD *+AR0(00310h), B ; 2382
+ ADD *+AR0(00311h), -16, A, A ; 2383
+ ADD *+AR0(00312h), -16, A, B ; 2384
+ ADD *+AR0(00313h), -16, A ; 2385
+ ADD *+AR0(00314h), -16, B, A ; 2386
+ ADD *+AR0(00315h), -16, B, B ; 2387
+ ADD *+AR0(00316h), -16, B ; 2388
+ ADD *+AR0(00317h), -15, A, A ; 2389
+ ADD *+AR0(00318h), -15, A, B ; 2390
+ ADD *+AR0(00319h), -15, A ; 2391
+ ADD *+AR0(0031Ah), -15, B, A ; 2392
+ ADD *+AR0(0031Bh), -15, B, B ; 2393
+ ADD *+AR0(0031Ch), -15, B ; 2394
+ ADD *+AR0(0031Dh), -14, A, A ; 2395
+ ADD *+AR0(0031Eh), -14, A, B ; 2396
+ ADD *+AR0(0031Fh), -14, A ; 2397
+ ADD *+AR0(00320h), -14, B, A ; 2398
+ ADD *+AR0(00321h), -14, B, B ; 2399
+ ADD *+AR0(00322h), -14, B ; 2400
+ ADD *+AR0(00323h), -13, A, A ; 2401
+ ADD *+AR0(00324h), -13, A, B ; 2402
+ ADD *+AR0(00325h), -13, A ; 2403
+ ADD *+AR0(00326h), -13, B, A ; 2404
+ ADD *+AR0(00327h), -13, B, B ; 2405
+ ADD *+AR0(00328h), -13, B ; 2406
+ ADD *+AR0(00329h), -12, A, A ; 2407
+ ADD *+AR0(0032Ah), -12, A, B ; 2408
+ ADD *+AR0(0032Bh), -12, A ; 2409
+ ADD *+AR0(0032Ch), -12, B, A ; 2410
+ ADD *+AR0(0032Dh), -12, B, B ; 2411
+ ADD *+AR0(0032Eh), -12, B ; 2412
+ ADD *+AR0(0032Fh), -11, A, A ; 2413
+ ADD *+AR0(00330h), -11, A, B ; 2414
+ ADD *+AR0(00331h), -11, A ; 2415
+ ADD *+AR0(00332h), -11, B, A ; 2416
+ ADD *+AR0(00333h), -11, B, B ; 2417
+ ADD *+AR0(00334h), -11, B ; 2418
+ ADD *+AR0(00335h), -10, A, A ; 2419
+ ADD *+AR0(00336h), -10, A, B ; 2420
+ ADD *+AR0(00337h), -10, A ; 2421
+ ADD *+AR0(00338h), -10, B, A ; 2422
+ ADD *+AR0(00339h), -10, B, B ; 2423
+ ADD *+AR0(0033Ah), -10, B ; 2424
+ ADD *+AR0(0033Bh), -9, A, A ; 2425
+ ADD *+AR0(0033Ch), -9, A, B ; 2426
+ ADD *+AR0(0033Dh), -9, A ; 2427
+ ADD *+AR0(0033Eh), -9, B, A ; 2428
+ ADD *+AR0(0033Fh), -9, B, B ; 2429
+ ADD *+AR0(00340h), -9, B ; 2430
+ ADD *+AR0(00341h), -8, A, A ; 2431
+ ADD *+AR0(00342h), -8, A, B ; 2432
+ ADD *+AR0(00343h), -8, A ; 2433
+ ADD *+AR0(00344h), -8, B, A ; 2434
+ ADD *+AR0(00345h), -8, B, B ; 2435
+ ADD *+AR0(00346h), -8, B ; 2436
+ ADD *+AR0(00347h), -7, A, A ; 2437
+ ADD *+AR0(00348h), -7, A, B ; 2438
+ ADD *+AR0(00349h), -7, A ; 2439
+ ADD *+AR0(0034Ah), -7, B, A ; 2440
+ ADD *+AR0(0034Bh), -7, B, B ; 2441
+ ADD *+AR0(0034Ch), -7, B ; 2442
+ ADD *+AR0(0034Dh), -6, A, A ; 2443
+ ADD *+AR0(0034Eh), -6, A, B ; 2444
+ ADD *+AR0(0034Fh), -6, A ; 2445
+ ADD *+AR0(00350h), -6, B, A ; 2446
+ ADD *+AR0(00351h), -6, B, B ; 2447
+ ADD *+AR0(00352h), -6, B ; 2448
+ ADD *+AR0(00353h), -5, A, A ; 2449
+ ADD *+AR0(00354h), -5, A, B ; 2450
+ ADD *+AR0(00355h), -5, A ; 2451
+ ADD *+AR0(00356h), -5, B, A ; 2452
+ ADD *+AR0(00357h), -5, B, B ; 2453
+ ADD *+AR0(00358h), -5, B ; 2454
+ ADD *+AR0(00359h), -4, A, A ; 2455
+ ADD *+AR0(0035Ah), -4, A, B ; 2456
+ ADD *+AR0(0035Bh), -4, A ; 2457
+ ADD *+AR0(0035Ch), -4, B, A ; 2458
+ ADD *+AR0(0035Dh), -4, B, B ; 2459
+ ADD *+AR0(0035Eh), -4, B ; 2460
+ ADD *+AR0(0035Fh), -3, A, A ; 2461
+ ADD *+AR0(00360h), -3, A, B ; 2462
+ ADD *+AR0(00361h), -3, A ; 2463
+ ADD *+AR0(00362h), -3, B, A ; 2464
+ ADD *+AR0(00363h), -3, B, B ; 2465
+ ADD *+AR0(00364h), -3, B ; 2466
+ ADD *+AR0(00365h), -2, A, A ; 2467
+ ADD *+AR0(00366h), -2, A, B ; 2468
+ ADD *+AR0(00367h), -2, A ; 2469
+ ADD *+AR0(00368h), -2, B, A ; 2470
+ ADD *+AR0(00369h), -2, B, B ; 2471
+ ADD *+AR0(0036Ah), -2, B ; 2472
+ ADD *+AR0(0036Bh), -1, A, A ; 2473
+ ADD *+AR0(0036Ch), -1, A, B ; 2474
+ ADD *+AR0(0036Dh), -1, A ; 2475
+ ADD *+AR0(0036Eh), -1, B, A ; 2476
+ ADD *+AR0(0036Fh), -1, B, B ; 2477
+ ADD *+AR0(00370h), -1, B ; 2478
+ ADD *+AR0(00371h), 0, A, A ; 2479
+ ADD *+AR0(00372h), 0, A, B ; 2480
+ ADD *+AR0(00373h), 0, A ; 2481
+ ADD *+AR0(00374h), 0, B, A ; 2482
+ ADD *+AR0(00375h), 0, B, B ; 2483
+ ADD *+AR0(00376h), 0, B ; 2484
+ ADD *+AR0(00377h), 1, A, A ; 2485
+ ADD *+AR0(00378h), 1, A, B ; 2486
+ ADD *+AR0(00379h), 1, A ; 2487
+ ADD *+AR0(0037Ah), 1, B, A ; 2488
+ ADD *+AR0(0037Bh), 1, B, B ; 2489
+ ADD *+AR0(0037Ch), 1, B ; 2490
+ ADD *+AR0(0037Dh), 2, A, A ; 2491
+ ADD *+AR0(0037Eh), 2, A, B ; 2492
+ ADD *+AR0(0037Fh), 2, A ; 2493
+ ADD *+AR0(00380h), 2, B, A ; 2494
+ ADD *+AR0(00381h), 2, B, B ; 2495
+ ADD *+AR0(00382h), 2, B ; 2496
+ ADD *+AR0(00383h), 3, A, A ; 2497
+ ADD *+AR0(00384h), 3, A, B ; 2498
+ ADD *+AR0(00385h), 3, A ; 2499
+ ADD *+AR0(00386h), 3, B, A ; 2500
+ ADD *+AR0(00387h), 3, B, B ; 2501
+ ADD *+AR0(00388h), 3, B ; 2502
+ ADD *+AR0(00389h), 4, A, A ; 2503
+ ADD *+AR0(0038Ah), 4, A, B ; 2504
+ ADD *+AR0(0038Bh), 4, A ; 2505
+ ADD *+AR0(0038Ch), 4, B, A ; 2506
+ ADD *+AR0(0038Dh), 4, B, B ; 2507
+ ADD *+AR0(0038Eh), 4, B ; 2508
+ ADD *+AR0(0038Fh), 5, A, A ; 2509
+ ADD *+AR0(00390h), 5, A, B ; 2510
+ ADD *+AR0(00391h), 5, A ; 2511
+ ADD *+AR0(00392h), 5, B, A ; 2512
+ ADD *+AR0(00393h), 5, B, B ; 2513
+ ADD *+AR0(00394h), 5, B ; 2514
+ ADD *+AR0(00395h), 6, A, A ; 2515
+ ADD *+AR0(00396h), 6, A, B ; 2516
+ ADD *+AR0(00397h), 6, A ; 2517
+ ADD *+AR0(00398h), 6, B, A ; 2518
+ ADD *+AR0(00399h), 6, B, B ; 2519
+ ADD *+AR0(0039Ah), 6, B ; 2520
+ ADD *+AR0(0039Bh), 7, A, A ; 2521
+ ADD *+AR0(0039Ch), 7, A, B ; 2522
+ ADD *+AR0(0039Dh), 7, A ; 2523
+ ADD *+AR0(0039Eh), 7, B, A ; 2524
+ ADD *+AR0(0039Fh), 7, B, B ; 2525
+ ADD *+AR0(003A0h), 7, B ; 2526
+ ADD *+AR0(003A1h), 8, A, A ; 2527
+ ADD *+AR0(003A2h), 8, A, B ; 2528
+ ADD *+AR0(003A3h), 8, A ; 2529
+ ADD *+AR0(003A4h), 8, B, A ; 2530
+ ADD *+AR0(003A5h), 8, B, B ; 2531
+ ADD *+AR0(003A6h), 8, B ; 2532
+ ADD *+AR0(003A7h), 9, A, A ; 2533
+ ADD *+AR0(003A8h), 9, A, B ; 2534
+ ADD *+AR0(003A9h), 9, A ; 2535
+ ADD *+AR0(003AAh), 9, B, A ; 2536
+ ADD *+AR0(003ABh), 9, B, B ; 2537
+ ADD *+AR0(003ACh), 9, B ; 2538
+ ADD *+AR0(003ADh), 10, A, A ; 2539
+ ADD *+AR0(003AEh), 10, A, B ; 2540
+ ADD *+AR0(003AFh), 10, A ; 2541
+ ADD *+AR0(003B0h), 10, B, A ; 2542
+ ADD *+AR0(003B1h), 10, B, B ; 2543
+ ADD *+AR0(003B2h), 10, B ; 2544
+ ADD *+AR0(003B3h), 11, A, A ; 2545
+ ADD *+AR0(003B4h), 11, A, B ; 2546
+ ADD *+AR0(003B5h), 11, A ; 2547
+ ADD *+AR0(003B6h), 11, B, A ; 2548
+ ADD *+AR0(003B7h), 11, B, B ; 2549
+ ADD *+AR0(003B8h), 11, B ; 2550
+ ADD *+AR0(003B9h), 12, A, A ; 2551
+ ADD *+AR0(003BAh), 12, A, B ; 2552
+ ADD *+AR0(003BBh), 12, A ; 2553
+ ADD *+AR0(003BCh), 12, B, A ; 2554
+ ADD *+AR0(003BDh), 12, B, B ; 2555
+ ADD *+AR0(003BEh), 12, B ; 2556
+ ADD *+AR0(003BFh), 13, A, A ; 2557
+ ADD *+AR0(003C0h), 13, A, B ; 2558
+ ADD *+AR0(003C1h), 13, A ; 2559
+ ADD *+AR0(003C2h), 13, B, A ; 2560
+ ADD *+AR0(003C3h), 13, B, B ; 2561
+ ADD *+AR0(003C4h), 13, B ; 2562
+ ADD *+AR0(003C5h), 14, A, A ; 2563
+ ADD *+AR0(003C6h), 14, A, B ; 2564
+ ADD *+AR0(003C7h), 14, A ; 2565
+ ADD *+AR0(003C8h), 14, B, A ; 2566
+ ADD *+AR0(003C9h), 14, B, B ; 2567
+ ADD *+AR0(003CAh), 14, B ; 2568
+ ADD *+AR0(003CBh), 15, A, A ; 2569
+ ADD *+AR0(003CCh), 15, A, B ; 2570
+ ADD *+AR0(003CDh), 15, A ; 2571
+ ADD *+AR0(003CEh), 15, B, A ; 2572
+ ADD *+AR0(003CFh), 15, B, B ; 2573
+ ADD *+AR0(003D0h), 15, B ; 2574
+ ADD *+AR0(003D1h)%, A, A ; 2575
+ ADD *+AR0(003D2h)%, A, B ; 2576
+ ADD *+AR0(003D3h)%, A ; 2577
+ ADD *+AR0(003D4h)%, B, A ; 2578
+ ADD *+AR0(003D5h)%, B, B ; 2579
+ ADD *+AR0(003D6h)%, B ; 2580
+ ADD *+AR0(003D7h)%, -16, A, A ; 2581
+ ADD *+AR0(003D8h)%, -16, A, B ; 2582
+ ADD *+AR0(003D9h)%, -16, A ; 2583
+ ADD *+AR0(003DAh)%, -16, B, A ; 2584
+ ADD *+AR0(003DBh)%, -16, B, B ; 2585
+ ADD *+AR0(003DCh)%, -16, B ; 2586
+ ADD *+AR0(003DDh)%, -15, A, A ; 2587
+ ADD *+AR0(003DEh)%, -15, A, B ; 2588
+ ADD *+AR0(003DFh)%, -15, A ; 2589
+ ADD *+AR0(003E0h)%, -15, B, A ; 2590
+ ADD *+AR0(003E1h)%, -15, B, B ; 2591
+ ADD *+AR0(003E2h)%, -15, B ; 2592
+ ADD *+AR0(003E3h)%, -14, A, A ; 2593
+ ADD *+AR0(003E4h)%, -14, A, B ; 2594
+ ADD *+AR0(003E5h)%, -14, A ; 2595
+ ADD *+AR0(003E6h)%, -14, B, A ; 2596
+ ADD *+AR0(003E7h)%, -14, B, B ; 2597
+ ADD *+AR0(003E8h)%, -14, B ; 2598
+ ADD *+AR0(003E9h)%, -13, A, A ; 2599
+ ADD *+AR0(003EAh)%, -13, A, B ; 2600
+ ADD *+AR0(003EBh)%, -13, A ; 2601
+ ADD *+AR0(003ECh)%, -13, B, A ; 2602
+ ADD *+AR0(003EDh)%, -13, B, B ; 2603
+ ADD *+AR0(003EEh)%, -13, B ; 2604
+ ADD *+AR0(003EFh)%, -12, A, A ; 2605
+ ADD *+AR0(003F0h)%, -12, A, B ; 2606
+ ADD *+AR0(003F1h)%, -12, A ; 2607
+ ADD *+AR0(003F2h)%, -12, B, A ; 2608
+ ADD *+AR0(003F3h)%, -12, B, B ; 2609
+ ADD *+AR0(003F4h)%, -12, B ; 2610
+ ADD *+AR0(003F5h)%, -11, A, A ; 2611
+ ADD *+AR0(003F6h)%, -11, A, B ; 2612
+ ADD *+AR0(003F7h)%, -11, A ; 2613
+ ADD *+AR0(003F8h)%, -11, B, A ; 2614
+ ADD *+AR0(003F9h)%, -11, B, B ; 2615
+ ADD *+AR0(003FAh)%, -11, B ; 2616
+ ADD *+AR0(003FBh)%, -10, A, A ; 2617
+ ADD *+AR0(003FCh)%, -10, A, B ; 2618
+ ADD *+AR0(003FDh)%, -10, A ; 2619
+ ADD *+AR0(003FEh)%, -10, B, A ; 2620
+ ADD *+AR0(003FFh)%, -10, B, B ; 2621
+ ADD *+AR0(00400h)%, -10, B ; 2622
+ ADD *+AR0(00401h)%, -9, A, A ; 2623
+ ADD *+AR0(00402h)%, -9, A, B ; 2624
+ ADD *+AR0(00403h)%, -9, A ; 2625
+ ADD *+AR0(00404h)%, -9, B, A ; 2626
+ ADD *+AR0(00405h)%, -9, B, B ; 2627
+ ADD *+AR0(00406h)%, -9, B ; 2628
+ ADD *+AR0(00407h)%, -8, A, A ; 2629
+ ADD *+AR0(00408h)%, -8, A, B ; 2630
+ ADD *+AR0(00409h)%, -8, A ; 2631
+ ADD *+AR0(0040Ah)%, -8, B, A ; 2632
+ ADD *+AR0(0040Bh)%, -8, B, B ; 2633
+ ADD *+AR0(0040Ch)%, -8, B ; 2634
+ ADD *+AR0(0040Dh)%, -7, A, A ; 2635
+ ADD *+AR0(0040Eh)%, -7, A, B ; 2636
+ ADD *+AR0(0040Fh)%, -7, A ; 2637
+ ADD *+AR0(00410h)%, -7, B, A ; 2638
+ ADD *+AR0(00411h)%, -7, B, B ; 2639
+ ADD *+AR0(00412h)%, -7, B ; 2640
+ ADD *+AR0(00413h)%, -6, A, A ; 2641
+ ADD *+AR0(00414h)%, -6, A, B ; 2642
+ ADD *+AR0(00415h)%, -6, A ; 2643
+ ADD *+AR0(00416h)%, -6, B, A ; 2644
+ ADD *+AR0(00417h)%, -6, B, B ; 2645
+ ADD *+AR0(00418h)%, -6, B ; 2646
+ ADD *+AR0(00419h)%, -5, A, A ; 2647
+ ADD *+AR0(0041Ah)%, -5, A, B ; 2648
+ ADD *+AR0(0041Bh)%, -5, A ; 2649
+ ADD *+AR0(0041Ch)%, -5, B, A ; 2650
+ ADD *+AR0(0041Dh)%, -5, B, B ; 2651
+ ADD *+AR0(0041Eh)%, -5, B ; 2652
+ ADD *+AR0(0041Fh)%, -4, A, A ; 2653
+ ADD *+AR0(00420h)%, -4, A, B ; 2654
+ ADD *+AR0(00421h)%, -4, A ; 2655
+ ADD *+AR0(00422h)%, -4, B, A ; 2656
+ ADD *+AR0(00423h)%, -4, B, B ; 2657
+ ADD *+AR0(00424h)%, -4, B ; 2658
+ ADD *+AR0(00425h)%, -3, A, A ; 2659
+ ADD *+AR0(00426h)%, -3, A, B ; 2660
+ ADD *+AR0(00427h)%, -3, A ; 2661
+ ADD *+AR0(00428h)%, -3, B, A ; 2662
+ ADD *+AR0(00429h)%, -3, B, B ; 2663
+ ADD *+AR0(0042Ah)%, -3, B ; 2664
+ ADD *+AR0(0042Bh)%, -2, A, A ; 2665
+ ADD *+AR0(0042Ch)%, -2, A, B ; 2666
+ ADD *+AR0(0042Dh)%, -2, A ; 2667
+ ADD *+AR0(0042Eh)%, -2, B, A ; 2668
+ ADD *+AR0(0042Fh)%, -2, B, B ; 2669
+ ADD *+AR0(00430h)%, -2, B ; 2670
+ ADD *+AR0(00431h)%, -1, A, A ; 2671
+ ADD *+AR0(00432h)%, -1, A, B ; 2672
+ ADD *+AR0(00433h)%, -1, A ; 2673
+ ADD *+AR0(00434h)%, -1, B, A ; 2674
+ ADD *+AR0(00435h)%, -1, B, B ; 2675
+ ADD *+AR0(00436h)%, -1, B ; 2676
+ ADD *+AR0(00437h)%, 0, A, A ; 2677
+ ADD *+AR0(00438h)%, 0, A, B ; 2678
+ ADD *+AR0(00439h)%, 0, A ; 2679
+ ADD *+AR0(0043Ah)%, 0, B, A ; 2680
+ ADD *+AR0(0043Bh)%, 0, B, B ; 2681
+ ADD *+AR0(0043Ch)%, 0, B ; 2682
+ ADD *+AR0(0043Dh)%, 1, A, A ; 2683
+ ADD *+AR0(0043Eh)%, 1, A, B ; 2684
+ ADD *+AR0(0043Fh)%, 1, A ; 2685
+ ADD *+AR0(00440h)%, 1, B, A ; 2686
+ ADD *+AR0(00441h)%, 1, B, B ; 2687
+ ADD *+AR0(00442h)%, 1, B ; 2688
+ ADD *+AR0(00443h)%, 2, A, A ; 2689
+ ADD *+AR0(00444h)%, 2, A, B ; 2690
+ ADD *+AR0(00445h)%, 2, A ; 2691
+ ADD *+AR0(00446h)%, 2, B, A ; 2692
+ ADD *+AR0(00447h)%, 2, B, B ; 2693
+ ADD *+AR0(00448h)%, 2, B ; 2694
+ ADD *+AR0(00449h)%, 3, A, A ; 2695
+ ADD *+AR0(0044Ah)%, 3, A, B ; 2696
+ ADD *+AR0(0044Bh)%, 3, A ; 2697
+ ADD *+AR0(0044Ch)%, 3, B, A ; 2698
+ ADD *+AR0(0044Dh)%, 3, B, B ; 2699
+ ADD *+AR0(0044Eh)%, 3, B ; 2700
+ ADD *+AR0(0044Fh)%, 4, A, A ; 2701
+ ADD *+AR0(00450h)%, 4, A, B ; 2702
+ ADD *+AR0(00451h)%, 4, A ; 2703
+ ADD *+AR0(00452h)%, 4, B, A ; 2704
+ ADD *+AR0(00453h)%, 4, B, B ; 2705
+ ADD *+AR0(00454h)%, 4, B ; 2706
+ ADD *+AR0(00455h)%, 5, A, A ; 2707
+ ADD *+AR0(00456h)%, 5, A, B ; 2708
+ ADD *+AR0(00457h)%, 5, A ; 2709
+ ADD *+AR0(00458h)%, 5, B, A ; 2710
+ ADD *+AR0(00459h)%, 5, B, B ; 2711
+ ADD *+AR0(0045Ah)%, 5, B ; 2712
+ ADD *+AR0(0045Bh)%, 6, A, A ; 2713
+ ADD *+AR0(0045Ch)%, 6, A, B ; 2714
+ ADD *+AR0(0045Dh)%, 6, A ; 2715
+ ADD *+AR0(0045Eh)%, 6, B, A ; 2716
+ ADD *+AR0(0045Fh)%, 6, B, B ; 2717
+ ADD *+AR0(00460h)%, 6, B ; 2718
+ ADD *+AR0(00461h)%, 7, A, A ; 2719
+ ADD *+AR0(00462h)%, 7, A, B ; 2720
+ ADD *+AR0(00463h)%, 7, A ; 2721
+ ADD *+AR0(00464h)%, 7, B, A ; 2722
+ ADD *+AR0(00465h)%, 7, B, B ; 2723
+ ADD *+AR0(00466h)%, 7, B ; 2724
+ ADD *+AR0(00467h)%, 8, A, A ; 2725
+ ADD *+AR0(00468h)%, 8, A, B ; 2726
+ ADD *+AR0(00469h)%, 8, A ; 2727
+ ADD *+AR0(0046Ah)%, 8, B, A ; 2728
+ ADD *+AR0(0046Bh)%, 8, B, B ; 2729
+ ADD *+AR0(0046Ch)%, 8, B ; 2730
+ ADD *+AR0(0046Dh)%, 9, A, A ; 2731
+ ADD *+AR0(0046Eh)%, 9, A, B ; 2732
+ ADD *+AR0(0046Fh)%, 9, A ; 2733
+ ADD *+AR0(00470h)%, 9, B, A ; 2734
+ ADD *+AR0(00471h)%, 9, B, B ; 2735
+ ADD *+AR0(00472h)%, 9, B ; 2736
+ ADD *+AR0(00473h)%, 10, A, A ; 2737
+ ADD *+AR0(00474h)%, 10, A, B ; 2738
+ ADD *+AR0(00475h)%, 10, A ; 2739
+ ADD *+AR0(00476h)%, 10, B, A ; 2740
+ ADD *+AR0(00477h)%, 10, B, B ; 2741
+ ADD *+AR0(00478h)%, 10, B ; 2742
+ ADD *+AR0(00479h)%, 11, A, A ; 2743
+ ADD *+AR0(0047Ah)%, 11, A, B ; 2744
+ ADD *+AR0(0047Bh)%, 11, A ; 2745
+ ADD *+AR0(0047Ch)%, 11, B, A ; 2746
+ ADD *+AR0(0047Dh)%, 11, B, B ; 2747
+ ADD *+AR0(0047Eh)%, 11, B ; 2748
+ ADD *+AR0(0047Fh)%, 12, A, A ; 2749
+ ADD *+AR0(00480h)%, 12, A, B ; 2750
+ ADD *+AR0(00481h)%, 12, A ; 2751
+ ADD *+AR0(00482h)%, 12, B, A ; 2752
+ ADD *+AR0(00483h)%, 12, B, B ; 2753
+ ADD *+AR0(00484h)%, 12, B ; 2754
+ ADD *+AR0(00485h)%, 13, A, A ; 2755
+ ADD *+AR0(00486h)%, 13, A, B ; 2756
+ ADD *+AR0(00487h)%, 13, A ; 2757
+ ADD *+AR0(00488h)%, 13, B, A ; 2758
+ ADD *+AR0(00489h)%, 13, B, B ; 2759
+ ADD *+AR0(0048Ah)%, 13, B ; 2760
+ ADD *+AR0(0048Bh)%, 14, A, A ; 2761
+ ADD *+AR0(0048Ch)%, 14, A, B ; 2762
+ ADD *+AR0(0048Dh)%, 14, A ; 2763
+ ADD *+AR0(0048Eh)%, 14, B, A ; 2764
+ ADD *+AR0(0048Fh)%, 14, B, B ; 2765
+ ADD *+AR0(00490h)%, 14, B ; 2766
+ ADD *+AR0(00491h)%, 15, A, A ; 2767
+ ADD *+AR0(00492h)%, 15, A, B ; 2768
+ ADD *+AR0(00493h)%, 15, A ; 2769
+ ADD *+AR0(00494h)%, 15, B, A ; 2770
+ ADD *+AR0(00495h)%, 15, B, B ; 2771
+ ADD *+AR0(00496h)%, 15, B ; 2772
+ ADD *(00497h), A, A ; 2773
+ ADD *(00498h), A, B ; 2774
+ ADD *(00499h), A ; 2775
+ ADD *(0049Ah), B, A ; 2776
+ ADD *(0049Bh), B, B ; 2777
+ ADD *(0049Ch), B ; 2778
+ ADD *(0049Dh), -16, A, A ; 2779
+ ADD *(0049Eh), -16, A, B ; 2780
+ ADD *(0049Fh), -16, A ; 2781
+ ADD *(004A0h), -16, B, A ; 2782
+ ADD *(004A1h), -16, B, B ; 2783
+ ADD *(004A2h), -16, B ; 2784
+ ADD *(004A3h), -15, A, A ; 2785
+ ADD *(004A4h), -15, A, B ; 2786
+ ADD *(004A5h), -15, A ; 2787
+ ADD *(004A6h), -15, B, A ; 2788
+ ADD *(004A7h), -15, B, B ; 2789
+ ADD *(004A8h), -15, B ; 2790
+ ADD *(004A9h), -14, A, A ; 2791
+ ADD *(004AAh), -14, A, B ; 2792
+ ADD *(004ABh), -14, A ; 2793
+ ADD *(004ACh), -14, B, A ; 2794
+ ADD *(004ADh), -14, B, B ; 2795
+ ADD *(004AEh), -14, B ; 2796
+ ADD *(004AFh), -13, A, A ; 2797
+ ADD *(004B0h), -13, A, B ; 2798
+ ADD *(004B1h), -13, A ; 2799
+ ADD *(004B2h), -13, B, A ; 2800
+ ADD *(004B3h), -13, B, B ; 2801
+ ADD *(004B4h), -13, B ; 2802
+ ADD *(004B5h), -12, A, A ; 2803
+ ADD *(004B6h), -12, A, B ; 2804
+ ADD *(004B7h), -12, A ; 2805
+ ADD *(004B8h), -12, B, A ; 2806
+ ADD *(004B9h), -12, B, B ; 2807
+ ADD *(004BAh), -12, B ; 2808
+ ADD *(004BBh), -11, A, A ; 2809
+ ADD *(004BCh), -11, A, B ; 2810
+ ADD *(004BDh), -11, A ; 2811
+ ADD *(004BEh), -11, B, A ; 2812
+ ADD *(004BFh), -11, B, B ; 2813
+ ADD *(004C0h), -11, B ; 2814
+ ADD *(004C1h), -10, A, A ; 2815
+ ADD *(004C2h), -10, A, B ; 2816
+ ADD *(004C3h), -10, A ; 2817
+ ADD *(004C4h), -10, B, A ; 2818
+ ADD *(004C5h), -10, B, B ; 2819
+ ADD *(004C6h), -10, B ; 2820
+ ADD *(004C7h), -9, A, A ; 2821
+ ADD *(004C8h), -9, A, B ; 2822
+ ADD *(004C9h), -9, A ; 2823
+ ADD *(004CAh), -9, B, A ; 2824
+ ADD *(004CBh), -9, B, B ; 2825
+ ADD *(004CCh), -9, B ; 2826
+ ADD *(004CDh), -8, A, A ; 2827
+ ADD *(004CEh), -8, A, B ; 2828
+ ADD *(004CFh), -8, A ; 2829
+ ADD *(004D0h), -8, B, A ; 2830
+ ADD *(004D1h), -8, B, B ; 2831
+ ADD *(004D2h), -8, B ; 2832
+ ADD *(004D3h), -7, A, A ; 2833
+ ADD *(004D4h), -7, A, B ; 2834
+ ADD *(004D5h), -7, A ; 2835
+ ADD *(004D6h), -7, B, A ; 2836
+ ADD *(004D7h), -7, B, B ; 2837
+ ADD *(004D8h), -7, B ; 2838
+ ADD *(004D9h), -6, A, A ; 2839
+ ADD *(004DAh), -6, A, B ; 2840
+ ADD *(004DBh), -6, A ; 2841
+ ADD *(004DCh), -6, B, A ; 2842
+ ADD *(004DDh), -6, B, B ; 2843
+ ADD *(004DEh), -6, B ; 2844
+ ADD *(004DFh), -5, A, A ; 2845
+ ADD *(004E0h), -5, A, B ; 2846
+ ADD *(004E1h), -5, A ; 2847
+ ADD *(004E2h), -5, B, A ; 2848
+ ADD *(004E3h), -5, B, B ; 2849
+ ADD *(004E4h), -5, B ; 2850
+ ADD *(004E5h), -4, A, A ; 2851
+ ADD *(004E6h), -4, A, B ; 2852
+ ADD *(004E7h), -4, A ; 2853
+ ADD *(004E8h), -4, B, A ; 2854
+ ADD *(004E9h), -4, B, B ; 2855
+ ADD *(004EAh), -4, B ; 2856
+ ADD *(004EBh), -3, A, A ; 2857
+ ADD *(004ECh), -3, A, B ; 2858
+ ADD *(004EDh), -3, A ; 2859
+ ADD *(004EEh), -3, B, A ; 2860
+ ADD *(004EFh), -3, B, B ; 2861
+ ADD *(004F0h), -3, B ; 2862
+ ADD *(004F1h), -2, A, A ; 2863
+ ADD *(004F2h), -2, A, B ; 2864
+ ADD *(004F3h), -2, A ; 2865
+ ADD *(004F4h), -2, B, A ; 2866
+ ADD *(004F5h), -2, B, B ; 2867
+ ADD *(004F6h), -2, B ; 2868
+ ADD *(004F7h), -1, A, A ; 2869
+ ADD *(004F8h), -1, A, B ; 2870
+ ADD *(004F9h), -1, A ; 2871
+ ADD *(004FAh), -1, B, A ; 2872
+ ADD *(004FBh), -1, B, B ; 2873
+ ADD *(004FCh), -1, B ; 2874
+ ADD *(004FDh), 0, A, A ; 2875
+ ADD *(004FEh), 0, A, B ; 2876
+ ADD *(004FFh), 0, A ; 2877
+ ADD *(00500h), 0, B, A ; 2878
+ ADD *(00501h), 0, B, B ; 2879
+ ADD *(00502h), 0, B ; 2880
+ ADD *(00503h), 1, A, A ; 2881
+ ADD *(00504h), 1, A, B ; 2882
+ ADD *(00505h), 1, A ; 2883
+ ADD *(00506h), 1, B, A ; 2884
+ ADD *(00507h), 1, B, B ; 2885
+ ADD *(00508h), 1, B ; 2886
+ ADD *(00509h), 2, A, A ; 2887
+ ADD *(0050Ah), 2, A, B ; 2888
+ ADD *(0050Bh), 2, A ; 2889
+ ADD *(0050Ch), 2, B, A ; 2890
+ ADD *(0050Dh), 2, B, B ; 2891
+ ADD *(0050Eh), 2, B ; 2892
+ ADD *(0050Fh), 3, A, A ; 2893
+ ADD *(00510h), 3, A, B ; 2894
+ ADD *(00511h), 3, A ; 2895
+ ADD *(00512h), 3, B, A ; 2896
+ ADD *(00513h), 3, B, B ; 2897
+ ADD *(00514h), 3, B ; 2898
+ ADD *(00515h), 4, A, A ; 2899
+ ADD *(00516h), 4, A, B ; 2900
+ ADD *(00517h), 4, A ; 2901
+ ADD *(00518h), 4, B, A ; 2902
+ ADD *(00519h), 4, B, B ; 2903
+ ADD *(0051Ah), 4, B ; 2904
+ ADD *(0051Bh), 5, A, A ; 2905
+ ADD *(0051Ch), 5, A, B ; 2906
+ ADD *(0051Dh), 5, A ; 2907
+ ADD *(0051Eh), 5, B, A ; 2908
+ ADD *(0051Fh), 5, B, B ; 2909
+ ADD *(00520h), 5, B ; 2910
+ ADD *(00521h), 6, A, A ; 2911
+ ADD *(00522h), 6, A, B ; 2912
+ ADD *(00523h), 6, A ; 2913
+ ADD *(00524h), 6, B, A ; 2914
+ ADD *(00525h), 6, B, B ; 2915
+ ADD *(00526h), 6, B ; 2916
+ ADD *(00527h), 7, A, A ; 2917
+ ADD *(00528h), 7, A, B ; 2918
+ ADD *(00529h), 7, A ; 2919
+ ADD *(0052Ah), 7, B, A ; 2920
+ ADD *(0052Bh), 7, B, B ; 2921
+ ADD *(0052Ch), 7, B ; 2922
+ ADD *(0052Dh), 8, A, A ; 2923
+ ADD *(0052Eh), 8, A, B ; 2924
+ ADD *(0052Fh), 8, A ; 2925
+ ADD *(00530h), 8, B, A ; 2926
+ ADD *(00531h), 8, B, B ; 2927
+ ADD *(00532h), 8, B ; 2928
+ ADD *(00533h), 9, A, A ; 2929
+ ADD *(00534h), 9, A, B ; 2930
+ ADD *(00535h), 9, A ; 2931
+ ADD *(00536h), 9, B, A ; 2932
+ ADD *(00537h), 9, B, B ; 2933
+ ADD *(00538h), 9, B ; 2934
+ ADD *(00539h), 10, A, A ; 2935
+ ADD *(0053Ah), 10, A, B ; 2936
+ ADD *(0053Bh), 10, A ; 2937
+ ADD *(0053Ch), 10, B, A ; 2938
+ ADD *(0053Dh), 10, B, B ; 2939
+ ADD *(0053Eh), 10, B ; 2940
+ ADD *(0053Fh), 11, A, A ; 2941
+ ADD *(00540h), 11, A, B ; 2942
+ ADD *(00541h), 11, A ; 2943
+ ADD *(00542h), 11, B, A ; 2944
+ ADD *(00543h), 11, B, B ; 2945
+ ADD *(00544h), 11, B ; 2946
+ ADD *(00545h), 12, A, A ; 2947
+ ADD *(00546h), 12, A, B ; 2948
+ ADD *(00547h), 12, A ; 2949
+ ADD *(00548h), 12, B, A ; 2950
+ ADD *(00549h), 12, B, B ; 2951
+ ADD *(0054Ah), 12, B ; 2952
+ ADD *(0054Bh), 13, A, A ; 2953
+ ADD *(0054Ch), 13, A, B ; 2954
+ ADD *(0054Dh), 13, A ; 2955
+ ADD *(0054Eh), 13, B, A ; 2956
+ ADD *(0054Fh), 13, B, B ; 2957
+ ADD *(00550h), 13, B ; 2958
+ ADD *(00551h), 14, A, A ; 2959
+ ADD *(00552h), 14, A, B ; 2960
+ ADD *(00553h), 14, A ; 2961
+ ADD *(00554h), 14, B, A ; 2962
+ ADD *(00555h), 14, B, B ; 2963
+ ADD *(00556h), 14, B ; 2964
+ ADD *(00557h), 15, A, A ; 2965
+ ADD *(00558h), 15, A, B ; 2966
+ ADD *(00559h), 15, A ; 2967
+ ADD *(0055Ah), 15, B, A ; 2968
+ ADD *(0055Bh), 15, B, B ; 2969
+ ADD *(0055Ch), 15, B ; 2970
+ ADD *AR1, A, A ; 2971
+ ADD *AR1, A, B ; 2972
+ ADD *AR1, A ; 2973
+ ADD *AR1, B, A ; 2974
+ ADD *AR1, B, B ; 2975
+ ADD *AR1, B ; 2976
+ ADD *AR1, -16, A, A ; 2977
+ ADD *AR1, -16, A, B ; 2978
+ ADD *AR1, -16, A ; 2979
+ ADD *AR1, -16, B, A ; 2980
+ ADD *AR1, -16, B, B ; 2981
+ ADD *AR1, -16, B ; 2982
+ ADD *AR1, -15, A, A ; 2983
+ ADD *AR1, -15, A, B ; 2984
+ ADD *AR1, -15, A ; 2985
+ ADD *AR1, -15, B, A ; 2986
+ ADD *AR1, -15, B, B ; 2987
+ ADD *AR1, -15, B ; 2988
+ ADD *AR1, -14, A, A ; 2989
+ ADD *AR1, -14, A, B ; 2990
+ ADD *AR1, -14, A ; 2991
+ ADD *AR1, -14, B, A ; 2992
+ ADD *AR1, -14, B, B ; 2993
+ ADD *AR1, -14, B ; 2994
+ ADD *AR1, -13, A, A ; 2995
+ ADD *AR1, -13, A, B ; 2996
+ ADD *AR1, -13, A ; 2997
+ ADD *AR1, -13, B, A ; 2998
+ ADD *AR1, -13, B, B ; 2999
+ ADD *AR1, -13, B ; 3000
+ ADD *AR1, -12, A, A ; 3001
+ ADD *AR1, -12, A, B ; 3002
+ ADD *AR1, -12, A ; 3003
+ ADD *AR1, -12, B, A ; 3004
+ ADD *AR1, -12, B, B ; 3005
+ ADD *AR1, -12, B ; 3006
+ ADD *AR1, -11, A, A ; 3007
+ ADD *AR1, -11, A, B ; 3008
+ ADD *AR1, -11, A ; 3009
+ ADD *AR1, -11, B, A ; 3010
+ ADD *AR1, -11, B, B ; 3011
+ ADD *AR1, -11, B ; 3012
+ ADD *AR1, -10, A, A ; 3013
+ ADD *AR1, -10, A, B ; 3014
+ ADD *AR1, -10, A ; 3015
+ ADD *AR1, -10, B, A ; 3016
+ ADD *AR1, -10, B, B ; 3017
+ ADD *AR1, -10, B ; 3018
+ ADD *AR1, -9, A, A ; 3019
+ ADD *AR1, -9, A, B ; 3020
+ ADD *AR1, -9, A ; 3021
+ ADD *AR1, -9, B, A ; 3022
+ ADD *AR1, -9, B, B ; 3023
+ ADD *AR1, -9, B ; 3024
+ ADD *AR1, -8, A, A ; 3025
+ ADD *AR1, -8, A, B ; 3026
+ ADD *AR1, -8, A ; 3027
+ ADD *AR1, -8, B, A ; 3028
+ ADD *AR1, -8, B, B ; 3029
+ ADD *AR1, -8, B ; 3030
+ ADD *AR1, -7, A, A ; 3031
+ ADD *AR1, -7, A, B ; 3032
+ ADD *AR1, -7, A ; 3033
+ ADD *AR1, -7, B, A ; 3034
+ ADD *AR1, -7, B, B ; 3035
+ ADD *AR1, -7, B ; 3036
+ ADD *AR1, -6, A, A ; 3037
+ ADD *AR1, -6, A, B ; 3038
+ ADD *AR1, -6, A ; 3039
+ ADD *AR1, -6, B, A ; 3040
+ ADD *AR1, -6, B, B ; 3041
+ ADD *AR1, -6, B ; 3042
+ ADD *AR1, -5, A, A ; 3043
+ ADD *AR1, -5, A, B ; 3044
+ ADD *AR1, -5, A ; 3045
+ ADD *AR1, -5, B, A ; 3046
+ ADD *AR1, -5, B, B ; 3047
+ ADD *AR1, -5, B ; 3048
+ ADD *AR1, -4, A, A ; 3049
+ ADD *AR1, -4, A, B ; 3050
+ ADD *AR1, -4, A ; 3051
+ ADD *AR1, -4, B, A ; 3052
+ ADD *AR1, -4, B, B ; 3053
+ ADD *AR1, -4, B ; 3054
+ ADD *AR1, -3, A, A ; 3055
+ ADD *AR1, -3, A, B ; 3056
+ ADD *AR1, -3, A ; 3057
+ ADD *AR1, -3, B, A ; 3058
+ ADD *AR1, -3, B, B ; 3059
+ ADD *AR1, -3, B ; 3060
+ ADD *AR1, -2, A, A ; 3061
+ ADD *AR1, -2, A, B ; 3062
+ ADD *AR1, -2, A ; 3063
+ ADD *AR1, -2, B, A ; 3064
+ ADD *AR1, -2, B, B ; 3065
+ ADD *AR1, -2, B ; 3066
+ ADD *AR1, -1, A, A ; 3067
+ ADD *AR1, -1, A, B ; 3068
+ ADD *AR1, -1, A ; 3069
+ ADD *AR1, -1, B, A ; 3070
+ ADD *AR1, -1, B, B ; 3071
+ ADD *AR1, -1, B ; 3072
+ ADD *AR1, 0, A, A ; 3073
+ ADD *AR1, 0, A, B ; 3074
+ ADD *AR1, 0, A ; 3075
+ ADD *AR1, 0, B, A ; 3076
+ ADD *AR1, 0, B, B ; 3077
+ ADD *AR1, 0, B ; 3078
+ ADD *AR1, 1, A, A ; 3079
+ ADD *AR1, 1, A, B ; 3080
+ ADD *AR1, 1, A ; 3081
+ ADD *AR1, 1, B, A ; 3082
+ ADD *AR1, 1, B, B ; 3083
+ ADD *AR1, 1, B ; 3084
+ ADD *AR1, 2, A, A ; 3085
+ ADD *AR1, 2, A, B ; 3086
+ ADD *AR1, 2, A ; 3087
+ ADD *AR1, 2, B, A ; 3088
+ ADD *AR1, 2, B, B ; 3089
+ ADD *AR1, 2, B ; 3090
+ ADD *AR1, 3, A, A ; 3091
+ ADD *AR1, 3, A, B ; 3092
+ ADD *AR1, 3, A ; 3093
+ ADD *AR1, 3, B, A ; 3094
+ ADD *AR1, 3, B, B ; 3095
+ ADD *AR1, 3, B ; 3096
+ ADD *AR1, 4, A, A ; 3097
+ ADD *AR1, 4, A, B ; 3098
+ ADD *AR1, 4, A ; 3099
+ ADD *AR1, 4, B, A ; 3100
+ ADD *AR1, 4, B, B ; 3101
+ ADD *AR1, 4, B ; 3102
+ ADD *AR1, 5, A, A ; 3103
+ ADD *AR1, 5, A, B ; 3104
+ ADD *AR1, 5, A ; 3105
+ ADD *AR1, 5, B, A ; 3106
+ ADD *AR1, 5, B, B ; 3107
+ ADD *AR1, 5, B ; 3108
+ ADD *AR1, 6, A, A ; 3109
+ ADD *AR1, 6, A, B ; 3110
+ ADD *AR1, 6, A ; 3111
+ ADD *AR1, 6, B, A ; 3112
+ ADD *AR1, 6, B, B ; 3113
+ ADD *AR1, 6, B ; 3114
+ ADD *AR1, 7, A, A ; 3115
+ ADD *AR1, 7, A, B ; 3116
+ ADD *AR1, 7, A ; 3117
+ ADD *AR1, 7, B, A ; 3118
+ ADD *AR1, 7, B, B ; 3119
+ ADD *AR1, 7, B ; 3120
+ ADD *AR1, 8, A, A ; 3121
+ ADD *AR1, 8, A, B ; 3122
+ ADD *AR1, 8, A ; 3123
+ ADD *AR1, 8, B, A ; 3124
+ ADD *AR1, 8, B, B ; 3125
+ ADD *AR1, 8, B ; 3126
+ ADD *AR1, 9, A, A ; 3127
+ ADD *AR1, 9, A, B ; 3128
+ ADD *AR1, 9, A ; 3129
+ ADD *AR1, 9, B, A ; 3130
+ ADD *AR1, 9, B, B ; 3131
+ ADD *AR1, 9, B ; 3132
+ ADD *AR1, 10, A, A ; 3133
+ ADD *AR1, 10, A, B ; 3134
+ ADD *AR1, 10, A ; 3135
+ ADD *AR1, 10, B, A ; 3136
+ ADD *AR1, 10, B, B ; 3137
+ ADD *AR1, 10, B ; 3138
+ ADD *AR1, 11, A, A ; 3139
+ ADD *AR1, 11, A, B ; 3140
+ ADD *AR1, 11, A ; 3141
+ ADD *AR1, 11, B, A ; 3142
+ ADD *AR1, 11, B, B ; 3143
+ ADD *AR1, 11, B ; 3144
+ ADD *AR1, 12, A, A ; 3145
+ ADD *AR1, 12, A, B ; 3146
+ ADD *AR1, 12, A ; 3147
+ ADD *AR1, 12, B, A ; 3148
+ ADD *AR1, 12, B, B ; 3149
+ ADD *AR1, 12, B ; 3150
+ ADD *AR1, 13, A, A ; 3151
+ ADD *AR1, 13, A, B ; 3152
+ ADD *AR1, 13, A ; 3153
+ ADD *AR1, 13, B, A ; 3154
+ ADD *AR1, 13, B, B ; 3155
+ ADD *AR1, 13, B ; 3156
+ ADD *AR1, 14, A, A ; 3157
+ ADD *AR1, 14, A, B ; 3158
+ ADD *AR1, 14, A ; 3159
+ ADD *AR1, 14, B, A ; 3160
+ ADD *AR1, 14, B, B ; 3161
+ ADD *AR1, 14, B ; 3162
+ ADD *AR1, 15, A, A ; 3163
+ ADD *AR1, 15, A, B ; 3164
+ ADD *AR1, 15, A ; 3165
+ ADD *AR1, 15, B, A ; 3166
+ ADD *AR1, 15, B, B ; 3167
+ ADD *AR1, 15, B ; 3168
+ ADD *AR1-, A, A ; 3169
+ ADD *AR1-, A, B ; 3170
+ ADD *AR1-, A ; 3171
+ ADD *AR1-, B, A ; 3172
+ ADD *AR1-, B, B ; 3173
+ ADD *AR1-, B ; 3174
+ ADD *AR1-, -16, A, A ; 3175
+ ADD *AR1-, -16, A, B ; 3176
+ ADD *AR1-, -16, A ; 3177
+ ADD *AR1-, -16, B, A ; 3178
+ ADD *AR1-, -16, B, B ; 3179
+ ADD *AR1-, -16, B ; 3180
+ ADD *AR1-, -15, A, A ; 3181
+ ADD *AR1-, -15, A, B ; 3182
+ ADD *AR1-, -15, A ; 3183
+ ADD *AR1-, -15, B, A ; 3184
+ ADD *AR1-, -15, B, B ; 3185
+ ADD *AR1-, -15, B ; 3186
+ ADD *AR1-, -14, A, A ; 3187
+ ADD *AR1-, -14, A, B ; 3188
+ ADD *AR1-, -14, A ; 3189
+ ADD *AR1-, -14, B, A ; 3190
+ ADD *AR1-, -14, B, B ; 3191
+ ADD *AR1-, -14, B ; 3192
+ ADD *AR1-, -13, A, A ; 3193
+ ADD *AR1-, -13, A, B ; 3194
+ ADD *AR1-, -13, A ; 3195
+ ADD *AR1-, -13, B, A ; 3196
+ ADD *AR1-, -13, B, B ; 3197
+ ADD *AR1-, -13, B ; 3198
+ ADD *AR1-, -12, A, A ; 3199
+ ADD *AR1-, -12, A, B ; 3200
+ ADD *AR1-, -12, A ; 3201
+ ADD *AR1-, -12, B, A ; 3202
+ ADD *AR1-, -12, B, B ; 3203
+ ADD *AR1-, -12, B ; 3204
+ ADD *AR1-, -11, A, A ; 3205
+ ADD *AR1-, -11, A, B ; 3206
+ ADD *AR1-, -11, A ; 3207
+ ADD *AR1-, -11, B, A ; 3208
+ ADD *AR1-, -11, B, B ; 3209
+ ADD *AR1-, -11, B ; 3210
+ ADD *AR1-, -10, A, A ; 3211
+ ADD *AR1-, -10, A, B ; 3212
+ ADD *AR1-, -10, A ; 3213
+ ADD *AR1-, -10, B, A ; 3214
+ ADD *AR1-, -10, B, B ; 3215
+ ADD *AR1-, -10, B ; 3216
+ ADD *AR1-, -9, A, A ; 3217
+ ADD *AR1-, -9, A, B ; 3218
+ ADD *AR1-, -9, A ; 3219
+ ADD *AR1-, -9, B, A ; 3220
+ ADD *AR1-, -9, B, B ; 3221
+ ADD *AR1-, -9, B ; 3222
+ ADD *AR1-, -8, A, A ; 3223
+ ADD *AR1-, -8, A, B ; 3224
+ ADD *AR1-, -8, A ; 3225
+ ADD *AR1-, -8, B, A ; 3226
+ ADD *AR1-, -8, B, B ; 3227
+ ADD *AR1-, -8, B ; 3228
+ ADD *AR1-, -7, A, A ; 3229
+ ADD *AR1-, -7, A, B ; 3230
+ ADD *AR1-, -7, A ; 3231
+ ADD *AR1-, -7, B, A ; 3232
+ ADD *AR1-, -7, B, B ; 3233
+ ADD *AR1-, -7, B ; 3234
+ ADD *AR1-, -6, A, A ; 3235
+ ADD *AR1-, -6, A, B ; 3236
+ ADD *AR1-, -6, A ; 3237
+ ADD *AR1-, -6, B, A ; 3238
+ ADD *AR1-, -6, B, B ; 3239
+ ADD *AR1-, -6, B ; 3240
+ ADD *AR1-, -5, A, A ; 3241
+ ADD *AR1-, -5, A, B ; 3242
+ ADD *AR1-, -5, A ; 3243
+ ADD *AR1-, -5, B, A ; 3244
+ ADD *AR1-, -5, B, B ; 3245
+ ADD *AR1-, -5, B ; 3246
+ ADD *AR1-, -4, A, A ; 3247
+ ADD *AR1-, -4, A, B ; 3248
+ ADD *AR1-, -4, A ; 3249
+ ADD *AR1-, -4, B, A ; 3250
+ ADD *AR1-, -4, B, B ; 3251
+ ADD *AR1-, -4, B ; 3252
+ ADD *AR1-, -3, A, A ; 3253
+ ADD *AR1-, -3, A, B ; 3254
+ ADD *AR1-, -3, A ; 3255
+ ADD *AR1-, -3, B, A ; 3256
+ ADD *AR1-, -3, B, B ; 3257
+ ADD *AR1-, -3, B ; 3258
+ ADD *AR1-, -2, A, A ; 3259
+ ADD *AR1-, -2, A, B ; 3260
+ ADD *AR1-, -2, A ; 3261
+ ADD *AR1-, -2, B, A ; 3262
+ ADD *AR1-, -2, B, B ; 3263
+ ADD *AR1-, -2, B ; 3264
+ ADD *AR1-, -1, A, A ; 3265
+ ADD *AR1-, -1, A, B ; 3266
+ ADD *AR1-, -1, A ; 3267
+ ADD *AR1-, -1, B, A ; 3268
+ ADD *AR1-, -1, B, B ; 3269
+ ADD *AR1-, -1, B ; 3270
+ ADD *AR1-, 0, A, A ; 3271
+ ADD *AR1-, 0, A, B ; 3272
+ ADD *AR1-, 0, A ; 3273
+ ADD *AR1-, 0, B, A ; 3274
+ ADD *AR1-, 0, B, B ; 3275
+ ADD *AR1-, 0, B ; 3276
+ ADD *AR1-, 1, A, A ; 3277
+ ADD *AR1-, 1, A, B ; 3278
+ ADD *AR1-, 1, A ; 3279
+ ADD *AR1-, 1, B, A ; 3280
+ ADD *AR1-, 1, B, B ; 3281
+ ADD *AR1-, 1, B ; 3282
+ ADD *AR1-, 2, A, A ; 3283
+ ADD *AR1-, 2, A, B ; 3284
+ ADD *AR1-, 2, A ; 3285
+ ADD *AR1-, 2, B, A ; 3286
+ ADD *AR1-, 2, B, B ; 3287
+ ADD *AR1-, 2, B ; 3288
+ ADD *AR1-, 3, A, A ; 3289
+ ADD *AR1-, 3, A, B ; 3290
+ ADD *AR1-, 3, A ; 3291
+ ADD *AR1-, 3, B, A ; 3292
+ ADD *AR1-, 3, B, B ; 3293
+ ADD *AR1-, 3, B ; 3294
+ ADD *AR1-, 4, A, A ; 3295
+ ADD *AR1-, 4, A, B ; 3296
+ ADD *AR1-, 4, A ; 3297
+ ADD *AR1-, 4, B, A ; 3298
+ ADD *AR1-, 4, B, B ; 3299
+ ADD *AR1-, 4, B ; 3300
+ ADD *AR1-, 5, A, A ; 3301
+ ADD *AR1-, 5, A, B ; 3302
+ ADD *AR1-, 5, A ; 3303
+ ADD *AR1-, 5, B, A ; 3304
+ ADD *AR1-, 5, B, B ; 3305
+ ADD *AR1-, 5, B ; 3306
+ ADD *AR1-, 6, A, A ; 3307
+ ADD *AR1-, 6, A, B ; 3308
+ ADD *AR1-, 6, A ; 3309
+ ADD *AR1-, 6, B, A ; 3310
+ ADD *AR1-, 6, B, B ; 3311
+ ADD *AR1-, 6, B ; 3312
+ ADD *AR1-, 7, A, A ; 3313
+ ADD *AR1-, 7, A, B ; 3314
+ ADD *AR1-, 7, A ; 3315
+ ADD *AR1-, 7, B, A ; 3316
+ ADD *AR1-, 7, B, B ; 3317
+ ADD *AR1-, 7, B ; 3318
+ ADD *AR1-, 8, A, A ; 3319
+ ADD *AR1-, 8, A, B ; 3320
+ ADD *AR1-, 8, A ; 3321
+ ADD *AR1-, 8, B, A ; 3322
+ ADD *AR1-, 8, B, B ; 3323
+ ADD *AR1-, 8, B ; 3324
+ ADD *AR1-, 9, A, A ; 3325
+ ADD *AR1-, 9, A, B ; 3326
+ ADD *AR1-, 9, A ; 3327
+ ADD *AR1-, 9, B, A ; 3328
+ ADD *AR1-, 9, B, B ; 3329
+ ADD *AR1-, 9, B ; 3330
+ ADD *AR1-, 10, A, A ; 3331
+ ADD *AR1-, 10, A, B ; 3332
+ ADD *AR1-, 10, A ; 3333
+ ADD *AR1-, 10, B, A ; 3334
+ ADD *AR1-, 10, B, B ; 3335
+ ADD *AR1-, 10, B ; 3336
+ ADD *AR1-, 11, A, A ; 3337
+ ADD *AR1-, 11, A, B ; 3338
+ ADD *AR1-, 11, A ; 3339
+ ADD *AR1-, 11, B, A ; 3340
+ ADD *AR1-, 11, B, B ; 3341
+ ADD *AR1-, 11, B ; 3342
+ ADD *AR1-, 12, A, A ; 3343
+ ADD *AR1-, 12, A, B ; 3344
+ ADD *AR1-, 12, A ; 3345
+ ADD *AR1-, 12, B, A ; 3346
+ ADD *AR1-, 12, B, B ; 3347
+ ADD *AR1-, 12, B ; 3348
+ ADD *AR1-, 13, A, A ; 3349
+ ADD *AR1-, 13, A, B ; 3350
+ ADD *AR1-, 13, A ; 3351
+ ADD *AR1-, 13, B, A ; 3352
+ ADD *AR1-, 13, B, B ; 3353
+ ADD *AR1-, 13, B ; 3354
+ ADD *AR1-, 14, A, A ; 3355
+ ADD *AR1-, 14, A, B ; 3356
+ ADD *AR1-, 14, A ; 3357
+ ADD *AR1-, 14, B, A ; 3358
+ ADD *AR1-, 14, B, B ; 3359
+ ADD *AR1-, 14, B ; 3360
+ ADD *AR1-, 15, A, A ; 3361
+ ADD *AR1-, 15, A, B ; 3362
+ ADD *AR1-, 15, A ; 3363
+ ADD *AR1-, 15, B, A ; 3364
+ ADD *AR1-, 15, B, B ; 3365
+ ADD *AR1-, 15, B ; 3366
+ ADD *AR1+, A, A ; 3367
+ ADD *AR1+, A, B ; 3368
+ ADD *AR1+, A ; 3369
+ ADD *AR1+, B, A ; 3370
+ ADD *AR1+, B, B ; 3371
+ ADD *AR1+, B ; 3372
+ ADD *AR1+, -16, A, A ; 3373
+ ADD *AR1+, -16, A, B ; 3374
+ ADD *AR1+, -16, A ; 3375
+ ADD *AR1+, -16, B, A ; 3376
+ ADD *AR1+, -16, B, B ; 3377
+ ADD *AR1+, -16, B ; 3378
+ ADD *AR1+, -15, A, A ; 3379
+ ADD *AR1+, -15, A, B ; 3380
+ ADD *AR1+, -15, A ; 3381
+ ADD *AR1+, -15, B, A ; 3382
+ ADD *AR1+, -15, B, B ; 3383
+ ADD *AR1+, -15, B ; 3384
+ ADD *AR1+, -14, A, A ; 3385
+ ADD *AR1+, -14, A, B ; 3386
+ ADD *AR1+, -14, A ; 3387
+ ADD *AR1+, -14, B, A ; 3388
+ ADD *AR1+, -14, B, B ; 3389
+ ADD *AR1+, -14, B ; 3390
+ ADD *AR1+, -13, A, A ; 3391
+ ADD *AR1+, -13, A, B ; 3392
+ ADD *AR1+, -13, A ; 3393
+ ADD *AR1+, -13, B, A ; 3394
+ ADD *AR1+, -13, B, B ; 3395
+ ADD *AR1+, -13, B ; 3396
+ ADD *AR1+, -12, A, A ; 3397
+ ADD *AR1+, -12, A, B ; 3398
+ ADD *AR1+, -12, A ; 3399
+ ADD *AR1+, -12, B, A ; 3400
+ ADD *AR1+, -12, B, B ; 3401
+ ADD *AR1+, -12, B ; 3402
+ ADD *AR1+, -11, A, A ; 3403
+ ADD *AR1+, -11, A, B ; 3404
+ ADD *AR1+, -11, A ; 3405
+ ADD *AR1+, -11, B, A ; 3406
+ ADD *AR1+, -11, B, B ; 3407
+ ADD *AR1+, -11, B ; 3408
+ ADD *AR1+, -10, A, A ; 3409
+ ADD *AR1+, -10, A, B ; 3410
+ ADD *AR1+, -10, A ; 3411
+ ADD *AR1+, -10, B, A ; 3412
+ ADD *AR1+, -10, B, B ; 3413
+ ADD *AR1+, -10, B ; 3414
+ ADD *AR1+, -9, A, A ; 3415
+ ADD *AR1+, -9, A, B ; 3416
+ ADD *AR1+, -9, A ; 3417
+ ADD *AR1+, -9, B, A ; 3418
+ ADD *AR1+, -9, B, B ; 3419
+ ADD *AR1+, -9, B ; 3420
+ ADD *AR1+, -8, A, A ; 3421
+ ADD *AR1+, -8, A, B ; 3422
+ ADD *AR1+, -8, A ; 3423
+ ADD *AR1+, -8, B, A ; 3424
+ ADD *AR1+, -8, B, B ; 3425
+ ADD *AR1+, -8, B ; 3426
+ ADD *AR1+, -7, A, A ; 3427
+ ADD *AR1+, -7, A, B ; 3428
+ ADD *AR1+, -7, A ; 3429
+ ADD *AR1+, -7, B, A ; 3430
+ ADD *AR1+, -7, B, B ; 3431
+ ADD *AR1+, -7, B ; 3432
+ ADD *AR1+, -6, A, A ; 3433
+ ADD *AR1+, -6, A, B ; 3434
+ ADD *AR1+, -6, A ; 3435
+ ADD *AR1+, -6, B, A ; 3436
+ ADD *AR1+, -6, B, B ; 3437
+ ADD *AR1+, -6, B ; 3438
+ ADD *AR1+, -5, A, A ; 3439
+ ADD *AR1+, -5, A, B ; 3440
+ ADD *AR1+, -5, A ; 3441
+ ADD *AR1+, -5, B, A ; 3442
+ ADD *AR1+, -5, B, B ; 3443
+ ADD *AR1+, -5, B ; 3444
+ ADD *AR1+, -4, A, A ; 3445
+ ADD *AR1+, -4, A, B ; 3446
+ ADD *AR1+, -4, A ; 3447
+ ADD *AR1+, -4, B, A ; 3448
+ ADD *AR1+, -4, B, B ; 3449
+ ADD *AR1+, -4, B ; 3450
+ ADD *AR1+, -3, A, A ; 3451
+ ADD *AR1+, -3, A, B ; 3452
+ ADD *AR1+, -3, A ; 3453
+ ADD *AR1+, -3, B, A ; 3454
+ ADD *AR1+, -3, B, B ; 3455
+ ADD *AR1+, -3, B ; 3456
+ ADD *AR1+, -2, A, A ; 3457
+ ADD *AR1+, -2, A, B ; 3458
+ ADD *AR1+, -2, A ; 3459
+ ADD *AR1+, -2, B, A ; 3460
+ ADD *AR1+, -2, B, B ; 3461
+ ADD *AR1+, -2, B ; 3462
+ ADD *AR1+, -1, A, A ; 3463
+ ADD *AR1+, -1, A, B ; 3464
+ ADD *AR1+, -1, A ; 3465
+ ADD *AR1+, -1, B, A ; 3466
+ ADD *AR1+, -1, B, B ; 3467
+ ADD *AR1+, -1, B ; 3468
+ ADD *AR1+, 0, A, A ; 3469
+ ADD *AR1+, 0, A, B ; 3470
+ ADD *AR1+, 0, A ; 3471
+ ADD *AR1+, 0, B, A ; 3472
+ ADD *AR1+, 0, B, B ; 3473
+ ADD *AR1+, 0, B ; 3474
+ ADD *AR1+, 1, A, A ; 3475
+ ADD *AR1+, 1, A, B ; 3476
+ ADD *AR1+, 1, A ; 3477
+ ADD *AR1+, 1, B, A ; 3478
+ ADD *AR1+, 1, B, B ; 3479
+ ADD *AR1+, 1, B ; 3480
+ ADD *AR1+, 2, A, A ; 3481
+ ADD *AR1+, 2, A, B ; 3482
+ ADD *AR1+, 2, A ; 3483
+ ADD *AR1+, 2, B, A ; 3484
+ ADD *AR1+, 2, B, B ; 3485
+ ADD *AR1+, 2, B ; 3486
+ ADD *AR1+, 3, A, A ; 3487
+ ADD *AR1+, 3, A, B ; 3488
+ ADD *AR1+, 3, A ; 3489
+ ADD *AR1+, 3, B, A ; 3490
+ ADD *AR1+, 3, B, B ; 3491
+ ADD *AR1+, 3, B ; 3492
+ ADD *AR1+, 4, A, A ; 3493
+ ADD *AR1+, 4, A, B ; 3494
+ ADD *AR1+, 4, A ; 3495
+ ADD *AR1+, 4, B, A ; 3496
+ ADD *AR1+, 4, B, B ; 3497
+ ADD *AR1+, 4, B ; 3498
+ ADD *AR1+, 5, A, A ; 3499
+ ADD *AR1+, 5, A, B ; 3500
+ ADD *AR1+, 5, A ; 3501
+ ADD *AR1+, 5, B, A ; 3502
+ ADD *AR1+, 5, B, B ; 3503
+ ADD *AR1+, 5, B ; 3504
+ ADD *AR1+, 6, A, A ; 3505
+ ADD *AR1+, 6, A, B ; 3506
+ ADD *AR1+, 6, A ; 3507
+ ADD *AR1+, 6, B, A ; 3508
+ ADD *AR1+, 6, B, B ; 3509
+ ADD *AR1+, 6, B ; 3510
+ ADD *AR1+, 7, A, A ; 3511
+ ADD *AR1+, 7, A, B ; 3512
+ ADD *AR1+, 7, A ; 3513
+ ADD *AR1+, 7, B, A ; 3514
+ ADD *AR1+, 7, B, B ; 3515
+ ADD *AR1+, 7, B ; 3516
+ ADD *AR1+, 8, A, A ; 3517
+ ADD *AR1+, 8, A, B ; 3518
+ ADD *AR1+, 8, A ; 3519
+ ADD *AR1+, 8, B, A ; 3520
+ ADD *AR1+, 8, B, B ; 3521
+ ADD *AR1+, 8, B ; 3522
+ ADD *AR1+, 9, A, A ; 3523
+ ADD *AR1+, 9, A, B ; 3524
+ ADD *AR1+, 9, A ; 3525
+ ADD *AR1+, 9, B, A ; 3526
+ ADD *AR1+, 9, B, B ; 3527
+ ADD *AR1+, 9, B ; 3528
+ ADD *AR1+, 10, A, A ; 3529
+ ADD *AR1+, 10, A, B ; 3530
+ ADD *AR1+, 10, A ; 3531
+ ADD *AR1+, 10, B, A ; 3532
+ ADD *AR1+, 10, B, B ; 3533
+ ADD *AR1+, 10, B ; 3534
+ ADD *AR1+, 11, A, A ; 3535
+ ADD *AR1+, 11, A, B ; 3536
+ ADD *AR1+, 11, A ; 3537
+ ADD *AR1+, 11, B, A ; 3538
+ ADD *AR1+, 11, B, B ; 3539
+ ADD *AR1+, 11, B ; 3540
+ ADD *AR1+, 12, A, A ; 3541
+ ADD *AR1+, 12, A, B ; 3542
+ ADD *AR1+, 12, A ; 3543
+ ADD *AR1+, 12, B, A ; 3544
+ ADD *AR1+, 12, B, B ; 3545
+ ADD *AR1+, 12, B ; 3546
+ ADD *AR1+, 13, A, A ; 3547
+ ADD *AR1+, 13, A, B ; 3548
+ ADD *AR1+, 13, A ; 3549
+ ADD *AR1+, 13, B, A ; 3550
+ ADD *AR1+, 13, B, B ; 3551
+ ADD *AR1+, 13, B ; 3552
+ ADD *AR1+, 14, A, A ; 3553
+ ADD *AR1+, 14, A, B ; 3554
+ ADD *AR1+, 14, A ; 3555
+ ADD *AR1+, 14, B, A ; 3556
+ ADD *AR1+, 14, B, B ; 3557
+ ADD *AR1+, 14, B ; 3558
+ ADD *AR1+, 15, A, A ; 3559
+ ADD *AR1+, 15, A, B ; 3560
+ ADD *AR1+, 15, A ; 3561
+ ADD *AR1+, 15, B, A ; 3562
+ ADD *AR1+, 15, B, B ; 3563
+ ADD *AR1+, 15, B ; 3564
+ ADD *AR1-0B, A, A ; 3565
+ ADD *AR1-0B, A, B ; 3566
+ ADD *AR1-0B, A ; 3567
+ ADD *AR1-0B, B, A ; 3568
+ ADD *AR1-0B, B, B ; 3569
+ ADD *AR1-0B, B ; 3570
+ ADD *AR1-0B, -16, A, A ; 3571
+ ADD *AR1-0B, -16, A, B ; 3572
+ ADD *AR1-0B, -16, A ; 3573
+ ADD *AR1-0B, -16, B, A ; 3574
+ ADD *AR1-0B, -16, B, B ; 3575
+ ADD *AR1-0B, -16, B ; 3576
+ ADD *AR1-0B, -15, A, A ; 3577
+ ADD *AR1-0B, -15, A, B ; 3578
+ ADD *AR1-0B, -15, A ; 3579
+ ADD *AR1-0B, -15, B, A ; 3580
+ ADD *AR1-0B, -15, B, B ; 3581
+ ADD *AR1-0B, -15, B ; 3582
+ ADD *AR1-0B, -14, A, A ; 3583
+ ADD *AR1-0B, -14, A, B ; 3584
+ ADD *AR1-0B, -14, A ; 3585
+ ADD *AR1-0B, -14, B, A ; 3586
+ ADD *AR1-0B, -14, B, B ; 3587
+ ADD *AR1-0B, -14, B ; 3588
+ ADD *AR1-0B, -13, A, A ; 3589
+ ADD *AR1-0B, -13, A, B ; 3590
+ ADD *AR1-0B, -13, A ; 3591
+ ADD *AR1-0B, -13, B, A ; 3592
+ ADD *AR1-0B, -13, B, B ; 3593
+ ADD *AR1-0B, -13, B ; 3594
+ ADD *AR1-0B, -12, A, A ; 3595
+ ADD *AR1-0B, -12, A, B ; 3596
+ ADD *AR1-0B, -12, A ; 3597
+ ADD *AR1-0B, -12, B, A ; 3598
+ ADD *AR1-0B, -12, B, B ; 3599
+ ADD *AR1-0B, -12, B ; 3600
+ ADD *AR1-0B, -11, A, A ; 3601
+ ADD *AR1-0B, -11, A, B ; 3602
+ ADD *AR1-0B, -11, A ; 3603
+ ADD *AR1-0B, -11, B, A ; 3604
+ ADD *AR1-0B, -11, B, B ; 3605
+ ADD *AR1-0B, -11, B ; 3606
+ ADD *AR1-0B, -10, A, A ; 3607
+ ADD *AR1-0B, -10, A, B ; 3608
+ ADD *AR1-0B, -10, A ; 3609
+ ADD *AR1-0B, -10, B, A ; 3610
+ ADD *AR1-0B, -10, B, B ; 3611
+ ADD *AR1-0B, -10, B ; 3612
+ ADD *AR1-0B, -9, A, A ; 3613
+ ADD *AR1-0B, -9, A, B ; 3614
+ ADD *AR1-0B, -9, A ; 3615
+ ADD *AR1-0B, -9, B, A ; 3616
+ ADD *AR1-0B, -9, B, B ; 3617
+ ADD *AR1-0B, -9, B ; 3618
+ ADD *AR1-0B, -8, A, A ; 3619
+ ADD *AR1-0B, -8, A, B ; 3620
+ ADD *AR1-0B, -8, A ; 3621
+ ADD *AR1-0B, -8, B, A ; 3622
+ ADD *AR1-0B, -8, B, B ; 3623
+ ADD *AR1-0B, -8, B ; 3624
+ ADD *AR1-0B, -7, A, A ; 3625
+ ADD *AR1-0B, -7, A, B ; 3626
+ ADD *AR1-0B, -7, A ; 3627
+ ADD *AR1-0B, -7, B, A ; 3628
+ ADD *AR1-0B, -7, B, B ; 3629
+ ADD *AR1-0B, -7, B ; 3630
+ ADD *AR1-0B, -6, A, A ; 3631
+ ADD *AR1-0B, -6, A, B ; 3632
+ ADD *AR1-0B, -6, A ; 3633
+ ADD *AR1-0B, -6, B, A ; 3634
+ ADD *AR1-0B, -6, B, B ; 3635
+ ADD *AR1-0B, -6, B ; 3636
+ ADD *AR1-0B, -5, A, A ; 3637
+ ADD *AR1-0B, -5, A, B ; 3638
+ ADD *AR1-0B, -5, A ; 3639
+ ADD *AR1-0B, -5, B, A ; 3640
+ ADD *AR1-0B, -5, B, B ; 3641
+ ADD *AR1-0B, -5, B ; 3642
+ ADD *AR1-0B, -4, A, A ; 3643
+ ADD *AR1-0B, -4, A, B ; 3644
+ ADD *AR1-0B, -4, A ; 3645
+ ADD *AR1-0B, -4, B, A ; 3646
+ ADD *AR1-0B, -4, B, B ; 3647
+ ADD *AR1-0B, -4, B ; 3648
+ ADD *AR1-0B, -3, A, A ; 3649
+ ADD *AR1-0B, -3, A, B ; 3650
+ ADD *AR1-0B, -3, A ; 3651
+ ADD *AR1-0B, -3, B, A ; 3652
+ ADD *AR1-0B, -3, B, B ; 3653
+ ADD *AR1-0B, -3, B ; 3654
+ ADD *AR1-0B, -2, A, A ; 3655
+ ADD *AR1-0B, -2, A, B ; 3656
+ ADD *AR1-0B, -2, A ; 3657
+ ADD *AR1-0B, -2, B, A ; 3658
+ ADD *AR1-0B, -2, B, B ; 3659
+ ADD *AR1-0B, -2, B ; 3660
+ ADD *AR1-0B, -1, A, A ; 3661
+ ADD *AR1-0B, -1, A, B ; 3662
+ ADD *AR1-0B, -1, A ; 3663
+ ADD *AR1-0B, -1, B, A ; 3664
+ ADD *AR1-0B, -1, B, B ; 3665
+ ADD *AR1-0B, -1, B ; 3666
+ ADD *AR1-0B, 0, A, A ; 3667
+ ADD *AR1-0B, 0, A, B ; 3668
+ ADD *AR1-0B, 0, A ; 3669
+ ADD *AR1-0B, 0, B, A ; 3670
+ ADD *AR1-0B, 0, B, B ; 3671
+ ADD *AR1-0B, 0, B ; 3672
+ ADD *AR1-0B, 1, A, A ; 3673
+ ADD *AR1-0B, 1, A, B ; 3674
+ ADD *AR1-0B, 1, A ; 3675
+ ADD *AR1-0B, 1, B, A ; 3676
+ ADD *AR1-0B, 1, B, B ; 3677
+ ADD *AR1-0B, 1, B ; 3678
+ ADD *AR1-0B, 2, A, A ; 3679
+ ADD *AR1-0B, 2, A, B ; 3680
+ ADD *AR1-0B, 2, A ; 3681
+ ADD *AR1-0B, 2, B, A ; 3682
+ ADD *AR1-0B, 2, B, B ; 3683
+ ADD *AR1-0B, 2, B ; 3684
+ ADD *AR1-0B, 3, A, A ; 3685
+ ADD *AR1-0B, 3, A, B ; 3686
+ ADD *AR1-0B, 3, A ; 3687
+ ADD *AR1-0B, 3, B, A ; 3688
+ ADD *AR1-0B, 3, B, B ; 3689
+ ADD *AR1-0B, 3, B ; 3690
+ ADD *AR1-0B, 4, A, A ; 3691
+ ADD *AR1-0B, 4, A, B ; 3692
+ ADD *AR1-0B, 4, A ; 3693
+ ADD *AR1-0B, 4, B, A ; 3694
+ ADD *AR1-0B, 4, B, B ; 3695
+ ADD *AR1-0B, 4, B ; 3696
+ ADD *AR1-0B, 5, A, A ; 3697
+ ADD *AR1-0B, 5, A, B ; 3698
+ ADD *AR1-0B, 5, A ; 3699
+ ADD *AR1-0B, 5, B, A ; 3700
+ ADD *AR1-0B, 5, B, B ; 3701
+ ADD *AR1-0B, 5, B ; 3702
+ ADD *AR1-0B, 6, A, A ; 3703
+ ADD *AR1-0B, 6, A, B ; 3704
+ ADD *AR1-0B, 6, A ; 3705
+ ADD *AR1-0B, 6, B, A ; 3706
+ ADD *AR1-0B, 6, B, B ; 3707
+ ADD *AR1-0B, 6, B ; 3708
+ ADD *AR1-0B, 7, A, A ; 3709
+ ADD *AR1-0B, 7, A, B ; 3710
+ ADD *AR1-0B, 7, A ; 3711
+ ADD *AR1-0B, 7, B, A ; 3712
+ ADD *AR1-0B, 7, B, B ; 3713
+ ADD *AR1-0B, 7, B ; 3714
+ ADD *AR1-0B, 8, A, A ; 3715
+ ADD *AR1-0B, 8, A, B ; 3716
+ ADD *AR1-0B, 8, A ; 3717
+ ADD *AR1-0B, 8, B, A ; 3718
+ ADD *AR1-0B, 8, B, B ; 3719
+ ADD *AR1-0B, 8, B ; 3720
+ ADD *AR1-0B, 9, A, A ; 3721
+ ADD *AR1-0B, 9, A, B ; 3722
+ ADD *AR1-0B, 9, A ; 3723
+ ADD *AR1-0B, 9, B, A ; 3724
+ ADD *AR1-0B, 9, B, B ; 3725
+ ADD *AR1-0B, 9, B ; 3726
+ ADD *AR1-0B, 10, A, A ; 3727
+ ADD *AR1-0B, 10, A, B ; 3728
+ ADD *AR1-0B, 10, A ; 3729
+ ADD *AR1-0B, 10, B, A ; 3730
+ ADD *AR1-0B, 10, B, B ; 3731
+ ADD *AR1-0B, 10, B ; 3732
+ ADD *AR1-0B, 11, A, A ; 3733
+ ADD *AR1-0B, 11, A, B ; 3734
+ ADD *AR1-0B, 11, A ; 3735
+ ADD *AR1-0B, 11, B, A ; 3736
+ ADD *AR1-0B, 11, B, B ; 3737
+ ADD *AR1-0B, 11, B ; 3738
+ ADD *AR1-0B, 12, A, A ; 3739
+ ADD *AR1-0B, 12, A, B ; 3740
+ ADD *AR1-0B, 12, A ; 3741
+ ADD *AR1-0B, 12, B, A ; 3742
+ ADD *AR1-0B, 12, B, B ; 3743
+ ADD *AR1-0B, 12, B ; 3744
+ ADD *AR1-0B, 13, A, A ; 3745
+ ADD *AR1-0B, 13, A, B ; 3746
+ ADD *AR1-0B, 13, A ; 3747
+ ADD *AR1-0B, 13, B, A ; 3748
+ ADD *AR1-0B, 13, B, B ; 3749
+ ADD *AR1-0B, 13, B ; 3750
+ ADD *AR1-0B, 14, A, A ; 3751
+ ADD *AR1-0B, 14, A, B ; 3752
+ ADD *AR1-0B, 14, A ; 3753
+ ADD *AR1-0B, 14, B, A ; 3754
+ ADD *AR1-0B, 14, B, B ; 3755
+ ADD *AR1-0B, 14, B ; 3756
+ ADD *AR1-0B, 15, A, A ; 3757
+ ADD *AR1-0B, 15, A, B ; 3758
+ ADD *AR1-0B, 15, A ; 3759
+ ADD *AR1-0B, 15, B, A ; 3760
+ ADD *AR1-0B, 15, B, B ; 3761
+ ADD *AR1-0B, 15, B ; 3762
+ ADD *AR1-0, A, A ; 3763
+ ADD *AR1-0, A, B ; 3764
+ ADD *AR1-0, A ; 3765
+ ADD *AR1-0, B, A ; 3766
+ ADD *AR1-0, B, B ; 3767
+ ADD *AR1-0, B ; 3768
+ ADD *AR1-0, -16, A, A ; 3769
+ ADD *AR1-0, -16, A, B ; 3770
+ ADD *AR1-0, -16, A ; 3771
+ ADD *AR1-0, -16, B, A ; 3772
+ ADD *AR1-0, -16, B, B ; 3773
+ ADD *AR1-0, -16, B ; 3774
+ ADD *AR1-0, -15, A, A ; 3775
+ ADD *AR1-0, -15, A, B ; 3776
+ ADD *AR1-0, -15, A ; 3777
+ ADD *AR1-0, -15, B, A ; 3778
+ ADD *AR1-0, -15, B, B ; 3779
+ ADD *AR1-0, -15, B ; 3780
+ ADD *AR1-0, -14, A, A ; 3781
+ ADD *AR1-0, -14, A, B ; 3782
+ ADD *AR1-0, -14, A ; 3783
+ ADD *AR1-0, -14, B, A ; 3784
+ ADD *AR1-0, -14, B, B ; 3785
+ ADD *AR1-0, -14, B ; 3786
+ ADD *AR1-0, -13, A, A ; 3787
+ ADD *AR1-0, -13, A, B ; 3788
+ ADD *AR1-0, -13, A ; 3789
+ ADD *AR1-0, -13, B, A ; 3790
+ ADD *AR1-0, -13, B, B ; 3791
+ ADD *AR1-0, -13, B ; 3792
+ ADD *AR1-0, -12, A, A ; 3793
+ ADD *AR1-0, -12, A, B ; 3794
+ ADD *AR1-0, -12, A ; 3795
+ ADD *AR1-0, -12, B, A ; 3796
+ ADD *AR1-0, -12, B, B ; 3797
+ ADD *AR1-0, -12, B ; 3798
+ ADD *AR1-0, -11, A, A ; 3799
+ ADD *AR1-0, -11, A, B ; 3800
+ ADD *AR1-0, -11, A ; 3801
+ ADD *AR1-0, -11, B, A ; 3802
+ ADD *AR1-0, -11, B, B ; 3803
+ ADD *AR1-0, -11, B ; 3804
+ ADD *AR1-0, -10, A, A ; 3805
+ ADD *AR1-0, -10, A, B ; 3806
+ ADD *AR1-0, -10, A ; 3807
+ ADD *AR1-0, -10, B, A ; 3808
+ ADD *AR1-0, -10, B, B ; 3809
+ ADD *AR1-0, -10, B ; 3810
+ ADD *AR1-0, -9, A, A ; 3811
+ ADD *AR1-0, -9, A, B ; 3812
+ ADD *AR1-0, -9, A ; 3813
+ ADD *AR1-0, -9, B, A ; 3814
+ ADD *AR1-0, -9, B, B ; 3815
+ ADD *AR1-0, -9, B ; 3816
+ ADD *AR1-0, -8, A, A ; 3817
+ ADD *AR1-0, -8, A, B ; 3818
+ ADD *AR1-0, -8, A ; 3819
+ ADD *AR1-0, -8, B, A ; 3820
+ ADD *AR1-0, -8, B, B ; 3821
+ ADD *AR1-0, -8, B ; 3822
+ ADD *AR1-0, -7, A, A ; 3823
+ ADD *AR1-0, -7, A, B ; 3824
+ ADD *AR1-0, -7, A ; 3825
+ ADD *AR1-0, -7, B, A ; 3826
+ ADD *AR1-0, -7, B, B ; 3827
+ ADD *AR1-0, -7, B ; 3828
+ ADD *AR1-0, -6, A, A ; 3829
+ ADD *AR1-0, -6, A, B ; 3830
+ ADD *AR1-0, -6, A ; 3831
+ ADD *AR1-0, -6, B, A ; 3832
+ ADD *AR1-0, -6, B, B ; 3833
+ ADD *AR1-0, -6, B ; 3834
+ ADD *AR1-0, -5, A, A ; 3835
+ ADD *AR1-0, -5, A, B ; 3836
+ ADD *AR1-0, -5, A ; 3837
+ ADD *AR1-0, -5, B, A ; 3838
+ ADD *AR1-0, -5, B, B ; 3839
+ ADD *AR1-0, -5, B ; 3840
+ ADD *AR1-0, -4, A, A ; 3841
+ ADD *AR1-0, -4, A, B ; 3842
+ ADD *AR1-0, -4, A ; 3843
+ ADD *AR1-0, -4, B, A ; 3844
+ ADD *AR1-0, -4, B, B ; 3845
+ ADD *AR1-0, -4, B ; 3846
+ ADD *AR1-0, -3, A, A ; 3847
+ ADD *AR1-0, -3, A, B ; 3848
+ ADD *AR1-0, -3, A ; 3849
+ ADD *AR1-0, -3, B, A ; 3850
+ ADD *AR1-0, -3, B, B ; 3851
+ ADD *AR1-0, -3, B ; 3852
+ ADD *AR1-0, -2, A, A ; 3853
+ ADD *AR1-0, -2, A, B ; 3854
+ ADD *AR1-0, -2, A ; 3855
+ ADD *AR1-0, -2, B, A ; 3856
+ ADD *AR1-0, -2, B, B ; 3857
+ ADD *AR1-0, -2, B ; 3858
+ ADD *AR1-0, -1, A, A ; 3859
+ ADD *AR1-0, -1, A, B ; 3860
+ ADD *AR1-0, -1, A ; 3861
+ ADD *AR1-0, -1, B, A ; 3862
+ ADD *AR1-0, -1, B, B ; 3863
+ ADD *AR1-0, -1, B ; 3864
+ ADD *AR1-0, 0, A, A ; 3865
+ ADD *AR1-0, 0, A, B ; 3866
+ ADD *AR1-0, 0, A ; 3867
+ ADD *AR1-0, 0, B, A ; 3868
+ ADD *AR1-0, 0, B, B ; 3869
+ ADD *AR1-0, 0, B ; 3870
+ ADD *AR1-0, 1, A, A ; 3871
+ ADD *AR1-0, 1, A, B ; 3872
+ ADD *AR1-0, 1, A ; 3873
+ ADD *AR1-0, 1, B, A ; 3874
+ ADD *AR1-0, 1, B, B ; 3875
+ ADD *AR1-0, 1, B ; 3876
+ ADD *AR1-0, 2, A, A ; 3877
+ ADD *AR1-0, 2, A, B ; 3878
+ ADD *AR1-0, 2, A ; 3879
+ ADD *AR1-0, 2, B, A ; 3880
+ ADD *AR1-0, 2, B, B ; 3881
+ ADD *AR1-0, 2, B ; 3882
+ ADD *AR1-0, 3, A, A ; 3883
+ ADD *AR1-0, 3, A, B ; 3884
+ ADD *AR1-0, 3, A ; 3885
+ ADD *AR1-0, 3, B, A ; 3886
+ ADD *AR1-0, 3, B, B ; 3887
+ ADD *AR1-0, 3, B ; 3888
+ ADD *AR1-0, 4, A, A ; 3889
+ ADD *AR1-0, 4, A, B ; 3890
+ ADD *AR1-0, 4, A ; 3891
+ ADD *AR1-0, 4, B, A ; 3892
+ ADD *AR1-0, 4, B, B ; 3893
+ ADD *AR1-0, 4, B ; 3894
+ ADD *AR1-0, 5, A, A ; 3895
+ ADD *AR1-0, 5, A, B ; 3896
+ ADD *AR1-0, 5, A ; 3897
+ ADD *AR1-0, 5, B, A ; 3898
+ ADD *AR1-0, 5, B, B ; 3899
+ ADD *AR1-0, 5, B ; 3900
+ ADD *AR1-0, 6, A, A ; 3901
+ ADD *AR1-0, 6, A, B ; 3902
+ ADD *AR1-0, 6, A ; 3903
+ ADD *AR1-0, 6, B, A ; 3904
+ ADD *AR1-0, 6, B, B ; 3905
+ ADD *AR1-0, 6, B ; 3906
+ ADD *AR1-0, 7, A, A ; 3907
+ ADD *AR1-0, 7, A, B ; 3908
+ ADD *AR1-0, 7, A ; 3909
+ ADD *AR1-0, 7, B, A ; 3910
+ ADD *AR1-0, 7, B, B ; 3911
+ ADD *AR1-0, 7, B ; 3912
+ ADD *AR1-0, 8, A, A ; 3913
+ ADD *AR1-0, 8, A, B ; 3914
+ ADD *AR1-0, 8, A ; 3915
+ ADD *AR1-0, 8, B, A ; 3916
+ ADD *AR1-0, 8, B, B ; 3917
+ ADD *AR1-0, 8, B ; 3918
+ ADD *AR1-0, 9, A, A ; 3919
+ ADD *AR1-0, 9, A, B ; 3920
+ ADD *AR1-0, 9, A ; 3921
+ ADD *AR1-0, 9, B, A ; 3922
+ ADD *AR1-0, 9, B, B ; 3923
+ ADD *AR1-0, 9, B ; 3924
+ ADD *AR1-0, 10, A, A ; 3925
+ ADD *AR1-0, 10, A, B ; 3926
+ ADD *AR1-0, 10, A ; 3927
+ ADD *AR1-0, 10, B, A ; 3928
+ ADD *AR1-0, 10, B, B ; 3929
+ ADD *AR1-0, 10, B ; 3930
+ ADD *AR1-0, 11, A, A ; 3931
+ ADD *AR1-0, 11, A, B ; 3932
+ ADD *AR1-0, 11, A ; 3933
+ ADD *AR1-0, 11, B, A ; 3934
+ ADD *AR1-0, 11, B, B ; 3935
+ ADD *AR1-0, 11, B ; 3936
+ ADD *AR1-0, 12, A, A ; 3937
+ ADD *AR1-0, 12, A, B ; 3938
+ ADD *AR1-0, 12, A ; 3939
+ ADD *AR1-0, 12, B, A ; 3940
+ ADD *AR1-0, 12, B, B ; 3941
+ ADD *AR1-0, 12, B ; 3942
+ ADD *AR1-0, 13, A, A ; 3943
+ ADD *AR1-0, 13, A, B ; 3944
+ ADD *AR1-0, 13, A ; 3945
+ ADD *AR1-0, 13, B, A ; 3946
+ ADD *AR1-0, 13, B, B ; 3947
+ ADD *AR1-0, 13, B ; 3948
+ ADD *AR1-0, 14, A, A ; 3949
+ ADD *AR1-0, 14, A, B ; 3950
+ ADD *AR1-0, 14, A ; 3951
+ ADD *AR1-0, 14, B, A ; 3952
+ ADD *AR1-0, 14, B, B ; 3953
+ ADD *AR1-0, 14, B ; 3954
+ ADD *AR1-0, 15, A, A ; 3955
+ ADD *AR1-0, 15, A, B ; 3956
+ ADD *AR1-0, 15, A ; 3957
+ ADD *AR1-0, 15, B, A ; 3958
+ ADD *AR1-0, 15, B, B ; 3959
+ ADD *AR1-0, 15, B ; 3960
+ ADD *AR1+0, A, A ; 3961
+ ADD *AR1+0, A, B ; 3962
+ ADD *AR1+0, A ; 3963
+ ADD *AR1+0, B, A ; 3964
+ ADD *AR1+0, B, B ; 3965
+ ADD *AR1+0, B ; 3966
+ ADD *AR1+0, -16, A, A ; 3967
+ ADD *AR1+0, -16, A, B ; 3968
+ ADD *AR1+0, -16, A ; 3969
+ ADD *AR1+0, -16, B, A ; 3970
+ ADD *AR1+0, -16, B, B ; 3971
+ ADD *AR1+0, -16, B ; 3972
+ ADD *AR1+0, -15, A, A ; 3973
+ ADD *AR1+0, -15, A, B ; 3974
+ ADD *AR1+0, -15, A ; 3975
+ ADD *AR1+0, -15, B, A ; 3976
+ ADD *AR1+0, -15, B, B ; 3977
+ ADD *AR1+0, -15, B ; 3978
+ ADD *AR1+0, -14, A, A ; 3979
+ ADD *AR1+0, -14, A, B ; 3980
+ ADD *AR1+0, -14, A ; 3981
+ ADD *AR1+0, -14, B, A ; 3982
+ ADD *AR1+0, -14, B, B ; 3983
+ ADD *AR1+0, -14, B ; 3984
+ ADD *AR1+0, -13, A, A ; 3985
+ ADD *AR1+0, -13, A, B ; 3986
+ ADD *AR1+0, -13, A ; 3987
+ ADD *AR1+0, -13, B, A ; 3988
+ ADD *AR1+0, -13, B, B ; 3989
+ ADD *AR1+0, -13, B ; 3990
+ ADD *AR1+0, -12, A, A ; 3991
+ ADD *AR1+0, -12, A, B ; 3992
+ ADD *AR1+0, -12, A ; 3993
+ ADD *AR1+0, -12, B, A ; 3994
+ ADD *AR1+0, -12, B, B ; 3995
+ ADD *AR1+0, -12, B ; 3996
+ ADD *AR1+0, -11, A, A ; 3997
+ ADD *AR1+0, -11, A, B ; 3998
+ ADD *AR1+0, -11, A ; 3999
+ ADD *AR1+0, -11, B, A ; 4000
+ ADD *AR1+0, -11, B, B ; 4001
+ ADD *AR1+0, -11, B ; 4002
+ ADD *AR1+0, -10, A, A ; 4003
+ ADD *AR1+0, -10, A, B ; 4004
+ ADD *AR1+0, -10, A ; 4005
+ ADD *AR1+0, -10, B, A ; 4006
+ ADD *AR1+0, -10, B, B ; 4007
+ ADD *AR1+0, -10, B ; 4008
+ ADD *AR1+0, -9, A, A ; 4009
+ ADD *AR1+0, -9, A, B ; 4010
+ ADD *AR1+0, -9, A ; 4011
+ ADD *AR1+0, -9, B, A ; 4012
+ ADD *AR1+0, -9, B, B ; 4013
+ ADD *AR1+0, -9, B ; 4014
+ ADD *AR1+0, -8, A, A ; 4015
+ ADD *AR1+0, -8, A, B ; 4016
+ ADD *AR1+0, -8, A ; 4017
+ ADD *AR1+0, -8, B, A ; 4018
+ ADD *AR1+0, -8, B, B ; 4019
+ ADD *AR1+0, -8, B ; 4020
+ ADD *AR1+0, -7, A, A ; 4021
+ ADD *AR1+0, -7, A, B ; 4022
+ ADD *AR1+0, -7, A ; 4023
+ ADD *AR1+0, -7, B, A ; 4024
+ ADD *AR1+0, -7, B, B ; 4025
+ ADD *AR1+0, -7, B ; 4026
+ ADD *AR1+0, -6, A, A ; 4027
+ ADD *AR1+0, -6, A, B ; 4028
+ ADD *AR1+0, -6, A ; 4029
+ ADD *AR1+0, -6, B, A ; 4030
+ ADD *AR1+0, -6, B, B ; 4031
+ ADD *AR1+0, -6, B ; 4032
+ ADD *AR1+0, -5, A, A ; 4033
+ ADD *AR1+0, -5, A, B ; 4034
+ ADD *AR1+0, -5, A ; 4035
+ ADD *AR1+0, -5, B, A ; 4036
+ ADD *AR1+0, -5, B, B ; 4037
+ ADD *AR1+0, -5, B ; 4038
+ ADD *AR1+0, -4, A, A ; 4039
+ ADD *AR1+0, -4, A, B ; 4040
+ ADD *AR1+0, -4, A ; 4041
+ ADD *AR1+0, -4, B, A ; 4042
+ ADD *AR1+0, -4, B, B ; 4043
+ ADD *AR1+0, -4, B ; 4044
+ ADD *AR1+0, -3, A, A ; 4045
+ ADD *AR1+0, -3, A, B ; 4046
+ ADD *AR1+0, -3, A ; 4047
+ ADD *AR1+0, -3, B, A ; 4048
+ ADD *AR1+0, -3, B, B ; 4049
+ ADD *AR1+0, -3, B ; 4050
+ ADD *AR1+0, -2, A, A ; 4051
+ ADD *AR1+0, -2, A, B ; 4052
+ ADD *AR1+0, -2, A ; 4053
+ ADD *AR1+0, -2, B, A ; 4054
+ ADD *AR1+0, -2, B, B ; 4055
+ ADD *AR1+0, -2, B ; 4056
+ ADD *AR1+0, -1, A, A ; 4057
+ ADD *AR1+0, -1, A, B ; 4058
+ ADD *AR1+0, -1, A ; 4059
+ ADD *AR1+0, -1, B, A ; 4060
+ ADD *AR1+0, -1, B, B ; 4061
+ ADD *AR1+0, -1, B ; 4062
+ ADD *AR1+0, 0, A, A ; 4063
+ ADD *AR1+0, 0, A, B ; 4064
+ ADD *AR1+0, 0, A ; 4065
+ ADD *AR1+0, 0, B, A ; 4066
+ ADD *AR1+0, 0, B, B ; 4067
+ ADD *AR1+0, 0, B ; 4068
+ ADD *AR1+0, 1, A, A ; 4069
+ ADD *AR1+0, 1, A, B ; 4070
+ ADD *AR1+0, 1, A ; 4071
+ ADD *AR1+0, 1, B, A ; 4072
+ ADD *AR1+0, 1, B, B ; 4073
+ ADD *AR1+0, 1, B ; 4074
+ ADD *AR1+0, 2, A, A ; 4075
+ ADD *AR1+0, 2, A, B ; 4076
+ ADD *AR1+0, 2, A ; 4077
+ ADD *AR1+0, 2, B, A ; 4078
+ ADD *AR1+0, 2, B, B ; 4079
+ ADD *AR1+0, 2, B ; 4080
+ ADD *AR1+0, 3, A, A ; 4081
+ ADD *AR1+0, 3, A, B ; 4082
+ ADD *AR1+0, 3, A ; 4083
+ ADD *AR1+0, 3, B, A ; 4084
+ ADD *AR1+0, 3, B, B ; 4085
+ ADD *AR1+0, 3, B ; 4086
+ ADD *AR1+0, 4, A, A ; 4087
+ ADD *AR1+0, 4, A, B ; 4088
+ ADD *AR1+0, 4, A ; 4089
+ ADD *AR1+0, 4, B, A ; 4090
+ ADD *AR1+0, 4, B, B ; 4091
+ ADD *AR1+0, 4, B ; 4092
+ ADD *AR1+0, 5, A, A ; 4093
+ ADD *AR1+0, 5, A, B ; 4094
+ ADD *AR1+0, 5, A ; 4095
+ ADD *AR1+0, 5, B, A ; 4096
+ ADD *AR1+0, 5, B, B ; 4097
+ ADD *AR1+0, 5, B ; 4098
+ ADD *AR1+0, 6, A, A ; 4099
+ ADD *AR1+0, 6, A, B ; 4100
+ ADD *AR1+0, 6, A ; 4101
+ ADD *AR1+0, 6, B, A ; 4102
+ ADD *AR1+0, 6, B, B ; 4103
+ ADD *AR1+0, 6, B ; 4104
+ ADD *AR1+0, 7, A, A ; 4105
+ ADD *AR1+0, 7, A, B ; 4106
+ ADD *AR1+0, 7, A ; 4107
+ ADD *AR1+0, 7, B, A ; 4108
+ ADD *AR1+0, 7, B, B ; 4109
+ ADD *AR1+0, 7, B ; 4110
+ ADD *AR1+0, 8, A, A ; 4111
+ ADD *AR1+0, 8, A, B ; 4112
+ ADD *AR1+0, 8, A ; 4113
+ ADD *AR1+0, 8, B, A ; 4114
+ ADD *AR1+0, 8, B, B ; 4115
+ ADD *AR1+0, 8, B ; 4116
+ ADD *AR1+0, 9, A, A ; 4117
+ ADD *AR1+0, 9, A, B ; 4118
+ ADD *AR1+0, 9, A ; 4119
+ ADD *AR1+0, 9, B, A ; 4120
+ ADD *AR1+0, 9, B, B ; 4121
+ ADD *AR1+0, 9, B ; 4122
+ ADD *AR1+0, 10, A, A ; 4123
+ ADD *AR1+0, 10, A, B ; 4124
+ ADD *AR1+0, 10, A ; 4125
+ ADD *AR1+0, 10, B, A ; 4126
+ ADD *AR1+0, 10, B, B ; 4127
+ ADD *AR1+0, 10, B ; 4128
+ ADD *AR1+0, 11, A, A ; 4129
+ ADD *AR1+0, 11, A, B ; 4130
+ ADD *AR1+0, 11, A ; 4131
+ ADD *AR1+0, 11, B, A ; 4132
+ ADD *AR1+0, 11, B, B ; 4133
+ ADD *AR1+0, 11, B ; 4134
+ ADD *AR1+0, 12, A, A ; 4135
+ ADD *AR1+0, 12, A, B ; 4136
+ ADD *AR1+0, 12, A ; 4137
+ ADD *AR1+0, 12, B, A ; 4138
+ ADD *AR1+0, 12, B, B ; 4139
+ ADD *AR1+0, 12, B ; 4140
+ ADD *AR1+0, 13, A, A ; 4141
+ ADD *AR1+0, 13, A, B ; 4142
+ ADD *AR1+0, 13, A ; 4143
+ ADD *AR1+0, 13, B, A ; 4144
+ ADD *AR1+0, 13, B, B ; 4145
+ ADD *AR1+0, 13, B ; 4146
+ ADD *AR1+0, 14, A, A ; 4147
+ ADD *AR1+0, 14, A, B ; 4148
+ ADD *AR1+0, 14, A ; 4149
+ ADD *AR1+0, 14, B, A ; 4150
+ ADD *AR1+0, 14, B, B ; 4151
+ ADD *AR1+0, 14, B ; 4152
+ ADD *AR1+0, 15, A, A ; 4153
+ ADD *AR1+0, 15, A, B ; 4154
+ ADD *AR1+0, 15, A ; 4155
+ ADD *AR1+0, 15, B, A ; 4156
+ ADD *AR1+0, 15, B, B ; 4157
+ ADD *AR1+0, 15, B ; 4158
+ ADD *AR1+0B, A, A ; 4159
+ ADD *AR1+0B, A, B ; 4160
+ ADD *AR1+0B, A ; 4161
+ ADD *AR1+0B, B, A ; 4162
+ ADD *AR1+0B, B, B ; 4163
+ ADD *AR1+0B, B ; 4164
+ ADD *AR1+0B, -16, A, A ; 4165
+ ADD *AR1+0B, -16, A, B ; 4166
+ ADD *AR1+0B, -16, A ; 4167
+ ADD *AR1+0B, -16, B, A ; 4168
+ ADD *AR1+0B, -16, B, B ; 4169
+ ADD *AR1+0B, -16, B ; 4170
+ ADD *AR1+0B, -15, A, A ; 4171
+ ADD *AR1+0B, -15, A, B ; 4172
+ ADD *AR1+0B, -15, A ; 4173
+ ADD *AR1+0B, -15, B, A ; 4174
+ ADD *AR1+0B, -15, B, B ; 4175
+ ADD *AR1+0B, -15, B ; 4176
+ ADD *AR1+0B, -14, A, A ; 4177
+ ADD *AR1+0B, -14, A, B ; 4178
+ ADD *AR1+0B, -14, A ; 4179
+ ADD *AR1+0B, -14, B, A ; 4180
+ ADD *AR1+0B, -14, B, B ; 4181
+ ADD *AR1+0B, -14, B ; 4182
+ ADD *AR1+0B, -13, A, A ; 4183
+ ADD *AR1+0B, -13, A, B ; 4184
+ ADD *AR1+0B, -13, A ; 4185
+ ADD *AR1+0B, -13, B, A ; 4186
+ ADD *AR1+0B, -13, B, B ; 4187
+ ADD *AR1+0B, -13, B ; 4188
+ ADD *AR1+0B, -12, A, A ; 4189
+ ADD *AR1+0B, -12, A, B ; 4190
+ ADD *AR1+0B, -12, A ; 4191
+ ADD *AR1+0B, -12, B, A ; 4192
+ ADD *AR1+0B, -12, B, B ; 4193
+ ADD *AR1+0B, -12, B ; 4194
+ ADD *AR1+0B, -11, A, A ; 4195
+ ADD *AR1+0B, -11, A, B ; 4196
+ ADD *AR1+0B, -11, A ; 4197
+ ADD *AR1+0B, -11, B, A ; 4198
+ ADD *AR1+0B, -11, B, B ; 4199
+ ADD *AR1+0B, -11, B ; 4200
+ ADD *AR1+0B, -10, A, A ; 4201
+ ADD *AR1+0B, -10, A, B ; 4202
+ ADD *AR1+0B, -10, A ; 4203
+ ADD *AR1+0B, -10, B, A ; 4204
+ ADD *AR1+0B, -10, B, B ; 4205
+ ADD *AR1+0B, -10, B ; 4206
+ ADD *AR1+0B, -9, A, A ; 4207
+ ADD *AR1+0B, -9, A, B ; 4208
+ ADD *AR1+0B, -9, A ; 4209
+ ADD *AR1+0B, -9, B, A ; 4210
+ ADD *AR1+0B, -9, B, B ; 4211
+ ADD *AR1+0B, -9, B ; 4212
+ ADD *AR1+0B, -8, A, A ; 4213
+ ADD *AR1+0B, -8, A, B ; 4214
+ ADD *AR1+0B, -8, A ; 4215
+ ADD *AR1+0B, -8, B, A ; 4216
+ ADD *AR1+0B, -8, B, B ; 4217
+ ADD *AR1+0B, -8, B ; 4218
+ ADD *AR1+0B, -7, A, A ; 4219
+ ADD *AR1+0B, -7, A, B ; 4220
+ ADD *AR1+0B, -7, A ; 4221
+ ADD *AR1+0B, -7, B, A ; 4222
+ ADD *AR1+0B, -7, B, B ; 4223
+ ADD *AR1+0B, -7, B ; 4224
+ ADD *AR1+0B, -6, A, A ; 4225
+ ADD *AR1+0B, -6, A, B ; 4226
+ ADD *AR1+0B, -6, A ; 4227
+ ADD *AR1+0B, -6, B, A ; 4228
+ ADD *AR1+0B, -6, B, B ; 4229
+ ADD *AR1+0B, -6, B ; 4230
+ ADD *AR1+0B, -5, A, A ; 4231
+ ADD *AR1+0B, -5, A, B ; 4232
+ ADD *AR1+0B, -5, A ; 4233
+ ADD *AR1+0B, -5, B, A ; 4234
+ ADD *AR1+0B, -5, B, B ; 4235
+ ADD *AR1+0B, -5, B ; 4236
+ ADD *AR1+0B, -4, A, A ; 4237
+ ADD *AR1+0B, -4, A, B ; 4238
+ ADD *AR1+0B, -4, A ; 4239
+ ADD *AR1+0B, -4, B, A ; 4240
+ ADD *AR1+0B, -4, B, B ; 4241
+ ADD *AR1+0B, -4, B ; 4242
+ ADD *AR1+0B, -3, A, A ; 4243
+ ADD *AR1+0B, -3, A, B ; 4244
+ ADD *AR1+0B, -3, A ; 4245
+ ADD *AR1+0B, -3, B, A ; 4246
+ ADD *AR1+0B, -3, B, B ; 4247
+ ADD *AR1+0B, -3, B ; 4248
+ ADD *AR1+0B, -2, A, A ; 4249
+ ADD *AR1+0B, -2, A, B ; 4250
+ ADD *AR1+0B, -2, A ; 4251
+ ADD *AR1+0B, -2, B, A ; 4252
+ ADD *AR1+0B, -2, B, B ; 4253
+ ADD *AR1+0B, -2, B ; 4254
+ ADD *AR1+0B, -1, A, A ; 4255
+ ADD *AR1+0B, -1, A, B ; 4256
+ ADD *AR1+0B, -1, A ; 4257
+ ADD *AR1+0B, -1, B, A ; 4258
+ ADD *AR1+0B, -1, B, B ; 4259
+ ADD *AR1+0B, -1, B ; 4260
+ ADD *AR1+0B, 0, A, A ; 4261
+ ADD *AR1+0B, 0, A, B ; 4262
+ ADD *AR1+0B, 0, A ; 4263
+ ADD *AR1+0B, 0, B, A ; 4264
+ ADD *AR1+0B, 0, B, B ; 4265
+ ADD *AR1+0B, 0, B ; 4266
+ ADD *AR1+0B, 1, A, A ; 4267
+ ADD *AR1+0B, 1, A, B ; 4268
+ ADD *AR1+0B, 1, A ; 4269
+ ADD *AR1+0B, 1, B, A ; 4270
+ ADD *AR1+0B, 1, B, B ; 4271
+ ADD *AR1+0B, 1, B ; 4272
+ ADD *AR1+0B, 2, A, A ; 4273
+ ADD *AR1+0B, 2, A, B ; 4274
+ ADD *AR1+0B, 2, A ; 4275
+ ADD *AR1+0B, 2, B, A ; 4276
+ ADD *AR1+0B, 2, B, B ; 4277
+ ADD *AR1+0B, 2, B ; 4278
+ ADD *AR1+0B, 3, A, A ; 4279
+ ADD *AR1+0B, 3, A, B ; 4280
+ ADD *AR1+0B, 3, A ; 4281
+ ADD *AR1+0B, 3, B, A ; 4282
+ ADD *AR1+0B, 3, B, B ; 4283
+ ADD *AR1+0B, 3, B ; 4284
+ ADD *AR1+0B, 4, A, A ; 4285
+ ADD *AR1+0B, 4, A, B ; 4286
+ ADD *AR1+0B, 4, A ; 4287
+ ADD *AR1+0B, 4, B, A ; 4288
+ ADD *AR1+0B, 4, B, B ; 4289
+ ADD *AR1+0B, 4, B ; 4290
+ ADD *AR1+0B, 5, A, A ; 4291
+ ADD *AR1+0B, 5, A, B ; 4292
+ ADD *AR1+0B, 5, A ; 4293
+ ADD *AR1+0B, 5, B, A ; 4294
+ ADD *AR1+0B, 5, B, B ; 4295
+ ADD *AR1+0B, 5, B ; 4296
+ ADD *AR1+0B, 6, A, A ; 4297
+ ADD *AR1+0B, 6, A, B ; 4298
+ ADD *AR1+0B, 6, A ; 4299
+ ADD *AR1+0B, 6, B, A ; 4300
+ ADD *AR1+0B, 6, B, B ; 4301
+ ADD *AR1+0B, 6, B ; 4302
+ ADD *AR1+0B, 7, A, A ; 4303
+ ADD *AR1+0B, 7, A, B ; 4304
+ ADD *AR1+0B, 7, A ; 4305
+ ADD *AR1+0B, 7, B, A ; 4306
+ ADD *AR1+0B, 7, B, B ; 4307
+ ADD *AR1+0B, 7, B ; 4308
+ ADD *AR1+0B, 8, A, A ; 4309
+ ADD *AR1+0B, 8, A, B ; 4310
+ ADD *AR1+0B, 8, A ; 4311
+ ADD *AR1+0B, 8, B, A ; 4312
+ ADD *AR1+0B, 8, B, B ; 4313
+ ADD *AR1+0B, 8, B ; 4314
+ ADD *AR1+0B, 9, A, A ; 4315
+ ADD *AR1+0B, 9, A, B ; 4316
+ ADD *AR1+0B, 9, A ; 4317
+ ADD *AR1+0B, 9, B, A ; 4318
+ ADD *AR1+0B, 9, B, B ; 4319
+ ADD *AR1+0B, 9, B ; 4320
+ ADD *AR1+0B, 10, A, A ; 4321
+ ADD *AR1+0B, 10, A, B ; 4322
+ ADD *AR1+0B, 10, A ; 4323
+ ADD *AR1+0B, 10, B, A ; 4324
+ ADD *AR1+0B, 10, B, B ; 4325
+ ADD *AR1+0B, 10, B ; 4326
+ ADD *AR1+0B, 11, A, A ; 4327
+ ADD *AR1+0B, 11, A, B ; 4328
+ ADD *AR1+0B, 11, A ; 4329
+ ADD *AR1+0B, 11, B, A ; 4330
+ ADD *AR1+0B, 11, B, B ; 4331
+ ADD *AR1+0B, 11, B ; 4332
+ ADD *AR1+0B, 12, A, A ; 4333
+ ADD *AR1+0B, 12, A, B ; 4334
+ ADD *AR1+0B, 12, A ; 4335
+ ADD *AR1+0B, 12, B, A ; 4336
+ ADD *AR1+0B, 12, B, B ; 4337
+ ADD *AR1+0B, 12, B ; 4338
+ ADD *AR1+0B, 13, A, A ; 4339
+ ADD *AR1+0B, 13, A, B ; 4340
+ ADD *AR1+0B, 13, A ; 4341
+ ADD *AR1+0B, 13, B, A ; 4342
+ ADD *AR1+0B, 13, B, B ; 4343
+ ADD *AR1+0B, 13, B ; 4344
+ ADD *AR1+0B, 14, A, A ; 4345
+ ADD *AR1+0B, 14, A, B ; 4346
+ ADD *AR1+0B, 14, A ; 4347
+ ADD *AR1+0B, 14, B, A ; 4348
+ ADD *AR1+0B, 14, B, B ; 4349
+ ADD *AR1+0B, 14, B ; 4350
+ ADD *AR1+0B, 15, A, A ; 4351
+ ADD *AR1+0B, 15, A, B ; 4352
+ ADD *AR1+0B, 15, A ; 4353
+ ADD *AR1+0B, 15, B, A ; 4354
+ ADD *AR1+0B, 15, B, B ; 4355
+ ADD *AR1+0B, 15, B ; 4356
+ ADD *AR1-%, A, A ; 4357
+ ADD *AR1-%, A, B ; 4358
+ ADD *AR1-%, A ; 4359
+ ADD *AR1-%, B, A ; 4360
+ ADD *AR1-%, B, B ; 4361
+ ADD *AR1-%, B ; 4362
+ ADD *AR1-%, -16, A, A ; 4363
+ ADD *AR1-%, -16, A, B ; 4364
+ ADD *AR1-%, -16, A ; 4365
+ ADD *AR1-%, -16, B, A ; 4366
+ ADD *AR1-%, -16, B, B ; 4367
+ ADD *AR1-%, -16, B ; 4368
+ ADD *AR1-%, -15, A, A ; 4369
+ ADD *AR1-%, -15, A, B ; 4370
+ ADD *AR1-%, -15, A ; 4371
+ ADD *AR1-%, -15, B, A ; 4372
+ ADD *AR1-%, -15, B, B ; 4373
+ ADD *AR1-%, -15, B ; 4374
+ ADD *AR1-%, -14, A, A ; 4375
+ ADD *AR1-%, -14, A, B ; 4376
+ ADD *AR1-%, -14, A ; 4377
+ ADD *AR1-%, -14, B, A ; 4378
+ ADD *AR1-%, -14, B, B ; 4379
+ ADD *AR1-%, -14, B ; 4380
+ ADD *AR1-%, -13, A, A ; 4381
+ ADD *AR1-%, -13, A, B ; 4382
+ ADD *AR1-%, -13, A ; 4383
+ ADD *AR1-%, -13, B, A ; 4384
+ ADD *AR1-%, -13, B, B ; 4385
+ ADD *AR1-%, -13, B ; 4386
+ ADD *AR1-%, -12, A, A ; 4387
+ ADD *AR1-%, -12, A, B ; 4388
+ ADD *AR1-%, -12, A ; 4389
+ ADD *AR1-%, -12, B, A ; 4390
+ ADD *AR1-%, -12, B, B ; 4391
+ ADD *AR1-%, -12, B ; 4392
+ ADD *AR1-%, -11, A, A ; 4393
+ ADD *AR1-%, -11, A, B ; 4394
+ ADD *AR1-%, -11, A ; 4395
+ ADD *AR1-%, -11, B, A ; 4396
+ ADD *AR1-%, -11, B, B ; 4397
+ ADD *AR1-%, -11, B ; 4398
+ ADD *AR1-%, -10, A, A ; 4399
+ ADD *AR1-%, -10, A, B ; 4400
+ ADD *AR1-%, -10, A ; 4401
+ ADD *AR1-%, -10, B, A ; 4402
+ ADD *AR1-%, -10, B, B ; 4403
+ ADD *AR1-%, -10, B ; 4404
+ ADD *AR1-%, -9, A, A ; 4405
+ ADD *AR1-%, -9, A, B ; 4406
+ ADD *AR1-%, -9, A ; 4407
+ ADD *AR1-%, -9, B, A ; 4408
+ ADD *AR1-%, -9, B, B ; 4409
+ ADD *AR1-%, -9, B ; 4410
+ ADD *AR1-%, -8, A, A ; 4411
+ ADD *AR1-%, -8, A, B ; 4412
+ ADD *AR1-%, -8, A ; 4413
+ ADD *AR1-%, -8, B, A ; 4414
+ ADD *AR1-%, -8, B, B ; 4415
+ ADD *AR1-%, -8, B ; 4416
+ ADD *AR1-%, -7, A, A ; 4417
+ ADD *AR1-%, -7, A, B ; 4418
+ ADD *AR1-%, -7, A ; 4419
+ ADD *AR1-%, -7, B, A ; 4420
+ ADD *AR1-%, -7, B, B ; 4421
+ ADD *AR1-%, -7, B ; 4422
+ ADD *AR1-%, -6, A, A ; 4423
+ ADD *AR1-%, -6, A, B ; 4424
+ ADD *AR1-%, -6, A ; 4425
+ ADD *AR1-%, -6, B, A ; 4426
+ ADD *AR1-%, -6, B, B ; 4427
+ ADD *AR1-%, -6, B ; 4428
+ ADD *AR1-%, -5, A, A ; 4429
+ ADD *AR1-%, -5, A, B ; 4430
+ ADD *AR1-%, -5, A ; 4431
+ ADD *AR1-%, -5, B, A ; 4432
+ ADD *AR1-%, -5, B, B ; 4433
+ ADD *AR1-%, -5, B ; 4434
+ ADD *AR1-%, -4, A, A ; 4435
+ ADD *AR1-%, -4, A, B ; 4436
+ ADD *AR1-%, -4, A ; 4437
+ ADD *AR1-%, -4, B, A ; 4438
+ ADD *AR1-%, -4, B, B ; 4439
+ ADD *AR1-%, -4, B ; 4440
+ ADD *AR1-%, -3, A, A ; 4441
+ ADD *AR1-%, -3, A, B ; 4442
+ ADD *AR1-%, -3, A ; 4443
+ ADD *AR1-%, -3, B, A ; 4444
+ ADD *AR1-%, -3, B, B ; 4445
+ ADD *AR1-%, -3, B ; 4446
+ ADD *AR1-%, -2, A, A ; 4447
+ ADD *AR1-%, -2, A, B ; 4448
+ ADD *AR1-%, -2, A ; 4449
+ ADD *AR1-%, -2, B, A ; 4450
+ ADD *AR1-%, -2, B, B ; 4451
+ ADD *AR1-%, -2, B ; 4452
+ ADD *AR1-%, -1, A, A ; 4453
+ ADD *AR1-%, -1, A, B ; 4454
+ ADD *AR1-%, -1, A ; 4455
+ ADD *AR1-%, -1, B, A ; 4456
+ ADD *AR1-%, -1, B, B ; 4457
+ ADD *AR1-%, -1, B ; 4458
+ ADD *AR1-%, 0, A, A ; 4459
+ ADD *AR1-%, 0, A, B ; 4460
+ ADD *AR1-%, 0, A ; 4461
+ ADD *AR1-%, 0, B, A ; 4462
+ ADD *AR1-%, 0, B, B ; 4463
+ ADD *AR1-%, 0, B ; 4464
+ ADD *AR1-%, 1, A, A ; 4465
+ ADD *AR1-%, 1, A, B ; 4466
+ ADD *AR1-%, 1, A ; 4467
+ ADD *AR1-%, 1, B, A ; 4468
+ ADD *AR1-%, 1, B, B ; 4469
+ ADD *AR1-%, 1, B ; 4470
+ ADD *AR1-%, 2, A, A ; 4471
+ ADD *AR1-%, 2, A, B ; 4472
+ ADD *AR1-%, 2, A ; 4473
+ ADD *AR1-%, 2, B, A ; 4474
+ ADD *AR1-%, 2, B, B ; 4475
+ ADD *AR1-%, 2, B ; 4476
+ ADD *AR1-%, 3, A, A ; 4477
+ ADD *AR1-%, 3, A, B ; 4478
+ ADD *AR1-%, 3, A ; 4479
+ ADD *AR1-%, 3, B, A ; 4480
+ ADD *AR1-%, 3, B, B ; 4481
+ ADD *AR1-%, 3, B ; 4482
+ ADD *AR1-%, 4, A, A ; 4483
+ ADD *AR1-%, 4, A, B ; 4484
+ ADD *AR1-%, 4, A ; 4485
+ ADD *AR1-%, 4, B, A ; 4486
+ ADD *AR1-%, 4, B, B ; 4487
+ ADD *AR1-%, 4, B ; 4488
+ ADD *AR1-%, 5, A, A ; 4489
+ ADD *AR1-%, 5, A, B ; 4490
+ ADD *AR1-%, 5, A ; 4491
+ ADD *AR1-%, 5, B, A ; 4492
+ ADD *AR1-%, 5, B, B ; 4493
+ ADD *AR1-%, 5, B ; 4494
+ ADD *AR1-%, 6, A, A ; 4495
+ ADD *AR1-%, 6, A, B ; 4496
+ ADD *AR1-%, 6, A ; 4497
+ ADD *AR1-%, 6, B, A ; 4498
+ ADD *AR1-%, 6, B, B ; 4499
+ ADD *AR1-%, 6, B ; 4500
+ ADD *AR1-%, 7, A, A ; 4501
+ ADD *AR1-%, 7, A, B ; 4502
+ ADD *AR1-%, 7, A ; 4503
+ ADD *AR1-%, 7, B, A ; 4504
+ ADD *AR1-%, 7, B, B ; 4505
+ ADD *AR1-%, 7, B ; 4506
+ ADD *AR1-%, 8, A, A ; 4507
+ ADD *AR1-%, 8, A, B ; 4508
+ ADD *AR1-%, 8, A ; 4509
+ ADD *AR1-%, 8, B, A ; 4510
+ ADD *AR1-%, 8, B, B ; 4511
+ ADD *AR1-%, 8, B ; 4512
+ ADD *AR1-%, 9, A, A ; 4513
+ ADD *AR1-%, 9, A, B ; 4514
+ ADD *AR1-%, 9, A ; 4515
+ ADD *AR1-%, 9, B, A ; 4516
+ ADD *AR1-%, 9, B, B ; 4517
+ ADD *AR1-%, 9, B ; 4518
+ ADD *AR1-%, 10, A, A ; 4519
+ ADD *AR1-%, 10, A, B ; 4520
+ ADD *AR1-%, 10, A ; 4521
+ ADD *AR1-%, 10, B, A ; 4522
+ ADD *AR1-%, 10, B, B ; 4523
+ ADD *AR1-%, 10, B ; 4524
+ ADD *AR1-%, 11, A, A ; 4525
+ ADD *AR1-%, 11, A, B ; 4526
+ ADD *AR1-%, 11, A ; 4527
+ ADD *AR1-%, 11, B, A ; 4528
+ ADD *AR1-%, 11, B, B ; 4529
+ ADD *AR1-%, 11, B ; 4530
+ ADD *AR1-%, 12, A, A ; 4531
+ ADD *AR1-%, 12, A, B ; 4532
+ ADD *AR1-%, 12, A ; 4533
+ ADD *AR1-%, 12, B, A ; 4534
+ ADD *AR1-%, 12, B, B ; 4535
+ ADD *AR1-%, 12, B ; 4536
+ ADD *AR1-%, 13, A, A ; 4537
+ ADD *AR1-%, 13, A, B ; 4538
+ ADD *AR1-%, 13, A ; 4539
+ ADD *AR1-%, 13, B, A ; 4540
+ ADD *AR1-%, 13, B, B ; 4541
+ ADD *AR1-%, 13, B ; 4542
+ ADD *AR1-%, 14, A, A ; 4543
+ ADD *AR1-%, 14, A, B ; 4544
+ ADD *AR1-%, 14, A ; 4545
+ ADD *AR1-%, 14, B, A ; 4546
+ ADD *AR1-%, 14, B, B ; 4547
+ ADD *AR1-%, 14, B ; 4548
+ ADD *AR1-%, 15, A, A ; 4549
+ ADD *AR1-%, 15, A, B ; 4550
+ ADD *AR1-%, 15, A ; 4551
+ ADD *AR1-%, 15, B, A ; 4552
+ ADD *AR1-%, 15, B, B ; 4553
+ ADD *AR1-%, 15, B ; 4554
+ ADD *AR1-0%, A, A ; 4555
+ ADD *AR1-0%, A, B ; 4556
+ ADD *AR1-0%, A ; 4557
+ ADD *AR1-0%, B, A ; 4558
+ ADD *AR1-0%, B, B ; 4559
+ ADD *AR1-0%, B ; 4560
+ ADD *AR1-0%, -16, A, A ; 4561
+ ADD *AR1-0%, -16, A, B ; 4562
+ ADD *AR1-0%, -16, A ; 4563
+ ADD *AR1-0%, -16, B, A ; 4564
+ ADD *AR1-0%, -16, B, B ; 4565
+ ADD *AR1-0%, -16, B ; 4566
+ ADD *AR1-0%, -15, A, A ; 4567
+ ADD *AR1-0%, -15, A, B ; 4568
+ ADD *AR1-0%, -15, A ; 4569
+ ADD *AR1-0%, -15, B, A ; 4570
+ ADD *AR1-0%, -15, B, B ; 4571
+ ADD *AR1-0%, -15, B ; 4572
+ ADD *AR1-0%, -14, A, A ; 4573
+ ADD *AR1-0%, -14, A, B ; 4574
+ ADD *AR1-0%, -14, A ; 4575
+ ADD *AR1-0%, -14, B, A ; 4576
+ ADD *AR1-0%, -14, B, B ; 4577
+ ADD *AR1-0%, -14, B ; 4578
+ ADD *AR1-0%, -13, A, A ; 4579
+ ADD *AR1-0%, -13, A, B ; 4580
+ ADD *AR1-0%, -13, A ; 4581
+ ADD *AR1-0%, -13, B, A ; 4582
+ ADD *AR1-0%, -13, B, B ; 4583
+ ADD *AR1-0%, -13, B ; 4584
+ ADD *AR1-0%, -12, A, A ; 4585
+ ADD *AR1-0%, -12, A, B ; 4586
+ ADD *AR1-0%, -12, A ; 4587
+ ADD *AR1-0%, -12, B, A ; 4588
+ ADD *AR1-0%, -12, B, B ; 4589
+ ADD *AR1-0%, -12, B ; 4590
+ ADD *AR1-0%, -11, A, A ; 4591
+ ADD *AR1-0%, -11, A, B ; 4592
+ ADD *AR1-0%, -11, A ; 4593
+ ADD *AR1-0%, -11, B, A ; 4594
+ ADD *AR1-0%, -11, B, B ; 4595
+ ADD *AR1-0%, -11, B ; 4596
+ ADD *AR1-0%, -10, A, A ; 4597
+ ADD *AR1-0%, -10, A, B ; 4598
+ ADD *AR1-0%, -10, A ; 4599
+ ADD *AR1-0%, -10, B, A ; 4600
+ ADD *AR1-0%, -10, B, B ; 4601
+ ADD *AR1-0%, -10, B ; 4602
+ ADD *AR1-0%, -9, A, A ; 4603
+ ADD *AR1-0%, -9, A, B ; 4604
+ ADD *AR1-0%, -9, A ; 4605
+ ADD *AR1-0%, -9, B, A ; 4606
+ ADD *AR1-0%, -9, B, B ; 4607
+ ADD *AR1-0%, -9, B ; 4608
+ ADD *AR1-0%, -8, A, A ; 4609
+ ADD *AR1-0%, -8, A, B ; 4610
+ ADD *AR1-0%, -8, A ; 4611
+ ADD *AR1-0%, -8, B, A ; 4612
+ ADD *AR1-0%, -8, B, B ; 4613
+ ADD *AR1-0%, -8, B ; 4614
+ ADD *AR1-0%, -7, A, A ; 4615
+ ADD *AR1-0%, -7, A, B ; 4616
+ ADD *AR1-0%, -7, A ; 4617
+ ADD *AR1-0%, -7, B, A ; 4618
+ ADD *AR1-0%, -7, B, B ; 4619
+ ADD *AR1-0%, -7, B ; 4620
+ ADD *AR1-0%, -6, A, A ; 4621
+ ADD *AR1-0%, -6, A, B ; 4622
+ ADD *AR1-0%, -6, A ; 4623
+ ADD *AR1-0%, -6, B, A ; 4624
+ ADD *AR1-0%, -6, B, B ; 4625
+ ADD *AR1-0%, -6, B ; 4626
+ ADD *AR1-0%, -5, A, A ; 4627
+ ADD *AR1-0%, -5, A, B ; 4628
+ ADD *AR1-0%, -5, A ; 4629
+ ADD *AR1-0%, -5, B, A ; 4630
+ ADD *AR1-0%, -5, B, B ; 4631
+ ADD *AR1-0%, -5, B ; 4632
+ ADD *AR1-0%, -4, A, A ; 4633
+ ADD *AR1-0%, -4, A, B ; 4634
+ ADD *AR1-0%, -4, A ; 4635
+ ADD *AR1-0%, -4, B, A ; 4636
+ ADD *AR1-0%, -4, B, B ; 4637
+ ADD *AR1-0%, -4, B ; 4638
+ ADD *AR1-0%, -3, A, A ; 4639
+ ADD *AR1-0%, -3, A, B ; 4640
+ ADD *AR1-0%, -3, A ; 4641
+ ADD *AR1-0%, -3, B, A ; 4642
+ ADD *AR1-0%, -3, B, B ; 4643
+ ADD *AR1-0%, -3, B ; 4644
+ ADD *AR1-0%, -2, A, A ; 4645
+ ADD *AR1-0%, -2, A, B ; 4646
+ ADD *AR1-0%, -2, A ; 4647
+ ADD *AR1-0%, -2, B, A ; 4648
+ ADD *AR1-0%, -2, B, B ; 4649
+ ADD *AR1-0%, -2, B ; 4650
+ ADD *AR1-0%, -1, A, A ; 4651
+ ADD *AR1-0%, -1, A, B ; 4652
+ ADD *AR1-0%, -1, A ; 4653
+ ADD *AR1-0%, -1, B, A ; 4654
+ ADD *AR1-0%, -1, B, B ; 4655
+ ADD *AR1-0%, -1, B ; 4656
+ ADD *AR1-0%, 0, A, A ; 4657
+ ADD *AR1-0%, 0, A, B ; 4658
+ ADD *AR1-0%, 0, A ; 4659
+ ADD *AR1-0%, 0, B, A ; 4660
+ ADD *AR1-0%, 0, B, B ; 4661
+ ADD *AR1-0%, 0, B ; 4662
+ ADD *AR1-0%, 1, A, A ; 4663
+ ADD *AR1-0%, 1, A, B ; 4664
+ ADD *AR1-0%, 1, A ; 4665
+ ADD *AR1-0%, 1, B, A ; 4666
+ ADD *AR1-0%, 1, B, B ; 4667
+ ADD *AR1-0%, 1, B ; 4668
+ ADD *AR1-0%, 2, A, A ; 4669
+ ADD *AR1-0%, 2, A, B ; 4670
+ ADD *AR1-0%, 2, A ; 4671
+ ADD *AR1-0%, 2, B, A ; 4672
+ ADD *AR1-0%, 2, B, B ; 4673
+ ADD *AR1-0%, 2, B ; 4674
+ ADD *AR1-0%, 3, A, A ; 4675
+ ADD *AR1-0%, 3, A, B ; 4676
+ ADD *AR1-0%, 3, A ; 4677
+ ADD *AR1-0%, 3, B, A ; 4678
+ ADD *AR1-0%, 3, B, B ; 4679
+ ADD *AR1-0%, 3, B ; 4680
+ ADD *AR1-0%, 4, A, A ; 4681
+ ADD *AR1-0%, 4, A, B ; 4682
+ ADD *AR1-0%, 4, A ; 4683
+ ADD *AR1-0%, 4, B, A ; 4684
+ ADD *AR1-0%, 4, B, B ; 4685
+ ADD *AR1-0%, 4, B ; 4686
+ ADD *AR1-0%, 5, A, A ; 4687
+ ADD *AR1-0%, 5, A, B ; 4688
+ ADD *AR1-0%, 5, A ; 4689
+ ADD *AR1-0%, 5, B, A ; 4690
+ ADD *AR1-0%, 5, B, B ; 4691
+ ADD *AR1-0%, 5, B ; 4692
+ ADD *AR1-0%, 6, A, A ; 4693
+ ADD *AR1-0%, 6, A, B ; 4694
+ ADD *AR1-0%, 6, A ; 4695
+ ADD *AR1-0%, 6, B, A ; 4696
+ ADD *AR1-0%, 6, B, B ; 4697
+ ADD *AR1-0%, 6, B ; 4698
+ ADD *AR1-0%, 7, A, A ; 4699
+ ADD *AR1-0%, 7, A, B ; 4700
+ ADD *AR1-0%, 7, A ; 4701
+ ADD *AR1-0%, 7, B, A ; 4702
+ ADD *AR1-0%, 7, B, B ; 4703
+ ADD *AR1-0%, 7, B ; 4704
+ ADD *AR1-0%, 8, A, A ; 4705
+ ADD *AR1-0%, 8, A, B ; 4706
+ ADD *AR1-0%, 8, A ; 4707
+ ADD *AR1-0%, 8, B, A ; 4708
+ ADD *AR1-0%, 8, B, B ; 4709
+ ADD *AR1-0%, 8, B ; 4710
+ ADD *AR1-0%, 9, A, A ; 4711
+ ADD *AR1-0%, 9, A, B ; 4712
+ ADD *AR1-0%, 9, A ; 4713
+ ADD *AR1-0%, 9, B, A ; 4714
+ ADD *AR1-0%, 9, B, B ; 4715
+ ADD *AR1-0%, 9, B ; 4716
+ ADD *AR1-0%, 10, A, A ; 4717
+ ADD *AR1-0%, 10, A, B ; 4718
+ ADD *AR1-0%, 10, A ; 4719
+ ADD *AR1-0%, 10, B, A ; 4720
+ ADD *AR1-0%, 10, B, B ; 4721
+ ADD *AR1-0%, 10, B ; 4722
+ ADD *AR1-0%, 11, A, A ; 4723
+ ADD *AR1-0%, 11, A, B ; 4724
+ ADD *AR1-0%, 11, A ; 4725
+ ADD *AR1-0%, 11, B, A ; 4726
+ ADD *AR1-0%, 11, B, B ; 4727
+ ADD *AR1-0%, 11, B ; 4728
+ ADD *AR1-0%, 12, A, A ; 4729
+ ADD *AR1-0%, 12, A, B ; 4730
+ ADD *AR1-0%, 12, A ; 4731
+ ADD *AR1-0%, 12, B, A ; 4732
+ ADD *AR1-0%, 12, B, B ; 4733
+ ADD *AR1-0%, 12, B ; 4734
+ ADD *AR1-0%, 13, A, A ; 4735
+ ADD *AR1-0%, 13, A, B ; 4736
+ ADD *AR1-0%, 13, A ; 4737
+ ADD *AR1-0%, 13, B, A ; 4738
+ ADD *AR1-0%, 13, B, B ; 4739
+ ADD *AR1-0%, 13, B ; 4740
+ ADD *AR1-0%, 14, A, A ; 4741
+ ADD *AR1-0%, 14, A, B ; 4742
+ ADD *AR1-0%, 14, A ; 4743
+ ADD *AR1-0%, 14, B, A ; 4744
+ ADD *AR1-0%, 14, B, B ; 4745
+ ADD *AR1-0%, 14, B ; 4746
+ ADD *AR1-0%, 15, A, A ; 4747
+ ADD *AR1-0%, 15, A, B ; 4748
+ ADD *AR1-0%, 15, A ; 4749
+ ADD *AR1-0%, 15, B, A ; 4750
+ ADD *AR1-0%, 15, B, B ; 4751
+ ADD *AR1-0%, 15, B ; 4752
+ ADD *AR1+%, A, A ; 4753
+ ADD *AR1+%, A, B ; 4754
+ ADD *AR1+%, A ; 4755
+ ADD *AR1+%, B, A ; 4756
+ ADD *AR1+%, B, B ; 4757
+ ADD *AR1+%, B ; 4758
+ ADD *AR1+%, -16, A, A ; 4759
+ ADD *AR1+%, -16, A, B ; 4760
+ ADD *AR1+%, -16, A ; 4761
+ ADD *AR1+%, -16, B, A ; 4762
+ ADD *AR1+%, -16, B, B ; 4763
+ ADD *AR1+%, -16, B ; 4764
+ ADD *AR1+%, -15, A, A ; 4765
+ ADD *AR1+%, -15, A, B ; 4766
+ ADD *AR1+%, -15, A ; 4767
+ ADD *AR1+%, -15, B, A ; 4768
+ ADD *AR1+%, -15, B, B ; 4769
+ ADD *AR1+%, -15, B ; 4770
+ ADD *AR1+%, -14, A, A ; 4771
+ ADD *AR1+%, -14, A, B ; 4772
+ ADD *AR1+%, -14, A ; 4773
+ ADD *AR1+%, -14, B, A ; 4774
+ ADD *AR1+%, -14, B, B ; 4775
+ ADD *AR1+%, -14, B ; 4776
+ ADD *AR1+%, -13, A, A ; 4777
+ ADD *AR1+%, -13, A, B ; 4778
+ ADD *AR1+%, -13, A ; 4779
+ ADD *AR1+%, -13, B, A ; 4780
+ ADD *AR1+%, -13, B, B ; 4781
+ ADD *AR1+%, -13, B ; 4782
+ ADD *AR1+%, -12, A, A ; 4783
+ ADD *AR1+%, -12, A, B ; 4784
+ ADD *AR1+%, -12, A ; 4785
+ ADD *AR1+%, -12, B, A ; 4786
+ ADD *AR1+%, -12, B, B ; 4787
+ ADD *AR1+%, -12, B ; 4788
+ ADD *AR1+%, -11, A, A ; 4789
+ ADD *AR1+%, -11, A, B ; 4790
+ ADD *AR1+%, -11, A ; 4791
+ ADD *AR1+%, -11, B, A ; 4792
+ ADD *AR1+%, -11, B, B ; 4793
+ ADD *AR1+%, -11, B ; 4794
+ ADD *AR1+%, -10, A, A ; 4795
+ ADD *AR1+%, -10, A, B ; 4796
+ ADD *AR1+%, -10, A ; 4797
+ ADD *AR1+%, -10, B, A ; 4798
+ ADD *AR1+%, -10, B, B ; 4799
+ ADD *AR1+%, -10, B ; 4800
+ ADD *AR1+%, -9, A, A ; 4801
+ ADD *AR1+%, -9, A, B ; 4802
+ ADD *AR1+%, -9, A ; 4803
+ ADD *AR1+%, -9, B, A ; 4804
+ ADD *AR1+%, -9, B, B ; 4805
+ ADD *AR1+%, -9, B ; 4806
+ ADD *AR1+%, -8, A, A ; 4807
+ ADD *AR1+%, -8, A, B ; 4808
+ ADD *AR1+%, -8, A ; 4809
+ ADD *AR1+%, -8, B, A ; 4810
+ ADD *AR1+%, -8, B, B ; 4811
+ ADD *AR1+%, -8, B ; 4812
+ ADD *AR1+%, -7, A, A ; 4813
+ ADD *AR1+%, -7, A, B ; 4814
+ ADD *AR1+%, -7, A ; 4815
+ ADD *AR1+%, -7, B, A ; 4816
+ ADD *AR1+%, -7, B, B ; 4817
+ ADD *AR1+%, -7, B ; 4818
+ ADD *AR1+%, -6, A, A ; 4819
+ ADD *AR1+%, -6, A, B ; 4820
+ ADD *AR1+%, -6, A ; 4821
+ ADD *AR1+%, -6, B, A ; 4822
+ ADD *AR1+%, -6, B, B ; 4823
+ ADD *AR1+%, -6, B ; 4824
+ ADD *AR1+%, -5, A, A ; 4825
+ ADD *AR1+%, -5, A, B ; 4826
+ ADD *AR1+%, -5, A ; 4827
+ ADD *AR1+%, -5, B, A ; 4828
+ ADD *AR1+%, -5, B, B ; 4829
+ ADD *AR1+%, -5, B ; 4830
+ ADD *AR1+%, -4, A, A ; 4831
+ ADD *AR1+%, -4, A, B ; 4832
+ ADD *AR1+%, -4, A ; 4833
+ ADD *AR1+%, -4, B, A ; 4834
+ ADD *AR1+%, -4, B, B ; 4835
+ ADD *AR1+%, -4, B ; 4836
+ ADD *AR1+%, -3, A, A ; 4837
+ ADD *AR1+%, -3, A, B ; 4838
+ ADD *AR1+%, -3, A ; 4839
+ ADD *AR1+%, -3, B, A ; 4840
+ ADD *AR1+%, -3, B, B ; 4841
+ ADD *AR1+%, -3, B ; 4842
+ ADD *AR1+%, -2, A, A ; 4843
+ ADD *AR1+%, -2, A, B ; 4844
+ ADD *AR1+%, -2, A ; 4845
+ ADD *AR1+%, -2, B, A ; 4846
+ ADD *AR1+%, -2, B, B ; 4847
+ ADD *AR1+%, -2, B ; 4848
+ ADD *AR1+%, -1, A, A ; 4849
+ ADD *AR1+%, -1, A, B ; 4850
+ ADD *AR1+%, -1, A ; 4851
+ ADD *AR1+%, -1, B, A ; 4852
+ ADD *AR1+%, -1, B, B ; 4853
+ ADD *AR1+%, -1, B ; 4854
+ ADD *AR1+%, 0, A, A ; 4855
+ ADD *AR1+%, 0, A, B ; 4856
+ ADD *AR1+%, 0, A ; 4857
+ ADD *AR1+%, 0, B, A ; 4858
+ ADD *AR1+%, 0, B, B ; 4859
+ ADD *AR1+%, 0, B ; 4860
+ ADD *AR1+%, 1, A, A ; 4861
+ ADD *AR1+%, 1, A, B ; 4862
+ ADD *AR1+%, 1, A ; 4863
+ ADD *AR1+%, 1, B, A ; 4864
+ ADD *AR1+%, 1, B, B ; 4865
+ ADD *AR1+%, 1, B ; 4866
+ ADD *AR1+%, 2, A, A ; 4867
+ ADD *AR1+%, 2, A, B ; 4868
+ ADD *AR1+%, 2, A ; 4869
+ ADD *AR1+%, 2, B, A ; 4870
+ ADD *AR1+%, 2, B, B ; 4871
+ ADD *AR1+%, 2, B ; 4872
+ ADD *AR1+%, 3, A, A ; 4873
+ ADD *AR1+%, 3, A, B ; 4874
+ ADD *AR1+%, 3, A ; 4875
+ ADD *AR1+%, 3, B, A ; 4876
+ ADD *AR1+%, 3, B, B ; 4877
+ ADD *AR1+%, 3, B ; 4878
+ ADD *AR1+%, 4, A, A ; 4879
+ ADD *AR1+%, 4, A, B ; 4880
+ ADD *AR1+%, 4, A ; 4881
+ ADD *AR1+%, 4, B, A ; 4882
+ ADD *AR1+%, 4, B, B ; 4883
+ ADD *AR1+%, 4, B ; 4884
+ ADD *AR1+%, 5, A, A ; 4885
+ ADD *AR1+%, 5, A, B ; 4886
+ ADD *AR1+%, 5, A ; 4887
+ ADD *AR1+%, 5, B, A ; 4888
+ ADD *AR1+%, 5, B, B ; 4889
+ ADD *AR1+%, 5, B ; 4890
+ ADD *AR1+%, 6, A, A ; 4891
+ ADD *AR1+%, 6, A, B ; 4892
+ ADD *AR1+%, 6, A ; 4893
+ ADD *AR1+%, 6, B, A ; 4894
+ ADD *AR1+%, 6, B, B ; 4895
+ ADD *AR1+%, 6, B ; 4896
+ ADD *AR1+%, 7, A, A ; 4897
+ ADD *AR1+%, 7, A, B ; 4898
+ ADD *AR1+%, 7, A ; 4899
+ ADD *AR1+%, 7, B, A ; 4900
+ ADD *AR1+%, 7, B, B ; 4901
+ ADD *AR1+%, 7, B ; 4902
+ ADD *AR1+%, 8, A, A ; 4903
+ ADD *AR1+%, 8, A, B ; 4904
+ ADD *AR1+%, 8, A ; 4905
+ ADD *AR1+%, 8, B, A ; 4906
+ ADD *AR1+%, 8, B, B ; 4907
+ ADD *AR1+%, 8, B ; 4908
+ ADD *AR1+%, 9, A, A ; 4909
+ ADD *AR1+%, 9, A, B ; 4910
+ ADD *AR1+%, 9, A ; 4911
+ ADD *AR1+%, 9, B, A ; 4912
+ ADD *AR1+%, 9, B, B ; 4913
+ ADD *AR1+%, 9, B ; 4914
+ ADD *AR1+%, 10, A, A ; 4915
+ ADD *AR1+%, 10, A, B ; 4916
+ ADD *AR1+%, 10, A ; 4917
+ ADD *AR1+%, 10, B, A ; 4918
+ ADD *AR1+%, 10, B, B ; 4919
+ ADD *AR1+%, 10, B ; 4920
+ ADD *AR1+%, 11, A, A ; 4921
+ ADD *AR1+%, 11, A, B ; 4922
+ ADD *AR1+%, 11, A ; 4923
+ ADD *AR1+%, 11, B, A ; 4924
+ ADD *AR1+%, 11, B, B ; 4925
+ ADD *AR1+%, 11, B ; 4926
+ ADD *AR1+%, 12, A, A ; 4927
+ ADD *AR1+%, 12, A, B ; 4928
+ ADD *AR1+%, 12, A ; 4929
+ ADD *AR1+%, 12, B, A ; 4930
+ ADD *AR1+%, 12, B, B ; 4931
+ ADD *AR1+%, 12, B ; 4932
+ ADD *AR1+%, 13, A, A ; 4933
+ ADD *AR1+%, 13, A, B ; 4934
+ ADD *AR1+%, 13, A ; 4935
+ ADD *AR1+%, 13, B, A ; 4936
+ ADD *AR1+%, 13, B, B ; 4937
+ ADD *AR1+%, 13, B ; 4938
+ ADD *AR1+%, 14, A, A ; 4939
+ ADD *AR1+%, 14, A, B ; 4940
+ ADD *AR1+%, 14, A ; 4941
+ ADD *AR1+%, 14, B, A ; 4942
+ ADD *AR1+%, 14, B, B ; 4943
+ ADD *AR1+%, 14, B ; 4944
+ ADD *AR1+%, 15, A, A ; 4945
+ ADD *AR1+%, 15, A, B ; 4946
+ ADD *AR1+%, 15, A ; 4947
+ ADD *AR1+%, 15, B, A ; 4948
+ ADD *AR1+%, 15, B, B ; 4949
+ ADD *AR1+%, 15, B ; 4950
+ ADD *AR1+0%, A, A ; 4951
+ ADD *AR1+0%, A, B ; 4952
+ ADD *AR1+0%, A ; 4953
+ ADD *AR1+0%, B, A ; 4954
+ ADD *AR1+0%, B, B ; 4955
+ ADD *AR1+0%, B ; 4956
+ ADD *AR1+0%, -16, A, A ; 4957
+ ADD *AR1+0%, -16, A, B ; 4958
+ ADD *AR1+0%, -16, A ; 4959
+ ADD *AR1+0%, -16, B, A ; 4960
+ ADD *AR1+0%, -16, B, B ; 4961
+ ADD *AR1+0%, -16, B ; 4962
+ ADD *AR1+0%, -15, A, A ; 4963
+ ADD *AR1+0%, -15, A, B ; 4964
+ ADD *AR1+0%, -15, A ; 4965
+ ADD *AR1+0%, -15, B, A ; 4966
+ ADD *AR1+0%, -15, B, B ; 4967
+ ADD *AR1+0%, -15, B ; 4968
+ ADD *AR1+0%, -14, A, A ; 4969
+ ADD *AR1+0%, -14, A, B ; 4970
+ ADD *AR1+0%, -14, A ; 4971
+ ADD *AR1+0%, -14, B, A ; 4972
+ ADD *AR1+0%, -14, B, B ; 4973
+ ADD *AR1+0%, -14, B ; 4974
+ ADD *AR1+0%, -13, A, A ; 4975
+ ADD *AR1+0%, -13, A, B ; 4976
+ ADD *AR1+0%, -13, A ; 4977
+ ADD *AR1+0%, -13, B, A ; 4978
+ ADD *AR1+0%, -13, B, B ; 4979
+ ADD *AR1+0%, -13, B ; 4980
+ ADD *AR1+0%, -12, A, A ; 4981
+ ADD *AR1+0%, -12, A, B ; 4982
+ ADD *AR1+0%, -12, A ; 4983
+ ADD *AR1+0%, -12, B, A ; 4984
+ ADD *AR1+0%, -12, B, B ; 4985
+ ADD *AR1+0%, -12, B ; 4986
+ ADD *AR1+0%, -11, A, A ; 4987
+ ADD *AR1+0%, -11, A, B ; 4988
+ ADD *AR1+0%, -11, A ; 4989
+ ADD *AR1+0%, -11, B, A ; 4990
+ ADD *AR1+0%, -11, B, B ; 4991
+ ADD *AR1+0%, -11, B ; 4992
+ ADD *AR1+0%, -10, A, A ; 4993
+ ADD *AR1+0%, -10, A, B ; 4994
+ ADD *AR1+0%, -10, A ; 4995
+ ADD *AR1+0%, -10, B, A ; 4996
+ ADD *AR1+0%, -10, B, B ; 4997
+ ADD *AR1+0%, -10, B ; 4998
+ ADD *AR1+0%, -9, A, A ; 4999
+ ADD *AR1+0%, -9, A, B ; 5000
+ ADD *AR1+0%, -9, A ; 5001
+ ADD *AR1+0%, -9, B, A ; 5002
+ ADD *AR1+0%, -9, B, B ; 5003
+ ADD *AR1+0%, -9, B ; 5004
+ ADD *AR1+0%, -8, A, A ; 5005
+ ADD *AR1+0%, -8, A, B ; 5006
+ ADD *AR1+0%, -8, A ; 5007
+ ADD *AR1+0%, -8, B, A ; 5008
+ ADD *AR1+0%, -8, B, B ; 5009
+ ADD *AR1+0%, -8, B ; 5010
+ ADD *AR1+0%, -7, A, A ; 5011
+ ADD *AR1+0%, -7, A, B ; 5012
+ ADD *AR1+0%, -7, A ; 5013
+ ADD *AR1+0%, -7, B, A ; 5014
+ ADD *AR1+0%, -7, B, B ; 5015
+ ADD *AR1+0%, -7, B ; 5016
+ ADD *AR1+0%, -6, A, A ; 5017
+ ADD *AR1+0%, -6, A, B ; 5018
+ ADD *AR1+0%, -6, A ; 5019
+ ADD *AR1+0%, -6, B, A ; 5020
+ ADD *AR1+0%, -6, B, B ; 5021
+ ADD *AR1+0%, -6, B ; 5022
+ ADD *AR1+0%, -5, A, A ; 5023
+ ADD *AR1+0%, -5, A, B ; 5024
+ ADD *AR1+0%, -5, A ; 5025
+ ADD *AR1+0%, -5, B, A ; 5026
+ ADD *AR1+0%, -5, B, B ; 5027
+ ADD *AR1+0%, -5, B ; 5028
+ ADD *AR1+0%, -4, A, A ; 5029
+ ADD *AR1+0%, -4, A, B ; 5030
+ ADD *AR1+0%, -4, A ; 5031
+ ADD *AR1+0%, -4, B, A ; 5032
+ ADD *AR1+0%, -4, B, B ; 5033
+ ADD *AR1+0%, -4, B ; 5034
+ ADD *AR1+0%, -3, A, A ; 5035
+ ADD *AR1+0%, -3, A, B ; 5036
+ ADD *AR1+0%, -3, A ; 5037
+ ADD *AR1+0%, -3, B, A ; 5038
+ ADD *AR1+0%, -3, B, B ; 5039
+ ADD *AR1+0%, -3, B ; 5040
+ ADD *AR1+0%, -2, A, A ; 5041
+ ADD *AR1+0%, -2, A, B ; 5042
+ ADD *AR1+0%, -2, A ; 5043
+ ADD *AR1+0%, -2, B, A ; 5044
+ ADD *AR1+0%, -2, B, B ; 5045
+ ADD *AR1+0%, -2, B ; 5046
+ ADD *AR1+0%, -1, A, A ; 5047
+ ADD *AR1+0%, -1, A, B ; 5048
+ ADD *AR1+0%, -1, A ; 5049
+ ADD *AR1+0%, -1, B, A ; 5050
+ ADD *AR1+0%, -1, B, B ; 5051
+ ADD *AR1+0%, -1, B ; 5052
+ ADD *AR1+0%, 0, A, A ; 5053
+ ADD *AR1+0%, 0, A, B ; 5054
+ ADD *AR1+0%, 0, A ; 5055
+ ADD *AR1+0%, 0, B, A ; 5056
+ ADD *AR1+0%, 0, B, B ; 5057
+ ADD *AR1+0%, 0, B ; 5058
+ ADD *AR1+0%, 1, A, A ; 5059
+ ADD *AR1+0%, 1, A, B ; 5060
+ ADD *AR1+0%, 1, A ; 5061
+ ADD *AR1+0%, 1, B, A ; 5062
+ ADD *AR1+0%, 1, B, B ; 5063
+ ADD *AR1+0%, 1, B ; 5064
+ ADD *AR1+0%, 2, A, A ; 5065
+ ADD *AR1+0%, 2, A, B ; 5066
+ ADD *AR1+0%, 2, A ; 5067
+ ADD *AR1+0%, 2, B, A ; 5068
+ ADD *AR1+0%, 2, B, B ; 5069
+ ADD *AR1+0%, 2, B ; 5070
+ ADD *AR1+0%, 3, A, A ; 5071
+ ADD *AR1+0%, 3, A, B ; 5072
+ ADD *AR1+0%, 3, A ; 5073
+ ADD *AR1+0%, 3, B, A ; 5074
+ ADD *AR1+0%, 3, B, B ; 5075
+ ADD *AR1+0%, 3, B ; 5076
+ ADD *AR1+0%, 4, A, A ; 5077
+ ADD *AR1+0%, 4, A, B ; 5078
+ ADD *AR1+0%, 4, A ; 5079
+ ADD *AR1+0%, 4, B, A ; 5080
+ ADD *AR1+0%, 4, B, B ; 5081
+ ADD *AR1+0%, 4, B ; 5082
+ ADD *AR1+0%, 5, A, A ; 5083
+ ADD *AR1+0%, 5, A, B ; 5084
+ ADD *AR1+0%, 5, A ; 5085
+ ADD *AR1+0%, 5, B, A ; 5086
+ ADD *AR1+0%, 5, B, B ; 5087
+ ADD *AR1+0%, 5, B ; 5088
+ ADD *AR1+0%, 6, A, A ; 5089
+ ADD *AR1+0%, 6, A, B ; 5090
+ ADD *AR1+0%, 6, A ; 5091
+ ADD *AR1+0%, 6, B, A ; 5092
+ ADD *AR1+0%, 6, B, B ; 5093
+ ADD *AR1+0%, 6, B ; 5094
+ ADD *AR1+0%, 7, A, A ; 5095
+ ADD *AR1+0%, 7, A, B ; 5096
+ ADD *AR1+0%, 7, A ; 5097
+ ADD *AR1+0%, 7, B, A ; 5098
+ ADD *AR1+0%, 7, B, B ; 5099
+ ADD *AR1+0%, 7, B ; 5100
+ ADD *AR1+0%, 8, A, A ; 5101
+ ADD *AR1+0%, 8, A, B ; 5102
+ ADD *AR1+0%, 8, A ; 5103
+ ADD *AR1+0%, 8, B, A ; 5104
+ ADD *AR1+0%, 8, B, B ; 5105
+ ADD *AR1+0%, 8, B ; 5106
+ ADD *AR1+0%, 9, A, A ; 5107
+ ADD *AR1+0%, 9, A, B ; 5108
+ ADD *AR1+0%, 9, A ; 5109
+ ADD *AR1+0%, 9, B, A ; 5110
+ ADD *AR1+0%, 9, B, B ; 5111
+ ADD *AR1+0%, 9, B ; 5112
+ ADD *AR1+0%, 10, A, A ; 5113
+ ADD *AR1+0%, 10, A, B ; 5114
+ ADD *AR1+0%, 10, A ; 5115
+ ADD *AR1+0%, 10, B, A ; 5116
+ ADD *AR1+0%, 10, B, B ; 5117
+ ADD *AR1+0%, 10, B ; 5118
+ ADD *AR1+0%, 11, A, A ; 5119
+ ADD *AR1+0%, 11, A, B ; 5120
+ ADD *AR1+0%, 11, A ; 5121
+ ADD *AR1+0%, 11, B, A ; 5122
+ ADD *AR1+0%, 11, B, B ; 5123
+ ADD *AR1+0%, 11, B ; 5124
+ ADD *AR1+0%, 12, A, A ; 5125
+ ADD *AR1+0%, 12, A, B ; 5126
+ ADD *AR1+0%, 12, A ; 5127
+ ADD *AR1+0%, 12, B, A ; 5128
+ ADD *AR1+0%, 12, B, B ; 5129
+ ADD *AR1+0%, 12, B ; 5130
+ ADD *AR1+0%, 13, A, A ; 5131
+ ADD *AR1+0%, 13, A, B ; 5132
+ ADD *AR1+0%, 13, A ; 5133
+ ADD *AR1+0%, 13, B, A ; 5134
+ ADD *AR1+0%, 13, B, B ; 5135
+ ADD *AR1+0%, 13, B ; 5136
+ ADD *AR1+0%, 14, A, A ; 5137
+ ADD *AR1+0%, 14, A, B ; 5138
+ ADD *AR1+0%, 14, A ; 5139
+ ADD *AR1+0%, 14, B, A ; 5140
+ ADD *AR1+0%, 14, B, B ; 5141
+ ADD *AR1+0%, 14, B ; 5142
+ ADD *AR1+0%, 15, A, A ; 5143
+ ADD *AR1+0%, 15, A, B ; 5144
+ ADD *AR1+0%, 15, A ; 5145
+ ADD *AR1+0%, 15, B, A ; 5146
+ ADD *AR1+0%, 15, B, B ; 5147
+ ADD *AR1+0%, 15, B ; 5148
+ ADD *AR1(0055Dh), A, A ; 5149
+ ADD *AR1(0055Eh), A, B ; 5150
+ ADD *AR1(0055Fh), A ; 5151
+ ADD *AR1(00560h), B, A ; 5152
+ ADD *AR1(00561h), B, B ; 5153
+ ADD *AR1(00562h), B ; 5154
+ ADD *AR1(00563h), -16, A, A ; 5155
+ ADD *AR1(00564h), -16, A, B ; 5156
+ ADD *AR1(00565h), -16, A ; 5157
+ ADD *AR1(00566h), -16, B, A ; 5158
+ ADD *AR1(00567h), -16, B, B ; 5159
+ ADD *AR1(00568h), -16, B ; 5160
+ ADD *AR1(00569h), -15, A, A ; 5161
+ ADD *AR1(0056Ah), -15, A, B ; 5162
+ ADD *AR1(0056Bh), -15, A ; 5163
+ ADD *AR1(0056Ch), -15, B, A ; 5164
+ ADD *AR1(0056Dh), -15, B, B ; 5165
+ ADD *AR1(0056Eh), -15, B ; 5166
+ ADD *AR1(0056Fh), -14, A, A ; 5167
+ ADD *AR1(00570h), -14, A, B ; 5168
+ ADD *AR1(00571h), -14, A ; 5169
+ ADD *AR1(00572h), -14, B, A ; 5170
+ ADD *AR1(00573h), -14, B, B ; 5171
+ ADD *AR1(00574h), -14, B ; 5172
+ ADD *AR1(00575h), -13, A, A ; 5173
+ ADD *AR1(00576h), -13, A, B ; 5174
+ ADD *AR1(00577h), -13, A ; 5175
+ ADD *AR1(00578h), -13, B, A ; 5176
+ ADD *AR1(00579h), -13, B, B ; 5177
+ ADD *AR1(0057Ah), -13, B ; 5178
+ ADD *AR1(0057Bh), -12, A, A ; 5179
+ ADD *AR1(0057Ch), -12, A, B ; 5180
+ ADD *AR1(0057Dh), -12, A ; 5181
+ ADD *AR1(0057Eh), -12, B, A ; 5182
+ ADD *AR1(0057Fh), -12, B, B ; 5183
+ ADD *AR1(00580h), -12, B ; 5184
+ ADD *AR1(00581h), -11, A, A ; 5185
+ ADD *AR1(00582h), -11, A, B ; 5186
+ ADD *AR1(00583h), -11, A ; 5187
+ ADD *AR1(00584h), -11, B, A ; 5188
+ ADD *AR1(00585h), -11, B, B ; 5189
+ ADD *AR1(00586h), -11, B ; 5190
+ ADD *AR1(00587h), -10, A, A ; 5191
+ ADD *AR1(00588h), -10, A, B ; 5192
+ ADD *AR1(00589h), -10, A ; 5193
+ ADD *AR1(0058Ah), -10, B, A ; 5194
+ ADD *AR1(0058Bh), -10, B, B ; 5195
+ ADD *AR1(0058Ch), -10, B ; 5196
+ ADD *AR1(0058Dh), -9, A, A ; 5197
+ ADD *AR1(0058Eh), -9, A, B ; 5198
+ ADD *AR1(0058Fh), -9, A ; 5199
+ ADD *AR1(00590h), -9, B, A ; 5200
+ ADD *AR1(00591h), -9, B, B ; 5201
+ ADD *AR1(00592h), -9, B ; 5202
+ ADD *AR1(00593h), -8, A, A ; 5203
+ ADD *AR1(00594h), -8, A, B ; 5204
+ ADD *AR1(00595h), -8, A ; 5205
+ ADD *AR1(00596h), -8, B, A ; 5206
+ ADD *AR1(00597h), -8, B, B ; 5207
+ ADD *AR1(00598h), -8, B ; 5208
+ ADD *AR1(00599h), -7, A, A ; 5209
+ ADD *AR1(0059Ah), -7, A, B ; 5210
+ ADD *AR1(0059Bh), -7, A ; 5211
+ ADD *AR1(0059Ch), -7, B, A ; 5212
+ ADD *AR1(0059Dh), -7, B, B ; 5213
+ ADD *AR1(0059Eh), -7, B ; 5214
+ ADD *AR1(0059Fh), -6, A, A ; 5215
+ ADD *AR1(005A0h), -6, A, B ; 5216
+ ADD *AR1(005A1h), -6, A ; 5217
+ ADD *AR1(005A2h), -6, B, A ; 5218
+ ADD *AR1(005A3h), -6, B, B ; 5219
+ ADD *AR1(005A4h), -6, B ; 5220
+ ADD *AR1(005A5h), -5, A, A ; 5221
+ ADD *AR1(005A6h), -5, A, B ; 5222
+ ADD *AR1(005A7h), -5, A ; 5223
+ ADD *AR1(005A8h), -5, B, A ; 5224
+ ADD *AR1(005A9h), -5, B, B ; 5225
+ ADD *AR1(005AAh), -5, B ; 5226
+ ADD *AR1(005ABh), -4, A, A ; 5227
+ ADD *AR1(005ACh), -4, A, B ; 5228
+ ADD *AR1(005ADh), -4, A ; 5229
+ ADD *AR1(005AEh), -4, B, A ; 5230
+ ADD *AR1(005AFh), -4, B, B ; 5231
+ ADD *AR1(005B0h), -4, B ; 5232
+ ADD *AR1(005B1h), -3, A, A ; 5233
+ ADD *AR1(005B2h), -3, A, B ; 5234
+ ADD *AR1(005B3h), -3, A ; 5235
+ ADD *AR1(005B4h), -3, B, A ; 5236
+ ADD *AR1(005B5h), -3, B, B ; 5237
+ ADD *AR1(005B6h), -3, B ; 5238
+ ADD *AR1(005B7h), -2, A, A ; 5239
+ ADD *AR1(005B8h), -2, A, B ; 5240
+ ADD *AR1(005B9h), -2, A ; 5241
+ ADD *AR1(005BAh), -2, B, A ; 5242
+ ADD *AR1(005BBh), -2, B, B ; 5243
+ ADD *AR1(005BCh), -2, B ; 5244
+ ADD *AR1(005BDh), -1, A, A ; 5245
+ ADD *AR1(005BEh), -1, A, B ; 5246
+ ADD *AR1(005BFh), -1, A ; 5247
+ ADD *AR1(005C0h), -1, B, A ; 5248
+ ADD *AR1(005C1h), -1, B, B ; 5249
+ ADD *AR1(005C2h), -1, B ; 5250
+ ADD *AR1(005C3h), 0, A, A ; 5251
+ ADD *AR1(005C4h), 0, A, B ; 5252
+ ADD *AR1(005C5h), 0, A ; 5253
+ ADD *AR1(005C6h), 0, B, A ; 5254
+ ADD *AR1(005C7h), 0, B, B ; 5255
+ ADD *AR1(005C8h), 0, B ; 5256
+ ADD *AR1(005C9h), 1, A, A ; 5257
+ ADD *AR1(005CAh), 1, A, B ; 5258
+ ADD *AR1(005CBh), 1, A ; 5259
+ ADD *AR1(005CCh), 1, B, A ; 5260
+ ADD *AR1(005CDh), 1, B, B ; 5261
+ ADD *AR1(005CEh), 1, B ; 5262
+ ADD *AR1(005CFh), 2, A, A ; 5263
+ ADD *AR1(005D0h), 2, A, B ; 5264
+ ADD *AR1(005D1h), 2, A ; 5265
+ ADD *AR1(005D2h), 2, B, A ; 5266
+ ADD *AR1(005D3h), 2, B, B ; 5267
+ ADD *AR1(005D4h), 2, B ; 5268
+ ADD *AR1(005D5h), 3, A, A ; 5269
+ ADD *AR1(005D6h), 3, A, B ; 5270
+ ADD *AR1(005D7h), 3, A ; 5271
+ ADD *AR1(005D8h), 3, B, A ; 5272
+ ADD *AR1(005D9h), 3, B, B ; 5273
+ ADD *AR1(005DAh), 3, B ; 5274
+ ADD *AR1(005DBh), 4, A, A ; 5275
+ ADD *AR1(005DCh), 4, A, B ; 5276
+ ADD *AR1(005DDh), 4, A ; 5277
+ ADD *AR1(005DEh), 4, B, A ; 5278
+ ADD *AR1(005DFh), 4, B, B ; 5279
+ ADD *AR1(005E0h), 4, B ; 5280
+ ADD *AR1(005E1h), 5, A, A ; 5281
+ ADD *AR1(005E2h), 5, A, B ; 5282
+ ADD *AR1(005E3h), 5, A ; 5283
+ ADD *AR1(005E4h), 5, B, A ; 5284
+ ADD *AR1(005E5h), 5, B, B ; 5285
+ ADD *AR1(005E6h), 5, B ; 5286
+ ADD *AR1(005E7h), 6, A, A ; 5287
+ ADD *AR1(005E8h), 6, A, B ; 5288
+ ADD *AR1(005E9h), 6, A ; 5289
+ ADD *AR1(005EAh), 6, B, A ; 5290
+ ADD *AR1(005EBh), 6, B, B ; 5291
+ ADD *AR1(005ECh), 6, B ; 5292
+ ADD *AR1(005EDh), 7, A, A ; 5293
+ ADD *AR1(005EEh), 7, A, B ; 5294
+ ADD *AR1(005EFh), 7, A ; 5295
+ ADD *AR1(005F0h), 7, B, A ; 5296
+ ADD *AR1(005F1h), 7, B, B ; 5297
+ ADD *AR1(005F2h), 7, B ; 5298
+ ADD *AR1(005F3h), 8, A, A ; 5299
+ ADD *AR1(005F4h), 8, A, B ; 5300
+ ADD *AR1(005F5h), 8, A ; 5301
+ ADD *AR1(005F6h), 8, B, A ; 5302
+ ADD *AR1(005F7h), 8, B, B ; 5303
+ ADD *AR1(005F8h), 8, B ; 5304
+ ADD *AR1(005F9h), 9, A, A ; 5305
+ ADD *AR1(005FAh), 9, A, B ; 5306
+ ADD *AR1(005FBh), 9, A ; 5307
+ ADD *AR1(005FCh), 9, B, A ; 5308
+ ADD *AR1(005FDh), 9, B, B ; 5309
+ ADD *AR1(005FEh), 9, B ; 5310
+ ADD *AR1(005FFh), 10, A, A ; 5311
+ ADD *AR1(00600h), 10, A, B ; 5312
+ ADD *AR1(00601h), 10, A ; 5313
+ ADD *AR1(00602h), 10, B, A ; 5314
+ ADD *AR1(00603h), 10, B, B ; 5315
+ ADD *AR1(00604h), 10, B ; 5316
+ ADD *AR1(00605h), 11, A, A ; 5317
+ ADD *AR1(00606h), 11, A, B ; 5318
+ ADD *AR1(00607h), 11, A ; 5319
+ ADD *AR1(00608h), 11, B, A ; 5320
+ ADD *AR1(00609h), 11, B, B ; 5321
+ ADD *AR1(0060Ah), 11, B ; 5322
+ ADD *AR1(0060Bh), 12, A, A ; 5323
+ ADD *AR1(0060Ch), 12, A, B ; 5324
+ ADD *AR1(0060Dh), 12, A ; 5325
+ ADD *AR1(0060Eh), 12, B, A ; 5326
+ ADD *AR1(0060Fh), 12, B, B ; 5327
+ ADD *AR1(00610h), 12, B ; 5328
+ ADD *AR1(00611h), 13, A, A ; 5329
+ ADD *AR1(00612h), 13, A, B ; 5330
+ ADD *AR1(00613h), 13, A ; 5331
+ ADD *AR1(00614h), 13, B, A ; 5332
+ ADD *AR1(00615h), 13, B, B ; 5333
+ ADD *AR1(00616h), 13, B ; 5334
+ ADD *AR1(00617h), 14, A, A ; 5335
+ ADD *AR1(00618h), 14, A, B ; 5336
+ ADD *AR1(00619h), 14, A ; 5337
+ ADD *AR1(0061Ah), 14, B, A ; 5338
+ ADD *AR1(0061Bh), 14, B, B ; 5339
+ ADD *AR1(0061Ch), 14, B ; 5340
+ ADD *AR1(0061Dh), 15, A, A ; 5341
+ ADD *AR1(0061Eh), 15, A, B ; 5342
+ ADD *AR1(0061Fh), 15, A ; 5343
+ ADD *AR1(00620h), 15, B, A ; 5344
+ ADD *AR1(00621h), 15, B, B ; 5345
+ ADD *AR1(00622h), 15, B ; 5346
+ ADD *+AR1(00623h), A, A ; 5347
+ ADD *+AR1(00624h), A, B ; 5348
+ ADD *+AR1(00625h), A ; 5349
+ ADD *+AR1(00626h), B, A ; 5350
+ ADD *+AR1(00627h), B, B ; 5351
+ ADD *+AR1(00628h), B ; 5352
+ ADD *+AR1(00629h), -16, A, A ; 5353
+ ADD *+AR1(0062Ah), -16, A, B ; 5354
+ ADD *+AR1(0062Bh), -16, A ; 5355
+ ADD *+AR1(0062Ch), -16, B, A ; 5356
+ ADD *+AR1(0062Dh), -16, B, B ; 5357
+ ADD *+AR1(0062Eh), -16, B ; 5358
+ ADD *+AR1(0062Fh), -15, A, A ; 5359
+ ADD *+AR1(00630h), -15, A, B ; 5360
+ ADD *+AR1(00631h), -15, A ; 5361
+ ADD *+AR1(00632h), -15, B, A ; 5362
+ ADD *+AR1(00633h), -15, B, B ; 5363
+ ADD *+AR1(00634h), -15, B ; 5364
+ ADD *+AR1(00635h), -14, A, A ; 5365
+ ADD *+AR1(00636h), -14, A, B ; 5366
+ ADD *+AR1(00637h), -14, A ; 5367
+ ADD *+AR1(00638h), -14, B, A ; 5368
+ ADD *+AR1(00639h), -14, B, B ; 5369
+ ADD *+AR1(0063Ah), -14, B ; 5370
+ ADD *+AR1(0063Bh), -13, A, A ; 5371
+ ADD *+AR1(0063Ch), -13, A, B ; 5372
+ ADD *+AR1(0063Dh), -13, A ; 5373
+ ADD *+AR1(0063Eh), -13, B, A ; 5374
+ ADD *+AR1(0063Fh), -13, B, B ; 5375
+ ADD *+AR1(00640h), -13, B ; 5376
+ ADD *+AR1(00641h), -12, A, A ; 5377
+ ADD *+AR1(00642h), -12, A, B ; 5378
+ ADD *+AR1(00643h), -12, A ; 5379
+ ADD *+AR1(00644h), -12, B, A ; 5380
+ ADD *+AR1(00645h), -12, B, B ; 5381
+ ADD *+AR1(00646h), -12, B ; 5382
+ ADD *+AR1(00647h), -11, A, A ; 5383
+ ADD *+AR1(00648h), -11, A, B ; 5384
+ ADD *+AR1(00649h), -11, A ; 5385
+ ADD *+AR1(0064Ah), -11, B, A ; 5386
+ ADD *+AR1(0064Bh), -11, B, B ; 5387
+ ADD *+AR1(0064Ch), -11, B ; 5388
+ ADD *+AR1(0064Dh), -10, A, A ; 5389
+ ADD *+AR1(0064Eh), -10, A, B ; 5390
+ ADD *+AR1(0064Fh), -10, A ; 5391
+ ADD *+AR1(00650h), -10, B, A ; 5392
+ ADD *+AR1(00651h), -10, B, B ; 5393
+ ADD *+AR1(00652h), -10, B ; 5394
+ ADD *+AR1(00653h), -9, A, A ; 5395
+ ADD *+AR1(00654h), -9, A, B ; 5396
+ ADD *+AR1(00655h), -9, A ; 5397
+ ADD *+AR1(00656h), -9, B, A ; 5398
+ ADD *+AR1(00657h), -9, B, B ; 5399
+ ADD *+AR1(00658h), -9, B ; 5400
+ ADD *+AR1(00659h), -8, A, A ; 5401
+ ADD *+AR1(0065Ah), -8, A, B ; 5402
+ ADD *+AR1(0065Bh), -8, A ; 5403
+ ADD *+AR1(0065Ch), -8, B, A ; 5404
+ ADD *+AR1(0065Dh), -8, B, B ; 5405
+ ADD *+AR1(0065Eh), -8, B ; 5406
+ ADD *+AR1(0065Fh), -7, A, A ; 5407
+ ADD *+AR1(00660h), -7, A, B ; 5408
+ ADD *+AR1(00661h), -7, A ; 5409
+ ADD *+AR1(00662h), -7, B, A ; 5410
+ ADD *+AR1(00663h), -7, B, B ; 5411
+ ADD *+AR1(00664h), -7, B ; 5412
+ ADD *+AR1(00665h), -6, A, A ; 5413
+ ADD *+AR1(00666h), -6, A, B ; 5414
+ ADD *+AR1(00667h), -6, A ; 5415
+ ADD *+AR1(00668h), -6, B, A ; 5416
+ ADD *+AR1(00669h), -6, B, B ; 5417
+ ADD *+AR1(0066Ah), -6, B ; 5418
+ ADD *+AR1(0066Bh), -5, A, A ; 5419
+ ADD *+AR1(0066Ch), -5, A, B ; 5420
+ ADD *+AR1(0066Dh), -5, A ; 5421
+ ADD *+AR1(0066Eh), -5, B, A ; 5422
+ ADD *+AR1(0066Fh), -5, B, B ; 5423
+ ADD *+AR1(00670h), -5, B ; 5424
+ ADD *+AR1(00671h), -4, A, A ; 5425
+ ADD *+AR1(00672h), -4, A, B ; 5426
+ ADD *+AR1(00673h), -4, A ; 5427
+ ADD *+AR1(00674h), -4, B, A ; 5428
+ ADD *+AR1(00675h), -4, B, B ; 5429
+ ADD *+AR1(00676h), -4, B ; 5430
+ ADD *+AR1(00677h), -3, A, A ; 5431
+ ADD *+AR1(00678h), -3, A, B ; 5432
+ ADD *+AR1(00679h), -3, A ; 5433
+ ADD *+AR1(0067Ah), -3, B, A ; 5434
+ ADD *+AR1(0067Bh), -3, B, B ; 5435
+ ADD *+AR1(0067Ch), -3, B ; 5436
+ ADD *+AR1(0067Dh), -2, A, A ; 5437
+ ADD *+AR1(0067Eh), -2, A, B ; 5438
+ ADD *+AR1(0067Fh), -2, A ; 5439
+ ADD *+AR1(00680h), -2, B, A ; 5440
+ ADD *+AR1(00681h), -2, B, B ; 5441
+ ADD *+AR1(00682h), -2, B ; 5442
+ ADD *+AR1(00683h), -1, A, A ; 5443
+ ADD *+AR1(00684h), -1, A, B ; 5444
+ ADD *+AR1(00685h), -1, A ; 5445
+ ADD *+AR1(00686h), -1, B, A ; 5446
+ ADD *+AR1(00687h), -1, B, B ; 5447
+ ADD *+AR1(00688h), -1, B ; 5448
+ ADD *+AR1(00689h), 0, A, A ; 5449
+ ADD *+AR1(0068Ah), 0, A, B ; 5450
+ ADD *+AR1(0068Bh), 0, A ; 5451
+ ADD *+AR1(0068Ch), 0, B, A ; 5452
+ ADD *+AR1(0068Dh), 0, B, B ; 5453
+ ADD *+AR1(0068Eh), 0, B ; 5454
+ ADD *+AR1(0068Fh), 1, A, A ; 5455
+ ADD *+AR1(00690h), 1, A, B ; 5456
+ ADD *+AR1(00691h), 1, A ; 5457
+ ADD *+AR1(00692h), 1, B, A ; 5458
+ ADD *+AR1(00693h), 1, B, B ; 5459
+ ADD *+AR1(00694h), 1, B ; 5460
+ ADD *+AR1(00695h), 2, A, A ; 5461
+ ADD *+AR1(00696h), 2, A, B ; 5462
+ ADD *+AR1(00697h), 2, A ; 5463
+ ADD *+AR1(00698h), 2, B, A ; 5464
+ ADD *+AR1(00699h), 2, B, B ; 5465
+ ADD *+AR1(0069Ah), 2, B ; 5466
+ ADD *+AR1(0069Bh), 3, A, A ; 5467
+ ADD *+AR1(0069Ch), 3, A, B ; 5468
+ ADD *+AR1(0069Dh), 3, A ; 5469
+ ADD *+AR1(0069Eh), 3, B, A ; 5470
+ ADD *+AR1(0069Fh), 3, B, B ; 5471
+ ADD *+AR1(006A0h), 3, B ; 5472
+ ADD *+AR1(006A1h), 4, A, A ; 5473
+ ADD *+AR1(006A2h), 4, A, B ; 5474
+ ADD *+AR1(006A3h), 4, A ; 5475
+ ADD *+AR1(006A4h), 4, B, A ; 5476
+ ADD *+AR1(006A5h), 4, B, B ; 5477
+ ADD *+AR1(006A6h), 4, B ; 5478
+ ADD *+AR1(006A7h), 5, A, A ; 5479
+ ADD *+AR1(006A8h), 5, A, B ; 5480
+ ADD *+AR1(006A9h), 5, A ; 5481
+ ADD *+AR1(006AAh), 5, B, A ; 5482
+ ADD *+AR1(006ABh), 5, B, B ; 5483
+ ADD *+AR1(006ACh), 5, B ; 5484
+ ADD *+AR1(006ADh), 6, A, A ; 5485
+ ADD *+AR1(006AEh), 6, A, B ; 5486
+ ADD *+AR1(006AFh), 6, A ; 5487
+ ADD *+AR1(006B0h), 6, B, A ; 5488
+ ADD *+AR1(006B1h), 6, B, B ; 5489
+ ADD *+AR1(006B2h), 6, B ; 5490
+ ADD *+AR1(006B3h), 7, A, A ; 5491
+ ADD *+AR1(006B4h), 7, A, B ; 5492
+ ADD *+AR1(006B5h), 7, A ; 5493
+ ADD *+AR1(006B6h), 7, B, A ; 5494
+ ADD *+AR1(006B7h), 7, B, B ; 5495
+ ADD *+AR1(006B8h), 7, B ; 5496
+ ADD *+AR1(006B9h), 8, A, A ; 5497
+ ADD *+AR1(006BAh), 8, A, B ; 5498
+ ADD *+AR1(006BBh), 8, A ; 5499
+ ADD *+AR1(006BCh), 8, B, A ; 5500
+ ADD *+AR1(006BDh), 8, B, B ; 5501
+ ADD *+AR1(006BEh), 8, B ; 5502
+ ADD *+AR1(006BFh), 9, A, A ; 5503
+ ADD *+AR1(006C0h), 9, A, B ; 5504
+ ADD *+AR1(006C1h), 9, A ; 5505
+ ADD *+AR1(006C2h), 9, B, A ; 5506
+ ADD *+AR1(006C3h), 9, B, B ; 5507
+ ADD *+AR1(006C4h), 9, B ; 5508
+ ADD *+AR1(006C5h), 10, A, A ; 5509
+ ADD *+AR1(006C6h), 10, A, B ; 5510
+ ADD *+AR1(006C7h), 10, A ; 5511
+ ADD *+AR1(006C8h), 10, B, A ; 5512
+ ADD *+AR1(006C9h), 10, B, B ; 5513
+ ADD *+AR1(006CAh), 10, B ; 5514
+ ADD *+AR1(006CBh), 11, A, A ; 5515
+ ADD *+AR1(006CCh), 11, A, B ; 5516
+ ADD *+AR1(006CDh), 11, A ; 5517
+ ADD *+AR1(006CEh), 11, B, A ; 5518
+ ADD *+AR1(006CFh), 11, B, B ; 5519
+ ADD *+AR1(006D0h), 11, B ; 5520
+ ADD *+AR1(006D1h), 12, A, A ; 5521
+ ADD *+AR1(006D2h), 12, A, B ; 5522
+ ADD *+AR1(006D3h), 12, A ; 5523
+ ADD *+AR1(006D4h), 12, B, A ; 5524
+ ADD *+AR1(006D5h), 12, B, B ; 5525
+ ADD *+AR1(006D6h), 12, B ; 5526
+ ADD *+AR1(006D7h), 13, A, A ; 5527
+ ADD *+AR1(006D8h), 13, A, B ; 5528
+ ADD *+AR1(006D9h), 13, A ; 5529
+ ADD *+AR1(006DAh), 13, B, A ; 5530
+ ADD *+AR1(006DBh), 13, B, B ; 5531
+ ADD *+AR1(006DCh), 13, B ; 5532
+ ADD *+AR1(006DDh), 14, A, A ; 5533
+ ADD *+AR1(006DEh), 14, A, B ; 5534
+ ADD *+AR1(006DFh), 14, A ; 5535
+ ADD *+AR1(006E0h), 14, B, A ; 5536
+ ADD *+AR1(006E1h), 14, B, B ; 5537
+ ADD *+AR1(006E2h), 14, B ; 5538
+ ADD *+AR1(006E3h), 15, A, A ; 5539
+ ADD *+AR1(006E4h), 15, A, B ; 5540
+ ADD *+AR1(006E5h), 15, A ; 5541
+ ADD *+AR1(006E6h), 15, B, A ; 5542
+ ADD *+AR1(006E7h), 15, B, B ; 5543
+ ADD *+AR1(006E8h), 15, B ; 5544
+ ADD *+AR1(006E9h)%, A, A ; 5545
+ ADD *+AR1(006EAh)%, A, B ; 5546
+ ADD *+AR1(006EBh)%, A ; 5547
+ ADD *+AR1(006ECh)%, B, A ; 5548
+ ADD *+AR1(006EDh)%, B, B ; 5549
+ ADD *+AR1(006EEh)%, B ; 5550
+ ADD *+AR1(006EFh)%, -16, A, A ; 5551
+ ADD *+AR1(006F0h)%, -16, A, B ; 5552
+ ADD *+AR1(006F1h)%, -16, A ; 5553
+ ADD *+AR1(006F2h)%, -16, B, A ; 5554
+ ADD *+AR1(006F3h)%, -16, B, B ; 5555
+ ADD *+AR1(006F4h)%, -16, B ; 5556
+ ADD *+AR1(006F5h)%, -15, A, A ; 5557
+ ADD *+AR1(006F6h)%, -15, A, B ; 5558
+ ADD *+AR1(006F7h)%, -15, A ; 5559
+ ADD *+AR1(006F8h)%, -15, B, A ; 5560
+ ADD *+AR1(006F9h)%, -15, B, B ; 5561
+ ADD *+AR1(006FAh)%, -15, B ; 5562
+ ADD *+AR1(006FBh)%, -14, A, A ; 5563
+ ADD *+AR1(006FCh)%, -14, A, B ; 5564
+ ADD *+AR1(006FDh)%, -14, A ; 5565
+ ADD *+AR1(006FEh)%, -14, B, A ; 5566
+ ADD *+AR1(006FFh)%, -14, B, B ; 5567
+ ADD *+AR1(00700h)%, -14, B ; 5568
+ ADD *+AR1(00701h)%, -13, A, A ; 5569
+ ADD *+AR1(00702h)%, -13, A, B ; 5570
+ ADD *+AR1(00703h)%, -13, A ; 5571
+ ADD *+AR1(00704h)%, -13, B, A ; 5572
+ ADD *+AR1(00705h)%, -13, B, B ; 5573
+ ADD *+AR1(00706h)%, -13, B ; 5574
+ ADD *+AR1(00707h)%, -12, A, A ; 5575
+ ADD *+AR1(00708h)%, -12, A, B ; 5576
+ ADD *+AR1(00709h)%, -12, A ; 5577
+ ADD *+AR1(0070Ah)%, -12, B, A ; 5578
+ ADD *+AR1(0070Bh)%, -12, B, B ; 5579
+ ADD *+AR1(0070Ch)%, -12, B ; 5580
+ ADD *+AR1(0070Dh)%, -11, A, A ; 5581
+ ADD *+AR1(0070Eh)%, -11, A, B ; 5582
+ ADD *+AR1(0070Fh)%, -11, A ; 5583
+ ADD *+AR1(00710h)%, -11, B, A ; 5584
+ ADD *+AR1(00711h)%, -11, B, B ; 5585
+ ADD *+AR1(00712h)%, -11, B ; 5586
+ ADD *+AR1(00713h)%, -10, A, A ; 5587
+ ADD *+AR1(00714h)%, -10, A, B ; 5588
+ ADD *+AR1(00715h)%, -10, A ; 5589
+ ADD *+AR1(00716h)%, -10, B, A ; 5590
+ ADD *+AR1(00717h)%, -10, B, B ; 5591
+ ADD *+AR1(00718h)%, -10, B ; 5592
+ ADD *+AR1(00719h)%, -9, A, A ; 5593
+ ADD *+AR1(0071Ah)%, -9, A, B ; 5594
+ ADD *+AR1(0071Bh)%, -9, A ; 5595
+ ADD *+AR1(0071Ch)%, -9, B, A ; 5596
+ ADD *+AR1(0071Dh)%, -9, B, B ; 5597
+ ADD *+AR1(0071Eh)%, -9, B ; 5598
+ ADD *+AR1(0071Fh)%, -8, A, A ; 5599
+ ADD *+AR1(00720h)%, -8, A, B ; 5600
+ ADD *+AR1(00721h)%, -8, A ; 5601
+ ADD *+AR1(00722h)%, -8, B, A ; 5602
+ ADD *+AR1(00723h)%, -8, B, B ; 5603
+ ADD *+AR1(00724h)%, -8, B ; 5604
+ ADD *+AR1(00725h)%, -7, A, A ; 5605
+ ADD *+AR1(00726h)%, -7, A, B ; 5606
+ ADD *+AR1(00727h)%, -7, A ; 5607
+ ADD *+AR1(00728h)%, -7, B, A ; 5608
+ ADD *+AR1(00729h)%, -7, B, B ; 5609
+ ADD *+AR1(0072Ah)%, -7, B ; 5610
+ ADD *+AR1(0072Bh)%, -6, A, A ; 5611
+ ADD *+AR1(0072Ch)%, -6, A, B ; 5612
+ ADD *+AR1(0072Dh)%, -6, A ; 5613
+ ADD *+AR1(0072Eh)%, -6, B, A ; 5614
+ ADD *+AR1(0072Fh)%, -6, B, B ; 5615
+ ADD *+AR1(00730h)%, -6, B ; 5616
+ ADD *+AR1(00731h)%, -5, A, A ; 5617
+ ADD *+AR1(00732h)%, -5, A, B ; 5618
+ ADD *+AR1(00733h)%, -5, A ; 5619
+ ADD *+AR1(00734h)%, -5, B, A ; 5620
+ ADD *+AR1(00735h)%, -5, B, B ; 5621
+ ADD *+AR1(00736h)%, -5, B ; 5622
+ ADD *+AR1(00737h)%, -4, A, A ; 5623
+ ADD *+AR1(00738h)%, -4, A, B ; 5624
+ ADD *+AR1(00739h)%, -4, A ; 5625
+ ADD *+AR1(0073Ah)%, -4, B, A ; 5626
+ ADD *+AR1(0073Bh)%, -4, B, B ; 5627
+ ADD *+AR1(0073Ch)%, -4, B ; 5628
+ ADD *+AR1(0073Dh)%, -3, A, A ; 5629
+ ADD *+AR1(0073Eh)%, -3, A, B ; 5630
+ ADD *+AR1(0073Fh)%, -3, A ; 5631
+ ADD *+AR1(00740h)%, -3, B, A ; 5632
+ ADD *+AR1(00741h)%, -3, B, B ; 5633
+ ADD *+AR1(00742h)%, -3, B ; 5634
+ ADD *+AR1(00743h)%, -2, A, A ; 5635
+ ADD *+AR1(00744h)%, -2, A, B ; 5636
+ ADD *+AR1(00745h)%, -2, A ; 5637
+ ADD *+AR1(00746h)%, -2, B, A ; 5638
+ ADD *+AR1(00747h)%, -2, B, B ; 5639
+ ADD *+AR1(00748h)%, -2, B ; 5640
+ ADD *+AR1(00749h)%, -1, A, A ; 5641
+ ADD *+AR1(0074Ah)%, -1, A, B ; 5642
+ ADD *+AR1(0074Bh)%, -1, A ; 5643
+ ADD *+AR1(0074Ch)%, -1, B, A ; 5644
+ ADD *+AR1(0074Dh)%, -1, B, B ; 5645
+ ADD *+AR1(0074Eh)%, -1, B ; 5646
+ ADD *+AR1(0074Fh)%, 0, A, A ; 5647
+ ADD *+AR1(00750h)%, 0, A, B ; 5648
+ ADD *+AR1(00751h)%, 0, A ; 5649
+ ADD *+AR1(00752h)%, 0, B, A ; 5650
+ ADD *+AR1(00753h)%, 0, B, B ; 5651
+ ADD *+AR1(00754h)%, 0, B ; 5652
+ ADD *+AR1(00755h)%, 1, A, A ; 5653
+ ADD *+AR1(00756h)%, 1, A, B ; 5654
+ ADD *+AR1(00757h)%, 1, A ; 5655
+ ADD *+AR1(00758h)%, 1, B, A ; 5656
+ ADD *+AR1(00759h)%, 1, B, B ; 5657
+ ADD *+AR1(0075Ah)%, 1, B ; 5658
+ ADD *+AR1(0075Bh)%, 2, A, A ; 5659
+ ADD *+AR1(0075Ch)%, 2, A, B ; 5660
+ ADD *+AR1(0075Dh)%, 2, A ; 5661
+ ADD *+AR1(0075Eh)%, 2, B, A ; 5662
+ ADD *+AR1(0075Fh)%, 2, B, B ; 5663
+ ADD *+AR1(00760h)%, 2, B ; 5664
+ ADD *+AR1(00761h)%, 3, A, A ; 5665
+ ADD *+AR1(00762h)%, 3, A, B ; 5666
+ ADD *+AR1(00763h)%, 3, A ; 5667
+ ADD *+AR1(00764h)%, 3, B, A ; 5668
+ ADD *+AR1(00765h)%, 3, B, B ; 5669
+ ADD *+AR1(00766h)%, 3, B ; 5670
+ ADD *+AR1(00767h)%, 4, A, A ; 5671
+ ADD *+AR1(00768h)%, 4, A, B ; 5672
+ ADD *+AR1(00769h)%, 4, A ; 5673
+ ADD *+AR1(0076Ah)%, 4, B, A ; 5674
+ ADD *+AR1(0076Bh)%, 4, B, B ; 5675
+ ADD *+AR1(0076Ch)%, 4, B ; 5676
+ ADD *+AR1(0076Dh)%, 5, A, A ; 5677
+ ADD *+AR1(0076Eh)%, 5, A, B ; 5678
+ ADD *+AR1(0076Fh)%, 5, A ; 5679
+ ADD *+AR1(00770h)%, 5, B, A ; 5680
+ ADD *+AR1(00771h)%, 5, B, B ; 5681
+ ADD *+AR1(00772h)%, 5, B ; 5682
+ ADD *+AR1(00773h)%, 6, A, A ; 5683
+ ADD *+AR1(00774h)%, 6, A, B ; 5684
+ ADD *+AR1(00775h)%, 6, A ; 5685
+ ADD *+AR1(00776h)%, 6, B, A ; 5686
+ ADD *+AR1(00777h)%, 6, B, B ; 5687
+ ADD *+AR1(00778h)%, 6, B ; 5688
+ ADD *+AR1(00779h)%, 7, A, A ; 5689
+ ADD *+AR1(0077Ah)%, 7, A, B ; 5690
+ ADD *+AR1(0077Bh)%, 7, A ; 5691
+ ADD *+AR1(0077Ch)%, 7, B, A ; 5692
+ ADD *+AR1(0077Dh)%, 7, B, B ; 5693
+ ADD *+AR1(0077Eh)%, 7, B ; 5694
+ ADD *+AR1(0077Fh)%, 8, A, A ; 5695
+ ADD *+AR1(00780h)%, 8, A, B ; 5696
+ ADD *+AR1(00781h)%, 8, A ; 5697
+ ADD *+AR1(00782h)%, 8, B, A ; 5698
+ ADD *+AR1(00783h)%, 8, B, B ; 5699
+ ADD *+AR1(00784h)%, 8, B ; 5700
+ ADD *+AR1(00785h)%, 9, A, A ; 5701
+ ADD *+AR1(00786h)%, 9, A, B ; 5702
+ ADD *+AR1(00787h)%, 9, A ; 5703
+ ADD *+AR1(00788h)%, 9, B, A ; 5704
+ ADD *+AR1(00789h)%, 9, B, B ; 5705
+ ADD *+AR1(0078Ah)%, 9, B ; 5706
+ ADD *+AR1(0078Bh)%, 10, A, A ; 5707
+ ADD *+AR1(0078Ch)%, 10, A, B ; 5708
+ ADD *+AR1(0078Dh)%, 10, A ; 5709
+ ADD *+AR1(0078Eh)%, 10, B, A ; 5710
+ ADD *+AR1(0078Fh)%, 10, B, B ; 5711
+ ADD *+AR1(00790h)%, 10, B ; 5712
+ ADD *+AR1(00791h)%, 11, A, A ; 5713
+ ADD *+AR1(00792h)%, 11, A, B ; 5714
+ ADD *+AR1(00793h)%, 11, A ; 5715
+ ADD *+AR1(00794h)%, 11, B, A ; 5716
+ ADD *+AR1(00795h)%, 11, B, B ; 5717
+ ADD *+AR1(00796h)%, 11, B ; 5718
+ ADD *+AR1(00797h)%, 12, A, A ; 5719
+ ADD *+AR1(00798h)%, 12, A, B ; 5720
+ ADD *+AR1(00799h)%, 12, A ; 5721
+ ADD *+AR1(0079Ah)%, 12, B, A ; 5722
+ ADD *+AR1(0079Bh)%, 12, B, B ; 5723
+ ADD *+AR1(0079Ch)%, 12, B ; 5724
+ ADD *+AR1(0079Dh)%, 13, A, A ; 5725
+ ADD *+AR1(0079Eh)%, 13, A, B ; 5726
+ ADD *+AR1(0079Fh)%, 13, A ; 5727
+ ADD *+AR1(007A0h)%, 13, B, A ; 5728
+ ADD *+AR1(007A1h)%, 13, B, B ; 5729
+ ADD *+AR1(007A2h)%, 13, B ; 5730
+ ADD *+AR1(007A3h)%, 14, A, A ; 5731
+ ADD *+AR1(007A4h)%, 14, A, B ; 5732
+ ADD *+AR1(007A5h)%, 14, A ; 5733
+ ADD *+AR1(007A6h)%, 14, B, A ; 5734
+ ADD *+AR1(007A7h)%, 14, B, B ; 5735
+ ADD *+AR1(007A8h)%, 14, B ; 5736
+ ADD *+AR1(007A9h)%, 15, A, A ; 5737
+ ADD *+AR1(007AAh)%, 15, A, B ; 5738
+ ADD *+AR1(007ABh)%, 15, A ; 5739
+ ADD *+AR1(007ACh)%, 15, B, A ; 5740
+ ADD *+AR1(007ADh)%, 15, B, B ; 5741
+ ADD *+AR1(007AEh)%, 15, B ; 5742
+ ADD *(007AFh), A, A ; 5743
+ ADD *(007B0h), A, B ; 5744
+ ADD *(007B1h), A ; 5745
+ ADD *(007B2h), B, A ; 5746
+ ADD *(007B3h), B, B ; 5747
+ ADD *(007B4h), B ; 5748
+ ADD *(007B5h), -16, A, A ; 5749
+ ADD *(007B6h), -16, A, B ; 5750
+ ADD *(007B7h), -16, A ; 5751
+ ADD *(007B8h), -16, B, A ; 5752
+ ADD *(007B9h), -16, B, B ; 5753
+ ADD *(007BAh), -16, B ; 5754
+ ADD *(007BBh), -15, A, A ; 5755
+ ADD *(007BCh), -15, A, B ; 5756
+ ADD *(007BDh), -15, A ; 5757
+ ADD *(007BEh), -15, B, A ; 5758
+ ADD *(007BFh), -15, B, B ; 5759
+ ADD *(007C0h), -15, B ; 5760
+ ADD *(007C1h), -14, A, A ; 5761
+ ADD *(007C2h), -14, A, B ; 5762
+ ADD *(007C3h), -14, A ; 5763
+ ADD *(007C4h), -14, B, A ; 5764
+ ADD *(007C5h), -14, B, B ; 5765
+ ADD *(007C6h), -14, B ; 5766
+ ADD *(007C7h), -13, A, A ; 5767
+ ADD *(007C8h), -13, A, B ; 5768
+ ADD *(007C9h), -13, A ; 5769
+ ADD *(007CAh), -13, B, A ; 5770
+ ADD *(007CBh), -13, B, B ; 5771
+ ADD *(007CCh), -13, B ; 5772
+ ADD *(007CDh), -12, A, A ; 5773
+ ADD *(007CEh), -12, A, B ; 5774
+ ADD *(007CFh), -12, A ; 5775
+ ADD *(007D0h), -12, B, A ; 5776
+ ADD *(007D1h), -12, B, B ; 5777
+ ADD *(007D2h), -12, B ; 5778
+ ADD *(007D3h), -11, A, A ; 5779
+ ADD *(007D4h), -11, A, B ; 5780
+ ADD *(007D5h), -11, A ; 5781
+ ADD *(007D6h), -11, B, A ; 5782
+ ADD *(007D7h), -11, B, B ; 5783
+ ADD *(007D8h), -11, B ; 5784
+ ADD *(007D9h), -10, A, A ; 5785
+ ADD *(007DAh), -10, A, B ; 5786
+ ADD *(007DBh), -10, A ; 5787
+ ADD *(007DCh), -10, B, A ; 5788
+ ADD *(007DDh), -10, B, B ; 5789
+ ADD *(007DEh), -10, B ; 5790
+ ADD *(007DFh), -9, A, A ; 5791
+ ADD *(007E0h), -9, A, B ; 5792
+ ADD *(007E1h), -9, A ; 5793
+ ADD *(007E2h), -9, B, A ; 5794
+ ADD *(007E3h), -9, B, B ; 5795
+ ADD *(007E4h), -9, B ; 5796
+ ADD *(007E5h), -8, A, A ; 5797
+ ADD *(007E6h), -8, A, B ; 5798
+ ADD *(007E7h), -8, A ; 5799
+ ADD *(007E8h), -8, B, A ; 5800
+ ADD *(007E9h), -8, B, B ; 5801
+ ADD *(007EAh), -8, B ; 5802
+ ADD *(007EBh), -7, A, A ; 5803
+ ADD *(007ECh), -7, A, B ; 5804
+ ADD *(007EDh), -7, A ; 5805
+ ADD *(007EEh), -7, B, A ; 5806
+ ADD *(007EFh), -7, B, B ; 5807
+ ADD *(007F0h), -7, B ; 5808
+ ADD *(007F1h), -6, A, A ; 5809
+ ADD *(007F2h), -6, A, B ; 5810
+ ADD *(007F3h), -6, A ; 5811
+ ADD *(007F4h), -6, B, A ; 5812
+ ADD *(007F5h), -6, B, B ; 5813
+ ADD *(007F6h), -6, B ; 5814
+ ADD *(007F7h), -5, A, A ; 5815
+ ADD *(007F8h), -5, A, B ; 5816
+ ADD *(007F9h), -5, A ; 5817
+ ADD *(007FAh), -5, B, A ; 5818
+ ADD *(007FBh), -5, B, B ; 5819
+ ADD *(007FCh), -5, B ; 5820
+ ADD *(007FDh), -4, A, A ; 5821
+ ADD *(007FEh), -4, A, B ; 5822
+ ADD *(007FFh), -4, A ; 5823
+ ADD *(00800h), -4, B, A ; 5824
+ ADD *(00801h), -4, B, B ; 5825
+ ADD *(00802h), -4, B ; 5826
+ ADD *(00803h), -3, A, A ; 5827
+ ADD *(00804h), -3, A, B ; 5828
+ ADD *(00805h), -3, A ; 5829
+ ADD *(00806h), -3, B, A ; 5830
+ ADD *(00807h), -3, B, B ; 5831
+ ADD *(00808h), -3, B ; 5832
+ ADD *(00809h), -2, A, A ; 5833
+ ADD *(0080Ah), -2, A, B ; 5834
+ ADD *(0080Bh), -2, A ; 5835
+ ADD *(0080Ch), -2, B, A ; 5836
+ ADD *(0080Dh), -2, B, B ; 5837
+ ADD *(0080Eh), -2, B ; 5838
+ ADD *(0080Fh), -1, A, A ; 5839
+ ADD *(00810h), -1, A, B ; 5840
+ ADD *(00811h), -1, A ; 5841
+ ADD *(00812h), -1, B, A ; 5842
+ ADD *(00813h), -1, B, B ; 5843
+ ADD *(00814h), -1, B ; 5844
+ ADD *(00815h), 0, A, A ; 5845
+ ADD *(00816h), 0, A, B ; 5846
+ ADD *(00817h), 0, A ; 5847
+ ADD *(00818h), 0, B, A ; 5848
+ ADD *(00819h), 0, B, B ; 5849
+ ADD *(0081Ah), 0, B ; 5850
+ ADD *(0081Bh), 1, A, A ; 5851
+ ADD *(0081Ch), 1, A, B ; 5852
+ ADD *(0081Dh), 1, A ; 5853
+ ADD *(0081Eh), 1, B, A ; 5854
+ ADD *(0081Fh), 1, B, B ; 5855
+ ADD *(00820h), 1, B ; 5856
+ ADD *(00821h), 2, A, A ; 5857
+ ADD *(00822h), 2, A, B ; 5858
+ ADD *(00823h), 2, A ; 5859
+ ADD *(00824h), 2, B, A ; 5860
+ ADD *(00825h), 2, B, B ; 5861
+ ADD *(00826h), 2, B ; 5862
+ ADD *(00827h), 3, A, A ; 5863
+ ADD *(00828h), 3, A, B ; 5864
+ ADD *(00829h), 3, A ; 5865
+ ADD *(0082Ah), 3, B, A ; 5866
+ ADD *(0082Bh), 3, B, B ; 5867
+ ADD *(0082Ch), 3, B ; 5868
+ ADD *(0082Dh), 4, A, A ; 5869
+ ADD *(0082Eh), 4, A, B ; 5870
+ ADD *(0082Fh), 4, A ; 5871
+ ADD *(00830h), 4, B, A ; 5872
+ ADD *(00831h), 4, B, B ; 5873
+ ADD *(00832h), 4, B ; 5874
+ ADD *(00833h), 5, A, A ; 5875
+ ADD *(00834h), 5, A, B ; 5876
+ ADD *(00835h), 5, A ; 5877
+ ADD *(00836h), 5, B, A ; 5878
+ ADD *(00837h), 5, B, B ; 5879
+ ADD *(00838h), 5, B ; 5880
+ ADD *(00839h), 6, A, A ; 5881
+ ADD *(0083Ah), 6, A, B ; 5882
+ ADD *(0083Bh), 6, A ; 5883
+ ADD *(0083Ch), 6, B, A ; 5884
+ ADD *(0083Dh), 6, B, B ; 5885
+ ADD *(0083Eh), 6, B ; 5886
+ ADD *(0083Fh), 7, A, A ; 5887
+ ADD *(00840h), 7, A, B ; 5888
+ ADD *(00841h), 7, A ; 5889
+ ADD *(00842h), 7, B, A ; 5890
+ ADD *(00843h), 7, B, B ; 5891
+ ADD *(00844h), 7, B ; 5892
+ ADD *(00845h), 8, A, A ; 5893
+ ADD *(00846h), 8, A, B ; 5894
+ ADD *(00847h), 8, A ; 5895
+ ADD *(00848h), 8, B, A ; 5896
+ ADD *(00849h), 8, B, B ; 5897
+ ADD *(0084Ah), 8, B ; 5898
+ ADD *(0084Bh), 9, A, A ; 5899
+ ADD *(0084Ch), 9, A, B ; 5900
+ ADD *(0084Dh), 9, A ; 5901
+ ADD *(0084Eh), 9, B, A ; 5902
+ ADD *(0084Fh), 9, B, B ; 5903
+ ADD *(00850h), 9, B ; 5904
+ ADD *(00851h), 10, A, A ; 5905
+ ADD *(00852h), 10, A, B ; 5906
+ ADD *(00853h), 10, A ; 5907
+ ADD *(00854h), 10, B, A ; 5908
+ ADD *(00855h), 10, B, B ; 5909
+ ADD *(00856h), 10, B ; 5910
+ ADD *(00857h), 11, A, A ; 5911
+ ADD *(00858h), 11, A, B ; 5912
+ ADD *(00859h), 11, A ; 5913
+ ADD *(0085Ah), 11, B, A ; 5914
+ ADD *(0085Bh), 11, B, B ; 5915
+ ADD *(0085Ch), 11, B ; 5916
+ ADD *(0085Dh), 12, A, A ; 5917
+ ADD *(0085Eh), 12, A, B ; 5918
+ ADD *(0085Fh), 12, A ; 5919
+ ADD *(00860h), 12, B, A ; 5920
+ ADD *(00861h), 12, B, B ; 5921
+ ADD *(00862h), 12, B ; 5922
+ ADD *(00863h), 13, A, A ; 5923
+ ADD *(00864h), 13, A, B ; 5924
+ ADD *(00865h), 13, A ; 5925
+ ADD *(00866h), 13, B, A ; 5926
+ ADD *(00867h), 13, B, B ; 5927
+ ADD *(00868h), 13, B ; 5928
+ ADD *(00869h), 14, A, A ; 5929
+ ADD *(0086Ah), 14, A, B ; 5930
+ ADD *(0086Bh), 14, A ; 5931
+ ADD *(0086Ch), 14, B, A ; 5932
+ ADD *(0086Dh), 14, B, B ; 5933
+ ADD *(0086Eh), 14, B ; 5934
+ ADD *(0086Fh), 15, A, A ; 5935
+ ADD *(00870h), 15, A, B ; 5936
+ ADD *(00871h), 15, A ; 5937
+ ADD *(00872h), 15, B, A ; 5938
+ ADD *(00873h), 15, B, B ; 5939
+ ADD *(00874h), 15, B ; 5940
+ ADD *AR2, A, A ; 5941
+ ADD *AR2, A, B ; 5942
+ ADD *AR2, A ; 5943
+ ADD *AR2, B, A ; 5944
+ ADD *AR2, B, B ; 5945
+ ADD *AR2, B ; 5946
+ ADD *AR2, -16, A, A ; 5947
+ ADD *AR2, -16, A, B ; 5948
+ ADD *AR2, -16, A ; 5949
+ ADD *AR2, -16, B, A ; 5950
+ ADD *AR2, -16, B, B ; 5951
+ ADD *AR2, -16, B ; 5952
+ ADD *AR2, -15, A, A ; 5953
+ ADD *AR2, -15, A, B ; 5954
+ ADD *AR2, -15, A ; 5955
+ ADD *AR2, -15, B, A ; 5956
+ ADD *AR2, -15, B, B ; 5957
+ ADD *AR2, -15, B ; 5958
+ ADD *AR2, -14, A, A ; 5959
+ ADD *AR2, -14, A, B ; 5960
+ ADD *AR2, -14, A ; 5961
+ ADD *AR2, -14, B, A ; 5962
+ ADD *AR2, -14, B, B ; 5963
+ ADD *AR2, -14, B ; 5964
+ ADD *AR2, -13, A, A ; 5965
+ ADD *AR2, -13, A, B ; 5966
+ ADD *AR2, -13, A ; 5967
+ ADD *AR2, -13, B, A ; 5968
+ ADD *AR2, -13, B, B ; 5969
+ ADD *AR2, -13, B ; 5970
+ ADD *AR2, -12, A, A ; 5971
+ ADD *AR2, -12, A, B ; 5972
+ ADD *AR2, -12, A ; 5973
+ ADD *AR2, -12, B, A ; 5974
+ ADD *AR2, -12, B, B ; 5975
+ ADD *AR2, -12, B ; 5976
+ ADD *AR2, -11, A, A ; 5977
+ ADD *AR2, -11, A, B ; 5978
+ ADD *AR2, -11, A ; 5979
+ ADD *AR2, -11, B, A ; 5980
+ ADD *AR2, -11, B, B ; 5981
+ ADD *AR2, -11, B ; 5982
+ ADD *AR2, -10, A, A ; 5983
+ ADD *AR2, -10, A, B ; 5984
+ ADD *AR2, -10, A ; 5985
+ ADD *AR2, -10, B, A ; 5986
+ ADD *AR2, -10, B, B ; 5987
+ ADD *AR2, -10, B ; 5988
+ ADD *AR2, -9, A, A ; 5989
+ ADD *AR2, -9, A, B ; 5990
+ ADD *AR2, -9, A ; 5991
+ ADD *AR2, -9, B, A ; 5992
+ ADD *AR2, -9, B, B ; 5993
+ ADD *AR2, -9, B ; 5994
+ ADD *AR2, -8, A, A ; 5995
+ ADD *AR2, -8, A, B ; 5996
+ ADD *AR2, -8, A ; 5997
+ ADD *AR2, -8, B, A ; 5998
+ ADD *AR2, -8, B, B ; 5999
+ ADD *AR2, -8, B ; 6000
+ ADD *AR2, -7, A, A ; 6001
+ ADD *AR2, -7, A, B ; 6002
+ ADD *AR2, -7, A ; 6003
+ ADD *AR2, -7, B, A ; 6004
+ ADD *AR2, -7, B, B ; 6005
+ ADD *AR2, -7, B ; 6006
+ ADD *AR2, -6, A, A ; 6007
+ ADD *AR2, -6, A, B ; 6008
+ ADD *AR2, -6, A ; 6009
+ ADD *AR2, -6, B, A ; 6010
+ ADD *AR2, -6, B, B ; 6011
+ ADD *AR2, -6, B ; 6012
+ ADD *AR2, -5, A, A ; 6013
+ ADD *AR2, -5, A, B ; 6014
+ ADD *AR2, -5, A ; 6015
+ ADD *AR2, -5, B, A ; 6016
+ ADD *AR2, -5, B, B ; 6017
+ ADD *AR2, -5, B ; 6018
+ ADD *AR2, -4, A, A ; 6019
+ ADD *AR2, -4, A, B ; 6020
+ ADD *AR2, -4, A ; 6021
+ ADD *AR2, -4, B, A ; 6022
+ ADD *AR2, -4, B, B ; 6023
+ ADD *AR2, -4, B ; 6024
+ ADD *AR2, -3, A, A ; 6025
+ ADD *AR2, -3, A, B ; 6026
+ ADD *AR2, -3, A ; 6027
+ ADD *AR2, -3, B, A ; 6028
+ ADD *AR2, -3, B, B ; 6029
+ ADD *AR2, -3, B ; 6030
+ ADD *AR2, -2, A, A ; 6031
+ ADD *AR2, -2, A, B ; 6032
+ ADD *AR2, -2, A ; 6033
+ ADD *AR2, -2, B, A ; 6034
+ ADD *AR2, -2, B, B ; 6035
+ ADD *AR2, -2, B ; 6036
+ ADD *AR2, -1, A, A ; 6037
+ ADD *AR2, -1, A, B ; 6038
+ ADD *AR2, -1, A ; 6039
+ ADD *AR2, -1, B, A ; 6040
+ ADD *AR2, -1, B, B ; 6041
+ ADD *AR2, -1, B ; 6042
+ ADD *AR2, 0, A, A ; 6043
+ ADD *AR2, 0, A, B ; 6044
+ ADD *AR2, 0, A ; 6045
+ ADD *AR2, 0, B, A ; 6046
+ ADD *AR2, 0, B, B ; 6047
+ ADD *AR2, 0, B ; 6048
+ ADD *AR2, 1, A, A ; 6049
+ ADD *AR2, 1, A, B ; 6050
+ ADD *AR2, 1, A ; 6051
+ ADD *AR2, 1, B, A ; 6052
+ ADD *AR2, 1, B, B ; 6053
+ ADD *AR2, 1, B ; 6054
+ ADD *AR2, 2, A, A ; 6055
+ ADD *AR2, 2, A, B ; 6056
+ ADD *AR2, 2, A ; 6057
+ ADD *AR2, 2, B, A ; 6058
+ ADD *AR2, 2, B, B ; 6059
+ ADD *AR2, 2, B ; 6060
+ ADD *AR2, 3, A, A ; 6061
+ ADD *AR2, 3, A, B ; 6062
+ ADD *AR2, 3, A ; 6063
+ ADD *AR2, 3, B, A ; 6064
+ ADD *AR2, 3, B, B ; 6065
+ ADD *AR2, 3, B ; 6066
+ ADD *AR2, 4, A, A ; 6067
+ ADD *AR2, 4, A, B ; 6068
+ ADD *AR2, 4, A ; 6069
+ ADD *AR2, 4, B, A ; 6070
+ ADD *AR2, 4, B, B ; 6071
+ ADD *AR2, 4, B ; 6072
+ ADD *AR2, 5, A, A ; 6073
+ ADD *AR2, 5, A, B ; 6074
+ ADD *AR2, 5, A ; 6075
+ ADD *AR2, 5, B, A ; 6076
+ ADD *AR2, 5, B, B ; 6077
+ ADD *AR2, 5, B ; 6078
+ ADD *AR2, 6, A, A ; 6079
+ ADD *AR2, 6, A, B ; 6080
+ ADD *AR2, 6, A ; 6081
+ ADD *AR2, 6, B, A ; 6082
+ ADD *AR2, 6, B, B ; 6083
+ ADD *AR2, 6, B ; 6084
+ ADD *AR2, 7, A, A ; 6085
+ ADD *AR2, 7, A, B ; 6086
+ ADD *AR2, 7, A ; 6087
+ ADD *AR2, 7, B, A ; 6088
+ ADD *AR2, 7, B, B ; 6089
+ ADD *AR2, 7, B ; 6090
+ ADD *AR2, 8, A, A ; 6091
+ ADD *AR2, 8, A, B ; 6092
+ ADD *AR2, 8, A ; 6093
+ ADD *AR2, 8, B, A ; 6094
+ ADD *AR2, 8, B, B ; 6095
+ ADD *AR2, 8, B ; 6096
+ ADD *AR2, 9, A, A ; 6097
+ ADD *AR2, 9, A, B ; 6098
+ ADD *AR2, 9, A ; 6099
+ ADD *AR2, 9, B, A ; 6100
+ ADD *AR2, 9, B, B ; 6101
+ ADD *AR2, 9, B ; 6102
+ ADD *AR2, 10, A, A ; 6103
+ ADD *AR2, 10, A, B ; 6104
+ ADD *AR2, 10, A ; 6105
+ ADD *AR2, 10, B, A ; 6106
+ ADD *AR2, 10, B, B ; 6107
+ ADD *AR2, 10, B ; 6108
+ ADD *AR2, 11, A, A ; 6109
+ ADD *AR2, 11, A, B ; 6110
+ ADD *AR2, 11, A ; 6111
+ ADD *AR2, 11, B, A ; 6112
+ ADD *AR2, 11, B, B ; 6113
+ ADD *AR2, 11, B ; 6114
+ ADD *AR2, 12, A, A ; 6115
+ ADD *AR2, 12, A, B ; 6116
+ ADD *AR2, 12, A ; 6117
+ ADD *AR2, 12, B, A ; 6118
+ ADD *AR2, 12, B, B ; 6119
+ ADD *AR2, 12, B ; 6120
+ ADD *AR2, 13, A, A ; 6121
+ ADD *AR2, 13, A, B ; 6122
+ ADD *AR2, 13, A ; 6123
+ ADD *AR2, 13, B, A ; 6124
+ ADD *AR2, 13, B, B ; 6125
+ ADD *AR2, 13, B ; 6126
+ ADD *AR2, 14, A, A ; 6127
+ ADD *AR2, 14, A, B ; 6128
+ ADD *AR2, 14, A ; 6129
+ ADD *AR2, 14, B, A ; 6130
+ ADD *AR2, 14, B, B ; 6131
+ ADD *AR2, 14, B ; 6132
+ ADD *AR2, 15, A, A ; 6133
+ ADD *AR2, 15, A, B ; 6134
+ ADD *AR2, 15, A ; 6135
+ ADD *AR2, 15, B, A ; 6136
+ ADD *AR2, 15, B, B ; 6137
+ ADD *AR2, 15, B ; 6138
+ ADD *AR2-, A, A ; 6139
+ ADD *AR2-, A, B ; 6140
+ ADD *AR2-, A ; 6141
+ ADD *AR2-, B, A ; 6142
+ ADD *AR2-, B, B ; 6143
+ ADD *AR2-, B ; 6144
+ ADD *AR2-, -16, A, A ; 6145
+ ADD *AR2-, -16, A, B ; 6146
+ ADD *AR2-, -16, A ; 6147
+ ADD *AR2-, -16, B, A ; 6148
+ ADD *AR2-, -16, B, B ; 6149
+ ADD *AR2-, -16, B ; 6150
+ ADD *AR2-, -15, A, A ; 6151
+ ADD *AR2-, -15, A, B ; 6152
+ ADD *AR2-, -15, A ; 6153
+ ADD *AR2-, -15, B, A ; 6154
+ ADD *AR2-, -15, B, B ; 6155
+ ADD *AR2-, -15, B ; 6156
+ ADD *AR2-, -14, A, A ; 6157
+ ADD *AR2-, -14, A, B ; 6158
+ ADD *AR2-, -14, A ; 6159
+ ADD *AR2-, -14, B, A ; 6160
+ ADD *AR2-, -14, B, B ; 6161
+ ADD *AR2-, -14, B ; 6162
+ ADD *AR2-, -13, A, A ; 6163
+ ADD *AR2-, -13, A, B ; 6164
+ ADD *AR2-, -13, A ; 6165
+ ADD *AR2-, -13, B, A ; 6166
+ ADD *AR2-, -13, B, B ; 6167
+ ADD *AR2-, -13, B ; 6168
+ ADD *AR2-, -12, A, A ; 6169
+ ADD *AR2-, -12, A, B ; 6170
+ ADD *AR2-, -12, A ; 6171
+ ADD *AR2-, -12, B, A ; 6172
+ ADD *AR2-, -12, B, B ; 6173
+ ADD *AR2-, -12, B ; 6174
+ ADD *AR2-, -11, A, A ; 6175
+ ADD *AR2-, -11, A, B ; 6176
+ ADD *AR2-, -11, A ; 6177
+ ADD *AR2-, -11, B, A ; 6178
+ ADD *AR2-, -11, B, B ; 6179
+ ADD *AR2-, -11, B ; 6180
+ ADD *AR2-, -10, A, A ; 6181
+ ADD *AR2-, -10, A, B ; 6182
+ ADD *AR2-, -10, A ; 6183
+ ADD *AR2-, -10, B, A ; 6184
+ ADD *AR2-, -10, B, B ; 6185
+ ADD *AR2-, -10, B ; 6186
+ ADD *AR2-, -9, A, A ; 6187
+ ADD *AR2-, -9, A, B ; 6188
+ ADD *AR2-, -9, A ; 6189
+ ADD *AR2-, -9, B, A ; 6190
+ ADD *AR2-, -9, B, B ; 6191
+ ADD *AR2-, -9, B ; 6192
+ ADD *AR2-, -8, A, A ; 6193
+ ADD *AR2-, -8, A, B ; 6194
+ ADD *AR2-, -8, A ; 6195
+ ADD *AR2-, -8, B, A ; 6196
+ ADD *AR2-, -8, B, B ; 6197
+ ADD *AR2-, -8, B ; 6198
+ ADD *AR2-, -7, A, A ; 6199
+ ADD *AR2-, -7, A, B ; 6200
+ ADD *AR2-, -7, A ; 6201
+ ADD *AR2-, -7, B, A ; 6202
+ ADD *AR2-, -7, B, B ; 6203
+ ADD *AR2-, -7, B ; 6204
+ ADD *AR2-, -6, A, A ; 6205
+ ADD *AR2-, -6, A, B ; 6206
+ ADD *AR2-, -6, A ; 6207
+ ADD *AR2-, -6, B, A ; 6208
+ ADD *AR2-, -6, B, B ; 6209
+ ADD *AR2-, -6, B ; 6210
+ ADD *AR2-, -5, A, A ; 6211
+ ADD *AR2-, -5, A, B ; 6212
+ ADD *AR2-, -5, A ; 6213
+ ADD *AR2-, -5, B, A ; 6214
+ ADD *AR2-, -5, B, B ; 6215
+ ADD *AR2-, -5, B ; 6216
+ ADD *AR2-, -4, A, A ; 6217
+ ADD *AR2-, -4, A, B ; 6218
+ ADD *AR2-, -4, A ; 6219
+ ADD *AR2-, -4, B, A ; 6220
+ ADD *AR2-, -4, B, B ; 6221
+ ADD *AR2-, -4, B ; 6222
+ ADD *AR2-, -3, A, A ; 6223
+ ADD *AR2-, -3, A, B ; 6224
+ ADD *AR2-, -3, A ; 6225
+ ADD *AR2-, -3, B, A ; 6226
+ ADD *AR2-, -3, B, B ; 6227
+ ADD *AR2-, -3, B ; 6228
+ ADD *AR2-, -2, A, A ; 6229
+ ADD *AR2-, -2, A, B ; 6230
+ ADD *AR2-, -2, A ; 6231
+ ADD *AR2-, -2, B, A ; 6232
+ ADD *AR2-, -2, B, B ; 6233
+ ADD *AR2-, -2, B ; 6234
+ ADD *AR2-, -1, A, A ; 6235
+ ADD *AR2-, -1, A, B ; 6236
+ ADD *AR2-, -1, A ; 6237
+ ADD *AR2-, -1, B, A ; 6238
+ ADD *AR2-, -1, B, B ; 6239
+ ADD *AR2-, -1, B ; 6240
+ ADD *AR2-, 0, A, A ; 6241
+ ADD *AR2-, 0, A, B ; 6242
+ ADD *AR2-, 0, A ; 6243
+ ADD *AR2-, 0, B, A ; 6244
+ ADD *AR2-, 0, B, B ; 6245
+ ADD *AR2-, 0, B ; 6246
+ ADD *AR2-, 1, A, A ; 6247
+ ADD *AR2-, 1, A, B ; 6248
+ ADD *AR2-, 1, A ; 6249
+ ADD *AR2-, 1, B, A ; 6250
+ ADD *AR2-, 1, B, B ; 6251
+ ADD *AR2-, 1, B ; 6252
+ ADD *AR2-, 2, A, A ; 6253
+ ADD *AR2-, 2, A, B ; 6254
+ ADD *AR2-, 2, A ; 6255
+ ADD *AR2-, 2, B, A ; 6256
+ ADD *AR2-, 2, B, B ; 6257
+ ADD *AR2-, 2, B ; 6258
+ ADD *AR2-, 3, A, A ; 6259
+ ADD *AR2-, 3, A, B ; 6260
+ ADD *AR2-, 3, A ; 6261
+ ADD *AR2-, 3, B, A ; 6262
+ ADD *AR2-, 3, B, B ; 6263
+ ADD *AR2-, 3, B ; 6264
+ ADD *AR2-, 4, A, A ; 6265
+ ADD *AR2-, 4, A, B ; 6266
+ ADD *AR2-, 4, A ; 6267
+ ADD *AR2-, 4, B, A ; 6268
+ ADD *AR2-, 4, B, B ; 6269
+ ADD *AR2-, 4, B ; 6270
+ ADD *AR2-, 5, A, A ; 6271
+ ADD *AR2-, 5, A, B ; 6272
+ ADD *AR2-, 5, A ; 6273
+ ADD *AR2-, 5, B, A ; 6274
+ ADD *AR2-, 5, B, B ; 6275
+ ADD *AR2-, 5, B ; 6276
+ ADD *AR2-, 6, A, A ; 6277
+ ADD *AR2-, 6, A, B ; 6278
+ ADD *AR2-, 6, A ; 6279
+ ADD *AR2-, 6, B, A ; 6280
+ ADD *AR2-, 6, B, B ; 6281
+ ADD *AR2-, 6, B ; 6282
+ ADD *AR2-, 7, A, A ; 6283
+ ADD *AR2-, 7, A, B ; 6284
+ ADD *AR2-, 7, A ; 6285
+ ADD *AR2-, 7, B, A ; 6286
+ ADD *AR2-, 7, B, B ; 6287
+ ADD *AR2-, 7, B ; 6288
+ ADD *AR2-, 8, A, A ; 6289
+ ADD *AR2-, 8, A, B ; 6290
+ ADD *AR2-, 8, A ; 6291
+ ADD *AR2-, 8, B, A ; 6292
+ ADD *AR2-, 8, B, B ; 6293
+ ADD *AR2-, 8, B ; 6294
+ ADD *AR2-, 9, A, A ; 6295
+ ADD *AR2-, 9, A, B ; 6296
+ ADD *AR2-, 9, A ; 6297
+ ADD *AR2-, 9, B, A ; 6298
+ ADD *AR2-, 9, B, B ; 6299
+ ADD *AR2-, 9, B ; 6300
+ ADD *AR2-, 10, A, A ; 6301
+ ADD *AR2-, 10, A, B ; 6302
+ ADD *AR2-, 10, A ; 6303
+ ADD *AR2-, 10, B, A ; 6304
+ ADD *AR2-, 10, B, B ; 6305
+ ADD *AR2-, 10, B ; 6306
+ ADD *AR2-, 11, A, A ; 6307
+ ADD *AR2-, 11, A, B ; 6308
+ ADD *AR2-, 11, A ; 6309
+ ADD *AR2-, 11, B, A ; 6310
+ ADD *AR2-, 11, B, B ; 6311
+ ADD *AR2-, 11, B ; 6312
+ ADD *AR2-, 12, A, A ; 6313
+ ADD *AR2-, 12, A, B ; 6314
+ ADD *AR2-, 12, A ; 6315
+ ADD *AR2-, 12, B, A ; 6316
+ ADD *AR2-, 12, B, B ; 6317
+ ADD *AR2-, 12, B ; 6318
+ ADD *AR2-, 13, A, A ; 6319
+ ADD *AR2-, 13, A, B ; 6320
+ ADD *AR2-, 13, A ; 6321
+ ADD *AR2-, 13, B, A ; 6322
+ ADD *AR2-, 13, B, B ; 6323
+ ADD *AR2-, 13, B ; 6324
+ ADD *AR2-, 14, A, A ; 6325
+ ADD *AR2-, 14, A, B ; 6326
+ ADD *AR2-, 14, A ; 6327
+ ADD *AR2-, 14, B, A ; 6328
+ ADD *AR2-, 14, B, B ; 6329
+ ADD *AR2-, 14, B ; 6330
+ ADD *AR2-, 15, A, A ; 6331
+ ADD *AR2-, 15, A, B ; 6332
+ ADD *AR2-, 15, A ; 6333
+ ADD *AR2-, 15, B, A ; 6334
+ ADD *AR2-, 15, B, B ; 6335
+ ADD *AR2-, 15, B ; 6336
+ ADD *AR2+, A, A ; 6337
+ ADD *AR2+, A, B ; 6338
+ ADD *AR2+, A ; 6339
+ ADD *AR2+, B, A ; 6340
+ ADD *AR2+, B, B ; 6341
+ ADD *AR2+, B ; 6342
+ ADD *AR2+, -16, A, A ; 6343
+ ADD *AR2+, -16, A, B ; 6344
+ ADD *AR2+, -16, A ; 6345
+ ADD *AR2+, -16, B, A ; 6346
+ ADD *AR2+, -16, B, B ; 6347
+ ADD *AR2+, -16, B ; 6348
+ ADD *AR2+, -15, A, A ; 6349
+ ADD *AR2+, -15, A, B ; 6350
+ ADD *AR2+, -15, A ; 6351
+ ADD *AR2+, -15, B, A ; 6352
+ ADD *AR2+, -15, B, B ; 6353
+ ADD *AR2+, -15, B ; 6354
+ ADD *AR2+, -14, A, A ; 6355
+ ADD *AR2+, -14, A, B ; 6356
+ ADD *AR2+, -14, A ; 6357
+ ADD *AR2+, -14, B, A ; 6358
+ ADD *AR2+, -14, B, B ; 6359
+ ADD *AR2+, -14, B ; 6360
+ ADD *AR2+, -13, A, A ; 6361
+ ADD *AR2+, -13, A, B ; 6362
+ ADD *AR2+, -13, A ; 6363
+ ADD *AR2+, -13, B, A ; 6364
+ ADD *AR2+, -13, B, B ; 6365
+ ADD *AR2+, -13, B ; 6366
+ ADD *AR2+, -12, A, A ; 6367
+ ADD *AR2+, -12, A, B ; 6368
+ ADD *AR2+, -12, A ; 6369
+ ADD *AR2+, -12, B, A ; 6370
+ ADD *AR2+, -12, B, B ; 6371
+ ADD *AR2+, -12, B ; 6372
+ ADD *AR2+, -11, A, A ; 6373
+ ADD *AR2+, -11, A, B ; 6374
+ ADD *AR2+, -11, A ; 6375
+ ADD *AR2+, -11, B, A ; 6376
+ ADD *AR2+, -11, B, B ; 6377
+ ADD *AR2+, -11, B ; 6378
+ ADD *AR2+, -10, A, A ; 6379
+ ADD *AR2+, -10, A, B ; 6380
+ ADD *AR2+, -10, A ; 6381
+ ADD *AR2+, -10, B, A ; 6382
+ ADD *AR2+, -10, B, B ; 6383
+ ADD *AR2+, -10, B ; 6384
+ ADD *AR2+, -9, A, A ; 6385
+ ADD *AR2+, -9, A, B ; 6386
+ ADD *AR2+, -9, A ; 6387
+ ADD *AR2+, -9, B, A ; 6388
+ ADD *AR2+, -9, B, B ; 6389
+ ADD *AR2+, -9, B ; 6390
+ ADD *AR2+, -8, A, A ; 6391
+ ADD *AR2+, -8, A, B ; 6392
+ ADD *AR2+, -8, A ; 6393
+ ADD *AR2+, -8, B, A ; 6394
+ ADD *AR2+, -8, B, B ; 6395
+ ADD *AR2+, -8, B ; 6396
+ ADD *AR2+, -7, A, A ; 6397
+ ADD *AR2+, -7, A, B ; 6398
+ ADD *AR2+, -7, A ; 6399
+ ADD *AR2+, -7, B, A ; 6400
+ ADD *AR2+, -7, B, B ; 6401
+ ADD *AR2+, -7, B ; 6402
+ ADD *AR2+, -6, A, A ; 6403
+ ADD *AR2+, -6, A, B ; 6404
+ ADD *AR2+, -6, A ; 6405
+ ADD *AR2+, -6, B, A ; 6406
+ ADD *AR2+, -6, B, B ; 6407
+ ADD *AR2+, -6, B ; 6408
+ ADD *AR2+, -5, A, A ; 6409
+ ADD *AR2+, -5, A, B ; 6410
+ ADD *AR2+, -5, A ; 6411
+ ADD *AR2+, -5, B, A ; 6412
+ ADD *AR2+, -5, B, B ; 6413
+ ADD *AR2+, -5, B ; 6414
+ ADD *AR2+, -4, A, A ; 6415
+ ADD *AR2+, -4, A, B ; 6416
+ ADD *AR2+, -4, A ; 6417
+ ADD *AR2+, -4, B, A ; 6418
+ ADD *AR2+, -4, B, B ; 6419
+ ADD *AR2+, -4, B ; 6420
+ ADD *AR2+, -3, A, A ; 6421
+ ADD *AR2+, -3, A, B ; 6422
+ ADD *AR2+, -3, A ; 6423
+ ADD *AR2+, -3, B, A ; 6424
+ ADD *AR2+, -3, B, B ; 6425
+ ADD *AR2+, -3, B ; 6426
+ ADD *AR2+, -2, A, A ; 6427
+ ADD *AR2+, -2, A, B ; 6428
+ ADD *AR2+, -2, A ; 6429
+ ADD *AR2+, -2, B, A ; 6430
+ ADD *AR2+, -2, B, B ; 6431
+ ADD *AR2+, -2, B ; 6432
+ ADD *AR2+, -1, A, A ; 6433
+ ADD *AR2+, -1, A, B ; 6434
+ ADD *AR2+, -1, A ; 6435
+ ADD *AR2+, -1, B, A ; 6436
+ ADD *AR2+, -1, B, B ; 6437
+ ADD *AR2+, -1, B ; 6438
+ ADD *AR2+, 0, A, A ; 6439
+ ADD *AR2+, 0, A, B ; 6440
+ ADD *AR2+, 0, A ; 6441
+ ADD *AR2+, 0, B, A ; 6442
+ ADD *AR2+, 0, B, B ; 6443
+ ADD *AR2+, 0, B ; 6444
+ ADD *AR2+, 1, A, A ; 6445
+ ADD *AR2+, 1, A, B ; 6446
+ ADD *AR2+, 1, A ; 6447
+ ADD *AR2+, 1, B, A ; 6448
+ ADD *AR2+, 1, B, B ; 6449
+ ADD *AR2+, 1, B ; 6450
+ ADD *AR2+, 2, A, A ; 6451
+ ADD *AR2+, 2, A, B ; 6452
+ ADD *AR2+, 2, A ; 6453
+ ADD *AR2+, 2, B, A ; 6454
+ ADD *AR2+, 2, B, B ; 6455
+ ADD *AR2+, 2, B ; 6456
+ ADD *AR2+, 3, A, A ; 6457
+ ADD *AR2+, 3, A, B ; 6458
+ ADD *AR2+, 3, A ; 6459
+ ADD *AR2+, 3, B, A ; 6460
+ ADD *AR2+, 3, B, B ; 6461
+ ADD *AR2+, 3, B ; 6462
+ ADD *AR2+, 4, A, A ; 6463
+ ADD *AR2+, 4, A, B ; 6464
+ ADD *AR2+, 4, A ; 6465
+ ADD *AR2+, 4, B, A ; 6466
+ ADD *AR2+, 4, B, B ; 6467
+ ADD *AR2+, 4, B ; 6468
+ ADD *AR2+, 5, A, A ; 6469
+ ADD *AR2+, 5, A, B ; 6470
+ ADD *AR2+, 5, A ; 6471
+ ADD *AR2+, 5, B, A ; 6472
+ ADD *AR2+, 5, B, B ; 6473
+ ADD *AR2+, 5, B ; 6474
+ ADD *AR2+, 6, A, A ; 6475
+ ADD *AR2+, 6, A, B ; 6476
+ ADD *AR2+, 6, A ; 6477
+ ADD *AR2+, 6, B, A ; 6478
+ ADD *AR2+, 6, B, B ; 6479
+ ADD *AR2+, 6, B ; 6480
+ ADD *AR2+, 7, A, A ; 6481
+ ADD *AR2+, 7, A, B ; 6482
+ ADD *AR2+, 7, A ; 6483
+ ADD *AR2+, 7, B, A ; 6484
+ ADD *AR2+, 7, B, B ; 6485
+ ADD *AR2+, 7, B ; 6486
+ ADD *AR2+, 8, A, A ; 6487
+ ADD *AR2+, 8, A, B ; 6488
+ ADD *AR2+, 8, A ; 6489
+ ADD *AR2+, 8, B, A ; 6490
+ ADD *AR2+, 8, B, B ; 6491
+ ADD *AR2+, 8, B ; 6492
+ ADD *AR2+, 9, A, A ; 6493
+ ADD *AR2+, 9, A, B ; 6494
+ ADD *AR2+, 9, A ; 6495
+ ADD *AR2+, 9, B, A ; 6496
+ ADD *AR2+, 9, B, B ; 6497
+ ADD *AR2+, 9, B ; 6498
+ ADD *AR2+, 10, A, A ; 6499
+ ADD *AR2+, 10, A, B ; 6500
+ ADD *AR2+, 10, A ; 6501
+ ADD *AR2+, 10, B, A ; 6502
+ ADD *AR2+, 10, B, B ; 6503
+ ADD *AR2+, 10, B ; 6504
+ ADD *AR2+, 11, A, A ; 6505
+ ADD *AR2+, 11, A, B ; 6506
+ ADD *AR2+, 11, A ; 6507
+ ADD *AR2+, 11, B, A ; 6508
+ ADD *AR2+, 11, B, B ; 6509
+ ADD *AR2+, 11, B ; 6510
+ ADD *AR2+, 12, A, A ; 6511
+ ADD *AR2+, 12, A, B ; 6512
+ ADD *AR2+, 12, A ; 6513
+ ADD *AR2+, 12, B, A ; 6514
+ ADD *AR2+, 12, B, B ; 6515
+ ADD *AR2+, 12, B ; 6516
+ ADD *AR2+, 13, A, A ; 6517
+ ADD *AR2+, 13, A, B ; 6518
+ ADD *AR2+, 13, A ; 6519
+ ADD *AR2+, 13, B, A ; 6520
+ ADD *AR2+, 13, B, B ; 6521
+ ADD *AR2+, 13, B ; 6522
+ ADD *AR2+, 14, A, A ; 6523
+ ADD *AR2+, 14, A, B ; 6524
+ ADD *AR2+, 14, A ; 6525
+ ADD *AR2+, 14, B, A ; 6526
+ ADD *AR2+, 14, B, B ; 6527
+ ADD *AR2+, 14, B ; 6528
+ ADD *AR2+, 15, A, A ; 6529
+ ADD *AR2+, 15, A, B ; 6530
+ ADD *AR2+, 15, A ; 6531
+ ADD *AR2+, 15, B, A ; 6532
+ ADD *AR2+, 15, B, B ; 6533
+ ADD *AR2+, 15, B ; 6534
+ ADD *AR2-0B, A, A ; 6535
+ ADD *AR2-0B, A, B ; 6536
+ ADD *AR2-0B, A ; 6537
+ ADD *AR2-0B, B, A ; 6538
+ ADD *AR2-0B, B, B ; 6539
+ ADD *AR2-0B, B ; 6540
+ ADD *AR2-0B, -16, A, A ; 6541
+ ADD *AR2-0B, -16, A, B ; 6542
+ ADD *AR2-0B, -16, A ; 6543
+ ADD *AR2-0B, -16, B, A ; 6544
+ ADD *AR2-0B, -16, B, B ; 6545
+ ADD *AR2-0B, -16, B ; 6546
+ ADD *AR2-0B, -15, A, A ; 6547
+ ADD *AR2-0B, -15, A, B ; 6548
+ ADD *AR2-0B, -15, A ; 6549
+ ADD *AR2-0B, -15, B, A ; 6550
+ ADD *AR2-0B, -15, B, B ; 6551
+ ADD *AR2-0B, -15, B ; 6552
+ ADD *AR2-0B, -14, A, A ; 6553
+ ADD *AR2-0B, -14, A, B ; 6554
+ ADD *AR2-0B, -14, A ; 6555
+ ADD *AR2-0B, -14, B, A ; 6556
+ ADD *AR2-0B, -14, B, B ; 6557
+ ADD *AR2-0B, -14, B ; 6558
+ ADD *AR2-0B, -13, A, A ; 6559
+ ADD *AR2-0B, -13, A, B ; 6560
+ ADD *AR2-0B, -13, A ; 6561
+ ADD *AR2-0B, -13, B, A ; 6562
+ ADD *AR2-0B, -13, B, B ; 6563
+ ADD *AR2-0B, -13, B ; 6564
+ ADD *AR2-0B, -12, A, A ; 6565
+ ADD *AR2-0B, -12, A, B ; 6566
+ ADD *AR2-0B, -12, A ; 6567
+ ADD *AR2-0B, -12, B, A ; 6568
+ ADD *AR2-0B, -12, B, B ; 6569
+ ADD *AR2-0B, -12, B ; 6570
+ ADD *AR2-0B, -11, A, A ; 6571
+ ADD *AR2-0B, -11, A, B ; 6572
+ ADD *AR2-0B, -11, A ; 6573
+ ADD *AR2-0B, -11, B, A ; 6574
+ ADD *AR2-0B, -11, B, B ; 6575
+ ADD *AR2-0B, -11, B ; 6576
+ ADD *AR2-0B, -10, A, A ; 6577
+ ADD *AR2-0B, -10, A, B ; 6578
+ ADD *AR2-0B, -10, A ; 6579
+ ADD *AR2-0B, -10, B, A ; 6580
+ ADD *AR2-0B, -10, B, B ; 6581
+ ADD *AR2-0B, -10, B ; 6582
+ ADD *AR2-0B, -9, A, A ; 6583
+ ADD *AR2-0B, -9, A, B ; 6584
+ ADD *AR2-0B, -9, A ; 6585
+ ADD *AR2-0B, -9, B, A ; 6586
+ ADD *AR2-0B, -9, B, B ; 6587
+ ADD *AR2-0B, -9, B ; 6588
+ ADD *AR2-0B, -8, A, A ; 6589
+ ADD *AR2-0B, -8, A, B ; 6590
+ ADD *AR2-0B, -8, A ; 6591
+ ADD *AR2-0B, -8, B, A ; 6592
+ ADD *AR2-0B, -8, B, B ; 6593
+ ADD *AR2-0B, -8, B ; 6594
+ ADD *AR2-0B, -7, A, A ; 6595
+ ADD *AR2-0B, -7, A, B ; 6596
+ ADD *AR2-0B, -7, A ; 6597
+ ADD *AR2-0B, -7, B, A ; 6598
+ ADD *AR2-0B, -7, B, B ; 6599
+ ADD *AR2-0B, -7, B ; 6600
+ ADD *AR2-0B, -6, A, A ; 6601
+ ADD *AR2-0B, -6, A, B ; 6602
+ ADD *AR2-0B, -6, A ; 6603
+ ADD *AR2-0B, -6, B, A ; 6604
+ ADD *AR2-0B, -6, B, B ; 6605
+ ADD *AR2-0B, -6, B ; 6606
+ ADD *AR2-0B, -5, A, A ; 6607
+ ADD *AR2-0B, -5, A, B ; 6608
+ ADD *AR2-0B, -5, A ; 6609
+ ADD *AR2-0B, -5, B, A ; 6610
+ ADD *AR2-0B, -5, B, B ; 6611
+ ADD *AR2-0B, -5, B ; 6612
+ ADD *AR2-0B, -4, A, A ; 6613
+ ADD *AR2-0B, -4, A, B ; 6614
+ ADD *AR2-0B, -4, A ; 6615
+ ADD *AR2-0B, -4, B, A ; 6616
+ ADD *AR2-0B, -4, B, B ; 6617
+ ADD *AR2-0B, -4, B ; 6618
+ ADD *AR2-0B, -3, A, A ; 6619
+ ADD *AR2-0B, -3, A, B ; 6620
+ ADD *AR2-0B, -3, A ; 6621
+ ADD *AR2-0B, -3, B, A ; 6622
+ ADD *AR2-0B, -3, B, B ; 6623
+ ADD *AR2-0B, -3, B ; 6624
+ ADD *AR2-0B, -2, A, A ; 6625
+ ADD *AR2-0B, -2, A, B ; 6626
+ ADD *AR2-0B, -2, A ; 6627
+ ADD *AR2-0B, -2, B, A ; 6628
+ ADD *AR2-0B, -2, B, B ; 6629
+ ADD *AR2-0B, -2, B ; 6630
+ ADD *AR2-0B, -1, A, A ; 6631
+ ADD *AR2-0B, -1, A, B ; 6632
+ ADD *AR2-0B, -1, A ; 6633
+ ADD *AR2-0B, -1, B, A ; 6634
+ ADD *AR2-0B, -1, B, B ; 6635
+ ADD *AR2-0B, -1, B ; 6636
+ ADD *AR2-0B, 0, A, A ; 6637
+ ADD *AR2-0B, 0, A, B ; 6638
+ ADD *AR2-0B, 0, A ; 6639
+ ADD *AR2-0B, 0, B, A ; 6640
+ ADD *AR2-0B, 0, B, B ; 6641
+ ADD *AR2-0B, 0, B ; 6642
+ ADD *AR2-0B, 1, A, A ; 6643
+ ADD *AR2-0B, 1, A, B ; 6644
+ ADD *AR2-0B, 1, A ; 6645
+ ADD *AR2-0B, 1, B, A ; 6646
+ ADD *AR2-0B, 1, B, B ; 6647
+ ADD *AR2-0B, 1, B ; 6648
+ ADD *AR2-0B, 2, A, A ; 6649
+ ADD *AR2-0B, 2, A, B ; 6650
+ ADD *AR2-0B, 2, A ; 6651
+ ADD *AR2-0B, 2, B, A ; 6652
+ ADD *AR2-0B, 2, B, B ; 6653
+ ADD *AR2-0B, 2, B ; 6654
+ ADD *AR2-0B, 3, A, A ; 6655
+ ADD *AR2-0B, 3, A, B ; 6656
+ ADD *AR2-0B, 3, A ; 6657
+ ADD *AR2-0B, 3, B, A ; 6658
+ ADD *AR2-0B, 3, B, B ; 6659
+ ADD *AR2-0B, 3, B ; 6660
+ ADD *AR2-0B, 4, A, A ; 6661
+ ADD *AR2-0B, 4, A, B ; 6662
+ ADD *AR2-0B, 4, A ; 6663
+ ADD *AR2-0B, 4, B, A ; 6664
+ ADD *AR2-0B, 4, B, B ; 6665
+ ADD *AR2-0B, 4, B ; 6666
+ ADD *AR2-0B, 5, A, A ; 6667
+ ADD *AR2-0B, 5, A, B ; 6668
+ ADD *AR2-0B, 5, A ; 6669
+ ADD *AR2-0B, 5, B, A ; 6670
+ ADD *AR2-0B, 5, B, B ; 6671
+ ADD *AR2-0B, 5, B ; 6672
+ ADD *AR2-0B, 6, A, A ; 6673
+ ADD *AR2-0B, 6, A, B ; 6674
+ ADD *AR2-0B, 6, A ; 6675
+ ADD *AR2-0B, 6, B, A ; 6676
+ ADD *AR2-0B, 6, B, B ; 6677
+ ADD *AR2-0B, 6, B ; 6678
+ ADD *AR2-0B, 7, A, A ; 6679
+ ADD *AR2-0B, 7, A, B ; 6680
+ ADD *AR2-0B, 7, A ; 6681
+ ADD *AR2-0B, 7, B, A ; 6682
+ ADD *AR2-0B, 7, B, B ; 6683
+ ADD *AR2-0B, 7, B ; 6684
+ ADD *AR2-0B, 8, A, A ; 6685
+ ADD *AR2-0B, 8, A, B ; 6686
+ ADD *AR2-0B, 8, A ; 6687
+ ADD *AR2-0B, 8, B, A ; 6688
+ ADD *AR2-0B, 8, B, B ; 6689
+ ADD *AR2-0B, 8, B ; 6690
+ ADD *AR2-0B, 9, A, A ; 6691
+ ADD *AR2-0B, 9, A, B ; 6692
+ ADD *AR2-0B, 9, A ; 6693
+ ADD *AR2-0B, 9, B, A ; 6694
+ ADD *AR2-0B, 9, B, B ; 6695
+ ADD *AR2-0B, 9, B ; 6696
+ ADD *AR2-0B, 10, A, A ; 6697
+ ADD *AR2-0B, 10, A, B ; 6698
+ ADD *AR2-0B, 10, A ; 6699
+ ADD *AR2-0B, 10, B, A ; 6700
+ ADD *AR2-0B, 10, B, B ; 6701
+ ADD *AR2-0B, 10, B ; 6702
+ ADD *AR2-0B, 11, A, A ; 6703
+ ADD *AR2-0B, 11, A, B ; 6704
+ ADD *AR2-0B, 11, A ; 6705
+ ADD *AR2-0B, 11, B, A ; 6706
+ ADD *AR2-0B, 11, B, B ; 6707
+ ADD *AR2-0B, 11, B ; 6708
+ ADD *AR2-0B, 12, A, A ; 6709
+ ADD *AR2-0B, 12, A, B ; 6710
+ ADD *AR2-0B, 12, A ; 6711
+ ADD *AR2-0B, 12, B, A ; 6712
+ ADD *AR2-0B, 12, B, B ; 6713
+ ADD *AR2-0B, 12, B ; 6714
+ ADD *AR2-0B, 13, A, A ; 6715
+ ADD *AR2-0B, 13, A, B ; 6716
+ ADD *AR2-0B, 13, A ; 6717
+ ADD *AR2-0B, 13, B, A ; 6718
+ ADD *AR2-0B, 13, B, B ; 6719
+ ADD *AR2-0B, 13, B ; 6720
+ ADD *AR2-0B, 14, A, A ; 6721
+ ADD *AR2-0B, 14, A, B ; 6722
+ ADD *AR2-0B, 14, A ; 6723
+ ADD *AR2-0B, 14, B, A ; 6724
+ ADD *AR2-0B, 14, B, B ; 6725
+ ADD *AR2-0B, 14, B ; 6726
+ ADD *AR2-0B, 15, A, A ; 6727
+ ADD *AR2-0B, 15, A, B ; 6728
+ ADD *AR2-0B, 15, A ; 6729
+ ADD *AR2-0B, 15, B, A ; 6730
+ ADD *AR2-0B, 15, B, B ; 6731
+ ADD *AR2-0B, 15, B ; 6732
+ ADD *AR2-0, A, A ; 6733
+ ADD *AR2-0, A, B ; 6734
+ ADD *AR2-0, A ; 6735
+ ADD *AR2-0, B, A ; 6736
+ ADD *AR2-0, B, B ; 6737
+ ADD *AR2-0, B ; 6738
+ ADD *AR2-0, -16, A, A ; 6739
+ ADD *AR2-0, -16, A, B ; 6740
+ ADD *AR2-0, -16, A ; 6741
+ ADD *AR2-0, -16, B, A ; 6742
+ ADD *AR2-0, -16, B, B ; 6743
+ ADD *AR2-0, -16, B ; 6744
+ ADD *AR2-0, -15, A, A ; 6745
+ ADD *AR2-0, -15, A, B ; 6746
+ ADD *AR2-0, -15, A ; 6747
+ ADD *AR2-0, -15, B, A ; 6748
+ ADD *AR2-0, -15, B, B ; 6749
+ ADD *AR2-0, -15, B ; 6750
+ ADD *AR2-0, -14, A, A ; 6751
+ ADD *AR2-0, -14, A, B ; 6752
+ ADD *AR2-0, -14, A ; 6753
+ ADD *AR2-0, -14, B, A ; 6754
+ ADD *AR2-0, -14, B, B ; 6755
+ ADD *AR2-0, -14, B ; 6756
+ ADD *AR2-0, -13, A, A ; 6757
+ ADD *AR2-0, -13, A, B ; 6758
+ ADD *AR2-0, -13, A ; 6759
+ ADD *AR2-0, -13, B, A ; 6760
+ ADD *AR2-0, -13, B, B ; 6761
+ ADD *AR2-0, -13, B ; 6762
+ ADD *AR2-0, -12, A, A ; 6763
+ ADD *AR2-0, -12, A, B ; 6764
+ ADD *AR2-0, -12, A ; 6765
+ ADD *AR2-0, -12, B, A ; 6766
+ ADD *AR2-0, -12, B, B ; 6767
+ ADD *AR2-0, -12, B ; 6768
+ ADD *AR2-0, -11, A, A ; 6769
+ ADD *AR2-0, -11, A, B ; 6770
+ ADD *AR2-0, -11, A ; 6771
+ ADD *AR2-0, -11, B, A ; 6772
+ ADD *AR2-0, -11, B, B ; 6773
+ ADD *AR2-0, -11, B ; 6774
+ ADD *AR2-0, -10, A, A ; 6775
+ ADD *AR2-0, -10, A, B ; 6776
+ ADD *AR2-0, -10, A ; 6777
+ ADD *AR2-0, -10, B, A ; 6778
+ ADD *AR2-0, -10, B, B ; 6779
+ ADD *AR2-0, -10, B ; 6780
+ ADD *AR2-0, -9, A, A ; 6781
+ ADD *AR2-0, -9, A, B ; 6782
+ ADD *AR2-0, -9, A ; 6783
+ ADD *AR2-0, -9, B, A ; 6784
+ ADD *AR2-0, -9, B, B ; 6785
+ ADD *AR2-0, -9, B ; 6786
+ ADD *AR2-0, -8, A, A ; 6787
+ ADD *AR2-0, -8, A, B ; 6788
+ ADD *AR2-0, -8, A ; 6789
+ ADD *AR2-0, -8, B, A ; 6790
+ ADD *AR2-0, -8, B, B ; 6791
+ ADD *AR2-0, -8, B ; 6792
+ ADD *AR2-0, -7, A, A ; 6793
+ ADD *AR2-0, -7, A, B ; 6794
+ ADD *AR2-0, -7, A ; 6795
+ ADD *AR2-0, -7, B, A ; 6796
+ ADD *AR2-0, -7, B, B ; 6797
+ ADD *AR2-0, -7, B ; 6798
+ ADD *AR2-0, -6, A, A ; 6799
+ ADD *AR2-0, -6, A, B ; 6800
+ ADD *AR2-0, -6, A ; 6801
+ ADD *AR2-0, -6, B, A ; 6802
+ ADD *AR2-0, -6, B, B ; 6803
+ ADD *AR2-0, -6, B ; 6804
+ ADD *AR2-0, -5, A, A ; 6805
+ ADD *AR2-0, -5, A, B ; 6806
+ ADD *AR2-0, -5, A ; 6807
+ ADD *AR2-0, -5, B, A ; 6808
+ ADD *AR2-0, -5, B, B ; 6809
+ ADD *AR2-0, -5, B ; 6810
+ ADD *AR2-0, -4, A, A ; 6811
+ ADD *AR2-0, -4, A, B ; 6812
+ ADD *AR2-0, -4, A ; 6813
+ ADD *AR2-0, -4, B, A ; 6814
+ ADD *AR2-0, -4, B, B ; 6815
+ ADD *AR2-0, -4, B ; 6816
+ ADD *AR2-0, -3, A, A ; 6817
+ ADD *AR2-0, -3, A, B ; 6818
+ ADD *AR2-0, -3, A ; 6819
+ ADD *AR2-0, -3, B, A ; 6820
+ ADD *AR2-0, -3, B, B ; 6821
+ ADD *AR2-0, -3, B ; 6822
+ ADD *AR2-0, -2, A, A ; 6823
+ ADD *AR2-0, -2, A, B ; 6824
+ ADD *AR2-0, -2, A ; 6825
+ ADD *AR2-0, -2, B, A ; 6826
+ ADD *AR2-0, -2, B, B ; 6827
+ ADD *AR2-0, -2, B ; 6828
+ ADD *AR2-0, -1, A, A ; 6829
+ ADD *AR2-0, -1, A, B ; 6830
+ ADD *AR2-0, -1, A ; 6831
+ ADD *AR2-0, -1, B, A ; 6832
+ ADD *AR2-0, -1, B, B ; 6833
+ ADD *AR2-0, -1, B ; 6834
+ ADD *AR2-0, 0, A, A ; 6835
+ ADD *AR2-0, 0, A, B ; 6836
+ ADD *AR2-0, 0, A ; 6837
+ ADD *AR2-0, 0, B, A ; 6838
+ ADD *AR2-0, 0, B, B ; 6839
+ ADD *AR2-0, 0, B ; 6840
+ ADD *AR2-0, 1, A, A ; 6841
+ ADD *AR2-0, 1, A, B ; 6842
+ ADD *AR2-0, 1, A ; 6843
+ ADD *AR2-0, 1, B, A ; 6844
+ ADD *AR2-0, 1, B, B ; 6845
+ ADD *AR2-0, 1, B ; 6846
+ ADD *AR2-0, 2, A, A ; 6847
+ ADD *AR2-0, 2, A, B ; 6848
+ ADD *AR2-0, 2, A ; 6849
+ ADD *AR2-0, 2, B, A ; 6850
+ ADD *AR2-0, 2, B, B ; 6851
+ ADD *AR2-0, 2, B ; 6852
+ ADD *AR2-0, 3, A, A ; 6853
+ ADD *AR2-0, 3, A, B ; 6854
+ ADD *AR2-0, 3, A ; 6855
+ ADD *AR2-0, 3, B, A ; 6856
+ ADD *AR2-0, 3, B, B ; 6857
+ ADD *AR2-0, 3, B ; 6858
+ ADD *AR2-0, 4, A, A ; 6859
+ ADD *AR2-0, 4, A, B ; 6860
+ ADD *AR2-0, 4, A ; 6861
+ ADD *AR2-0, 4, B, A ; 6862
+ ADD *AR2-0, 4, B, B ; 6863
+ ADD *AR2-0, 4, B ; 6864
+ ADD *AR2-0, 5, A, A ; 6865
+ ADD *AR2-0, 5, A, B ; 6866
+ ADD *AR2-0, 5, A ; 6867
+ ADD *AR2-0, 5, B, A ; 6868
+ ADD *AR2-0, 5, B, B ; 6869
+ ADD *AR2-0, 5, B ; 6870
+ ADD *AR2-0, 6, A, A ; 6871
+ ADD *AR2-0, 6, A, B ; 6872
+ ADD *AR2-0, 6, A ; 6873
+ ADD *AR2-0, 6, B, A ; 6874
+ ADD *AR2-0, 6, B, B ; 6875
+ ADD *AR2-0, 6, B ; 6876
+ ADD *AR2-0, 7, A, A ; 6877
+ ADD *AR2-0, 7, A, B ; 6878
+ ADD *AR2-0, 7, A ; 6879
+ ADD *AR2-0, 7, B, A ; 6880
+ ADD *AR2-0, 7, B, B ; 6881
+ ADD *AR2-0, 7, B ; 6882
+ ADD *AR2-0, 8, A, A ; 6883
+ ADD *AR2-0, 8, A, B ; 6884
+ ADD *AR2-0, 8, A ; 6885
+ ADD *AR2-0, 8, B, A ; 6886
+ ADD *AR2-0, 8, B, B ; 6887
+ ADD *AR2-0, 8, B ; 6888
+ ADD *AR2-0, 9, A, A ; 6889
+ ADD *AR2-0, 9, A, B ; 6890
+ ADD *AR2-0, 9, A ; 6891
+ ADD *AR2-0, 9, B, A ; 6892
+ ADD *AR2-0, 9, B, B ; 6893
+ ADD *AR2-0, 9, B ; 6894
+ ADD *AR2-0, 10, A, A ; 6895
+ ADD *AR2-0, 10, A, B ; 6896
+ ADD *AR2-0, 10, A ; 6897
+ ADD *AR2-0, 10, B, A ; 6898
+ ADD *AR2-0, 10, B, B ; 6899
+ ADD *AR2-0, 10, B ; 6900
+ ADD *AR2-0, 11, A, A ; 6901
+ ADD *AR2-0, 11, A, B ; 6902
+ ADD *AR2-0, 11, A ; 6903
+ ADD *AR2-0, 11, B, A ; 6904
+ ADD *AR2-0, 11, B, B ; 6905
+ ADD *AR2-0, 11, B ; 6906
+ ADD *AR2-0, 12, A, A ; 6907
+ ADD *AR2-0, 12, A, B ; 6908
+ ADD *AR2-0, 12, A ; 6909
+ ADD *AR2-0, 12, B, A ; 6910
+ ADD *AR2-0, 12, B, B ; 6911
+ ADD *AR2-0, 12, B ; 6912
+ ADD *AR2-0, 13, A, A ; 6913
+ ADD *AR2-0, 13, A, B ; 6914
+ ADD *AR2-0, 13, A ; 6915
+ ADD *AR2-0, 13, B, A ; 6916
+ ADD *AR2-0, 13, B, B ; 6917
+ ADD *AR2-0, 13, B ; 6918
+ ADD *AR2-0, 14, A, A ; 6919
+ ADD *AR2-0, 14, A, B ; 6920
+ ADD *AR2-0, 14, A ; 6921
+ ADD *AR2-0, 14, B, A ; 6922
+ ADD *AR2-0, 14, B, B ; 6923
+ ADD *AR2-0, 14, B ; 6924
+ ADD *AR2-0, 15, A, A ; 6925
+ ADD *AR2-0, 15, A, B ; 6926
+ ADD *AR2-0, 15, A ; 6927
+ ADD *AR2-0, 15, B, A ; 6928
+ ADD *AR2-0, 15, B, B ; 6929
+ ADD *AR2-0, 15, B ; 6930
+ ADD *AR2+0, A, A ; 6931
+ ADD *AR2+0, A, B ; 6932
+ ADD *AR2+0, A ; 6933
+ ADD *AR2+0, B, A ; 6934
+ ADD *AR2+0, B, B ; 6935
+ ADD *AR2+0, B ; 6936
+ ADD *AR2+0, -16, A, A ; 6937
+ ADD *AR2+0, -16, A, B ; 6938
+ ADD *AR2+0, -16, A ; 6939
+ ADD *AR2+0, -16, B, A ; 6940
+ ADD *AR2+0, -16, B, B ; 6941
+ ADD *AR2+0, -16, B ; 6942
+ ADD *AR2+0, -15, A, A ; 6943
+ ADD *AR2+0, -15, A, B ; 6944
+ ADD *AR2+0, -15, A ; 6945
+ ADD *AR2+0, -15, B, A ; 6946
+ ADD *AR2+0, -15, B, B ; 6947
+ ADD *AR2+0, -15, B ; 6948
+ ADD *AR2+0, -14, A, A ; 6949
+ ADD *AR2+0, -14, A, B ; 6950
+ ADD *AR2+0, -14, A ; 6951
+ ADD *AR2+0, -14, B, A ; 6952
+ ADD *AR2+0, -14, B, B ; 6953
+ ADD *AR2+0, -14, B ; 6954
+ ADD *AR2+0, -13, A, A ; 6955
+ ADD *AR2+0, -13, A, B ; 6956
+ ADD *AR2+0, -13, A ; 6957
+ ADD *AR2+0, -13, B, A ; 6958
+ ADD *AR2+0, -13, B, B ; 6959
+ ADD *AR2+0, -13, B ; 6960
+ ADD *AR2+0, -12, A, A ; 6961
+ ADD *AR2+0, -12, A, B ; 6962
+ ADD *AR2+0, -12, A ; 6963
+ ADD *AR2+0, -12, B, A ; 6964
+ ADD *AR2+0, -12, B, B ; 6965
+ ADD *AR2+0, -12, B ; 6966
+ ADD *AR2+0, -11, A, A ; 6967
+ ADD *AR2+0, -11, A, B ; 6968
+ ADD *AR2+0, -11, A ; 6969
+ ADD *AR2+0, -11, B, A ; 6970
+ ADD *AR2+0, -11, B, B ; 6971
+ ADD *AR2+0, -11, B ; 6972
+ ADD *AR2+0, -10, A, A ; 6973
+ ADD *AR2+0, -10, A, B ; 6974
+ ADD *AR2+0, -10, A ; 6975
+ ADD *AR2+0, -10, B, A ; 6976
+ ADD *AR2+0, -10, B, B ; 6977
+ ADD *AR2+0, -10, B ; 6978
+ ADD *AR2+0, -9, A, A ; 6979
+ ADD *AR2+0, -9, A, B ; 6980
+ ADD *AR2+0, -9, A ; 6981
+ ADD *AR2+0, -9, B, A ; 6982
+ ADD *AR2+0, -9, B, B ; 6983
+ ADD *AR2+0, -9, B ; 6984
+ ADD *AR2+0, -8, A, A ; 6985
+ ADD *AR2+0, -8, A, B ; 6986
+ ADD *AR2+0, -8, A ; 6987
+ ADD *AR2+0, -8, B, A ; 6988
+ ADD *AR2+0, -8, B, B ; 6989
+ ADD *AR2+0, -8, B ; 6990
+ ADD *AR2+0, -7, A, A ; 6991
+ ADD *AR2+0, -7, A, B ; 6992
+ ADD *AR2+0, -7, A ; 6993
+ ADD *AR2+0, -7, B, A ; 6994
+ ADD *AR2+0, -7, B, B ; 6995
+ ADD *AR2+0, -7, B ; 6996
+ ADD *AR2+0, -6, A, A ; 6997
+ ADD *AR2+0, -6, A, B ; 6998
+ ADD *AR2+0, -6, A ; 6999
+ ADD *AR2+0, -6, B, A ; 7000
+ ADD *AR2+0, -6, B, B ; 7001
+ ADD *AR2+0, -6, B ; 7002
+ ADD *AR2+0, -5, A, A ; 7003
+ ADD *AR2+0, -5, A, B ; 7004
+ ADD *AR2+0, -5, A ; 7005
+ ADD *AR2+0, -5, B, A ; 7006
+ ADD *AR2+0, -5, B, B ; 7007
+ ADD *AR2+0, -5, B ; 7008
+ ADD *AR2+0, -4, A, A ; 7009
+ ADD *AR2+0, -4, A, B ; 7010
+ ADD *AR2+0, -4, A ; 7011
+ ADD *AR2+0, -4, B, A ; 7012
+ ADD *AR2+0, -4, B, B ; 7013
+ ADD *AR2+0, -4, B ; 7014
+ ADD *AR2+0, -3, A, A ; 7015
+ ADD *AR2+0, -3, A, B ; 7016
+ ADD *AR2+0, -3, A ; 7017
+ ADD *AR2+0, -3, B, A ; 7018
+ ADD *AR2+0, -3, B, B ; 7019
+ ADD *AR2+0, -3, B ; 7020
+ ADD *AR2+0, -2, A, A ; 7021
+ ADD *AR2+0, -2, A, B ; 7022
+ ADD *AR2+0, -2, A ; 7023
+ ADD *AR2+0, -2, B, A ; 7024
+ ADD *AR2+0, -2, B, B ; 7025
+ ADD *AR2+0, -2, B ; 7026
+ ADD *AR2+0, -1, A, A ; 7027
+ ADD *AR2+0, -1, A, B ; 7028
+ ADD *AR2+0, -1, A ; 7029
+ ADD *AR2+0, -1, B, A ; 7030
+ ADD *AR2+0, -1, B, B ; 7031
+ ADD *AR2+0, -1, B ; 7032
+ ADD *AR2+0, 0, A, A ; 7033
+ ADD *AR2+0, 0, A, B ; 7034
+ ADD *AR2+0, 0, A ; 7035
+ ADD *AR2+0, 0, B, A ; 7036
+ ADD *AR2+0, 0, B, B ; 7037
+ ADD *AR2+0, 0, B ; 7038
+ ADD *AR2+0, 1, A, A ; 7039
+ ADD *AR2+0, 1, A, B ; 7040
+ ADD *AR2+0, 1, A ; 7041
+ ADD *AR2+0, 1, B, A ; 7042
+ ADD *AR2+0, 1, B, B ; 7043
+ ADD *AR2+0, 1, B ; 7044
+ ADD *AR2+0, 2, A, A ; 7045
+ ADD *AR2+0, 2, A, B ; 7046
+ ADD *AR2+0, 2, A ; 7047
+ ADD *AR2+0, 2, B, A ; 7048
+ ADD *AR2+0, 2, B, B ; 7049
+ ADD *AR2+0, 2, B ; 7050
+ ADD *AR2+0, 3, A, A ; 7051
+ ADD *AR2+0, 3, A, B ; 7052
+ ADD *AR2+0, 3, A ; 7053
+ ADD *AR2+0, 3, B, A ; 7054
+ ADD *AR2+0, 3, B, B ; 7055
+ ADD *AR2+0, 3, B ; 7056
+ ADD *AR2+0, 4, A, A ; 7057
+ ADD *AR2+0, 4, A, B ; 7058
+ ADD *AR2+0, 4, A ; 7059
+ ADD *AR2+0, 4, B, A ; 7060
+ ADD *AR2+0, 4, B, B ; 7061
+ ADD *AR2+0, 4, B ; 7062
+ ADD *AR2+0, 5, A, A ; 7063
+ ADD *AR2+0, 5, A, B ; 7064
+ ADD *AR2+0, 5, A ; 7065
+ ADD *AR2+0, 5, B, A ; 7066
+ ADD *AR2+0, 5, B, B ; 7067
+ ADD *AR2+0, 5, B ; 7068
+ ADD *AR2+0, 6, A, A ; 7069
+ ADD *AR2+0, 6, A, B ; 7070
+ ADD *AR2+0, 6, A ; 7071
+ ADD *AR2+0, 6, B, A ; 7072
+ ADD *AR2+0, 6, B, B ; 7073
+ ADD *AR2+0, 6, B ; 7074
+ ADD *AR2+0, 7, A, A ; 7075
+ ADD *AR2+0, 7, A, B ; 7076
+ ADD *AR2+0, 7, A ; 7077
+ ADD *AR2+0, 7, B, A ; 7078
+ ADD *AR2+0, 7, B, B ; 7079
+ ADD *AR2+0, 7, B ; 7080
+ ADD *AR2+0, 8, A, A ; 7081
+ ADD *AR2+0, 8, A, B ; 7082
+ ADD *AR2+0, 8, A ; 7083
+ ADD *AR2+0, 8, B, A ; 7084
+ ADD *AR2+0, 8, B, B ; 7085
+ ADD *AR2+0, 8, B ; 7086
+ ADD *AR2+0, 9, A, A ; 7087
+ ADD *AR2+0, 9, A, B ; 7088
+ ADD *AR2+0, 9, A ; 7089
+ ADD *AR2+0, 9, B, A ; 7090
+ ADD *AR2+0, 9, B, B ; 7091
+ ADD *AR2+0, 9, B ; 7092
+ ADD *AR2+0, 10, A, A ; 7093
+ ADD *AR2+0, 10, A, B ; 7094
+ ADD *AR2+0, 10, A ; 7095
+ ADD *AR2+0, 10, B, A ; 7096
+ ADD *AR2+0, 10, B, B ; 7097
+ ADD *AR2+0, 10, B ; 7098
+ ADD *AR2+0, 11, A, A ; 7099
+ ADD *AR2+0, 11, A, B ; 7100
+ ADD *AR2+0, 11, A ; 7101
+ ADD *AR2+0, 11, B, A ; 7102
+ ADD *AR2+0, 11, B, B ; 7103
+ ADD *AR2+0, 11, B ; 7104
+ ADD *AR2+0, 12, A, A ; 7105
+ ADD *AR2+0, 12, A, B ; 7106
+ ADD *AR2+0, 12, A ; 7107
+ ADD *AR2+0, 12, B, A ; 7108
+ ADD *AR2+0, 12, B, B ; 7109
+ ADD *AR2+0, 12, B ; 7110
+ ADD *AR2+0, 13, A, A ; 7111
+ ADD *AR2+0, 13, A, B ; 7112
+ ADD *AR2+0, 13, A ; 7113
+ ADD *AR2+0, 13, B, A ; 7114
+ ADD *AR2+0, 13, B, B ; 7115
+ ADD *AR2+0, 13, B ; 7116
+ ADD *AR2+0, 14, A, A ; 7117
+ ADD *AR2+0, 14, A, B ; 7118
+ ADD *AR2+0, 14, A ; 7119
+ ADD *AR2+0, 14, B, A ; 7120
+ ADD *AR2+0, 14, B, B ; 7121
+ ADD *AR2+0, 14, B ; 7122
+ ADD *AR2+0, 15, A, A ; 7123
+ ADD *AR2+0, 15, A, B ; 7124
+ ADD *AR2+0, 15, A ; 7125
+ ADD *AR2+0, 15, B, A ; 7126
+ ADD *AR2+0, 15, B, B ; 7127
+ ADD *AR2+0, 15, B ; 7128
+ ADD *AR2+0B, A, A ; 7129
+ ADD *AR2+0B, A, B ; 7130
+ ADD *AR2+0B, A ; 7131
+ ADD *AR2+0B, B, A ; 7132
+ ADD *AR2+0B, B, B ; 7133
+ ADD *AR2+0B, B ; 7134
+ ADD *AR2+0B, -16, A, A ; 7135
+ ADD *AR2+0B, -16, A, B ; 7136
+ ADD *AR2+0B, -16, A ; 7137
+ ADD *AR2+0B, -16, B, A ; 7138
+ ADD *AR2+0B, -16, B, B ; 7139
+ ADD *AR2+0B, -16, B ; 7140
+ ADD *AR2+0B, -15, A, A ; 7141
+ ADD *AR2+0B, -15, A, B ; 7142
+ ADD *AR2+0B, -15, A ; 7143
+ ADD *AR2+0B, -15, B, A ; 7144
+ ADD *AR2+0B, -15, B, B ; 7145
+ ADD *AR2+0B, -15, B ; 7146
+ ADD *AR2+0B, -14, A, A ; 7147
+ ADD *AR2+0B, -14, A, B ; 7148
+ ADD *AR2+0B, -14, A ; 7149
+ ADD *AR2+0B, -14, B, A ; 7150
+ ADD *AR2+0B, -14, B, B ; 7151
+ ADD *AR2+0B, -14, B ; 7152
+ ADD *AR2+0B, -13, A, A ; 7153
+ ADD *AR2+0B, -13, A, B ; 7154
+ ADD *AR2+0B, -13, A ; 7155
+ ADD *AR2+0B, -13, B, A ; 7156
+ ADD *AR2+0B, -13, B, B ; 7157
+ ADD *AR2+0B, -13, B ; 7158
+ ADD *AR2+0B, -12, A, A ; 7159
+ ADD *AR2+0B, -12, A, B ; 7160
+ ADD *AR2+0B, -12, A ; 7161
+ ADD *AR2+0B, -12, B, A ; 7162
+ ADD *AR2+0B, -12, B, B ; 7163
+ ADD *AR2+0B, -12, B ; 7164
+ ADD *AR2+0B, -11, A, A ; 7165
+ ADD *AR2+0B, -11, A, B ; 7166
+ ADD *AR2+0B, -11, A ; 7167
+ ADD *AR2+0B, -11, B, A ; 7168
+ ADD *AR2+0B, -11, B, B ; 7169
+ ADD *AR2+0B, -11, B ; 7170
+ ADD *AR2+0B, -10, A, A ; 7171
+ ADD *AR2+0B, -10, A, B ; 7172
+ ADD *AR2+0B, -10, A ; 7173
+ ADD *AR2+0B, -10, B, A ; 7174
+ ADD *AR2+0B, -10, B, B ; 7175
+ ADD *AR2+0B, -10, B ; 7176
+ ADD *AR2+0B, -9, A, A ; 7177
+ ADD *AR2+0B, -9, A, B ; 7178
+ ADD *AR2+0B, -9, A ; 7179
+ ADD *AR2+0B, -9, B, A ; 7180
+ ADD *AR2+0B, -9, B, B ; 7181
+ ADD *AR2+0B, -9, B ; 7182
+ ADD *AR2+0B, -8, A, A ; 7183
+ ADD *AR2+0B, -8, A, B ; 7184
+ ADD *AR2+0B, -8, A ; 7185
+ ADD *AR2+0B, -8, B, A ; 7186
+ ADD *AR2+0B, -8, B, B ; 7187
+ ADD *AR2+0B, -8, B ; 7188
+ ADD *AR2+0B, -7, A, A ; 7189
+ ADD *AR2+0B, -7, A, B ; 7190
+ ADD *AR2+0B, -7, A ; 7191
+ ADD *AR2+0B, -7, B, A ; 7192
+ ADD *AR2+0B, -7, B, B ; 7193
+ ADD *AR2+0B, -7, B ; 7194
+ ADD *AR2+0B, -6, A, A ; 7195
+ ADD *AR2+0B, -6, A, B ; 7196
+ ADD *AR2+0B, -6, A ; 7197
+ ADD *AR2+0B, -6, B, A ; 7198
+ ADD *AR2+0B, -6, B, B ; 7199
+ ADD *AR2+0B, -6, B ; 7200
+ ADD *AR2+0B, -5, A, A ; 7201
+ ADD *AR2+0B, -5, A, B ; 7202
+ ADD *AR2+0B, -5, A ; 7203
+ ADD *AR2+0B, -5, B, A ; 7204
+ ADD *AR2+0B, -5, B, B ; 7205
+ ADD *AR2+0B, -5, B ; 7206
+ ADD *AR2+0B, -4, A, A ; 7207
+ ADD *AR2+0B, -4, A, B ; 7208
+ ADD *AR2+0B, -4, A ; 7209
+ ADD *AR2+0B, -4, B, A ; 7210
+ ADD *AR2+0B, -4, B, B ; 7211
+ ADD *AR2+0B, -4, B ; 7212
+ ADD *AR2+0B, -3, A, A ; 7213
+ ADD *AR2+0B, -3, A, B ; 7214
+ ADD *AR2+0B, -3, A ; 7215
+ ADD *AR2+0B, -3, B, A ; 7216
+ ADD *AR2+0B, -3, B, B ; 7217
+ ADD *AR2+0B, -3, B ; 7218
+ ADD *AR2+0B, -2, A, A ; 7219
+ ADD *AR2+0B, -2, A, B ; 7220
+ ADD *AR2+0B, -2, A ; 7221
+ ADD *AR2+0B, -2, B, A ; 7222
+ ADD *AR2+0B, -2, B, B ; 7223
+ ADD *AR2+0B, -2, B ; 7224
+ ADD *AR2+0B, -1, A, A ; 7225
+ ADD *AR2+0B, -1, A, B ; 7226
+ ADD *AR2+0B, -1, A ; 7227
+ ADD *AR2+0B, -1, B, A ; 7228
+ ADD *AR2+0B, -1, B, B ; 7229
+ ADD *AR2+0B, -1, B ; 7230
+ ADD *AR2+0B, 0, A, A ; 7231
+ ADD *AR2+0B, 0, A, B ; 7232
+ ADD *AR2+0B, 0, A ; 7233
+ ADD *AR2+0B, 0, B, A ; 7234
+ ADD *AR2+0B, 0, B, B ; 7235
+ ADD *AR2+0B, 0, B ; 7236
+ ADD *AR2+0B, 1, A, A ; 7237
+ ADD *AR2+0B, 1, A, B ; 7238
+ ADD *AR2+0B, 1, A ; 7239
+ ADD *AR2+0B, 1, B, A ; 7240
+ ADD *AR2+0B, 1, B, B ; 7241
+ ADD *AR2+0B, 1, B ; 7242
+ ADD *AR2+0B, 2, A, A ; 7243
+ ADD *AR2+0B, 2, A, B ; 7244
+ ADD *AR2+0B, 2, A ; 7245
+ ADD *AR2+0B, 2, B, A ; 7246
+ ADD *AR2+0B, 2, B, B ; 7247
+ ADD *AR2+0B, 2, B ; 7248
+ ADD *AR2+0B, 3, A, A ; 7249
+ ADD *AR2+0B, 3, A, B ; 7250
+ ADD *AR2+0B, 3, A ; 7251
+ ADD *AR2+0B, 3, B, A ; 7252
+ ADD *AR2+0B, 3, B, B ; 7253
+ ADD *AR2+0B, 3, B ; 7254
+ ADD *AR2+0B, 4, A, A ; 7255
+ ADD *AR2+0B, 4, A, B ; 7256
+ ADD *AR2+0B, 4, A ; 7257
+ ADD *AR2+0B, 4, B, A ; 7258
+ ADD *AR2+0B, 4, B, B ; 7259
+ ADD *AR2+0B, 4, B ; 7260
+ ADD *AR2+0B, 5, A, A ; 7261
+ ADD *AR2+0B, 5, A, B ; 7262
+ ADD *AR2+0B, 5, A ; 7263
+ ADD *AR2+0B, 5, B, A ; 7264
+ ADD *AR2+0B, 5, B, B ; 7265
+ ADD *AR2+0B, 5, B ; 7266
+ ADD *AR2+0B, 6, A, A ; 7267
+ ADD *AR2+0B, 6, A, B ; 7268
+ ADD *AR2+0B, 6, A ; 7269
+ ADD *AR2+0B, 6, B, A ; 7270
+ ADD *AR2+0B, 6, B, B ; 7271
+ ADD *AR2+0B, 6, B ; 7272
+ ADD *AR2+0B, 7, A, A ; 7273
+ ADD *AR2+0B, 7, A, B ; 7274
+ ADD *AR2+0B, 7, A ; 7275
+ ADD *AR2+0B, 7, B, A ; 7276
+ ADD *AR2+0B, 7, B, B ; 7277
+ ADD *AR2+0B, 7, B ; 7278
+ ADD *AR2+0B, 8, A, A ; 7279
+ ADD *AR2+0B, 8, A, B ; 7280
+ ADD *AR2+0B, 8, A ; 7281
+ ADD *AR2+0B, 8, B, A ; 7282
+ ADD *AR2+0B, 8, B, B ; 7283
+ ADD *AR2+0B, 8, B ; 7284
+ ADD *AR2+0B, 9, A, A ; 7285
+ ADD *AR2+0B, 9, A, B ; 7286
+ ADD *AR2+0B, 9, A ; 7287
+ ADD *AR2+0B, 9, B, A ; 7288
+ ADD *AR2+0B, 9, B, B ; 7289
+ ADD *AR2+0B, 9, B ; 7290
+ ADD *AR2+0B, 10, A, A ; 7291
+ ADD *AR2+0B, 10, A, B ; 7292
+ ADD *AR2+0B, 10, A ; 7293
+ ADD *AR2+0B, 10, B, A ; 7294
+ ADD *AR2+0B, 10, B, B ; 7295
+ ADD *AR2+0B, 10, B ; 7296
+ ADD *AR2+0B, 11, A, A ; 7297
+ ADD *AR2+0B, 11, A, B ; 7298
+ ADD *AR2+0B, 11, A ; 7299
+ ADD *AR2+0B, 11, B, A ; 7300
+ ADD *AR2+0B, 11, B, B ; 7301
+ ADD *AR2+0B, 11, B ; 7302
+ ADD *AR2+0B, 12, A, A ; 7303
+ ADD *AR2+0B, 12, A, B ; 7304
+ ADD *AR2+0B, 12, A ; 7305
+ ADD *AR2+0B, 12, B, A ; 7306
+ ADD *AR2+0B, 12, B, B ; 7307
+ ADD *AR2+0B, 12, B ; 7308
+ ADD *AR2+0B, 13, A, A ; 7309
+ ADD *AR2+0B, 13, A, B ; 7310
+ ADD *AR2+0B, 13, A ; 7311
+ ADD *AR2+0B, 13, B, A ; 7312
+ ADD *AR2+0B, 13, B, B ; 7313
+ ADD *AR2+0B, 13, B ; 7314
+ ADD *AR2+0B, 14, A, A ; 7315
+ ADD *AR2+0B, 14, A, B ; 7316
+ ADD *AR2+0B, 14, A ; 7317
+ ADD *AR2+0B, 14, B, A ; 7318
+ ADD *AR2+0B, 14, B, B ; 7319
+ ADD *AR2+0B, 14, B ; 7320
+ ADD *AR2+0B, 15, A, A ; 7321
+ ADD *AR2+0B, 15, A, B ; 7322
+ ADD *AR2+0B, 15, A ; 7323
+ ADD *AR2+0B, 15, B, A ; 7324
+ ADD *AR2+0B, 15, B, B ; 7325
+ ADD *AR2+0B, 15, B ; 7326
+ ADD *AR2-%, A, A ; 7327
+ ADD *AR2-%, A, B ; 7328
+ ADD *AR2-%, A ; 7329
+ ADD *AR2-%, B, A ; 7330
+ ADD *AR2-%, B, B ; 7331
+ ADD *AR2-%, B ; 7332
+ ADD *AR2-%, -16, A, A ; 7333
+ ADD *AR2-%, -16, A, B ; 7334
+ ADD *AR2-%, -16, A ; 7335
+ ADD *AR2-%, -16, B, A ; 7336
+ ADD *AR2-%, -16, B, B ; 7337
+ ADD *AR2-%, -16, B ; 7338
+ ADD *AR2-%, -15, A, A ; 7339
+ ADD *AR2-%, -15, A, B ; 7340
+ ADD *AR2-%, -15, A ; 7341
+ ADD *AR2-%, -15, B, A ; 7342
+ ADD *AR2-%, -15, B, B ; 7343
+ ADD *AR2-%, -15, B ; 7344
+ ADD *AR2-%, -14, A, A ; 7345
+ ADD *AR2-%, -14, A, B ; 7346
+ ADD *AR2-%, -14, A ; 7347
+ ADD *AR2-%, -14, B, A ; 7348
+ ADD *AR2-%, -14, B, B ; 7349
+ ADD *AR2-%, -14, B ; 7350
+ ADD *AR2-%, -13, A, A ; 7351
+ ADD *AR2-%, -13, A, B ; 7352
+ ADD *AR2-%, -13, A ; 7353
+ ADD *AR2-%, -13, B, A ; 7354
+ ADD *AR2-%, -13, B, B ; 7355
+ ADD *AR2-%, -13, B ; 7356
+ ADD *AR2-%, -12, A, A ; 7357
+ ADD *AR2-%, -12, A, B ; 7358
+ ADD *AR2-%, -12, A ; 7359
+ ADD *AR2-%, -12, B, A ; 7360
+ ADD *AR2-%, -12, B, B ; 7361
+ ADD *AR2-%, -12, B ; 7362
+ ADD *AR2-%, -11, A, A ; 7363
+ ADD *AR2-%, -11, A, B ; 7364
+ ADD *AR2-%, -11, A ; 7365
+ ADD *AR2-%, -11, B, A ; 7366
+ ADD *AR2-%, -11, B, B ; 7367
+ ADD *AR2-%, -11, B ; 7368
+ ADD *AR2-%, -10, A, A ; 7369
+ ADD *AR2-%, -10, A, B ; 7370
+ ADD *AR2-%, -10, A ; 7371
+ ADD *AR2-%, -10, B, A ; 7372
+ ADD *AR2-%, -10, B, B ; 7373
+ ADD *AR2-%, -10, B ; 7374
+ ADD *AR2-%, -9, A, A ; 7375
+ ADD *AR2-%, -9, A, B ; 7376
+ ADD *AR2-%, -9, A ; 7377
+ ADD *AR2-%, -9, B, A ; 7378
+ ADD *AR2-%, -9, B, B ; 7379
+ ADD *AR2-%, -9, B ; 7380
+ ADD *AR2-%, -8, A, A ; 7381
+ ADD *AR2-%, -8, A, B ; 7382
+ ADD *AR2-%, -8, A ; 7383
+ ADD *AR2-%, -8, B, A ; 7384
+ ADD *AR2-%, -8, B, B ; 7385
+ ADD *AR2-%, -8, B ; 7386
+ ADD *AR2-%, -7, A, A ; 7387
+ ADD *AR2-%, -7, A, B ; 7388
+ ADD *AR2-%, -7, A ; 7389
+ ADD *AR2-%, -7, B, A ; 7390
+ ADD *AR2-%, -7, B, B ; 7391
+ ADD *AR2-%, -7, B ; 7392
+ ADD *AR2-%, -6, A, A ; 7393
+ ADD *AR2-%, -6, A, B ; 7394
+ ADD *AR2-%, -6, A ; 7395
+ ADD *AR2-%, -6, B, A ; 7396
+ ADD *AR2-%, -6, B, B ; 7397
+ ADD *AR2-%, -6, B ; 7398
+ ADD *AR2-%, -5, A, A ; 7399
+ ADD *AR2-%, -5, A, B ; 7400
+ ADD *AR2-%, -5, A ; 7401
+ ADD *AR2-%, -5, B, A ; 7402
+ ADD *AR2-%, -5, B, B ; 7403
+ ADD *AR2-%, -5, B ; 7404
+ ADD *AR2-%, -4, A, A ; 7405
+ ADD *AR2-%, -4, A, B ; 7406
+ ADD *AR2-%, -4, A ; 7407
+ ADD *AR2-%, -4, B, A ; 7408
+ ADD *AR2-%, -4, B, B ; 7409
+ ADD *AR2-%, -4, B ; 7410
+ ADD *AR2-%, -3, A, A ; 7411
+ ADD *AR2-%, -3, A, B ; 7412
+ ADD *AR2-%, -3, A ; 7413
+ ADD *AR2-%, -3, B, A ; 7414
+ ADD *AR2-%, -3, B, B ; 7415
+ ADD *AR2-%, -3, B ; 7416
+ ADD *AR2-%, -2, A, A ; 7417
+ ADD *AR2-%, -2, A, B ; 7418
+ ADD *AR2-%, -2, A ; 7419
+ ADD *AR2-%, -2, B, A ; 7420
+ ADD *AR2-%, -2, B, B ; 7421
+ ADD *AR2-%, -2, B ; 7422
+ ADD *AR2-%, -1, A, A ; 7423
+ ADD *AR2-%, -1, A, B ; 7424
+ ADD *AR2-%, -1, A ; 7425
+ ADD *AR2-%, -1, B, A ; 7426
+ ADD *AR2-%, -1, B, B ; 7427
+ ADD *AR2-%, -1, B ; 7428
+ ADD *AR2-%, 0, A, A ; 7429
+ ADD *AR2-%, 0, A, B ; 7430
+ ADD *AR2-%, 0, A ; 7431
+ ADD *AR2-%, 0, B, A ; 7432
+ ADD *AR2-%, 0, B, B ; 7433
+ ADD *AR2-%, 0, B ; 7434
+ ADD *AR2-%, 1, A, A ; 7435
+ ADD *AR2-%, 1, A, B ; 7436
+ ADD *AR2-%, 1, A ; 7437
+ ADD *AR2-%, 1, B, A ; 7438
+ ADD *AR2-%, 1, B, B ; 7439
+ ADD *AR2-%, 1, B ; 7440
+ ADD *AR2-%, 2, A, A ; 7441
+ ADD *AR2-%, 2, A, B ; 7442
+ ADD *AR2-%, 2, A ; 7443
+ ADD *AR2-%, 2, B, A ; 7444
+ ADD *AR2-%, 2, B, B ; 7445
+ ADD *AR2-%, 2, B ; 7446
+ ADD *AR2-%, 3, A, A ; 7447
+ ADD *AR2-%, 3, A, B ; 7448
+ ADD *AR2-%, 3, A ; 7449
+ ADD *AR2-%, 3, B, A ; 7450
+ ADD *AR2-%, 3, B, B ; 7451
+ ADD *AR2-%, 3, B ; 7452
+ ADD *AR2-%, 4, A, A ; 7453
+ ADD *AR2-%, 4, A, B ; 7454
+ ADD *AR2-%, 4, A ; 7455
+ ADD *AR2-%, 4, B, A ; 7456
+ ADD *AR2-%, 4, B, B ; 7457
+ ADD *AR2-%, 4, B ; 7458
+ ADD *AR2-%, 5, A, A ; 7459
+ ADD *AR2-%, 5, A, B ; 7460
+ ADD *AR2-%, 5, A ; 7461
+ ADD *AR2-%, 5, B, A ; 7462
+ ADD *AR2-%, 5, B, B ; 7463
+ ADD *AR2-%, 5, B ; 7464
+ ADD *AR2-%, 6, A, A ; 7465
+ ADD *AR2-%, 6, A, B ; 7466
+ ADD *AR2-%, 6, A ; 7467
+ ADD *AR2-%, 6, B, A ; 7468
+ ADD *AR2-%, 6, B, B ; 7469
+ ADD *AR2-%, 6, B ; 7470
+ ADD *AR2-%, 7, A, A ; 7471
+ ADD *AR2-%, 7, A, B ; 7472
+ ADD *AR2-%, 7, A ; 7473
+ ADD *AR2-%, 7, B, A ; 7474
+ ADD *AR2-%, 7, B, B ; 7475
+ ADD *AR2-%, 7, B ; 7476
+ ADD *AR2-%, 8, A, A ; 7477
+ ADD *AR2-%, 8, A, B ; 7478
+ ADD *AR2-%, 8, A ; 7479
+ ADD *AR2-%, 8, B, A ; 7480
+ ADD *AR2-%, 8, B, B ; 7481
+ ADD *AR2-%, 8, B ; 7482
+ ADD *AR2-%, 9, A, A ; 7483
+ ADD *AR2-%, 9, A, B ; 7484
+ ADD *AR2-%, 9, A ; 7485
+ ADD *AR2-%, 9, B, A ; 7486
+ ADD *AR2-%, 9, B, B ; 7487
+ ADD *AR2-%, 9, B ; 7488
+ ADD *AR2-%, 10, A, A ; 7489
+ ADD *AR2-%, 10, A, B ; 7490
+ ADD *AR2-%, 10, A ; 7491
+ ADD *AR2-%, 10, B, A ; 7492
+ ADD *AR2-%, 10, B, B ; 7493
+ ADD *AR2-%, 10, B ; 7494
+ ADD *AR2-%, 11, A, A ; 7495
+ ADD *AR2-%, 11, A, B ; 7496
+ ADD *AR2-%, 11, A ; 7497
+ ADD *AR2-%, 11, B, A ; 7498
+ ADD *AR2-%, 11, B, B ; 7499
+ ADD *AR2-%, 11, B ; 7500
+ ADD *AR2-%, 12, A, A ; 7501
+ ADD *AR2-%, 12, A, B ; 7502
+ ADD *AR2-%, 12, A ; 7503
+ ADD *AR2-%, 12, B, A ; 7504
+ ADD *AR2-%, 12, B, B ; 7505
+ ADD *AR2-%, 12, B ; 7506
+ ADD *AR2-%, 13, A, A ; 7507
+ ADD *AR2-%, 13, A, B ; 7508
+ ADD *AR2-%, 13, A ; 7509
+ ADD *AR2-%, 13, B, A ; 7510
+ ADD *AR2-%, 13, B, B ; 7511
+ ADD *AR2-%, 13, B ; 7512
+ ADD *AR2-%, 14, A, A ; 7513
+ ADD *AR2-%, 14, A, B ; 7514
+ ADD *AR2-%, 14, A ; 7515
+ ADD *AR2-%, 14, B, A ; 7516
+ ADD *AR2-%, 14, B, B ; 7517
+ ADD *AR2-%, 14, B ; 7518
+ ADD *AR2-%, 15, A, A ; 7519
+ ADD *AR2-%, 15, A, B ; 7520
+ ADD *AR2-%, 15, A ; 7521
+ ADD *AR2-%, 15, B, A ; 7522
+ ADD *AR2-%, 15, B, B ; 7523
+ ADD *AR2-%, 15, B ; 7524
+ ADD *AR2-0%, A, A ; 7525
+ ADD *AR2-0%, A, B ; 7526
+ ADD *AR2-0%, A ; 7527
+ ADD *AR2-0%, B, A ; 7528
+ ADD *AR2-0%, B, B ; 7529
+ ADD *AR2-0%, B ; 7530
+ ADD *AR2-0%, -16, A, A ; 7531
+ ADD *AR2-0%, -16, A, B ; 7532
+ ADD *AR2-0%, -16, A ; 7533
+ ADD *AR2-0%, -16, B, A ; 7534
+ ADD *AR2-0%, -16, B, B ; 7535
+ ADD *AR2-0%, -16, B ; 7536
+ ADD *AR2-0%, -15, A, A ; 7537
+ ADD *AR2-0%, -15, A, B ; 7538
+ ADD *AR2-0%, -15, A ; 7539
+ ADD *AR2-0%, -15, B, A ; 7540
+ ADD *AR2-0%, -15, B, B ; 7541
+ ADD *AR2-0%, -15, B ; 7542
+ ADD *AR2-0%, -14, A, A ; 7543
+ ADD *AR2-0%, -14, A, B ; 7544
+ ADD *AR2-0%, -14, A ; 7545
+ ADD *AR2-0%, -14, B, A ; 7546
+ ADD *AR2-0%, -14, B, B ; 7547
+ ADD *AR2-0%, -14, B ; 7548
+ ADD *AR2-0%, -13, A, A ; 7549
+ ADD *AR2-0%, -13, A, B ; 7550
+ ADD *AR2-0%, -13, A ; 7551
+ ADD *AR2-0%, -13, B, A ; 7552
+ ADD *AR2-0%, -13, B, B ; 7553
+ ADD *AR2-0%, -13, B ; 7554
+ ADD *AR2-0%, -12, A, A ; 7555
+ ADD *AR2-0%, -12, A, B ; 7556
+ ADD *AR2-0%, -12, A ; 7557
+ ADD *AR2-0%, -12, B, A ; 7558
+ ADD *AR2-0%, -12, B, B ; 7559
+ ADD *AR2-0%, -12, B ; 7560
+ ADD *AR2-0%, -11, A, A ; 7561
+ ADD *AR2-0%, -11, A, B ; 7562
+ ADD *AR2-0%, -11, A ; 7563
+ ADD *AR2-0%, -11, B, A ; 7564
+ ADD *AR2-0%, -11, B, B ; 7565
+ ADD *AR2-0%, -11, B ; 7566
+ ADD *AR2-0%, -10, A, A ; 7567
+ ADD *AR2-0%, -10, A, B ; 7568
+ ADD *AR2-0%, -10, A ; 7569
+ ADD *AR2-0%, -10, B, A ; 7570
+ ADD *AR2-0%, -10, B, B ; 7571
+ ADD *AR2-0%, -10, B ; 7572
+ ADD *AR2-0%, -9, A, A ; 7573
+ ADD *AR2-0%, -9, A, B ; 7574
+ ADD *AR2-0%, -9, A ; 7575
+ ADD *AR2-0%, -9, B, A ; 7576
+ ADD *AR2-0%, -9, B, B ; 7577
+ ADD *AR2-0%, -9, B ; 7578
+ ADD *AR2-0%, -8, A, A ; 7579
+ ADD *AR2-0%, -8, A, B ; 7580
+ ADD *AR2-0%, -8, A ; 7581
+ ADD *AR2-0%, -8, B, A ; 7582
+ ADD *AR2-0%, -8, B, B ; 7583
+ ADD *AR2-0%, -8, B ; 7584
+ ADD *AR2-0%, -7, A, A ; 7585
+ ADD *AR2-0%, -7, A, B ; 7586
+ ADD *AR2-0%, -7, A ; 7587
+ ADD *AR2-0%, -7, B, A ; 7588
+ ADD *AR2-0%, -7, B, B ; 7589
+ ADD *AR2-0%, -7, B ; 7590
+ ADD *AR2-0%, -6, A, A ; 7591
+ ADD *AR2-0%, -6, A, B ; 7592
+ ADD *AR2-0%, -6, A ; 7593
+ ADD *AR2-0%, -6, B, A ; 7594
+ ADD *AR2-0%, -6, B, B ; 7595
+ ADD *AR2-0%, -6, B ; 7596
+ ADD *AR2-0%, -5, A, A ; 7597
+ ADD *AR2-0%, -5, A, B ; 7598
+ ADD *AR2-0%, -5, A ; 7599
+ ADD *AR2-0%, -5, B, A ; 7600
+ ADD *AR2-0%, -5, B, B ; 7601
+ ADD *AR2-0%, -5, B ; 7602
+ ADD *AR2-0%, -4, A, A ; 7603
+ ADD *AR2-0%, -4, A, B ; 7604
+ ADD *AR2-0%, -4, A ; 7605
+ ADD *AR2-0%, -4, B, A ; 7606
+ ADD *AR2-0%, -4, B, B ; 7607
+ ADD *AR2-0%, -4, B ; 7608
+ ADD *AR2-0%, -3, A, A ; 7609
+ ADD *AR2-0%, -3, A, B ; 7610
+ ADD *AR2-0%, -3, A ; 7611
+ ADD *AR2-0%, -3, B, A ; 7612
+ ADD *AR2-0%, -3, B, B ; 7613
+ ADD *AR2-0%, -3, B ; 7614
+ ADD *AR2-0%, -2, A, A ; 7615
+ ADD *AR2-0%, -2, A, B ; 7616
+ ADD *AR2-0%, -2, A ; 7617
+ ADD *AR2-0%, -2, B, A ; 7618
+ ADD *AR2-0%, -2, B, B ; 7619
+ ADD *AR2-0%, -2, B ; 7620
+ ADD *AR2-0%, -1, A, A ; 7621
+ ADD *AR2-0%, -1, A, B ; 7622
+ ADD *AR2-0%, -1, A ; 7623
+ ADD *AR2-0%, -1, B, A ; 7624
+ ADD *AR2-0%, -1, B, B ; 7625
+ ADD *AR2-0%, -1, B ; 7626
+ ADD *AR2-0%, 0, A, A ; 7627
+ ADD *AR2-0%, 0, A, B ; 7628
+ ADD *AR2-0%, 0, A ; 7629
+ ADD *AR2-0%, 0, B, A ; 7630
+ ADD *AR2-0%, 0, B, B ; 7631
+ ADD *AR2-0%, 0, B ; 7632
+ ADD *AR2-0%, 1, A, A ; 7633
+ ADD *AR2-0%, 1, A, B ; 7634
+ ADD *AR2-0%, 1, A ; 7635
+ ADD *AR2-0%, 1, B, A ; 7636
+ ADD *AR2-0%, 1, B, B ; 7637
+ ADD *AR2-0%, 1, B ; 7638
+ ADD *AR2-0%, 2, A, A ; 7639
+ ADD *AR2-0%, 2, A, B ; 7640
+ ADD *AR2-0%, 2, A ; 7641
+ ADD *AR2-0%, 2, B, A ; 7642
+ ADD *AR2-0%, 2, B, B ; 7643
+ ADD *AR2-0%, 2, B ; 7644
+ ADD *AR2-0%, 3, A, A ; 7645
+ ADD *AR2-0%, 3, A, B ; 7646
+ ADD *AR2-0%, 3, A ; 7647
+ ADD *AR2-0%, 3, B, A ; 7648
+ ADD *AR2-0%, 3, B, B ; 7649
+ ADD *AR2-0%, 3, B ; 7650
+ ADD *AR2-0%, 4, A, A ; 7651
+ ADD *AR2-0%, 4, A, B ; 7652
+ ADD *AR2-0%, 4, A ; 7653
+ ADD *AR2-0%, 4, B, A ; 7654
+ ADD *AR2-0%, 4, B, B ; 7655
+ ADD *AR2-0%, 4, B ; 7656
+ ADD *AR2-0%, 5, A, A ; 7657
+ ADD *AR2-0%, 5, A, B ; 7658
+ ADD *AR2-0%, 5, A ; 7659
+ ADD *AR2-0%, 5, B, A ; 7660
+ ADD *AR2-0%, 5, B, B ; 7661
+ ADD *AR2-0%, 5, B ; 7662
+ ADD *AR2-0%, 6, A, A ; 7663
+ ADD *AR2-0%, 6, A, B ; 7664
+ ADD *AR2-0%, 6, A ; 7665
+ ADD *AR2-0%, 6, B, A ; 7666
+ ADD *AR2-0%, 6, B, B ; 7667
+ ADD *AR2-0%, 6, B ; 7668
+ ADD *AR2-0%, 7, A, A ; 7669
+ ADD *AR2-0%, 7, A, B ; 7670
+ ADD *AR2-0%, 7, A ; 7671
+ ADD *AR2-0%, 7, B, A ; 7672
+ ADD *AR2-0%, 7, B, B ; 7673
+ ADD *AR2-0%, 7, B ; 7674
+ ADD *AR2-0%, 8, A, A ; 7675
+ ADD *AR2-0%, 8, A, B ; 7676
+ ADD *AR2-0%, 8, A ; 7677
+ ADD *AR2-0%, 8, B, A ; 7678
+ ADD *AR2-0%, 8, B, B ; 7679
+ ADD *AR2-0%, 8, B ; 7680
+ ADD *AR2-0%, 9, A, A ; 7681
+ ADD *AR2-0%, 9, A, B ; 7682
+ ADD *AR2-0%, 9, A ; 7683
+ ADD *AR2-0%, 9, B, A ; 7684
+ ADD *AR2-0%, 9, B, B ; 7685
+ ADD *AR2-0%, 9, B ; 7686
+ ADD *AR2-0%, 10, A, A ; 7687
+ ADD *AR2-0%, 10, A, B ; 7688
+ ADD *AR2-0%, 10, A ; 7689
+ ADD *AR2-0%, 10, B, A ; 7690
+ ADD *AR2-0%, 10, B, B ; 7691
+ ADD *AR2-0%, 10, B ; 7692
+ ADD *AR2-0%, 11, A, A ; 7693
+ ADD *AR2-0%, 11, A, B ; 7694
+ ADD *AR2-0%, 11, A ; 7695
+ ADD *AR2-0%, 11, B, A ; 7696
+ ADD *AR2-0%, 11, B, B ; 7697
+ ADD *AR2-0%, 11, B ; 7698
+ ADD *AR2-0%, 12, A, A ; 7699
+ ADD *AR2-0%, 12, A, B ; 7700
+ ADD *AR2-0%, 12, A ; 7701
+ ADD *AR2-0%, 12, B, A ; 7702
+ ADD *AR2-0%, 12, B, B ; 7703
+ ADD *AR2-0%, 12, B ; 7704
+ ADD *AR2-0%, 13, A, A ; 7705
+ ADD *AR2-0%, 13, A, B ; 7706
+ ADD *AR2-0%, 13, A ; 7707
+ ADD *AR2-0%, 13, B, A ; 7708
+ ADD *AR2-0%, 13, B, B ; 7709
+ ADD *AR2-0%, 13, B ; 7710
+ ADD *AR2-0%, 14, A, A ; 7711
+ ADD *AR2-0%, 14, A, B ; 7712
+ ADD *AR2-0%, 14, A ; 7713
+ ADD *AR2-0%, 14, B, A ; 7714
+ ADD *AR2-0%, 14, B, B ; 7715
+ ADD *AR2-0%, 14, B ; 7716
+ ADD *AR2-0%, 15, A, A ; 7717
+ ADD *AR2-0%, 15, A, B ; 7718
+ ADD *AR2-0%, 15, A ; 7719
+ ADD *AR2-0%, 15, B, A ; 7720
+ ADD *AR2-0%, 15, B, B ; 7721
+ ADD *AR2-0%, 15, B ; 7722
+ ADD *AR2+%, A, A ; 7723
+ ADD *AR2+%, A, B ; 7724
+ ADD *AR2+%, A ; 7725
+ ADD *AR2+%, B, A ; 7726
+ ADD *AR2+%, B, B ; 7727
+ ADD *AR2+%, B ; 7728
+ ADD *AR2+%, -16, A, A ; 7729
+ ADD *AR2+%, -16, A, B ; 7730
+ ADD *AR2+%, -16, A ; 7731
+ ADD *AR2+%, -16, B, A ; 7732
+ ADD *AR2+%, -16, B, B ; 7733
+ ADD *AR2+%, -16, B ; 7734
+ ADD *AR2+%, -15, A, A ; 7735
+ ADD *AR2+%, -15, A, B ; 7736
+ ADD *AR2+%, -15, A ; 7737
+ ADD *AR2+%, -15, B, A ; 7738
+ ADD *AR2+%, -15, B, B ; 7739
+ ADD *AR2+%, -15, B ; 7740
+ ADD *AR2+%, -14, A, A ; 7741
+ ADD *AR2+%, -14, A, B ; 7742
+ ADD *AR2+%, -14, A ; 7743
+ ADD *AR2+%, -14, B, A ; 7744
+ ADD *AR2+%, -14, B, B ; 7745
+ ADD *AR2+%, -14, B ; 7746
+ ADD *AR2+%, -13, A, A ; 7747
+ ADD *AR2+%, -13, A, B ; 7748
+ ADD *AR2+%, -13, A ; 7749
+ ADD *AR2+%, -13, B, A ; 7750
+ ADD *AR2+%, -13, B, B ; 7751
+ ADD *AR2+%, -13, B ; 7752
+ ADD *AR2+%, -12, A, A ; 7753
+ ADD *AR2+%, -12, A, B ; 7754
+ ADD *AR2+%, -12, A ; 7755
+ ADD *AR2+%, -12, B, A ; 7756
+ ADD *AR2+%, -12, B, B ; 7757
+ ADD *AR2+%, -12, B ; 7758
+ ADD *AR2+%, -11, A, A ; 7759
+ ADD *AR2+%, -11, A, B ; 7760
+ ADD *AR2+%, -11, A ; 7761
+ ADD *AR2+%, -11, B, A ; 7762
+ ADD *AR2+%, -11, B, B ; 7763
+ ADD *AR2+%, -11, B ; 7764
+ ADD *AR2+%, -10, A, A ; 7765
+ ADD *AR2+%, -10, A, B ; 7766
+ ADD *AR2+%, -10, A ; 7767
+ ADD *AR2+%, -10, B, A ; 7768
+ ADD *AR2+%, -10, B, B ; 7769
+ ADD *AR2+%, -10, B ; 7770
+ ADD *AR2+%, -9, A, A ; 7771
+ ADD *AR2+%, -9, A, B ; 7772
+ ADD *AR2+%, -9, A ; 7773
+ ADD *AR2+%, -9, B, A ; 7774
+ ADD *AR2+%, -9, B, B ; 7775
+ ADD *AR2+%, -9, B ; 7776
+ ADD *AR2+%, -8, A, A ; 7777
+ ADD *AR2+%, -8, A, B ; 7778
+ ADD *AR2+%, -8, A ; 7779
+ ADD *AR2+%, -8, B, A ; 7780
+ ADD *AR2+%, -8, B, B ; 7781
+ ADD *AR2+%, -8, B ; 7782
+ ADD *AR2+%, -7, A, A ; 7783
+ ADD *AR2+%, -7, A, B ; 7784
+ ADD *AR2+%, -7, A ; 7785
+ ADD *AR2+%, -7, B, A ; 7786
+ ADD *AR2+%, -7, B, B ; 7787
+ ADD *AR2+%, -7, B ; 7788
+ ADD *AR2+%, -6, A, A ; 7789
+ ADD *AR2+%, -6, A, B ; 7790
+ ADD *AR2+%, -6, A ; 7791
+ ADD *AR2+%, -6, B, A ; 7792
+ ADD *AR2+%, -6, B, B ; 7793
+ ADD *AR2+%, -6, B ; 7794
+ ADD *AR2+%, -5, A, A ; 7795
+ ADD *AR2+%, -5, A, B ; 7796
+ ADD *AR2+%, -5, A ; 7797
+ ADD *AR2+%, -5, B, A ; 7798
+ ADD *AR2+%, -5, B, B ; 7799
+ ADD *AR2+%, -5, B ; 7800
+ ADD *AR2+%, -4, A, A ; 7801
+ ADD *AR2+%, -4, A, B ; 7802
+ ADD *AR2+%, -4, A ; 7803
+ ADD *AR2+%, -4, B, A ; 7804
+ ADD *AR2+%, -4, B, B ; 7805
+ ADD *AR2+%, -4, B ; 7806
+ ADD *AR2+%, -3, A, A ; 7807
+ ADD *AR2+%, -3, A, B ; 7808
+ ADD *AR2+%, -3, A ; 7809
+ ADD *AR2+%, -3, B, A ; 7810
+ ADD *AR2+%, -3, B, B ; 7811
+ ADD *AR2+%, -3, B ; 7812
+ ADD *AR2+%, -2, A, A ; 7813
+ ADD *AR2+%, -2, A, B ; 7814
+ ADD *AR2+%, -2, A ; 7815
+ ADD *AR2+%, -2, B, A ; 7816
+ ADD *AR2+%, -2, B, B ; 7817
+ ADD *AR2+%, -2, B ; 7818
+ ADD *AR2+%, -1, A, A ; 7819
+ ADD *AR2+%, -1, A, B ; 7820
+ ADD *AR2+%, -1, A ; 7821
+ ADD *AR2+%, -1, B, A ; 7822
+ ADD *AR2+%, -1, B, B ; 7823
+ ADD *AR2+%, -1, B ; 7824
+ ADD *AR2+%, 0, A, A ; 7825
+ ADD *AR2+%, 0, A, B ; 7826
+ ADD *AR2+%, 0, A ; 7827
+ ADD *AR2+%, 0, B, A ; 7828
+ ADD *AR2+%, 0, B, B ; 7829
+ ADD *AR2+%, 0, B ; 7830
+ ADD *AR2+%, 1, A, A ; 7831
+ ADD *AR2+%, 1, A, B ; 7832
+ ADD *AR2+%, 1, A ; 7833
+ ADD *AR2+%, 1, B, A ; 7834
+ ADD *AR2+%, 1, B, B ; 7835
+ ADD *AR2+%, 1, B ; 7836
+ ADD *AR2+%, 2, A, A ; 7837
+ ADD *AR2+%, 2, A, B ; 7838
+ ADD *AR2+%, 2, A ; 7839
+ ADD *AR2+%, 2, B, A ; 7840
+ ADD *AR2+%, 2, B, B ; 7841
+ ADD *AR2+%, 2, B ; 7842
+ ADD *AR2+%, 3, A, A ; 7843
+ ADD *AR2+%, 3, A, B ; 7844
+ ADD *AR2+%, 3, A ; 7845
+ ADD *AR2+%, 3, B, A ; 7846
+ ADD *AR2+%, 3, B, B ; 7847
+ ADD *AR2+%, 3, B ; 7848
+ ADD *AR2+%, 4, A, A ; 7849
+ ADD *AR2+%, 4, A, B ; 7850
+ ADD *AR2+%, 4, A ; 7851
+ ADD *AR2+%, 4, B, A ; 7852
+ ADD *AR2+%, 4, B, B ; 7853
+ ADD *AR2+%, 4, B ; 7854
+ ADD *AR2+%, 5, A, A ; 7855
+ ADD *AR2+%, 5, A, B ; 7856
+ ADD *AR2+%, 5, A ; 7857
+ ADD *AR2+%, 5, B, A ; 7858
+ ADD *AR2+%, 5, B, B ; 7859
+ ADD *AR2+%, 5, B ; 7860
+ ADD *AR2+%, 6, A, A ; 7861
+ ADD *AR2+%, 6, A, B ; 7862
+ ADD *AR2+%, 6, A ; 7863
+ ADD *AR2+%, 6, B, A ; 7864
+ ADD *AR2+%, 6, B, B ; 7865
+ ADD *AR2+%, 6, B ; 7866
+ ADD *AR2+%, 7, A, A ; 7867
+ ADD *AR2+%, 7, A, B ; 7868
+ ADD *AR2+%, 7, A ; 7869
+ ADD *AR2+%, 7, B, A ; 7870
+ ADD *AR2+%, 7, B, B ; 7871
+ ADD *AR2+%, 7, B ; 7872
+ ADD *AR2+%, 8, A, A ; 7873
+ ADD *AR2+%, 8, A, B ; 7874
+ ADD *AR2+%, 8, A ; 7875
+ ADD *AR2+%, 8, B, A ; 7876
+ ADD *AR2+%, 8, B, B ; 7877
+ ADD *AR2+%, 8, B ; 7878
+ ADD *AR2+%, 9, A, A ; 7879
+ ADD *AR2+%, 9, A, B ; 7880
+ ADD *AR2+%, 9, A ; 7881
+ ADD *AR2+%, 9, B, A ; 7882
+ ADD *AR2+%, 9, B, B ; 7883
+ ADD *AR2+%, 9, B ; 7884
+ ADD *AR2+%, 10, A, A ; 7885
+ ADD *AR2+%, 10, A, B ; 7886
+ ADD *AR2+%, 10, A ; 7887
+ ADD *AR2+%, 10, B, A ; 7888
+ ADD *AR2+%, 10, B, B ; 7889
+ ADD *AR2+%, 10, B ; 7890
+ ADD *AR2+%, 11, A, A ; 7891
+ ADD *AR2+%, 11, A, B ; 7892
+ ADD *AR2+%, 11, A ; 7893
+ ADD *AR2+%, 11, B, A ; 7894
+ ADD *AR2+%, 11, B, B ; 7895
+ ADD *AR2+%, 11, B ; 7896
+ ADD *AR2+%, 12, A, A ; 7897
+ ADD *AR2+%, 12, A, B ; 7898
+ ADD *AR2+%, 12, A ; 7899
+ ADD *AR2+%, 12, B, A ; 7900
+ ADD *AR2+%, 12, B, B ; 7901
+ ADD *AR2+%, 12, B ; 7902
+ ADD *AR2+%, 13, A, A ; 7903
+ ADD *AR2+%, 13, A, B ; 7904
+ ADD *AR2+%, 13, A ; 7905
+ ADD *AR2+%, 13, B, A ; 7906
+ ADD *AR2+%, 13, B, B ; 7907
+ ADD *AR2+%, 13, B ; 7908
+ ADD *AR2+%, 14, A, A ; 7909
+ ADD *AR2+%, 14, A, B ; 7910
+ ADD *AR2+%, 14, A ; 7911
+ ADD *AR2+%, 14, B, A ; 7912
+ ADD *AR2+%, 14, B, B ; 7913
+ ADD *AR2+%, 14, B ; 7914
+ ADD *AR2+%, 15, A, A ; 7915
+ ADD *AR2+%, 15, A, B ; 7916
+ ADD *AR2+%, 15, A ; 7917
+ ADD *AR2+%, 15, B, A ; 7918
+ ADD *AR2+%, 15, B, B ; 7919
+ ADD *AR2+%, 15, B ; 7920
+ ADD *AR2+0%, A, A ; 7921
+ ADD *AR2+0%, A, B ; 7922
+ ADD *AR2+0%, A ; 7923
+ ADD *AR2+0%, B, A ; 7924
+ ADD *AR2+0%, B, B ; 7925
+ ADD *AR2+0%, B ; 7926
+ ADD *AR2+0%, -16, A, A ; 7927
+ ADD *AR2+0%, -16, A, B ; 7928
+ ADD *AR2+0%, -16, A ; 7929
+ ADD *AR2+0%, -16, B, A ; 7930
+ ADD *AR2+0%, -16, B, B ; 7931
+ ADD *AR2+0%, -16, B ; 7932
+ ADD *AR2+0%, -15, A, A ; 7933
+ ADD *AR2+0%, -15, A, B ; 7934
+ ADD *AR2+0%, -15, A ; 7935
+ ADD *AR2+0%, -15, B, A ; 7936
+ ADD *AR2+0%, -15, B, B ; 7937
+ ADD *AR2+0%, -15, B ; 7938
+ ADD *AR2+0%, -14, A, A ; 7939
+ ADD *AR2+0%, -14, A, B ; 7940
+ ADD *AR2+0%, -14, A ; 7941
+ ADD *AR2+0%, -14, B, A ; 7942
+ ADD *AR2+0%, -14, B, B ; 7943
+ ADD *AR2+0%, -14, B ; 7944
+ ADD *AR2+0%, -13, A, A ; 7945
+ ADD *AR2+0%, -13, A, B ; 7946
+ ADD *AR2+0%, -13, A ; 7947
+ ADD *AR2+0%, -13, B, A ; 7948
+ ADD *AR2+0%, -13, B, B ; 7949
+ ADD *AR2+0%, -13, B ; 7950
+ ADD *AR2+0%, -12, A, A ; 7951
+ ADD *AR2+0%, -12, A, B ; 7952
+ ADD *AR2+0%, -12, A ; 7953
+ ADD *AR2+0%, -12, B, A ; 7954
+ ADD *AR2+0%, -12, B, B ; 7955
+ ADD *AR2+0%, -12, B ; 7956
+ ADD *AR2+0%, -11, A, A ; 7957
+ ADD *AR2+0%, -11, A, B ; 7958
+ ADD *AR2+0%, -11, A ; 7959
+ ADD *AR2+0%, -11, B, A ; 7960
+ ADD *AR2+0%, -11, B, B ; 7961
+ ADD *AR2+0%, -11, B ; 7962
+ ADD *AR2+0%, -10, A, A ; 7963
+ ADD *AR2+0%, -10, A, B ; 7964
+ ADD *AR2+0%, -10, A ; 7965
+ ADD *AR2+0%, -10, B, A ; 7966
+ ADD *AR2+0%, -10, B, B ; 7967
+ ADD *AR2+0%, -10, B ; 7968
+ ADD *AR2+0%, -9, A, A ; 7969
+ ADD *AR2+0%, -9, A, B ; 7970
+ ADD *AR2+0%, -9, A ; 7971
+ ADD *AR2+0%, -9, B, A ; 7972
+ ADD *AR2+0%, -9, B, B ; 7973
+ ADD *AR2+0%, -9, B ; 7974
+ ADD *AR2+0%, -8, A, A ; 7975
+ ADD *AR2+0%, -8, A, B ; 7976
+ ADD *AR2+0%, -8, A ; 7977
+ ADD *AR2+0%, -8, B, A ; 7978
+ ADD *AR2+0%, -8, B, B ; 7979
+ ADD *AR2+0%, -8, B ; 7980
+ ADD *AR2+0%, -7, A, A ; 7981
+ ADD *AR2+0%, -7, A, B ; 7982
+ ADD *AR2+0%, -7, A ; 7983
+ ADD *AR2+0%, -7, B, A ; 7984
+ ADD *AR2+0%, -7, B, B ; 7985
+ ADD *AR2+0%, -7, B ; 7986
+ ADD *AR2+0%, -6, A, A ; 7987
+ ADD *AR2+0%, -6, A, B ; 7988
+ ADD *AR2+0%, -6, A ; 7989
+ ADD *AR2+0%, -6, B, A ; 7990
+ ADD *AR2+0%, -6, B, B ; 7991
+ ADD *AR2+0%, -6, B ; 7992
+ ADD *AR2+0%, -5, A, A ; 7993
+ ADD *AR2+0%, -5, A, B ; 7994
+ ADD *AR2+0%, -5, A ; 7995
+ ADD *AR2+0%, -5, B, A ; 7996
+ ADD *AR2+0%, -5, B, B ; 7997
+ ADD *AR2+0%, -5, B ; 7998
+ ADD *AR2+0%, -4, A, A ; 7999
+ ADD *AR2+0%, -4, A, B ; 8000
+ ADD *AR2+0%, -4, A ; 8001
+ ADD *AR2+0%, -4, B, A ; 8002
+ ADD *AR2+0%, -4, B, B ; 8003
+ ADD *AR2+0%, -4, B ; 8004
+ ADD *AR2+0%, -3, A, A ; 8005
+ ADD *AR2+0%, -3, A, B ; 8006
+ ADD *AR2+0%, -3, A ; 8007
+ ADD *AR2+0%, -3, B, A ; 8008
+ ADD *AR2+0%, -3, B, B ; 8009
+ ADD *AR2+0%, -3, B ; 8010
+ ADD *AR2+0%, -2, A, A ; 8011
+ ADD *AR2+0%, -2, A, B ; 8012
+ ADD *AR2+0%, -2, A ; 8013
+ ADD *AR2+0%, -2, B, A ; 8014
+ ADD *AR2+0%, -2, B, B ; 8015
+ ADD *AR2+0%, -2, B ; 8016
+ ADD *AR2+0%, -1, A, A ; 8017
+ ADD *AR2+0%, -1, A, B ; 8018
+ ADD *AR2+0%, -1, A ; 8019
+ ADD *AR2+0%, -1, B, A ; 8020
+ ADD *AR2+0%, -1, B, B ; 8021
+ ADD *AR2+0%, -1, B ; 8022
+ ADD *AR2+0%, 0, A, A ; 8023
+ ADD *AR2+0%, 0, A, B ; 8024
+ ADD *AR2+0%, 0, A ; 8025
+ ADD *AR2+0%, 0, B, A ; 8026
+ ADD *AR2+0%, 0, B, B ; 8027
+ ADD *AR2+0%, 0, B ; 8028
+ ADD *AR2+0%, 1, A, A ; 8029
+ ADD *AR2+0%, 1, A, B ; 8030
+ ADD *AR2+0%, 1, A ; 8031
+ ADD *AR2+0%, 1, B, A ; 8032
+ ADD *AR2+0%, 1, B, B ; 8033
+ ADD *AR2+0%, 1, B ; 8034
+ ADD *AR2+0%, 2, A, A ; 8035
+ ADD *AR2+0%, 2, A, B ; 8036
+ ADD *AR2+0%, 2, A ; 8037
+ ADD *AR2+0%, 2, B, A ; 8038
+ ADD *AR2+0%, 2, B, B ; 8039
+ ADD *AR2+0%, 2, B ; 8040
+ ADD *AR2+0%, 3, A, A ; 8041
+ ADD *AR2+0%, 3, A, B ; 8042
+ ADD *AR2+0%, 3, A ; 8043
+ ADD *AR2+0%, 3, B, A ; 8044
+ ADD *AR2+0%, 3, B, B ; 8045
+ ADD *AR2+0%, 3, B ; 8046
+ ADD *AR2+0%, 4, A, A ; 8047
+ ADD *AR2+0%, 4, A, B ; 8048
+ ADD *AR2+0%, 4, A ; 8049
+ ADD *AR2+0%, 4, B, A ; 8050
+ ADD *AR2+0%, 4, B, B ; 8051
+ ADD *AR2+0%, 4, B ; 8052
+ ADD *AR2+0%, 5, A, A ; 8053
+ ADD *AR2+0%, 5, A, B ; 8054
+ ADD *AR2+0%, 5, A ; 8055
+ ADD *AR2+0%, 5, B, A ; 8056
+ ADD *AR2+0%, 5, B, B ; 8057
+ ADD *AR2+0%, 5, B ; 8058
+ ADD *AR2+0%, 6, A, A ; 8059
+ ADD *AR2+0%, 6, A, B ; 8060
+ ADD *AR2+0%, 6, A ; 8061
+ ADD *AR2+0%, 6, B, A ; 8062
+ ADD *AR2+0%, 6, B, B ; 8063
+ ADD *AR2+0%, 6, B ; 8064
+ ADD *AR2+0%, 7, A, A ; 8065
+ ADD *AR2+0%, 7, A, B ; 8066
+ ADD *AR2+0%, 7, A ; 8067
+ ADD *AR2+0%, 7, B, A ; 8068
+ ADD *AR2+0%, 7, B, B ; 8069
+ ADD *AR2+0%, 7, B ; 8070
+ ADD *AR2+0%, 8, A, A ; 8071
+ ADD *AR2+0%, 8, A, B ; 8072
+ ADD *AR2+0%, 8, A ; 8073
+ ADD *AR2+0%, 8, B, A ; 8074
+ ADD *AR2+0%, 8, B, B ; 8075
+ ADD *AR2+0%, 8, B ; 8076
+ ADD *AR2+0%, 9, A, A ; 8077
+ ADD *AR2+0%, 9, A, B ; 8078
+ ADD *AR2+0%, 9, A ; 8079
+ ADD *AR2+0%, 9, B, A ; 8080
+ ADD *AR2+0%, 9, B, B ; 8081
+ ADD *AR2+0%, 9, B ; 8082
+ ADD *AR2+0%, 10, A, A ; 8083
+ ADD *AR2+0%, 10, A, B ; 8084
+ ADD *AR2+0%, 10, A ; 8085
+ ADD *AR2+0%, 10, B, A ; 8086
+ ADD *AR2+0%, 10, B, B ; 8087
+ ADD *AR2+0%, 10, B ; 8088
+ ADD *AR2+0%, 11, A, A ; 8089
+ ADD *AR2+0%, 11, A, B ; 8090
+ ADD *AR2+0%, 11, A ; 8091
+ ADD *AR2+0%, 11, B, A ; 8092
+ ADD *AR2+0%, 11, B, B ; 8093
+ ADD *AR2+0%, 11, B ; 8094
+ ADD *AR2+0%, 12, A, A ; 8095
+ ADD *AR2+0%, 12, A, B ; 8096
+ ADD *AR2+0%, 12, A ; 8097
+ ADD *AR2+0%, 12, B, A ; 8098
+ ADD *AR2+0%, 12, B, B ; 8099
+ ADD *AR2+0%, 12, B ; 8100
+ ADD *AR2+0%, 13, A, A ; 8101
+ ADD *AR2+0%, 13, A, B ; 8102
+ ADD *AR2+0%, 13, A ; 8103
+ ADD *AR2+0%, 13, B, A ; 8104
+ ADD *AR2+0%, 13, B, B ; 8105
+ ADD *AR2+0%, 13, B ; 8106
+ ADD *AR2+0%, 14, A, A ; 8107
+ ADD *AR2+0%, 14, A, B ; 8108
+ ADD *AR2+0%, 14, A ; 8109
+ ADD *AR2+0%, 14, B, A ; 8110
+ ADD *AR2+0%, 14, B, B ; 8111
+ ADD *AR2+0%, 14, B ; 8112
+ ADD *AR2+0%, 15, A, A ; 8113
+ ADD *AR2+0%, 15, A, B ; 8114
+ ADD *AR2+0%, 15, A ; 8115
+ ADD *AR2+0%, 15, B, A ; 8116
+ ADD *AR2+0%, 15, B, B ; 8117
+ ADD *AR2+0%, 15, B ; 8118
+ ADD *AR2(00875h), A, A ; 8119
+ ADD *AR2(00876h), A, B ; 8120
+ ADD *AR2(00877h), A ; 8121
+ ADD *AR2(00878h), B, A ; 8122
+ ADD *AR2(00879h), B, B ; 8123
+ ADD *AR2(0087Ah), B ; 8124
+ ADD *AR2(0087Bh), -16, A, A ; 8125
+ ADD *AR2(0087Ch), -16, A, B ; 8126
+ ADD *AR2(0087Dh), -16, A ; 8127
+ ADD *AR2(0087Eh), -16, B, A ; 8128
+ ADD *AR2(0087Fh), -16, B, B ; 8129
+ ADD *AR2(00880h), -16, B ; 8130
+ ADD *AR2(00881h), -15, A, A ; 8131
+ ADD *AR2(00882h), -15, A, B ; 8132
+ ADD *AR2(00883h), -15, A ; 8133
+ ADD *AR2(00884h), -15, B, A ; 8134
+ ADD *AR2(00885h), -15, B, B ; 8135
+ ADD *AR2(00886h), -15, B ; 8136
+ ADD *AR2(00887h), -14, A, A ; 8137
+ ADD *AR2(00888h), -14, A, B ; 8138
+ ADD *AR2(00889h), -14, A ; 8139
+ ADD *AR2(0088Ah), -14, B, A ; 8140
+ ADD *AR2(0088Bh), -14, B, B ; 8141
+ ADD *AR2(0088Ch), -14, B ; 8142
+ ADD *AR2(0088Dh), -13, A, A ; 8143
+ ADD *AR2(0088Eh), -13, A, B ; 8144
+ ADD *AR2(0088Fh), -13, A ; 8145
+ ADD *AR2(00890h), -13, B, A ; 8146
+ ADD *AR2(00891h), -13, B, B ; 8147
+ ADD *AR2(00892h), -13, B ; 8148
+ ADD *AR2(00893h), -12, A, A ; 8149
+ ADD *AR2(00894h), -12, A, B ; 8150
+ ADD *AR2(00895h), -12, A ; 8151
+ ADD *AR2(00896h), -12, B, A ; 8152
+ ADD *AR2(00897h), -12, B, B ; 8153
+ ADD *AR2(00898h), -12, B ; 8154
+ ADD *AR2(00899h), -11, A, A ; 8155
+ ADD *AR2(0089Ah), -11, A, B ; 8156
+ ADD *AR2(0089Bh), -11, A ; 8157
+ ADD *AR2(0089Ch), -11, B, A ; 8158
+ ADD *AR2(0089Dh), -11, B, B ; 8159
+ ADD *AR2(0089Eh), -11, B ; 8160
+ ADD *AR2(0089Fh), -10, A, A ; 8161
+ ADD *AR2(008A0h), -10, A, B ; 8162
+ ADD *AR2(008A1h), -10, A ; 8163
+ ADD *AR2(008A2h), -10, B, A ; 8164
+ ADD *AR2(008A3h), -10, B, B ; 8165
+ ADD *AR2(008A4h), -10, B ; 8166
+ ADD *AR2(008A5h), -9, A, A ; 8167
+ ADD *AR2(008A6h), -9, A, B ; 8168
+ ADD *AR2(008A7h), -9, A ; 8169
+ ADD *AR2(008A8h), -9, B, A ; 8170
+ ADD *AR2(008A9h), -9, B, B ; 8171
+ ADD *AR2(008AAh), -9, B ; 8172
+ ADD *AR2(008ABh), -8, A, A ; 8173
+ ADD *AR2(008ACh), -8, A, B ; 8174
+ ADD *AR2(008ADh), -8, A ; 8175
+ ADD *AR2(008AEh), -8, B, A ; 8176
+ ADD *AR2(008AFh), -8, B, B ; 8177
+ ADD *AR2(008B0h), -8, B ; 8178
+ ADD *AR2(008B1h), -7, A, A ; 8179
+ ADD *AR2(008B2h), -7, A, B ; 8180
+ ADD *AR2(008B3h), -7, A ; 8181
+ ADD *AR2(008B4h), -7, B, A ; 8182
+ ADD *AR2(008B5h), -7, B, B ; 8183
+ ADD *AR2(008B6h), -7, B ; 8184
+ ADD *AR2(008B7h), -6, A, A ; 8185
+ ADD *AR2(008B8h), -6, A, B ; 8186
+ ADD *AR2(008B9h), -6, A ; 8187
+ ADD *AR2(008BAh), -6, B, A ; 8188
+ ADD *AR2(008BBh), -6, B, B ; 8189
+ ADD *AR2(008BCh), -6, B ; 8190
+ ADD *AR2(008BDh), -5, A, A ; 8191
+ ADD *AR2(008BEh), -5, A, B ; 8192
+ ADD *AR2(008BFh), -5, A ; 8193
+ ADD *AR2(008C0h), -5, B, A ; 8194
+ ADD *AR2(008C1h), -5, B, B ; 8195
+ ADD *AR2(008C2h), -5, B ; 8196
+ ADD *AR2(008C3h), -4, A, A ; 8197
+ ADD *AR2(008C4h), -4, A, B ; 8198
+ ADD *AR2(008C5h), -4, A ; 8199
+ ADD *AR2(008C6h), -4, B, A ; 8200
+ ADD *AR2(008C7h), -4, B, B ; 8201
+ ADD *AR2(008C8h), -4, B ; 8202
+ ADD *AR2(008C9h), -3, A, A ; 8203
+ ADD *AR2(008CAh), -3, A, B ; 8204
+ ADD *AR2(008CBh), -3, A ; 8205
+ ADD *AR2(008CCh), -3, B, A ; 8206
+ ADD *AR2(008CDh), -3, B, B ; 8207
+ ADD *AR2(008CEh), -3, B ; 8208
+ ADD *AR2(008CFh), -2, A, A ; 8209
+ ADD *AR2(008D0h), -2, A, B ; 8210
+ ADD *AR2(008D1h), -2, A ; 8211
+ ADD *AR2(008D2h), -2, B, A ; 8212
+ ADD *AR2(008D3h), -2, B, B ; 8213
+ ADD *AR2(008D4h), -2, B ; 8214
+ ADD *AR2(008D5h), -1, A, A ; 8215
+ ADD *AR2(008D6h), -1, A, B ; 8216
+ ADD *AR2(008D7h), -1, A ; 8217
+ ADD *AR2(008D8h), -1, B, A ; 8218
+ ADD *AR2(008D9h), -1, B, B ; 8219
+ ADD *AR2(008DAh), -1, B ; 8220
+ ADD *AR2(008DBh), 0, A, A ; 8221
+ ADD *AR2(008DCh), 0, A, B ; 8222
+ ADD *AR2(008DDh), 0, A ; 8223
+ ADD *AR2(008DEh), 0, B, A ; 8224
+ ADD *AR2(008DFh), 0, B, B ; 8225
+ ADD *AR2(008E0h), 0, B ; 8226
+ ADD *AR2(008E1h), 1, A, A ; 8227
+ ADD *AR2(008E2h), 1, A, B ; 8228
+ ADD *AR2(008E3h), 1, A ; 8229
+ ADD *AR2(008E4h), 1, B, A ; 8230
+ ADD *AR2(008E5h), 1, B, B ; 8231
+ ADD *AR2(008E6h), 1, B ; 8232
+ ADD *AR2(008E7h), 2, A, A ; 8233
+ ADD *AR2(008E8h), 2, A, B ; 8234
+ ADD *AR2(008E9h), 2, A ; 8235
+ ADD *AR2(008EAh), 2, B, A ; 8236
+ ADD *AR2(008EBh), 2, B, B ; 8237
+ ADD *AR2(008ECh), 2, B ; 8238
+ ADD *AR2(008EDh), 3, A, A ; 8239
+ ADD *AR2(008EEh), 3, A, B ; 8240
+ ADD *AR2(008EFh), 3, A ; 8241
+ ADD *AR2(008F0h), 3, B, A ; 8242
+ ADD *AR2(008F1h), 3, B, B ; 8243
+ ADD *AR2(008F2h), 3, B ; 8244
+ ADD *AR2(008F3h), 4, A, A ; 8245
+ ADD *AR2(008F4h), 4, A, B ; 8246
+ ADD *AR2(008F5h), 4, A ; 8247
+ ADD *AR2(008F6h), 4, B, A ; 8248
+ ADD *AR2(008F7h), 4, B, B ; 8249
+ ADD *AR2(008F8h), 4, B ; 8250
+ ADD *AR2(008F9h), 5, A, A ; 8251
+ ADD *AR2(008FAh), 5, A, B ; 8252
+ ADD *AR2(008FBh), 5, A ; 8253
+ ADD *AR2(008FCh), 5, B, A ; 8254
+ ADD *AR2(008FDh), 5, B, B ; 8255
+ ADD *AR2(008FEh), 5, B ; 8256
+ ADD *AR2(008FFh), 6, A, A ; 8257
+ ADD *AR2(00900h), 6, A, B ; 8258
+ ADD *AR2(00901h), 6, A ; 8259
+ ADD *AR2(00902h), 6, B, A ; 8260
+ ADD *AR2(00903h), 6, B, B ; 8261
+ ADD *AR2(00904h), 6, B ; 8262
+ ADD *AR2(00905h), 7, A, A ; 8263
+ ADD *AR2(00906h), 7, A, B ; 8264
+ ADD *AR2(00907h), 7, A ; 8265
+ ADD *AR2(00908h), 7, B, A ; 8266
+ ADD *AR2(00909h), 7, B, B ; 8267
+ ADD *AR2(0090Ah), 7, B ; 8268
+ ADD *AR2(0090Bh), 8, A, A ; 8269
+ ADD *AR2(0090Ch), 8, A, B ; 8270
+ ADD *AR2(0090Dh), 8, A ; 8271
+ ADD *AR2(0090Eh), 8, B, A ; 8272
+ ADD *AR2(0090Fh), 8, B, B ; 8273
+ ADD *AR2(00910h), 8, B ; 8274
+ ADD *AR2(00911h), 9, A, A ; 8275
+ ADD *AR2(00912h), 9, A, B ; 8276
+ ADD *AR2(00913h), 9, A ; 8277
+ ADD *AR2(00914h), 9, B, A ; 8278
+ ADD *AR2(00915h), 9, B, B ; 8279
+ ADD *AR2(00916h), 9, B ; 8280
+ ADD *AR2(00917h), 10, A, A ; 8281
+ ADD *AR2(00918h), 10, A, B ; 8282
+ ADD *AR2(00919h), 10, A ; 8283
+ ADD *AR2(0091Ah), 10, B, A ; 8284
+ ADD *AR2(0091Bh), 10, B, B ; 8285
+ ADD *AR2(0091Ch), 10, B ; 8286
+ ADD *AR2(0091Dh), 11, A, A ; 8287
+ ADD *AR2(0091Eh), 11, A, B ; 8288
+ ADD *AR2(0091Fh), 11, A ; 8289
+ ADD *AR2(00920h), 11, B, A ; 8290
+ ADD *AR2(00921h), 11, B, B ; 8291
+ ADD *AR2(00922h), 11, B ; 8292
+ ADD *AR2(00923h), 12, A, A ; 8293
+ ADD *AR2(00924h), 12, A, B ; 8294
+ ADD *AR2(00925h), 12, A ; 8295
+ ADD *AR2(00926h), 12, B, A ; 8296
+ ADD *AR2(00927h), 12, B, B ; 8297
+ ADD *AR2(00928h), 12, B ; 8298
+ ADD *AR2(00929h), 13, A, A ; 8299
+ ADD *AR2(0092Ah), 13, A, B ; 8300
+ ADD *AR2(0092Bh), 13, A ; 8301
+ ADD *AR2(0092Ch), 13, B, A ; 8302
+ ADD *AR2(0092Dh), 13, B, B ; 8303
+ ADD *AR2(0092Eh), 13, B ; 8304
+ ADD *AR2(0092Fh), 14, A, A ; 8305
+ ADD *AR2(00930h), 14, A, B ; 8306
+ ADD *AR2(00931h), 14, A ; 8307
+ ADD *AR2(00932h), 14, B, A ; 8308
+ ADD *AR2(00933h), 14, B, B ; 8309
+ ADD *AR2(00934h), 14, B ; 8310
+ ADD *AR2(00935h), 15, A, A ; 8311
+ ADD *AR2(00936h), 15, A, B ; 8312
+ ADD *AR2(00937h), 15, A ; 8313
+ ADD *AR2(00938h), 15, B, A ; 8314
+ ADD *AR2(00939h), 15, B, B ; 8315
+ ADD *AR2(0093Ah), 15, B ; 8316
+ ADD *+AR2(0093Bh), A, A ; 8317
+ ADD *+AR2(0093Ch), A, B ; 8318
+ ADD *+AR2(0093Dh), A ; 8319
+ ADD *+AR2(0093Eh), B, A ; 8320
+ ADD *+AR2(0093Fh), B, B ; 8321
+ ADD *+AR2(00940h), B ; 8322
+ ADD *+AR2(00941h), -16, A, A ; 8323
+ ADD *+AR2(00942h), -16, A, B ; 8324
+ ADD *+AR2(00943h), -16, A ; 8325
+ ADD *+AR2(00944h), -16, B, A ; 8326
+ ADD *+AR2(00945h), -16, B, B ; 8327
+ ADD *+AR2(00946h), -16, B ; 8328
+ ADD *+AR2(00947h), -15, A, A ; 8329
+ ADD *+AR2(00948h), -15, A, B ; 8330
+ ADD *+AR2(00949h), -15, A ; 8331
+ ADD *+AR2(0094Ah), -15, B, A ; 8332
+ ADD *+AR2(0094Bh), -15, B, B ; 8333
+ ADD *+AR2(0094Ch), -15, B ; 8334
+ ADD *+AR2(0094Dh), -14, A, A ; 8335
+ ADD *+AR2(0094Eh), -14, A, B ; 8336
+ ADD *+AR2(0094Fh), -14, A ; 8337
+ ADD *+AR2(00950h), -14, B, A ; 8338
+ ADD *+AR2(00951h), -14, B, B ; 8339
+ ADD *+AR2(00952h), -14, B ; 8340
+ ADD *+AR2(00953h), -13, A, A ; 8341
+ ADD *+AR2(00954h), -13, A, B ; 8342
+ ADD *+AR2(00955h), -13, A ; 8343
+ ADD *+AR2(00956h), -13, B, A ; 8344
+ ADD *+AR2(00957h), -13, B, B ; 8345
+ ADD *+AR2(00958h), -13, B ; 8346
+ ADD *+AR2(00959h), -12, A, A ; 8347
+ ADD *+AR2(0095Ah), -12, A, B ; 8348
+ ADD *+AR2(0095Bh), -12, A ; 8349
+ ADD *+AR2(0095Ch), -12, B, A ; 8350
+ ADD *+AR2(0095Dh), -12, B, B ; 8351
+ ADD *+AR2(0095Eh), -12, B ; 8352
+ ADD *+AR2(0095Fh), -11, A, A ; 8353
+ ADD *+AR2(00960h), -11, A, B ; 8354
+ ADD *+AR2(00961h), -11, A ; 8355
+ ADD *+AR2(00962h), -11, B, A ; 8356
+ ADD *+AR2(00963h), -11, B, B ; 8357
+ ADD *+AR2(00964h), -11, B ; 8358
+ ADD *+AR2(00965h), -10, A, A ; 8359
+ ADD *+AR2(00966h), -10, A, B ; 8360
+ ADD *+AR2(00967h), -10, A ; 8361
+ ADD *+AR2(00968h), -10, B, A ; 8362
+ ADD *+AR2(00969h), -10, B, B ; 8363
+ ADD *+AR2(0096Ah), -10, B ; 8364
+ ADD *+AR2(0096Bh), -9, A, A ; 8365
+ ADD *+AR2(0096Ch), -9, A, B ; 8366
+ ADD *+AR2(0096Dh), -9, A ; 8367
+ ADD *+AR2(0096Eh), -9, B, A ; 8368
+ ADD *+AR2(0096Fh), -9, B, B ; 8369
+ ADD *+AR2(00970h), -9, B ; 8370
+ ADD *+AR2(00971h), -8, A, A ; 8371
+ ADD *+AR2(00972h), -8, A, B ; 8372
+ ADD *+AR2(00973h), -8, A ; 8373
+ ADD *+AR2(00974h), -8, B, A ; 8374
+ ADD *+AR2(00975h), -8, B, B ; 8375
+ ADD *+AR2(00976h), -8, B ; 8376
+ ADD *+AR2(00977h), -7, A, A ; 8377
+ ADD *+AR2(00978h), -7, A, B ; 8378
+ ADD *+AR2(00979h), -7, A ; 8379
+ ADD *+AR2(0097Ah), -7, B, A ; 8380
+ ADD *+AR2(0097Bh), -7, B, B ; 8381
+ ADD *+AR2(0097Ch), -7, B ; 8382
+ ADD *+AR2(0097Dh), -6, A, A ; 8383
+ ADD *+AR2(0097Eh), -6, A, B ; 8384
+ ADD *+AR2(0097Fh), -6, A ; 8385
+ ADD *+AR2(00980h), -6, B, A ; 8386
+ ADD *+AR2(00981h), -6, B, B ; 8387
+ ADD *+AR2(00982h), -6, B ; 8388
+ ADD *+AR2(00983h), -5, A, A ; 8389
+ ADD *+AR2(00984h), -5, A, B ; 8390
+ ADD *+AR2(00985h), -5, A ; 8391
+ ADD *+AR2(00986h), -5, B, A ; 8392
+ ADD *+AR2(00987h), -5, B, B ; 8393
+ ADD *+AR2(00988h), -5, B ; 8394
+ ADD *+AR2(00989h), -4, A, A ; 8395
+ ADD *+AR2(0098Ah), -4, A, B ; 8396
+ ADD *+AR2(0098Bh), -4, A ; 8397
+ ADD *+AR2(0098Ch), -4, B, A ; 8398
+ ADD *+AR2(0098Dh), -4, B, B ; 8399
+ ADD *+AR2(0098Eh), -4, B ; 8400
+ ADD *+AR2(0098Fh), -3, A, A ; 8401
+ ADD *+AR2(00990h), -3, A, B ; 8402
+ ADD *+AR2(00991h), -3, A ; 8403
+ ADD *+AR2(00992h), -3, B, A ; 8404
+ ADD *+AR2(00993h), -3, B, B ; 8405
+ ADD *+AR2(00994h), -3, B ; 8406
+ ADD *+AR2(00995h), -2, A, A ; 8407
+ ADD *+AR2(00996h), -2, A, B ; 8408
+ ADD *+AR2(00997h), -2, A ; 8409
+ ADD *+AR2(00998h), -2, B, A ; 8410
+ ADD *+AR2(00999h), -2, B, B ; 8411
+ ADD *+AR2(0099Ah), -2, B ; 8412
+ ADD *+AR2(0099Bh), -1, A, A ; 8413
+ ADD *+AR2(0099Ch), -1, A, B ; 8414
+ ADD *+AR2(0099Dh), -1, A ; 8415
+ ADD *+AR2(0099Eh), -1, B, A ; 8416
+ ADD *+AR2(0099Fh), -1, B, B ; 8417
+ ADD *+AR2(009A0h), -1, B ; 8418
+ ADD *+AR2(009A1h), 0, A, A ; 8419
+ ADD *+AR2(009A2h), 0, A, B ; 8420
+ ADD *+AR2(009A3h), 0, A ; 8421
+ ADD *+AR2(009A4h), 0, B, A ; 8422
+ ADD *+AR2(009A5h), 0, B, B ; 8423
+ ADD *+AR2(009A6h), 0, B ; 8424
+ ADD *+AR2(009A7h), 1, A, A ; 8425
+ ADD *+AR2(009A8h), 1, A, B ; 8426
+ ADD *+AR2(009A9h), 1, A ; 8427
+ ADD *+AR2(009AAh), 1, B, A ; 8428
+ ADD *+AR2(009ABh), 1, B, B ; 8429
+ ADD *+AR2(009ACh), 1, B ; 8430
+ ADD *+AR2(009ADh), 2, A, A ; 8431
+ ADD *+AR2(009AEh), 2, A, B ; 8432
+ ADD *+AR2(009AFh), 2, A ; 8433
+ ADD *+AR2(009B0h), 2, B, A ; 8434
+ ADD *+AR2(009B1h), 2, B, B ; 8435
+ ADD *+AR2(009B2h), 2, B ; 8436
+ ADD *+AR2(009B3h), 3, A, A ; 8437
+ ADD *+AR2(009B4h), 3, A, B ; 8438
+ ADD *+AR2(009B5h), 3, A ; 8439
+ ADD *+AR2(009B6h), 3, B, A ; 8440
+ ADD *+AR2(009B7h), 3, B, B ; 8441
+ ADD *+AR2(009B8h), 3, B ; 8442
+ ADD *+AR2(009B9h), 4, A, A ; 8443
+ ADD *+AR2(009BAh), 4, A, B ; 8444
+ ADD *+AR2(009BBh), 4, A ; 8445
+ ADD *+AR2(009BCh), 4, B, A ; 8446
+ ADD *+AR2(009BDh), 4, B, B ; 8447
+ ADD *+AR2(009BEh), 4, B ; 8448
+ ADD *+AR2(009BFh), 5, A, A ; 8449
+ ADD *+AR2(009C0h), 5, A, B ; 8450
+ ADD *+AR2(009C1h), 5, A ; 8451
+ ADD *+AR2(009C2h), 5, B, A ; 8452
+ ADD *+AR2(009C3h), 5, B, B ; 8453
+ ADD *+AR2(009C4h), 5, B ; 8454
+ ADD *+AR2(009C5h), 6, A, A ; 8455
+ ADD *+AR2(009C6h), 6, A, B ; 8456
+ ADD *+AR2(009C7h), 6, A ; 8457
+ ADD *+AR2(009C8h), 6, B, A ; 8458
+ ADD *+AR2(009C9h), 6, B, B ; 8459
+ ADD *+AR2(009CAh), 6, B ; 8460
+ ADD *+AR2(009CBh), 7, A, A ; 8461
+ ADD *+AR2(009CCh), 7, A, B ; 8462
+ ADD *+AR2(009CDh), 7, A ; 8463
+ ADD *+AR2(009CEh), 7, B, A ; 8464
+ ADD *+AR2(009CFh), 7, B, B ; 8465
+ ADD *+AR2(009D0h), 7, B ; 8466
+ ADD *+AR2(009D1h), 8, A, A ; 8467
+ ADD *+AR2(009D2h), 8, A, B ; 8468
+ ADD *+AR2(009D3h), 8, A ; 8469
+ ADD *+AR2(009D4h), 8, B, A ; 8470
+ ADD *+AR2(009D5h), 8, B, B ; 8471
+ ADD *+AR2(009D6h), 8, B ; 8472
+ ADD *+AR2(009D7h), 9, A, A ; 8473
+ ADD *+AR2(009D8h), 9, A, B ; 8474
+ ADD *+AR2(009D9h), 9, A ; 8475
+ ADD *+AR2(009DAh), 9, B, A ; 8476
+ ADD *+AR2(009DBh), 9, B, B ; 8477
+ ADD *+AR2(009DCh), 9, B ; 8478
+ ADD *+AR2(009DDh), 10, A, A ; 8479
+ ADD *+AR2(009DEh), 10, A, B ; 8480
+ ADD *+AR2(009DFh), 10, A ; 8481
+ ADD *+AR2(009E0h), 10, B, A ; 8482
+ ADD *+AR2(009E1h), 10, B, B ; 8483
+ ADD *+AR2(009E2h), 10, B ; 8484
+ ADD *+AR2(009E3h), 11, A, A ; 8485
+ ADD *+AR2(009E4h), 11, A, B ; 8486
+ ADD *+AR2(009E5h), 11, A ; 8487
+ ADD *+AR2(009E6h), 11, B, A ; 8488
+ ADD *+AR2(009E7h), 11, B, B ; 8489
+ ADD *+AR2(009E8h), 11, B ; 8490
+ ADD *+AR2(009E9h), 12, A, A ; 8491
+ ADD *+AR2(009EAh), 12, A, B ; 8492
+ ADD *+AR2(009EBh), 12, A ; 8493
+ ADD *+AR2(009ECh), 12, B, A ; 8494
+ ADD *+AR2(009EDh), 12, B, B ; 8495
+ ADD *+AR2(009EEh), 12, B ; 8496
+ ADD *+AR2(009EFh), 13, A, A ; 8497
+ ADD *+AR2(009F0h), 13, A, B ; 8498
+ ADD *+AR2(009F1h), 13, A ; 8499
+ ADD *+AR2(009F2h), 13, B, A ; 8500
+ ADD *+AR2(009F3h), 13, B, B ; 8501
+ ADD *+AR2(009F4h), 13, B ; 8502
+ ADD *+AR2(009F5h), 14, A, A ; 8503
+ ADD *+AR2(009F6h), 14, A, B ; 8504
+ ADD *+AR2(009F7h), 14, A ; 8505
+ ADD *+AR2(009F8h), 14, B, A ; 8506
+ ADD *+AR2(009F9h), 14, B, B ; 8507
+ ADD *+AR2(009FAh), 14, B ; 8508
+ ADD *+AR2(009FBh), 15, A, A ; 8509
+ ADD *+AR2(009FCh), 15, A, B ; 8510
+ ADD *+AR2(009FDh), 15, A ; 8511
+ ADD *+AR2(009FEh), 15, B, A ; 8512
+ ADD *+AR2(009FFh), 15, B, B ; 8513
+ ADD *+AR2(00A00h), 15, B ; 8514
+ ADD *+AR2(00A01h)%, A, A ; 8515
+ ADD *+AR2(00A02h)%, A, B ; 8516
+ ADD *+AR2(00A03h)%, A ; 8517
+ ADD *+AR2(00A04h)%, B, A ; 8518
+ ADD *+AR2(00A05h)%, B, B ; 8519
+ ADD *+AR2(00A06h)%, B ; 8520
+ ADD *+AR2(00A07h)%, -16, A, A ; 8521
+ ADD *+AR2(00A08h)%, -16, A, B ; 8522
+ ADD *+AR2(00A09h)%, -16, A ; 8523
+ ADD *+AR2(00A0Ah)%, -16, B, A ; 8524
+ ADD *+AR2(00A0Bh)%, -16, B, B ; 8525
+ ADD *+AR2(00A0Ch)%, -16, B ; 8526
+ ADD *+AR2(00A0Dh)%, -15, A, A ; 8527
+ ADD *+AR2(00A0Eh)%, -15, A, B ; 8528
+ ADD *+AR2(00A0Fh)%, -15, A ; 8529
+ ADD *+AR2(00A10h)%, -15, B, A ; 8530
+ ADD *+AR2(00A11h)%, -15, B, B ; 8531
+ ADD *+AR2(00A12h)%, -15, B ; 8532
+ ADD *+AR2(00A13h)%, -14, A, A ; 8533
+ ADD *+AR2(00A14h)%, -14, A, B ; 8534
+ ADD *+AR2(00A15h)%, -14, A ; 8535
+ ADD *+AR2(00A16h)%, -14, B, A ; 8536
+ ADD *+AR2(00A17h)%, -14, B, B ; 8537
+ ADD *+AR2(00A18h)%, -14, B ; 8538
+ ADD *+AR2(00A19h)%, -13, A, A ; 8539
+ ADD *+AR2(00A1Ah)%, -13, A, B ; 8540
+ ADD *+AR2(00A1Bh)%, -13, A ; 8541
+ ADD *+AR2(00A1Ch)%, -13, B, A ; 8542
+ ADD *+AR2(00A1Dh)%, -13, B, B ; 8543
+ ADD *+AR2(00A1Eh)%, -13, B ; 8544
+ ADD *+AR2(00A1Fh)%, -12, A, A ; 8545
+ ADD *+AR2(00A20h)%, -12, A, B ; 8546
+ ADD *+AR2(00A21h)%, -12, A ; 8547
+ ADD *+AR2(00A22h)%, -12, B, A ; 8548
+ ADD *+AR2(00A23h)%, -12, B, B ; 8549
+ ADD *+AR2(00A24h)%, -12, B ; 8550
+ ADD *+AR2(00A25h)%, -11, A, A ; 8551
+ ADD *+AR2(00A26h)%, -11, A, B ; 8552
+ ADD *+AR2(00A27h)%, -11, A ; 8553
+ ADD *+AR2(00A28h)%, -11, B, A ; 8554
+ ADD *+AR2(00A29h)%, -11, B, B ; 8555
+ ADD *+AR2(00A2Ah)%, -11, B ; 8556
+ ADD *+AR2(00A2Bh)%, -10, A, A ; 8557
+ ADD *+AR2(00A2Ch)%, -10, A, B ; 8558
+ ADD *+AR2(00A2Dh)%, -10, A ; 8559
+ ADD *+AR2(00A2Eh)%, -10, B, A ; 8560
+ ADD *+AR2(00A2Fh)%, -10, B, B ; 8561
+ ADD *+AR2(00A30h)%, -10, B ; 8562
+ ADD *+AR2(00A31h)%, -9, A, A ; 8563
+ ADD *+AR2(00A32h)%, -9, A, B ; 8564
+ ADD *+AR2(00A33h)%, -9, A ; 8565
+ ADD *+AR2(00A34h)%, -9, B, A ; 8566
+ ADD *+AR2(00A35h)%, -9, B, B ; 8567
+ ADD *+AR2(00A36h)%, -9, B ; 8568
+ ADD *+AR2(00A37h)%, -8, A, A ; 8569
+ ADD *+AR2(00A38h)%, -8, A, B ; 8570
+ ADD *+AR2(00A39h)%, -8, A ; 8571
+ ADD *+AR2(00A3Ah)%, -8, B, A ; 8572
+ ADD *+AR2(00A3Bh)%, -8, B, B ; 8573
+ ADD *+AR2(00A3Ch)%, -8, B ; 8574
+ ADD *+AR2(00A3Dh)%, -7, A, A ; 8575
+ ADD *+AR2(00A3Eh)%, -7, A, B ; 8576
+ ADD *+AR2(00A3Fh)%, -7, A ; 8577
+ ADD *+AR2(00A40h)%, -7, B, A ; 8578
+ ADD *+AR2(00A41h)%, -7, B, B ; 8579
+ ADD *+AR2(00A42h)%, -7, B ; 8580
+ ADD *+AR2(00A43h)%, -6, A, A ; 8581
+ ADD *+AR2(00A44h)%, -6, A, B ; 8582
+ ADD *+AR2(00A45h)%, -6, A ; 8583
+ ADD *+AR2(00A46h)%, -6, B, A ; 8584
+ ADD *+AR2(00A47h)%, -6, B, B ; 8585
+ ADD *+AR2(00A48h)%, -6, B ; 8586
+ ADD *+AR2(00A49h)%, -5, A, A ; 8587
+ ADD *+AR2(00A4Ah)%, -5, A, B ; 8588
+ ADD *+AR2(00A4Bh)%, -5, A ; 8589
+ ADD *+AR2(00A4Ch)%, -5, B, A ; 8590
+ ADD *+AR2(00A4Dh)%, -5, B, B ; 8591
+ ADD *+AR2(00A4Eh)%, -5, B ; 8592
+ ADD *+AR2(00A4Fh)%, -4, A, A ; 8593
+ ADD *+AR2(00A50h)%, -4, A, B ; 8594
+ ADD *+AR2(00A51h)%, -4, A ; 8595
+ ADD *+AR2(00A52h)%, -4, B, A ; 8596
+ ADD *+AR2(00A53h)%, -4, B, B ; 8597
+ ADD *+AR2(00A54h)%, -4, B ; 8598
+ ADD *+AR2(00A55h)%, -3, A, A ; 8599
+ ADD *+AR2(00A56h)%, -3, A, B ; 8600
+ ADD *+AR2(00A57h)%, -3, A ; 8601
+ ADD *+AR2(00A58h)%, -3, B, A ; 8602
+ ADD *+AR2(00A59h)%, -3, B, B ; 8603
+ ADD *+AR2(00A5Ah)%, -3, B ; 8604
+ ADD *+AR2(00A5Bh)%, -2, A, A ; 8605
+ ADD *+AR2(00A5Ch)%, -2, A, B ; 8606
+ ADD *+AR2(00A5Dh)%, -2, A ; 8607
+ ADD *+AR2(00A5Eh)%, -2, B, A ; 8608
+ ADD *+AR2(00A5Fh)%, -2, B, B ; 8609
+ ADD *+AR2(00A60h)%, -2, B ; 8610
+ ADD *+AR2(00A61h)%, -1, A, A ; 8611
+ ADD *+AR2(00A62h)%, -1, A, B ; 8612
+ ADD *+AR2(00A63h)%, -1, A ; 8613
+ ADD *+AR2(00A64h)%, -1, B, A ; 8614
+ ADD *+AR2(00A65h)%, -1, B, B ; 8615
+ ADD *+AR2(00A66h)%, -1, B ; 8616
+ ADD *+AR2(00A67h)%, 0, A, A ; 8617
+ ADD *+AR2(00A68h)%, 0, A, B ; 8618
+ ADD *+AR2(00A69h)%, 0, A ; 8619
+ ADD *+AR2(00A6Ah)%, 0, B, A ; 8620
+ ADD *+AR2(00A6Bh)%, 0, B, B ; 8621
+ ADD *+AR2(00A6Ch)%, 0, B ; 8622
+ ADD *+AR2(00A6Dh)%, 1, A, A ; 8623
+ ADD *+AR2(00A6Eh)%, 1, A, B ; 8624
+ ADD *+AR2(00A6Fh)%, 1, A ; 8625
+ ADD *+AR2(00A70h)%, 1, B, A ; 8626
+ ADD *+AR2(00A71h)%, 1, B, B ; 8627
+ ADD *+AR2(00A72h)%, 1, B ; 8628
+ ADD *+AR2(00A73h)%, 2, A, A ; 8629
+ ADD *+AR2(00A74h)%, 2, A, B ; 8630
+ ADD *+AR2(00A75h)%, 2, A ; 8631
+ ADD *+AR2(00A76h)%, 2, B, A ; 8632
+ ADD *+AR2(00A77h)%, 2, B, B ; 8633
+ ADD *+AR2(00A78h)%, 2, B ; 8634
+ ADD *+AR2(00A79h)%, 3, A, A ; 8635
+ ADD *+AR2(00A7Ah)%, 3, A, B ; 8636
+ ADD *+AR2(00A7Bh)%, 3, A ; 8637
+ ADD *+AR2(00A7Ch)%, 3, B, A ; 8638
+ ADD *+AR2(00A7Dh)%, 3, B, B ; 8639
+ ADD *+AR2(00A7Eh)%, 3, B ; 8640
+ ADD *+AR2(00A7Fh)%, 4, A, A ; 8641
+ ADD *+AR2(00A80h)%, 4, A, B ; 8642
+ ADD *+AR2(00A81h)%, 4, A ; 8643
+ ADD *+AR2(00A82h)%, 4, B, A ; 8644
+ ADD *+AR2(00A83h)%, 4, B, B ; 8645
+ ADD *+AR2(00A84h)%, 4, B ; 8646
+ ADD *+AR2(00A85h)%, 5, A, A ; 8647
+ ADD *+AR2(00A86h)%, 5, A, B ; 8648
+ ADD *+AR2(00A87h)%, 5, A ; 8649
+ ADD *+AR2(00A88h)%, 5, B, A ; 8650
+ ADD *+AR2(00A89h)%, 5, B, B ; 8651
+ ADD *+AR2(00A8Ah)%, 5, B ; 8652
+ ADD *+AR2(00A8Bh)%, 6, A, A ; 8653
+ ADD *+AR2(00A8Ch)%, 6, A, B ; 8654
+ ADD *+AR2(00A8Dh)%, 6, A ; 8655
+ ADD *+AR2(00A8Eh)%, 6, B, A ; 8656
+ ADD *+AR2(00A8Fh)%, 6, B, B ; 8657
+ ADD *+AR2(00A90h)%, 6, B ; 8658
+ ADD *+AR2(00A91h)%, 7, A, A ; 8659
+ ADD *+AR2(00A92h)%, 7, A, B ; 8660
+ ADD *+AR2(00A93h)%, 7, A ; 8661
+ ADD *+AR2(00A94h)%, 7, B, A ; 8662
+ ADD *+AR2(00A95h)%, 7, B, B ; 8663
+ ADD *+AR2(00A96h)%, 7, B ; 8664
+ ADD *+AR2(00A97h)%, 8, A, A ; 8665
+ ADD *+AR2(00A98h)%, 8, A, B ; 8666
+ ADD *+AR2(00A99h)%, 8, A ; 8667
+ ADD *+AR2(00A9Ah)%, 8, B, A ; 8668
+ ADD *+AR2(00A9Bh)%, 8, B, B ; 8669
+ ADD *+AR2(00A9Ch)%, 8, B ; 8670
+ ADD *+AR2(00A9Dh)%, 9, A, A ; 8671
+ ADD *+AR2(00A9Eh)%, 9, A, B ; 8672
+ ADD *+AR2(00A9Fh)%, 9, A ; 8673
+ ADD *+AR2(00AA0h)%, 9, B, A ; 8674
+ ADD *+AR2(00AA1h)%, 9, B, B ; 8675
+ ADD *+AR2(00AA2h)%, 9, B ; 8676
+ ADD *+AR2(00AA3h)%, 10, A, A ; 8677
+ ADD *+AR2(00AA4h)%, 10, A, B ; 8678
+ ADD *+AR2(00AA5h)%, 10, A ; 8679
+ ADD *+AR2(00AA6h)%, 10, B, A ; 8680
+ ADD *+AR2(00AA7h)%, 10, B, B ; 8681
+ ADD *+AR2(00AA8h)%, 10, B ; 8682
+ ADD *+AR2(00AA9h)%, 11, A, A ; 8683
+ ADD *+AR2(00AAAh)%, 11, A, B ; 8684
+ ADD *+AR2(00AABh)%, 11, A ; 8685
+ ADD *+AR2(00AACh)%, 11, B, A ; 8686
+ ADD *+AR2(00AADh)%, 11, B, B ; 8687
+ ADD *+AR2(00AAEh)%, 11, B ; 8688
+ ADD *+AR2(00AAFh)%, 12, A, A ; 8689
+ ADD *+AR2(00AB0h)%, 12, A, B ; 8690
+ ADD *+AR2(00AB1h)%, 12, A ; 8691
+ ADD *+AR2(00AB2h)%, 12, B, A ; 8692
+ ADD *+AR2(00AB3h)%, 12, B, B ; 8693
+ ADD *+AR2(00AB4h)%, 12, B ; 8694
+ ADD *+AR2(00AB5h)%, 13, A, A ; 8695
+ ADD *+AR2(00AB6h)%, 13, A, B ; 8696
+ ADD *+AR2(00AB7h)%, 13, A ; 8697
+ ADD *+AR2(00AB8h)%, 13, B, A ; 8698
+ ADD *+AR2(00AB9h)%, 13, B, B ; 8699
+ ADD *+AR2(00ABAh)%, 13, B ; 8700
+ ADD *+AR2(00ABBh)%, 14, A, A ; 8701
+ ADD *+AR2(00ABCh)%, 14, A, B ; 8702
+ ADD *+AR2(00ABDh)%, 14, A ; 8703
+ ADD *+AR2(00ABEh)%, 14, B, A ; 8704
+ ADD *+AR2(00ABFh)%, 14, B, B ; 8705
+ ADD *+AR2(00AC0h)%, 14, B ; 8706
+ ADD *+AR2(00AC1h)%, 15, A, A ; 8707
+ ADD *+AR2(00AC2h)%, 15, A, B ; 8708
+ ADD *+AR2(00AC3h)%, 15, A ; 8709
+ ADD *+AR2(00AC4h)%, 15, B, A ; 8710
+ ADD *+AR2(00AC5h)%, 15, B, B ; 8711
+ ADD *+AR2(00AC6h)%, 15, B ; 8712
+ ADD *(00AC7h), A, A ; 8713
+ ADD *(00AC8h), A, B ; 8714
+ ADD *(00AC9h), A ; 8715
+ ADD *(00ACAh), B, A ; 8716
+ ADD *(00ACBh), B, B ; 8717
+ ADD *(00ACCh), B ; 8718
+ ADD *(00ACDh), -16, A, A ; 8719
+ ADD *(00ACEh), -16, A, B ; 8720
+ ADD *(00ACFh), -16, A ; 8721
+ ADD *(00AD0h), -16, B, A ; 8722
+ ADD *(00AD1h), -16, B, B ; 8723
+ ADD *(00AD2h), -16, B ; 8724
+ ADD *(00AD3h), -15, A, A ; 8725
+ ADD *(00AD4h), -15, A, B ; 8726
+ ADD *(00AD5h), -15, A ; 8727
+ ADD *(00AD6h), -15, B, A ; 8728
+ ADD *(00AD7h), -15, B, B ; 8729
+ ADD *(00AD8h), -15, B ; 8730
+ ADD *(00AD9h), -14, A, A ; 8731
+ ADD *(00ADAh), -14, A, B ; 8732
+ ADD *(00ADBh), -14, A ; 8733
+ ADD *(00ADCh), -14, B, A ; 8734
+ ADD *(00ADDh), -14, B, B ; 8735
+ ADD *(00ADEh), -14, B ; 8736
+ ADD *(00ADFh), -13, A, A ; 8737
+ ADD *(00AE0h), -13, A, B ; 8738
+ ADD *(00AE1h), -13, A ; 8739
+ ADD *(00AE2h), -13, B, A ; 8740
+ ADD *(00AE3h), -13, B, B ; 8741
+ ADD *(00AE4h), -13, B ; 8742
+ ADD *(00AE5h), -12, A, A ; 8743
+ ADD *(00AE6h), -12, A, B ; 8744
+ ADD *(00AE7h), -12, A ; 8745
+ ADD *(00AE8h), -12, B, A ; 8746
+ ADD *(00AE9h), -12, B, B ; 8747
+ ADD *(00AEAh), -12, B ; 8748
+ ADD *(00AEBh), -11, A, A ; 8749
+ ADD *(00AECh), -11, A, B ; 8750
+ ADD *(00AEDh), -11, A ; 8751
+ ADD *(00AEEh), -11, B, A ; 8752
+ ADD *(00AEFh), -11, B, B ; 8753
+ ADD *(00AF0h), -11, B ; 8754
+ ADD *(00AF1h), -10, A, A ; 8755
+ ADD *(00AF2h), -10, A, B ; 8756
+ ADD *(00AF3h), -10, A ; 8757
+ ADD *(00AF4h), -10, B, A ; 8758
+ ADD *(00AF5h), -10, B, B ; 8759
+ ADD *(00AF6h), -10, B ; 8760
+ ADD *(00AF7h), -9, A, A ; 8761
+ ADD *(00AF8h), -9, A, B ; 8762
+ ADD *(00AF9h), -9, A ; 8763
+ ADD *(00AFAh), -9, B, A ; 8764
+ ADD *(00AFBh), -9, B, B ; 8765
+ ADD *(00AFCh), -9, B ; 8766
+ ADD *(00AFDh), -8, A, A ; 8767
+ ADD *(00AFEh), -8, A, B ; 8768
+ ADD *(00AFFh), -8, A ; 8769
+ ADD *(00B00h), -8, B, A ; 8770
+ ADD *(00B01h), -8, B, B ; 8771
+ ADD *(00B02h), -8, B ; 8772
+ ADD *(00B03h), -7, A, A ; 8773
+ ADD *(00B04h), -7, A, B ; 8774
+ ADD *(00B05h), -7, A ; 8775
+ ADD *(00B06h), -7, B, A ; 8776
+ ADD *(00B07h), -7, B, B ; 8777
+ ADD *(00B08h), -7, B ; 8778
+ ADD *(00B09h), -6, A, A ; 8779
+ ADD *(00B0Ah), -6, A, B ; 8780
+ ADD *(00B0Bh), -6, A ; 8781
+ ADD *(00B0Ch), -6, B, A ; 8782
+ ADD *(00B0Dh), -6, B, B ; 8783
+ ADD *(00B0Eh), -6, B ; 8784
+ ADD *(00B0Fh), -5, A, A ; 8785
+ ADD *(00B10h), -5, A, B ; 8786
+ ADD *(00B11h), -5, A ; 8787
+ ADD *(00B12h), -5, B, A ; 8788
+ ADD *(00B13h), -5, B, B ; 8789
+ ADD *(00B14h), -5, B ; 8790
+ ADD *(00B15h), -4, A, A ; 8791
+ ADD *(00B16h), -4, A, B ; 8792
+ ADD *(00B17h), -4, A ; 8793
+ ADD *(00B18h), -4, B, A ; 8794
+ ADD *(00B19h), -4, B, B ; 8795
+ ADD *(00B1Ah), -4, B ; 8796
+ ADD *(00B1Bh), -3, A, A ; 8797
+ ADD *(00B1Ch), -3, A, B ; 8798
+ ADD *(00B1Dh), -3, A ; 8799
+ ADD *(00B1Eh), -3, B, A ; 8800
+ ADD *(00B1Fh), -3, B, B ; 8801
+ ADD *(00B20h), -3, B ; 8802
+ ADD *(00B21h), -2, A, A ; 8803
+ ADD *(00B22h), -2, A, B ; 8804
+ ADD *(00B23h), -2, A ; 8805
+ ADD *(00B24h), -2, B, A ; 8806
+ ADD *(00B25h), -2, B, B ; 8807
+ ADD *(00B26h), -2, B ; 8808
+ ADD *(00B27h), -1, A, A ; 8809
+ ADD *(00B28h), -1, A, B ; 8810
+ ADD *(00B29h), -1, A ; 8811
+ ADD *(00B2Ah), -1, B, A ; 8812
+ ADD *(00B2Bh), -1, B, B ; 8813
+ ADD *(00B2Ch), -1, B ; 8814
+ ADD *(00B2Dh), 0, A, A ; 8815
+ ADD *(00B2Eh), 0, A, B ; 8816
+ ADD *(00B2Fh), 0, A ; 8817
+ ADD *(00B30h), 0, B, A ; 8818
+ ADD *(00B31h), 0, B, B ; 8819
+ ADD *(00B32h), 0, B ; 8820
+ ADD *(00B33h), 1, A, A ; 8821
+ ADD *(00B34h), 1, A, B ; 8822
+ ADD *(00B35h), 1, A ; 8823
+ ADD *(00B36h), 1, B, A ; 8824
+ ADD *(00B37h), 1, B, B ; 8825
+ ADD *(00B38h), 1, B ; 8826
+ ADD *(00B39h), 2, A, A ; 8827
+ ADD *(00B3Ah), 2, A, B ; 8828
+ ADD *(00B3Bh), 2, A ; 8829
+ ADD *(00B3Ch), 2, B, A ; 8830
+ ADD *(00B3Dh), 2, B, B ; 8831
+ ADD *(00B3Eh), 2, B ; 8832
+ ADD *(00B3Fh), 3, A, A ; 8833
+ ADD *(00B40h), 3, A, B ; 8834
+ ADD *(00B41h), 3, A ; 8835
+ ADD *(00B42h), 3, B, A ; 8836
+ ADD *(00B43h), 3, B, B ; 8837
+ ADD *(00B44h), 3, B ; 8838
+ ADD *(00B45h), 4, A, A ; 8839
+ ADD *(00B46h), 4, A, B ; 8840
+ ADD *(00B47h), 4, A ; 8841
+ ADD *(00B48h), 4, B, A ; 8842
+ ADD *(00B49h), 4, B, B ; 8843
+ ADD *(00B4Ah), 4, B ; 8844
+ ADD *(00B4Bh), 5, A, A ; 8845
+ ADD *(00B4Ch), 5, A, B ; 8846
+ ADD *(00B4Dh), 5, A ; 8847
+ ADD *(00B4Eh), 5, B, A ; 8848
+ ADD *(00B4Fh), 5, B, B ; 8849
+ ADD *(00B50h), 5, B ; 8850
+ ADD *(00B51h), 6, A, A ; 8851
+ ADD *(00B52h), 6, A, B ; 8852
+ ADD *(00B53h), 6, A ; 8853
+ ADD *(00B54h), 6, B, A ; 8854
+ ADD *(00B55h), 6, B, B ; 8855
+ ADD *(00B56h), 6, B ; 8856
+ ADD *(00B57h), 7, A, A ; 8857
+ ADD *(00B58h), 7, A, B ; 8858
+ ADD *(00B59h), 7, A ; 8859
+ ADD *(00B5Ah), 7, B, A ; 8860
+ ADD *(00B5Bh), 7, B, B ; 8861
+ ADD *(00B5Ch), 7, B ; 8862
+ ADD *(00B5Dh), 8, A, A ; 8863
+ ADD *(00B5Eh), 8, A, B ; 8864
+ ADD *(00B5Fh), 8, A ; 8865
+ ADD *(00B60h), 8, B, A ; 8866
+ ADD *(00B61h), 8, B, B ; 8867
+ ADD *(00B62h), 8, B ; 8868
+ ADD *(00B63h), 9, A, A ; 8869
+ ADD *(00B64h), 9, A, B ; 8870
+ ADD *(00B65h), 9, A ; 8871
+ ADD *(00B66h), 9, B, A ; 8872
+ ADD *(00B67h), 9, B, B ; 8873
+ ADD *(00B68h), 9, B ; 8874
+ ADD *(00B69h), 10, A, A ; 8875
+ ADD *(00B6Ah), 10, A, B ; 8876
+ ADD *(00B6Bh), 10, A ; 8877
+ ADD *(00B6Ch), 10, B, A ; 8878
+ ADD *(00B6Dh), 10, B, B ; 8879
+ ADD *(00B6Eh), 10, B ; 8880
+ ADD *(00B6Fh), 11, A, A ; 8881
+ ADD *(00B70h), 11, A, B ; 8882
+ ADD *(00B71h), 11, A ; 8883
+ ADD *(00B72h), 11, B, A ; 8884
+ ADD *(00B73h), 11, B, B ; 8885
+ ADD *(00B74h), 11, B ; 8886
+ ADD *(00B75h), 12, A, A ; 8887
+ ADD *(00B76h), 12, A, B ; 8888
+ ADD *(00B77h), 12, A ; 8889
+ ADD *(00B78h), 12, B, A ; 8890
+ ADD *(00B79h), 12, B, B ; 8891
+ ADD *(00B7Ah), 12, B ; 8892
+ ADD *(00B7Bh), 13, A, A ; 8893
+ ADD *(00B7Ch), 13, A, B ; 8894
+ ADD *(00B7Dh), 13, A ; 8895
+ ADD *(00B7Eh), 13, B, A ; 8896
+ ADD *(00B7Fh), 13, B, B ; 8897
+ ADD *(00B80h), 13, B ; 8898
+ ADD *(00B81h), 14, A, A ; 8899
+ ADD *(00B82h), 14, A, B ; 8900
+ ADD *(00B83h), 14, A ; 8901
+ ADD *(00B84h), 14, B, A ; 8902
+ ADD *(00B85h), 14, B, B ; 8903
+ ADD *(00B86h), 14, B ; 8904
+ ADD *(00B87h), 15, A, A ; 8905
+ ADD *(00B88h), 15, A, B ; 8906
+ ADD *(00B89h), 15, A ; 8907
+ ADD *(00B8Ah), 15, B, A ; 8908
+ ADD *(00B8Bh), 15, B, B ; 8909
+ ADD *(00B8Ch), 15, B ; 8910
+ ADD *AR3, A, A ; 8911
+ ADD *AR3, A, B ; 8912
+ ADD *AR3, A ; 8913
+ ADD *AR3, B, A ; 8914
+ ADD *AR3, B, B ; 8915
+ ADD *AR3, B ; 8916
+ ADD *AR3, -16, A, A ; 8917
+ ADD *AR3, -16, A, B ; 8918
+ ADD *AR3, -16, A ; 8919
+ ADD *AR3, -16, B, A ; 8920
+ ADD *AR3, -16, B, B ; 8921
+ ADD *AR3, -16, B ; 8922
+ ADD *AR3, -15, A, A ; 8923
+ ADD *AR3, -15, A, B ; 8924
+ ADD *AR3, -15, A ; 8925
+ ADD *AR3, -15, B, A ; 8926
+ ADD *AR3, -15, B, B ; 8927
+ ADD *AR3, -15, B ; 8928
+ ADD *AR3, -14, A, A ; 8929
+ ADD *AR3, -14, A, B ; 8930
+ ADD *AR3, -14, A ; 8931
+ ADD *AR3, -14, B, A ; 8932
+ ADD *AR3, -14, B, B ; 8933
+ ADD *AR3, -14, B ; 8934
+ ADD *AR3, -13, A, A ; 8935
+ ADD *AR3, -13, A, B ; 8936
+ ADD *AR3, -13, A ; 8937
+ ADD *AR3, -13, B, A ; 8938
+ ADD *AR3, -13, B, B ; 8939
+ ADD *AR3, -13, B ; 8940
+ ADD *AR3, -12, A, A ; 8941
+ ADD *AR3, -12, A, B ; 8942
+ ADD *AR3, -12, A ; 8943
+ ADD *AR3, -12, B, A ; 8944
+ ADD *AR3, -12, B, B ; 8945
+ ADD *AR3, -12, B ; 8946
+ ADD *AR3, -11, A, A ; 8947
+ ADD *AR3, -11, A, B ; 8948
+ ADD *AR3, -11, A ; 8949
+ ADD *AR3, -11, B, A ; 8950
+ ADD *AR3, -11, B, B ; 8951
+ ADD *AR3, -11, B ; 8952
+ ADD *AR3, -10, A, A ; 8953
+ ADD *AR3, -10, A, B ; 8954
+ ADD *AR3, -10, A ; 8955
+ ADD *AR3, -10, B, A ; 8956
+ ADD *AR3, -10, B, B ; 8957
+ ADD *AR3, -10, B ; 8958
+ ADD *AR3, -9, A, A ; 8959
+ ADD *AR3, -9, A, B ; 8960
+ ADD *AR3, -9, A ; 8961
+ ADD *AR3, -9, B, A ; 8962
+ ADD *AR3, -9, B, B ; 8963
+ ADD *AR3, -9, B ; 8964
+ ADD *AR3, -8, A, A ; 8965
+ ADD *AR3, -8, A, B ; 8966
+ ADD *AR3, -8, A ; 8967
+ ADD *AR3, -8, B, A ; 8968
+ ADD *AR3, -8, B, B ; 8969
+ ADD *AR3, -8, B ; 8970
+ ADD *AR3, -7, A, A ; 8971
+ ADD *AR3, -7, A, B ; 8972
+ ADD *AR3, -7, A ; 8973
+ ADD *AR3, -7, B, A ; 8974
+ ADD *AR3, -7, B, B ; 8975
+ ADD *AR3, -7, B ; 8976
+ ADD *AR3, -6, A, A ; 8977
+ ADD *AR3, -6, A, B ; 8978
+ ADD *AR3, -6, A ; 8979
+ ADD *AR3, -6, B, A ; 8980
+ ADD *AR3, -6, B, B ; 8981
+ ADD *AR3, -6, B ; 8982
+ ADD *AR3, -5, A, A ; 8983
+ ADD *AR3, -5, A, B ; 8984
+ ADD *AR3, -5, A ; 8985
+ ADD *AR3, -5, B, A ; 8986
+ ADD *AR3, -5, B, B ; 8987
+ ADD *AR3, -5, B ; 8988
+ ADD *AR3, -4, A, A ; 8989
+ ADD *AR3, -4, A, B ; 8990
+ ADD *AR3, -4, A ; 8991
+ ADD *AR3, -4, B, A ; 8992
+ ADD *AR3, -4, B, B ; 8993
+ ADD *AR3, -4, B ; 8994
+ ADD *AR3, -3, A, A ; 8995
+ ADD *AR3, -3, A, B ; 8996
+ ADD *AR3, -3, A ; 8997
+ ADD *AR3, -3, B, A ; 8998
+ ADD *AR3, -3, B, B ; 8999
+ ADD *AR3, -3, B ; 9000
+ ADD *AR3, -2, A, A ; 9001
+ ADD *AR3, -2, A, B ; 9002
+ ADD *AR3, -2, A ; 9003
+ ADD *AR3, -2, B, A ; 9004
+ ADD *AR3, -2, B, B ; 9005
+ ADD *AR3, -2, B ; 9006
+ ADD *AR3, -1, A, A ; 9007
+ ADD *AR3, -1, A, B ; 9008
+ ADD *AR3, -1, A ; 9009
+ ADD *AR3, -1, B, A ; 9010
+ ADD *AR3, -1, B, B ; 9011
+ ADD *AR3, -1, B ; 9012
+ ADD *AR3, 0, A, A ; 9013
+ ADD *AR3, 0, A, B ; 9014
+ ADD *AR3, 0, A ; 9015
+ ADD *AR3, 0, B, A ; 9016
+ ADD *AR3, 0, B, B ; 9017
+ ADD *AR3, 0, B ; 9018
+ ADD *AR3, 1, A, A ; 9019
+ ADD *AR3, 1, A, B ; 9020
+ ADD *AR3, 1, A ; 9021
+ ADD *AR3, 1, B, A ; 9022
+ ADD *AR3, 1, B, B ; 9023
+ ADD *AR3, 1, B ; 9024
+ ADD *AR3, 2, A, A ; 9025
+ ADD *AR3, 2, A, B ; 9026
+ ADD *AR3, 2, A ; 9027
+ ADD *AR3, 2, B, A ; 9028
+ ADD *AR3, 2, B, B ; 9029
+ ADD *AR3, 2, B ; 9030
+ ADD *AR3, 3, A, A ; 9031
+ ADD *AR3, 3, A, B ; 9032
+ ADD *AR3, 3, A ; 9033
+ ADD *AR3, 3, B, A ; 9034
+ ADD *AR3, 3, B, B ; 9035
+ ADD *AR3, 3, B ; 9036
+ ADD *AR3, 4, A, A ; 9037
+ ADD *AR3, 4, A, B ; 9038
+ ADD *AR3, 4, A ; 9039
+ ADD *AR3, 4, B, A ; 9040
+ ADD *AR3, 4, B, B ; 9041
+ ADD *AR3, 4, B ; 9042
+ ADD *AR3, 5, A, A ; 9043
+ ADD *AR3, 5, A, B ; 9044
+ ADD *AR3, 5, A ; 9045
+ ADD *AR3, 5, B, A ; 9046
+ ADD *AR3, 5, B, B ; 9047
+ ADD *AR3, 5, B ; 9048
+ ADD *AR3, 6, A, A ; 9049
+ ADD *AR3, 6, A, B ; 9050
+ ADD *AR3, 6, A ; 9051
+ ADD *AR3, 6, B, A ; 9052
+ ADD *AR3, 6, B, B ; 9053
+ ADD *AR3, 6, B ; 9054
+ ADD *AR3, 7, A, A ; 9055
+ ADD *AR3, 7, A, B ; 9056
+ ADD *AR3, 7, A ; 9057
+ ADD *AR3, 7, B, A ; 9058
+ ADD *AR3, 7, B, B ; 9059
+ ADD *AR3, 7, B ; 9060
+ ADD *AR3, 8, A, A ; 9061
+ ADD *AR3, 8, A, B ; 9062
+ ADD *AR3, 8, A ; 9063
+ ADD *AR3, 8, B, A ; 9064
+ ADD *AR3, 8, B, B ; 9065
+ ADD *AR3, 8, B ; 9066
+ ADD *AR3, 9, A, A ; 9067
+ ADD *AR3, 9, A, B ; 9068
+ ADD *AR3, 9, A ; 9069
+ ADD *AR3, 9, B, A ; 9070
+ ADD *AR3, 9, B, B ; 9071
+ ADD *AR3, 9, B ; 9072
+ ADD *AR3, 10, A, A ; 9073
+ ADD *AR3, 10, A, B ; 9074
+ ADD *AR3, 10, A ; 9075
+ ADD *AR3, 10, B, A ; 9076
+ ADD *AR3, 10, B, B ; 9077
+ ADD *AR3, 10, B ; 9078
+ ADD *AR3, 11, A, A ; 9079
+ ADD *AR3, 11, A, B ; 9080
+ ADD *AR3, 11, A ; 9081
+ ADD *AR3, 11, B, A ; 9082
+ ADD *AR3, 11, B, B ; 9083
+ ADD *AR3, 11, B ; 9084
+ ADD *AR3, 12, A, A ; 9085
+ ADD *AR3, 12, A, B ; 9086
+ ADD *AR3, 12, A ; 9087
+ ADD *AR3, 12, B, A ; 9088
+ ADD *AR3, 12, B, B ; 9089
+ ADD *AR3, 12, B ; 9090
+ ADD *AR3, 13, A, A ; 9091
+ ADD *AR3, 13, A, B ; 9092
+ ADD *AR3, 13, A ; 9093
+ ADD *AR3, 13, B, A ; 9094
+ ADD *AR3, 13, B, B ; 9095
+ ADD *AR3, 13, B ; 9096
+ ADD *AR3, 14, A, A ; 9097
+ ADD *AR3, 14, A, B ; 9098
+ ADD *AR3, 14, A ; 9099
+ ADD *AR3, 14, B, A ; 9100
+ ADD *AR3, 14, B, B ; 9101
+ ADD *AR3, 14, B ; 9102
+ ADD *AR3, 15, A, A ; 9103
+ ADD *AR3, 15, A, B ; 9104
+ ADD *AR3, 15, A ; 9105
+ ADD *AR3, 15, B, A ; 9106
+ ADD *AR3, 15, B, B ; 9107
+ ADD *AR3, 15, B ; 9108
+ ADD *AR3-, A, A ; 9109
+ ADD *AR3-, A, B ; 9110
+ ADD *AR3-, A ; 9111
+ ADD *AR3-, B, A ; 9112
+ ADD *AR3-, B, B ; 9113
+ ADD *AR3-, B ; 9114
+ ADD *AR3-, -16, A, A ; 9115
+ ADD *AR3-, -16, A, B ; 9116
+ ADD *AR3-, -16, A ; 9117
+ ADD *AR3-, -16, B, A ; 9118
+ ADD *AR3-, -16, B, B ; 9119
+ ADD *AR3-, -16, B ; 9120
+ ADD *AR3-, -15, A, A ; 9121
+ ADD *AR3-, -15, A, B ; 9122
+ ADD *AR3-, -15, A ; 9123
+ ADD *AR3-, -15, B, A ; 9124
+ ADD *AR3-, -15, B, B ; 9125
+ ADD *AR3-, -15, B ; 9126
+ ADD *AR3-, -14, A, A ; 9127
+ ADD *AR3-, -14, A, B ; 9128
+ ADD *AR3-, -14, A ; 9129
+ ADD *AR3-, -14, B, A ; 9130
+ ADD *AR3-, -14, B, B ; 9131
+ ADD *AR3-, -14, B ; 9132
+ ADD *AR3-, -13, A, A ; 9133
+ ADD *AR3-, -13, A, B ; 9134
+ ADD *AR3-, -13, A ; 9135
+ ADD *AR3-, -13, B, A ; 9136
+ ADD *AR3-, -13, B, B ; 9137
+ ADD *AR3-, -13, B ; 9138
+ ADD *AR3-, -12, A, A ; 9139
+ ADD *AR3-, -12, A, B ; 9140
+ ADD *AR3-, -12, A ; 9141
+ ADD *AR3-, -12, B, A ; 9142
+ ADD *AR3-, -12, B, B ; 9143
+ ADD *AR3-, -12, B ; 9144
+ ADD *AR3-, -11, A, A ; 9145
+ ADD *AR3-, -11, A, B ; 9146
+ ADD *AR3-, -11, A ; 9147
+ ADD *AR3-, -11, B, A ; 9148
+ ADD *AR3-, -11, B, B ; 9149
+ ADD *AR3-, -11, B ; 9150
+ ADD *AR3-, -10, A, A ; 9151
+ ADD *AR3-, -10, A, B ; 9152
+ ADD *AR3-, -10, A ; 9153
+ ADD *AR3-, -10, B, A ; 9154
+ ADD *AR3-, -10, B, B ; 9155
+ ADD *AR3-, -10, B ; 9156
+ ADD *AR3-, -9, A, A ; 9157
+ ADD *AR3-, -9, A, B ; 9158
+ ADD *AR3-, -9, A ; 9159
+ ADD *AR3-, -9, B, A ; 9160
+ ADD *AR3-, -9, B, B ; 9161
+ ADD *AR3-, -9, B ; 9162
+ ADD *AR3-, -8, A, A ; 9163
+ ADD *AR3-, -8, A, B ; 9164
+ ADD *AR3-, -8, A ; 9165
+ ADD *AR3-, -8, B, A ; 9166
+ ADD *AR3-, -8, B, B ; 9167
+ ADD *AR3-, -8, B ; 9168
+ ADD *AR3-, -7, A, A ; 9169
+ ADD *AR3-, -7, A, B ; 9170
+ ADD *AR3-, -7, A ; 9171
+ ADD *AR3-, -7, B, A ; 9172
+ ADD *AR3-, -7, B, B ; 9173
+ ADD *AR3-, -7, B ; 9174
+ ADD *AR3-, -6, A, A ; 9175
+ ADD *AR3-, -6, A, B ; 9176
+ ADD *AR3-, -6, A ; 9177
+ ADD *AR3-, -6, B, A ; 9178
+ ADD *AR3-, -6, B, B ; 9179
+ ADD *AR3-, -6, B ; 9180
+ ADD *AR3-, -5, A, A ; 9181
+ ADD *AR3-, -5, A, B ; 9182
+ ADD *AR3-, -5, A ; 9183
+ ADD *AR3-, -5, B, A ; 9184
+ ADD *AR3-, -5, B, B ; 9185
+ ADD *AR3-, -5, B ; 9186
+ ADD *AR3-, -4, A, A ; 9187
+ ADD *AR3-, -4, A, B ; 9188
+ ADD *AR3-, -4, A ; 9189
+ ADD *AR3-, -4, B, A ; 9190
+ ADD *AR3-, -4, B, B ; 9191
+ ADD *AR3-, -4, B ; 9192
+ ADD *AR3-, -3, A, A ; 9193
+ ADD *AR3-, -3, A, B ; 9194
+ ADD *AR3-, -3, A ; 9195
+ ADD *AR3-, -3, B, A ; 9196
+ ADD *AR3-, -3, B, B ; 9197
+ ADD *AR3-, -3, B ; 9198
+ ADD *AR3-, -2, A, A ; 9199
+ ADD *AR3-, -2, A, B ; 9200
+ ADD *AR3-, -2, A ; 9201
+ ADD *AR3-, -2, B, A ; 9202
+ ADD *AR3-, -2, B, B ; 9203
+ ADD *AR3-, -2, B ; 9204
+ ADD *AR3-, -1, A, A ; 9205
+ ADD *AR3-, -1, A, B ; 9206
+ ADD *AR3-, -1, A ; 9207
+ ADD *AR3-, -1, B, A ; 9208
+ ADD *AR3-, -1, B, B ; 9209
+ ADD *AR3-, -1, B ; 9210
+ ADD *AR3-, 0, A, A ; 9211
+ ADD *AR3-, 0, A, B ; 9212
+ ADD *AR3-, 0, A ; 9213
+ ADD *AR3-, 0, B, A ; 9214
+ ADD *AR3-, 0, B, B ; 9215
+ ADD *AR3-, 0, B ; 9216
+ ADD *AR3-, 1, A, A ; 9217
+ ADD *AR3-, 1, A, B ; 9218
+ ADD *AR3-, 1, A ; 9219
+ ADD *AR3-, 1, B, A ; 9220
+ ADD *AR3-, 1, B, B ; 9221
+ ADD *AR3-, 1, B ; 9222
+ ADD *AR3-, 2, A, A ; 9223
+ ADD *AR3-, 2, A, B ; 9224
+ ADD *AR3-, 2, A ; 9225
+ ADD *AR3-, 2, B, A ; 9226
+ ADD *AR3-, 2, B, B ; 9227
+ ADD *AR3-, 2, B ; 9228
+ ADD *AR3-, 3, A, A ; 9229
+ ADD *AR3-, 3, A, B ; 9230
+ ADD *AR3-, 3, A ; 9231
+ ADD *AR3-, 3, B, A ; 9232
+ ADD *AR3-, 3, B, B ; 9233
+ ADD *AR3-, 3, B ; 9234
+ ADD *AR3-, 4, A, A ; 9235
+ ADD *AR3-, 4, A, B ; 9236
+ ADD *AR3-, 4, A ; 9237
+ ADD *AR3-, 4, B, A ; 9238
+ ADD *AR3-, 4, B, B ; 9239
+ ADD *AR3-, 4, B ; 9240
+ ADD *AR3-, 5, A, A ; 9241
+ ADD *AR3-, 5, A, B ; 9242
+ ADD *AR3-, 5, A ; 9243
+ ADD *AR3-, 5, B, A ; 9244
+ ADD *AR3-, 5, B, B ; 9245
+ ADD *AR3-, 5, B ; 9246
+ ADD *AR3-, 6, A, A ; 9247
+ ADD *AR3-, 6, A, B ; 9248
+ ADD *AR3-, 6, A ; 9249
+ ADD *AR3-, 6, B, A ; 9250
+ ADD *AR3-, 6, B, B ; 9251
+ ADD *AR3-, 6, B ; 9252
+ ADD *AR3-, 7, A, A ; 9253
+ ADD *AR3-, 7, A, B ; 9254
+ ADD *AR3-, 7, A ; 9255
+ ADD *AR3-, 7, B, A ; 9256
+ ADD *AR3-, 7, B, B ; 9257
+ ADD *AR3-, 7, B ; 9258
+ ADD *AR3-, 8, A, A ; 9259
+ ADD *AR3-, 8, A, B ; 9260
+ ADD *AR3-, 8, A ; 9261
+ ADD *AR3-, 8, B, A ; 9262
+ ADD *AR3-, 8, B, B ; 9263
+ ADD *AR3-, 8, B ; 9264
+ ADD *AR3-, 9, A, A ; 9265
+ ADD *AR3-, 9, A, B ; 9266
+ ADD *AR3-, 9, A ; 9267
+ ADD *AR3-, 9, B, A ; 9268
+ ADD *AR3-, 9, B, B ; 9269
+ ADD *AR3-, 9, B ; 9270
+ ADD *AR3-, 10, A, A ; 9271
+ ADD *AR3-, 10, A, B ; 9272
+ ADD *AR3-, 10, A ; 9273
+ ADD *AR3-, 10, B, A ; 9274
+ ADD *AR3-, 10, B, B ; 9275
+ ADD *AR3-, 10, B ; 9276
+ ADD *AR3-, 11, A, A ; 9277
+ ADD *AR3-, 11, A, B ; 9278
+ ADD *AR3-, 11, A ; 9279
+ ADD *AR3-, 11, B, A ; 9280
+ ADD *AR3-, 11, B, B ; 9281
+ ADD *AR3-, 11, B ; 9282
+ ADD *AR3-, 12, A, A ; 9283
+ ADD *AR3-, 12, A, B ; 9284
+ ADD *AR3-, 12, A ; 9285
+ ADD *AR3-, 12, B, A ; 9286
+ ADD *AR3-, 12, B, B ; 9287
+ ADD *AR3-, 12, B ; 9288
+ ADD *AR3-, 13, A, A ; 9289
+ ADD *AR3-, 13, A, B ; 9290
+ ADD *AR3-, 13, A ; 9291
+ ADD *AR3-, 13, B, A ; 9292
+ ADD *AR3-, 13, B, B ; 9293
+ ADD *AR3-, 13, B ; 9294
+ ADD *AR3-, 14, A, A ; 9295
+ ADD *AR3-, 14, A, B ; 9296
+ ADD *AR3-, 14, A ; 9297
+ ADD *AR3-, 14, B, A ; 9298
+ ADD *AR3-, 14, B, B ; 9299
+ ADD *AR3-, 14, B ; 9300
+ ADD *AR3-, 15, A, A ; 9301
+ ADD *AR3-, 15, A, B ; 9302
+ ADD *AR3-, 15, A ; 9303
+ ADD *AR3-, 15, B, A ; 9304
+ ADD *AR3-, 15, B, B ; 9305
+ ADD *AR3-, 15, B ; 9306
+ ADD *AR3+, A, A ; 9307
+ ADD *AR3+, A, B ; 9308
+ ADD *AR3+, A ; 9309
+ ADD *AR3+, B, A ; 9310
+ ADD *AR3+, B, B ; 9311
+ ADD *AR3+, B ; 9312
+ ADD *AR3+, -16, A, A ; 9313
+ ADD *AR3+, -16, A, B ; 9314
+ ADD *AR3+, -16, A ; 9315
+ ADD *AR3+, -16, B, A ; 9316
+ ADD *AR3+, -16, B, B ; 9317
+ ADD *AR3+, -16, B ; 9318
+ ADD *AR3+, -15, A, A ; 9319
+ ADD *AR3+, -15, A, B ; 9320
+ ADD *AR3+, -15, A ; 9321
+ ADD *AR3+, -15, B, A ; 9322
+ ADD *AR3+, -15, B, B ; 9323
+ ADD *AR3+, -15, B ; 9324
+ ADD *AR3+, -14, A, A ; 9325
+ ADD *AR3+, -14, A, B ; 9326
+ ADD *AR3+, -14, A ; 9327
+ ADD *AR3+, -14, B, A ; 9328
+ ADD *AR3+, -14, B, B ; 9329
+ ADD *AR3+, -14, B ; 9330
+ ADD *AR3+, -13, A, A ; 9331
+ ADD *AR3+, -13, A, B ; 9332
+ ADD *AR3+, -13, A ; 9333
+ ADD *AR3+, -13, B, A ; 9334
+ ADD *AR3+, -13, B, B ; 9335
+ ADD *AR3+, -13, B ; 9336
+ ADD *AR3+, -12, A, A ; 9337
+ ADD *AR3+, -12, A, B ; 9338
+ ADD *AR3+, -12, A ; 9339
+ ADD *AR3+, -12, B, A ; 9340
+ ADD *AR3+, -12, B, B ; 9341
+ ADD *AR3+, -12, B ; 9342
+ ADD *AR3+, -11, A, A ; 9343
+ ADD *AR3+, -11, A, B ; 9344
+ ADD *AR3+, -11, A ; 9345
+ ADD *AR3+, -11, B, A ; 9346
+ ADD *AR3+, -11, B, B ; 9347
+ ADD *AR3+, -11, B ; 9348
+ ADD *AR3+, -10, A, A ; 9349
+ ADD *AR3+, -10, A, B ; 9350
+ ADD *AR3+, -10, A ; 9351
+ ADD *AR3+, -10, B, A ; 9352
+ ADD *AR3+, -10, B, B ; 9353
+ ADD *AR3+, -10, B ; 9354
+ ADD *AR3+, -9, A, A ; 9355
+ ADD *AR3+, -9, A, B ; 9356
+ ADD *AR3+, -9, A ; 9357
+ ADD *AR3+, -9, B, A ; 9358
+ ADD *AR3+, -9, B, B ; 9359
+ ADD *AR3+, -9, B ; 9360
+ ADD *AR3+, -8, A, A ; 9361
+ ADD *AR3+, -8, A, B ; 9362
+ ADD *AR3+, -8, A ; 9363
+ ADD *AR3+, -8, B, A ; 9364
+ ADD *AR3+, -8, B, B ; 9365
+ ADD *AR3+, -8, B ; 9366
+ ADD *AR3+, -7, A, A ; 9367
+ ADD *AR3+, -7, A, B ; 9368
+ ADD *AR3+, -7, A ; 9369
+ ADD *AR3+, -7, B, A ; 9370
+ ADD *AR3+, -7, B, B ; 9371
+ ADD *AR3+, -7, B ; 9372
+ ADD *AR3+, -6, A, A ; 9373
+ ADD *AR3+, -6, A, B ; 9374
+ ADD *AR3+, -6, A ; 9375
+ ADD *AR3+, -6, B, A ; 9376
+ ADD *AR3+, -6, B, B ; 9377
+ ADD *AR3+, -6, B ; 9378
+ ADD *AR3+, -5, A, A ; 9379
+ ADD *AR3+, -5, A, B ; 9380
+ ADD *AR3+, -5, A ; 9381
+ ADD *AR3+, -5, B, A ; 9382
+ ADD *AR3+, -5, B, B ; 9383
+ ADD *AR3+, -5, B ; 9384
+ ADD *AR3+, -4, A, A ; 9385
+ ADD *AR3+, -4, A, B ; 9386
+ ADD *AR3+, -4, A ; 9387
+ ADD *AR3+, -4, B, A ; 9388
+ ADD *AR3+, -4, B, B ; 9389
+ ADD *AR3+, -4, B ; 9390
+ ADD *AR3+, -3, A, A ; 9391
+ ADD *AR3+, -3, A, B ; 9392
+ ADD *AR3+, -3, A ; 9393
+ ADD *AR3+, -3, B, A ; 9394
+ ADD *AR3+, -3, B, B ; 9395
+ ADD *AR3+, -3, B ; 9396
+ ADD *AR3+, -2, A, A ; 9397
+ ADD *AR3+, -2, A, B ; 9398
+ ADD *AR3+, -2, A ; 9399
+ ADD *AR3+, -2, B, A ; 9400
+ ADD *AR3+, -2, B, B ; 9401
+ ADD *AR3+, -2, B ; 9402
+ ADD *AR3+, -1, A, A ; 9403
+ ADD *AR3+, -1, A, B ; 9404
+ ADD *AR3+, -1, A ; 9405
+ ADD *AR3+, -1, B, A ; 9406
+ ADD *AR3+, -1, B, B ; 9407
+ ADD *AR3+, -1, B ; 9408
+ ADD *AR3+, 0, A, A ; 9409
+ ADD *AR3+, 0, A, B ; 9410
+ ADD *AR3+, 0, A ; 9411
+ ADD *AR3+, 0, B, A ; 9412
+ ADD *AR3+, 0, B, B ; 9413
+ ADD *AR3+, 0, B ; 9414
+ ADD *AR3+, 1, A, A ; 9415
+ ADD *AR3+, 1, A, B ; 9416
+ ADD *AR3+, 1, A ; 9417
+ ADD *AR3+, 1, B, A ; 9418
+ ADD *AR3+, 1, B, B ; 9419
+ ADD *AR3+, 1, B ; 9420
+ ADD *AR3+, 2, A, A ; 9421
+ ADD *AR3+, 2, A, B ; 9422
+ ADD *AR3+, 2, A ; 9423
+ ADD *AR3+, 2, B, A ; 9424
+ ADD *AR3+, 2, B, B ; 9425
+ ADD *AR3+, 2, B ; 9426
+ ADD *AR3+, 3, A, A ; 9427
+ ADD *AR3+, 3, A, B ; 9428
+ ADD *AR3+, 3, A ; 9429
+ ADD *AR3+, 3, B, A ; 9430
+ ADD *AR3+, 3, B, B ; 9431
+ ADD *AR3+, 3, B ; 9432
+ ADD *AR3+, 4, A, A ; 9433
+ ADD *AR3+, 4, A, B ; 9434
+ ADD *AR3+, 4, A ; 9435
+ ADD *AR3+, 4, B, A ; 9436
+ ADD *AR3+, 4, B, B ; 9437
+ ADD *AR3+, 4, B ; 9438
+ ADD *AR3+, 5, A, A ; 9439
+ ADD *AR3+, 5, A, B ; 9440
+ ADD *AR3+, 5, A ; 9441
+ ADD *AR3+, 5, B, A ; 9442
+ ADD *AR3+, 5, B, B ; 9443
+ ADD *AR3+, 5, B ; 9444
+ ADD *AR3+, 6, A, A ; 9445
+ ADD *AR3+, 6, A, B ; 9446
+ ADD *AR3+, 6, A ; 9447
+ ADD *AR3+, 6, B, A ; 9448
+ ADD *AR3+, 6, B, B ; 9449
+ ADD *AR3+, 6, B ; 9450
+ ADD *AR3+, 7, A, A ; 9451
+ ADD *AR3+, 7, A, B ; 9452
+ ADD *AR3+, 7, A ; 9453
+ ADD *AR3+, 7, B, A ; 9454
+ ADD *AR3+, 7, B, B ; 9455
+ ADD *AR3+, 7, B ; 9456
+ ADD *AR3+, 8, A, A ; 9457
+ ADD *AR3+, 8, A, B ; 9458
+ ADD *AR3+, 8, A ; 9459
+ ADD *AR3+, 8, B, A ; 9460
+ ADD *AR3+, 8, B, B ; 9461
+ ADD *AR3+, 8, B ; 9462
+ ADD *AR3+, 9, A, A ; 9463
+ ADD *AR3+, 9, A, B ; 9464
+ ADD *AR3+, 9, A ; 9465
+ ADD *AR3+, 9, B, A ; 9466
+ ADD *AR3+, 9, B, B ; 9467
+ ADD *AR3+, 9, B ; 9468
+ ADD *AR3+, 10, A, A ; 9469
+ ADD *AR3+, 10, A, B ; 9470
+ ADD *AR3+, 10, A ; 9471
+ ADD *AR3+, 10, B, A ; 9472
+ ADD *AR3+, 10, B, B ; 9473
+ ADD *AR3+, 10, B ; 9474
+ ADD *AR3+, 11, A, A ; 9475
+ ADD *AR3+, 11, A, B ; 9476
+ ADD *AR3+, 11, A ; 9477
+ ADD *AR3+, 11, B, A ; 9478
+ ADD *AR3+, 11, B, B ; 9479
+ ADD *AR3+, 11, B ; 9480
+ ADD *AR3+, 12, A, A ; 9481
+ ADD *AR3+, 12, A, B ; 9482
+ ADD *AR3+, 12, A ; 9483
+ ADD *AR3+, 12, B, A ; 9484
+ ADD *AR3+, 12, B, B ; 9485
+ ADD *AR3+, 12, B ; 9486
+ ADD *AR3+, 13, A, A ; 9487
+ ADD *AR3+, 13, A, B ; 9488
+ ADD *AR3+, 13, A ; 9489
+ ADD *AR3+, 13, B, A ; 9490
+ ADD *AR3+, 13, B, B ; 9491
+ ADD *AR3+, 13, B ; 9492
+ ADD *AR3+, 14, A, A ; 9493
+ ADD *AR3+, 14, A, B ; 9494
+ ADD *AR3+, 14, A ; 9495
+ ADD *AR3+, 14, B, A ; 9496
+ ADD *AR3+, 14, B, B ; 9497
+ ADD *AR3+, 14, B ; 9498
+ ADD *AR3+, 15, A, A ; 9499
+ ADD *AR3+, 15, A, B ; 9500
+ ADD *AR3+, 15, A ; 9501
+ ADD *AR3+, 15, B, A ; 9502
+ ADD *AR3+, 15, B, B ; 9503
+ ADD *AR3+, 15, B ; 9504
+ ADD *AR3-0B, A, A ; 9505
+ ADD *AR3-0B, A, B ; 9506
+ ADD *AR3-0B, A ; 9507
+ ADD *AR3-0B, B, A ; 9508
+ ADD *AR3-0B, B, B ; 9509
+ ADD *AR3-0B, B ; 9510
+ ADD *AR3-0B, -16, A, A ; 9511
+ ADD *AR3-0B, -16, A, B ; 9512
+ ADD *AR3-0B, -16, A ; 9513
+ ADD *AR3-0B, -16, B, A ; 9514
+ ADD *AR3-0B, -16, B, B ; 9515
+ ADD *AR3-0B, -16, B ; 9516
+ ADD *AR3-0B, -15, A, A ; 9517
+ ADD *AR3-0B, -15, A, B ; 9518
+ ADD *AR3-0B, -15, A ; 9519
+ ADD *AR3-0B, -15, B, A ; 9520
+ ADD *AR3-0B, -15, B, B ; 9521
+ ADD *AR3-0B, -15, B ; 9522
+ ADD *AR3-0B, -14, A, A ; 9523
+ ADD *AR3-0B, -14, A, B ; 9524
+ ADD *AR3-0B, -14, A ; 9525
+ ADD *AR3-0B, -14, B, A ; 9526
+ ADD *AR3-0B, -14, B, B ; 9527
+ ADD *AR3-0B, -14, B ; 9528
+ ADD *AR3-0B, -13, A, A ; 9529
+ ADD *AR3-0B, -13, A, B ; 9530
+ ADD *AR3-0B, -13, A ; 9531
+ ADD *AR3-0B, -13, B, A ; 9532
+ ADD *AR3-0B, -13, B, B ; 9533
+ ADD *AR3-0B, -13, B ; 9534
+ ADD *AR3-0B, -12, A, A ; 9535
+ ADD *AR3-0B, -12, A, B ; 9536
+ ADD *AR3-0B, -12, A ; 9537
+ ADD *AR3-0B, -12, B, A ; 9538
+ ADD *AR3-0B, -12, B, B ; 9539
+ ADD *AR3-0B, -12, B ; 9540
+ ADD *AR3-0B, -11, A, A ; 9541
+ ADD *AR3-0B, -11, A, B ; 9542
+ ADD *AR3-0B, -11, A ; 9543
+ ADD *AR3-0B, -11, B, A ; 9544
+ ADD *AR3-0B, -11, B, B ; 9545
+ ADD *AR3-0B, -11, B ; 9546
+ ADD *AR3-0B, -10, A, A ; 9547
+ ADD *AR3-0B, -10, A, B ; 9548
+ ADD *AR3-0B, -10, A ; 9549
+ ADD *AR3-0B, -10, B, A ; 9550
+ ADD *AR3-0B, -10, B, B ; 9551
+ ADD *AR3-0B, -10, B ; 9552
+ ADD *AR3-0B, -9, A, A ; 9553
+ ADD *AR3-0B, -9, A, B ; 9554
+ ADD *AR3-0B, -9, A ; 9555
+ ADD *AR3-0B, -9, B, A ; 9556
+ ADD *AR3-0B, -9, B, B ; 9557
+ ADD *AR3-0B, -9, B ; 9558
+ ADD *AR3-0B, -8, A, A ; 9559
+ ADD *AR3-0B, -8, A, B ; 9560
+ ADD *AR3-0B, -8, A ; 9561
+ ADD *AR3-0B, -8, B, A ; 9562
+ ADD *AR3-0B, -8, B, B ; 9563
+ ADD *AR3-0B, -8, B ; 9564
+ ADD *AR3-0B, -7, A, A ; 9565
+ ADD *AR3-0B, -7, A, B ; 9566
+ ADD *AR3-0B, -7, A ; 9567
+ ADD *AR3-0B, -7, B, A ; 9568
+ ADD *AR3-0B, -7, B, B ; 9569
+ ADD *AR3-0B, -7, B ; 9570
+ ADD *AR3-0B, -6, A, A ; 9571
+ ADD *AR3-0B, -6, A, B ; 9572
+ ADD *AR3-0B, -6, A ; 9573
+ ADD *AR3-0B, -6, B, A ; 9574
+ ADD *AR3-0B, -6, B, B ; 9575
+ ADD *AR3-0B, -6, B ; 9576
+ ADD *AR3-0B, -5, A, A ; 9577
+ ADD *AR3-0B, -5, A, B ; 9578
+ ADD *AR3-0B, -5, A ; 9579
+ ADD *AR3-0B, -5, B, A ; 9580
+ ADD *AR3-0B, -5, B, B ; 9581
+ ADD *AR3-0B, -5, B ; 9582
+ ADD *AR3-0B, -4, A, A ; 9583
+ ADD *AR3-0B, -4, A, B ; 9584
+ ADD *AR3-0B, -4, A ; 9585
+ ADD *AR3-0B, -4, B, A ; 9586
+ ADD *AR3-0B, -4, B, B ; 9587
+ ADD *AR3-0B, -4, B ; 9588
+ ADD *AR3-0B, -3, A, A ; 9589
+ ADD *AR3-0B, -3, A, B ; 9590
+ ADD *AR3-0B, -3, A ; 9591
+ ADD *AR3-0B, -3, B, A ; 9592
+ ADD *AR3-0B, -3, B, B ; 9593
+ ADD *AR3-0B, -3, B ; 9594
+ ADD *AR3-0B, -2, A, A ; 9595
+ ADD *AR3-0B, -2, A, B ; 9596
+ ADD *AR3-0B, -2, A ; 9597
+ ADD *AR3-0B, -2, B, A ; 9598
+ ADD *AR3-0B, -2, B, B ; 9599
+ ADD *AR3-0B, -2, B ; 9600
+ ADD *AR3-0B, -1, A, A ; 9601
+ ADD *AR3-0B, -1, A, B ; 9602
+ ADD *AR3-0B, -1, A ; 9603
+ ADD *AR3-0B, -1, B, A ; 9604
+ ADD *AR3-0B, -1, B, B ; 9605
+ ADD *AR3-0B, -1, B ; 9606
+ ADD *AR3-0B, 0, A, A ; 9607
+ ADD *AR3-0B, 0, A, B ; 9608
+ ADD *AR3-0B, 0, A ; 9609
+ ADD *AR3-0B, 0, B, A ; 9610
+ ADD *AR3-0B, 0, B, B ; 9611
+ ADD *AR3-0B, 0, B ; 9612
+ ADD *AR3-0B, 1, A, A ; 9613
+ ADD *AR3-0B, 1, A, B ; 9614
+ ADD *AR3-0B, 1, A ; 9615
+ ADD *AR3-0B, 1, B, A ; 9616
+ ADD *AR3-0B, 1, B, B ; 9617
+ ADD *AR3-0B, 1, B ; 9618
+ ADD *AR3-0B, 2, A, A ; 9619
+ ADD *AR3-0B, 2, A, B ; 9620
+ ADD *AR3-0B, 2, A ; 9621
+ ADD *AR3-0B, 2, B, A ; 9622
+ ADD *AR3-0B, 2, B, B ; 9623
+ ADD *AR3-0B, 2, B ; 9624
+ ADD *AR3-0B, 3, A, A ; 9625
+ ADD *AR3-0B, 3, A, B ; 9626
+ ADD *AR3-0B, 3, A ; 9627
+ ADD *AR3-0B, 3, B, A ; 9628
+ ADD *AR3-0B, 3, B, B ; 9629
+ ADD *AR3-0B, 3, B ; 9630
+ ADD *AR3-0B, 4, A, A ; 9631
+ ADD *AR3-0B, 4, A, B ; 9632
+ ADD *AR3-0B, 4, A ; 9633
+ ADD *AR3-0B, 4, B, A ; 9634
+ ADD *AR3-0B, 4, B, B ; 9635
+ ADD *AR3-0B, 4, B ; 9636
+ ADD *AR3-0B, 5, A, A ; 9637
+ ADD *AR3-0B, 5, A, B ; 9638
+ ADD *AR3-0B, 5, A ; 9639
+ ADD *AR3-0B, 5, B, A ; 9640
+ ADD *AR3-0B, 5, B, B ; 9641
+ ADD *AR3-0B, 5, B ; 9642
+ ADD *AR3-0B, 6, A, A ; 9643
+ ADD *AR3-0B, 6, A, B ; 9644
+ ADD *AR3-0B, 6, A ; 9645
+ ADD *AR3-0B, 6, B, A ; 9646
+ ADD *AR3-0B, 6, B, B ; 9647
+ ADD *AR3-0B, 6, B ; 9648
+ ADD *AR3-0B, 7, A, A ; 9649
+ ADD *AR3-0B, 7, A, B ; 9650
+ ADD *AR3-0B, 7, A ; 9651
+ ADD *AR3-0B, 7, B, A ; 9652
+ ADD *AR3-0B, 7, B, B ; 9653
+ ADD *AR3-0B, 7, B ; 9654
+ ADD *AR3-0B, 8, A, A ; 9655
+ ADD *AR3-0B, 8, A, B ; 9656
+ ADD *AR3-0B, 8, A ; 9657
+ ADD *AR3-0B, 8, B, A ; 9658
+ ADD *AR3-0B, 8, B, B ; 9659
+ ADD *AR3-0B, 8, B ; 9660
+ ADD *AR3-0B, 9, A, A ; 9661
+ ADD *AR3-0B, 9, A, B ; 9662
+ ADD *AR3-0B, 9, A ; 9663
+ ADD *AR3-0B, 9, B, A ; 9664
+ ADD *AR3-0B, 9, B, B ; 9665
+ ADD *AR3-0B, 9, B ; 9666
+ ADD *AR3-0B, 10, A, A ; 9667
+ ADD *AR3-0B, 10, A, B ; 9668
+ ADD *AR3-0B, 10, A ; 9669
+ ADD *AR3-0B, 10, B, A ; 9670
+ ADD *AR3-0B, 10, B, B ; 9671
+ ADD *AR3-0B, 10, B ; 9672
+ ADD *AR3-0B, 11, A, A ; 9673
+ ADD *AR3-0B, 11, A, B ; 9674
+ ADD *AR3-0B, 11, A ; 9675
+ ADD *AR3-0B, 11, B, A ; 9676
+ ADD *AR3-0B, 11, B, B ; 9677
+ ADD *AR3-0B, 11, B ; 9678
+ ADD *AR3-0B, 12, A, A ; 9679
+ ADD *AR3-0B, 12, A, B ; 9680
+ ADD *AR3-0B, 12, A ; 9681
+ ADD *AR3-0B, 12, B, A ; 9682
+ ADD *AR3-0B, 12, B, B ; 9683
+ ADD *AR3-0B, 12, B ; 9684
+ ADD *AR3-0B, 13, A, A ; 9685
+ ADD *AR3-0B, 13, A, B ; 9686
+ ADD *AR3-0B, 13, A ; 9687
+ ADD *AR3-0B, 13, B, A ; 9688
+ ADD *AR3-0B, 13, B, B ; 9689
+ ADD *AR3-0B, 13, B ; 9690
+ ADD *AR3-0B, 14, A, A ; 9691
+ ADD *AR3-0B, 14, A, B ; 9692
+ ADD *AR3-0B, 14, A ; 9693
+ ADD *AR3-0B, 14, B, A ; 9694
+ ADD *AR3-0B, 14, B, B ; 9695
+ ADD *AR3-0B, 14, B ; 9696
+ ADD *AR3-0B, 15, A, A ; 9697
+ ADD *AR3-0B, 15, A, B ; 9698
+ ADD *AR3-0B, 15, A ; 9699
+ ADD *AR3-0B, 15, B, A ; 9700
+ ADD *AR3-0B, 15, B, B ; 9701
+ ADD *AR3-0B, 15, B ; 9702
+ ADD *AR3-0, A, A ; 9703
+ ADD *AR3-0, A, B ; 9704
+ ADD *AR3-0, A ; 9705
+ ADD *AR3-0, B, A ; 9706
+ ADD *AR3-0, B, B ; 9707
+ ADD *AR3-0, B ; 9708
+ ADD *AR3-0, -16, A, A ; 9709
+ ADD *AR3-0, -16, A, B ; 9710
+ ADD *AR3-0, -16, A ; 9711
+ ADD *AR3-0, -16, B, A ; 9712
+ ADD *AR3-0, -16, B, B ; 9713
+ ADD *AR3-0, -16, B ; 9714
+ ADD *AR3-0, -15, A, A ; 9715
+ ADD *AR3-0, -15, A, B ; 9716
+ ADD *AR3-0, -15, A ; 9717
+ ADD *AR3-0, -15, B, A ; 9718
+ ADD *AR3-0, -15, B, B ; 9719
+ ADD *AR3-0, -15, B ; 9720
+ ADD *AR3-0, -14, A, A ; 9721
+ ADD *AR3-0, -14, A, B ; 9722
+ ADD *AR3-0, -14, A ; 9723
+ ADD *AR3-0, -14, B, A ; 9724
+ ADD *AR3-0, -14, B, B ; 9725
+ ADD *AR3-0, -14, B ; 9726
+ ADD *AR3-0, -13, A, A ; 9727
+ ADD *AR3-0, -13, A, B ; 9728
+ ADD *AR3-0, -13, A ; 9729
+ ADD *AR3-0, -13, B, A ; 9730
+ ADD *AR3-0, -13, B, B ; 9731
+ ADD *AR3-0, -13, B ; 9732
+ ADD *AR3-0, -12, A, A ; 9733
+ ADD *AR3-0, -12, A, B ; 9734
+ ADD *AR3-0, -12, A ; 9735
+ ADD *AR3-0, -12, B, A ; 9736
+ ADD *AR3-0, -12, B, B ; 9737
+ ADD *AR3-0, -12, B ; 9738
+ ADD *AR3-0, -11, A, A ; 9739
+ ADD *AR3-0, -11, A, B ; 9740
+ ADD *AR3-0, -11, A ; 9741
+ ADD *AR3-0, -11, B, A ; 9742
+ ADD *AR3-0, -11, B, B ; 9743
+ ADD *AR3-0, -11, B ; 9744
+ ADD *AR3-0, -10, A, A ; 9745
+ ADD *AR3-0, -10, A, B ; 9746
+ ADD *AR3-0, -10, A ; 9747
+ ADD *AR3-0, -10, B, A ; 9748
+ ADD *AR3-0, -10, B, B ; 9749
+ ADD *AR3-0, -10, B ; 9750
+ ADD *AR3-0, -9, A, A ; 9751
+ ADD *AR3-0, -9, A, B ; 9752
+ ADD *AR3-0, -9, A ; 9753
+ ADD *AR3-0, -9, B, A ; 9754
+ ADD *AR3-0, -9, B, B ; 9755
+ ADD *AR3-0, -9, B ; 9756
+ ADD *AR3-0, -8, A, A ; 9757
+ ADD *AR3-0, -8, A, B ; 9758
+ ADD *AR3-0, -8, A ; 9759
+ ADD *AR3-0, -8, B, A ; 9760
+ ADD *AR3-0, -8, B, B ; 9761
+ ADD *AR3-0, -8, B ; 9762
+ ADD *AR3-0, -7, A, A ; 9763
+ ADD *AR3-0, -7, A, B ; 9764
+ ADD *AR3-0, -7, A ; 9765
+ ADD *AR3-0, -7, B, A ; 9766
+ ADD *AR3-0, -7, B, B ; 9767
+ ADD *AR3-0, -7, B ; 9768
+ ADD *AR3-0, -6, A, A ; 9769
+ ADD *AR3-0, -6, A, B ; 9770
+ ADD *AR3-0, -6, A ; 9771
+ ADD *AR3-0, -6, B, A ; 9772
+ ADD *AR3-0, -6, B, B ; 9773
+ ADD *AR3-0, -6, B ; 9774
+ ADD *AR3-0, -5, A, A ; 9775
+ ADD *AR3-0, -5, A, B ; 9776
+ ADD *AR3-0, -5, A ; 9777
+ ADD *AR3-0, -5, B, A ; 9778
+ ADD *AR3-0, -5, B, B ; 9779
+ ADD *AR3-0, -5, B ; 9780
+ ADD *AR3-0, -4, A, A ; 9781
+ ADD *AR3-0, -4, A, B ; 9782
+ ADD *AR3-0, -4, A ; 9783
+ ADD *AR3-0, -4, B, A ; 9784
+ ADD *AR3-0, -4, B, B ; 9785
+ ADD *AR3-0, -4, B ; 9786
+ ADD *AR3-0, -3, A, A ; 9787
+ ADD *AR3-0, -3, A, B ; 9788
+ ADD *AR3-0, -3, A ; 9789
+ ADD *AR3-0, -3, B, A ; 9790
+ ADD *AR3-0, -3, B, B ; 9791
+ ADD *AR3-0, -3, B ; 9792
+ ADD *AR3-0, -2, A, A ; 9793
+ ADD *AR3-0, -2, A, B ; 9794
+ ADD *AR3-0, -2, A ; 9795
+ ADD *AR3-0, -2, B, A ; 9796
+ ADD *AR3-0, -2, B, B ; 9797
+ ADD *AR3-0, -2, B ; 9798
+ ADD *AR3-0, -1, A, A ; 9799
+ ADD *AR3-0, -1, A, B ; 9800
+ ADD *AR3-0, -1, A ; 9801
+ ADD *AR3-0, -1, B, A ; 9802
+ ADD *AR3-0, -1, B, B ; 9803
+ ADD *AR3-0, -1, B ; 9804
+ ADD *AR3-0, 0, A, A ; 9805
+ ADD *AR3-0, 0, A, B ; 9806
+ ADD *AR3-0, 0, A ; 9807
+ ADD *AR3-0, 0, B, A ; 9808
+ ADD *AR3-0, 0, B, B ; 9809
+ ADD *AR3-0, 0, B ; 9810
+ ADD *AR3-0, 1, A, A ; 9811
+ ADD *AR3-0, 1, A, B ; 9812
+ ADD *AR3-0, 1, A ; 9813
+ ADD *AR3-0, 1, B, A ; 9814
+ ADD *AR3-0, 1, B, B ; 9815
+ ADD *AR3-0, 1, B ; 9816
+ ADD *AR3-0, 2, A, A ; 9817
+ ADD *AR3-0, 2, A, B ; 9818
+ ADD *AR3-0, 2, A ; 9819
+ ADD *AR3-0, 2, B, A ; 9820
+ ADD *AR3-0, 2, B, B ; 9821
+ ADD *AR3-0, 2, B ; 9822
+ ADD *AR3-0, 3, A, A ; 9823
+ ADD *AR3-0, 3, A, B ; 9824
+ ADD *AR3-0, 3, A ; 9825
+ ADD *AR3-0, 3, B, A ; 9826
+ ADD *AR3-0, 3, B, B ; 9827
+ ADD *AR3-0, 3, B ; 9828
+ ADD *AR3-0, 4, A, A ; 9829
+ ADD *AR3-0, 4, A, B ; 9830
+ ADD *AR3-0, 4, A ; 9831
+ ADD *AR3-0, 4, B, A ; 9832
+ ADD *AR3-0, 4, B, B ; 9833
+ ADD *AR3-0, 4, B ; 9834
+ ADD *AR3-0, 5, A, A ; 9835
+ ADD *AR3-0, 5, A, B ; 9836
+ ADD *AR3-0, 5, A ; 9837
+ ADD *AR3-0, 5, B, A ; 9838
+ ADD *AR3-0, 5, B, B ; 9839
+ ADD *AR3-0, 5, B ; 9840
+ ADD *AR3-0, 6, A, A ; 9841
+ ADD *AR3-0, 6, A, B ; 9842
+ ADD *AR3-0, 6, A ; 9843
+ ADD *AR3-0, 6, B, A ; 9844
+ ADD *AR3-0, 6, B, B ; 9845
+ ADD *AR3-0, 6, B ; 9846
+ ADD *AR3-0, 7, A, A ; 9847
+ ADD *AR3-0, 7, A, B ; 9848
+ ADD *AR3-0, 7, A ; 9849
+ ADD *AR3-0, 7, B, A ; 9850
+ ADD *AR3-0, 7, B, B ; 9851
+ ADD *AR3-0, 7, B ; 9852
+ ADD *AR3-0, 8, A, A ; 9853
+ ADD *AR3-0, 8, A, B ; 9854
+ ADD *AR3-0, 8, A ; 9855
+ ADD *AR3-0, 8, B, A ; 9856
+ ADD *AR3-0, 8, B, B ; 9857
+ ADD *AR3-0, 8, B ; 9858
+ ADD *AR3-0, 9, A, A ; 9859
+ ADD *AR3-0, 9, A, B ; 9860
+ ADD *AR3-0, 9, A ; 9861
+ ADD *AR3-0, 9, B, A ; 9862
+ ADD *AR3-0, 9, B, B ; 9863
+ ADD *AR3-0, 9, B ; 9864
+ ADD *AR3-0, 10, A, A ; 9865
+ ADD *AR3-0, 10, A, B ; 9866
+ ADD *AR3-0, 10, A ; 9867
+ ADD *AR3-0, 10, B, A ; 9868
+ ADD *AR3-0, 10, B, B ; 9869
+ ADD *AR3-0, 10, B ; 9870
+ ADD *AR3-0, 11, A, A ; 9871
+ ADD *AR3-0, 11, A, B ; 9872
+ ADD *AR3-0, 11, A ; 9873
+ ADD *AR3-0, 11, B, A ; 9874
+ ADD *AR3-0, 11, B, B ; 9875
+ ADD *AR3-0, 11, B ; 9876
+ ADD *AR3-0, 12, A, A ; 9877
+ ADD *AR3-0, 12, A, B ; 9878
+ ADD *AR3-0, 12, A ; 9879
+ ADD *AR3-0, 12, B, A ; 9880
+ ADD *AR3-0, 12, B, B ; 9881
+ ADD *AR3-0, 12, B ; 9882
+ ADD *AR3-0, 13, A, A ; 9883
+ ADD *AR3-0, 13, A, B ; 9884
+ ADD *AR3-0, 13, A ; 9885
+ ADD *AR3-0, 13, B, A ; 9886
+ ADD *AR3-0, 13, B, B ; 9887
+ ADD *AR3-0, 13, B ; 9888
+ ADD *AR3-0, 14, A, A ; 9889
+ ADD *AR3-0, 14, A, B ; 9890
+ ADD *AR3-0, 14, A ; 9891
+ ADD *AR3-0, 14, B, A ; 9892
+ ADD *AR3-0, 14, B, B ; 9893
+ ADD *AR3-0, 14, B ; 9894
+ ADD *AR3-0, 15, A, A ; 9895
+ ADD *AR3-0, 15, A, B ; 9896
+ ADD *AR3-0, 15, A ; 9897
+ ADD *AR3-0, 15, B, A ; 9898
+ ADD *AR3-0, 15, B, B ; 9899
+ ADD *AR3-0, 15, B ; 9900
+ ADD *AR3+0, A, A ; 9901
+ ADD *AR3+0, A, B ; 9902
+ ADD *AR3+0, A ; 9903
+ ADD *AR3+0, B, A ; 9904
+ ADD *AR3+0, B, B ; 9905
+ ADD *AR3+0, B ; 9906
+ ADD *AR3+0, -16, A, A ; 9907
+ ADD *AR3+0, -16, A, B ; 9908
+ ADD *AR3+0, -16, A ; 9909
+ ADD *AR3+0, -16, B, A ; 9910
+ ADD *AR3+0, -16, B, B ; 9911
+ ADD *AR3+0, -16, B ; 9912
+ ADD *AR3+0, -15, A, A ; 9913
+ ADD *AR3+0, -15, A, B ; 9914
+ ADD *AR3+0, -15, A ; 9915
+ ADD *AR3+0, -15, B, A ; 9916
+ ADD *AR3+0, -15, B, B ; 9917
+ ADD *AR3+0, -15, B ; 9918
+ ADD *AR3+0, -14, A, A ; 9919
+ ADD *AR3+0, -14, A, B ; 9920
+ ADD *AR3+0, -14, A ; 9921
+ ADD *AR3+0, -14, B, A ; 9922
+ ADD *AR3+0, -14, B, B ; 9923
+ ADD *AR3+0, -14, B ; 9924
+ ADD *AR3+0, -13, A, A ; 9925
+ ADD *AR3+0, -13, A, B ; 9926
+ ADD *AR3+0, -13, A ; 9927
+ ADD *AR3+0, -13, B, A ; 9928
+ ADD *AR3+0, -13, B, B ; 9929
+ ADD *AR3+0, -13, B ; 9930
+ ADD *AR3+0, -12, A, A ; 9931
+ ADD *AR3+0, -12, A, B ; 9932
+ ADD *AR3+0, -12, A ; 9933
+ ADD *AR3+0, -12, B, A ; 9934
+ ADD *AR3+0, -12, B, B ; 9935
+ ADD *AR3+0, -12, B ; 9936
+ ADD *AR3+0, -11, A, A ; 9937
+ ADD *AR3+0, -11, A, B ; 9938
+ ADD *AR3+0, -11, A ; 9939
+ ADD *AR3+0, -11, B, A ; 9940
+ ADD *AR3+0, -11, B, B ; 9941
+ ADD *AR3+0, -11, B ; 9942
+ ADD *AR3+0, -10, A, A ; 9943
+ ADD *AR3+0, -10, A, B ; 9944
+ ADD *AR3+0, -10, A ; 9945
+ ADD *AR3+0, -10, B, A ; 9946
+ ADD *AR3+0, -10, B, B ; 9947
+ ADD *AR3+0, -10, B ; 9948
+ ADD *AR3+0, -9, A, A ; 9949
+ ADD *AR3+0, -9, A, B ; 9950
+ ADD *AR3+0, -9, A ; 9951
+ ADD *AR3+0, -9, B, A ; 9952
+ ADD *AR3+0, -9, B, B ; 9953
+ ADD *AR3+0, -9, B ; 9954
+ ADD *AR3+0, -8, A, A ; 9955
+ ADD *AR3+0, -8, A, B ; 9956
+ ADD *AR3+0, -8, A ; 9957
+ ADD *AR3+0, -8, B, A ; 9958
+ ADD *AR3+0, -8, B, B ; 9959
+ ADD *AR3+0, -8, B ; 9960
+ ADD *AR3+0, -7, A, A ; 9961
+ ADD *AR3+0, -7, A, B ; 9962
+ ADD *AR3+0, -7, A ; 9963
+ ADD *AR3+0, -7, B, A ; 9964
+ ADD *AR3+0, -7, B, B ; 9965
+ ADD *AR3+0, -7, B ; 9966
+ ADD *AR3+0, -6, A, A ; 9967
+ ADD *AR3+0, -6, A, B ; 9968
+ ADD *AR3+0, -6, A ; 9969
+ ADD *AR3+0, -6, B, A ; 9970
+ ADD *AR3+0, -6, B, B ; 9971
+ ADD *AR3+0, -6, B ; 9972
+ ADD *AR3+0, -5, A, A ; 9973
+ ADD *AR3+0, -5, A, B ; 9974
+ ADD *AR3+0, -5, A ; 9975
+ ADD *AR3+0, -5, B, A ; 9976
+ ADD *AR3+0, -5, B, B ; 9977
+ ADD *AR3+0, -5, B ; 9978
+ ADD *AR3+0, -4, A, A ; 9979
+ ADD *AR3+0, -4, A, B ; 9980
+ ADD *AR3+0, -4, A ; 9981
+ ADD *AR3+0, -4, B, A ; 9982
+ ADD *AR3+0, -4, B, B ; 9983
+ ADD *AR3+0, -4, B ; 9984
+ ADD *AR3+0, -3, A, A ; 9985
+ ADD *AR3+0, -3, A, B ; 9986
+ ADD *AR3+0, -3, A ; 9987
+ ADD *AR3+0, -3, B, A ; 9988
+ ADD *AR3+0, -3, B, B ; 9989
+ ADD *AR3+0, -3, B ; 9990
+ ADD *AR3+0, -2, A, A ; 9991
+ ADD *AR3+0, -2, A, B ; 9992
+ ADD *AR3+0, -2, A ; 9993
+ ADD *AR3+0, -2, B, A ; 9994
+ ADD *AR3+0, -2, B, B ; 9995
+ ADD *AR3+0, -2, B ; 9996
+ ADD *AR3+0, -1, A, A ; 9997
+ ADD *AR3+0, -1, A, B ; 9998
+ ADD *AR3+0, -1, A ; 9999
+ ADD *AR3+0, -1, B, A ; 10000
+ ADD *AR3+0, -1, B, B ; 10001
+ ADD *AR3+0, -1, B ; 10002
+ ADD *AR3+0, 0, A, A ; 10003
+ ADD *AR3+0, 0, A, B ; 10004
+ ADD *AR3+0, 0, A ; 10005
+ ADD *AR3+0, 0, B, A ; 10006
+ ADD *AR3+0, 0, B, B ; 10007
+ ADD *AR3+0, 0, B ; 10008
+ ADD *AR3+0, 1, A, A ; 10009
+ ADD *AR3+0, 1, A, B ; 10010
+ ADD *AR3+0, 1, A ; 10011
+ ADD *AR3+0, 1, B, A ; 10012
+ ADD *AR3+0, 1, B, B ; 10013
+ ADD *AR3+0, 1, B ; 10014
+ ADD *AR3+0, 2, A, A ; 10015
+ ADD *AR3+0, 2, A, B ; 10016
+ ADD *AR3+0, 2, A ; 10017
+ ADD *AR3+0, 2, B, A ; 10018
+ ADD *AR3+0, 2, B, B ; 10019
+ ADD *AR3+0, 2, B ; 10020
+ ADD *AR3+0, 3, A, A ; 10021
+ ADD *AR3+0, 3, A, B ; 10022
+ ADD *AR3+0, 3, A ; 10023
+ ADD *AR3+0, 3, B, A ; 10024
+ ADD *AR3+0, 3, B, B ; 10025
+ ADD *AR3+0, 3, B ; 10026
+ ADD *AR3+0, 4, A, A ; 10027
+ ADD *AR3+0, 4, A, B ; 10028
+ ADD *AR3+0, 4, A ; 10029
+ ADD *AR3+0, 4, B, A ; 10030
+ ADD *AR3+0, 4, B, B ; 10031
+ ADD *AR3+0, 4, B ; 10032
+ ADD *AR3+0, 5, A, A ; 10033
+ ADD *AR3+0, 5, A, B ; 10034
+ ADD *AR3+0, 5, A ; 10035
+ ADD *AR3+0, 5, B, A ; 10036
+ ADD *AR3+0, 5, B, B ; 10037
+ ADD *AR3+0, 5, B ; 10038
+ ADD *AR3+0, 6, A, A ; 10039
+ ADD *AR3+0, 6, A, B ; 10040
+ ADD *AR3+0, 6, A ; 10041
+ ADD *AR3+0, 6, B, A ; 10042
+ ADD *AR3+0, 6, B, B ; 10043
+ ADD *AR3+0, 6, B ; 10044
+ ADD *AR3+0, 7, A, A ; 10045
+ ADD *AR3+0, 7, A, B ; 10046
+ ADD *AR3+0, 7, A ; 10047
+ ADD *AR3+0, 7, B, A ; 10048
+ ADD *AR3+0, 7, B, B ; 10049
+ ADD *AR3+0, 7, B ; 10050
+ ADD *AR3+0, 8, A, A ; 10051
+ ADD *AR3+0, 8, A, B ; 10052
+ ADD *AR3+0, 8, A ; 10053
+ ADD *AR3+0, 8, B, A ; 10054
+ ADD *AR3+0, 8, B, B ; 10055
+ ADD *AR3+0, 8, B ; 10056
+ ADD *AR3+0, 9, A, A ; 10057
+ ADD *AR3+0, 9, A, B ; 10058
+ ADD *AR3+0, 9, A ; 10059
+ ADD *AR3+0, 9, B, A ; 10060
+ ADD *AR3+0, 9, B, B ; 10061
+ ADD *AR3+0, 9, B ; 10062
+ ADD *AR3+0, 10, A, A ; 10063
+ ADD *AR3+0, 10, A, B ; 10064
+ ADD *AR3+0, 10, A ; 10065
+ ADD *AR3+0, 10, B, A ; 10066
+ ADD *AR3+0, 10, B, B ; 10067
+ ADD *AR3+0, 10, B ; 10068
+ ADD *AR3+0, 11, A, A ; 10069
+ ADD *AR3+0, 11, A, B ; 10070
+ ADD *AR3+0, 11, A ; 10071
+ ADD *AR3+0, 11, B, A ; 10072
+ ADD *AR3+0, 11, B, B ; 10073
+ ADD *AR3+0, 11, B ; 10074
+ ADD *AR3+0, 12, A, A ; 10075
+ ADD *AR3+0, 12, A, B ; 10076
+ ADD *AR3+0, 12, A ; 10077
+ ADD *AR3+0, 12, B, A ; 10078
+ ADD *AR3+0, 12, B, B ; 10079
+ ADD *AR3+0, 12, B ; 10080
+ ADD *AR3+0, 13, A, A ; 10081
+ ADD *AR3+0, 13, A, B ; 10082
+ ADD *AR3+0, 13, A ; 10083
+ ADD *AR3+0, 13, B, A ; 10084
+ ADD *AR3+0, 13, B, B ; 10085
+ ADD *AR3+0, 13, B ; 10086
+ ADD *AR3+0, 14, A, A ; 10087
+ ADD *AR3+0, 14, A, B ; 10088
+ ADD *AR3+0, 14, A ; 10089
+ ADD *AR3+0, 14, B, A ; 10090
+ ADD *AR3+0, 14, B, B ; 10091
+ ADD *AR3+0, 14, B ; 10092
+ ADD *AR3+0, 15, A, A ; 10093
+ ADD *AR3+0, 15, A, B ; 10094
+ ADD *AR3+0, 15, A ; 10095
+ ADD *AR3+0, 15, B, A ; 10096
+ ADD *AR3+0, 15, B, B ; 10097
+ ADD *AR3+0, 15, B ; 10098
+ ADD *AR3+0B, A, A ; 10099
+ ADD *AR3+0B, A, B ; 10100
+ ADD *AR3+0B, A ; 10101
+ ADD *AR3+0B, B, A ; 10102
+ ADD *AR3+0B, B, B ; 10103
+ ADD *AR3+0B, B ; 10104
+ ADD *AR3+0B, -16, A, A ; 10105
+ ADD *AR3+0B, -16, A, B ; 10106
+ ADD *AR3+0B, -16, A ; 10107
+ ADD *AR3+0B, -16, B, A ; 10108
+ ADD *AR3+0B, -16, B, B ; 10109
+ ADD *AR3+0B, -16, B ; 10110
+ ADD *AR3+0B, -15, A, A ; 10111
+ ADD *AR3+0B, -15, A, B ; 10112
+ ADD *AR3+0B, -15, A ; 10113
+ ADD *AR3+0B, -15, B, A ; 10114
+ ADD *AR3+0B, -15, B, B ; 10115
+ ADD *AR3+0B, -15, B ; 10116
+ ADD *AR3+0B, -14, A, A ; 10117
+ ADD *AR3+0B, -14, A, B ; 10118
+ ADD *AR3+0B, -14, A ; 10119
+ ADD *AR3+0B, -14, B, A ; 10120
+ ADD *AR3+0B, -14, B, B ; 10121
+ ADD *AR3+0B, -14, B ; 10122
+ ADD *AR3+0B, -13, A, A ; 10123
+ ADD *AR3+0B, -13, A, B ; 10124
+ ADD *AR3+0B, -13, A ; 10125
+ ADD *AR3+0B, -13, B, A ; 10126
+ ADD *AR3+0B, -13, B, B ; 10127
+ ADD *AR3+0B, -13, B ; 10128
+ ADD *AR3+0B, -12, A, A ; 10129
+ ADD *AR3+0B, -12, A, B ; 10130
+ ADD *AR3+0B, -12, A ; 10131
+ ADD *AR3+0B, -12, B, A ; 10132
+ ADD *AR3+0B, -12, B, B ; 10133
+ ADD *AR3+0B, -12, B ; 10134
+ ADD *AR3+0B, -11, A, A ; 10135
+ ADD *AR3+0B, -11, A, B ; 10136
+ ADD *AR3+0B, -11, A ; 10137
+ ADD *AR3+0B, -11, B, A ; 10138
+ ADD *AR3+0B, -11, B, B ; 10139
+ ADD *AR3+0B, -11, B ; 10140
+ ADD *AR3+0B, -10, A, A ; 10141
+ ADD *AR3+0B, -10, A, B ; 10142
+ ADD *AR3+0B, -10, A ; 10143
+ ADD *AR3+0B, -10, B, A ; 10144
+ ADD *AR3+0B, -10, B, B ; 10145
+ ADD *AR3+0B, -10, B ; 10146
+ ADD *AR3+0B, -9, A, A ; 10147
+ ADD *AR3+0B, -9, A, B ; 10148
+ ADD *AR3+0B, -9, A ; 10149
+ ADD *AR3+0B, -9, B, A ; 10150
+ ADD *AR3+0B, -9, B, B ; 10151
+ ADD *AR3+0B, -9, B ; 10152
+ ADD *AR3+0B, -8, A, A ; 10153
+ ADD *AR3+0B, -8, A, B ; 10154
+ ADD *AR3+0B, -8, A ; 10155
+ ADD *AR3+0B, -8, B, A ; 10156
+ ADD *AR3+0B, -8, B, B ; 10157
+ ADD *AR3+0B, -8, B ; 10158
+ ADD *AR3+0B, -7, A, A ; 10159
+ ADD *AR3+0B, -7, A, B ; 10160
+ ADD *AR3+0B, -7, A ; 10161
+ ADD *AR3+0B, -7, B, A ; 10162
+ ADD *AR3+0B, -7, B, B ; 10163
+ ADD *AR3+0B, -7, B ; 10164
+ ADD *AR3+0B, -6, A, A ; 10165
+ ADD *AR3+0B, -6, A, B ; 10166
+ ADD *AR3+0B, -6, A ; 10167
+ ADD *AR3+0B, -6, B, A ; 10168
+ ADD *AR3+0B, -6, B, B ; 10169
+ ADD *AR3+0B, -6, B ; 10170
+ ADD *AR3+0B, -5, A, A ; 10171
+ ADD *AR3+0B, -5, A, B ; 10172
+ ADD *AR3+0B, -5, A ; 10173
+ ADD *AR3+0B, -5, B, A ; 10174
+ ADD *AR3+0B, -5, B, B ; 10175
+ ADD *AR3+0B, -5, B ; 10176
+ ADD *AR3+0B, -4, A, A ; 10177
+ ADD *AR3+0B, -4, A, B ; 10178
+ ADD *AR3+0B, -4, A ; 10179
+ ADD *AR3+0B, -4, B, A ; 10180
+ ADD *AR3+0B, -4, B, B ; 10181
+ ADD *AR3+0B, -4, B ; 10182
+ ADD *AR3+0B, -3, A, A ; 10183
+ ADD *AR3+0B, -3, A, B ; 10184
+ ADD *AR3+0B, -3, A ; 10185
+ ADD *AR3+0B, -3, B, A ; 10186
+ ADD *AR3+0B, -3, B, B ; 10187
+ ADD *AR3+0B, -3, B ; 10188
+ ADD *AR3+0B, -2, A, A ; 10189
+ ADD *AR3+0B, -2, A, B ; 10190
+ ADD *AR3+0B, -2, A ; 10191
+ ADD *AR3+0B, -2, B, A ; 10192
+ ADD *AR3+0B, -2, B, B ; 10193
+ ADD *AR3+0B, -2, B ; 10194
+ ADD *AR3+0B, -1, A, A ; 10195
+ ADD *AR3+0B, -1, A, B ; 10196
+ ADD *AR3+0B, -1, A ; 10197
+ ADD *AR3+0B, -1, B, A ; 10198
+ ADD *AR3+0B, -1, B, B ; 10199
+ ADD *AR3+0B, -1, B ; 10200
+ ADD *AR3+0B, 0, A, A ; 10201
+ ADD *AR3+0B, 0, A, B ; 10202
+ ADD *AR3+0B, 0, A ; 10203
+ ADD *AR3+0B, 0, B, A ; 10204
+ ADD *AR3+0B, 0, B, B ; 10205
+ ADD *AR3+0B, 0, B ; 10206
+ ADD *AR3+0B, 1, A, A ; 10207
+ ADD *AR3+0B, 1, A, B ; 10208
+ ADD *AR3+0B, 1, A ; 10209
+ ADD *AR3+0B, 1, B, A ; 10210
+ ADD *AR3+0B, 1, B, B ; 10211
+ ADD *AR3+0B, 1, B ; 10212
+ ADD *AR3+0B, 2, A, A ; 10213
+ ADD *AR3+0B, 2, A, B ; 10214
+ ADD *AR3+0B, 2, A ; 10215
+ ADD *AR3+0B, 2, B, A ; 10216
+ ADD *AR3+0B, 2, B, B ; 10217
+ ADD *AR3+0B, 2, B ; 10218
+ ADD *AR3+0B, 3, A, A ; 10219
+ ADD *AR3+0B, 3, A, B ; 10220
+ ADD *AR3+0B, 3, A ; 10221
+ ADD *AR3+0B, 3, B, A ; 10222
+ ADD *AR3+0B, 3, B, B ; 10223
+ ADD *AR3+0B, 3, B ; 10224
+ ADD *AR3+0B, 4, A, A ; 10225
+ ADD *AR3+0B, 4, A, B ; 10226
+ ADD *AR3+0B, 4, A ; 10227
+ ADD *AR3+0B, 4, B, A ; 10228
+ ADD *AR3+0B, 4, B, B ; 10229
+ ADD *AR3+0B, 4, B ; 10230
+ ADD *AR3+0B, 5, A, A ; 10231
+ ADD *AR3+0B, 5, A, B ; 10232
+ ADD *AR3+0B, 5, A ; 10233
+ ADD *AR3+0B, 5, B, A ; 10234
+ ADD *AR3+0B, 5, B, B ; 10235
+ ADD *AR3+0B, 5, B ; 10236
+ ADD *AR3+0B, 6, A, A ; 10237
+ ADD *AR3+0B, 6, A, B ; 10238
+ ADD *AR3+0B, 6, A ; 10239
+ ADD *AR3+0B, 6, B, A ; 10240
+ ADD *AR3+0B, 6, B, B ; 10241
+ ADD *AR3+0B, 6, B ; 10242
+ ADD *AR3+0B, 7, A, A ; 10243
+ ADD *AR3+0B, 7, A, B ; 10244
+ ADD *AR3+0B, 7, A ; 10245
+ ADD *AR3+0B, 7, B, A ; 10246
+ ADD *AR3+0B, 7, B, B ; 10247
+ ADD *AR3+0B, 7, B ; 10248
+ ADD *AR3+0B, 8, A, A ; 10249
+ ADD *AR3+0B, 8, A, B ; 10250
+ ADD *AR3+0B, 8, A ; 10251
+ ADD *AR3+0B, 8, B, A ; 10252
+ ADD *AR3+0B, 8, B, B ; 10253
+ ADD *AR3+0B, 8, B ; 10254
+ ADD *AR3+0B, 9, A, A ; 10255
+ ADD *AR3+0B, 9, A, B ; 10256
+ ADD *AR3+0B, 9, A ; 10257
+ ADD *AR3+0B, 9, B, A ; 10258
+ ADD *AR3+0B, 9, B, B ; 10259
+ ADD *AR3+0B, 9, B ; 10260
+ ADD *AR3+0B, 10, A, A ; 10261
+ ADD *AR3+0B, 10, A, B ; 10262
+ ADD *AR3+0B, 10, A ; 10263
+ ADD *AR3+0B, 10, B, A ; 10264
+ ADD *AR3+0B, 10, B, B ; 10265
+ ADD *AR3+0B, 10, B ; 10266
+ ADD *AR3+0B, 11, A, A ; 10267
+ ADD *AR3+0B, 11, A, B ; 10268
+ ADD *AR3+0B, 11, A ; 10269
+ ADD *AR3+0B, 11, B, A ; 10270
+ ADD *AR3+0B, 11, B, B ; 10271
+ ADD *AR3+0B, 11, B ; 10272
+ ADD *AR3+0B, 12, A, A ; 10273
+ ADD *AR3+0B, 12, A, B ; 10274
+ ADD *AR3+0B, 12, A ; 10275
+ ADD *AR3+0B, 12, B, A ; 10276
+ ADD *AR3+0B, 12, B, B ; 10277
+ ADD *AR3+0B, 12, B ; 10278
+ ADD *AR3+0B, 13, A, A ; 10279
+ ADD *AR3+0B, 13, A, B ; 10280
+ ADD *AR3+0B, 13, A ; 10281
+ ADD *AR3+0B, 13, B, A ; 10282
+ ADD *AR3+0B, 13, B, B ; 10283
+ ADD *AR3+0B, 13, B ; 10284
+ ADD *AR3+0B, 14, A, A ; 10285
+ ADD *AR3+0B, 14, A, B ; 10286
+ ADD *AR3+0B, 14, A ; 10287
+ ADD *AR3+0B, 14, B, A ; 10288
+ ADD *AR3+0B, 14, B, B ; 10289
+ ADD *AR3+0B, 14, B ; 10290
+ ADD *AR3+0B, 15, A, A ; 10291
+ ADD *AR3+0B, 15, A, B ; 10292
+ ADD *AR3+0B, 15, A ; 10293
+ ADD *AR3+0B, 15, B, A ; 10294
+ ADD *AR3+0B, 15, B, B ; 10295
+ ADD *AR3+0B, 15, B ; 10296
+ ADD *AR3-%, A, A ; 10297
+ ADD *AR3-%, A, B ; 10298
+ ADD *AR3-%, A ; 10299
+ ADD *AR3-%, B, A ; 10300
+ ADD *AR3-%, B, B ; 10301
+ ADD *AR3-%, B ; 10302
+ ADD *AR3-%, -16, A, A ; 10303
+ ADD *AR3-%, -16, A, B ; 10304
+ ADD *AR3-%, -16, A ; 10305
+ ADD *AR3-%, -16, B, A ; 10306
+ ADD *AR3-%, -16, B, B ; 10307
+ ADD *AR3-%, -16, B ; 10308
+ ADD *AR3-%, -15, A, A ; 10309
+ ADD *AR3-%, -15, A, B ; 10310
+ ADD *AR3-%, -15, A ; 10311
+ ADD *AR3-%, -15, B, A ; 10312
+ ADD *AR3-%, -15, B, B ; 10313
+ ADD *AR3-%, -15, B ; 10314
+ ADD *AR3-%, -14, A, A ; 10315
+ ADD *AR3-%, -14, A, B ; 10316
+ ADD *AR3-%, -14, A ; 10317
+ ADD *AR3-%, -14, B, A ; 10318
+ ADD *AR3-%, -14, B, B ; 10319
+ ADD *AR3-%, -14, B ; 10320
+ ADD *AR3-%, -13, A, A ; 10321
+ ADD *AR3-%, -13, A, B ; 10322
+ ADD *AR3-%, -13, A ; 10323
+ ADD *AR3-%, -13, B, A ; 10324
+ ADD *AR3-%, -13, B, B ; 10325
+ ADD *AR3-%, -13, B ; 10326
+ ADD *AR3-%, -12, A, A ; 10327
+ ADD *AR3-%, -12, A, B ; 10328
+ ADD *AR3-%, -12, A ; 10329
+ ADD *AR3-%, -12, B, A ; 10330
+ ADD *AR3-%, -12, B, B ; 10331
+ ADD *AR3-%, -12, B ; 10332
+ ADD *AR3-%, -11, A, A ; 10333
+ ADD *AR3-%, -11, A, B ; 10334
+ ADD *AR3-%, -11, A ; 10335
+ ADD *AR3-%, -11, B, A ; 10336
+ ADD *AR3-%, -11, B, B ; 10337
+ ADD *AR3-%, -11, B ; 10338
+ ADD *AR3-%, -10, A, A ; 10339
+ ADD *AR3-%, -10, A, B ; 10340
+ ADD *AR3-%, -10, A ; 10341
+ ADD *AR3-%, -10, B, A ; 10342
+ ADD *AR3-%, -10, B, B ; 10343
+ ADD *AR3-%, -10, B ; 10344
+ ADD *AR3-%, -9, A, A ; 10345
+ ADD *AR3-%, -9, A, B ; 10346
+ ADD *AR3-%, -9, A ; 10347
+ ADD *AR3-%, -9, B, A ; 10348
+ ADD *AR3-%, -9, B, B ; 10349
+ ADD *AR3-%, -9, B ; 10350
+ ADD *AR3-%, -8, A, A ; 10351
+ ADD *AR3-%, -8, A, B ; 10352
+ ADD *AR3-%, -8, A ; 10353
+ ADD *AR3-%, -8, B, A ; 10354
+ ADD *AR3-%, -8, B, B ; 10355
+ ADD *AR3-%, -8, B ; 10356
+ ADD *AR3-%, -7, A, A ; 10357
+ ADD *AR3-%, -7, A, B ; 10358
+ ADD *AR3-%, -7, A ; 10359
+ ADD *AR3-%, -7, B, A ; 10360
+ ADD *AR3-%, -7, B, B ; 10361
+ ADD *AR3-%, -7, B ; 10362
+ ADD *AR3-%, -6, A, A ; 10363
+ ADD *AR3-%, -6, A, B ; 10364
+ ADD *AR3-%, -6, A ; 10365
+ ADD *AR3-%, -6, B, A ; 10366
+ ADD *AR3-%, -6, B, B ; 10367
+ ADD *AR3-%, -6, B ; 10368
+ ADD *AR3-%, -5, A, A ; 10369
+ ADD *AR3-%, -5, A, B ; 10370
+ ADD *AR3-%, -5, A ; 10371
+ ADD *AR3-%, -5, B, A ; 10372
+ ADD *AR3-%, -5, B, B ; 10373
+ ADD *AR3-%, -5, B ; 10374
+ ADD *AR3-%, -4, A, A ; 10375
+ ADD *AR3-%, -4, A, B ; 10376
+ ADD *AR3-%, -4, A ; 10377
+ ADD *AR3-%, -4, B, A ; 10378
+ ADD *AR3-%, -4, B, B ; 10379
+ ADD *AR3-%, -4, B ; 10380
+ ADD *AR3-%, -3, A, A ; 10381
+ ADD *AR3-%, -3, A, B ; 10382
+ ADD *AR3-%, -3, A ; 10383
+ ADD *AR3-%, -3, B, A ; 10384
+ ADD *AR3-%, -3, B, B ; 10385
+ ADD *AR3-%, -3, B ; 10386
+ ADD *AR3-%, -2, A, A ; 10387
+ ADD *AR3-%, -2, A, B ; 10388
+ ADD *AR3-%, -2, A ; 10389
+ ADD *AR3-%, -2, B, A ; 10390
+ ADD *AR3-%, -2, B, B ; 10391
+ ADD *AR3-%, -2, B ; 10392
+ ADD *AR3-%, -1, A, A ; 10393
+ ADD *AR3-%, -1, A, B ; 10394
+ ADD *AR3-%, -1, A ; 10395
+ ADD *AR3-%, -1, B, A ; 10396
+ ADD *AR3-%, -1, B, B ; 10397
+ ADD *AR3-%, -1, B ; 10398
+ ADD *AR3-%, 0, A, A ; 10399
+ ADD *AR3-%, 0, A, B ; 10400
+ ADD *AR3-%, 0, A ; 10401
+ ADD *AR3-%, 0, B, A ; 10402
+ ADD *AR3-%, 0, B, B ; 10403
+ ADD *AR3-%, 0, B ; 10404
+ ADD *AR3-%, 1, A, A ; 10405
+ ADD *AR3-%, 1, A, B ; 10406
+ ADD *AR3-%, 1, A ; 10407
+ ADD *AR3-%, 1, B, A ; 10408
+ ADD *AR3-%, 1, B, B ; 10409
+ ADD *AR3-%, 1, B ; 10410
+ ADD *AR3-%, 2, A, A ; 10411
+ ADD *AR3-%, 2, A, B ; 10412
+ ADD *AR3-%, 2, A ; 10413
+ ADD *AR3-%, 2, B, A ; 10414
+ ADD *AR3-%, 2, B, B ; 10415
+ ADD *AR3-%, 2, B ; 10416
+ ADD *AR3-%, 3, A, A ; 10417
+ ADD *AR3-%, 3, A, B ; 10418
+ ADD *AR3-%, 3, A ; 10419
+ ADD *AR3-%, 3, B, A ; 10420
+ ADD *AR3-%, 3, B, B ; 10421
+ ADD *AR3-%, 3, B ; 10422
+ ADD *AR3-%, 4, A, A ; 10423
+ ADD *AR3-%, 4, A, B ; 10424
+ ADD *AR3-%, 4, A ; 10425
+ ADD *AR3-%, 4, B, A ; 10426
+ ADD *AR3-%, 4, B, B ; 10427
+ ADD *AR3-%, 4, B ; 10428
+ ADD *AR3-%, 5, A, A ; 10429
+ ADD *AR3-%, 5, A, B ; 10430
+ ADD *AR3-%, 5, A ; 10431
+ ADD *AR3-%, 5, B, A ; 10432
+ ADD *AR3-%, 5, B, B ; 10433
+ ADD *AR3-%, 5, B ; 10434
+ ADD *AR3-%, 6, A, A ; 10435
+ ADD *AR3-%, 6, A, B ; 10436
+ ADD *AR3-%, 6, A ; 10437
+ ADD *AR3-%, 6, B, A ; 10438
+ ADD *AR3-%, 6, B, B ; 10439
+ ADD *AR3-%, 6, B ; 10440
+ ADD *AR3-%, 7, A, A ; 10441
+ ADD *AR3-%, 7, A, B ; 10442
+ ADD *AR3-%, 7, A ; 10443
+ ADD *AR3-%, 7, B, A ; 10444
+ ADD *AR3-%, 7, B, B ; 10445
+ ADD *AR3-%, 7, B ; 10446
+ ADD *AR3-%, 8, A, A ; 10447
+ ADD *AR3-%, 8, A, B ; 10448
+ ADD *AR3-%, 8, A ; 10449
+ ADD *AR3-%, 8, B, A ; 10450
+ ADD *AR3-%, 8, B, B ; 10451
+ ADD *AR3-%, 8, B ; 10452
+ ADD *AR3-%, 9, A, A ; 10453
+ ADD *AR3-%, 9, A, B ; 10454
+ ADD *AR3-%, 9, A ; 10455
+ ADD *AR3-%, 9, B, A ; 10456
+ ADD *AR3-%, 9, B, B ; 10457
+ ADD *AR3-%, 9, B ; 10458
+ ADD *AR3-%, 10, A, A ; 10459
+ ADD *AR3-%, 10, A, B ; 10460
+ ADD *AR3-%, 10, A ; 10461
+ ADD *AR3-%, 10, B, A ; 10462
+ ADD *AR3-%, 10, B, B ; 10463
+ ADD *AR3-%, 10, B ; 10464
+ ADD *AR3-%, 11, A, A ; 10465
+ ADD *AR3-%, 11, A, B ; 10466
+ ADD *AR3-%, 11, A ; 10467
+ ADD *AR3-%, 11, B, A ; 10468
+ ADD *AR3-%, 11, B, B ; 10469
+ ADD *AR3-%, 11, B ; 10470
+ ADD *AR3-%, 12, A, A ; 10471
+ ADD *AR3-%, 12, A, B ; 10472
+ ADD *AR3-%, 12, A ; 10473
+ ADD *AR3-%, 12, B, A ; 10474
+ ADD *AR3-%, 12, B, B ; 10475
+ ADD *AR3-%, 12, B ; 10476
+ ADD *AR3-%, 13, A, A ; 10477
+ ADD *AR3-%, 13, A, B ; 10478
+ ADD *AR3-%, 13, A ; 10479
+ ADD *AR3-%, 13, B, A ; 10480
+ ADD *AR3-%, 13, B, B ; 10481
+ ADD *AR3-%, 13, B ; 10482
+ ADD *AR3-%, 14, A, A ; 10483
+ ADD *AR3-%, 14, A, B ; 10484
+ ADD *AR3-%, 14, A ; 10485
+ ADD *AR3-%, 14, B, A ; 10486
+ ADD *AR3-%, 14, B, B ; 10487
+ ADD *AR3-%, 14, B ; 10488
+ ADD *AR3-%, 15, A, A ; 10489
+ ADD *AR3-%, 15, A, B ; 10490
+ ADD *AR3-%, 15, A ; 10491
+ ADD *AR3-%, 15, B, A ; 10492
+ ADD *AR3-%, 15, B, B ; 10493
+ ADD *AR3-%, 15, B ; 10494
+ ADD *AR3-0%, A, A ; 10495
+ ADD *AR3-0%, A, B ; 10496
+ ADD *AR3-0%, A ; 10497
+ ADD *AR3-0%, B, A ; 10498
+ ADD *AR3-0%, B, B ; 10499
+ ADD *AR3-0%, B ; 10500
+ ADD *AR3-0%, -16, A, A ; 10501
+ ADD *AR3-0%, -16, A, B ; 10502
+ ADD *AR3-0%, -16, A ; 10503
+ ADD *AR3-0%, -16, B, A ; 10504
+ ADD *AR3-0%, -16, B, B ; 10505
+ ADD *AR3-0%, -16, B ; 10506
+ ADD *AR3-0%, -15, A, A ; 10507
+ ADD *AR3-0%, -15, A, B ; 10508
+ ADD *AR3-0%, -15, A ; 10509
+ ADD *AR3-0%, -15, B, A ; 10510
+ ADD *AR3-0%, -15, B, B ; 10511
+ ADD *AR3-0%, -15, B ; 10512
+ ADD *AR3-0%, -14, A, A ; 10513
+ ADD *AR3-0%, -14, A, B ; 10514
+ ADD *AR3-0%, -14, A ; 10515
+ ADD *AR3-0%, -14, B, A ; 10516
+ ADD *AR3-0%, -14, B, B ; 10517
+ ADD *AR3-0%, -14, B ; 10518
+ ADD *AR3-0%, -13, A, A ; 10519
+ ADD *AR3-0%, -13, A, B ; 10520
+ ADD *AR3-0%, -13, A ; 10521
+ ADD *AR3-0%, -13, B, A ; 10522
+ ADD *AR3-0%, -13, B, B ; 10523
+ ADD *AR3-0%, -13, B ; 10524
+ ADD *AR3-0%, -12, A, A ; 10525
+ ADD *AR3-0%, -12, A, B ; 10526
+ ADD *AR3-0%, -12, A ; 10527
+ ADD *AR3-0%, -12, B, A ; 10528
+ ADD *AR3-0%, -12, B, B ; 10529
+ ADD *AR3-0%, -12, B ; 10530
+ ADD *AR3-0%, -11, A, A ; 10531
+ ADD *AR3-0%, -11, A, B ; 10532
+ ADD *AR3-0%, -11, A ; 10533
+ ADD *AR3-0%, -11, B, A ; 10534
+ ADD *AR3-0%, -11, B, B ; 10535
+ ADD *AR3-0%, -11, B ; 10536
+ ADD *AR3-0%, -10, A, A ; 10537
+ ADD *AR3-0%, -10, A, B ; 10538
+ ADD *AR3-0%, -10, A ; 10539
+ ADD *AR3-0%, -10, B, A ; 10540
+ ADD *AR3-0%, -10, B, B ; 10541
+ ADD *AR3-0%, -10, B ; 10542
+ ADD *AR3-0%, -9, A, A ; 10543
+ ADD *AR3-0%, -9, A, B ; 10544
+ ADD *AR3-0%, -9, A ; 10545
+ ADD *AR3-0%, -9, B, A ; 10546
+ ADD *AR3-0%, -9, B, B ; 10547
+ ADD *AR3-0%, -9, B ; 10548
+ ADD *AR3-0%, -8, A, A ; 10549
+ ADD *AR3-0%, -8, A, B ; 10550
+ ADD *AR3-0%, -8, A ; 10551
+ ADD *AR3-0%, -8, B, A ; 10552
+ ADD *AR3-0%, -8, B, B ; 10553
+ ADD *AR3-0%, -8, B ; 10554
+ ADD *AR3-0%, -7, A, A ; 10555
+ ADD *AR3-0%, -7, A, B ; 10556
+ ADD *AR3-0%, -7, A ; 10557
+ ADD *AR3-0%, -7, B, A ; 10558
+ ADD *AR3-0%, -7, B, B ; 10559
+ ADD *AR3-0%, -7, B ; 10560
+ ADD *AR3-0%, -6, A, A ; 10561
+ ADD *AR3-0%, -6, A, B ; 10562
+ ADD *AR3-0%, -6, A ; 10563
+ ADD *AR3-0%, -6, B, A ; 10564
+ ADD *AR3-0%, -6, B, B ; 10565
+ ADD *AR3-0%, -6, B ; 10566
+ ADD *AR3-0%, -5, A, A ; 10567
+ ADD *AR3-0%, -5, A, B ; 10568
+ ADD *AR3-0%, -5, A ; 10569
+ ADD *AR3-0%, -5, B, A ; 10570
+ ADD *AR3-0%, -5, B, B ; 10571
+ ADD *AR3-0%, -5, B ; 10572
+ ADD *AR3-0%, -4, A, A ; 10573
+ ADD *AR3-0%, -4, A, B ; 10574
+ ADD *AR3-0%, -4, A ; 10575
+ ADD *AR3-0%, -4, B, A ; 10576
+ ADD *AR3-0%, -4, B, B ; 10577
+ ADD *AR3-0%, -4, B ; 10578
+ ADD *AR3-0%, -3, A, A ; 10579
+ ADD *AR3-0%, -3, A, B ; 10580
+ ADD *AR3-0%, -3, A ; 10581
+ ADD *AR3-0%, -3, B, A ; 10582
+ ADD *AR3-0%, -3, B, B ; 10583
+ ADD *AR3-0%, -3, B ; 10584
+ ADD *AR3-0%, -2, A, A ; 10585
+ ADD *AR3-0%, -2, A, B ; 10586
+ ADD *AR3-0%, -2, A ; 10587
+ ADD *AR3-0%, -2, B, A ; 10588
+ ADD *AR3-0%, -2, B, B ; 10589
+ ADD *AR3-0%, -2, B ; 10590
+ ADD *AR3-0%, -1, A, A ; 10591
+ ADD *AR3-0%, -1, A, B ; 10592
+ ADD *AR3-0%, -1, A ; 10593
+ ADD *AR3-0%, -1, B, A ; 10594
+ ADD *AR3-0%, -1, B, B ; 10595
+ ADD *AR3-0%, -1, B ; 10596
+ ADD *AR3-0%, 0, A, A ; 10597
+ ADD *AR3-0%, 0, A, B ; 10598
+ ADD *AR3-0%, 0, A ; 10599
+ ADD *AR3-0%, 0, B, A ; 10600
+ ADD *AR3-0%, 0, B, B ; 10601
+ ADD *AR3-0%, 0, B ; 10602
+ ADD *AR3-0%, 1, A, A ; 10603
+ ADD *AR3-0%, 1, A, B ; 10604
+ ADD *AR3-0%, 1, A ; 10605
+ ADD *AR3-0%, 1, B, A ; 10606
+ ADD *AR3-0%, 1, B, B ; 10607
+ ADD *AR3-0%, 1, B ; 10608
+ ADD *AR3-0%, 2, A, A ; 10609
+ ADD *AR3-0%, 2, A, B ; 10610
+ ADD *AR3-0%, 2, A ; 10611
+ ADD *AR3-0%, 2, B, A ; 10612
+ ADD *AR3-0%, 2, B, B ; 10613
+ ADD *AR3-0%, 2, B ; 10614
+ ADD *AR3-0%, 3, A, A ; 10615
+ ADD *AR3-0%, 3, A, B ; 10616
+ ADD *AR3-0%, 3, A ; 10617
+ ADD *AR3-0%, 3, B, A ; 10618
+ ADD *AR3-0%, 3, B, B ; 10619
+ ADD *AR3-0%, 3, B ; 10620
+ ADD *AR3-0%, 4, A, A ; 10621
+ ADD *AR3-0%, 4, A, B ; 10622
+ ADD *AR3-0%, 4, A ; 10623
+ ADD *AR3-0%, 4, B, A ; 10624
+ ADD *AR3-0%, 4, B, B ; 10625
+ ADD *AR3-0%, 4, B ; 10626
+ ADD *AR3-0%, 5, A, A ; 10627
+ ADD *AR3-0%, 5, A, B ; 10628
+ ADD *AR3-0%, 5, A ; 10629
+ ADD *AR3-0%, 5, B, A ; 10630
+ ADD *AR3-0%, 5, B, B ; 10631
+ ADD *AR3-0%, 5, B ; 10632
+ ADD *AR3-0%, 6, A, A ; 10633
+ ADD *AR3-0%, 6, A, B ; 10634
+ ADD *AR3-0%, 6, A ; 10635
+ ADD *AR3-0%, 6, B, A ; 10636
+ ADD *AR3-0%, 6, B, B ; 10637
+ ADD *AR3-0%, 6, B ; 10638
+ ADD *AR3-0%, 7, A, A ; 10639
+ ADD *AR3-0%, 7, A, B ; 10640
+ ADD *AR3-0%, 7, A ; 10641
+ ADD *AR3-0%, 7, B, A ; 10642
+ ADD *AR3-0%, 7, B, B ; 10643
+ ADD *AR3-0%, 7, B ; 10644
+ ADD *AR3-0%, 8, A, A ; 10645
+ ADD *AR3-0%, 8, A, B ; 10646
+ ADD *AR3-0%, 8, A ; 10647
+ ADD *AR3-0%, 8, B, A ; 10648
+ ADD *AR3-0%, 8, B, B ; 10649
+ ADD *AR3-0%, 8, B ; 10650
+ ADD *AR3-0%, 9, A, A ; 10651
+ ADD *AR3-0%, 9, A, B ; 10652
+ ADD *AR3-0%, 9, A ; 10653
+ ADD *AR3-0%, 9, B, A ; 10654
+ ADD *AR3-0%, 9, B, B ; 10655
+ ADD *AR3-0%, 9, B ; 10656
+ ADD *AR3-0%, 10, A, A ; 10657
+ ADD *AR3-0%, 10, A, B ; 10658
+ ADD *AR3-0%, 10, A ; 10659
+ ADD *AR3-0%, 10, B, A ; 10660
+ ADD *AR3-0%, 10, B, B ; 10661
+ ADD *AR3-0%, 10, B ; 10662
+ ADD *AR3-0%, 11, A, A ; 10663
+ ADD *AR3-0%, 11, A, B ; 10664
+ ADD *AR3-0%, 11, A ; 10665
+ ADD *AR3-0%, 11, B, A ; 10666
+ ADD *AR3-0%, 11, B, B ; 10667
+ ADD *AR3-0%, 11, B ; 10668
+ ADD *AR3-0%, 12, A, A ; 10669
+ ADD *AR3-0%, 12, A, B ; 10670
+ ADD *AR3-0%, 12, A ; 10671
+ ADD *AR3-0%, 12, B, A ; 10672
+ ADD *AR3-0%, 12, B, B ; 10673
+ ADD *AR3-0%, 12, B ; 10674
+ ADD *AR3-0%, 13, A, A ; 10675
+ ADD *AR3-0%, 13, A, B ; 10676
+ ADD *AR3-0%, 13, A ; 10677
+ ADD *AR3-0%, 13, B, A ; 10678
+ ADD *AR3-0%, 13, B, B ; 10679
+ ADD *AR3-0%, 13, B ; 10680
+ ADD *AR3-0%, 14, A, A ; 10681
+ ADD *AR3-0%, 14, A, B ; 10682
+ ADD *AR3-0%, 14, A ; 10683
+ ADD *AR3-0%, 14, B, A ; 10684
+ ADD *AR3-0%, 14, B, B ; 10685
+ ADD *AR3-0%, 14, B ; 10686
+ ADD *AR3-0%, 15, A, A ; 10687
+ ADD *AR3-0%, 15, A, B ; 10688
+ ADD *AR3-0%, 15, A ; 10689
+ ADD *AR3-0%, 15, B, A ; 10690
+ ADD *AR3-0%, 15, B, B ; 10691
+ ADD *AR3-0%, 15, B ; 10692
+ ADD *AR3+%, A, A ; 10693
+ ADD *AR3+%, A, B ; 10694
+ ADD *AR3+%, A ; 10695
+ ADD *AR3+%, B, A ; 10696
+ ADD *AR3+%, B, B ; 10697
+ ADD *AR3+%, B ; 10698
+ ADD *AR3+%, -16, A, A ; 10699
+ ADD *AR3+%, -16, A, B ; 10700
+ ADD *AR3+%, -16, A ; 10701
+ ADD *AR3+%, -16, B, A ; 10702
+ ADD *AR3+%, -16, B, B ; 10703
+ ADD *AR3+%, -16, B ; 10704
+ ADD *AR3+%, -15, A, A ; 10705
+ ADD *AR3+%, -15, A, B ; 10706
+ ADD *AR3+%, -15, A ; 10707
+ ADD *AR3+%, -15, B, A ; 10708
+ ADD *AR3+%, -15, B, B ; 10709
+ ADD *AR3+%, -15, B ; 10710
+ ADD *AR3+%, -14, A, A ; 10711
+ ADD *AR3+%, -14, A, B ; 10712
+ ADD *AR3+%, -14, A ; 10713
+ ADD *AR3+%, -14, B, A ; 10714
+ ADD *AR3+%, -14, B, B ; 10715
+ ADD *AR3+%, -14, B ; 10716
+ ADD *AR3+%, -13, A, A ; 10717
+ ADD *AR3+%, -13, A, B ; 10718
+ ADD *AR3+%, -13, A ; 10719
+ ADD *AR3+%, -13, B, A ; 10720
+ ADD *AR3+%, -13, B, B ; 10721
+ ADD *AR3+%, -13, B ; 10722
+ ADD *AR3+%, -12, A, A ; 10723
+ ADD *AR3+%, -12, A, B ; 10724
+ ADD *AR3+%, -12, A ; 10725
+ ADD *AR3+%, -12, B, A ; 10726
+ ADD *AR3+%, -12, B, B ; 10727
+ ADD *AR3+%, -12, B ; 10728
+ ADD *AR3+%, -11, A, A ; 10729
+ ADD *AR3+%, -11, A, B ; 10730
+ ADD *AR3+%, -11, A ; 10731
+ ADD *AR3+%, -11, B, A ; 10732
+ ADD *AR3+%, -11, B, B ; 10733
+ ADD *AR3+%, -11, B ; 10734
+ ADD *AR3+%, -10, A, A ; 10735
+ ADD *AR3+%, -10, A, B ; 10736
+ ADD *AR3+%, -10, A ; 10737
+ ADD *AR3+%, -10, B, A ; 10738
+ ADD *AR3+%, -10, B, B ; 10739
+ ADD *AR3+%, -10, B ; 10740
+ ADD *AR3+%, -9, A, A ; 10741
+ ADD *AR3+%, -9, A, B ; 10742
+ ADD *AR3+%, -9, A ; 10743
+ ADD *AR3+%, -9, B, A ; 10744
+ ADD *AR3+%, -9, B, B ; 10745
+ ADD *AR3+%, -9, B ; 10746
+ ADD *AR3+%, -8, A, A ; 10747
+ ADD *AR3+%, -8, A, B ; 10748
+ ADD *AR3+%, -8, A ; 10749
+ ADD *AR3+%, -8, B, A ; 10750
+ ADD *AR3+%, -8, B, B ; 10751
+ ADD *AR3+%, -8, B ; 10752
+ ADD *AR3+%, -7, A, A ; 10753
+ ADD *AR3+%, -7, A, B ; 10754
+ ADD *AR3+%, -7, A ; 10755
+ ADD *AR3+%, -7, B, A ; 10756
+ ADD *AR3+%, -7, B, B ; 10757
+ ADD *AR3+%, -7, B ; 10758
+ ADD *AR3+%, -6, A, A ; 10759
+ ADD *AR3+%, -6, A, B ; 10760
+ ADD *AR3+%, -6, A ; 10761
+ ADD *AR3+%, -6, B, A ; 10762
+ ADD *AR3+%, -6, B, B ; 10763
+ ADD *AR3+%, -6, B ; 10764
+ ADD *AR3+%, -5, A, A ; 10765
+ ADD *AR3+%, -5, A, B ; 10766
+ ADD *AR3+%, -5, A ; 10767
+ ADD *AR3+%, -5, B, A ; 10768
+ ADD *AR3+%, -5, B, B ; 10769
+ ADD *AR3+%, -5, B ; 10770
+ ADD *AR3+%, -4, A, A ; 10771
+ ADD *AR3+%, -4, A, B ; 10772
+ ADD *AR3+%, -4, A ; 10773
+ ADD *AR3+%, -4, B, A ; 10774
+ ADD *AR3+%, -4, B, B ; 10775
+ ADD *AR3+%, -4, B ; 10776
+ ADD *AR3+%, -3, A, A ; 10777
+ ADD *AR3+%, -3, A, B ; 10778
+ ADD *AR3+%, -3, A ; 10779
+ ADD *AR3+%, -3, B, A ; 10780
+ ADD *AR3+%, -3, B, B ; 10781
+ ADD *AR3+%, -3, B ; 10782
+ ADD *AR3+%, -2, A, A ; 10783
+ ADD *AR3+%, -2, A, B ; 10784
+ ADD *AR3+%, -2, A ; 10785
+ ADD *AR3+%, -2, B, A ; 10786
+ ADD *AR3+%, -2, B, B ; 10787
+ ADD *AR3+%, -2, B ; 10788
+ ADD *AR3+%, -1, A, A ; 10789
+ ADD *AR3+%, -1, A, B ; 10790
+ ADD *AR3+%, -1, A ; 10791
+ ADD *AR3+%, -1, B, A ; 10792
+ ADD *AR3+%, -1, B, B ; 10793
+ ADD *AR3+%, -1, B ; 10794
+ ADD *AR3+%, 0, A, A ; 10795
+ ADD *AR3+%, 0, A, B ; 10796
+ ADD *AR3+%, 0, A ; 10797
+ ADD *AR3+%, 0, B, A ; 10798
+ ADD *AR3+%, 0, B, B ; 10799
+ ADD *AR3+%, 0, B ; 10800
+ ADD *AR3+%, 1, A, A ; 10801
+ ADD *AR3+%, 1, A, B ; 10802
+ ADD *AR3+%, 1, A ; 10803
+ ADD *AR3+%, 1, B, A ; 10804
+ ADD *AR3+%, 1, B, B ; 10805
+ ADD *AR3+%, 1, B ; 10806
+ ADD *AR3+%, 2, A, A ; 10807
+ ADD *AR3+%, 2, A, B ; 10808
+ ADD *AR3+%, 2, A ; 10809
+ ADD *AR3+%, 2, B, A ; 10810
+ ADD *AR3+%, 2, B, B ; 10811
+ ADD *AR3+%, 2, B ; 10812
+ ADD *AR3+%, 3, A, A ; 10813
+ ADD *AR3+%, 3, A, B ; 10814
+ ADD *AR3+%, 3, A ; 10815
+ ADD *AR3+%, 3, B, A ; 10816
+ ADD *AR3+%, 3, B, B ; 10817
+ ADD *AR3+%, 3, B ; 10818
+ ADD *AR3+%, 4, A, A ; 10819
+ ADD *AR3+%, 4, A, B ; 10820
+ ADD *AR3+%, 4, A ; 10821
+ ADD *AR3+%, 4, B, A ; 10822
+ ADD *AR3+%, 4, B, B ; 10823
+ ADD *AR3+%, 4, B ; 10824
+ ADD *AR3+%, 5, A, A ; 10825
+ ADD *AR3+%, 5, A, B ; 10826
+ ADD *AR3+%, 5, A ; 10827
+ ADD *AR3+%, 5, B, A ; 10828
+ ADD *AR3+%, 5, B, B ; 10829
+ ADD *AR3+%, 5, B ; 10830
+ ADD *AR3+%, 6, A, A ; 10831
+ ADD *AR3+%, 6, A, B ; 10832
+ ADD *AR3+%, 6, A ; 10833
+ ADD *AR3+%, 6, B, A ; 10834
+ ADD *AR3+%, 6, B, B ; 10835
+ ADD *AR3+%, 6, B ; 10836
+ ADD *AR3+%, 7, A, A ; 10837
+ ADD *AR3+%, 7, A, B ; 10838
+ ADD *AR3+%, 7, A ; 10839
+ ADD *AR3+%, 7, B, A ; 10840
+ ADD *AR3+%, 7, B, B ; 10841
+ ADD *AR3+%, 7, B ; 10842
+ ADD *AR3+%, 8, A, A ; 10843
+ ADD *AR3+%, 8, A, B ; 10844
+ ADD *AR3+%, 8, A ; 10845
+ ADD *AR3+%, 8, B, A ; 10846
+ ADD *AR3+%, 8, B, B ; 10847
+ ADD *AR3+%, 8, B ; 10848
+ ADD *AR3+%, 9, A, A ; 10849
+ ADD *AR3+%, 9, A, B ; 10850
+ ADD *AR3+%, 9, A ; 10851
+ ADD *AR3+%, 9, B, A ; 10852
+ ADD *AR3+%, 9, B, B ; 10853
+ ADD *AR3+%, 9, B ; 10854
+ ADD *AR3+%, 10, A, A ; 10855
+ ADD *AR3+%, 10, A, B ; 10856
+ ADD *AR3+%, 10, A ; 10857
+ ADD *AR3+%, 10, B, A ; 10858
+ ADD *AR3+%, 10, B, B ; 10859
+ ADD *AR3+%, 10, B ; 10860
+ ADD *AR3+%, 11, A, A ; 10861
+ ADD *AR3+%, 11, A, B ; 10862
+ ADD *AR3+%, 11, A ; 10863
+ ADD *AR3+%, 11, B, A ; 10864
+ ADD *AR3+%, 11, B, B ; 10865
+ ADD *AR3+%, 11, B ; 10866
+ ADD *AR3+%, 12, A, A ; 10867
+ ADD *AR3+%, 12, A, B ; 10868
+ ADD *AR3+%, 12, A ; 10869
+ ADD *AR3+%, 12, B, A ; 10870
+ ADD *AR3+%, 12, B, B ; 10871
+ ADD *AR3+%, 12, B ; 10872
+ ADD *AR3+%, 13, A, A ; 10873
+ ADD *AR3+%, 13, A, B ; 10874
+ ADD *AR3+%, 13, A ; 10875
+ ADD *AR3+%, 13, B, A ; 10876
+ ADD *AR3+%, 13, B, B ; 10877
+ ADD *AR3+%, 13, B ; 10878
+ ADD *AR3+%, 14, A, A ; 10879
+ ADD *AR3+%, 14, A, B ; 10880
+ ADD *AR3+%, 14, A ; 10881
+ ADD *AR3+%, 14, B, A ; 10882
+ ADD *AR3+%, 14, B, B ; 10883
+ ADD *AR3+%, 14, B ; 10884
+ ADD *AR3+%, 15, A, A ; 10885
+ ADD *AR3+%, 15, A, B ; 10886
+ ADD *AR3+%, 15, A ; 10887
+ ADD *AR3+%, 15, B, A ; 10888
+ ADD *AR3+%, 15, B, B ; 10889
+ ADD *AR3+%, 15, B ; 10890
+ ADD *AR3+0%, A, A ; 10891
+ ADD *AR3+0%, A, B ; 10892
+ ADD *AR3+0%, A ; 10893
+ ADD *AR3+0%, B, A ; 10894
+ ADD *AR3+0%, B, B ; 10895
+ ADD *AR3+0%, B ; 10896
+ ADD *AR3+0%, -16, A, A ; 10897
+ ADD *AR3+0%, -16, A, B ; 10898
+ ADD *AR3+0%, -16, A ; 10899
+ ADD *AR3+0%, -16, B, A ; 10900
+ ADD *AR3+0%, -16, B, B ; 10901
+ ADD *AR3+0%, -16, B ; 10902
+ ADD *AR3+0%, -15, A, A ; 10903
+ ADD *AR3+0%, -15, A, B ; 10904
+ ADD *AR3+0%, -15, A ; 10905
+ ADD *AR3+0%, -15, B, A ; 10906
+ ADD *AR3+0%, -15, B, B ; 10907
+ ADD *AR3+0%, -15, B ; 10908
+ ADD *AR3+0%, -14, A, A ; 10909
+ ADD *AR3+0%, -14, A, B ; 10910
+ ADD *AR3+0%, -14, A ; 10911
+ ADD *AR3+0%, -14, B, A ; 10912
+ ADD *AR3+0%, -14, B, B ; 10913
+ ADD *AR3+0%, -14, B ; 10914
+ ADD *AR3+0%, -13, A, A ; 10915
+ ADD *AR3+0%, -13, A, B ; 10916
+ ADD *AR3+0%, -13, A ; 10917
+ ADD *AR3+0%, -13, B, A ; 10918
+ ADD *AR3+0%, -13, B, B ; 10919
+ ADD *AR3+0%, -13, B ; 10920
+ ADD *AR3+0%, -12, A, A ; 10921
+ ADD *AR3+0%, -12, A, B ; 10922
+ ADD *AR3+0%, -12, A ; 10923
+ ADD *AR3+0%, -12, B, A ; 10924
+ ADD *AR3+0%, -12, B, B ; 10925
+ ADD *AR3+0%, -12, B ; 10926
+ ADD *AR3+0%, -11, A, A ; 10927
+ ADD *AR3+0%, -11, A, B ; 10928
+ ADD *AR3+0%, -11, A ; 10929
+ ADD *AR3+0%, -11, B, A ; 10930
+ ADD *AR3+0%, -11, B, B ; 10931
+ ADD *AR3+0%, -11, B ; 10932
+ ADD *AR3+0%, -10, A, A ; 10933
+ ADD *AR3+0%, -10, A, B ; 10934
+ ADD *AR3+0%, -10, A ; 10935
+ ADD *AR3+0%, -10, B, A ; 10936
+ ADD *AR3+0%, -10, B, B ; 10937
+ ADD *AR3+0%, -10, B ; 10938
+ ADD *AR3+0%, -9, A, A ; 10939
+ ADD *AR3+0%, -9, A, B ; 10940
+ ADD *AR3+0%, -9, A ; 10941
+ ADD *AR3+0%, -9, B, A ; 10942
+ ADD *AR3+0%, -9, B, B ; 10943
+ ADD *AR3+0%, -9, B ; 10944
+ ADD *AR3+0%, -8, A, A ; 10945
+ ADD *AR3+0%, -8, A, B ; 10946
+ ADD *AR3+0%, -8, A ; 10947
+ ADD *AR3+0%, -8, B, A ; 10948
+ ADD *AR3+0%, -8, B, B ; 10949
+ ADD *AR3+0%, -8, B ; 10950
+ ADD *AR3+0%, -7, A, A ; 10951
+ ADD *AR3+0%, -7, A, B ; 10952
+ ADD *AR3+0%, -7, A ; 10953
+ ADD *AR3+0%, -7, B, A ; 10954
+ ADD *AR3+0%, -7, B, B ; 10955
+ ADD *AR3+0%, -7, B ; 10956
+ ADD *AR3+0%, -6, A, A ; 10957
+ ADD *AR3+0%, -6, A, B ; 10958
+ ADD *AR3+0%, -6, A ; 10959
+ ADD *AR3+0%, -6, B, A ; 10960
+ ADD *AR3+0%, -6, B, B ; 10961
+ ADD *AR3+0%, -6, B ; 10962
+ ADD *AR3+0%, -5, A, A ; 10963
+ ADD *AR3+0%, -5, A, B ; 10964
+ ADD *AR3+0%, -5, A ; 10965
+ ADD *AR3+0%, -5, B, A ; 10966
+ ADD *AR3+0%, -5, B, B ; 10967
+ ADD *AR3+0%, -5, B ; 10968
+ ADD *AR3+0%, -4, A, A ; 10969
+ ADD *AR3+0%, -4, A, B ; 10970
+ ADD *AR3+0%, -4, A ; 10971
+ ADD *AR3+0%, -4, B, A ; 10972
+ ADD *AR3+0%, -4, B, B ; 10973
+ ADD *AR3+0%, -4, B ; 10974
+ ADD *AR3+0%, -3, A, A ; 10975
+ ADD *AR3+0%, -3, A, B ; 10976
+ ADD *AR3+0%, -3, A ; 10977
+ ADD *AR3+0%, -3, B, A ; 10978
+ ADD *AR3+0%, -3, B, B ; 10979
+ ADD *AR3+0%, -3, B ; 10980
+ ADD *AR3+0%, -2, A, A ; 10981
+ ADD *AR3+0%, -2, A, B ; 10982
+ ADD *AR3+0%, -2, A ; 10983
+ ADD *AR3+0%, -2, B, A ; 10984
+ ADD *AR3+0%, -2, B, B ; 10985
+ ADD *AR3+0%, -2, B ; 10986
+ ADD *AR3+0%, -1, A, A ; 10987
+ ADD *AR3+0%, -1, A, B ; 10988
+ ADD *AR3+0%, -1, A ; 10989
+ ADD *AR3+0%, -1, B, A ; 10990
+ ADD *AR3+0%, -1, B, B ; 10991
+ ADD *AR3+0%, -1, B ; 10992
+ ADD *AR3+0%, 0, A, A ; 10993
+ ADD *AR3+0%, 0, A, B ; 10994
+ ADD *AR3+0%, 0, A ; 10995
+ ADD *AR3+0%, 0, B, A ; 10996
+ ADD *AR3+0%, 0, B, B ; 10997
+ ADD *AR3+0%, 0, B ; 10998
+ ADD *AR3+0%, 1, A, A ; 10999
+ ADD *AR3+0%, 1, A, B ; 11000
+ ADD *AR3+0%, 1, A ; 11001
+ ADD *AR3+0%, 1, B, A ; 11002
+ ADD *AR3+0%, 1, B, B ; 11003
+ ADD *AR3+0%, 1, B ; 11004
+ ADD *AR3+0%, 2, A, A ; 11005
+ ADD *AR3+0%, 2, A, B ; 11006
+ ADD *AR3+0%, 2, A ; 11007
+ ADD *AR3+0%, 2, B, A ; 11008
+ ADD *AR3+0%, 2, B, B ; 11009
+ ADD *AR3+0%, 2, B ; 11010
+ ADD *AR3+0%, 3, A, A ; 11011
+ ADD *AR3+0%, 3, A, B ; 11012
+ ADD *AR3+0%, 3, A ; 11013
+ ADD *AR3+0%, 3, B, A ; 11014
+ ADD *AR3+0%, 3, B, B ; 11015
+ ADD *AR3+0%, 3, B ; 11016
+ ADD *AR3+0%, 4, A, A ; 11017
+ ADD *AR3+0%, 4, A, B ; 11018
+ ADD *AR3+0%, 4, A ; 11019
+ ADD *AR3+0%, 4, B, A ; 11020
+ ADD *AR3+0%, 4, B, B ; 11021
+ ADD *AR3+0%, 4, B ; 11022
+ ADD *AR3+0%, 5, A, A ; 11023
+ ADD *AR3+0%, 5, A, B ; 11024
+ ADD *AR3+0%, 5, A ; 11025
+ ADD *AR3+0%, 5, B, A ; 11026
+ ADD *AR3+0%, 5, B, B ; 11027
+ ADD *AR3+0%, 5, B ; 11028
+ ADD *AR3+0%, 6, A, A ; 11029
+ ADD *AR3+0%, 6, A, B ; 11030
+ ADD *AR3+0%, 6, A ; 11031
+ ADD *AR3+0%, 6, B, A ; 11032
+ ADD *AR3+0%, 6, B, B ; 11033
+ ADD *AR3+0%, 6, B ; 11034
+ ADD *AR3+0%, 7, A, A ; 11035
+ ADD *AR3+0%, 7, A, B ; 11036
+ ADD *AR3+0%, 7, A ; 11037
+ ADD *AR3+0%, 7, B, A ; 11038
+ ADD *AR3+0%, 7, B, B ; 11039
+ ADD *AR3+0%, 7, B ; 11040
+ ADD *AR3+0%, 8, A, A ; 11041
+ ADD *AR3+0%, 8, A, B ; 11042
+ ADD *AR3+0%, 8, A ; 11043
+ ADD *AR3+0%, 8, B, A ; 11044
+ ADD *AR3+0%, 8, B, B ; 11045
+ ADD *AR3+0%, 8, B ; 11046
+ ADD *AR3+0%, 9, A, A ; 11047
+ ADD *AR3+0%, 9, A, B ; 11048
+ ADD *AR3+0%, 9, A ; 11049
+ ADD *AR3+0%, 9, B, A ; 11050
+ ADD *AR3+0%, 9, B, B ; 11051
+ ADD *AR3+0%, 9, B ; 11052
+ ADD *AR3+0%, 10, A, A ; 11053
+ ADD *AR3+0%, 10, A, B ; 11054
+ ADD *AR3+0%, 10, A ; 11055
+ ADD *AR3+0%, 10, B, A ; 11056
+ ADD *AR3+0%, 10, B, B ; 11057
+ ADD *AR3+0%, 10, B ; 11058
+ ADD *AR3+0%, 11, A, A ; 11059
+ ADD *AR3+0%, 11, A, B ; 11060
+ ADD *AR3+0%, 11, A ; 11061
+ ADD *AR3+0%, 11, B, A ; 11062
+ ADD *AR3+0%, 11, B, B ; 11063
+ ADD *AR3+0%, 11, B ; 11064
+ ADD *AR3+0%, 12, A, A ; 11065
+ ADD *AR3+0%, 12, A, B ; 11066
+ ADD *AR3+0%, 12, A ; 11067
+ ADD *AR3+0%, 12, B, A ; 11068
+ ADD *AR3+0%, 12, B, B ; 11069
+ ADD *AR3+0%, 12, B ; 11070
+ ADD *AR3+0%, 13, A, A ; 11071
+ ADD *AR3+0%, 13, A, B ; 11072
+ ADD *AR3+0%, 13, A ; 11073
+ ADD *AR3+0%, 13, B, A ; 11074
+ ADD *AR3+0%, 13, B, B ; 11075
+ ADD *AR3+0%, 13, B ; 11076
+ ADD *AR3+0%, 14, A, A ; 11077
+ ADD *AR3+0%, 14, A, B ; 11078
+ ADD *AR3+0%, 14, A ; 11079
+ ADD *AR3+0%, 14, B, A ; 11080
+ ADD *AR3+0%, 14, B, B ; 11081
+ ADD *AR3+0%, 14, B ; 11082
+ ADD *AR3+0%, 15, A, A ; 11083
+ ADD *AR3+0%, 15, A, B ; 11084
+ ADD *AR3+0%, 15, A ; 11085
+ ADD *AR3+0%, 15, B, A ; 11086
+ ADD *AR3+0%, 15, B, B ; 11087
+ ADD *AR3+0%, 15, B ; 11088
+ ADD *AR3(00B8Dh), A, A ; 11089
+ ADD *AR3(00B8Eh), A, B ; 11090
+ ADD *AR3(00B8Fh), A ; 11091
+ ADD *AR3(00B90h), B, A ; 11092
+ ADD *AR3(00B91h), B, B ; 11093
+ ADD *AR3(00B92h), B ; 11094
+ ADD *AR3(00B93h), -16, A, A ; 11095
+ ADD *AR3(00B94h), -16, A, B ; 11096
+ ADD *AR3(00B95h), -16, A ; 11097
+ ADD *AR3(00B96h), -16, B, A ; 11098
+ ADD *AR3(00B97h), -16, B, B ; 11099
+ ADD *AR3(00B98h), -16, B ; 11100
+ ADD *AR3(00B99h), -15, A, A ; 11101
+ ADD *AR3(00B9Ah), -15, A, B ; 11102
+ ADD *AR3(00B9Bh), -15, A ; 11103
+ ADD *AR3(00B9Ch), -15, B, A ; 11104
+ ADD *AR3(00B9Dh), -15, B, B ; 11105
+ ADD *AR3(00B9Eh), -15, B ; 11106
+ ADD *AR3(00B9Fh), -14, A, A ; 11107
+ ADD *AR3(00BA0h), -14, A, B ; 11108
+ ADD *AR3(00BA1h), -14, A ; 11109
+ ADD *AR3(00BA2h), -14, B, A ; 11110
+ ADD *AR3(00BA3h), -14, B, B ; 11111
+ ADD *AR3(00BA4h), -14, B ; 11112
+ ADD *AR3(00BA5h), -13, A, A ; 11113
+ ADD *AR3(00BA6h), -13, A, B ; 11114
+ ADD *AR3(00BA7h), -13, A ; 11115
+ ADD *AR3(00BA8h), -13, B, A ; 11116
+ ADD *AR3(00BA9h), -13, B, B ; 11117
+ ADD *AR3(00BAAh), -13, B ; 11118
+ ADD *AR3(00BABh), -12, A, A ; 11119
+ ADD *AR3(00BACh), -12, A, B ; 11120
+ ADD *AR3(00BADh), -12, A ; 11121
+ ADD *AR3(00BAEh), -12, B, A ; 11122
+ ADD *AR3(00BAFh), -12, B, B ; 11123
+ ADD *AR3(00BB0h), -12, B ; 11124
+ ADD *AR3(00BB1h), -11, A, A ; 11125
+ ADD *AR3(00BB2h), -11, A, B ; 11126
+ ADD *AR3(00BB3h), -11, A ; 11127
+ ADD *AR3(00BB4h), -11, B, A ; 11128
+ ADD *AR3(00BB5h), -11, B, B ; 11129
+ ADD *AR3(00BB6h), -11, B ; 11130
+ ADD *AR3(00BB7h), -10, A, A ; 11131
+ ADD *AR3(00BB8h), -10, A, B ; 11132
+ ADD *AR3(00BB9h), -10, A ; 11133
+ ADD *AR3(00BBAh), -10, B, A ; 11134
+ ADD *AR3(00BBBh), -10, B, B ; 11135
+ ADD *AR3(00BBCh), -10, B ; 11136
+ ADD *AR3(00BBDh), -9, A, A ; 11137
+ ADD *AR3(00BBEh), -9, A, B ; 11138
+ ADD *AR3(00BBFh), -9, A ; 11139
+ ADD *AR3(00BC0h), -9, B, A ; 11140
+ ADD *AR3(00BC1h), -9, B, B ; 11141
+ ADD *AR3(00BC2h), -9, B ; 11142
+ ADD *AR3(00BC3h), -8, A, A ; 11143
+ ADD *AR3(00BC4h), -8, A, B ; 11144
+ ADD *AR3(00BC5h), -8, A ; 11145
+ ADD *AR3(00BC6h), -8, B, A ; 11146
+ ADD *AR3(00BC7h), -8, B, B ; 11147
+ ADD *AR3(00BC8h), -8, B ; 11148
+ ADD *AR3(00BC9h), -7, A, A ; 11149
+ ADD *AR3(00BCAh), -7, A, B ; 11150
+ ADD *AR3(00BCBh), -7, A ; 11151
+ ADD *AR3(00BCCh), -7, B, A ; 11152
+ ADD *AR3(00BCDh), -7, B, B ; 11153
+ ADD *AR3(00BCEh), -7, B ; 11154
+ ADD *AR3(00BCFh), -6, A, A ; 11155
+ ADD *AR3(00BD0h), -6, A, B ; 11156
+ ADD *AR3(00BD1h), -6, A ; 11157
+ ADD *AR3(00BD2h), -6, B, A ; 11158
+ ADD *AR3(00BD3h), -6, B, B ; 11159
+ ADD *AR3(00BD4h), -6, B ; 11160
+ ADD *AR3(00BD5h), -5, A, A ; 11161
+ ADD *AR3(00BD6h), -5, A, B ; 11162
+ ADD *AR3(00BD7h), -5, A ; 11163
+ ADD *AR3(00BD8h), -5, B, A ; 11164
+ ADD *AR3(00BD9h), -5, B, B ; 11165
+ ADD *AR3(00BDAh), -5, B ; 11166
+ ADD *AR3(00BDBh), -4, A, A ; 11167
+ ADD *AR3(00BDCh), -4, A, B ; 11168
+ ADD *AR3(00BDDh), -4, A ; 11169
+ ADD *AR3(00BDEh), -4, B, A ; 11170
+ ADD *AR3(00BDFh), -4, B, B ; 11171
+ ADD *AR3(00BE0h), -4, B ; 11172
+ ADD *AR3(00BE1h), -3, A, A ; 11173
+ ADD *AR3(00BE2h), -3, A, B ; 11174
+ ADD *AR3(00BE3h), -3, A ; 11175
+ ADD *AR3(00BE4h), -3, B, A ; 11176
+ ADD *AR3(00BE5h), -3, B, B ; 11177
+ ADD *AR3(00BE6h), -3, B ; 11178
+ ADD *AR3(00BE7h), -2, A, A ; 11179
+ ADD *AR3(00BE8h), -2, A, B ; 11180
+ ADD *AR3(00BE9h), -2, A ; 11181
+ ADD *AR3(00BEAh), -2, B, A ; 11182
+ ADD *AR3(00BEBh), -2, B, B ; 11183
+ ADD *AR3(00BECh), -2, B ; 11184
+ ADD *AR3(00BEDh), -1, A, A ; 11185
+ ADD *AR3(00BEEh), -1, A, B ; 11186
+ ADD *AR3(00BEFh), -1, A ; 11187
+ ADD *AR3(00BF0h), -1, B, A ; 11188
+ ADD *AR3(00BF1h), -1, B, B ; 11189
+ ADD *AR3(00BF2h), -1, B ; 11190
+ ADD *AR3(00BF3h), 0, A, A ; 11191
+ ADD *AR3(00BF4h), 0, A, B ; 11192
+ ADD *AR3(00BF5h), 0, A ; 11193
+ ADD *AR3(00BF6h), 0, B, A ; 11194
+ ADD *AR3(00BF7h), 0, B, B ; 11195
+ ADD *AR3(00BF8h), 0, B ; 11196
+ ADD *AR3(00BF9h), 1, A, A ; 11197
+ ADD *AR3(00BFAh), 1, A, B ; 11198
+ ADD *AR3(00BFBh), 1, A ; 11199
+ ADD *AR3(00BFCh), 1, B, A ; 11200
+ ADD *AR3(00BFDh), 1, B, B ; 11201
+ ADD *AR3(00BFEh), 1, B ; 11202
+ ADD *AR3(00BFFh), 2, A, A ; 11203
+ ADD *AR3(00C00h), 2, A, B ; 11204
+ ADD *AR3(00C01h), 2, A ; 11205
+ ADD *AR3(00C02h), 2, B, A ; 11206
+ ADD *AR3(00C03h), 2, B, B ; 11207
+ ADD *AR3(00C04h), 2, B ; 11208
+ ADD *AR3(00C05h), 3, A, A ; 11209
+ ADD *AR3(00C06h), 3, A, B ; 11210
+ ADD *AR3(00C07h), 3, A ; 11211
+ ADD *AR3(00C08h), 3, B, A ; 11212
+ ADD *AR3(00C09h), 3, B, B ; 11213
+ ADD *AR3(00C0Ah), 3, B ; 11214
+ ADD *AR3(00C0Bh), 4, A, A ; 11215
+ ADD *AR3(00C0Ch), 4, A, B ; 11216
+ ADD *AR3(00C0Dh), 4, A ; 11217
+ ADD *AR3(00C0Eh), 4, B, A ; 11218
+ ADD *AR3(00C0Fh), 4, B, B ; 11219
+ ADD *AR3(00C10h), 4, B ; 11220
+ ADD *AR3(00C11h), 5, A, A ; 11221
+ ADD *AR3(00C12h), 5, A, B ; 11222
+ ADD *AR3(00C13h), 5, A ; 11223
+ ADD *AR3(00C14h), 5, B, A ; 11224
+ ADD *AR3(00C15h), 5, B, B ; 11225
+ ADD *AR3(00C16h), 5, B ; 11226
+ ADD *AR3(00C17h), 6, A, A ; 11227
+ ADD *AR3(00C18h), 6, A, B ; 11228
+ ADD *AR3(00C19h), 6, A ; 11229
+ ADD *AR3(00C1Ah), 6, B, A ; 11230
+ ADD *AR3(00C1Bh), 6, B, B ; 11231
+ ADD *AR3(00C1Ch), 6, B ; 11232
+ ADD *AR3(00C1Dh), 7, A, A ; 11233
+ ADD *AR3(00C1Eh), 7, A, B ; 11234
+ ADD *AR3(00C1Fh), 7, A ; 11235
+ ADD *AR3(00C20h), 7, B, A ; 11236
+ ADD *AR3(00C21h), 7, B, B ; 11237
+ ADD *AR3(00C22h), 7, B ; 11238
+ ADD *AR3(00C23h), 8, A, A ; 11239
+ ADD *AR3(00C24h), 8, A, B ; 11240
+ ADD *AR3(00C25h), 8, A ; 11241
+ ADD *AR3(00C26h), 8, B, A ; 11242
+ ADD *AR3(00C27h), 8, B, B ; 11243
+ ADD *AR3(00C28h), 8, B ; 11244
+ ADD *AR3(00C29h), 9, A, A ; 11245
+ ADD *AR3(00C2Ah), 9, A, B ; 11246
+ ADD *AR3(00C2Bh), 9, A ; 11247
+ ADD *AR3(00C2Ch), 9, B, A ; 11248
+ ADD *AR3(00C2Dh), 9, B, B ; 11249
+ ADD *AR3(00C2Eh), 9, B ; 11250
+ ADD *AR3(00C2Fh), 10, A, A ; 11251
+ ADD *AR3(00C30h), 10, A, B ; 11252
+ ADD *AR3(00C31h), 10, A ; 11253
+ ADD *AR3(00C32h), 10, B, A ; 11254
+ ADD *AR3(00C33h), 10, B, B ; 11255
+ ADD *AR3(00C34h), 10, B ; 11256
+ ADD *AR3(00C35h), 11, A, A ; 11257
+ ADD *AR3(00C36h), 11, A, B ; 11258
+ ADD *AR3(00C37h), 11, A ; 11259
+ ADD *AR3(00C38h), 11, B, A ; 11260
+ ADD *AR3(00C39h), 11, B, B ; 11261
+ ADD *AR3(00C3Ah), 11, B ; 11262
+ ADD *AR3(00C3Bh), 12, A, A ; 11263
+ ADD *AR3(00C3Ch), 12, A, B ; 11264
+ ADD *AR3(00C3Dh), 12, A ; 11265
+ ADD *AR3(00C3Eh), 12, B, A ; 11266
+ ADD *AR3(00C3Fh), 12, B, B ; 11267
+ ADD *AR3(00C40h), 12, B ; 11268
+ ADD *AR3(00C41h), 13, A, A ; 11269
+ ADD *AR3(00C42h), 13, A, B ; 11270
+ ADD *AR3(00C43h), 13, A ; 11271
+ ADD *AR3(00C44h), 13, B, A ; 11272
+ ADD *AR3(00C45h), 13, B, B ; 11273
+ ADD *AR3(00C46h), 13, B ; 11274
+ ADD *AR3(00C47h), 14, A, A ; 11275
+ ADD *AR3(00C48h), 14, A, B ; 11276
+ ADD *AR3(00C49h), 14, A ; 11277
+ ADD *AR3(00C4Ah), 14, B, A ; 11278
+ ADD *AR3(00C4Bh), 14, B, B ; 11279
+ ADD *AR3(00C4Ch), 14, B ; 11280
+ ADD *AR3(00C4Dh), 15, A, A ; 11281
+ ADD *AR3(00C4Eh), 15, A, B ; 11282
+ ADD *AR3(00C4Fh), 15, A ; 11283
+ ADD *AR3(00C50h), 15, B, A ; 11284
+ ADD *AR3(00C51h), 15, B, B ; 11285
+ ADD *AR3(00C52h), 15, B ; 11286
+ ADD *+AR3(00C53h), A, A ; 11287
+ ADD *+AR3(00C54h), A, B ; 11288
+ ADD *+AR3(00C55h), A ; 11289
+ ADD *+AR3(00C56h), B, A ; 11290
+ ADD *+AR3(00C57h), B, B ; 11291
+ ADD *+AR3(00C58h), B ; 11292
+ ADD *+AR3(00C59h), -16, A, A ; 11293
+ ADD *+AR3(00C5Ah), -16, A, B ; 11294
+ ADD *+AR3(00C5Bh), -16, A ; 11295
+ ADD *+AR3(00C5Ch), -16, B, A ; 11296
+ ADD *+AR3(00C5Dh), -16, B, B ; 11297
+ ADD *+AR3(00C5Eh), -16, B ; 11298
+ ADD *+AR3(00C5Fh), -15, A, A ; 11299
+ ADD *+AR3(00C60h), -15, A, B ; 11300
+ ADD *+AR3(00C61h), -15, A ; 11301
+ ADD *+AR3(00C62h), -15, B, A ; 11302
+ ADD *+AR3(00C63h), -15, B, B ; 11303
+ ADD *+AR3(00C64h), -15, B ; 11304
+ ADD *+AR3(00C65h), -14, A, A ; 11305
+ ADD *+AR3(00C66h), -14, A, B ; 11306
+ ADD *+AR3(00C67h), -14, A ; 11307
+ ADD *+AR3(00C68h), -14, B, A ; 11308
+ ADD *+AR3(00C69h), -14, B, B ; 11309
+ ADD *+AR3(00C6Ah), -14, B ; 11310
+ ADD *+AR3(00C6Bh), -13, A, A ; 11311
+ ADD *+AR3(00C6Ch), -13, A, B ; 11312
+ ADD *+AR3(00C6Dh), -13, A ; 11313
+ ADD *+AR3(00C6Eh), -13, B, A ; 11314
+ ADD *+AR3(00C6Fh), -13, B, B ; 11315
+ ADD *+AR3(00C70h), -13, B ; 11316
+ ADD *+AR3(00C71h), -12, A, A ; 11317
+ ADD *+AR3(00C72h), -12, A, B ; 11318
+ ADD *+AR3(00C73h), -12, A ; 11319
+ ADD *+AR3(00C74h), -12, B, A ; 11320
+ ADD *+AR3(00C75h), -12, B, B ; 11321
+ ADD *+AR3(00C76h), -12, B ; 11322
+ ADD *+AR3(00C77h), -11, A, A ; 11323
+ ADD *+AR3(00C78h), -11, A, B ; 11324
+ ADD *+AR3(00C79h), -11, A ; 11325
+ ADD *+AR3(00C7Ah), -11, B, A ; 11326
+ ADD *+AR3(00C7Bh), -11, B, B ; 11327
+ ADD *+AR3(00C7Ch), -11, B ; 11328
+ ADD *+AR3(00C7Dh), -10, A, A ; 11329
+ ADD *+AR3(00C7Eh), -10, A, B ; 11330
+ ADD *+AR3(00C7Fh), -10, A ; 11331
+ ADD *+AR3(00C80h), -10, B, A ; 11332
+ ADD *+AR3(00C81h), -10, B, B ; 11333
+ ADD *+AR3(00C82h), -10, B ; 11334
+ ADD *+AR3(00C83h), -9, A, A ; 11335
+ ADD *+AR3(00C84h), -9, A, B ; 11336
+ ADD *+AR3(00C85h), -9, A ; 11337
+ ADD *+AR3(00C86h), -9, B, A ; 11338
+ ADD *+AR3(00C87h), -9, B, B ; 11339
+ ADD *+AR3(00C88h), -9, B ; 11340
+ ADD *+AR3(00C89h), -8, A, A ; 11341
+ ADD *+AR3(00C8Ah), -8, A, B ; 11342
+ ADD *+AR3(00C8Bh), -8, A ; 11343
+ ADD *+AR3(00C8Ch), -8, B, A ; 11344
+ ADD *+AR3(00C8Dh), -8, B, B ; 11345
+ ADD *+AR3(00C8Eh), -8, B ; 11346
+ ADD *+AR3(00C8Fh), -7, A, A ; 11347
+ ADD *+AR3(00C90h), -7, A, B ; 11348
+ ADD *+AR3(00C91h), -7, A ; 11349
+ ADD *+AR3(00C92h), -7, B, A ; 11350
+ ADD *+AR3(00C93h), -7, B, B ; 11351
+ ADD *+AR3(00C94h), -7, B ; 11352
+ ADD *+AR3(00C95h), -6, A, A ; 11353
+ ADD *+AR3(00C96h), -6, A, B ; 11354
+ ADD *+AR3(00C97h), -6, A ; 11355
+ ADD *+AR3(00C98h), -6, B, A ; 11356
+ ADD *+AR3(00C99h), -6, B, B ; 11357
+ ADD *+AR3(00C9Ah), -6, B ; 11358
+ ADD *+AR3(00C9Bh), -5, A, A ; 11359
+ ADD *+AR3(00C9Ch), -5, A, B ; 11360
+ ADD *+AR3(00C9Dh), -5, A ; 11361
+ ADD *+AR3(00C9Eh), -5, B, A ; 11362
+ ADD *+AR3(00C9Fh), -5, B, B ; 11363
+ ADD *+AR3(00CA0h), -5, B ; 11364
+ ADD *+AR3(00CA1h), -4, A, A ; 11365
+ ADD *+AR3(00CA2h), -4, A, B ; 11366
+ ADD *+AR3(00CA3h), -4, A ; 11367
+ ADD *+AR3(00CA4h), -4, B, A ; 11368
+ ADD *+AR3(00CA5h), -4, B, B ; 11369
+ ADD *+AR3(00CA6h), -4, B ; 11370
+ ADD *+AR3(00CA7h), -3, A, A ; 11371
+ ADD *+AR3(00CA8h), -3, A, B ; 11372
+ ADD *+AR3(00CA9h), -3, A ; 11373
+ ADD *+AR3(00CAAh), -3, B, A ; 11374
+ ADD *+AR3(00CABh), -3, B, B ; 11375
+ ADD *+AR3(00CACh), -3, B ; 11376
+ ADD *+AR3(00CADh), -2, A, A ; 11377
+ ADD *+AR3(00CAEh), -2, A, B ; 11378
+ ADD *+AR3(00CAFh), -2, A ; 11379
+ ADD *+AR3(00CB0h), -2, B, A ; 11380
+ ADD *+AR3(00CB1h), -2, B, B ; 11381
+ ADD *+AR3(00CB2h), -2, B ; 11382
+ ADD *+AR3(00CB3h), -1, A, A ; 11383
+ ADD *+AR3(00CB4h), -1, A, B ; 11384
+ ADD *+AR3(00CB5h), -1, A ; 11385
+ ADD *+AR3(00CB6h), -1, B, A ; 11386
+ ADD *+AR3(00CB7h), -1, B, B ; 11387
+ ADD *+AR3(00CB8h), -1, B ; 11388
+ ADD *+AR3(00CB9h), 0, A, A ; 11389
+ ADD *+AR3(00CBAh), 0, A, B ; 11390
+ ADD *+AR3(00CBBh), 0, A ; 11391
+ ADD *+AR3(00CBCh), 0, B, A ; 11392
+ ADD *+AR3(00CBDh), 0, B, B ; 11393
+ ADD *+AR3(00CBEh), 0, B ; 11394
+ ADD *+AR3(00CBFh), 1, A, A ; 11395
+ ADD *+AR3(00CC0h), 1, A, B ; 11396
+ ADD *+AR3(00CC1h), 1, A ; 11397
+ ADD *+AR3(00CC2h), 1, B, A ; 11398
+ ADD *+AR3(00CC3h), 1, B, B ; 11399
+ ADD *+AR3(00CC4h), 1, B ; 11400
+ ADD *+AR3(00CC5h), 2, A, A ; 11401
+ ADD *+AR3(00CC6h), 2, A, B ; 11402
+ ADD *+AR3(00CC7h), 2, A ; 11403
+ ADD *+AR3(00CC8h), 2, B, A ; 11404
+ ADD *+AR3(00CC9h), 2, B, B ; 11405
+ ADD *+AR3(00CCAh), 2, B ; 11406
+ ADD *+AR3(00CCBh), 3, A, A ; 11407
+ ADD *+AR3(00CCCh), 3, A, B ; 11408
+ ADD *+AR3(00CCDh), 3, A ; 11409
+ ADD *+AR3(00CCEh), 3, B, A ; 11410
+ ADD *+AR3(00CCFh), 3, B, B ; 11411
+ ADD *+AR3(00CD0h), 3, B ; 11412
+ ADD *+AR3(00CD1h), 4, A, A ; 11413
+ ADD *+AR3(00CD2h), 4, A, B ; 11414
+ ADD *+AR3(00CD3h), 4, A ; 11415
+ ADD *+AR3(00CD4h), 4, B, A ; 11416
+ ADD *+AR3(00CD5h), 4, B, B ; 11417
+ ADD *+AR3(00CD6h), 4, B ; 11418
+ ADD *+AR3(00CD7h), 5, A, A ; 11419
+ ADD *+AR3(00CD8h), 5, A, B ; 11420
+ ADD *+AR3(00CD9h), 5, A ; 11421
+ ADD *+AR3(00CDAh), 5, B, A ; 11422
+ ADD *+AR3(00CDBh), 5, B, B ; 11423
+ ADD *+AR3(00CDCh), 5, B ; 11424
+ ADD *+AR3(00CDDh), 6, A, A ; 11425
+ ADD *+AR3(00CDEh), 6, A, B ; 11426
+ ADD *+AR3(00CDFh), 6, A ; 11427
+ ADD *+AR3(00CE0h), 6, B, A ; 11428
+ ADD *+AR3(00CE1h), 6, B, B ; 11429
+ ADD *+AR3(00CE2h), 6, B ; 11430
+ ADD *+AR3(00CE3h), 7, A, A ; 11431
+ ADD *+AR3(00CE4h), 7, A, B ; 11432
+ ADD *+AR3(00CE5h), 7, A ; 11433
+ ADD *+AR3(00CE6h), 7, B, A ; 11434
+ ADD *+AR3(00CE7h), 7, B, B ; 11435
+ ADD *+AR3(00CE8h), 7, B ; 11436
+ ADD *+AR3(00CE9h), 8, A, A ; 11437
+ ADD *+AR3(00CEAh), 8, A, B ; 11438
+ ADD *+AR3(00CEBh), 8, A ; 11439
+ ADD *+AR3(00CECh), 8, B, A ; 11440
+ ADD *+AR3(00CEDh), 8, B, B ; 11441
+ ADD *+AR3(00CEEh), 8, B ; 11442
+ ADD *+AR3(00CEFh), 9, A, A ; 11443
+ ADD *+AR3(00CF0h), 9, A, B ; 11444
+ ADD *+AR3(00CF1h), 9, A ; 11445
+ ADD *+AR3(00CF2h), 9, B, A ; 11446
+ ADD *+AR3(00CF3h), 9, B, B ; 11447
+ ADD *+AR3(00CF4h), 9, B ; 11448
+ ADD *+AR3(00CF5h), 10, A, A ; 11449
+ ADD *+AR3(00CF6h), 10, A, B ; 11450
+ ADD *+AR3(00CF7h), 10, A ; 11451
+ ADD *+AR3(00CF8h), 10, B, A ; 11452
+ ADD *+AR3(00CF9h), 10, B, B ; 11453
+ ADD *+AR3(00CFAh), 10, B ; 11454
+ ADD *+AR3(00CFBh), 11, A, A ; 11455
+ ADD *+AR3(00CFCh), 11, A, B ; 11456
+ ADD *+AR3(00CFDh), 11, A ; 11457
+ ADD *+AR3(00CFEh), 11, B, A ; 11458
+ ADD *+AR3(00CFFh), 11, B, B ; 11459
+ ADD *+AR3(00D00h), 11, B ; 11460
+ ADD *+AR3(00D01h), 12, A, A ; 11461
+ ADD *+AR3(00D02h), 12, A, B ; 11462
+ ADD *+AR3(00D03h), 12, A ; 11463
+ ADD *+AR3(00D04h), 12, B, A ; 11464
+ ADD *+AR3(00D05h), 12, B, B ; 11465
+ ADD *+AR3(00D06h), 12, B ; 11466
+ ADD *+AR3(00D07h), 13, A, A ; 11467
+ ADD *+AR3(00D08h), 13, A, B ; 11468
+ ADD *+AR3(00D09h), 13, A ; 11469
+ ADD *+AR3(00D0Ah), 13, B, A ; 11470
+ ADD *+AR3(00D0Bh), 13, B, B ; 11471
+ ADD *+AR3(00D0Ch), 13, B ; 11472
+ ADD *+AR3(00D0Dh), 14, A, A ; 11473
+ ADD *+AR3(00D0Eh), 14, A, B ; 11474
+ ADD *+AR3(00D0Fh), 14, A ; 11475
+ ADD *+AR3(00D10h), 14, B, A ; 11476
+ ADD *+AR3(00D11h), 14, B, B ; 11477
+ ADD *+AR3(00D12h), 14, B ; 11478
+ ADD *+AR3(00D13h), 15, A, A ; 11479
+ ADD *+AR3(00D14h), 15, A, B ; 11480
+ ADD *+AR3(00D15h), 15, A ; 11481
+ ADD *+AR3(00D16h), 15, B, A ; 11482
+ ADD *+AR3(00D17h), 15, B, B ; 11483
+ ADD *+AR3(00D18h), 15, B ; 11484
+ ADD *+AR3(00D19h)%, A, A ; 11485
+ ADD *+AR3(00D1Ah)%, A, B ; 11486
+ ADD *+AR3(00D1Bh)%, A ; 11487
+ ADD *+AR3(00D1Ch)%, B, A ; 11488
+ ADD *+AR3(00D1Dh)%, B, B ; 11489
+ ADD *+AR3(00D1Eh)%, B ; 11490
+ ADD *+AR3(00D1Fh)%, -16, A, A ; 11491
+ ADD *+AR3(00D20h)%, -16, A, B ; 11492
+ ADD *+AR3(00D21h)%, -16, A ; 11493
+ ADD *+AR3(00D22h)%, -16, B, A ; 11494
+ ADD *+AR3(00D23h)%, -16, B, B ; 11495
+ ADD *+AR3(00D24h)%, -16, B ; 11496
+ ADD *+AR3(00D25h)%, -15, A, A ; 11497
+ ADD *+AR3(00D26h)%, -15, A, B ; 11498
+ ADD *+AR3(00D27h)%, -15, A ; 11499
+ ADD *+AR3(00D28h)%, -15, B, A ; 11500
+ ADD *+AR3(00D29h)%, -15, B, B ; 11501
+ ADD *+AR3(00D2Ah)%, -15, B ; 11502
+ ADD *+AR3(00D2Bh)%, -14, A, A ; 11503
+ ADD *+AR3(00D2Ch)%, -14, A, B ; 11504
+ ADD *+AR3(00D2Dh)%, -14, A ; 11505
+ ADD *+AR3(00D2Eh)%, -14, B, A ; 11506
+ ADD *+AR3(00D2Fh)%, -14, B, B ; 11507
+ ADD *+AR3(00D30h)%, -14, B ; 11508
+ ADD *+AR3(00D31h)%, -13, A, A ; 11509
+ ADD *+AR3(00D32h)%, -13, A, B ; 11510
+ ADD *+AR3(00D33h)%, -13, A ; 11511
+ ADD *+AR3(00D34h)%, -13, B, A ; 11512
+ ADD *+AR3(00D35h)%, -13, B, B ; 11513
+ ADD *+AR3(00D36h)%, -13, B ; 11514
+ ADD *+AR3(00D37h)%, -12, A, A ; 11515
+ ADD *+AR3(00D38h)%, -12, A, B ; 11516
+ ADD *+AR3(00D39h)%, -12, A ; 11517
+ ADD *+AR3(00D3Ah)%, -12, B, A ; 11518
+ ADD *+AR3(00D3Bh)%, -12, B, B ; 11519
+ ADD *+AR3(00D3Ch)%, -12, B ; 11520
+ ADD *+AR3(00D3Dh)%, -11, A, A ; 11521
+ ADD *+AR3(00D3Eh)%, -11, A, B ; 11522
+ ADD *+AR3(00D3Fh)%, -11, A ; 11523
+ ADD *+AR3(00D40h)%, -11, B, A ; 11524
+ ADD *+AR3(00D41h)%, -11, B, B ; 11525
+ ADD *+AR3(00D42h)%, -11, B ; 11526
+ ADD *+AR3(00D43h)%, -10, A, A ; 11527
+ ADD *+AR3(00D44h)%, -10, A, B ; 11528
+ ADD *+AR3(00D45h)%, -10, A ; 11529
+ ADD *+AR3(00D46h)%, -10, B, A ; 11530
+ ADD *+AR3(00D47h)%, -10, B, B ; 11531
+ ADD *+AR3(00D48h)%, -10, B ; 11532
+ ADD *+AR3(00D49h)%, -9, A, A ; 11533
+ ADD *+AR3(00D4Ah)%, -9, A, B ; 11534
+ ADD *+AR3(00D4Bh)%, -9, A ; 11535
+ ADD *+AR3(00D4Ch)%, -9, B, A ; 11536
+ ADD *+AR3(00D4Dh)%, -9, B, B ; 11537
+ ADD *+AR3(00D4Eh)%, -9, B ; 11538
+ ADD *+AR3(00D4Fh)%, -8, A, A ; 11539
+ ADD *+AR3(00D50h)%, -8, A, B ; 11540
+ ADD *+AR3(00D51h)%, -8, A ; 11541
+ ADD *+AR3(00D52h)%, -8, B, A ; 11542
+ ADD *+AR3(00D53h)%, -8, B, B ; 11543
+ ADD *+AR3(00D54h)%, -8, B ; 11544
+ ADD *+AR3(00D55h)%, -7, A, A ; 11545
+ ADD *+AR3(00D56h)%, -7, A, B ; 11546
+ ADD *+AR3(00D57h)%, -7, A ; 11547
+ ADD *+AR3(00D58h)%, -7, B, A ; 11548
+ ADD *+AR3(00D59h)%, -7, B, B ; 11549
+ ADD *+AR3(00D5Ah)%, -7, B ; 11550
+ ADD *+AR3(00D5Bh)%, -6, A, A ; 11551
+ ADD *+AR3(00D5Ch)%, -6, A, B ; 11552
+ ADD *+AR3(00D5Dh)%, -6, A ; 11553
+ ADD *+AR3(00D5Eh)%, -6, B, A ; 11554
+ ADD *+AR3(00D5Fh)%, -6, B, B ; 11555
+ ADD *+AR3(00D60h)%, -6, B ; 11556
+ ADD *+AR3(00D61h)%, -5, A, A ; 11557
+ ADD *+AR3(00D62h)%, -5, A, B ; 11558
+ ADD *+AR3(00D63h)%, -5, A ; 11559
+ ADD *+AR3(00D64h)%, -5, B, A ; 11560
+ ADD *+AR3(00D65h)%, -5, B, B ; 11561
+ ADD *+AR3(00D66h)%, -5, B ; 11562
+ ADD *+AR3(00D67h)%, -4, A, A ; 11563
+ ADD *+AR3(00D68h)%, -4, A, B ; 11564
+ ADD *+AR3(00D69h)%, -4, A ; 11565
+ ADD *+AR3(00D6Ah)%, -4, B, A ; 11566
+ ADD *+AR3(00D6Bh)%, -4, B, B ; 11567
+ ADD *+AR3(00D6Ch)%, -4, B ; 11568
+ ADD *+AR3(00D6Dh)%, -3, A, A ; 11569
+ ADD *+AR3(00D6Eh)%, -3, A, B ; 11570
+ ADD *+AR3(00D6Fh)%, -3, A ; 11571
+ ADD *+AR3(00D70h)%, -3, B, A ; 11572
+ ADD *+AR3(00D71h)%, -3, B, B ; 11573
+ ADD *+AR3(00D72h)%, -3, B ; 11574
+ ADD *+AR3(00D73h)%, -2, A, A ; 11575
+ ADD *+AR3(00D74h)%, -2, A, B ; 11576
+ ADD *+AR3(00D75h)%, -2, A ; 11577
+ ADD *+AR3(00D76h)%, -2, B, A ; 11578
+ ADD *+AR3(00D77h)%, -2, B, B ; 11579
+ ADD *+AR3(00D78h)%, -2, B ; 11580
+ ADD *+AR3(00D79h)%, -1, A, A ; 11581
+ ADD *+AR3(00D7Ah)%, -1, A, B ; 11582
+ ADD *+AR3(00D7Bh)%, -1, A ; 11583
+ ADD *+AR3(00D7Ch)%, -1, B, A ; 11584
+ ADD *+AR3(00D7Dh)%, -1, B, B ; 11585
+ ADD *+AR3(00D7Eh)%, -1, B ; 11586
+ ADD *+AR3(00D7Fh)%, 0, A, A ; 11587
+ ADD *+AR3(00D80h)%, 0, A, B ; 11588
+ ADD *+AR3(00D81h)%, 0, A ; 11589
+ ADD *+AR3(00D82h)%, 0, B, A ; 11590
+ ADD *+AR3(00D83h)%, 0, B, B ; 11591
+ ADD *+AR3(00D84h)%, 0, B ; 11592
+ ADD *+AR3(00D85h)%, 1, A, A ; 11593
+ ADD *+AR3(00D86h)%, 1, A, B ; 11594
+ ADD *+AR3(00D87h)%, 1, A ; 11595
+ ADD *+AR3(00D88h)%, 1, B, A ; 11596
+ ADD *+AR3(00D89h)%, 1, B, B ; 11597
+ ADD *+AR3(00D8Ah)%, 1, B ; 11598
+ ADD *+AR3(00D8Bh)%, 2, A, A ; 11599
+ ADD *+AR3(00D8Ch)%, 2, A, B ; 11600
+ ADD *+AR3(00D8Dh)%, 2, A ; 11601
+ ADD *+AR3(00D8Eh)%, 2, B, A ; 11602
+ ADD *+AR3(00D8Fh)%, 2, B, B ; 11603
+ ADD *+AR3(00D90h)%, 2, B ; 11604
+ ADD *+AR3(00D91h)%, 3, A, A ; 11605
+ ADD *+AR3(00D92h)%, 3, A, B ; 11606
+ ADD *+AR3(00D93h)%, 3, A ; 11607
+ ADD *+AR3(00D94h)%, 3, B, A ; 11608
+ ADD *+AR3(00D95h)%, 3, B, B ; 11609
+ ADD *+AR3(00D96h)%, 3, B ; 11610
+ ADD *+AR3(00D97h)%, 4, A, A ; 11611
+ ADD *+AR3(00D98h)%, 4, A, B ; 11612
+ ADD *+AR3(00D99h)%, 4, A ; 11613
+ ADD *+AR3(00D9Ah)%, 4, B, A ; 11614
+ ADD *+AR3(00D9Bh)%, 4, B, B ; 11615
+ ADD *+AR3(00D9Ch)%, 4, B ; 11616
+ ADD *+AR3(00D9Dh)%, 5, A, A ; 11617
+ ADD *+AR3(00D9Eh)%, 5, A, B ; 11618
+ ADD *+AR3(00D9Fh)%, 5, A ; 11619
+ ADD *+AR3(00DA0h)%, 5, B, A ; 11620
+ ADD *+AR3(00DA1h)%, 5, B, B ; 11621
+ ADD *+AR3(00DA2h)%, 5, B ; 11622
+ ADD *+AR3(00DA3h)%, 6, A, A ; 11623
+ ADD *+AR3(00DA4h)%, 6, A, B ; 11624
+ ADD *+AR3(00DA5h)%, 6, A ; 11625
+ ADD *+AR3(00DA6h)%, 6, B, A ; 11626
+ ADD *+AR3(00DA7h)%, 6, B, B ; 11627
+ ADD *+AR3(00DA8h)%, 6, B ; 11628
+ ADD *+AR3(00DA9h)%, 7, A, A ; 11629
+ ADD *+AR3(00DAAh)%, 7, A, B ; 11630
+ ADD *+AR3(00DABh)%, 7, A ; 11631
+ ADD *+AR3(00DACh)%, 7, B, A ; 11632
+ ADD *+AR3(00DADh)%, 7, B, B ; 11633
+ ADD *+AR3(00DAEh)%, 7, B ; 11634
+ ADD *+AR3(00DAFh)%, 8, A, A ; 11635
+ ADD *+AR3(00DB0h)%, 8, A, B ; 11636
+ ADD *+AR3(00DB1h)%, 8, A ; 11637
+ ADD *+AR3(00DB2h)%, 8, B, A ; 11638
+ ADD *+AR3(00DB3h)%, 8, B, B ; 11639
+ ADD *+AR3(00DB4h)%, 8, B ; 11640
+ ADD *+AR3(00DB5h)%, 9, A, A ; 11641
+ ADD *+AR3(00DB6h)%, 9, A, B ; 11642
+ ADD *+AR3(00DB7h)%, 9, A ; 11643
+ ADD *+AR3(00DB8h)%, 9, B, A ; 11644
+ ADD *+AR3(00DB9h)%, 9, B, B ; 11645
+ ADD *+AR3(00DBAh)%, 9, B ; 11646
+ ADD *+AR3(00DBBh)%, 10, A, A ; 11647
+ ADD *+AR3(00DBCh)%, 10, A, B ; 11648
+ ADD *+AR3(00DBDh)%, 10, A ; 11649
+ ADD *+AR3(00DBEh)%, 10, B, A ; 11650
+ ADD *+AR3(00DBFh)%, 10, B, B ; 11651
+ ADD *+AR3(00DC0h)%, 10, B ; 11652
+ ADD *+AR3(00DC1h)%, 11, A, A ; 11653
+ ADD *+AR3(00DC2h)%, 11, A, B ; 11654
+ ADD *+AR3(00DC3h)%, 11, A ; 11655
+ ADD *+AR3(00DC4h)%, 11, B, A ; 11656
+ ADD *+AR3(00DC5h)%, 11, B, B ; 11657
+ ADD *+AR3(00DC6h)%, 11, B ; 11658
+ ADD *+AR3(00DC7h)%, 12, A, A ; 11659
+ ADD *+AR3(00DC8h)%, 12, A, B ; 11660
+ ADD *+AR3(00DC9h)%, 12, A ; 11661
+ ADD *+AR3(00DCAh)%, 12, B, A ; 11662
+ ADD *+AR3(00DCBh)%, 12, B, B ; 11663
+ ADD *+AR3(00DCCh)%, 12, B ; 11664
+ ADD *+AR3(00DCDh)%, 13, A, A ; 11665
+ ADD *+AR3(00DCEh)%, 13, A, B ; 11666
+ ADD *+AR3(00DCFh)%, 13, A ; 11667
+ ADD *+AR3(00DD0h)%, 13, B, A ; 11668
+ ADD *+AR3(00DD1h)%, 13, B, B ; 11669
+ ADD *+AR3(00DD2h)%, 13, B ; 11670
+ ADD *+AR3(00DD3h)%, 14, A, A ; 11671
+ ADD *+AR3(00DD4h)%, 14, A, B ; 11672
+ ADD *+AR3(00DD5h)%, 14, A ; 11673
+ ADD *+AR3(00DD6h)%, 14, B, A ; 11674
+ ADD *+AR3(00DD7h)%, 14, B, B ; 11675
+ ADD *+AR3(00DD8h)%, 14, B ; 11676
+ ADD *+AR3(00DD9h)%, 15, A, A ; 11677
+ ADD *+AR3(00DDAh)%, 15, A, B ; 11678
+ ADD *+AR3(00DDBh)%, 15, A ; 11679
+ ADD *+AR3(00DDCh)%, 15, B, A ; 11680
+ ADD *+AR3(00DDDh)%, 15, B, B ; 11681
+ ADD *+AR3(00DDEh)%, 15, B ; 11682
+ ADD *(00DDFh), A, A ; 11683
+ ADD *(00DE0h), A, B ; 11684
+ ADD *(00DE1h), A ; 11685
+ ADD *(00DE2h), B, A ; 11686
+ ADD *(00DE3h), B, B ; 11687
+ ADD *(00DE4h), B ; 11688
+ ADD *(00DE5h), -16, A, A ; 11689
+ ADD *(00DE6h), -16, A, B ; 11690
+ ADD *(00DE7h), -16, A ; 11691
+ ADD *(00DE8h), -16, B, A ; 11692
+ ADD *(00DE9h), -16, B, B ; 11693
+ ADD *(00DEAh), -16, B ; 11694
+ ADD *(00DEBh), -15, A, A ; 11695
+ ADD *(00DECh), -15, A, B ; 11696
+ ADD *(00DEDh), -15, A ; 11697
+ ADD *(00DEEh), -15, B, A ; 11698
+ ADD *(00DEFh), -15, B, B ; 11699
+ ADD *(00DF0h), -15, B ; 11700
+ ADD *(00DF1h), -14, A, A ; 11701
+ ADD *(00DF2h), -14, A, B ; 11702
+ ADD *(00DF3h), -14, A ; 11703
+ ADD *(00DF4h), -14, B, A ; 11704
+ ADD *(00DF5h), -14, B, B ; 11705
+ ADD *(00DF6h), -14, B ; 11706
+ ADD *(00DF7h), -13, A, A ; 11707
+ ADD *(00DF8h), -13, A, B ; 11708
+ ADD *(00DF9h), -13, A ; 11709
+ ADD *(00DFAh), -13, B, A ; 11710
+ ADD *(00DFBh), -13, B, B ; 11711
+ ADD *(00DFCh), -13, B ; 11712
+ ADD *(00DFDh), -12, A, A ; 11713
+ ADD *(00DFEh), -12, A, B ; 11714
+ ADD *(00DFFh), -12, A ; 11715
+ ADD *(00E00h), -12, B, A ; 11716
+ ADD *(00E01h), -12, B, B ; 11717
+ ADD *(00E02h), -12, B ; 11718
+ ADD *(00E03h), -11, A, A ; 11719
+ ADD *(00E04h), -11, A, B ; 11720
+ ADD *(00E05h), -11, A ; 11721
+ ADD *(00E06h), -11, B, A ; 11722
+ ADD *(00E07h), -11, B, B ; 11723
+ ADD *(00E08h), -11, B ; 11724
+ ADD *(00E09h), -10, A, A ; 11725
+ ADD *(00E0Ah), -10, A, B ; 11726
+ ADD *(00E0Bh), -10, A ; 11727
+ ADD *(00E0Ch), -10, B, A ; 11728
+ ADD *(00E0Dh), -10, B, B ; 11729
+ ADD *(00E0Eh), -10, B ; 11730
+ ADD *(00E0Fh), -9, A, A ; 11731
+ ADD *(00E10h), -9, A, B ; 11732
+ ADD *(00E11h), -9, A ; 11733
+ ADD *(00E12h), -9, B, A ; 11734
+ ADD *(00E13h), -9, B, B ; 11735
+ ADD *(00E14h), -9, B ; 11736
+ ADD *(00E15h), -8, A, A ; 11737
+ ADD *(00E16h), -8, A, B ; 11738
+ ADD *(00E17h), -8, A ; 11739
+ ADD *(00E18h), -8, B, A ; 11740
+ ADD *(00E19h), -8, B, B ; 11741
+ ADD *(00E1Ah), -8, B ; 11742
+ ADD *(00E1Bh), -7, A, A ; 11743
+ ADD *(00E1Ch), -7, A, B ; 11744
+ ADD *(00E1Dh), -7, A ; 11745
+ ADD *(00E1Eh), -7, B, A ; 11746
+ ADD *(00E1Fh), -7, B, B ; 11747
+ ADD *(00E20h), -7, B ; 11748
+ ADD *(00E21h), -6, A, A ; 11749
+ ADD *(00E22h), -6, A, B ; 11750
+ ADD *(00E23h), -6, A ; 11751
+ ADD *(00E24h), -6, B, A ; 11752
+ ADD *(00E25h), -6, B, B ; 11753
+ ADD *(00E26h), -6, B ; 11754
+ ADD *(00E27h), -5, A, A ; 11755
+ ADD *(00E28h), -5, A, B ; 11756
+ ADD *(00E29h), -5, A ; 11757
+ ADD *(00E2Ah), -5, B, A ; 11758
+ ADD *(00E2Bh), -5, B, B ; 11759
+ ADD *(00E2Ch), -5, B ; 11760
+ ADD *(00E2Dh), -4, A, A ; 11761
+ ADD *(00E2Eh), -4, A, B ; 11762
+ ADD *(00E2Fh), -4, A ; 11763
+ ADD *(00E30h), -4, B, A ; 11764
+ ADD *(00E31h), -4, B, B ; 11765
+ ADD *(00E32h), -4, B ; 11766
+ ADD *(00E33h), -3, A, A ; 11767
+ ADD *(00E34h), -3, A, B ; 11768
+ ADD *(00E35h), -3, A ; 11769
+ ADD *(00E36h), -3, B, A ; 11770
+ ADD *(00E37h), -3, B, B ; 11771
+ ADD *(00E38h), -3, B ; 11772
+ ADD *(00E39h), -2, A, A ; 11773
+ ADD *(00E3Ah), -2, A, B ; 11774
+ ADD *(00E3Bh), -2, A ; 11775
+ ADD *(00E3Ch), -2, B, A ; 11776
+ ADD *(00E3Dh), -2, B, B ; 11777
+ ADD *(00E3Eh), -2, B ; 11778
+ ADD *(00E3Fh), -1, A, A ; 11779
+ ADD *(00E40h), -1, A, B ; 11780
+ ADD *(00E41h), -1, A ; 11781
+ ADD *(00E42h), -1, B, A ; 11782
+ ADD *(00E43h), -1, B, B ; 11783
+ ADD *(00E44h), -1, B ; 11784
+ ADD *(00E45h), 0, A, A ; 11785
+ ADD *(00E46h), 0, A, B ; 11786
+ ADD *(00E47h), 0, A ; 11787
+ ADD *(00E48h), 0, B, A ; 11788
+ ADD *(00E49h), 0, B, B ; 11789
+ ADD *(00E4Ah), 0, B ; 11790
+ ADD *(00E4Bh), 1, A, A ; 11791
+ ADD *(00E4Ch), 1, A, B ; 11792
+ ADD *(00E4Dh), 1, A ; 11793
+ ADD *(00E4Eh), 1, B, A ; 11794
+ ADD *(00E4Fh), 1, B, B ; 11795
+ ADD *(00E50h), 1, B ; 11796
+ ADD *(00E51h), 2, A, A ; 11797
+ ADD *(00E52h), 2, A, B ; 11798
+ ADD *(00E53h), 2, A ; 11799
+ ADD *(00E54h), 2, B, A ; 11800
+ ADD *(00E55h), 2, B, B ; 11801
+ ADD *(00E56h), 2, B ; 11802
+ ADD *(00E57h), 3, A, A ; 11803
+ ADD *(00E58h), 3, A, B ; 11804
+ ADD *(00E59h), 3, A ; 11805
+ ADD *(00E5Ah), 3, B, A ; 11806
+ ADD *(00E5Bh), 3, B, B ; 11807
+ ADD *(00E5Ch), 3, B ; 11808
+ ADD *(00E5Dh), 4, A, A ; 11809
+ ADD *(00E5Eh), 4, A, B ; 11810
+ ADD *(00E5Fh), 4, A ; 11811
+ ADD *(00E60h), 4, B, A ; 11812
+ ADD *(00E61h), 4, B, B ; 11813
+ ADD *(00E62h), 4, B ; 11814
+ ADD *(00E63h), 5, A, A ; 11815
+ ADD *(00E64h), 5, A, B ; 11816
+ ADD *(00E65h), 5, A ; 11817
+ ADD *(00E66h), 5, B, A ; 11818
+ ADD *(00E67h), 5, B, B ; 11819
+ ADD *(00E68h), 5, B ; 11820
+ ADD *(00E69h), 6, A, A ; 11821
+ ADD *(00E6Ah), 6, A, B ; 11822
+ ADD *(00E6Bh), 6, A ; 11823
+ ADD *(00E6Ch), 6, B, A ; 11824
+ ADD *(00E6Dh), 6, B, B ; 11825
+ ADD *(00E6Eh), 6, B ; 11826
+ ADD *(00E6Fh), 7, A, A ; 11827
+ ADD *(00E70h), 7, A, B ; 11828
+ ADD *(00E71h), 7, A ; 11829
+ ADD *(00E72h), 7, B, A ; 11830
+ ADD *(00E73h), 7, B, B ; 11831
+ ADD *(00E74h), 7, B ; 11832
+ ADD *(00E75h), 8, A, A ; 11833
+ ADD *(00E76h), 8, A, B ; 11834
+ ADD *(00E77h), 8, A ; 11835
+ ADD *(00E78h), 8, B, A ; 11836
+ ADD *(00E79h), 8, B, B ; 11837
+ ADD *(00E7Ah), 8, B ; 11838
+ ADD *(00E7Bh), 9, A, A ; 11839
+ ADD *(00E7Ch), 9, A, B ; 11840
+ ADD *(00E7Dh), 9, A ; 11841
+ ADD *(00E7Eh), 9, B, A ; 11842
+ ADD *(00E7Fh), 9, B, B ; 11843
+ ADD *(00E80h), 9, B ; 11844
+ ADD *(00E81h), 10, A, A ; 11845
+ ADD *(00E82h), 10, A, B ; 11846
+ ADD *(00E83h), 10, A ; 11847
+ ADD *(00E84h), 10, B, A ; 11848
+ ADD *(00E85h), 10, B, B ; 11849
+ ADD *(00E86h), 10, B ; 11850
+ ADD *(00E87h), 11, A, A ; 11851
+ ADD *(00E88h), 11, A, B ; 11852
+ ADD *(00E89h), 11, A ; 11853
+ ADD *(00E8Ah), 11, B, A ; 11854
+ ADD *(00E8Bh), 11, B, B ; 11855
+ ADD *(00E8Ch), 11, B ; 11856
+ ADD *(00E8Dh), 12, A, A ; 11857
+ ADD *(00E8Eh), 12, A, B ; 11858
+ ADD *(00E8Fh), 12, A ; 11859
+ ADD *(00E90h), 12, B, A ; 11860
+ ADD *(00E91h), 12, B, B ; 11861
+ ADD *(00E92h), 12, B ; 11862
+ ADD *(00E93h), 13, A, A ; 11863
+ ADD *(00E94h), 13, A, B ; 11864
+ ADD *(00E95h), 13, A ; 11865
+ ADD *(00E96h), 13, B, A ; 11866
+ ADD *(00E97h), 13, B, B ; 11867
+ ADD *(00E98h), 13, B ; 11868
+ ADD *(00E99h), 14, A, A ; 11869
+ ADD *(00E9Ah), 14, A, B ; 11870
+ ADD *(00E9Bh), 14, A ; 11871
+ ADD *(00E9Ch), 14, B, A ; 11872
+ ADD *(00E9Dh), 14, B, B ; 11873
+ ADD *(00E9Eh), 14, B ; 11874
+ ADD *(00E9Fh), 15, A, A ; 11875
+ ADD *(00EA0h), 15, A, B ; 11876
+ ADD *(00EA1h), 15, A ; 11877
+ ADD *(00EA2h), 15, B, A ; 11878
+ ADD *(00EA3h), 15, B, B ; 11879
+ ADD *(00EA4h), 15, B ; 11880
+ ADD *AR4, A, A ; 11881
+ ADD *AR4, A, B ; 11882
+ ADD *AR4, A ; 11883
+ ADD *AR4, B, A ; 11884
+ ADD *AR4, B, B ; 11885
+ ADD *AR4, B ; 11886
+ ADD *AR4, -16, A, A ; 11887
+ ADD *AR4, -16, A, B ; 11888
+ ADD *AR4, -16, A ; 11889
+ ADD *AR4, -16, B, A ; 11890
+ ADD *AR4, -16, B, B ; 11891
+ ADD *AR4, -16, B ; 11892
+ ADD *AR4, -15, A, A ; 11893
+ ADD *AR4, -15, A, B ; 11894
+ ADD *AR4, -15, A ; 11895
+ ADD *AR4, -15, B, A ; 11896
+ ADD *AR4, -15, B, B ; 11897
+ ADD *AR4, -15, B ; 11898
+ ADD *AR4, -14, A, A ; 11899
+ ADD *AR4, -14, A, B ; 11900
+ ADD *AR4, -14, A ; 11901
+ ADD *AR4, -14, B, A ; 11902
+ ADD *AR4, -14, B, B ; 11903
+ ADD *AR4, -14, B ; 11904
+ ADD *AR4, -13, A, A ; 11905
+ ADD *AR4, -13, A, B ; 11906
+ ADD *AR4, -13, A ; 11907
+ ADD *AR4, -13, B, A ; 11908
+ ADD *AR4, -13, B, B ; 11909
+ ADD *AR4, -13, B ; 11910
+ ADD *AR4, -12, A, A ; 11911
+ ADD *AR4, -12, A, B ; 11912
+ ADD *AR4, -12, A ; 11913
+ ADD *AR4, -12, B, A ; 11914
+ ADD *AR4, -12, B, B ; 11915
+ ADD *AR4, -12, B ; 11916
+ ADD *AR4, -11, A, A ; 11917
+ ADD *AR4, -11, A, B ; 11918
+ ADD *AR4, -11, A ; 11919
+ ADD *AR4, -11, B, A ; 11920
+ ADD *AR4, -11, B, B ; 11921
+ ADD *AR4, -11, B ; 11922
+ ADD *AR4, -10, A, A ; 11923
+ ADD *AR4, -10, A, B ; 11924
+ ADD *AR4, -10, A ; 11925
+ ADD *AR4, -10, B, A ; 11926
+ ADD *AR4, -10, B, B ; 11927
+ ADD *AR4, -10, B ; 11928
+ ADD *AR4, -9, A, A ; 11929
+ ADD *AR4, -9, A, B ; 11930
+ ADD *AR4, -9, A ; 11931
+ ADD *AR4, -9, B, A ; 11932
+ ADD *AR4, -9, B, B ; 11933
+ ADD *AR4, -9, B ; 11934
+ ADD *AR4, -8, A, A ; 11935
+ ADD *AR4, -8, A, B ; 11936
+ ADD *AR4, -8, A ; 11937
+ ADD *AR4, -8, B, A ; 11938
+ ADD *AR4, -8, B, B ; 11939
+ ADD *AR4, -8, B ; 11940
+ ADD *AR4, -7, A, A ; 11941
+ ADD *AR4, -7, A, B ; 11942
+ ADD *AR4, -7, A ; 11943
+ ADD *AR4, -7, B, A ; 11944
+ ADD *AR4, -7, B, B ; 11945
+ ADD *AR4, -7, B ; 11946
+ ADD *AR4, -6, A, A ; 11947
+ ADD *AR4, -6, A, B ; 11948
+ ADD *AR4, -6, A ; 11949
+ ADD *AR4, -6, B, A ; 11950
+ ADD *AR4, -6, B, B ; 11951
+ ADD *AR4, -6, B ; 11952
+ ADD *AR4, -5, A, A ; 11953
+ ADD *AR4, -5, A, B ; 11954
+ ADD *AR4, -5, A ; 11955
+ ADD *AR4, -5, B, A ; 11956
+ ADD *AR4, -5, B, B ; 11957
+ ADD *AR4, -5, B ; 11958
+ ADD *AR4, -4, A, A ; 11959
+ ADD *AR4, -4, A, B ; 11960
+ ADD *AR4, -4, A ; 11961
+ ADD *AR4, -4, B, A ; 11962
+ ADD *AR4, -4, B, B ; 11963
+ ADD *AR4, -4, B ; 11964
+ ADD *AR4, -3, A, A ; 11965
+ ADD *AR4, -3, A, B ; 11966
+ ADD *AR4, -3, A ; 11967
+ ADD *AR4, -3, B, A ; 11968
+ ADD *AR4, -3, B, B ; 11969
+ ADD *AR4, -3, B ; 11970
+ ADD *AR4, -2, A, A ; 11971
+ ADD *AR4, -2, A, B ; 11972
+ ADD *AR4, -2, A ; 11973
+ ADD *AR4, -2, B, A ; 11974
+ ADD *AR4, -2, B, B ; 11975
+ ADD *AR4, -2, B ; 11976
+ ADD *AR4, -1, A, A ; 11977
+ ADD *AR4, -1, A, B ; 11978
+ ADD *AR4, -1, A ; 11979
+ ADD *AR4, -1, B, A ; 11980
+ ADD *AR4, -1, B, B ; 11981
+ ADD *AR4, -1, B ; 11982
+ ADD *AR4, 0, A, A ; 11983
+ ADD *AR4, 0, A, B ; 11984
+ ADD *AR4, 0, A ; 11985
+ ADD *AR4, 0, B, A ; 11986
+ ADD *AR4, 0, B, B ; 11987
+ ADD *AR4, 0, B ; 11988
+ ADD *AR4, 1, A, A ; 11989
+ ADD *AR4, 1, A, B ; 11990
+ ADD *AR4, 1, A ; 11991
+ ADD *AR4, 1, B, A ; 11992
+ ADD *AR4, 1, B, B ; 11993
+ ADD *AR4, 1, B ; 11994
+ ADD *AR4, 2, A, A ; 11995
+ ADD *AR4, 2, A, B ; 11996
+ ADD *AR4, 2, A ; 11997
+ ADD *AR4, 2, B, A ; 11998
+ ADD *AR4, 2, B, B ; 11999
+ ADD *AR4, 2, B ; 12000
+ ADD *AR4, 3, A, A ; 12001
+ ADD *AR4, 3, A, B ; 12002
+ ADD *AR4, 3, A ; 12003
+ ADD *AR4, 3, B, A ; 12004
+ ADD *AR4, 3, B, B ; 12005
+ ADD *AR4, 3, B ; 12006
+ ADD *AR4, 4, A, A ; 12007
+ ADD *AR4, 4, A, B ; 12008
+ ADD *AR4, 4, A ; 12009
+ ADD *AR4, 4, B, A ; 12010
+ ADD *AR4, 4, B, B ; 12011
+ ADD *AR4, 4, B ; 12012
+ ADD *AR4, 5, A, A ; 12013
+ ADD *AR4, 5, A, B ; 12014
+ ADD *AR4, 5, A ; 12015
+ ADD *AR4, 5, B, A ; 12016
+ ADD *AR4, 5, B, B ; 12017
+ ADD *AR4, 5, B ; 12018
+ ADD *AR4, 6, A, A ; 12019
+ ADD *AR4, 6, A, B ; 12020
+ ADD *AR4, 6, A ; 12021
+ ADD *AR4, 6, B, A ; 12022
+ ADD *AR4, 6, B, B ; 12023
+ ADD *AR4, 6, B ; 12024
+ ADD *AR4, 7, A, A ; 12025
+ ADD *AR4, 7, A, B ; 12026
+ ADD *AR4, 7, A ; 12027
+ ADD *AR4, 7, B, A ; 12028
+ ADD *AR4, 7, B, B ; 12029
+ ADD *AR4, 7, B ; 12030
+ ADD *AR4, 8, A, A ; 12031
+ ADD *AR4, 8, A, B ; 12032
+ ADD *AR4, 8, A ; 12033
+ ADD *AR4, 8, B, A ; 12034
+ ADD *AR4, 8, B, B ; 12035
+ ADD *AR4, 8, B ; 12036
+ ADD *AR4, 9, A, A ; 12037
+ ADD *AR4, 9, A, B ; 12038
+ ADD *AR4, 9, A ; 12039
+ ADD *AR4, 9, B, A ; 12040
+ ADD *AR4, 9, B, B ; 12041
+ ADD *AR4, 9, B ; 12042
+ ADD *AR4, 10, A, A ; 12043
+ ADD *AR4, 10, A, B ; 12044
+ ADD *AR4, 10, A ; 12045
+ ADD *AR4, 10, B, A ; 12046
+ ADD *AR4, 10, B, B ; 12047
+ ADD *AR4, 10, B ; 12048
+ ADD *AR4, 11, A, A ; 12049
+ ADD *AR4, 11, A, B ; 12050
+ ADD *AR4, 11, A ; 12051
+ ADD *AR4, 11, B, A ; 12052
+ ADD *AR4, 11, B, B ; 12053
+ ADD *AR4, 11, B ; 12054
+ ADD *AR4, 12, A, A ; 12055
+ ADD *AR4, 12, A, B ; 12056
+ ADD *AR4, 12, A ; 12057
+ ADD *AR4, 12, B, A ; 12058
+ ADD *AR4, 12, B, B ; 12059
+ ADD *AR4, 12, B ; 12060
+ ADD *AR4, 13, A, A ; 12061
+ ADD *AR4, 13, A, B ; 12062
+ ADD *AR4, 13, A ; 12063
+ ADD *AR4, 13, B, A ; 12064
+ ADD *AR4, 13, B, B ; 12065
+ ADD *AR4, 13, B ; 12066
+ ADD *AR4, 14, A, A ; 12067
+ ADD *AR4, 14, A, B ; 12068
+ ADD *AR4, 14, A ; 12069
+ ADD *AR4, 14, B, A ; 12070
+ ADD *AR4, 14, B, B ; 12071
+ ADD *AR4, 14, B ; 12072
+ ADD *AR4, 15, A, A ; 12073
+ ADD *AR4, 15, A, B ; 12074
+ ADD *AR4, 15, A ; 12075
+ ADD *AR4, 15, B, A ; 12076
+ ADD *AR4, 15, B, B ; 12077
+ ADD *AR4, 15, B ; 12078
+ ADD *AR4-, A, A ; 12079
+ ADD *AR4-, A, B ; 12080
+ ADD *AR4-, A ; 12081
+ ADD *AR4-, B, A ; 12082
+ ADD *AR4-, B, B ; 12083
+ ADD *AR4-, B ; 12084
+ ADD *AR4-, -16, A, A ; 12085
+ ADD *AR4-, -16, A, B ; 12086
+ ADD *AR4-, -16, A ; 12087
+ ADD *AR4-, -16, B, A ; 12088
+ ADD *AR4-, -16, B, B ; 12089
+ ADD *AR4-, -16, B ; 12090
+ ADD *AR4-, -15, A, A ; 12091
+ ADD *AR4-, -15, A, B ; 12092
+ ADD *AR4-, -15, A ; 12093
+ ADD *AR4-, -15, B, A ; 12094
+ ADD *AR4-, -15, B, B ; 12095
+ ADD *AR4-, -15, B ; 12096
+ ADD *AR4-, -14, A, A ; 12097
+ ADD *AR4-, -14, A, B ; 12098
+ ADD *AR4-, -14, A ; 12099
+ ADD *AR4-, -14, B, A ; 12100
+ ADD *AR4-, -14, B, B ; 12101
+ ADD *AR4-, -14, B ; 12102
+ ADD *AR4-, -13, A, A ; 12103
+ ADD *AR4-, -13, A, B ; 12104
+ ADD *AR4-, -13, A ; 12105
+ ADD *AR4-, -13, B, A ; 12106
+ ADD *AR4-, -13, B, B ; 12107
+ ADD *AR4-, -13, B ; 12108
+ ADD *AR4-, -12, A, A ; 12109
+ ADD *AR4-, -12, A, B ; 12110
+ ADD *AR4-, -12, A ; 12111
+ ADD *AR4-, -12, B, A ; 12112
+ ADD *AR4-, -12, B, B ; 12113
+ ADD *AR4-, -12, B ; 12114
+ ADD *AR4-, -11, A, A ; 12115
+ ADD *AR4-, -11, A, B ; 12116
+ ADD *AR4-, -11, A ; 12117
+ ADD *AR4-, -11, B, A ; 12118
+ ADD *AR4-, -11, B, B ; 12119
+ ADD *AR4-, -11, B ; 12120
+ ADD *AR4-, -10, A, A ; 12121
+ ADD *AR4-, -10, A, B ; 12122
+ ADD *AR4-, -10, A ; 12123
+ ADD *AR4-, -10, B, A ; 12124
+ ADD *AR4-, -10, B, B ; 12125
+ ADD *AR4-, -10, B ; 12126
+ ADD *AR4-, -9, A, A ; 12127
+ ADD *AR4-, -9, A, B ; 12128
+ ADD *AR4-, -9, A ; 12129
+ ADD *AR4-, -9, B, A ; 12130
+ ADD *AR4-, -9, B, B ; 12131
+ ADD *AR4-, -9, B ; 12132
+ ADD *AR4-, -8, A, A ; 12133
+ ADD *AR4-, -8, A, B ; 12134
+ ADD *AR4-, -8, A ; 12135
+ ADD *AR4-, -8, B, A ; 12136
+ ADD *AR4-, -8, B, B ; 12137
+ ADD *AR4-, -8, B ; 12138
+ ADD *AR4-, -7, A, A ; 12139
+ ADD *AR4-, -7, A, B ; 12140
+ ADD *AR4-, -7, A ; 12141
+ ADD *AR4-, -7, B, A ; 12142
+ ADD *AR4-, -7, B, B ; 12143
+ ADD *AR4-, -7, B ; 12144
+ ADD *AR4-, -6, A, A ; 12145
+ ADD *AR4-, -6, A, B ; 12146
+ ADD *AR4-, -6, A ; 12147
+ ADD *AR4-, -6, B, A ; 12148
+ ADD *AR4-, -6, B, B ; 12149
+ ADD *AR4-, -6, B ; 12150
+ ADD *AR4-, -5, A, A ; 12151
+ ADD *AR4-, -5, A, B ; 12152
+ ADD *AR4-, -5, A ; 12153
+ ADD *AR4-, -5, B, A ; 12154
+ ADD *AR4-, -5, B, B ; 12155
+ ADD *AR4-, -5, B ; 12156
+ ADD *AR4-, -4, A, A ; 12157
+ ADD *AR4-, -4, A, B ; 12158
+ ADD *AR4-, -4, A ; 12159
+ ADD *AR4-, -4, B, A ; 12160
+ ADD *AR4-, -4, B, B ; 12161
+ ADD *AR4-, -4, B ; 12162
+ ADD *AR4-, -3, A, A ; 12163
+ ADD *AR4-, -3, A, B ; 12164
+ ADD *AR4-, -3, A ; 12165
+ ADD *AR4-, -3, B, A ; 12166
+ ADD *AR4-, -3, B, B ; 12167
+ ADD *AR4-, -3, B ; 12168
+ ADD *AR4-, -2, A, A ; 12169
+ ADD *AR4-, -2, A, B ; 12170
+ ADD *AR4-, -2, A ; 12171
+ ADD *AR4-, -2, B, A ; 12172
+ ADD *AR4-, -2, B, B ; 12173
+ ADD *AR4-, -2, B ; 12174
+ ADD *AR4-, -1, A, A ; 12175
+ ADD *AR4-, -1, A, B ; 12176
+ ADD *AR4-, -1, A ; 12177
+ ADD *AR4-, -1, B, A ; 12178
+ ADD *AR4-, -1, B, B ; 12179
+ ADD *AR4-, -1, B ; 12180
+ ADD *AR4-, 0, A, A ; 12181
+ ADD *AR4-, 0, A, B ; 12182
+ ADD *AR4-, 0, A ; 12183
+ ADD *AR4-, 0, B, A ; 12184
+ ADD *AR4-, 0, B, B ; 12185
+ ADD *AR4-, 0, B ; 12186
+ ADD *AR4-, 1, A, A ; 12187
+ ADD *AR4-, 1, A, B ; 12188
+ ADD *AR4-, 1, A ; 12189
+ ADD *AR4-, 1, B, A ; 12190
+ ADD *AR4-, 1, B, B ; 12191
+ ADD *AR4-, 1, B ; 12192
+ ADD *AR4-, 2, A, A ; 12193
+ ADD *AR4-, 2, A, B ; 12194
+ ADD *AR4-, 2, A ; 12195
+ ADD *AR4-, 2, B, A ; 12196
+ ADD *AR4-, 2, B, B ; 12197
+ ADD *AR4-, 2, B ; 12198
+ ADD *AR4-, 3, A, A ; 12199
+ ADD *AR4-, 3, A, B ; 12200
+ ADD *AR4-, 3, A ; 12201
+ ADD *AR4-, 3, B, A ; 12202
+ ADD *AR4-, 3, B, B ; 12203
+ ADD *AR4-, 3, B ; 12204
+ ADD *AR4-, 4, A, A ; 12205
+ ADD *AR4-, 4, A, B ; 12206
+ ADD *AR4-, 4, A ; 12207
+ ADD *AR4-, 4, B, A ; 12208
+ ADD *AR4-, 4, B, B ; 12209
+ ADD *AR4-, 4, B ; 12210
+ ADD *AR4-, 5, A, A ; 12211
+ ADD *AR4-, 5, A, B ; 12212
+ ADD *AR4-, 5, A ; 12213
+ ADD *AR4-, 5, B, A ; 12214
+ ADD *AR4-, 5, B, B ; 12215
+ ADD *AR4-, 5, B ; 12216
+ ADD *AR4-, 6, A, A ; 12217
+ ADD *AR4-, 6, A, B ; 12218
+ ADD *AR4-, 6, A ; 12219
+ ADD *AR4-, 6, B, A ; 12220
+ ADD *AR4-, 6, B, B ; 12221
+ ADD *AR4-, 6, B ; 12222
+ ADD *AR4-, 7, A, A ; 12223
+ ADD *AR4-, 7, A, B ; 12224
+ ADD *AR4-, 7, A ; 12225
+ ADD *AR4-, 7, B, A ; 12226
+ ADD *AR4-, 7, B, B ; 12227
+ ADD *AR4-, 7, B ; 12228
+ ADD *AR4-, 8, A, A ; 12229
+ ADD *AR4-, 8, A, B ; 12230
+ ADD *AR4-, 8, A ; 12231
+ ADD *AR4-, 8, B, A ; 12232
+ ADD *AR4-, 8, B, B ; 12233
+ ADD *AR4-, 8, B ; 12234
+ ADD *AR4-, 9, A, A ; 12235
+ ADD *AR4-, 9, A, B ; 12236
+ ADD *AR4-, 9, A ; 12237
+ ADD *AR4-, 9, B, A ; 12238
+ ADD *AR4-, 9, B, B ; 12239
+ ADD *AR4-, 9, B ; 12240
+ ADD *AR4-, 10, A, A ; 12241
+ ADD *AR4-, 10, A, B ; 12242
+ ADD *AR4-, 10, A ; 12243
+ ADD *AR4-, 10, B, A ; 12244
+ ADD *AR4-, 10, B, B ; 12245
+ ADD *AR4-, 10, B ; 12246
+ ADD *AR4-, 11, A, A ; 12247
+ ADD *AR4-, 11, A, B ; 12248
+ ADD *AR4-, 11, A ; 12249
+ ADD *AR4-, 11, B, A ; 12250
+ ADD *AR4-, 11, B, B ; 12251
+ ADD *AR4-, 11, B ; 12252
+ ADD *AR4-, 12, A, A ; 12253
+ ADD *AR4-, 12, A, B ; 12254
+ ADD *AR4-, 12, A ; 12255
+ ADD *AR4-, 12, B, A ; 12256
+ ADD *AR4-, 12, B, B ; 12257
+ ADD *AR4-, 12, B ; 12258
+ ADD *AR4-, 13, A, A ; 12259
+ ADD *AR4-, 13, A, B ; 12260
+ ADD *AR4-, 13, A ; 12261
+ ADD *AR4-, 13, B, A ; 12262
+ ADD *AR4-, 13, B, B ; 12263
+ ADD *AR4-, 13, B ; 12264
+ ADD *AR4-, 14, A, A ; 12265
+ ADD *AR4-, 14, A, B ; 12266
+ ADD *AR4-, 14, A ; 12267
+ ADD *AR4-, 14, B, A ; 12268
+ ADD *AR4-, 14, B, B ; 12269
+ ADD *AR4-, 14, B ; 12270
+ ADD *AR4-, 15, A, A ; 12271
+ ADD *AR4-, 15, A, B ; 12272
+ ADD *AR4-, 15, A ; 12273
+ ADD *AR4-, 15, B, A ; 12274
+ ADD *AR4-, 15, B, B ; 12275
+ ADD *AR4-, 15, B ; 12276
+ ADD *AR4+, A, A ; 12277
+ ADD *AR4+, A, B ; 12278
+ ADD *AR4+, A ; 12279
+ ADD *AR4+, B, A ; 12280
+ ADD *AR4+, B, B ; 12281
+ ADD *AR4+, B ; 12282
+ ADD *AR4+, -16, A, A ; 12283
+ ADD *AR4+, -16, A, B ; 12284
+ ADD *AR4+, -16, A ; 12285
+ ADD *AR4+, -16, B, A ; 12286
+ ADD *AR4+, -16, B, B ; 12287
+ ADD *AR4+, -16, B ; 12288
+ ADD *AR4+, -15, A, A ; 12289
+ ADD *AR4+, -15, A, B ; 12290
+ ADD *AR4+, -15, A ; 12291
+ ADD *AR4+, -15, B, A ; 12292
+ ADD *AR4+, -15, B, B ; 12293
+ ADD *AR4+, -15, B ; 12294
+ ADD *AR4+, -14, A, A ; 12295
+ ADD *AR4+, -14, A, B ; 12296
+ ADD *AR4+, -14, A ; 12297
+ ADD *AR4+, -14, B, A ; 12298
+ ADD *AR4+, -14, B, B ; 12299
+ ADD *AR4+, -14, B ; 12300
+ ADD *AR4+, -13, A, A ; 12301
+ ADD *AR4+, -13, A, B ; 12302
+ ADD *AR4+, -13, A ; 12303
+ ADD *AR4+, -13, B, A ; 12304
+ ADD *AR4+, -13, B, B ; 12305
+ ADD *AR4+, -13, B ; 12306
+ ADD *AR4+, -12, A, A ; 12307
+ ADD *AR4+, -12, A, B ; 12308
+ ADD *AR4+, -12, A ; 12309
+ ADD *AR4+, -12, B, A ; 12310
+ ADD *AR4+, -12, B, B ; 12311
+ ADD *AR4+, -12, B ; 12312
+ ADD *AR4+, -11, A, A ; 12313
+ ADD *AR4+, -11, A, B ; 12314
+ ADD *AR4+, -11, A ; 12315
+ ADD *AR4+, -11, B, A ; 12316
+ ADD *AR4+, -11, B, B ; 12317
+ ADD *AR4+, -11, B ; 12318
+ ADD *AR4+, -10, A, A ; 12319
+ ADD *AR4+, -10, A, B ; 12320
+ ADD *AR4+, -10, A ; 12321
+ ADD *AR4+, -10, B, A ; 12322
+ ADD *AR4+, -10, B, B ; 12323
+ ADD *AR4+, -10, B ; 12324
+ ADD *AR4+, -9, A, A ; 12325
+ ADD *AR4+, -9, A, B ; 12326
+ ADD *AR4+, -9, A ; 12327
+ ADD *AR4+, -9, B, A ; 12328
+ ADD *AR4+, -9, B, B ; 12329
+ ADD *AR4+, -9, B ; 12330
+ ADD *AR4+, -8, A, A ; 12331
+ ADD *AR4+, -8, A, B ; 12332
+ ADD *AR4+, -8, A ; 12333
+ ADD *AR4+, -8, B, A ; 12334
+ ADD *AR4+, -8, B, B ; 12335
+ ADD *AR4+, -8, B ; 12336
+ ADD *AR4+, -7, A, A ; 12337
+ ADD *AR4+, -7, A, B ; 12338
+ ADD *AR4+, -7, A ; 12339
+ ADD *AR4+, -7, B, A ; 12340
+ ADD *AR4+, -7, B, B ; 12341
+ ADD *AR4+, -7, B ; 12342
+ ADD *AR4+, -6, A, A ; 12343
+ ADD *AR4+, -6, A, B ; 12344
+ ADD *AR4+, -6, A ; 12345
+ ADD *AR4+, -6, B, A ; 12346
+ ADD *AR4+, -6, B, B ; 12347
+ ADD *AR4+, -6, B ; 12348
+ ADD *AR4+, -5, A, A ; 12349
+ ADD *AR4+, -5, A, B ; 12350
+ ADD *AR4+, -5, A ; 12351
+ ADD *AR4+, -5, B, A ; 12352
+ ADD *AR4+, -5, B, B ; 12353
+ ADD *AR4+, -5, B ; 12354
+ ADD *AR4+, -4, A, A ; 12355
+ ADD *AR4+, -4, A, B ; 12356
+ ADD *AR4+, -4, A ; 12357
+ ADD *AR4+, -4, B, A ; 12358
+ ADD *AR4+, -4, B, B ; 12359
+ ADD *AR4+, -4, B ; 12360
+ ADD *AR4+, -3, A, A ; 12361
+ ADD *AR4+, -3, A, B ; 12362
+ ADD *AR4+, -3, A ; 12363
+ ADD *AR4+, -3, B, A ; 12364
+ ADD *AR4+, -3, B, B ; 12365
+ ADD *AR4+, -3, B ; 12366
+ ADD *AR4+, -2, A, A ; 12367
+ ADD *AR4+, -2, A, B ; 12368
+ ADD *AR4+, -2, A ; 12369
+ ADD *AR4+, -2, B, A ; 12370
+ ADD *AR4+, -2, B, B ; 12371
+ ADD *AR4+, -2, B ; 12372
+ ADD *AR4+, -1, A, A ; 12373
+ ADD *AR4+, -1, A, B ; 12374
+ ADD *AR4+, -1, A ; 12375
+ ADD *AR4+, -1, B, A ; 12376
+ ADD *AR4+, -1, B, B ; 12377
+ ADD *AR4+, -1, B ; 12378
+ ADD *AR4+, 0, A, A ; 12379
+ ADD *AR4+, 0, A, B ; 12380
+ ADD *AR4+, 0, A ; 12381
+ ADD *AR4+, 0, B, A ; 12382
+ ADD *AR4+, 0, B, B ; 12383
+ ADD *AR4+, 0, B ; 12384
+ ADD *AR4+, 1, A, A ; 12385
+ ADD *AR4+, 1, A, B ; 12386
+ ADD *AR4+, 1, A ; 12387
+ ADD *AR4+, 1, B, A ; 12388
+ ADD *AR4+, 1, B, B ; 12389
+ ADD *AR4+, 1, B ; 12390
+ ADD *AR4+, 2, A, A ; 12391
+ ADD *AR4+, 2, A, B ; 12392
+ ADD *AR4+, 2, A ; 12393
+ ADD *AR4+, 2, B, A ; 12394
+ ADD *AR4+, 2, B, B ; 12395
+ ADD *AR4+, 2, B ; 12396
+ ADD *AR4+, 3, A, A ; 12397
+ ADD *AR4+, 3, A, B ; 12398
+ ADD *AR4+, 3, A ; 12399
+ ADD *AR4+, 3, B, A ; 12400
+ ADD *AR4+, 3, B, B ; 12401
+ ADD *AR4+, 3, B ; 12402
+ ADD *AR4+, 4, A, A ; 12403
+ ADD *AR4+, 4, A, B ; 12404
+ ADD *AR4+, 4, A ; 12405
+ ADD *AR4+, 4, B, A ; 12406
+ ADD *AR4+, 4, B, B ; 12407
+ ADD *AR4+, 4, B ; 12408
+ ADD *AR4+, 5, A, A ; 12409
+ ADD *AR4+, 5, A, B ; 12410
+ ADD *AR4+, 5, A ; 12411
+ ADD *AR4+, 5, B, A ; 12412
+ ADD *AR4+, 5, B, B ; 12413
+ ADD *AR4+, 5, B ; 12414
+ ADD *AR4+, 6, A, A ; 12415
+ ADD *AR4+, 6, A, B ; 12416
+ ADD *AR4+, 6, A ; 12417
+ ADD *AR4+, 6, B, A ; 12418
+ ADD *AR4+, 6, B, B ; 12419
+ ADD *AR4+, 6, B ; 12420
+ ADD *AR4+, 7, A, A ; 12421
+ ADD *AR4+, 7, A, B ; 12422
+ ADD *AR4+, 7, A ; 12423
+ ADD *AR4+, 7, B, A ; 12424
+ ADD *AR4+, 7, B, B ; 12425
+ ADD *AR4+, 7, B ; 12426
+ ADD *AR4+, 8, A, A ; 12427
+ ADD *AR4+, 8, A, B ; 12428
+ ADD *AR4+, 8, A ; 12429
+ ADD *AR4+, 8, B, A ; 12430
+ ADD *AR4+, 8, B, B ; 12431
+ ADD *AR4+, 8, B ; 12432
+ ADD *AR4+, 9, A, A ; 12433
+ ADD *AR4+, 9, A, B ; 12434
+ ADD *AR4+, 9, A ; 12435
+ ADD *AR4+, 9, B, A ; 12436
+ ADD *AR4+, 9, B, B ; 12437
+ ADD *AR4+, 9, B ; 12438
+ ADD *AR4+, 10, A, A ; 12439
+ ADD *AR4+, 10, A, B ; 12440
+ ADD *AR4+, 10, A ; 12441
+ ADD *AR4+, 10, B, A ; 12442
+ ADD *AR4+, 10, B, B ; 12443
+ ADD *AR4+, 10, B ; 12444
+ ADD *AR4+, 11, A, A ; 12445
+ ADD *AR4+, 11, A, B ; 12446
+ ADD *AR4+, 11, A ; 12447
+ ADD *AR4+, 11, B, A ; 12448
+ ADD *AR4+, 11, B, B ; 12449
+ ADD *AR4+, 11, B ; 12450
+ ADD *AR4+, 12, A, A ; 12451
+ ADD *AR4+, 12, A, B ; 12452
+ ADD *AR4+, 12, A ; 12453
+ ADD *AR4+, 12, B, A ; 12454
+ ADD *AR4+, 12, B, B ; 12455
+ ADD *AR4+, 12, B ; 12456
+ ADD *AR4+, 13, A, A ; 12457
+ ADD *AR4+, 13, A, B ; 12458
+ ADD *AR4+, 13, A ; 12459
+ ADD *AR4+, 13, B, A ; 12460
+ ADD *AR4+, 13, B, B ; 12461
+ ADD *AR4+, 13, B ; 12462
+ ADD *AR4+, 14, A, A ; 12463
+ ADD *AR4+, 14, A, B ; 12464
+ ADD *AR4+, 14, A ; 12465
+ ADD *AR4+, 14, B, A ; 12466
+ ADD *AR4+, 14, B, B ; 12467
+ ADD *AR4+, 14, B ; 12468
+ ADD *AR4+, 15, A, A ; 12469
+ ADD *AR4+, 15, A, B ; 12470
+ ADD *AR4+, 15, A ; 12471
+ ADD *AR4+, 15, B, A ; 12472
+ ADD *AR4+, 15, B, B ; 12473
+ ADD *AR4+, 15, B ; 12474
+ ADD *AR4-0B, A, A ; 12475
+ ADD *AR4-0B, A, B ; 12476
+ ADD *AR4-0B, A ; 12477
+ ADD *AR4-0B, B, A ; 12478
+ ADD *AR4-0B, B, B ; 12479
+ ADD *AR4-0B, B ; 12480
+ ADD *AR4-0B, -16, A, A ; 12481
+ ADD *AR4-0B, -16, A, B ; 12482
+ ADD *AR4-0B, -16, A ; 12483
+ ADD *AR4-0B, -16, B, A ; 12484
+ ADD *AR4-0B, -16, B, B ; 12485
+ ADD *AR4-0B, -16, B ; 12486
+ ADD *AR4-0B, -15, A, A ; 12487
+ ADD *AR4-0B, -15, A, B ; 12488
+ ADD *AR4-0B, -15, A ; 12489
+ ADD *AR4-0B, -15, B, A ; 12490
+ ADD *AR4-0B, -15, B, B ; 12491
+ ADD *AR4-0B, -15, B ; 12492
+ ADD *AR4-0B, -14, A, A ; 12493
+ ADD *AR4-0B, -14, A, B ; 12494
+ ADD *AR4-0B, -14, A ; 12495
+ ADD *AR4-0B, -14, B, A ; 12496
+ ADD *AR4-0B, -14, B, B ; 12497
+ ADD *AR4-0B, -14, B ; 12498
+ ADD *AR4-0B, -13, A, A ; 12499
+ ADD *AR4-0B, -13, A, B ; 12500
+ ADD *AR4-0B, -13, A ; 12501
+ ADD *AR4-0B, -13, B, A ; 12502
+ ADD *AR4-0B, -13, B, B ; 12503
+ ADD *AR4-0B, -13, B ; 12504
+ ADD *AR4-0B, -12, A, A ; 12505
+ ADD *AR4-0B, -12, A, B ; 12506
+ ADD *AR4-0B, -12, A ; 12507
+ ADD *AR4-0B, -12, B, A ; 12508
+ ADD *AR4-0B, -12, B, B ; 12509
+ ADD *AR4-0B, -12, B ; 12510
+ ADD *AR4-0B, -11, A, A ; 12511
+ ADD *AR4-0B, -11, A, B ; 12512
+ ADD *AR4-0B, -11, A ; 12513
+ ADD *AR4-0B, -11, B, A ; 12514
+ ADD *AR4-0B, -11, B, B ; 12515
+ ADD *AR4-0B, -11, B ; 12516
+ ADD *AR4-0B, -10, A, A ; 12517
+ ADD *AR4-0B, -10, A, B ; 12518
+ ADD *AR4-0B, -10, A ; 12519
+ ADD *AR4-0B, -10, B, A ; 12520
+ ADD *AR4-0B, -10, B, B ; 12521
+ ADD *AR4-0B, -10, B ; 12522
+ ADD *AR4-0B, -9, A, A ; 12523
+ ADD *AR4-0B, -9, A, B ; 12524
+ ADD *AR4-0B, -9, A ; 12525
+ ADD *AR4-0B, -9, B, A ; 12526
+ ADD *AR4-0B, -9, B, B ; 12527
+ ADD *AR4-0B, -9, B ; 12528
+ ADD *AR4-0B, -8, A, A ; 12529
+ ADD *AR4-0B, -8, A, B ; 12530
+ ADD *AR4-0B, -8, A ; 12531
+ ADD *AR4-0B, -8, B, A ; 12532
+ ADD *AR4-0B, -8, B, B ; 12533
+ ADD *AR4-0B, -8, B ; 12534
+ ADD *AR4-0B, -7, A, A ; 12535
+ ADD *AR4-0B, -7, A, B ; 12536
+ ADD *AR4-0B, -7, A ; 12537
+ ADD *AR4-0B, -7, B, A ; 12538
+ ADD *AR4-0B, -7, B, B ; 12539
+ ADD *AR4-0B, -7, B ; 12540
+ ADD *AR4-0B, -6, A, A ; 12541
+ ADD *AR4-0B, -6, A, B ; 12542
+ ADD *AR4-0B, -6, A ; 12543
+ ADD *AR4-0B, -6, B, A ; 12544
+ ADD *AR4-0B, -6, B, B ; 12545
+ ADD *AR4-0B, -6, B ; 12546
+ ADD *AR4-0B, -5, A, A ; 12547
+ ADD *AR4-0B, -5, A, B ; 12548
+ ADD *AR4-0B, -5, A ; 12549
+ ADD *AR4-0B, -5, B, A ; 12550
+ ADD *AR4-0B, -5, B, B ; 12551
+ ADD *AR4-0B, -5, B ; 12552
+ ADD *AR4-0B, -4, A, A ; 12553
+ ADD *AR4-0B, -4, A, B ; 12554
+ ADD *AR4-0B, -4, A ; 12555
+ ADD *AR4-0B, -4, B, A ; 12556
+ ADD *AR4-0B, -4, B, B ; 12557
+ ADD *AR4-0B, -4, B ; 12558
+ ADD *AR4-0B, -3, A, A ; 12559
+ ADD *AR4-0B, -3, A, B ; 12560
+ ADD *AR4-0B, -3, A ; 12561
+ ADD *AR4-0B, -3, B, A ; 12562
+ ADD *AR4-0B, -3, B, B ; 12563
+ ADD *AR4-0B, -3, B ; 12564
+ ADD *AR4-0B, -2, A, A ; 12565
+ ADD *AR4-0B, -2, A, B ; 12566
+ ADD *AR4-0B, -2, A ; 12567
+ ADD *AR4-0B, -2, B, A ; 12568
+ ADD *AR4-0B, -2, B, B ; 12569
+ ADD *AR4-0B, -2, B ; 12570
+ ADD *AR4-0B, -1, A, A ; 12571
+ ADD *AR4-0B, -1, A, B ; 12572
+ ADD *AR4-0B, -1, A ; 12573
+ ADD *AR4-0B, -1, B, A ; 12574
+ ADD *AR4-0B, -1, B, B ; 12575
+ ADD *AR4-0B, -1, B ; 12576
+ ADD *AR4-0B, 0, A, A ; 12577
+ ADD *AR4-0B, 0, A, B ; 12578
+ ADD *AR4-0B, 0, A ; 12579
+ ADD *AR4-0B, 0, B, A ; 12580
+ ADD *AR4-0B, 0, B, B ; 12581
+ ADD *AR4-0B, 0, B ; 12582
+ ADD *AR4-0B, 1, A, A ; 12583
+ ADD *AR4-0B, 1, A, B ; 12584
+ ADD *AR4-0B, 1, A ; 12585
+ ADD *AR4-0B, 1, B, A ; 12586
+ ADD *AR4-0B, 1, B, B ; 12587
+ ADD *AR4-0B, 1, B ; 12588
+ ADD *AR4-0B, 2, A, A ; 12589
+ ADD *AR4-0B, 2, A, B ; 12590
+ ADD *AR4-0B, 2, A ; 12591
+ ADD *AR4-0B, 2, B, A ; 12592
+ ADD *AR4-0B, 2, B, B ; 12593
+ ADD *AR4-0B, 2, B ; 12594
+ ADD *AR4-0B, 3, A, A ; 12595
+ ADD *AR4-0B, 3, A, B ; 12596
+ ADD *AR4-0B, 3, A ; 12597
+ ADD *AR4-0B, 3, B, A ; 12598
+ ADD *AR4-0B, 3, B, B ; 12599
+ ADD *AR4-0B, 3, B ; 12600
+ ADD *AR4-0B, 4, A, A ; 12601
+ ADD *AR4-0B, 4, A, B ; 12602
+ ADD *AR4-0B, 4, A ; 12603
+ ADD *AR4-0B, 4, B, A ; 12604
+ ADD *AR4-0B, 4, B, B ; 12605
+ ADD *AR4-0B, 4, B ; 12606
+ ADD *AR4-0B, 5, A, A ; 12607
+ ADD *AR4-0B, 5, A, B ; 12608
+ ADD *AR4-0B, 5, A ; 12609
+ ADD *AR4-0B, 5, B, A ; 12610
+ ADD *AR4-0B, 5, B, B ; 12611
+ ADD *AR4-0B, 5, B ; 12612
+ ADD *AR4-0B, 6, A, A ; 12613
+ ADD *AR4-0B, 6, A, B ; 12614
+ ADD *AR4-0B, 6, A ; 12615
+ ADD *AR4-0B, 6, B, A ; 12616
+ ADD *AR4-0B, 6, B, B ; 12617
+ ADD *AR4-0B, 6, B ; 12618
+ ADD *AR4-0B, 7, A, A ; 12619
+ ADD *AR4-0B, 7, A, B ; 12620
+ ADD *AR4-0B, 7, A ; 12621
+ ADD *AR4-0B, 7, B, A ; 12622
+ ADD *AR4-0B, 7, B, B ; 12623
+ ADD *AR4-0B, 7, B ; 12624
+ ADD *AR4-0B, 8, A, A ; 12625
+ ADD *AR4-0B, 8, A, B ; 12626
+ ADD *AR4-0B, 8, A ; 12627
+ ADD *AR4-0B, 8, B, A ; 12628
+ ADD *AR4-0B, 8, B, B ; 12629
+ ADD *AR4-0B, 8, B ; 12630
+ ADD *AR4-0B, 9, A, A ; 12631
+ ADD *AR4-0B, 9, A, B ; 12632
+ ADD *AR4-0B, 9, A ; 12633
+ ADD *AR4-0B, 9, B, A ; 12634
+ ADD *AR4-0B, 9, B, B ; 12635
+ ADD *AR4-0B, 9, B ; 12636
+ ADD *AR4-0B, 10, A, A ; 12637
+ ADD *AR4-0B, 10, A, B ; 12638
+ ADD *AR4-0B, 10, A ; 12639
+ ADD *AR4-0B, 10, B, A ; 12640
+ ADD *AR4-0B, 10, B, B ; 12641
+ ADD *AR4-0B, 10, B ; 12642
+ ADD *AR4-0B, 11, A, A ; 12643
+ ADD *AR4-0B, 11, A, B ; 12644
+ ADD *AR4-0B, 11, A ; 12645
+ ADD *AR4-0B, 11, B, A ; 12646
+ ADD *AR4-0B, 11, B, B ; 12647
+ ADD *AR4-0B, 11, B ; 12648
+ ADD *AR4-0B, 12, A, A ; 12649
+ ADD *AR4-0B, 12, A, B ; 12650
+ ADD *AR4-0B, 12, A ; 12651
+ ADD *AR4-0B, 12, B, A ; 12652
+ ADD *AR4-0B, 12, B, B ; 12653
+ ADD *AR4-0B, 12, B ; 12654
+ ADD *AR4-0B, 13, A, A ; 12655
+ ADD *AR4-0B, 13, A, B ; 12656
+ ADD *AR4-0B, 13, A ; 12657
+ ADD *AR4-0B, 13, B, A ; 12658
+ ADD *AR4-0B, 13, B, B ; 12659
+ ADD *AR4-0B, 13, B ; 12660
+ ADD *AR4-0B, 14, A, A ; 12661
+ ADD *AR4-0B, 14, A, B ; 12662
+ ADD *AR4-0B, 14, A ; 12663
+ ADD *AR4-0B, 14, B, A ; 12664
+ ADD *AR4-0B, 14, B, B ; 12665
+ ADD *AR4-0B, 14, B ; 12666
+ ADD *AR4-0B, 15, A, A ; 12667
+ ADD *AR4-0B, 15, A, B ; 12668
+ ADD *AR4-0B, 15, A ; 12669
+ ADD *AR4-0B, 15, B, A ; 12670
+ ADD *AR4-0B, 15, B, B ; 12671
+ ADD *AR4-0B, 15, B ; 12672
+ ADD *AR4-0, A, A ; 12673
+ ADD *AR4-0, A, B ; 12674
+ ADD *AR4-0, A ; 12675
+ ADD *AR4-0, B, A ; 12676
+ ADD *AR4-0, B, B ; 12677
+ ADD *AR4-0, B ; 12678
+ ADD *AR4-0, -16, A, A ; 12679
+ ADD *AR4-0, -16, A, B ; 12680
+ ADD *AR4-0, -16, A ; 12681
+ ADD *AR4-0, -16, B, A ; 12682
+ ADD *AR4-0, -16, B, B ; 12683
+ ADD *AR4-0, -16, B ; 12684
+ ADD *AR4-0, -15, A, A ; 12685
+ ADD *AR4-0, -15, A, B ; 12686
+ ADD *AR4-0, -15, A ; 12687
+ ADD *AR4-0, -15, B, A ; 12688
+ ADD *AR4-0, -15, B, B ; 12689
+ ADD *AR4-0, -15, B ; 12690
+ ADD *AR4-0, -14, A, A ; 12691
+ ADD *AR4-0, -14, A, B ; 12692
+ ADD *AR4-0, -14, A ; 12693
+ ADD *AR4-0, -14, B, A ; 12694
+ ADD *AR4-0, -14, B, B ; 12695
+ ADD *AR4-0, -14, B ; 12696
+ ADD *AR4-0, -13, A, A ; 12697
+ ADD *AR4-0, -13, A, B ; 12698
+ ADD *AR4-0, -13, A ; 12699
+ ADD *AR4-0, -13, B, A ; 12700
+ ADD *AR4-0, -13, B, B ; 12701
+ ADD *AR4-0, -13, B ; 12702
+ ADD *AR4-0, -12, A, A ; 12703
+ ADD *AR4-0, -12, A, B ; 12704
+ ADD *AR4-0, -12, A ; 12705
+ ADD *AR4-0, -12, B, A ; 12706
+ ADD *AR4-0, -12, B, B ; 12707
+ ADD *AR4-0, -12, B ; 12708
+ ADD *AR4-0, -11, A, A ; 12709
+ ADD *AR4-0, -11, A, B ; 12710
+ ADD *AR4-0, -11, A ; 12711
+ ADD *AR4-0, -11, B, A ; 12712
+ ADD *AR4-0, -11, B, B ; 12713
+ ADD *AR4-0, -11, B ; 12714
+ ADD *AR4-0, -10, A, A ; 12715
+ ADD *AR4-0, -10, A, B ; 12716
+ ADD *AR4-0, -10, A ; 12717
+ ADD *AR4-0, -10, B, A ; 12718
+ ADD *AR4-0, -10, B, B ; 12719
+ ADD *AR4-0, -10, B ; 12720
+ ADD *AR4-0, -9, A, A ; 12721
+ ADD *AR4-0, -9, A, B ; 12722
+ ADD *AR4-0, -9, A ; 12723
+ ADD *AR4-0, -9, B, A ; 12724
+ ADD *AR4-0, -9, B, B ; 12725
+ ADD *AR4-0, -9, B ; 12726
+ ADD *AR4-0, -8, A, A ; 12727
+ ADD *AR4-0, -8, A, B ; 12728
+ ADD *AR4-0, -8, A ; 12729
+ ADD *AR4-0, -8, B, A ; 12730
+ ADD *AR4-0, -8, B, B ; 12731
+ ADD *AR4-0, -8, B ; 12732
+ ADD *AR4-0, -7, A, A ; 12733
+ ADD *AR4-0, -7, A, B ; 12734
+ ADD *AR4-0, -7, A ; 12735
+ ADD *AR4-0, -7, B, A ; 12736
+ ADD *AR4-0, -7, B, B ; 12737
+ ADD *AR4-0, -7, B ; 12738
+ ADD *AR4-0, -6, A, A ; 12739
+ ADD *AR4-0, -6, A, B ; 12740
+ ADD *AR4-0, -6, A ; 12741
+ ADD *AR4-0, -6, B, A ; 12742
+ ADD *AR4-0, -6, B, B ; 12743
+ ADD *AR4-0, -6, B ; 12744
+ ADD *AR4-0, -5, A, A ; 12745
+ ADD *AR4-0, -5, A, B ; 12746
+ ADD *AR4-0, -5, A ; 12747
+ ADD *AR4-0, -5, B, A ; 12748
+ ADD *AR4-0, -5, B, B ; 12749
+ ADD *AR4-0, -5, B ; 12750
+ ADD *AR4-0, -4, A, A ; 12751
+ ADD *AR4-0, -4, A, B ; 12752
+ ADD *AR4-0, -4, A ; 12753
+ ADD *AR4-0, -4, B, A ; 12754
+ ADD *AR4-0, -4, B, B ; 12755
+ ADD *AR4-0, -4, B ; 12756
+ ADD *AR4-0, -3, A, A ; 12757
+ ADD *AR4-0, -3, A, B ; 12758
+ ADD *AR4-0, -3, A ; 12759
+ ADD *AR4-0, -3, B, A ; 12760
+ ADD *AR4-0, -3, B, B ; 12761
+ ADD *AR4-0, -3, B ; 12762
+ ADD *AR4-0, -2, A, A ; 12763
+ ADD *AR4-0, -2, A, B ; 12764
+ ADD *AR4-0, -2, A ; 12765
+ ADD *AR4-0, -2, B, A ; 12766
+ ADD *AR4-0, -2, B, B ; 12767
+ ADD *AR4-0, -2, B ; 12768
+ ADD *AR4-0, -1, A, A ; 12769
+ ADD *AR4-0, -1, A, B ; 12770
+ ADD *AR4-0, -1, A ; 12771
+ ADD *AR4-0, -1, B, A ; 12772
+ ADD *AR4-0, -1, B, B ; 12773
+ ADD *AR4-0, -1, B ; 12774
+ ADD *AR4-0, 0, A, A ; 12775
+ ADD *AR4-0, 0, A, B ; 12776
+ ADD *AR4-0, 0, A ; 12777
+ ADD *AR4-0, 0, B, A ; 12778
+ ADD *AR4-0, 0, B, B ; 12779
+ ADD *AR4-0, 0, B ; 12780
+ ADD *AR4-0, 1, A, A ; 12781
+ ADD *AR4-0, 1, A, B ; 12782
+ ADD *AR4-0, 1, A ; 12783
+ ADD *AR4-0, 1, B, A ; 12784
+ ADD *AR4-0, 1, B, B ; 12785
+ ADD *AR4-0, 1, B ; 12786
+ ADD *AR4-0, 2, A, A ; 12787
+ ADD *AR4-0, 2, A, B ; 12788
+ ADD *AR4-0, 2, A ; 12789
+ ADD *AR4-0, 2, B, A ; 12790
+ ADD *AR4-0, 2, B, B ; 12791
+ ADD *AR4-0, 2, B ; 12792
+ ADD *AR4-0, 3, A, A ; 12793
+ ADD *AR4-0, 3, A, B ; 12794
+ ADD *AR4-0, 3, A ; 12795
+ ADD *AR4-0, 3, B, A ; 12796
+ ADD *AR4-0, 3, B, B ; 12797
+ ADD *AR4-0, 3, B ; 12798
+ ADD *AR4-0, 4, A, A ; 12799
+ ADD *AR4-0, 4, A, B ; 12800
+ ADD *AR4-0, 4, A ; 12801
+ ADD *AR4-0, 4, B, A ; 12802
+ ADD *AR4-0, 4, B, B ; 12803
+ ADD *AR4-0, 4, B ; 12804
+ ADD *AR4-0, 5, A, A ; 12805
+ ADD *AR4-0, 5, A, B ; 12806
+ ADD *AR4-0, 5, A ; 12807
+ ADD *AR4-0, 5, B, A ; 12808
+ ADD *AR4-0, 5, B, B ; 12809
+ ADD *AR4-0, 5, B ; 12810
+ ADD *AR4-0, 6, A, A ; 12811
+ ADD *AR4-0, 6, A, B ; 12812
+ ADD *AR4-0, 6, A ; 12813
+ ADD *AR4-0, 6, B, A ; 12814
+ ADD *AR4-0, 6, B, B ; 12815
+ ADD *AR4-0, 6, B ; 12816
+ ADD *AR4-0, 7, A, A ; 12817
+ ADD *AR4-0, 7, A, B ; 12818
+ ADD *AR4-0, 7, A ; 12819
+ ADD *AR4-0, 7, B, A ; 12820
+ ADD *AR4-0, 7, B, B ; 12821
+ ADD *AR4-0, 7, B ; 12822
+ ADD *AR4-0, 8, A, A ; 12823
+ ADD *AR4-0, 8, A, B ; 12824
+ ADD *AR4-0, 8, A ; 12825
+ ADD *AR4-0, 8, B, A ; 12826
+ ADD *AR4-0, 8, B, B ; 12827
+ ADD *AR4-0, 8, B ; 12828
+ ADD *AR4-0, 9, A, A ; 12829
+ ADD *AR4-0, 9, A, B ; 12830
+ ADD *AR4-0, 9, A ; 12831
+ ADD *AR4-0, 9, B, A ; 12832
+ ADD *AR4-0, 9, B, B ; 12833
+ ADD *AR4-0, 9, B ; 12834
+ ADD *AR4-0, 10, A, A ; 12835
+ ADD *AR4-0, 10, A, B ; 12836
+ ADD *AR4-0, 10, A ; 12837
+ ADD *AR4-0, 10, B, A ; 12838
+ ADD *AR4-0, 10, B, B ; 12839
+ ADD *AR4-0, 10, B ; 12840
+ ADD *AR4-0, 11, A, A ; 12841
+ ADD *AR4-0, 11, A, B ; 12842
+ ADD *AR4-0, 11, A ; 12843
+ ADD *AR4-0, 11, B, A ; 12844
+ ADD *AR4-0, 11, B, B ; 12845
+ ADD *AR4-0, 11, B ; 12846
+ ADD *AR4-0, 12, A, A ; 12847
+ ADD *AR4-0, 12, A, B ; 12848
+ ADD *AR4-0, 12, A ; 12849
+ ADD *AR4-0, 12, B, A ; 12850
+ ADD *AR4-0, 12, B, B ; 12851
+ ADD *AR4-0, 12, B ; 12852
+ ADD *AR4-0, 13, A, A ; 12853
+ ADD *AR4-0, 13, A, B ; 12854
+ ADD *AR4-0, 13, A ; 12855
+ ADD *AR4-0, 13, B, A ; 12856
+ ADD *AR4-0, 13, B, B ; 12857
+ ADD *AR4-0, 13, B ; 12858
+ ADD *AR4-0, 14, A, A ; 12859
+ ADD *AR4-0, 14, A, B ; 12860
+ ADD *AR4-0, 14, A ; 12861
+ ADD *AR4-0, 14, B, A ; 12862
+ ADD *AR4-0, 14, B, B ; 12863
+ ADD *AR4-0, 14, B ; 12864
+ ADD *AR4-0, 15, A, A ; 12865
+ ADD *AR4-0, 15, A, B ; 12866
+ ADD *AR4-0, 15, A ; 12867
+ ADD *AR4-0, 15, B, A ; 12868
+ ADD *AR4-0, 15, B, B ; 12869
+ ADD *AR4-0, 15, B ; 12870
+ ADD *AR4+0, A, A ; 12871
+ ADD *AR4+0, A, B ; 12872
+ ADD *AR4+0, A ; 12873
+ ADD *AR4+0, B, A ; 12874
+ ADD *AR4+0, B, B ; 12875
+ ADD *AR4+0, B ; 12876
+ ADD *AR4+0, -16, A, A ; 12877
+ ADD *AR4+0, -16, A, B ; 12878
+ ADD *AR4+0, -16, A ; 12879
+ ADD *AR4+0, -16, B, A ; 12880
+ ADD *AR4+0, -16, B, B ; 12881
+ ADD *AR4+0, -16, B ; 12882
+ ADD *AR4+0, -15, A, A ; 12883
+ ADD *AR4+0, -15, A, B ; 12884
+ ADD *AR4+0, -15, A ; 12885
+ ADD *AR4+0, -15, B, A ; 12886
+ ADD *AR4+0, -15, B, B ; 12887
+ ADD *AR4+0, -15, B ; 12888
+ ADD *AR4+0, -14, A, A ; 12889
+ ADD *AR4+0, -14, A, B ; 12890
+ ADD *AR4+0, -14, A ; 12891
+ ADD *AR4+0, -14, B, A ; 12892
+ ADD *AR4+0, -14, B, B ; 12893
+ ADD *AR4+0, -14, B ; 12894
+ ADD *AR4+0, -13, A, A ; 12895
+ ADD *AR4+0, -13, A, B ; 12896
+ ADD *AR4+0, -13, A ; 12897
+ ADD *AR4+0, -13, B, A ; 12898
+ ADD *AR4+0, -13, B, B ; 12899
+ ADD *AR4+0, -13, B ; 12900
+ ADD *AR4+0, -12, A, A ; 12901
+ ADD *AR4+0, -12, A, B ; 12902
+ ADD *AR4+0, -12, A ; 12903
+ ADD *AR4+0, -12, B, A ; 12904
+ ADD *AR4+0, -12, B, B ; 12905
+ ADD *AR4+0, -12, B ; 12906
+ ADD *AR4+0, -11, A, A ; 12907
+ ADD *AR4+0, -11, A, B ; 12908
+ ADD *AR4+0, -11, A ; 12909
+ ADD *AR4+0, -11, B, A ; 12910
+ ADD *AR4+0, -11, B, B ; 12911
+ ADD *AR4+0, -11, B ; 12912
+ ADD *AR4+0, -10, A, A ; 12913
+ ADD *AR4+0, -10, A, B ; 12914
+ ADD *AR4+0, -10, A ; 12915
+ ADD *AR4+0, -10, B, A ; 12916
+ ADD *AR4+0, -10, B, B ; 12917
+ ADD *AR4+0, -10, B ; 12918
+ ADD *AR4+0, -9, A, A ; 12919
+ ADD *AR4+0, -9, A, B ; 12920
+ ADD *AR4+0, -9, A ; 12921
+ ADD *AR4+0, -9, B, A ; 12922
+ ADD *AR4+0, -9, B, B ; 12923
+ ADD *AR4+0, -9, B ; 12924
+ ADD *AR4+0, -8, A, A ; 12925
+ ADD *AR4+0, -8, A, B ; 12926
+ ADD *AR4+0, -8, A ; 12927
+ ADD *AR4+0, -8, B, A ; 12928
+ ADD *AR4+0, -8, B, B ; 12929
+ ADD *AR4+0, -8, B ; 12930
+ ADD *AR4+0, -7, A, A ; 12931
+ ADD *AR4+0, -7, A, B ; 12932
+ ADD *AR4+0, -7, A ; 12933
+ ADD *AR4+0, -7, B, A ; 12934
+ ADD *AR4+0, -7, B, B ; 12935
+ ADD *AR4+0, -7, B ; 12936
+ ADD *AR4+0, -6, A, A ; 12937
+ ADD *AR4+0, -6, A, B ; 12938
+ ADD *AR4+0, -6, A ; 12939
+ ADD *AR4+0, -6, B, A ; 12940
+ ADD *AR4+0, -6, B, B ; 12941
+ ADD *AR4+0, -6, B ; 12942
+ ADD *AR4+0, -5, A, A ; 12943
+ ADD *AR4+0, -5, A, B ; 12944
+ ADD *AR4+0, -5, A ; 12945
+ ADD *AR4+0, -5, B, A ; 12946
+ ADD *AR4+0, -5, B, B ; 12947
+ ADD *AR4+0, -5, B ; 12948
+ ADD *AR4+0, -4, A, A ; 12949
+ ADD *AR4+0, -4, A, B ; 12950
+ ADD *AR4+0, -4, A ; 12951
+ ADD *AR4+0, -4, B, A ; 12952
+ ADD *AR4+0, -4, B, B ; 12953
+ ADD *AR4+0, -4, B ; 12954
+ ADD *AR4+0, -3, A, A ; 12955
+ ADD *AR4+0, -3, A, B ; 12956
+ ADD *AR4+0, -3, A ; 12957
+ ADD *AR4+0, -3, B, A ; 12958
+ ADD *AR4+0, -3, B, B ; 12959
+ ADD *AR4+0, -3, B ; 12960
+ ADD *AR4+0, -2, A, A ; 12961
+ ADD *AR4+0, -2, A, B ; 12962
+ ADD *AR4+0, -2, A ; 12963
+ ADD *AR4+0, -2, B, A ; 12964
+ ADD *AR4+0, -2, B, B ; 12965
+ ADD *AR4+0, -2, B ; 12966
+ ADD *AR4+0, -1, A, A ; 12967
+ ADD *AR4+0, -1, A, B ; 12968
+ ADD *AR4+0, -1, A ; 12969
+ ADD *AR4+0, -1, B, A ; 12970
+ ADD *AR4+0, -1, B, B ; 12971
+ ADD *AR4+0, -1, B ; 12972
+ ADD *AR4+0, 0, A, A ; 12973
+ ADD *AR4+0, 0, A, B ; 12974
+ ADD *AR4+0, 0, A ; 12975
+ ADD *AR4+0, 0, B, A ; 12976
+ ADD *AR4+0, 0, B, B ; 12977
+ ADD *AR4+0, 0, B ; 12978
+ ADD *AR4+0, 1, A, A ; 12979
+ ADD *AR4+0, 1, A, B ; 12980
+ ADD *AR4+0, 1, A ; 12981
+ ADD *AR4+0, 1, B, A ; 12982
+ ADD *AR4+0, 1, B, B ; 12983
+ ADD *AR4+0, 1, B ; 12984
+ ADD *AR4+0, 2, A, A ; 12985
+ ADD *AR4+0, 2, A, B ; 12986
+ ADD *AR4+0, 2, A ; 12987
+ ADD *AR4+0, 2, B, A ; 12988
+ ADD *AR4+0, 2, B, B ; 12989
+ ADD *AR4+0, 2, B ; 12990
+ ADD *AR4+0, 3, A, A ; 12991
+ ADD *AR4+0, 3, A, B ; 12992
+ ADD *AR4+0, 3, A ; 12993
+ ADD *AR4+0, 3, B, A ; 12994
+ ADD *AR4+0, 3, B, B ; 12995
+ ADD *AR4+0, 3, B ; 12996
+ ADD *AR4+0, 4, A, A ; 12997
+ ADD *AR4+0, 4, A, B ; 12998
+ ADD *AR4+0, 4, A ; 12999
+ ADD *AR4+0, 4, B, A ; 13000
+ ADD *AR4+0, 4, B, B ; 13001
+ ADD *AR4+0, 4, B ; 13002
+ ADD *AR4+0, 5, A, A ; 13003
+ ADD *AR4+0, 5, A, B ; 13004
+ ADD *AR4+0, 5, A ; 13005
+ ADD *AR4+0, 5, B, A ; 13006
+ ADD *AR4+0, 5, B, B ; 13007
+ ADD *AR4+0, 5, B ; 13008
+ ADD *AR4+0, 6, A, A ; 13009
+ ADD *AR4+0, 6, A, B ; 13010
+ ADD *AR4+0, 6, A ; 13011
+ ADD *AR4+0, 6, B, A ; 13012
+ ADD *AR4+0, 6, B, B ; 13013
+ ADD *AR4+0, 6, B ; 13014
+ ADD *AR4+0, 7, A, A ; 13015
+ ADD *AR4+0, 7, A, B ; 13016
+ ADD *AR4+0, 7, A ; 13017
+ ADD *AR4+0, 7, B, A ; 13018
+ ADD *AR4+0, 7, B, B ; 13019
+ ADD *AR4+0, 7, B ; 13020
+ ADD *AR4+0, 8, A, A ; 13021
+ ADD *AR4+0, 8, A, B ; 13022
+ ADD *AR4+0, 8, A ; 13023
+ ADD *AR4+0, 8, B, A ; 13024
+ ADD *AR4+0, 8, B, B ; 13025
+ ADD *AR4+0, 8, B ; 13026
+ ADD *AR4+0, 9, A, A ; 13027
+ ADD *AR4+0, 9, A, B ; 13028
+ ADD *AR4+0, 9, A ; 13029
+ ADD *AR4+0, 9, B, A ; 13030
+ ADD *AR4+0, 9, B, B ; 13031
+ ADD *AR4+0, 9, B ; 13032
+ ADD *AR4+0, 10, A, A ; 13033
+ ADD *AR4+0, 10, A, B ; 13034
+ ADD *AR4+0, 10, A ; 13035
+ ADD *AR4+0, 10, B, A ; 13036
+ ADD *AR4+0, 10, B, B ; 13037
+ ADD *AR4+0, 10, B ; 13038
+ ADD *AR4+0, 11, A, A ; 13039
+ ADD *AR4+0, 11, A, B ; 13040
+ ADD *AR4+0, 11, A ; 13041
+ ADD *AR4+0, 11, B, A ; 13042
+ ADD *AR4+0, 11, B, B ; 13043
+ ADD *AR4+0, 11, B ; 13044
+ ADD *AR4+0, 12, A, A ; 13045
+ ADD *AR4+0, 12, A, B ; 13046
+ ADD *AR4+0, 12, A ; 13047
+ ADD *AR4+0, 12, B, A ; 13048
+ ADD *AR4+0, 12, B, B ; 13049
+ ADD *AR4+0, 12, B ; 13050
+ ADD *AR4+0, 13, A, A ; 13051
+ ADD *AR4+0, 13, A, B ; 13052
+ ADD *AR4+0, 13, A ; 13053
+ ADD *AR4+0, 13, B, A ; 13054
+ ADD *AR4+0, 13, B, B ; 13055
+ ADD *AR4+0, 13, B ; 13056
+ ADD *AR4+0, 14, A, A ; 13057
+ ADD *AR4+0, 14, A, B ; 13058
+ ADD *AR4+0, 14, A ; 13059
+ ADD *AR4+0, 14, B, A ; 13060
+ ADD *AR4+0, 14, B, B ; 13061
+ ADD *AR4+0, 14, B ; 13062
+ ADD *AR4+0, 15, A, A ; 13063
+ ADD *AR4+0, 15, A, B ; 13064
+ ADD *AR4+0, 15, A ; 13065
+ ADD *AR4+0, 15, B, A ; 13066
+ ADD *AR4+0, 15, B, B ; 13067
+ ADD *AR4+0, 15, B ; 13068
+ ADD *AR4+0B, A, A ; 13069
+ ADD *AR4+0B, A, B ; 13070
+ ADD *AR4+0B, A ; 13071
+ ADD *AR4+0B, B, A ; 13072
+ ADD *AR4+0B, B, B ; 13073
+ ADD *AR4+0B, B ; 13074
+ ADD *AR4+0B, -16, A, A ; 13075
+ ADD *AR4+0B, -16, A, B ; 13076
+ ADD *AR4+0B, -16, A ; 13077
+ ADD *AR4+0B, -16, B, A ; 13078
+ ADD *AR4+0B, -16, B, B ; 13079
+ ADD *AR4+0B, -16, B ; 13080
+ ADD *AR4+0B, -15, A, A ; 13081
+ ADD *AR4+0B, -15, A, B ; 13082
+ ADD *AR4+0B, -15, A ; 13083
+ ADD *AR4+0B, -15, B, A ; 13084
+ ADD *AR4+0B, -15, B, B ; 13085
+ ADD *AR4+0B, -15, B ; 13086
+ ADD *AR4+0B, -14, A, A ; 13087
+ ADD *AR4+0B, -14, A, B ; 13088
+ ADD *AR4+0B, -14, A ; 13089
+ ADD *AR4+0B, -14, B, A ; 13090
+ ADD *AR4+0B, -14, B, B ; 13091
+ ADD *AR4+0B, -14, B ; 13092
+ ADD *AR4+0B, -13, A, A ; 13093
+ ADD *AR4+0B, -13, A, B ; 13094
+ ADD *AR4+0B, -13, A ; 13095
+ ADD *AR4+0B, -13, B, A ; 13096
+ ADD *AR4+0B, -13, B, B ; 13097
+ ADD *AR4+0B, -13, B ; 13098
+ ADD *AR4+0B, -12, A, A ; 13099
+ ADD *AR4+0B, -12, A, B ; 13100
+ ADD *AR4+0B, -12, A ; 13101
+ ADD *AR4+0B, -12, B, A ; 13102
+ ADD *AR4+0B, -12, B, B ; 13103
+ ADD *AR4+0B, -12, B ; 13104
+ ADD *AR4+0B, -11, A, A ; 13105
+ ADD *AR4+0B, -11, A, B ; 13106
+ ADD *AR4+0B, -11, A ; 13107
+ ADD *AR4+0B, -11, B, A ; 13108
+ ADD *AR4+0B, -11, B, B ; 13109
+ ADD *AR4+0B, -11, B ; 13110
+ ADD *AR4+0B, -10, A, A ; 13111
+ ADD *AR4+0B, -10, A, B ; 13112
+ ADD *AR4+0B, -10, A ; 13113
+ ADD *AR4+0B, -10, B, A ; 13114
+ ADD *AR4+0B, -10, B, B ; 13115
+ ADD *AR4+0B, -10, B ; 13116
+ ADD *AR4+0B, -9, A, A ; 13117
+ ADD *AR4+0B, -9, A, B ; 13118
+ ADD *AR4+0B, -9, A ; 13119
+ ADD *AR4+0B, -9, B, A ; 13120
+ ADD *AR4+0B, -9, B, B ; 13121
+ ADD *AR4+0B, -9, B ; 13122
+ ADD *AR4+0B, -8, A, A ; 13123
+ ADD *AR4+0B, -8, A, B ; 13124
+ ADD *AR4+0B, -8, A ; 13125
+ ADD *AR4+0B, -8, B, A ; 13126
+ ADD *AR4+0B, -8, B, B ; 13127
+ ADD *AR4+0B, -8, B ; 13128
+ ADD *AR4+0B, -7, A, A ; 13129
+ ADD *AR4+0B, -7, A, B ; 13130
+ ADD *AR4+0B, -7, A ; 13131
+ ADD *AR4+0B, -7, B, A ; 13132
+ ADD *AR4+0B, -7, B, B ; 13133
+ ADD *AR4+0B, -7, B ; 13134
+ ADD *AR4+0B, -6, A, A ; 13135
+ ADD *AR4+0B, -6, A, B ; 13136
+ ADD *AR4+0B, -6, A ; 13137
+ ADD *AR4+0B, -6, B, A ; 13138
+ ADD *AR4+0B, -6, B, B ; 13139
+ ADD *AR4+0B, -6, B ; 13140
+ ADD *AR4+0B, -5, A, A ; 13141
+ ADD *AR4+0B, -5, A, B ; 13142
+ ADD *AR4+0B, -5, A ; 13143
+ ADD *AR4+0B, -5, B, A ; 13144
+ ADD *AR4+0B, -5, B, B ; 13145
+ ADD *AR4+0B, -5, B ; 13146
+ ADD *AR4+0B, -4, A, A ; 13147
+ ADD *AR4+0B, -4, A, B ; 13148
+ ADD *AR4+0B, -4, A ; 13149
+ ADD *AR4+0B, -4, B, A ; 13150
+ ADD *AR4+0B, -4, B, B ; 13151
+ ADD *AR4+0B, -4, B ; 13152
+ ADD *AR4+0B, -3, A, A ; 13153
+ ADD *AR4+0B, -3, A, B ; 13154
+ ADD *AR4+0B, -3, A ; 13155
+ ADD *AR4+0B, -3, B, A ; 13156
+ ADD *AR4+0B, -3, B, B ; 13157
+ ADD *AR4+0B, -3, B ; 13158
+ ADD *AR4+0B, -2, A, A ; 13159
+ ADD *AR4+0B, -2, A, B ; 13160
+ ADD *AR4+0B, -2, A ; 13161
+ ADD *AR4+0B, -2, B, A ; 13162
+ ADD *AR4+0B, -2, B, B ; 13163
+ ADD *AR4+0B, -2, B ; 13164
+ ADD *AR4+0B, -1, A, A ; 13165
+ ADD *AR4+0B, -1, A, B ; 13166
+ ADD *AR4+0B, -1, A ; 13167
+ ADD *AR4+0B, -1, B, A ; 13168
+ ADD *AR4+0B, -1, B, B ; 13169
+ ADD *AR4+0B, -1, B ; 13170
+ ADD *AR4+0B, 0, A, A ; 13171
+ ADD *AR4+0B, 0, A, B ; 13172
+ ADD *AR4+0B, 0, A ; 13173
+ ADD *AR4+0B, 0, B, A ; 13174
+ ADD *AR4+0B, 0, B, B ; 13175
+ ADD *AR4+0B, 0, B ; 13176
+ ADD *AR4+0B, 1, A, A ; 13177
+ ADD *AR4+0B, 1, A, B ; 13178
+ ADD *AR4+0B, 1, A ; 13179
+ ADD *AR4+0B, 1, B, A ; 13180
+ ADD *AR4+0B, 1, B, B ; 13181
+ ADD *AR4+0B, 1, B ; 13182
+ ADD *AR4+0B, 2, A, A ; 13183
+ ADD *AR4+0B, 2, A, B ; 13184
+ ADD *AR4+0B, 2, A ; 13185
+ ADD *AR4+0B, 2, B, A ; 13186
+ ADD *AR4+0B, 2, B, B ; 13187
+ ADD *AR4+0B, 2, B ; 13188
+ ADD *AR4+0B, 3, A, A ; 13189
+ ADD *AR4+0B, 3, A, B ; 13190
+ ADD *AR4+0B, 3, A ; 13191
+ ADD *AR4+0B, 3, B, A ; 13192
+ ADD *AR4+0B, 3, B, B ; 13193
+ ADD *AR4+0B, 3, B ; 13194
+ ADD *AR4+0B, 4, A, A ; 13195
+ ADD *AR4+0B, 4, A, B ; 13196
+ ADD *AR4+0B, 4, A ; 13197
+ ADD *AR4+0B, 4, B, A ; 13198
+ ADD *AR4+0B, 4, B, B ; 13199
+ ADD *AR4+0B, 4, B ; 13200
+ ADD *AR4+0B, 5, A, A ; 13201
+ ADD *AR4+0B, 5, A, B ; 13202
+ ADD *AR4+0B, 5, A ; 13203
+ ADD *AR4+0B, 5, B, A ; 13204
+ ADD *AR4+0B, 5, B, B ; 13205
+ ADD *AR4+0B, 5, B ; 13206
+ ADD *AR4+0B, 6, A, A ; 13207
+ ADD *AR4+0B, 6, A, B ; 13208
+ ADD *AR4+0B, 6, A ; 13209
+ ADD *AR4+0B, 6, B, A ; 13210
+ ADD *AR4+0B, 6, B, B ; 13211
+ ADD *AR4+0B, 6, B ; 13212
+ ADD *AR4+0B, 7, A, A ; 13213
+ ADD *AR4+0B, 7, A, B ; 13214
+ ADD *AR4+0B, 7, A ; 13215
+ ADD *AR4+0B, 7, B, A ; 13216
+ ADD *AR4+0B, 7, B, B ; 13217
+ ADD *AR4+0B, 7, B ; 13218
+ ADD *AR4+0B, 8, A, A ; 13219
+ ADD *AR4+0B, 8, A, B ; 13220
+ ADD *AR4+0B, 8, A ; 13221
+ ADD *AR4+0B, 8, B, A ; 13222
+ ADD *AR4+0B, 8, B, B ; 13223
+ ADD *AR4+0B, 8, B ; 13224
+ ADD *AR4+0B, 9, A, A ; 13225
+ ADD *AR4+0B, 9, A, B ; 13226
+ ADD *AR4+0B, 9, A ; 13227
+ ADD *AR4+0B, 9, B, A ; 13228
+ ADD *AR4+0B, 9, B, B ; 13229
+ ADD *AR4+0B, 9, B ; 13230
+ ADD *AR4+0B, 10, A, A ; 13231
+ ADD *AR4+0B, 10, A, B ; 13232
+ ADD *AR4+0B, 10, A ; 13233
+ ADD *AR4+0B, 10, B, A ; 13234
+ ADD *AR4+0B, 10, B, B ; 13235
+ ADD *AR4+0B, 10, B ; 13236
+ ADD *AR4+0B, 11, A, A ; 13237
+ ADD *AR4+0B, 11, A, B ; 13238
+ ADD *AR4+0B, 11, A ; 13239
+ ADD *AR4+0B, 11, B, A ; 13240
+ ADD *AR4+0B, 11, B, B ; 13241
+ ADD *AR4+0B, 11, B ; 13242
+ ADD *AR4+0B, 12, A, A ; 13243
+ ADD *AR4+0B, 12, A, B ; 13244
+ ADD *AR4+0B, 12, A ; 13245
+ ADD *AR4+0B, 12, B, A ; 13246
+ ADD *AR4+0B, 12, B, B ; 13247
+ ADD *AR4+0B, 12, B ; 13248
+ ADD *AR4+0B, 13, A, A ; 13249
+ ADD *AR4+0B, 13, A, B ; 13250
+ ADD *AR4+0B, 13, A ; 13251
+ ADD *AR4+0B, 13, B, A ; 13252
+ ADD *AR4+0B, 13, B, B ; 13253
+ ADD *AR4+0B, 13, B ; 13254
+ ADD *AR4+0B, 14, A, A ; 13255
+ ADD *AR4+0B, 14, A, B ; 13256
+ ADD *AR4+0B, 14, A ; 13257
+ ADD *AR4+0B, 14, B, A ; 13258
+ ADD *AR4+0B, 14, B, B ; 13259
+ ADD *AR4+0B, 14, B ; 13260
+ ADD *AR4+0B, 15, A, A ; 13261
+ ADD *AR4+0B, 15, A, B ; 13262
+ ADD *AR4+0B, 15, A ; 13263
+ ADD *AR4+0B, 15, B, A ; 13264
+ ADD *AR4+0B, 15, B, B ; 13265
+ ADD *AR4+0B, 15, B ; 13266
+ ADD *AR4-%, A, A ; 13267
+ ADD *AR4-%, A, B ; 13268
+ ADD *AR4-%, A ; 13269
+ ADD *AR4-%, B, A ; 13270
+ ADD *AR4-%, B, B ; 13271
+ ADD *AR4-%, B ; 13272
+ ADD *AR4-%, -16, A, A ; 13273
+ ADD *AR4-%, -16, A, B ; 13274
+ ADD *AR4-%, -16, A ; 13275
+ ADD *AR4-%, -16, B, A ; 13276
+ ADD *AR4-%, -16, B, B ; 13277
+ ADD *AR4-%, -16, B ; 13278
+ ADD *AR4-%, -15, A, A ; 13279
+ ADD *AR4-%, -15, A, B ; 13280
+ ADD *AR4-%, -15, A ; 13281
+ ADD *AR4-%, -15, B, A ; 13282
+ ADD *AR4-%, -15, B, B ; 13283
+ ADD *AR4-%, -15, B ; 13284
+ ADD *AR4-%, -14, A, A ; 13285
+ ADD *AR4-%, -14, A, B ; 13286
+ ADD *AR4-%, -14, A ; 13287
+ ADD *AR4-%, -14, B, A ; 13288
+ ADD *AR4-%, -14, B, B ; 13289
+ ADD *AR4-%, -14, B ; 13290
+ ADD *AR4-%, -13, A, A ; 13291
+ ADD *AR4-%, -13, A, B ; 13292
+ ADD *AR4-%, -13, A ; 13293
+ ADD *AR4-%, -13, B, A ; 13294
+ ADD *AR4-%, -13, B, B ; 13295
+ ADD *AR4-%, -13, B ; 13296
+ ADD *AR4-%, -12, A, A ; 13297
+ ADD *AR4-%, -12, A, B ; 13298
+ ADD *AR4-%, -12, A ; 13299
+ ADD *AR4-%, -12, B, A ; 13300
+ ADD *AR4-%, -12, B, B ; 13301
+ ADD *AR4-%, -12, B ; 13302
+ ADD *AR4-%, -11, A, A ; 13303
+ ADD *AR4-%, -11, A, B ; 13304
+ ADD *AR4-%, -11, A ; 13305
+ ADD *AR4-%, -11, B, A ; 13306
+ ADD *AR4-%, -11, B, B ; 13307
+ ADD *AR4-%, -11, B ; 13308
+ ADD *AR4-%, -10, A, A ; 13309
+ ADD *AR4-%, -10, A, B ; 13310
+ ADD *AR4-%, -10, A ; 13311
+ ADD *AR4-%, -10, B, A ; 13312
+ ADD *AR4-%, -10, B, B ; 13313
+ ADD *AR4-%, -10, B ; 13314
+ ADD *AR4-%, -9, A, A ; 13315
+ ADD *AR4-%, -9, A, B ; 13316
+ ADD *AR4-%, -9, A ; 13317
+ ADD *AR4-%, -9, B, A ; 13318
+ ADD *AR4-%, -9, B, B ; 13319
+ ADD *AR4-%, -9, B ; 13320
+ ADD *AR4-%, -8, A, A ; 13321
+ ADD *AR4-%, -8, A, B ; 13322
+ ADD *AR4-%, -8, A ; 13323
+ ADD *AR4-%, -8, B, A ; 13324
+ ADD *AR4-%, -8, B, B ; 13325
+ ADD *AR4-%, -8, B ; 13326
+ ADD *AR4-%, -7, A, A ; 13327
+ ADD *AR4-%, -7, A, B ; 13328
+ ADD *AR4-%, -7, A ; 13329
+ ADD *AR4-%, -7, B, A ; 13330
+ ADD *AR4-%, -7, B, B ; 13331
+ ADD *AR4-%, -7, B ; 13332
+ ADD *AR4-%, -6, A, A ; 13333
+ ADD *AR4-%, -6, A, B ; 13334
+ ADD *AR4-%, -6, A ; 13335
+ ADD *AR4-%, -6, B, A ; 13336
+ ADD *AR4-%, -6, B, B ; 13337
+ ADD *AR4-%, -6, B ; 13338
+ ADD *AR4-%, -5, A, A ; 13339
+ ADD *AR4-%, -5, A, B ; 13340
+ ADD *AR4-%, -5, A ; 13341
+ ADD *AR4-%, -5, B, A ; 13342
+ ADD *AR4-%, -5, B, B ; 13343
+ ADD *AR4-%, -5, B ; 13344
+ ADD *AR4-%, -4, A, A ; 13345
+ ADD *AR4-%, -4, A, B ; 13346
+ ADD *AR4-%, -4, A ; 13347
+ ADD *AR4-%, -4, B, A ; 13348
+ ADD *AR4-%, -4, B, B ; 13349
+ ADD *AR4-%, -4, B ; 13350
+ ADD *AR4-%, -3, A, A ; 13351
+ ADD *AR4-%, -3, A, B ; 13352
+ ADD *AR4-%, -3, A ; 13353
+ ADD *AR4-%, -3, B, A ; 13354
+ ADD *AR4-%, -3, B, B ; 13355
+ ADD *AR4-%, -3, B ; 13356
+ ADD *AR4-%, -2, A, A ; 13357
+ ADD *AR4-%, -2, A, B ; 13358
+ ADD *AR4-%, -2, A ; 13359
+ ADD *AR4-%, -2, B, A ; 13360
+ ADD *AR4-%, -2, B, B ; 13361
+ ADD *AR4-%, -2, B ; 13362
+ ADD *AR4-%, -1, A, A ; 13363
+ ADD *AR4-%, -1, A, B ; 13364
+ ADD *AR4-%, -1, A ; 13365
+ ADD *AR4-%, -1, B, A ; 13366
+ ADD *AR4-%, -1, B, B ; 13367
+ ADD *AR4-%, -1, B ; 13368
+ ADD *AR4-%, 0, A, A ; 13369
+ ADD *AR4-%, 0, A, B ; 13370
+ ADD *AR4-%, 0, A ; 13371
+ ADD *AR4-%, 0, B, A ; 13372
+ ADD *AR4-%, 0, B, B ; 13373
+ ADD *AR4-%, 0, B ; 13374
+ ADD *AR4-%, 1, A, A ; 13375
+ ADD *AR4-%, 1, A, B ; 13376
+ ADD *AR4-%, 1, A ; 13377
+ ADD *AR4-%, 1, B, A ; 13378
+ ADD *AR4-%, 1, B, B ; 13379
+ ADD *AR4-%, 1, B ; 13380
+ ADD *AR4-%, 2, A, A ; 13381
+ ADD *AR4-%, 2, A, B ; 13382
+ ADD *AR4-%, 2, A ; 13383
+ ADD *AR4-%, 2, B, A ; 13384
+ ADD *AR4-%, 2, B, B ; 13385
+ ADD *AR4-%, 2, B ; 13386
+ ADD *AR4-%, 3, A, A ; 13387
+ ADD *AR4-%, 3, A, B ; 13388
+ ADD *AR4-%, 3, A ; 13389
+ ADD *AR4-%, 3, B, A ; 13390
+ ADD *AR4-%, 3, B, B ; 13391
+ ADD *AR4-%, 3, B ; 13392
+ ADD *AR4-%, 4, A, A ; 13393
+ ADD *AR4-%, 4, A, B ; 13394
+ ADD *AR4-%, 4, A ; 13395
+ ADD *AR4-%, 4, B, A ; 13396
+ ADD *AR4-%, 4, B, B ; 13397
+ ADD *AR4-%, 4, B ; 13398
+ ADD *AR4-%, 5, A, A ; 13399
+ ADD *AR4-%, 5, A, B ; 13400
+ ADD *AR4-%, 5, A ; 13401
+ ADD *AR4-%, 5, B, A ; 13402
+ ADD *AR4-%, 5, B, B ; 13403
+ ADD *AR4-%, 5, B ; 13404
+ ADD *AR4-%, 6, A, A ; 13405
+ ADD *AR4-%, 6, A, B ; 13406
+ ADD *AR4-%, 6, A ; 13407
+ ADD *AR4-%, 6, B, A ; 13408
+ ADD *AR4-%, 6, B, B ; 13409
+ ADD *AR4-%, 6, B ; 13410
+ ADD *AR4-%, 7, A, A ; 13411
+ ADD *AR4-%, 7, A, B ; 13412
+ ADD *AR4-%, 7, A ; 13413
+ ADD *AR4-%, 7, B, A ; 13414
+ ADD *AR4-%, 7, B, B ; 13415
+ ADD *AR4-%, 7, B ; 13416
+ ADD *AR4-%, 8, A, A ; 13417
+ ADD *AR4-%, 8, A, B ; 13418
+ ADD *AR4-%, 8, A ; 13419
+ ADD *AR4-%, 8, B, A ; 13420
+ ADD *AR4-%, 8, B, B ; 13421
+ ADD *AR4-%, 8, B ; 13422
+ ADD *AR4-%, 9, A, A ; 13423
+ ADD *AR4-%, 9, A, B ; 13424
+ ADD *AR4-%, 9, A ; 13425
+ ADD *AR4-%, 9, B, A ; 13426
+ ADD *AR4-%, 9, B, B ; 13427
+ ADD *AR4-%, 9, B ; 13428
+ ADD *AR4-%, 10, A, A ; 13429
+ ADD *AR4-%, 10, A, B ; 13430
+ ADD *AR4-%, 10, A ; 13431
+ ADD *AR4-%, 10, B, A ; 13432
+ ADD *AR4-%, 10, B, B ; 13433
+ ADD *AR4-%, 10, B ; 13434
+ ADD *AR4-%, 11, A, A ; 13435
+ ADD *AR4-%, 11, A, B ; 13436
+ ADD *AR4-%, 11, A ; 13437
+ ADD *AR4-%, 11, B, A ; 13438
+ ADD *AR4-%, 11, B, B ; 13439
+ ADD *AR4-%, 11, B ; 13440
+ ADD *AR4-%, 12, A, A ; 13441
+ ADD *AR4-%, 12, A, B ; 13442
+ ADD *AR4-%, 12, A ; 13443
+ ADD *AR4-%, 12, B, A ; 13444
+ ADD *AR4-%, 12, B, B ; 13445
+ ADD *AR4-%, 12, B ; 13446
+ ADD *AR4-%, 13, A, A ; 13447
+ ADD *AR4-%, 13, A, B ; 13448
+ ADD *AR4-%, 13, A ; 13449
+ ADD *AR4-%, 13, B, A ; 13450
+ ADD *AR4-%, 13, B, B ; 13451
+ ADD *AR4-%, 13, B ; 13452
+ ADD *AR4-%, 14, A, A ; 13453
+ ADD *AR4-%, 14, A, B ; 13454
+ ADD *AR4-%, 14, A ; 13455
+ ADD *AR4-%, 14, B, A ; 13456
+ ADD *AR4-%, 14, B, B ; 13457
+ ADD *AR4-%, 14, B ; 13458
+ ADD *AR4-%, 15, A, A ; 13459
+ ADD *AR4-%, 15, A, B ; 13460
+ ADD *AR4-%, 15, A ; 13461
+ ADD *AR4-%, 15, B, A ; 13462
+ ADD *AR4-%, 15, B, B ; 13463
+ ADD *AR4-%, 15, B ; 13464
+ ADD *AR4-0%, A, A ; 13465
+ ADD *AR4-0%, A, B ; 13466
+ ADD *AR4-0%, A ; 13467
+ ADD *AR4-0%, B, A ; 13468
+ ADD *AR4-0%, B, B ; 13469
+ ADD *AR4-0%, B ; 13470
+ ADD *AR4-0%, -16, A, A ; 13471
+ ADD *AR4-0%, -16, A, B ; 13472
+ ADD *AR4-0%, -16, A ; 13473
+ ADD *AR4-0%, -16, B, A ; 13474
+ ADD *AR4-0%, -16, B, B ; 13475
+ ADD *AR4-0%, -16, B ; 13476
+ ADD *AR4-0%, -15, A, A ; 13477
+ ADD *AR4-0%, -15, A, B ; 13478
+ ADD *AR4-0%, -15, A ; 13479
+ ADD *AR4-0%, -15, B, A ; 13480
+ ADD *AR4-0%, -15, B, B ; 13481
+ ADD *AR4-0%, -15, B ; 13482
+ ADD *AR4-0%, -14, A, A ; 13483
+ ADD *AR4-0%, -14, A, B ; 13484
+ ADD *AR4-0%, -14, A ; 13485
+ ADD *AR4-0%, -14, B, A ; 13486
+ ADD *AR4-0%, -14, B, B ; 13487
+ ADD *AR4-0%, -14, B ; 13488
+ ADD *AR4-0%, -13, A, A ; 13489
+ ADD *AR4-0%, -13, A, B ; 13490
+ ADD *AR4-0%, -13, A ; 13491
+ ADD *AR4-0%, -13, B, A ; 13492
+ ADD *AR4-0%, -13, B, B ; 13493
+ ADD *AR4-0%, -13, B ; 13494
+ ADD *AR4-0%, -12, A, A ; 13495
+ ADD *AR4-0%, -12, A, B ; 13496
+ ADD *AR4-0%, -12, A ; 13497
+ ADD *AR4-0%, -12, B, A ; 13498
+ ADD *AR4-0%, -12, B, B ; 13499
+ ADD *AR4-0%, -12, B ; 13500
+ ADD *AR4-0%, -11, A, A ; 13501
+ ADD *AR4-0%, -11, A, B ; 13502
+ ADD *AR4-0%, -11, A ; 13503
+ ADD *AR4-0%, -11, B, A ; 13504
+ ADD *AR4-0%, -11, B, B ; 13505
+ ADD *AR4-0%, -11, B ; 13506
+ ADD *AR4-0%, -10, A, A ; 13507
+ ADD *AR4-0%, -10, A, B ; 13508
+ ADD *AR4-0%, -10, A ; 13509
+ ADD *AR4-0%, -10, B, A ; 13510
+ ADD *AR4-0%, -10, B, B ; 13511
+ ADD *AR4-0%, -10, B ; 13512
+ ADD *AR4-0%, -9, A, A ; 13513
+ ADD *AR4-0%, -9, A, B ; 13514
+ ADD *AR4-0%, -9, A ; 13515
+ ADD *AR4-0%, -9, B, A ; 13516
+ ADD *AR4-0%, -9, B, B ; 13517
+ ADD *AR4-0%, -9, B ; 13518
+ ADD *AR4-0%, -8, A, A ; 13519
+ ADD *AR4-0%, -8, A, B ; 13520
+ ADD *AR4-0%, -8, A ; 13521
+ ADD *AR4-0%, -8, B, A ; 13522
+ ADD *AR4-0%, -8, B, B ; 13523
+ ADD *AR4-0%, -8, B ; 13524
+ ADD *AR4-0%, -7, A, A ; 13525
+ ADD *AR4-0%, -7, A, B ; 13526
+ ADD *AR4-0%, -7, A ; 13527
+ ADD *AR4-0%, -7, B, A ; 13528
+ ADD *AR4-0%, -7, B, B ; 13529
+ ADD *AR4-0%, -7, B ; 13530
+ ADD *AR4-0%, -6, A, A ; 13531
+ ADD *AR4-0%, -6, A, B ; 13532
+ ADD *AR4-0%, -6, A ; 13533
+ ADD *AR4-0%, -6, B, A ; 13534
+ ADD *AR4-0%, -6, B, B ; 13535
+ ADD *AR4-0%, -6, B ; 13536
+ ADD *AR4-0%, -5, A, A ; 13537
+ ADD *AR4-0%, -5, A, B ; 13538
+ ADD *AR4-0%, -5, A ; 13539
+ ADD *AR4-0%, -5, B, A ; 13540
+ ADD *AR4-0%, -5, B, B ; 13541
+ ADD *AR4-0%, -5, B ; 13542
+ ADD *AR4-0%, -4, A, A ; 13543
+ ADD *AR4-0%, -4, A, B ; 13544
+ ADD *AR4-0%, -4, A ; 13545
+ ADD *AR4-0%, -4, B, A ; 13546
+ ADD *AR4-0%, -4, B, B ; 13547
+ ADD *AR4-0%, -4, B ; 13548
+ ADD *AR4-0%, -3, A, A ; 13549
+ ADD *AR4-0%, -3, A, B ; 13550
+ ADD *AR4-0%, -3, A ; 13551
+ ADD *AR4-0%, -3, B, A ; 13552
+ ADD *AR4-0%, -3, B, B ; 13553
+ ADD *AR4-0%, -3, B ; 13554
+ ADD *AR4-0%, -2, A, A ; 13555
+ ADD *AR4-0%, -2, A, B ; 13556
+ ADD *AR4-0%, -2, A ; 13557
+ ADD *AR4-0%, -2, B, A ; 13558
+ ADD *AR4-0%, -2, B, B ; 13559
+ ADD *AR4-0%, -2, B ; 13560
+ ADD *AR4-0%, -1, A, A ; 13561
+ ADD *AR4-0%, -1, A, B ; 13562
+ ADD *AR4-0%, -1, A ; 13563
+ ADD *AR4-0%, -1, B, A ; 13564
+ ADD *AR4-0%, -1, B, B ; 13565
+ ADD *AR4-0%, -1, B ; 13566
+ ADD *AR4-0%, 0, A, A ; 13567
+ ADD *AR4-0%, 0, A, B ; 13568
+ ADD *AR4-0%, 0, A ; 13569
+ ADD *AR4-0%, 0, B, A ; 13570
+ ADD *AR4-0%, 0, B, B ; 13571
+ ADD *AR4-0%, 0, B ; 13572
+ ADD *AR4-0%, 1, A, A ; 13573
+ ADD *AR4-0%, 1, A, B ; 13574
+ ADD *AR4-0%, 1, A ; 13575
+ ADD *AR4-0%, 1, B, A ; 13576
+ ADD *AR4-0%, 1, B, B ; 13577
+ ADD *AR4-0%, 1, B ; 13578
+ ADD *AR4-0%, 2, A, A ; 13579
+ ADD *AR4-0%, 2, A, B ; 13580
+ ADD *AR4-0%, 2, A ; 13581
+ ADD *AR4-0%, 2, B, A ; 13582
+ ADD *AR4-0%, 2, B, B ; 13583
+ ADD *AR4-0%, 2, B ; 13584
+ ADD *AR4-0%, 3, A, A ; 13585
+ ADD *AR4-0%, 3, A, B ; 13586
+ ADD *AR4-0%, 3, A ; 13587
+ ADD *AR4-0%, 3, B, A ; 13588
+ ADD *AR4-0%, 3, B, B ; 13589
+ ADD *AR4-0%, 3, B ; 13590
+ ADD *AR4-0%, 4, A, A ; 13591
+ ADD *AR4-0%, 4, A, B ; 13592
+ ADD *AR4-0%, 4, A ; 13593
+ ADD *AR4-0%, 4, B, A ; 13594
+ ADD *AR4-0%, 4, B, B ; 13595
+ ADD *AR4-0%, 4, B ; 13596
+ ADD *AR4-0%, 5, A, A ; 13597
+ ADD *AR4-0%, 5, A, B ; 13598
+ ADD *AR4-0%, 5, A ; 13599
+ ADD *AR4-0%, 5, B, A ; 13600
+ ADD *AR4-0%, 5, B, B ; 13601
+ ADD *AR4-0%, 5, B ; 13602
+ ADD *AR4-0%, 6, A, A ; 13603
+ ADD *AR4-0%, 6, A, B ; 13604
+ ADD *AR4-0%, 6, A ; 13605
+ ADD *AR4-0%, 6, B, A ; 13606
+ ADD *AR4-0%, 6, B, B ; 13607
+ ADD *AR4-0%, 6, B ; 13608
+ ADD *AR4-0%, 7, A, A ; 13609
+ ADD *AR4-0%, 7, A, B ; 13610
+ ADD *AR4-0%, 7, A ; 13611
+ ADD *AR4-0%, 7, B, A ; 13612
+ ADD *AR4-0%, 7, B, B ; 13613
+ ADD *AR4-0%, 7, B ; 13614
+ ADD *AR4-0%, 8, A, A ; 13615
+ ADD *AR4-0%, 8, A, B ; 13616
+ ADD *AR4-0%, 8, A ; 13617
+ ADD *AR4-0%, 8, B, A ; 13618
+ ADD *AR4-0%, 8, B, B ; 13619
+ ADD *AR4-0%, 8, B ; 13620
+ ADD *AR4-0%, 9, A, A ; 13621
+ ADD *AR4-0%, 9, A, B ; 13622
+ ADD *AR4-0%, 9, A ; 13623
+ ADD *AR4-0%, 9, B, A ; 13624
+ ADD *AR4-0%, 9, B, B ; 13625
+ ADD *AR4-0%, 9, B ; 13626
+ ADD *AR4-0%, 10, A, A ; 13627
+ ADD *AR4-0%, 10, A, B ; 13628
+ ADD *AR4-0%, 10, A ; 13629
+ ADD *AR4-0%, 10, B, A ; 13630
+ ADD *AR4-0%, 10, B, B ; 13631
+ ADD *AR4-0%, 10, B ; 13632
+ ADD *AR4-0%, 11, A, A ; 13633
+ ADD *AR4-0%, 11, A, B ; 13634
+ ADD *AR4-0%, 11, A ; 13635
+ ADD *AR4-0%, 11, B, A ; 13636
+ ADD *AR4-0%, 11, B, B ; 13637
+ ADD *AR4-0%, 11, B ; 13638
+ ADD *AR4-0%, 12, A, A ; 13639
+ ADD *AR4-0%, 12, A, B ; 13640
+ ADD *AR4-0%, 12, A ; 13641
+ ADD *AR4-0%, 12, B, A ; 13642
+ ADD *AR4-0%, 12, B, B ; 13643
+ ADD *AR4-0%, 12, B ; 13644
+ ADD *AR4-0%, 13, A, A ; 13645
+ ADD *AR4-0%, 13, A, B ; 13646
+ ADD *AR4-0%, 13, A ; 13647
+ ADD *AR4-0%, 13, B, A ; 13648
+ ADD *AR4-0%, 13, B, B ; 13649
+ ADD *AR4-0%, 13, B ; 13650
+ ADD *AR4-0%, 14, A, A ; 13651
+ ADD *AR4-0%, 14, A, B ; 13652
+ ADD *AR4-0%, 14, A ; 13653
+ ADD *AR4-0%, 14, B, A ; 13654
+ ADD *AR4-0%, 14, B, B ; 13655
+ ADD *AR4-0%, 14, B ; 13656
+ ADD *AR4-0%, 15, A, A ; 13657
+ ADD *AR4-0%, 15, A, B ; 13658
+ ADD *AR4-0%, 15, A ; 13659
+ ADD *AR4-0%, 15, B, A ; 13660
+ ADD *AR4-0%, 15, B, B ; 13661
+ ADD *AR4-0%, 15, B ; 13662
+ ADD *AR4+%, A, A ; 13663
+ ADD *AR4+%, A, B ; 13664
+ ADD *AR4+%, A ; 13665
+ ADD *AR4+%, B, A ; 13666
+ ADD *AR4+%, B, B ; 13667
+ ADD *AR4+%, B ; 13668
+ ADD *AR4+%, -16, A, A ; 13669
+ ADD *AR4+%, -16, A, B ; 13670
+ ADD *AR4+%, -16, A ; 13671
+ ADD *AR4+%, -16, B, A ; 13672
+ ADD *AR4+%, -16, B, B ; 13673
+ ADD *AR4+%, -16, B ; 13674
+ ADD *AR4+%, -15, A, A ; 13675
+ ADD *AR4+%, -15, A, B ; 13676
+ ADD *AR4+%, -15, A ; 13677
+ ADD *AR4+%, -15, B, A ; 13678
+ ADD *AR4+%, -15, B, B ; 13679
+ ADD *AR4+%, -15, B ; 13680
+ ADD *AR4+%, -14, A, A ; 13681
+ ADD *AR4+%, -14, A, B ; 13682
+ ADD *AR4+%, -14, A ; 13683
+ ADD *AR4+%, -14, B, A ; 13684
+ ADD *AR4+%, -14, B, B ; 13685
+ ADD *AR4+%, -14, B ; 13686
+ ADD *AR4+%, -13, A, A ; 13687
+ ADD *AR4+%, -13, A, B ; 13688
+ ADD *AR4+%, -13, A ; 13689
+ ADD *AR4+%, -13, B, A ; 13690
+ ADD *AR4+%, -13, B, B ; 13691
+ ADD *AR4+%, -13, B ; 13692
+ ADD *AR4+%, -12, A, A ; 13693
+ ADD *AR4+%, -12, A, B ; 13694
+ ADD *AR4+%, -12, A ; 13695
+ ADD *AR4+%, -12, B, A ; 13696
+ ADD *AR4+%, -12, B, B ; 13697
+ ADD *AR4+%, -12, B ; 13698
+ ADD *AR4+%, -11, A, A ; 13699
+ ADD *AR4+%, -11, A, B ; 13700
+ ADD *AR4+%, -11, A ; 13701
+ ADD *AR4+%, -11, B, A ; 13702
+ ADD *AR4+%, -11, B, B ; 13703
+ ADD *AR4+%, -11, B ; 13704
+ ADD *AR4+%, -10, A, A ; 13705
+ ADD *AR4+%, -10, A, B ; 13706
+ ADD *AR4+%, -10, A ; 13707
+ ADD *AR4+%, -10, B, A ; 13708
+ ADD *AR4+%, -10, B, B ; 13709
+ ADD *AR4+%, -10, B ; 13710
+ ADD *AR4+%, -9, A, A ; 13711
+ ADD *AR4+%, -9, A, B ; 13712
+ ADD *AR4+%, -9, A ; 13713
+ ADD *AR4+%, -9, B, A ; 13714
+ ADD *AR4+%, -9, B, B ; 13715
+ ADD *AR4+%, -9, B ; 13716
+ ADD *AR4+%, -8, A, A ; 13717
+ ADD *AR4+%, -8, A, B ; 13718
+ ADD *AR4+%, -8, A ; 13719
+ ADD *AR4+%, -8, B, A ; 13720
+ ADD *AR4+%, -8, B, B ; 13721
+ ADD *AR4+%, -8, B ; 13722
+ ADD *AR4+%, -7, A, A ; 13723
+ ADD *AR4+%, -7, A, B ; 13724
+ ADD *AR4+%, -7, A ; 13725
+ ADD *AR4+%, -7, B, A ; 13726
+ ADD *AR4+%, -7, B, B ; 13727
+ ADD *AR4+%, -7, B ; 13728
+ ADD *AR4+%, -6, A, A ; 13729
+ ADD *AR4+%, -6, A, B ; 13730
+ ADD *AR4+%, -6, A ; 13731
+ ADD *AR4+%, -6, B, A ; 13732
+ ADD *AR4+%, -6, B, B ; 13733
+ ADD *AR4+%, -6, B ; 13734
+ ADD *AR4+%, -5, A, A ; 13735
+ ADD *AR4+%, -5, A, B ; 13736
+ ADD *AR4+%, -5, A ; 13737
+ ADD *AR4+%, -5, B, A ; 13738
+ ADD *AR4+%, -5, B, B ; 13739
+ ADD *AR4+%, -5, B ; 13740
+ ADD *AR4+%, -4, A, A ; 13741
+ ADD *AR4+%, -4, A, B ; 13742
+ ADD *AR4+%, -4, A ; 13743
+ ADD *AR4+%, -4, B, A ; 13744
+ ADD *AR4+%, -4, B, B ; 13745
+ ADD *AR4+%, -4, B ; 13746
+ ADD *AR4+%, -3, A, A ; 13747
+ ADD *AR4+%, -3, A, B ; 13748
+ ADD *AR4+%, -3, A ; 13749
+ ADD *AR4+%, -3, B, A ; 13750
+ ADD *AR4+%, -3, B, B ; 13751
+ ADD *AR4+%, -3, B ; 13752
+ ADD *AR4+%, -2, A, A ; 13753
+ ADD *AR4+%, -2, A, B ; 13754
+ ADD *AR4+%, -2, A ; 13755
+ ADD *AR4+%, -2, B, A ; 13756
+ ADD *AR4+%, -2, B, B ; 13757
+ ADD *AR4+%, -2, B ; 13758
+ ADD *AR4+%, -1, A, A ; 13759
+ ADD *AR4+%, -1, A, B ; 13760
+ ADD *AR4+%, -1, A ; 13761
+ ADD *AR4+%, -1, B, A ; 13762
+ ADD *AR4+%, -1, B, B ; 13763
+ ADD *AR4+%, -1, B ; 13764
+ ADD *AR4+%, 0, A, A ; 13765
+ ADD *AR4+%, 0, A, B ; 13766
+ ADD *AR4+%, 0, A ; 13767
+ ADD *AR4+%, 0, B, A ; 13768
+ ADD *AR4+%, 0, B, B ; 13769
+ ADD *AR4+%, 0, B ; 13770
+ ADD *AR4+%, 1, A, A ; 13771
+ ADD *AR4+%, 1, A, B ; 13772
+ ADD *AR4+%, 1, A ; 13773
+ ADD *AR4+%, 1, B, A ; 13774
+ ADD *AR4+%, 1, B, B ; 13775
+ ADD *AR4+%, 1, B ; 13776
+ ADD *AR4+%, 2, A, A ; 13777
+ ADD *AR4+%, 2, A, B ; 13778
+ ADD *AR4+%, 2, A ; 13779
+ ADD *AR4+%, 2, B, A ; 13780
+ ADD *AR4+%, 2, B, B ; 13781
+ ADD *AR4+%, 2, B ; 13782
+ ADD *AR4+%, 3, A, A ; 13783
+ ADD *AR4+%, 3, A, B ; 13784
+ ADD *AR4+%, 3, A ; 13785
+ ADD *AR4+%, 3, B, A ; 13786
+ ADD *AR4+%, 3, B, B ; 13787
+ ADD *AR4+%, 3, B ; 13788
+ ADD *AR4+%, 4, A, A ; 13789
+ ADD *AR4+%, 4, A, B ; 13790
+ ADD *AR4+%, 4, A ; 13791
+ ADD *AR4+%, 4, B, A ; 13792
+ ADD *AR4+%, 4, B, B ; 13793
+ ADD *AR4+%, 4, B ; 13794
+ ADD *AR4+%, 5, A, A ; 13795
+ ADD *AR4+%, 5, A, B ; 13796
+ ADD *AR4+%, 5, A ; 13797
+ ADD *AR4+%, 5, B, A ; 13798
+ ADD *AR4+%, 5, B, B ; 13799
+ ADD *AR4+%, 5, B ; 13800
+ ADD *AR4+%, 6, A, A ; 13801
+ ADD *AR4+%, 6, A, B ; 13802
+ ADD *AR4+%, 6, A ; 13803
+ ADD *AR4+%, 6, B, A ; 13804
+ ADD *AR4+%, 6, B, B ; 13805
+ ADD *AR4+%, 6, B ; 13806
+ ADD *AR4+%, 7, A, A ; 13807
+ ADD *AR4+%, 7, A, B ; 13808
+ ADD *AR4+%, 7, A ; 13809
+ ADD *AR4+%, 7, B, A ; 13810
+ ADD *AR4+%, 7, B, B ; 13811
+ ADD *AR4+%, 7, B ; 13812
+ ADD *AR4+%, 8, A, A ; 13813
+ ADD *AR4+%, 8, A, B ; 13814
+ ADD *AR4+%, 8, A ; 13815
+ ADD *AR4+%, 8, B, A ; 13816
+ ADD *AR4+%, 8, B, B ; 13817
+ ADD *AR4+%, 8, B ; 13818
+ ADD *AR4+%, 9, A, A ; 13819
+ ADD *AR4+%, 9, A, B ; 13820
+ ADD *AR4+%, 9, A ; 13821
+ ADD *AR4+%, 9, B, A ; 13822
+ ADD *AR4+%, 9, B, B ; 13823
+ ADD *AR4+%, 9, B ; 13824
+ ADD *AR4+%, 10, A, A ; 13825
+ ADD *AR4+%, 10, A, B ; 13826
+ ADD *AR4+%, 10, A ; 13827
+ ADD *AR4+%, 10, B, A ; 13828
+ ADD *AR4+%, 10, B, B ; 13829
+ ADD *AR4+%, 10, B ; 13830
+ ADD *AR4+%, 11, A, A ; 13831
+ ADD *AR4+%, 11, A, B ; 13832
+ ADD *AR4+%, 11, A ; 13833
+ ADD *AR4+%, 11, B, A ; 13834
+ ADD *AR4+%, 11, B, B ; 13835
+ ADD *AR4+%, 11, B ; 13836
+ ADD *AR4+%, 12, A, A ; 13837
+ ADD *AR4+%, 12, A, B ; 13838
+ ADD *AR4+%, 12, A ; 13839
+ ADD *AR4+%, 12, B, A ; 13840
+ ADD *AR4+%, 12, B, B ; 13841
+ ADD *AR4+%, 12, B ; 13842
+ ADD *AR4+%, 13, A, A ; 13843
+ ADD *AR4+%, 13, A, B ; 13844
+ ADD *AR4+%, 13, A ; 13845
+ ADD *AR4+%, 13, B, A ; 13846
+ ADD *AR4+%, 13, B, B ; 13847
+ ADD *AR4+%, 13, B ; 13848
+ ADD *AR4+%, 14, A, A ; 13849
+ ADD *AR4+%, 14, A, B ; 13850
+ ADD *AR4+%, 14, A ; 13851
+ ADD *AR4+%, 14, B, A ; 13852
+ ADD *AR4+%, 14, B, B ; 13853
+ ADD *AR4+%, 14, B ; 13854
+ ADD *AR4+%, 15, A, A ; 13855
+ ADD *AR4+%, 15, A, B ; 13856
+ ADD *AR4+%, 15, A ; 13857
+ ADD *AR4+%, 15, B, A ; 13858
+ ADD *AR4+%, 15, B, B ; 13859
+ ADD *AR4+%, 15, B ; 13860
+ ADD *AR4+0%, A, A ; 13861
+ ADD *AR4+0%, A, B ; 13862
+ ADD *AR4+0%, A ; 13863
+ ADD *AR4+0%, B, A ; 13864
+ ADD *AR4+0%, B, B ; 13865
+ ADD *AR4+0%, B ; 13866
+ ADD *AR4+0%, -16, A, A ; 13867
+ ADD *AR4+0%, -16, A, B ; 13868
+ ADD *AR4+0%, -16, A ; 13869
+ ADD *AR4+0%, -16, B, A ; 13870
+ ADD *AR4+0%, -16, B, B ; 13871
+ ADD *AR4+0%, -16, B ; 13872
+ ADD *AR4+0%, -15, A, A ; 13873
+ ADD *AR4+0%, -15, A, B ; 13874
+ ADD *AR4+0%, -15, A ; 13875
+ ADD *AR4+0%, -15, B, A ; 13876
+ ADD *AR4+0%, -15, B, B ; 13877
+ ADD *AR4+0%, -15, B ; 13878
+ ADD *AR4+0%, -14, A, A ; 13879
+ ADD *AR4+0%, -14, A, B ; 13880
+ ADD *AR4+0%, -14, A ; 13881
+ ADD *AR4+0%, -14, B, A ; 13882
+ ADD *AR4+0%, -14, B, B ; 13883
+ ADD *AR4+0%, -14, B ; 13884
+ ADD *AR4+0%, -13, A, A ; 13885
+ ADD *AR4+0%, -13, A, B ; 13886
+ ADD *AR4+0%, -13, A ; 13887
+ ADD *AR4+0%, -13, B, A ; 13888
+ ADD *AR4+0%, -13, B, B ; 13889
+ ADD *AR4+0%, -13, B ; 13890
+ ADD *AR4+0%, -12, A, A ; 13891
+ ADD *AR4+0%, -12, A, B ; 13892
+ ADD *AR4+0%, -12, A ; 13893
+ ADD *AR4+0%, -12, B, A ; 13894
+ ADD *AR4+0%, -12, B, B ; 13895
+ ADD *AR4+0%, -12, B ; 13896
+ ADD *AR4+0%, -11, A, A ; 13897
+ ADD *AR4+0%, -11, A, B ; 13898
+ ADD *AR4+0%, -11, A ; 13899
+ ADD *AR4+0%, -11, B, A ; 13900
+ ADD *AR4+0%, -11, B, B ; 13901
+ ADD *AR4+0%, -11, B ; 13902
+ ADD *AR4+0%, -10, A, A ; 13903
+ ADD *AR4+0%, -10, A, B ; 13904
+ ADD *AR4+0%, -10, A ; 13905
+ ADD *AR4+0%, -10, B, A ; 13906
+ ADD *AR4+0%, -10, B, B ; 13907
+ ADD *AR4+0%, -10, B ; 13908
+ ADD *AR4+0%, -9, A, A ; 13909
+ ADD *AR4+0%, -9, A, B ; 13910
+ ADD *AR4+0%, -9, A ; 13911
+ ADD *AR4+0%, -9, B, A ; 13912
+ ADD *AR4+0%, -9, B, B ; 13913
+ ADD *AR4+0%, -9, B ; 13914
+ ADD *AR4+0%, -8, A, A ; 13915
+ ADD *AR4+0%, -8, A, B ; 13916
+ ADD *AR4+0%, -8, A ; 13917
+ ADD *AR4+0%, -8, B, A ; 13918
+ ADD *AR4+0%, -8, B, B ; 13919
+ ADD *AR4+0%, -8, B ; 13920
+ ADD *AR4+0%, -7, A, A ; 13921
+ ADD *AR4+0%, -7, A, B ; 13922
+ ADD *AR4+0%, -7, A ; 13923
+ ADD *AR4+0%, -7, B, A ; 13924
+ ADD *AR4+0%, -7, B, B ; 13925
+ ADD *AR4+0%, -7, B ; 13926
+ ADD *AR4+0%, -6, A, A ; 13927
+ ADD *AR4+0%, -6, A, B ; 13928
+ ADD *AR4+0%, -6, A ; 13929
+ ADD *AR4+0%, -6, B, A ; 13930
+ ADD *AR4+0%, -6, B, B ; 13931
+ ADD *AR4+0%, -6, B ; 13932
+ ADD *AR4+0%, -5, A, A ; 13933
+ ADD *AR4+0%, -5, A, B ; 13934
+ ADD *AR4+0%, -5, A ; 13935
+ ADD *AR4+0%, -5, B, A ; 13936
+ ADD *AR4+0%, -5, B, B ; 13937
+ ADD *AR4+0%, -5, B ; 13938
+ ADD *AR4+0%, -4, A, A ; 13939
+ ADD *AR4+0%, -4, A, B ; 13940
+ ADD *AR4+0%, -4, A ; 13941
+ ADD *AR4+0%, -4, B, A ; 13942
+ ADD *AR4+0%, -4, B, B ; 13943
+ ADD *AR4+0%, -4, B ; 13944
+ ADD *AR4+0%, -3, A, A ; 13945
+ ADD *AR4+0%, -3, A, B ; 13946
+ ADD *AR4+0%, -3, A ; 13947
+ ADD *AR4+0%, -3, B, A ; 13948
+ ADD *AR4+0%, -3, B, B ; 13949
+ ADD *AR4+0%, -3, B ; 13950
+ ADD *AR4+0%, -2, A, A ; 13951
+ ADD *AR4+0%, -2, A, B ; 13952
+ ADD *AR4+0%, -2, A ; 13953
+ ADD *AR4+0%, -2, B, A ; 13954
+ ADD *AR4+0%, -2, B, B ; 13955
+ ADD *AR4+0%, -2, B ; 13956
+ ADD *AR4+0%, -1, A, A ; 13957
+ ADD *AR4+0%, -1, A, B ; 13958
+ ADD *AR4+0%, -1, A ; 13959
+ ADD *AR4+0%, -1, B, A ; 13960
+ ADD *AR4+0%, -1, B, B ; 13961
+ ADD *AR4+0%, -1, B ; 13962
+ ADD *AR4+0%, 0, A, A ; 13963
+ ADD *AR4+0%, 0, A, B ; 13964
+ ADD *AR4+0%, 0, A ; 13965
+ ADD *AR4+0%, 0, B, A ; 13966
+ ADD *AR4+0%, 0, B, B ; 13967
+ ADD *AR4+0%, 0, B ; 13968
+ ADD *AR4+0%, 1, A, A ; 13969
+ ADD *AR4+0%, 1, A, B ; 13970
+ ADD *AR4+0%, 1, A ; 13971
+ ADD *AR4+0%, 1, B, A ; 13972
+ ADD *AR4+0%, 1, B, B ; 13973
+ ADD *AR4+0%, 1, B ; 13974
+ ADD *AR4+0%, 2, A, A ; 13975
+ ADD *AR4+0%, 2, A, B ; 13976
+ ADD *AR4+0%, 2, A ; 13977
+ ADD *AR4+0%, 2, B, A ; 13978
+ ADD *AR4+0%, 2, B, B ; 13979
+ ADD *AR4+0%, 2, B ; 13980
+ ADD *AR4+0%, 3, A, A ; 13981
+ ADD *AR4+0%, 3, A, B ; 13982
+ ADD *AR4+0%, 3, A ; 13983
+ ADD *AR4+0%, 3, B, A ; 13984
+ ADD *AR4+0%, 3, B, B ; 13985
+ ADD *AR4+0%, 3, B ; 13986
+ ADD *AR4+0%, 4, A, A ; 13987
+ ADD *AR4+0%, 4, A, B ; 13988
+ ADD *AR4+0%, 4, A ; 13989
+ ADD *AR4+0%, 4, B, A ; 13990
+ ADD *AR4+0%, 4, B, B ; 13991
+ ADD *AR4+0%, 4, B ; 13992
+ ADD *AR4+0%, 5, A, A ; 13993
+ ADD *AR4+0%, 5, A, B ; 13994
+ ADD *AR4+0%, 5, A ; 13995
+ ADD *AR4+0%, 5, B, A ; 13996
+ ADD *AR4+0%, 5, B, B ; 13997
+ ADD *AR4+0%, 5, B ; 13998
+ ADD *AR4+0%, 6, A, A ; 13999
+ ADD *AR4+0%, 6, A, B ; 14000
+ ADD *AR4+0%, 6, A ; 14001
+ ADD *AR4+0%, 6, B, A ; 14002
+ ADD *AR4+0%, 6, B, B ; 14003
+ ADD *AR4+0%, 6, B ; 14004
+ ADD *AR4+0%, 7, A, A ; 14005
+ ADD *AR4+0%, 7, A, B ; 14006
+ ADD *AR4+0%, 7, A ; 14007
+ ADD *AR4+0%, 7, B, A ; 14008
+ ADD *AR4+0%, 7, B, B ; 14009
+ ADD *AR4+0%, 7, B ; 14010
+ ADD *AR4+0%, 8, A, A ; 14011
+ ADD *AR4+0%, 8, A, B ; 14012
+ ADD *AR4+0%, 8, A ; 14013
+ ADD *AR4+0%, 8, B, A ; 14014
+ ADD *AR4+0%, 8, B, B ; 14015
+ ADD *AR4+0%, 8, B ; 14016
+ ADD *AR4+0%, 9, A, A ; 14017
+ ADD *AR4+0%, 9, A, B ; 14018
+ ADD *AR4+0%, 9, A ; 14019
+ ADD *AR4+0%, 9, B, A ; 14020
+ ADD *AR4+0%, 9, B, B ; 14021
+ ADD *AR4+0%, 9, B ; 14022
+ ADD *AR4+0%, 10, A, A ; 14023
+ ADD *AR4+0%, 10, A, B ; 14024
+ ADD *AR4+0%, 10, A ; 14025
+ ADD *AR4+0%, 10, B, A ; 14026
+ ADD *AR4+0%, 10, B, B ; 14027
+ ADD *AR4+0%, 10, B ; 14028
+ ADD *AR4+0%, 11, A, A ; 14029
+ ADD *AR4+0%, 11, A, B ; 14030
+ ADD *AR4+0%, 11, A ; 14031
+ ADD *AR4+0%, 11, B, A ; 14032
+ ADD *AR4+0%, 11, B, B ; 14033
+ ADD *AR4+0%, 11, B ; 14034
+ ADD *AR4+0%, 12, A, A ; 14035
+ ADD *AR4+0%, 12, A, B ; 14036
+ ADD *AR4+0%, 12, A ; 14037
+ ADD *AR4+0%, 12, B, A ; 14038
+ ADD *AR4+0%, 12, B, B ; 14039
+ ADD *AR4+0%, 12, B ; 14040
+ ADD *AR4+0%, 13, A, A ; 14041
+ ADD *AR4+0%, 13, A, B ; 14042
+ ADD *AR4+0%, 13, A ; 14043
+ ADD *AR4+0%, 13, B, A ; 14044
+ ADD *AR4+0%, 13, B, B ; 14045
+ ADD *AR4+0%, 13, B ; 14046
+ ADD *AR4+0%, 14, A, A ; 14047
+ ADD *AR4+0%, 14, A, B ; 14048
+ ADD *AR4+0%, 14, A ; 14049
+ ADD *AR4+0%, 14, B, A ; 14050
+ ADD *AR4+0%, 14, B, B ; 14051
+ ADD *AR4+0%, 14, B ; 14052
+ ADD *AR4+0%, 15, A, A ; 14053
+ ADD *AR4+0%, 15, A, B ; 14054
+ ADD *AR4+0%, 15, A ; 14055
+ ADD *AR4+0%, 15, B, A ; 14056
+ ADD *AR4+0%, 15, B, B ; 14057
+ ADD *AR4+0%, 15, B ; 14058
+ ADD *AR4(00EA5h), A, A ; 14059
+ ADD *AR4(00EA6h), A, B ; 14060
+ ADD *AR4(00EA7h), A ; 14061
+ ADD *AR4(00EA8h), B, A ; 14062
+ ADD *AR4(00EA9h), B, B ; 14063
+ ADD *AR4(00EAAh), B ; 14064
+ ADD *AR4(00EABh), -16, A, A ; 14065
+ ADD *AR4(00EACh), -16, A, B ; 14066
+ ADD *AR4(00EADh), -16, A ; 14067
+ ADD *AR4(00EAEh), -16, B, A ; 14068
+ ADD *AR4(00EAFh), -16, B, B ; 14069
+ ADD *AR4(00EB0h), -16, B ; 14070
+ ADD *AR4(00EB1h), -15, A, A ; 14071
+ ADD *AR4(00EB2h), -15, A, B ; 14072
+ ADD *AR4(00EB3h), -15, A ; 14073
+ ADD *AR4(00EB4h), -15, B, A ; 14074
+ ADD *AR4(00EB5h), -15, B, B ; 14075
+ ADD *AR4(00EB6h), -15, B ; 14076
+ ADD *AR4(00EB7h), -14, A, A ; 14077
+ ADD *AR4(00EB8h), -14, A, B ; 14078
+ ADD *AR4(00EB9h), -14, A ; 14079
+ ADD *AR4(00EBAh), -14, B, A ; 14080
+ ADD *AR4(00EBBh), -14, B, B ; 14081
+ ADD *AR4(00EBCh), -14, B ; 14082
+ ADD *AR4(00EBDh), -13, A, A ; 14083
+ ADD *AR4(00EBEh), -13, A, B ; 14084
+ ADD *AR4(00EBFh), -13, A ; 14085
+ ADD *AR4(00EC0h), -13, B, A ; 14086
+ ADD *AR4(00EC1h), -13, B, B ; 14087
+ ADD *AR4(00EC2h), -13, B ; 14088
+ ADD *AR4(00EC3h), -12, A, A ; 14089
+ ADD *AR4(00EC4h), -12, A, B ; 14090
+ ADD *AR4(00EC5h), -12, A ; 14091
+ ADD *AR4(00EC6h), -12, B, A ; 14092
+ ADD *AR4(00EC7h), -12, B, B ; 14093
+ ADD *AR4(00EC8h), -12, B ; 14094
+ ADD *AR4(00EC9h), -11, A, A ; 14095
+ ADD *AR4(00ECAh), -11, A, B ; 14096
+ ADD *AR4(00ECBh), -11, A ; 14097
+ ADD *AR4(00ECCh), -11, B, A ; 14098
+ ADD *AR4(00ECDh), -11, B, B ; 14099
+ ADD *AR4(00ECEh), -11, B ; 14100
+ ADD *AR4(00ECFh), -10, A, A ; 14101
+ ADD *AR4(00ED0h), -10, A, B ; 14102
+ ADD *AR4(00ED1h), -10, A ; 14103
+ ADD *AR4(00ED2h), -10, B, A ; 14104
+ ADD *AR4(00ED3h), -10, B, B ; 14105
+ ADD *AR4(00ED4h), -10, B ; 14106
+ ADD *AR4(00ED5h), -9, A, A ; 14107
+ ADD *AR4(00ED6h), -9, A, B ; 14108
+ ADD *AR4(00ED7h), -9, A ; 14109
+ ADD *AR4(00ED8h), -9, B, A ; 14110
+ ADD *AR4(00ED9h), -9, B, B ; 14111
+ ADD *AR4(00EDAh), -9, B ; 14112
+ ADD *AR4(00EDBh), -8, A, A ; 14113
+ ADD *AR4(00EDCh), -8, A, B ; 14114
+ ADD *AR4(00EDDh), -8, A ; 14115
+ ADD *AR4(00EDEh), -8, B, A ; 14116
+ ADD *AR4(00EDFh), -8, B, B ; 14117
+ ADD *AR4(00EE0h), -8, B ; 14118
+ ADD *AR4(00EE1h), -7, A, A ; 14119
+ ADD *AR4(00EE2h), -7, A, B ; 14120
+ ADD *AR4(00EE3h), -7, A ; 14121
+ ADD *AR4(00EE4h), -7, B, A ; 14122
+ ADD *AR4(00EE5h), -7, B, B ; 14123
+ ADD *AR4(00EE6h), -7, B ; 14124
+ ADD *AR4(00EE7h), -6, A, A ; 14125
+ ADD *AR4(00EE8h), -6, A, B ; 14126
+ ADD *AR4(00EE9h), -6, A ; 14127
+ ADD *AR4(00EEAh), -6, B, A ; 14128
+ ADD *AR4(00EEBh), -6, B, B ; 14129
+ ADD *AR4(00EECh), -6, B ; 14130
+ ADD *AR4(00EEDh), -5, A, A ; 14131
+ ADD *AR4(00EEEh), -5, A, B ; 14132
+ ADD *AR4(00EEFh), -5, A ; 14133
+ ADD *AR4(00EF0h), -5, B, A ; 14134
+ ADD *AR4(00EF1h), -5, B, B ; 14135
+ ADD *AR4(00EF2h), -5, B ; 14136
+ ADD *AR4(00EF3h), -4, A, A ; 14137
+ ADD *AR4(00EF4h), -4, A, B ; 14138
+ ADD *AR4(00EF5h), -4, A ; 14139
+ ADD *AR4(00EF6h), -4, B, A ; 14140
+ ADD *AR4(00EF7h), -4, B, B ; 14141
+ ADD *AR4(00EF8h), -4, B ; 14142
+ ADD *AR4(00EF9h), -3, A, A ; 14143
+ ADD *AR4(00EFAh), -3, A, B ; 14144
+ ADD *AR4(00EFBh), -3, A ; 14145
+ ADD *AR4(00EFCh), -3, B, A ; 14146
+ ADD *AR4(00EFDh), -3, B, B ; 14147
+ ADD *AR4(00EFEh), -3, B ; 14148
+ ADD *AR4(00EFFh), -2, A, A ; 14149
+ ADD *AR4(00F00h), -2, A, B ; 14150
+ ADD *AR4(00F01h), -2, A ; 14151
+ ADD *AR4(00F02h), -2, B, A ; 14152
+ ADD *AR4(00F03h), -2, B, B ; 14153
+ ADD *AR4(00F04h), -2, B ; 14154
+ ADD *AR4(00F05h), -1, A, A ; 14155
+ ADD *AR4(00F06h), -1, A, B ; 14156
+ ADD *AR4(00F07h), -1, A ; 14157
+ ADD *AR4(00F08h), -1, B, A ; 14158
+ ADD *AR4(00F09h), -1, B, B ; 14159
+ ADD *AR4(00F0Ah), -1, B ; 14160
+ ADD *AR4(00F0Bh), 0, A, A ; 14161
+ ADD *AR4(00F0Ch), 0, A, B ; 14162
+ ADD *AR4(00F0Dh), 0, A ; 14163
+ ADD *AR4(00F0Eh), 0, B, A ; 14164
+ ADD *AR4(00F0Fh), 0, B, B ; 14165
+ ADD *AR4(00F10h), 0, B ; 14166
+ ADD *AR4(00F11h), 1, A, A ; 14167
+ ADD *AR4(00F12h), 1, A, B ; 14168
+ ADD *AR4(00F13h), 1, A ; 14169
+ ADD *AR4(00F14h), 1, B, A ; 14170
+ ADD *AR4(00F15h), 1, B, B ; 14171
+ ADD *AR4(00F16h), 1, B ; 14172
+ ADD *AR4(00F17h), 2, A, A ; 14173
+ ADD *AR4(00F18h), 2, A, B ; 14174
+ ADD *AR4(00F19h), 2, A ; 14175
+ ADD *AR4(00F1Ah), 2, B, A ; 14176
+ ADD *AR4(00F1Bh), 2, B, B ; 14177
+ ADD *AR4(00F1Ch), 2, B ; 14178
+ ADD *AR4(00F1Dh), 3, A, A ; 14179
+ ADD *AR4(00F1Eh), 3, A, B ; 14180
+ ADD *AR4(00F1Fh), 3, A ; 14181
+ ADD *AR4(00F20h), 3, B, A ; 14182
+ ADD *AR4(00F21h), 3, B, B ; 14183
+ ADD *AR4(00F22h), 3, B ; 14184
+ ADD *AR4(00F23h), 4, A, A ; 14185
+ ADD *AR4(00F24h), 4, A, B ; 14186
+ ADD *AR4(00F25h), 4, A ; 14187
+ ADD *AR4(00F26h), 4, B, A ; 14188
+ ADD *AR4(00F27h), 4, B, B ; 14189
+ ADD *AR4(00F28h), 4, B ; 14190
+ ADD *AR4(00F29h), 5, A, A ; 14191
+ ADD *AR4(00F2Ah), 5, A, B ; 14192
+ ADD *AR4(00F2Bh), 5, A ; 14193
+ ADD *AR4(00F2Ch), 5, B, A ; 14194
+ ADD *AR4(00F2Dh), 5, B, B ; 14195
+ ADD *AR4(00F2Eh), 5, B ; 14196
+ ADD *AR4(00F2Fh), 6, A, A ; 14197
+ ADD *AR4(00F30h), 6, A, B ; 14198
+ ADD *AR4(00F31h), 6, A ; 14199
+ ADD *AR4(00F32h), 6, B, A ; 14200
+ ADD *AR4(00F33h), 6, B, B ; 14201
+ ADD *AR4(00F34h), 6, B ; 14202
+ ADD *AR4(00F35h), 7, A, A ; 14203
+ ADD *AR4(00F36h), 7, A, B ; 14204
+ ADD *AR4(00F37h), 7, A ; 14205
+ ADD *AR4(00F38h), 7, B, A ; 14206
+ ADD *AR4(00F39h), 7, B, B ; 14207
+ ADD *AR4(00F3Ah), 7, B ; 14208
+ ADD *AR4(00F3Bh), 8, A, A ; 14209
+ ADD *AR4(00F3Ch), 8, A, B ; 14210
+ ADD *AR4(00F3Dh), 8, A ; 14211
+ ADD *AR4(00F3Eh), 8, B, A ; 14212
+ ADD *AR4(00F3Fh), 8, B, B ; 14213
+ ADD *AR4(00F40h), 8, B ; 14214
+ ADD *AR4(00F41h), 9, A, A ; 14215
+ ADD *AR4(00F42h), 9, A, B ; 14216
+ ADD *AR4(00F43h), 9, A ; 14217
+ ADD *AR4(00F44h), 9, B, A ; 14218
+ ADD *AR4(00F45h), 9, B, B ; 14219
+ ADD *AR4(00F46h), 9, B ; 14220
+ ADD *AR4(00F47h), 10, A, A ; 14221
+ ADD *AR4(00F48h), 10, A, B ; 14222
+ ADD *AR4(00F49h), 10, A ; 14223
+ ADD *AR4(00F4Ah), 10, B, A ; 14224
+ ADD *AR4(00F4Bh), 10, B, B ; 14225
+ ADD *AR4(00F4Ch), 10, B ; 14226
+ ADD *AR4(00F4Dh), 11, A, A ; 14227
+ ADD *AR4(00F4Eh), 11, A, B ; 14228
+ ADD *AR4(00F4Fh), 11, A ; 14229
+ ADD *AR4(00F50h), 11, B, A ; 14230
+ ADD *AR4(00F51h), 11, B, B ; 14231
+ ADD *AR4(00F52h), 11, B ; 14232
+ ADD *AR4(00F53h), 12, A, A ; 14233
+ ADD *AR4(00F54h), 12, A, B ; 14234
+ ADD *AR4(00F55h), 12, A ; 14235
+ ADD *AR4(00F56h), 12, B, A ; 14236
+ ADD *AR4(00F57h), 12, B, B ; 14237
+ ADD *AR4(00F58h), 12, B ; 14238
+ ADD *AR4(00F59h), 13, A, A ; 14239
+ ADD *AR4(00F5Ah), 13, A, B ; 14240
+ ADD *AR4(00F5Bh), 13, A ; 14241
+ ADD *AR4(00F5Ch), 13, B, A ; 14242
+ ADD *AR4(00F5Dh), 13, B, B ; 14243
+ ADD *AR4(00F5Eh), 13, B ; 14244
+ ADD *AR4(00F5Fh), 14, A, A ; 14245
+ ADD *AR4(00F60h), 14, A, B ; 14246
+ ADD *AR4(00F61h), 14, A ; 14247
+ ADD *AR4(00F62h), 14, B, A ; 14248
+ ADD *AR4(00F63h), 14, B, B ; 14249
+ ADD *AR4(00F64h), 14, B ; 14250
+ ADD *AR4(00F65h), 15, A, A ; 14251
+ ADD *AR4(00F66h), 15, A, B ; 14252
+ ADD *AR4(00F67h), 15, A ; 14253
+ ADD *AR4(00F68h), 15, B, A ; 14254
+ ADD *AR4(00F69h), 15, B, B ; 14255
+ ADD *AR4(00F6Ah), 15, B ; 14256
+ ADD *+AR4(00F6Bh), A, A ; 14257
+ ADD *+AR4(00F6Ch), A, B ; 14258
+ ADD *+AR4(00F6Dh), A ; 14259
+ ADD *+AR4(00F6Eh), B, A ; 14260
+ ADD *+AR4(00F6Fh), B, B ; 14261
+ ADD *+AR4(00F70h), B ; 14262
+ ADD *+AR4(00F71h), -16, A, A ; 14263
+ ADD *+AR4(00F72h), -16, A, B ; 14264
+ ADD *+AR4(00F73h), -16, A ; 14265
+ ADD *+AR4(00F74h), -16, B, A ; 14266
+ ADD *+AR4(00F75h), -16, B, B ; 14267
+ ADD *+AR4(00F76h), -16, B ; 14268
+ ADD *+AR4(00F77h), -15, A, A ; 14269
+ ADD *+AR4(00F78h), -15, A, B ; 14270
+ ADD *+AR4(00F79h), -15, A ; 14271
+ ADD *+AR4(00F7Ah), -15, B, A ; 14272
+ ADD *+AR4(00F7Bh), -15, B, B ; 14273
+ ADD *+AR4(00F7Ch), -15, B ; 14274
+ ADD *+AR4(00F7Dh), -14, A, A ; 14275
+ ADD *+AR4(00F7Eh), -14, A, B ; 14276
+ ADD *+AR4(00F7Fh), -14, A ; 14277
+ ADD *+AR4(00F80h), -14, B, A ; 14278
+ ADD *+AR4(00F81h), -14, B, B ; 14279
+ ADD *+AR4(00F82h), -14, B ; 14280
+ ADD *+AR4(00F83h), -13, A, A ; 14281
+ ADD *+AR4(00F84h), -13, A, B ; 14282
+ ADD *+AR4(00F85h), -13, A ; 14283
+ ADD *+AR4(00F86h), -13, B, A ; 14284
+ ADD *+AR4(00F87h), -13, B, B ; 14285
+ ADD *+AR4(00F88h), -13, B ; 14286
+ ADD *+AR4(00F89h), -12, A, A ; 14287
+ ADD *+AR4(00F8Ah), -12, A, B ; 14288
+ ADD *+AR4(00F8Bh), -12, A ; 14289
+ ADD *+AR4(00F8Ch), -12, B, A ; 14290
+ ADD *+AR4(00F8Dh), -12, B, B ; 14291
+ ADD *+AR4(00F8Eh), -12, B ; 14292
+ ADD *+AR4(00F8Fh), -11, A, A ; 14293
+ ADD *+AR4(00F90h), -11, A, B ; 14294
+ ADD *+AR4(00F91h), -11, A ; 14295
+ ADD *+AR4(00F92h), -11, B, A ; 14296
+ ADD *+AR4(00F93h), -11, B, B ; 14297
+ ADD *+AR4(00F94h), -11, B ; 14298
+ ADD *+AR4(00F95h), -10, A, A ; 14299
+ ADD *+AR4(00F96h), -10, A, B ; 14300
+ ADD *+AR4(00F97h), -10, A ; 14301
+ ADD *+AR4(00F98h), -10, B, A ; 14302
+ ADD *+AR4(00F99h), -10, B, B ; 14303
+ ADD *+AR4(00F9Ah), -10, B ; 14304
+ ADD *+AR4(00F9Bh), -9, A, A ; 14305
+ ADD *+AR4(00F9Ch), -9, A, B ; 14306
+ ADD *+AR4(00F9Dh), -9, A ; 14307
+ ADD *+AR4(00F9Eh), -9, B, A ; 14308
+ ADD *+AR4(00F9Fh), -9, B, B ; 14309
+ ADD *+AR4(00FA0h), -9, B ; 14310
+ ADD *+AR4(00FA1h), -8, A, A ; 14311
+ ADD *+AR4(00FA2h), -8, A, B ; 14312
+ ADD *+AR4(00FA3h), -8, A ; 14313
+ ADD *+AR4(00FA4h), -8, B, A ; 14314
+ ADD *+AR4(00FA5h), -8, B, B ; 14315
+ ADD *+AR4(00FA6h), -8, B ; 14316
+ ADD *+AR4(00FA7h), -7, A, A ; 14317
+ ADD *+AR4(00FA8h), -7, A, B ; 14318
+ ADD *+AR4(00FA9h), -7, A ; 14319
+ ADD *+AR4(00FAAh), -7, B, A ; 14320
+ ADD *+AR4(00FABh), -7, B, B ; 14321
+ ADD *+AR4(00FACh), -7, B ; 14322
+ ADD *+AR4(00FADh), -6, A, A ; 14323
+ ADD *+AR4(00FAEh), -6, A, B ; 14324
+ ADD *+AR4(00FAFh), -6, A ; 14325
+ ADD *+AR4(00FB0h), -6, B, A ; 14326
+ ADD *+AR4(00FB1h), -6, B, B ; 14327
+ ADD *+AR4(00FB2h), -6, B ; 14328
+ ADD *+AR4(00FB3h), -5, A, A ; 14329
+ ADD *+AR4(00FB4h), -5, A, B ; 14330
+ ADD *+AR4(00FB5h), -5, A ; 14331
+ ADD *+AR4(00FB6h), -5, B, A ; 14332
+ ADD *+AR4(00FB7h), -5, B, B ; 14333
+ ADD *+AR4(00FB8h), -5, B ; 14334
+ ADD *+AR4(00FB9h), -4, A, A ; 14335
+ ADD *+AR4(00FBAh), -4, A, B ; 14336
+ ADD *+AR4(00FBBh), -4, A ; 14337
+ ADD *+AR4(00FBCh), -4, B, A ; 14338
+ ADD *+AR4(00FBDh), -4, B, B ; 14339
+ ADD *+AR4(00FBEh), -4, B ; 14340
+ ADD *+AR4(00FBFh), -3, A, A ; 14341
+ ADD *+AR4(00FC0h), -3, A, B ; 14342
+ ADD *+AR4(00FC1h), -3, A ; 14343
+ ADD *+AR4(00FC2h), -3, B, A ; 14344
+ ADD *+AR4(00FC3h), -3, B, B ; 14345
+ ADD *+AR4(00FC4h), -3, B ; 14346
+ ADD *+AR4(00FC5h), -2, A, A ; 14347
+ ADD *+AR4(00FC6h), -2, A, B ; 14348
+ ADD *+AR4(00FC7h), -2, A ; 14349
+ ADD *+AR4(00FC8h), -2, B, A ; 14350
+ ADD *+AR4(00FC9h), -2, B, B ; 14351
+ ADD *+AR4(00FCAh), -2, B ; 14352
+ ADD *+AR4(00FCBh), -1, A, A ; 14353
+ ADD *+AR4(00FCCh), -1, A, B ; 14354
+ ADD *+AR4(00FCDh), -1, A ; 14355
+ ADD *+AR4(00FCEh), -1, B, A ; 14356
+ ADD *+AR4(00FCFh), -1, B, B ; 14357
+ ADD *+AR4(00FD0h), -1, B ; 14358
+ ADD *+AR4(00FD1h), 0, A, A ; 14359
+ ADD *+AR4(00FD2h), 0, A, B ; 14360
+ ADD *+AR4(00FD3h), 0, A ; 14361
+ ADD *+AR4(00FD4h), 0, B, A ; 14362
+ ADD *+AR4(00FD5h), 0, B, B ; 14363
+ ADD *+AR4(00FD6h), 0, B ; 14364
+ ADD *+AR4(00FD7h), 1, A, A ; 14365
+ ADD *+AR4(00FD8h), 1, A, B ; 14366
+ ADD *+AR4(00FD9h), 1, A ; 14367
+ ADD *+AR4(00FDAh), 1, B, A ; 14368
+ ADD *+AR4(00FDBh), 1, B, B ; 14369
+ ADD *+AR4(00FDCh), 1, B ; 14370
+ ADD *+AR4(00FDDh), 2, A, A ; 14371
+ ADD *+AR4(00FDEh), 2, A, B ; 14372
+ ADD *+AR4(00FDFh), 2, A ; 14373
+ ADD *+AR4(00FE0h), 2, B, A ; 14374
+ ADD *+AR4(00FE1h), 2, B, B ; 14375
+ ADD *+AR4(00FE2h), 2, B ; 14376
+ ADD *+AR4(00FE3h), 3, A, A ; 14377
+ ADD *+AR4(00FE4h), 3, A, B ; 14378
+ ADD *+AR4(00FE5h), 3, A ; 14379
+ ADD *+AR4(00FE6h), 3, B, A ; 14380
+ ADD *+AR4(00FE7h), 3, B, B ; 14381
+ ADD *+AR4(00FE8h), 3, B ; 14382
+ ADD *+AR4(00FE9h), 4, A, A ; 14383
+ ADD *+AR4(00FEAh), 4, A, B ; 14384
+ ADD *+AR4(00FEBh), 4, A ; 14385
+ ADD *+AR4(00FECh), 4, B, A ; 14386
+ ADD *+AR4(00FEDh), 4, B, B ; 14387
+ ADD *+AR4(00FEEh), 4, B ; 14388
+ ADD *+AR4(00FEFh), 5, A, A ; 14389
+ ADD *+AR4(00FF0h), 5, A, B ; 14390
+ ADD *+AR4(00FF1h), 5, A ; 14391
+ ADD *+AR4(00FF2h), 5, B, A ; 14392
+ ADD *+AR4(00FF3h), 5, B, B ; 14393
+ ADD *+AR4(00FF4h), 5, B ; 14394
+ ADD *+AR4(00FF5h), 6, A, A ; 14395
+ ADD *+AR4(00FF6h), 6, A, B ; 14396
+ ADD *+AR4(00FF7h), 6, A ; 14397
+ ADD *+AR4(00FF8h), 6, B, A ; 14398
+ ADD *+AR4(00FF9h), 6, B, B ; 14399
+ ADD *+AR4(00FFAh), 6, B ; 14400
+ ADD *+AR4(00FFBh), 7, A, A ; 14401
+ ADD *+AR4(00FFCh), 7, A, B ; 14402
+ ADD *+AR4(00FFDh), 7, A ; 14403
+ ADD *+AR4(00FFEh), 7, B, A ; 14404
+ ADD *+AR4(00FFFh), 7, B, B ; 14405
+ ADD *+AR4(01000h), 7, B ; 14406
+ ADD *+AR4(01001h), 8, A, A ; 14407
+ ADD *+AR4(01002h), 8, A, B ; 14408
+ ADD *+AR4(01003h), 8, A ; 14409
+ ADD *+AR4(01004h), 8, B, A ; 14410
+ ADD *+AR4(01005h), 8, B, B ; 14411
+ ADD *+AR4(01006h), 8, B ; 14412
+ ADD *+AR4(01007h), 9, A, A ; 14413
+ ADD *+AR4(01008h), 9, A, B ; 14414
+ ADD *+AR4(01009h), 9, A ; 14415
+ ADD *+AR4(0100Ah), 9, B, A ; 14416
+ ADD *+AR4(0100Bh), 9, B, B ; 14417
+ ADD *+AR4(0100Ch), 9, B ; 14418
+ ADD *+AR4(0100Dh), 10, A, A ; 14419
+ ADD *+AR4(0100Eh), 10, A, B ; 14420
+ ADD *+AR4(0100Fh), 10, A ; 14421
+ ADD *+AR4(01010h), 10, B, A ; 14422
+ ADD *+AR4(01011h), 10, B, B ; 14423
+ ADD *+AR4(01012h), 10, B ; 14424
+ ADD *+AR4(01013h), 11, A, A ; 14425
+ ADD *+AR4(01014h), 11, A, B ; 14426
+ ADD *+AR4(01015h), 11, A ; 14427
+ ADD *+AR4(01016h), 11, B, A ; 14428
+ ADD *+AR4(01017h), 11, B, B ; 14429
+ ADD *+AR4(01018h), 11, B ; 14430
+ ADD *+AR4(01019h), 12, A, A ; 14431
+ ADD *+AR4(0101Ah), 12, A, B ; 14432
+ ADD *+AR4(0101Bh), 12, A ; 14433
+ ADD *+AR4(0101Ch), 12, B, A ; 14434
+ ADD *+AR4(0101Dh), 12, B, B ; 14435
+ ADD *+AR4(0101Eh), 12, B ; 14436
+ ADD *+AR4(0101Fh), 13, A, A ; 14437
+ ADD *+AR4(01020h), 13, A, B ; 14438
+ ADD *+AR4(01021h), 13, A ; 14439
+ ADD *+AR4(01022h), 13, B, A ; 14440
+ ADD *+AR4(01023h), 13, B, B ; 14441
+ ADD *+AR4(01024h), 13, B ; 14442
+ ADD *+AR4(01025h), 14, A, A ; 14443
+ ADD *+AR4(01026h), 14, A, B ; 14444
+ ADD *+AR4(01027h), 14, A ; 14445
+ ADD *+AR4(01028h), 14, B, A ; 14446
+ ADD *+AR4(01029h), 14, B, B ; 14447
+ ADD *+AR4(0102Ah), 14, B ; 14448
+ ADD *+AR4(0102Bh), 15, A, A ; 14449
+ ADD *+AR4(0102Ch), 15, A, B ; 14450
+ ADD *+AR4(0102Dh), 15, A ; 14451
+ ADD *+AR4(0102Eh), 15, B, A ; 14452
+ ADD *+AR4(0102Fh), 15, B, B ; 14453
+ ADD *+AR4(01030h), 15, B ; 14454
+ ADD *+AR4(01031h)%, A, A ; 14455
+ ADD *+AR4(01032h)%, A, B ; 14456
+ ADD *+AR4(01033h)%, A ; 14457
+ ADD *+AR4(01034h)%, B, A ; 14458
+ ADD *+AR4(01035h)%, B, B ; 14459
+ ADD *+AR4(01036h)%, B ; 14460
+ ADD *+AR4(01037h)%, -16, A, A ; 14461
+ ADD *+AR4(01038h)%, -16, A, B ; 14462
+ ADD *+AR4(01039h)%, -16, A ; 14463
+ ADD *+AR4(0103Ah)%, -16, B, A ; 14464
+ ADD *+AR4(0103Bh)%, -16, B, B ; 14465
+ ADD *+AR4(0103Ch)%, -16, B ; 14466
+ ADD *+AR4(0103Dh)%, -15, A, A ; 14467
+ ADD *+AR4(0103Eh)%, -15, A, B ; 14468
+ ADD *+AR4(0103Fh)%, -15, A ; 14469
+ ADD *+AR4(01040h)%, -15, B, A ; 14470
+ ADD *+AR4(01041h)%, -15, B, B ; 14471
+ ADD *+AR4(01042h)%, -15, B ; 14472
+ ADD *+AR4(01043h)%, -14, A, A ; 14473
+ ADD *+AR4(01044h)%, -14, A, B ; 14474
+ ADD *+AR4(01045h)%, -14, A ; 14475
+ ADD *+AR4(01046h)%, -14, B, A ; 14476
+ ADD *+AR4(01047h)%, -14, B, B ; 14477
+ ADD *+AR4(01048h)%, -14, B ; 14478
+ ADD *+AR4(01049h)%, -13, A, A ; 14479
+ ADD *+AR4(0104Ah)%, -13, A, B ; 14480
+ ADD *+AR4(0104Bh)%, -13, A ; 14481
+ ADD *+AR4(0104Ch)%, -13, B, A ; 14482
+ ADD *+AR4(0104Dh)%, -13, B, B ; 14483
+ ADD *+AR4(0104Eh)%, -13, B ; 14484
+ ADD *+AR4(0104Fh)%, -12, A, A ; 14485
+ ADD *+AR4(01050h)%, -12, A, B ; 14486
+ ADD *+AR4(01051h)%, -12, A ; 14487
+ ADD *+AR4(01052h)%, -12, B, A ; 14488
+ ADD *+AR4(01053h)%, -12, B, B ; 14489
+ ADD *+AR4(01054h)%, -12, B ; 14490
+ ADD *+AR4(01055h)%, -11, A, A ; 14491
+ ADD *+AR4(01056h)%, -11, A, B ; 14492
+ ADD *+AR4(01057h)%, -11, A ; 14493
+ ADD *+AR4(01058h)%, -11, B, A ; 14494
+ ADD *+AR4(01059h)%, -11, B, B ; 14495
+ ADD *+AR4(0105Ah)%, -11, B ; 14496
+ ADD *+AR4(0105Bh)%, -10, A, A ; 14497
+ ADD *+AR4(0105Ch)%, -10, A, B ; 14498
+ ADD *+AR4(0105Dh)%, -10, A ; 14499
+ ADD *+AR4(0105Eh)%, -10, B, A ; 14500
+ ADD *+AR4(0105Fh)%, -10, B, B ; 14501
+ ADD *+AR4(01060h)%, -10, B ; 14502
+ ADD *+AR4(01061h)%, -9, A, A ; 14503
+ ADD *+AR4(01062h)%, -9, A, B ; 14504
+ ADD *+AR4(01063h)%, -9, A ; 14505
+ ADD *+AR4(01064h)%, -9, B, A ; 14506
+ ADD *+AR4(01065h)%, -9, B, B ; 14507
+ ADD *+AR4(01066h)%, -9, B ; 14508
+ ADD *+AR4(01067h)%, -8, A, A ; 14509
+ ADD *+AR4(01068h)%, -8, A, B ; 14510
+ ADD *+AR4(01069h)%, -8, A ; 14511
+ ADD *+AR4(0106Ah)%, -8, B, A ; 14512
+ ADD *+AR4(0106Bh)%, -8, B, B ; 14513
+ ADD *+AR4(0106Ch)%, -8, B ; 14514
+ ADD *+AR4(0106Dh)%, -7, A, A ; 14515
+ ADD *+AR4(0106Eh)%, -7, A, B ; 14516
+ ADD *+AR4(0106Fh)%, -7, A ; 14517
+ ADD *+AR4(01070h)%, -7, B, A ; 14518
+ ADD *+AR4(01071h)%, -7, B, B ; 14519
+ ADD *+AR4(01072h)%, -7, B ; 14520
+ ADD *+AR4(01073h)%, -6, A, A ; 14521
+ ADD *+AR4(01074h)%, -6, A, B ; 14522
+ ADD *+AR4(01075h)%, -6, A ; 14523
+ ADD *+AR4(01076h)%, -6, B, A ; 14524
+ ADD *+AR4(01077h)%, -6, B, B ; 14525
+ ADD *+AR4(01078h)%, -6, B ; 14526
+ ADD *+AR4(01079h)%, -5, A, A ; 14527
+ ADD *+AR4(0107Ah)%, -5, A, B ; 14528
+ ADD *+AR4(0107Bh)%, -5, A ; 14529
+ ADD *+AR4(0107Ch)%, -5, B, A ; 14530
+ ADD *+AR4(0107Dh)%, -5, B, B ; 14531
+ ADD *+AR4(0107Eh)%, -5, B ; 14532
+ ADD *+AR4(0107Fh)%, -4, A, A ; 14533
+ ADD *+AR4(01080h)%, -4, A, B ; 14534
+ ADD *+AR4(01081h)%, -4, A ; 14535
+ ADD *+AR4(01082h)%, -4, B, A ; 14536
+ ADD *+AR4(01083h)%, -4, B, B ; 14537
+ ADD *+AR4(01084h)%, -4, B ; 14538
+ ADD *+AR4(01085h)%, -3, A, A ; 14539
+ ADD *+AR4(01086h)%, -3, A, B ; 14540
+ ADD *+AR4(01087h)%, -3, A ; 14541
+ ADD *+AR4(01088h)%, -3, B, A ; 14542
+ ADD *+AR4(01089h)%, -3, B, B ; 14543
+ ADD *+AR4(0108Ah)%, -3, B ; 14544
+ ADD *+AR4(0108Bh)%, -2, A, A ; 14545
+ ADD *+AR4(0108Ch)%, -2, A, B ; 14546
+ ADD *+AR4(0108Dh)%, -2, A ; 14547
+ ADD *+AR4(0108Eh)%, -2, B, A ; 14548
+ ADD *+AR4(0108Fh)%, -2, B, B ; 14549
+ ADD *+AR4(01090h)%, -2, B ; 14550
+ ADD *+AR4(01091h)%, -1, A, A ; 14551
+ ADD *+AR4(01092h)%, -1, A, B ; 14552
+ ADD *+AR4(01093h)%, -1, A ; 14553
+ ADD *+AR4(01094h)%, -1, B, A ; 14554
+ ADD *+AR4(01095h)%, -1, B, B ; 14555
+ ADD *+AR4(01096h)%, -1, B ; 14556
+ ADD *+AR4(01097h)%, 0, A, A ; 14557
+ ADD *+AR4(01098h)%, 0, A, B ; 14558
+ ADD *+AR4(01099h)%, 0, A ; 14559
+ ADD *+AR4(0109Ah)%, 0, B, A ; 14560
+ ADD *+AR4(0109Bh)%, 0, B, B ; 14561
+ ADD *+AR4(0109Ch)%, 0, B ; 14562
+ ADD *+AR4(0109Dh)%, 1, A, A ; 14563
+ ADD *+AR4(0109Eh)%, 1, A, B ; 14564
+ ADD *+AR4(0109Fh)%, 1, A ; 14565
+ ADD *+AR4(010A0h)%, 1, B, A ; 14566
+ ADD *+AR4(010A1h)%, 1, B, B ; 14567
+ ADD *+AR4(010A2h)%, 1, B ; 14568
+ ADD *+AR4(010A3h)%, 2, A, A ; 14569
+ ADD *+AR4(010A4h)%, 2, A, B ; 14570
+ ADD *+AR4(010A5h)%, 2, A ; 14571
+ ADD *+AR4(010A6h)%, 2, B, A ; 14572
+ ADD *+AR4(010A7h)%, 2, B, B ; 14573
+ ADD *+AR4(010A8h)%, 2, B ; 14574
+ ADD *+AR4(010A9h)%, 3, A, A ; 14575
+ ADD *+AR4(010AAh)%, 3, A, B ; 14576
+ ADD *+AR4(010ABh)%, 3, A ; 14577
+ ADD *+AR4(010ACh)%, 3, B, A ; 14578
+ ADD *+AR4(010ADh)%, 3, B, B ; 14579
+ ADD *+AR4(010AEh)%, 3, B ; 14580
+ ADD *+AR4(010AFh)%, 4, A, A ; 14581
+ ADD *+AR4(010B0h)%, 4, A, B ; 14582
+ ADD *+AR4(010B1h)%, 4, A ; 14583
+ ADD *+AR4(010B2h)%, 4, B, A ; 14584
+ ADD *+AR4(010B3h)%, 4, B, B ; 14585
+ ADD *+AR4(010B4h)%, 4, B ; 14586
+ ADD *+AR4(010B5h)%, 5, A, A ; 14587
+ ADD *+AR4(010B6h)%, 5, A, B ; 14588
+ ADD *+AR4(010B7h)%, 5, A ; 14589
+ ADD *+AR4(010B8h)%, 5, B, A ; 14590
+ ADD *+AR4(010B9h)%, 5, B, B ; 14591
+ ADD *+AR4(010BAh)%, 5, B ; 14592
+ ADD *+AR4(010BBh)%, 6, A, A ; 14593
+ ADD *+AR4(010BCh)%, 6, A, B ; 14594
+ ADD *+AR4(010BDh)%, 6, A ; 14595
+ ADD *+AR4(010BEh)%, 6, B, A ; 14596
+ ADD *+AR4(010BFh)%, 6, B, B ; 14597
+ ADD *+AR4(010C0h)%, 6, B ; 14598
+ ADD *+AR4(010C1h)%, 7, A, A ; 14599
+ ADD *+AR4(010C2h)%, 7, A, B ; 14600
+ ADD *+AR4(010C3h)%, 7, A ; 14601
+ ADD *+AR4(010C4h)%, 7, B, A ; 14602
+ ADD *+AR4(010C5h)%, 7, B, B ; 14603
+ ADD *+AR4(010C6h)%, 7, B ; 14604
+ ADD *+AR4(010C7h)%, 8, A, A ; 14605
+ ADD *+AR4(010C8h)%, 8, A, B ; 14606
+ ADD *+AR4(010C9h)%, 8, A ; 14607
+ ADD *+AR4(010CAh)%, 8, B, A ; 14608
+ ADD *+AR4(010CBh)%, 8, B, B ; 14609
+ ADD *+AR4(010CCh)%, 8, B ; 14610
+ ADD *+AR4(010CDh)%, 9, A, A ; 14611
+ ADD *+AR4(010CEh)%, 9, A, B ; 14612
+ ADD *+AR4(010CFh)%, 9, A ; 14613
+ ADD *+AR4(010D0h)%, 9, B, A ; 14614
+ ADD *+AR4(010D1h)%, 9, B, B ; 14615
+ ADD *+AR4(010D2h)%, 9, B ; 14616
+ ADD *+AR4(010D3h)%, 10, A, A ; 14617
+ ADD *+AR4(010D4h)%, 10, A, B ; 14618
+ ADD *+AR4(010D5h)%, 10, A ; 14619
+ ADD *+AR4(010D6h)%, 10, B, A ; 14620
+ ADD *+AR4(010D7h)%, 10, B, B ; 14621
+ ADD *+AR4(010D8h)%, 10, B ; 14622
+ ADD *+AR4(010D9h)%, 11, A, A ; 14623
+ ADD *+AR4(010DAh)%, 11, A, B ; 14624
+ ADD *+AR4(010DBh)%, 11, A ; 14625
+ ADD *+AR4(010DCh)%, 11, B, A ; 14626
+ ADD *+AR4(010DDh)%, 11, B, B ; 14627
+ ADD *+AR4(010DEh)%, 11, B ; 14628
+ ADD *+AR4(010DFh)%, 12, A, A ; 14629
+ ADD *+AR4(010E0h)%, 12, A, B ; 14630
+ ADD *+AR4(010E1h)%, 12, A ; 14631
+ ADD *+AR4(010E2h)%, 12, B, A ; 14632
+ ADD *+AR4(010E3h)%, 12, B, B ; 14633
+ ADD *+AR4(010E4h)%, 12, B ; 14634
+ ADD *+AR4(010E5h)%, 13, A, A ; 14635
+ ADD *+AR4(010E6h)%, 13, A, B ; 14636
+ ADD *+AR4(010E7h)%, 13, A ; 14637
+ ADD *+AR4(010E8h)%, 13, B, A ; 14638
+ ADD *+AR4(010E9h)%, 13, B, B ; 14639
+ ADD *+AR4(010EAh)%, 13, B ; 14640
+ ADD *+AR4(010EBh)%, 14, A, A ; 14641
+ ADD *+AR4(010ECh)%, 14, A, B ; 14642
+ ADD *+AR4(010EDh)%, 14, A ; 14643
+ ADD *+AR4(010EEh)%, 14, B, A ; 14644
+ ADD *+AR4(010EFh)%, 14, B, B ; 14645
+ ADD *+AR4(010F0h)%, 14, B ; 14646
+ ADD *+AR4(010F1h)%, 15, A, A ; 14647
+ ADD *+AR4(010F2h)%, 15, A, B ; 14648
+ ADD *+AR4(010F3h)%, 15, A ; 14649
+ ADD *+AR4(010F4h)%, 15, B, A ; 14650
+ ADD *+AR4(010F5h)%, 15, B, B ; 14651
+ ADD *+AR4(010F6h)%, 15, B ; 14652
+ ADD *(010F7h), A, A ; 14653
+ ADD *(010F8h), A, B ; 14654
+ ADD *(010F9h), A ; 14655
+ ADD *(010FAh), B, A ; 14656
+ ADD *(010FBh), B, B ; 14657
+ ADD *(010FCh), B ; 14658
+ ADD *(010FDh), -16, A, A ; 14659
+ ADD *(010FEh), -16, A, B ; 14660
+ ADD *(010FFh), -16, A ; 14661
+ ADD *(01100h), -16, B, A ; 14662
+ ADD *(01101h), -16, B, B ; 14663
+ ADD *(01102h), -16, B ; 14664
+ ADD *(01103h), -15, A, A ; 14665
+ ADD *(01104h), -15, A, B ; 14666
+ ADD *(01105h), -15, A ; 14667
+ ADD *(01106h), -15, B, A ; 14668
+ ADD *(01107h), -15, B, B ; 14669
+ ADD *(01108h), -15, B ; 14670
+ ADD *(01109h), -14, A, A ; 14671
+ ADD *(0110Ah), -14, A, B ; 14672
+ ADD *(0110Bh), -14, A ; 14673
+ ADD *(0110Ch), -14, B, A ; 14674
+ ADD *(0110Dh), -14, B, B ; 14675
+ ADD *(0110Eh), -14, B ; 14676
+ ADD *(0110Fh), -13, A, A ; 14677
+ ADD *(01110h), -13, A, B ; 14678
+ ADD *(01111h), -13, A ; 14679
+ ADD *(01112h), -13, B, A ; 14680
+ ADD *(01113h), -13, B, B ; 14681
+ ADD *(01114h), -13, B ; 14682
+ ADD *(01115h), -12, A, A ; 14683
+ ADD *(01116h), -12, A, B ; 14684
+ ADD *(01117h), -12, A ; 14685
+ ADD *(01118h), -12, B, A ; 14686
+ ADD *(01119h), -12, B, B ; 14687
+ ADD *(0111Ah), -12, B ; 14688
+ ADD *(0111Bh), -11, A, A ; 14689
+ ADD *(0111Ch), -11, A, B ; 14690
+ ADD *(0111Dh), -11, A ; 14691
+ ADD *(0111Eh), -11, B, A ; 14692
+ ADD *(0111Fh), -11, B, B ; 14693
+ ADD *(01120h), -11, B ; 14694
+ ADD *(01121h), -10, A, A ; 14695
+ ADD *(01122h), -10, A, B ; 14696
+ ADD *(01123h), -10, A ; 14697
+ ADD *(01124h), -10, B, A ; 14698
+ ADD *(01125h), -10, B, B ; 14699
+ ADD *(01126h), -10, B ; 14700
+ ADD *(01127h), -9, A, A ; 14701
+ ADD *(01128h), -9, A, B ; 14702
+ ADD *(01129h), -9, A ; 14703
+ ADD *(0112Ah), -9, B, A ; 14704
+ ADD *(0112Bh), -9, B, B ; 14705
+ ADD *(0112Ch), -9, B ; 14706
+ ADD *(0112Dh), -8, A, A ; 14707
+ ADD *(0112Eh), -8, A, B ; 14708
+ ADD *(0112Fh), -8, A ; 14709
+ ADD *(01130h), -8, B, A ; 14710
+ ADD *(01131h), -8, B, B ; 14711
+ ADD *(01132h), -8, B ; 14712
+ ADD *(01133h), -7, A, A ; 14713
+ ADD *(01134h), -7, A, B ; 14714
+ ADD *(01135h), -7, A ; 14715
+ ADD *(01136h), -7, B, A ; 14716
+ ADD *(01137h), -7, B, B ; 14717
+ ADD *(01138h), -7, B ; 14718
+ ADD *(01139h), -6, A, A ; 14719
+ ADD *(0113Ah), -6, A, B ; 14720
+ ADD *(0113Bh), -6, A ; 14721
+ ADD *(0113Ch), -6, B, A ; 14722
+ ADD *(0113Dh), -6, B, B ; 14723
+ ADD *(0113Eh), -6, B ; 14724
+ ADD *(0113Fh), -5, A, A ; 14725
+ ADD *(01140h), -5, A, B ; 14726
+ ADD *(01141h), -5, A ; 14727
+ ADD *(01142h), -5, B, A ; 14728
+ ADD *(01143h), -5, B, B ; 14729
+ ADD *(01144h), -5, B ; 14730
+ ADD *(01145h), -4, A, A ; 14731
+ ADD *(01146h), -4, A, B ; 14732
+ ADD *(01147h), -4, A ; 14733
+ ADD *(01148h), -4, B, A ; 14734
+ ADD *(01149h), -4, B, B ; 14735
+ ADD *(0114Ah), -4, B ; 14736
+ ADD *(0114Bh), -3, A, A ; 14737
+ ADD *(0114Ch), -3, A, B ; 14738
+ ADD *(0114Dh), -3, A ; 14739
+ ADD *(0114Eh), -3, B, A ; 14740
+ ADD *(0114Fh), -3, B, B ; 14741
+ ADD *(01150h), -3, B ; 14742
+ ADD *(01151h), -2, A, A ; 14743
+ ADD *(01152h), -2, A, B ; 14744
+ ADD *(01153h), -2, A ; 14745
+ ADD *(01154h), -2, B, A ; 14746
+ ADD *(01155h), -2, B, B ; 14747
+ ADD *(01156h), -2, B ; 14748
+ ADD *(01157h), -1, A, A ; 14749
+ ADD *(01158h), -1, A, B ; 14750
+ ADD *(01159h), -1, A ; 14751
+ ADD *(0115Ah), -1, B, A ; 14752
+ ADD *(0115Bh), -1, B, B ; 14753
+ ADD *(0115Ch), -1, B ; 14754
+ ADD *(0115Dh), 0, A, A ; 14755
+ ADD *(0115Eh), 0, A, B ; 14756
+ ADD *(0115Fh), 0, A ; 14757
+ ADD *(01160h), 0, B, A ; 14758
+ ADD *(01161h), 0, B, B ; 14759
+ ADD *(01162h), 0, B ; 14760
+ ADD *(01163h), 1, A, A ; 14761
+ ADD *(01164h), 1, A, B ; 14762
+ ADD *(01165h), 1, A ; 14763
+ ADD *(01166h), 1, B, A ; 14764
+ ADD *(01167h), 1, B, B ; 14765
+ ADD *(01168h), 1, B ; 14766
+ ADD *(01169h), 2, A, A ; 14767
+ ADD *(0116Ah), 2, A, B ; 14768
+ ADD *(0116Bh), 2, A ; 14769
+ ADD *(0116Ch), 2, B, A ; 14770
+ ADD *(0116Dh), 2, B, B ; 14771
+ ADD *(0116Eh), 2, B ; 14772
+ ADD *(0116Fh), 3, A, A ; 14773
+ ADD *(01170h), 3, A, B ; 14774
+ ADD *(01171h), 3, A ; 14775
+ ADD *(01172h), 3, B, A ; 14776
+ ADD *(01173h), 3, B, B ; 14777
+ ADD *(01174h), 3, B ; 14778
+ ADD *(01175h), 4, A, A ; 14779
+ ADD *(01176h), 4, A, B ; 14780
+ ADD *(01177h), 4, A ; 14781
+ ADD *(01178h), 4, B, A ; 14782
+ ADD *(01179h), 4, B, B ; 14783
+ ADD *(0117Ah), 4, B ; 14784
+ ADD *(0117Bh), 5, A, A ; 14785
+ ADD *(0117Ch), 5, A, B ; 14786
+ ADD *(0117Dh), 5, A ; 14787
+ ADD *(0117Eh), 5, B, A ; 14788
+ ADD *(0117Fh), 5, B, B ; 14789
+ ADD *(01180h), 5, B ; 14790
+ ADD *(01181h), 6, A, A ; 14791
+ ADD *(01182h), 6, A, B ; 14792
+ ADD *(01183h), 6, A ; 14793
+ ADD *(01184h), 6, B, A ; 14794
+ ADD *(01185h), 6, B, B ; 14795
+ ADD *(01186h), 6, B ; 14796
+ ADD *(01187h), 7, A, A ; 14797
+ ADD *(01188h), 7, A, B ; 14798
+ ADD *(01189h), 7, A ; 14799
+ ADD *(0118Ah), 7, B, A ; 14800
+ ADD *(0118Bh), 7, B, B ; 14801
+ ADD *(0118Ch), 7, B ; 14802
+ ADD *(0118Dh), 8, A, A ; 14803
+ ADD *(0118Eh), 8, A, B ; 14804
+ ADD *(0118Fh), 8, A ; 14805
+ ADD *(01190h), 8, B, A ; 14806
+ ADD *(01191h), 8, B, B ; 14807
+ ADD *(01192h), 8, B ; 14808
+ ADD *(01193h), 9, A, A ; 14809
+ ADD *(01194h), 9, A, B ; 14810
+ ADD *(01195h), 9, A ; 14811
+ ADD *(01196h), 9, B, A ; 14812
+ ADD *(01197h), 9, B, B ; 14813
+ ADD *(01198h), 9, B ; 14814
+ ADD *(01199h), 10, A, A ; 14815
+ ADD *(0119Ah), 10, A, B ; 14816
+ ADD *(0119Bh), 10, A ; 14817
+ ADD *(0119Ch), 10, B, A ; 14818
+ ADD *(0119Dh), 10, B, B ; 14819
+ ADD *(0119Eh), 10, B ; 14820
+ ADD *(0119Fh), 11, A, A ; 14821
+ ADD *(011A0h), 11, A, B ; 14822
+ ADD *(011A1h), 11, A ; 14823
+ ADD *(011A2h), 11, B, A ; 14824
+ ADD *(011A3h), 11, B, B ; 14825
+ ADD *(011A4h), 11, B ; 14826
+ ADD *(011A5h), 12, A, A ; 14827
+ ADD *(011A6h), 12, A, B ; 14828
+ ADD *(011A7h), 12, A ; 14829
+ ADD *(011A8h), 12, B, A ; 14830
+ ADD *(011A9h), 12, B, B ; 14831
+ ADD *(011AAh), 12, B ; 14832
+ ADD *(011ABh), 13, A, A ; 14833
+ ADD *(011ACh), 13, A, B ; 14834
+ ADD *(011ADh), 13, A ; 14835
+ ADD *(011AEh), 13, B, A ; 14836
+ ADD *(011AFh), 13, B, B ; 14837
+ ADD *(011B0h), 13, B ; 14838
+ ADD *(011B1h), 14, A, A ; 14839
+ ADD *(011B2h), 14, A, B ; 14840
+ ADD *(011B3h), 14, A ; 14841
+ ADD *(011B4h), 14, B, A ; 14842
+ ADD *(011B5h), 14, B, B ; 14843
+ ADD *(011B6h), 14, B ; 14844
+ ADD *(011B7h), 15, A, A ; 14845
+ ADD *(011B8h), 15, A, B ; 14846
+ ADD *(011B9h), 15, A ; 14847
+ ADD *(011BAh), 15, B, A ; 14848
+ ADD *(011BBh), 15, B, B ; 14849
+ ADD *(011BCh), 15, B ; 14850
+ ADD *AR5, A, A ; 14851
+ ADD *AR5, A, B ; 14852
+ ADD *AR5, A ; 14853
+ ADD *AR5, B, A ; 14854
+ ADD *AR5, B, B ; 14855
+ ADD *AR5, B ; 14856
+ ADD *AR5, -16, A, A ; 14857
+ ADD *AR5, -16, A, B ; 14858
+ ADD *AR5, -16, A ; 14859
+ ADD *AR5, -16, B, A ; 14860
+ ADD *AR5, -16, B, B ; 14861
+ ADD *AR5, -16, B ; 14862
+ ADD *AR5, -15, A, A ; 14863
+ ADD *AR5, -15, A, B ; 14864
+ ADD *AR5, -15, A ; 14865
+ ADD *AR5, -15, B, A ; 14866
+ ADD *AR5, -15, B, B ; 14867
+ ADD *AR5, -15, B ; 14868
+ ADD *AR5, -14, A, A ; 14869
+ ADD *AR5, -14, A, B ; 14870
+ ADD *AR5, -14, A ; 14871
+ ADD *AR5, -14, B, A ; 14872
+ ADD *AR5, -14, B, B ; 14873
+ ADD *AR5, -14, B ; 14874
+ ADD *AR5, -13, A, A ; 14875
+ ADD *AR5, -13, A, B ; 14876
+ ADD *AR5, -13, A ; 14877
+ ADD *AR5, -13, B, A ; 14878
+ ADD *AR5, -13, B, B ; 14879
+ ADD *AR5, -13, B ; 14880
+ ADD *AR5, -12, A, A ; 14881
+ ADD *AR5, -12, A, B ; 14882
+ ADD *AR5, -12, A ; 14883
+ ADD *AR5, -12, B, A ; 14884
+ ADD *AR5, -12, B, B ; 14885
+ ADD *AR5, -12, B ; 14886
+ ADD *AR5, -11, A, A ; 14887
+ ADD *AR5, -11, A, B ; 14888
+ ADD *AR5, -11, A ; 14889
+ ADD *AR5, -11, B, A ; 14890
+ ADD *AR5, -11, B, B ; 14891
+ ADD *AR5, -11, B ; 14892
+ ADD *AR5, -10, A, A ; 14893
+ ADD *AR5, -10, A, B ; 14894
+ ADD *AR5, -10, A ; 14895
+ ADD *AR5, -10, B, A ; 14896
+ ADD *AR5, -10, B, B ; 14897
+ ADD *AR5, -10, B ; 14898
+ ADD *AR5, -9, A, A ; 14899
+ ADD *AR5, -9, A, B ; 14900
+ ADD *AR5, -9, A ; 14901
+ ADD *AR5, -9, B, A ; 14902
+ ADD *AR5, -9, B, B ; 14903
+ ADD *AR5, -9, B ; 14904
+ ADD *AR5, -8, A, A ; 14905
+ ADD *AR5, -8, A, B ; 14906
+ ADD *AR5, -8, A ; 14907
+ ADD *AR5, -8, B, A ; 14908
+ ADD *AR5, -8, B, B ; 14909
+ ADD *AR5, -8, B ; 14910
+ ADD *AR5, -7, A, A ; 14911
+ ADD *AR5, -7, A, B ; 14912
+ ADD *AR5, -7, A ; 14913
+ ADD *AR5, -7, B, A ; 14914
+ ADD *AR5, -7, B, B ; 14915
+ ADD *AR5, -7, B ; 14916
+ ADD *AR5, -6, A, A ; 14917
+ ADD *AR5, -6, A, B ; 14918
+ ADD *AR5, -6, A ; 14919
+ ADD *AR5, -6, B, A ; 14920
+ ADD *AR5, -6, B, B ; 14921
+ ADD *AR5, -6, B ; 14922
+ ADD *AR5, -5, A, A ; 14923
+ ADD *AR5, -5, A, B ; 14924
+ ADD *AR5, -5, A ; 14925
+ ADD *AR5, -5, B, A ; 14926
+ ADD *AR5, -5, B, B ; 14927
+ ADD *AR5, -5, B ; 14928
+ ADD *AR5, -4, A, A ; 14929
+ ADD *AR5, -4, A, B ; 14930
+ ADD *AR5, -4, A ; 14931
+ ADD *AR5, -4, B, A ; 14932
+ ADD *AR5, -4, B, B ; 14933
+ ADD *AR5, -4, B ; 14934
+ ADD *AR5, -3, A, A ; 14935
+ ADD *AR5, -3, A, B ; 14936
+ ADD *AR5, -3, A ; 14937
+ ADD *AR5, -3, B, A ; 14938
+ ADD *AR5, -3, B, B ; 14939
+ ADD *AR5, -3, B ; 14940
+ ADD *AR5, -2, A, A ; 14941
+ ADD *AR5, -2, A, B ; 14942
+ ADD *AR5, -2, A ; 14943
+ ADD *AR5, -2, B, A ; 14944
+ ADD *AR5, -2, B, B ; 14945
+ ADD *AR5, -2, B ; 14946
+ ADD *AR5, -1, A, A ; 14947
+ ADD *AR5, -1, A, B ; 14948
+ ADD *AR5, -1, A ; 14949
+ ADD *AR5, -1, B, A ; 14950
+ ADD *AR5, -1, B, B ; 14951
+ ADD *AR5, -1, B ; 14952
+ ADD *AR5, 0, A, A ; 14953
+ ADD *AR5, 0, A, B ; 14954
+ ADD *AR5, 0, A ; 14955
+ ADD *AR5, 0, B, A ; 14956
+ ADD *AR5, 0, B, B ; 14957
+ ADD *AR5, 0, B ; 14958
+ ADD *AR5, 1, A, A ; 14959
+ ADD *AR5, 1, A, B ; 14960
+ ADD *AR5, 1, A ; 14961
+ ADD *AR5, 1, B, A ; 14962
+ ADD *AR5, 1, B, B ; 14963
+ ADD *AR5, 1, B ; 14964
+ ADD *AR5, 2, A, A ; 14965
+ ADD *AR5, 2, A, B ; 14966
+ ADD *AR5, 2, A ; 14967
+ ADD *AR5, 2, B, A ; 14968
+ ADD *AR5, 2, B, B ; 14969
+ ADD *AR5, 2, B ; 14970
+ ADD *AR5, 3, A, A ; 14971
+ ADD *AR5, 3, A, B ; 14972
+ ADD *AR5, 3, A ; 14973
+ ADD *AR5, 3, B, A ; 14974
+ ADD *AR5, 3, B, B ; 14975
+ ADD *AR5, 3, B ; 14976
+ ADD *AR5, 4, A, A ; 14977
+ ADD *AR5, 4, A, B ; 14978
+ ADD *AR5, 4, A ; 14979
+ ADD *AR5, 4, B, A ; 14980
+ ADD *AR5, 4, B, B ; 14981
+ ADD *AR5, 4, B ; 14982
+ ADD *AR5, 5, A, A ; 14983
+ ADD *AR5, 5, A, B ; 14984
+ ADD *AR5, 5, A ; 14985
+ ADD *AR5, 5, B, A ; 14986
+ ADD *AR5, 5, B, B ; 14987
+ ADD *AR5, 5, B ; 14988
+ ADD *AR5, 6, A, A ; 14989
+ ADD *AR5, 6, A, B ; 14990
+ ADD *AR5, 6, A ; 14991
+ ADD *AR5, 6, B, A ; 14992
+ ADD *AR5, 6, B, B ; 14993
+ ADD *AR5, 6, B ; 14994
+ ADD *AR5, 7, A, A ; 14995
+ ADD *AR5, 7, A, B ; 14996
+ ADD *AR5, 7, A ; 14997
+ ADD *AR5, 7, B, A ; 14998
+ ADD *AR5, 7, B, B ; 14999
+ ADD *AR5, 7, B ; 15000
+ ADD *AR5, 8, A, A ; 15001
+ ADD *AR5, 8, A, B ; 15002
+ ADD *AR5, 8, A ; 15003
+ ADD *AR5, 8, B, A ; 15004
+ ADD *AR5, 8, B, B ; 15005
+ ADD *AR5, 8, B ; 15006
+ ADD *AR5, 9, A, A ; 15007
+ ADD *AR5, 9, A, B ; 15008
+ ADD *AR5, 9, A ; 15009
+ ADD *AR5, 9, B, A ; 15010
+ ADD *AR5, 9, B, B ; 15011
+ ADD *AR5, 9, B ; 15012
+ ADD *AR5, 10, A, A ; 15013
+ ADD *AR5, 10, A, B ; 15014
+ ADD *AR5, 10, A ; 15015
+ ADD *AR5, 10, B, A ; 15016
+ ADD *AR5, 10, B, B ; 15017
+ ADD *AR5, 10, B ; 15018
+ ADD *AR5, 11, A, A ; 15019
+ ADD *AR5, 11, A, B ; 15020
+ ADD *AR5, 11, A ; 15021
+ ADD *AR5, 11, B, A ; 15022
+ ADD *AR5, 11, B, B ; 15023
+ ADD *AR5, 11, B ; 15024
+ ADD *AR5, 12, A, A ; 15025
+ ADD *AR5, 12, A, B ; 15026
+ ADD *AR5, 12, A ; 15027
+ ADD *AR5, 12, B, A ; 15028
+ ADD *AR5, 12, B, B ; 15029
+ ADD *AR5, 12, B ; 15030
+ ADD *AR5, 13, A, A ; 15031
+ ADD *AR5, 13, A, B ; 15032
+ ADD *AR5, 13, A ; 15033
+ ADD *AR5, 13, B, A ; 15034
+ ADD *AR5, 13, B, B ; 15035
+ ADD *AR5, 13, B ; 15036
+ ADD *AR5, 14, A, A ; 15037
+ ADD *AR5, 14, A, B ; 15038
+ ADD *AR5, 14, A ; 15039
+ ADD *AR5, 14, B, A ; 15040
+ ADD *AR5, 14, B, B ; 15041
+ ADD *AR5, 14, B ; 15042
+ ADD *AR5, 15, A, A ; 15043
+ ADD *AR5, 15, A, B ; 15044
+ ADD *AR5, 15, A ; 15045
+ ADD *AR5, 15, B, A ; 15046
+ ADD *AR5, 15, B, B ; 15047
+ ADD *AR5, 15, B ; 15048
+ ADD *AR5-, A, A ; 15049
+ ADD *AR5-, A, B ; 15050
+ ADD *AR5-, A ; 15051
+ ADD *AR5-, B, A ; 15052
+ ADD *AR5-, B, B ; 15053
+ ADD *AR5-, B ; 15054
+ ADD *AR5-, -16, A, A ; 15055
+ ADD *AR5-, -16, A, B ; 15056
+ ADD *AR5-, -16, A ; 15057
+ ADD *AR5-, -16, B, A ; 15058
+ ADD *AR5-, -16, B, B ; 15059
+ ADD *AR5-, -16, B ; 15060
+ ADD *AR5-, -15, A, A ; 15061
+ ADD *AR5-, -15, A, B ; 15062
+ ADD *AR5-, -15, A ; 15063
+ ADD *AR5-, -15, B, A ; 15064
+ ADD *AR5-, -15, B, B ; 15065
+ ADD *AR5-, -15, B ; 15066
+ ADD *AR5-, -14, A, A ; 15067
+ ADD *AR5-, -14, A, B ; 15068
+ ADD *AR5-, -14, A ; 15069
+ ADD *AR5-, -14, B, A ; 15070
+ ADD *AR5-, -14, B, B ; 15071
+ ADD *AR5-, -14, B ; 15072
+ ADD *AR5-, -13, A, A ; 15073
+ ADD *AR5-, -13, A, B ; 15074
+ ADD *AR5-, -13, A ; 15075
+ ADD *AR5-, -13, B, A ; 15076
+ ADD *AR5-, -13, B, B ; 15077
+ ADD *AR5-, -13, B ; 15078
+ ADD *AR5-, -12, A, A ; 15079
+ ADD *AR5-, -12, A, B ; 15080
+ ADD *AR5-, -12, A ; 15081
+ ADD *AR5-, -12, B, A ; 15082
+ ADD *AR5-, -12, B, B ; 15083
+ ADD *AR5-, -12, B ; 15084
+ ADD *AR5-, -11, A, A ; 15085
+ ADD *AR5-, -11, A, B ; 15086
+ ADD *AR5-, -11, A ; 15087
+ ADD *AR5-, -11, B, A ; 15088
+ ADD *AR5-, -11, B, B ; 15089
+ ADD *AR5-, -11, B ; 15090
+ ADD *AR5-, -10, A, A ; 15091
+ ADD *AR5-, -10, A, B ; 15092
+ ADD *AR5-, -10, A ; 15093
+ ADD *AR5-, -10, B, A ; 15094
+ ADD *AR5-, -10, B, B ; 15095
+ ADD *AR5-, -10, B ; 15096
+ ADD *AR5-, -9, A, A ; 15097
+ ADD *AR5-, -9, A, B ; 15098
+ ADD *AR5-, -9, A ; 15099
+ ADD *AR5-, -9, B, A ; 15100
+ ADD *AR5-, -9, B, B ; 15101
+ ADD *AR5-, -9, B ; 15102
+ ADD *AR5-, -8, A, A ; 15103
+ ADD *AR5-, -8, A, B ; 15104
+ ADD *AR5-, -8, A ; 15105
+ ADD *AR5-, -8, B, A ; 15106
+ ADD *AR5-, -8, B, B ; 15107
+ ADD *AR5-, -8, B ; 15108
+ ADD *AR5-, -7, A, A ; 15109
+ ADD *AR5-, -7, A, B ; 15110
+ ADD *AR5-, -7, A ; 15111
+ ADD *AR5-, -7, B, A ; 15112
+ ADD *AR5-, -7, B, B ; 15113
+ ADD *AR5-, -7, B ; 15114
+ ADD *AR5-, -6, A, A ; 15115
+ ADD *AR5-, -6, A, B ; 15116
+ ADD *AR5-, -6, A ; 15117
+ ADD *AR5-, -6, B, A ; 15118
+ ADD *AR5-, -6, B, B ; 15119
+ ADD *AR5-, -6, B ; 15120
+ ADD *AR5-, -5, A, A ; 15121
+ ADD *AR5-, -5, A, B ; 15122
+ ADD *AR5-, -5, A ; 15123
+ ADD *AR5-, -5, B, A ; 15124
+ ADD *AR5-, -5, B, B ; 15125
+ ADD *AR5-, -5, B ; 15126
+ ADD *AR5-, -4, A, A ; 15127
+ ADD *AR5-, -4, A, B ; 15128
+ ADD *AR5-, -4, A ; 15129
+ ADD *AR5-, -4, B, A ; 15130
+ ADD *AR5-, -4, B, B ; 15131
+ ADD *AR5-, -4, B ; 15132
+ ADD *AR5-, -3, A, A ; 15133
+ ADD *AR5-, -3, A, B ; 15134
+ ADD *AR5-, -3, A ; 15135
+ ADD *AR5-, -3, B, A ; 15136
+ ADD *AR5-, -3, B, B ; 15137
+ ADD *AR5-, -3, B ; 15138
+ ADD *AR5-, -2, A, A ; 15139
+ ADD *AR5-, -2, A, B ; 15140
+ ADD *AR5-, -2, A ; 15141
+ ADD *AR5-, -2, B, A ; 15142
+ ADD *AR5-, -2, B, B ; 15143
+ ADD *AR5-, -2, B ; 15144
+ ADD *AR5-, -1, A, A ; 15145
+ ADD *AR5-, -1, A, B ; 15146
+ ADD *AR5-, -1, A ; 15147
+ ADD *AR5-, -1, B, A ; 15148
+ ADD *AR5-, -1, B, B ; 15149
+ ADD *AR5-, -1, B ; 15150
+ ADD *AR5-, 0, A, A ; 15151
+ ADD *AR5-, 0, A, B ; 15152
+ ADD *AR5-, 0, A ; 15153
+ ADD *AR5-, 0, B, A ; 15154
+ ADD *AR5-, 0, B, B ; 15155
+ ADD *AR5-, 0, B ; 15156
+ ADD *AR5-, 1, A, A ; 15157
+ ADD *AR5-, 1, A, B ; 15158
+ ADD *AR5-, 1, A ; 15159
+ ADD *AR5-, 1, B, A ; 15160
+ ADD *AR5-, 1, B, B ; 15161
+ ADD *AR5-, 1, B ; 15162
+ ADD *AR5-, 2, A, A ; 15163
+ ADD *AR5-, 2, A, B ; 15164
+ ADD *AR5-, 2, A ; 15165
+ ADD *AR5-, 2, B, A ; 15166
+ ADD *AR5-, 2, B, B ; 15167
+ ADD *AR5-, 2, B ; 15168
+ ADD *AR5-, 3, A, A ; 15169
+ ADD *AR5-, 3, A, B ; 15170
+ ADD *AR5-, 3, A ; 15171
+ ADD *AR5-, 3, B, A ; 15172
+ ADD *AR5-, 3, B, B ; 15173
+ ADD *AR5-, 3, B ; 15174
+ ADD *AR5-, 4, A, A ; 15175
+ ADD *AR5-, 4, A, B ; 15176
+ ADD *AR5-, 4, A ; 15177
+ ADD *AR5-, 4, B, A ; 15178
+ ADD *AR5-, 4, B, B ; 15179
+ ADD *AR5-, 4, B ; 15180
+ ADD *AR5-, 5, A, A ; 15181
+ ADD *AR5-, 5, A, B ; 15182
+ ADD *AR5-, 5, A ; 15183
+ ADD *AR5-, 5, B, A ; 15184
+ ADD *AR5-, 5, B, B ; 15185
+ ADD *AR5-, 5, B ; 15186
+ ADD *AR5-, 6, A, A ; 15187
+ ADD *AR5-, 6, A, B ; 15188
+ ADD *AR5-, 6, A ; 15189
+ ADD *AR5-, 6, B, A ; 15190
+ ADD *AR5-, 6, B, B ; 15191
+ ADD *AR5-, 6, B ; 15192
+ ADD *AR5-, 7, A, A ; 15193
+ ADD *AR5-, 7, A, B ; 15194
+ ADD *AR5-, 7, A ; 15195
+ ADD *AR5-, 7, B, A ; 15196
+ ADD *AR5-, 7, B, B ; 15197
+ ADD *AR5-, 7, B ; 15198
+ ADD *AR5-, 8, A, A ; 15199
+ ADD *AR5-, 8, A, B ; 15200
+ ADD *AR5-, 8, A ; 15201
+ ADD *AR5-, 8, B, A ; 15202
+ ADD *AR5-, 8, B, B ; 15203
+ ADD *AR5-, 8, B ; 15204
+ ADD *AR5-, 9, A, A ; 15205
+ ADD *AR5-, 9, A, B ; 15206
+ ADD *AR5-, 9, A ; 15207
+ ADD *AR5-, 9, B, A ; 15208
+ ADD *AR5-, 9, B, B ; 15209
+ ADD *AR5-, 9, B ; 15210
+ ADD *AR5-, 10, A, A ; 15211
+ ADD *AR5-, 10, A, B ; 15212
+ ADD *AR5-, 10, A ; 15213
+ ADD *AR5-, 10, B, A ; 15214
+ ADD *AR5-, 10, B, B ; 15215
+ ADD *AR5-, 10, B ; 15216
+ ADD *AR5-, 11, A, A ; 15217
+ ADD *AR5-, 11, A, B ; 15218
+ ADD *AR5-, 11, A ; 15219
+ ADD *AR5-, 11, B, A ; 15220
+ ADD *AR5-, 11, B, B ; 15221
+ ADD *AR5-, 11, B ; 15222
+ ADD *AR5-, 12, A, A ; 15223
+ ADD *AR5-, 12, A, B ; 15224
+ ADD *AR5-, 12, A ; 15225
+ ADD *AR5-, 12, B, A ; 15226
+ ADD *AR5-, 12, B, B ; 15227
+ ADD *AR5-, 12, B ; 15228
+ ADD *AR5-, 13, A, A ; 15229
+ ADD *AR5-, 13, A, B ; 15230
+ ADD *AR5-, 13, A ; 15231
+ ADD *AR5-, 13, B, A ; 15232
+ ADD *AR5-, 13, B, B ; 15233
+ ADD *AR5-, 13, B ; 15234
+ ADD *AR5-, 14, A, A ; 15235
+ ADD *AR5-, 14, A, B ; 15236
+ ADD *AR5-, 14, A ; 15237
+ ADD *AR5-, 14, B, A ; 15238
+ ADD *AR5-, 14, B, B ; 15239
+ ADD *AR5-, 14, B ; 15240
+ ADD *AR5-, 15, A, A ; 15241
+ ADD *AR5-, 15, A, B ; 15242
+ ADD *AR5-, 15, A ; 15243
+ ADD *AR5-, 15, B, A ; 15244
+ ADD *AR5-, 15, B, B ; 15245
+ ADD *AR5-, 15, B ; 15246
+ ADD *AR5+, A, A ; 15247
+ ADD *AR5+, A, B ; 15248
+ ADD *AR5+, A ; 15249
+ ADD *AR5+, B, A ; 15250
+ ADD *AR5+, B, B ; 15251
+ ADD *AR5+, B ; 15252
+ ADD *AR5+, -16, A, A ; 15253
+ ADD *AR5+, -16, A, B ; 15254
+ ADD *AR5+, -16, A ; 15255
+ ADD *AR5+, -16, B, A ; 15256
+ ADD *AR5+, -16, B, B ; 15257
+ ADD *AR5+, -16, B ; 15258
+ ADD *AR5+, -15, A, A ; 15259
+ ADD *AR5+, -15, A, B ; 15260
+ ADD *AR5+, -15, A ; 15261
+ ADD *AR5+, -15, B, A ; 15262
+ ADD *AR5+, -15, B, B ; 15263
+ ADD *AR5+, -15, B ; 15264
+ ADD *AR5+, -14, A, A ; 15265
+ ADD *AR5+, -14, A, B ; 15266
+ ADD *AR5+, -14, A ; 15267
+ ADD *AR5+, -14, B, A ; 15268
+ ADD *AR5+, -14, B, B ; 15269
+ ADD *AR5+, -14, B ; 15270
+ ADD *AR5+, -13, A, A ; 15271
+ ADD *AR5+, -13, A, B ; 15272
+ ADD *AR5+, -13, A ; 15273
+ ADD *AR5+, -13, B, A ; 15274
+ ADD *AR5+, -13, B, B ; 15275
+ ADD *AR5+, -13, B ; 15276
+ ADD *AR5+, -12, A, A ; 15277
+ ADD *AR5+, -12, A, B ; 15278
+ ADD *AR5+, -12, A ; 15279
+ ADD *AR5+, -12, B, A ; 15280
+ ADD *AR5+, -12, B, B ; 15281
+ ADD *AR5+, -12, B ; 15282
+ ADD *AR5+, -11, A, A ; 15283
+ ADD *AR5+, -11, A, B ; 15284
+ ADD *AR5+, -11, A ; 15285
+ ADD *AR5+, -11, B, A ; 15286
+ ADD *AR5+, -11, B, B ; 15287
+ ADD *AR5+, -11, B ; 15288
+ ADD *AR5+, -10, A, A ; 15289
+ ADD *AR5+, -10, A, B ; 15290
+ ADD *AR5+, -10, A ; 15291
+ ADD *AR5+, -10, B, A ; 15292
+ ADD *AR5+, -10, B, B ; 15293
+ ADD *AR5+, -10, B ; 15294
+ ADD *AR5+, -9, A, A ; 15295
+ ADD *AR5+, -9, A, B ; 15296
+ ADD *AR5+, -9, A ; 15297
+ ADD *AR5+, -9, B, A ; 15298
+ ADD *AR5+, -9, B, B ; 15299
+ ADD *AR5+, -9, B ; 15300
+ ADD *AR5+, -8, A, A ; 15301
+ ADD *AR5+, -8, A, B ; 15302
+ ADD *AR5+, -8, A ; 15303
+ ADD *AR5+, -8, B, A ; 15304
+ ADD *AR5+, -8, B, B ; 15305
+ ADD *AR5+, -8, B ; 15306
+ ADD *AR5+, -7, A, A ; 15307
+ ADD *AR5+, -7, A, B ; 15308
+ ADD *AR5+, -7, A ; 15309
+ ADD *AR5+, -7, B, A ; 15310
+ ADD *AR5+, -7, B, B ; 15311
+ ADD *AR5+, -7, B ; 15312
+ ADD *AR5+, -6, A, A ; 15313
+ ADD *AR5+, -6, A, B ; 15314
+ ADD *AR5+, -6, A ; 15315
+ ADD *AR5+, -6, B, A ; 15316
+ ADD *AR5+, -6, B, B ; 15317
+ ADD *AR5+, -6, B ; 15318
+ ADD *AR5+, -5, A, A ; 15319
+ ADD *AR5+, -5, A, B ; 15320
+ ADD *AR5+, -5, A ; 15321
+ ADD *AR5+, -5, B, A ; 15322
+ ADD *AR5+, -5, B, B ; 15323
+ ADD *AR5+, -5, B ; 15324
+ ADD *AR5+, -4, A, A ; 15325
+ ADD *AR5+, -4, A, B ; 15326
+ ADD *AR5+, -4, A ; 15327
+ ADD *AR5+, -4, B, A ; 15328
+ ADD *AR5+, -4, B, B ; 15329
+ ADD *AR5+, -4, B ; 15330
+ ADD *AR5+, -3, A, A ; 15331
+ ADD *AR5+, -3, A, B ; 15332
+ ADD *AR5+, -3, A ; 15333
+ ADD *AR5+, -3, B, A ; 15334
+ ADD *AR5+, -3, B, B ; 15335
+ ADD *AR5+, -3, B ; 15336
+ ADD *AR5+, -2, A, A ; 15337
+ ADD *AR5+, -2, A, B ; 15338
+ ADD *AR5+, -2, A ; 15339
+ ADD *AR5+, -2, B, A ; 15340
+ ADD *AR5+, -2, B, B ; 15341
+ ADD *AR5+, -2, B ; 15342
+ ADD *AR5+, -1, A, A ; 15343
+ ADD *AR5+, -1, A, B ; 15344
+ ADD *AR5+, -1, A ; 15345
+ ADD *AR5+, -1, B, A ; 15346
+ ADD *AR5+, -1, B, B ; 15347
+ ADD *AR5+, -1, B ; 15348
+ ADD *AR5+, 0, A, A ; 15349
+ ADD *AR5+, 0, A, B ; 15350
+ ADD *AR5+, 0, A ; 15351
+ ADD *AR5+, 0, B, A ; 15352
+ ADD *AR5+, 0, B, B ; 15353
+ ADD *AR5+, 0, B ; 15354
+ ADD *AR5+, 1, A, A ; 15355
+ ADD *AR5+, 1, A, B ; 15356
+ ADD *AR5+, 1, A ; 15357
+ ADD *AR5+, 1, B, A ; 15358
+ ADD *AR5+, 1, B, B ; 15359
+ ADD *AR5+, 1, B ; 15360
+ ADD *AR5+, 2, A, A ; 15361
+ ADD *AR5+, 2, A, B ; 15362
+ ADD *AR5+, 2, A ; 15363
+ ADD *AR5+, 2, B, A ; 15364
+ ADD *AR5+, 2, B, B ; 15365
+ ADD *AR5+, 2, B ; 15366
+ ADD *AR5+, 3, A, A ; 15367
+ ADD *AR5+, 3, A, B ; 15368
+ ADD *AR5+, 3, A ; 15369
+ ADD *AR5+, 3, B, A ; 15370
+ ADD *AR5+, 3, B, B ; 15371
+ ADD *AR5+, 3, B ; 15372
+ ADD *AR5+, 4, A, A ; 15373
+ ADD *AR5+, 4, A, B ; 15374
+ ADD *AR5+, 4, A ; 15375
+ ADD *AR5+, 4, B, A ; 15376
+ ADD *AR5+, 4, B, B ; 15377
+ ADD *AR5+, 4, B ; 15378
+ ADD *AR5+, 5, A, A ; 15379
+ ADD *AR5+, 5, A, B ; 15380
+ ADD *AR5+, 5, A ; 15381
+ ADD *AR5+, 5, B, A ; 15382
+ ADD *AR5+, 5, B, B ; 15383
+ ADD *AR5+, 5, B ; 15384
+ ADD *AR5+, 6, A, A ; 15385
+ ADD *AR5+, 6, A, B ; 15386
+ ADD *AR5+, 6, A ; 15387
+ ADD *AR5+, 6, B, A ; 15388
+ ADD *AR5+, 6, B, B ; 15389
+ ADD *AR5+, 6, B ; 15390
+ ADD *AR5+, 7, A, A ; 15391
+ ADD *AR5+, 7, A, B ; 15392
+ ADD *AR5+, 7, A ; 15393
+ ADD *AR5+, 7, B, A ; 15394
+ ADD *AR5+, 7, B, B ; 15395
+ ADD *AR5+, 7, B ; 15396
+ ADD *AR5+, 8, A, A ; 15397
+ ADD *AR5+, 8, A, B ; 15398
+ ADD *AR5+, 8, A ; 15399
+ ADD *AR5+, 8, B, A ; 15400
+ ADD *AR5+, 8, B, B ; 15401
+ ADD *AR5+, 8, B ; 15402
+ ADD *AR5+, 9, A, A ; 15403
+ ADD *AR5+, 9, A, B ; 15404
+ ADD *AR5+, 9, A ; 15405
+ ADD *AR5+, 9, B, A ; 15406
+ ADD *AR5+, 9, B, B ; 15407
+ ADD *AR5+, 9, B ; 15408
+ ADD *AR5+, 10, A, A ; 15409
+ ADD *AR5+, 10, A, B ; 15410
+ ADD *AR5+, 10, A ; 15411
+ ADD *AR5+, 10, B, A ; 15412
+ ADD *AR5+, 10, B, B ; 15413
+ ADD *AR5+, 10, B ; 15414
+ ADD *AR5+, 11, A, A ; 15415
+ ADD *AR5+, 11, A, B ; 15416
+ ADD *AR5+, 11, A ; 15417
+ ADD *AR5+, 11, B, A ; 15418
+ ADD *AR5+, 11, B, B ; 15419
+ ADD *AR5+, 11, B ; 15420
+ ADD *AR5+, 12, A, A ; 15421
+ ADD *AR5+, 12, A, B ; 15422
+ ADD *AR5+, 12, A ; 15423
+ ADD *AR5+, 12, B, A ; 15424
+ ADD *AR5+, 12, B, B ; 15425
+ ADD *AR5+, 12, B ; 15426
+ ADD *AR5+, 13, A, A ; 15427
+ ADD *AR5+, 13, A, B ; 15428
+ ADD *AR5+, 13, A ; 15429
+ ADD *AR5+, 13, B, A ; 15430
+ ADD *AR5+, 13, B, B ; 15431
+ ADD *AR5+, 13, B ; 15432
+ ADD *AR5+, 14, A, A ; 15433
+ ADD *AR5+, 14, A, B ; 15434
+ ADD *AR5+, 14, A ; 15435
+ ADD *AR5+, 14, B, A ; 15436
+ ADD *AR5+, 14, B, B ; 15437
+ ADD *AR5+, 14, B ; 15438
+ ADD *AR5+, 15, A, A ; 15439
+ ADD *AR5+, 15, A, B ; 15440
+ ADD *AR5+, 15, A ; 15441
+ ADD *AR5+, 15, B, A ; 15442
+ ADD *AR5+, 15, B, B ; 15443
+ ADD *AR5+, 15, B ; 15444
+ ADD *AR5-0B, A, A ; 15445
+ ADD *AR5-0B, A, B ; 15446
+ ADD *AR5-0B, A ; 15447
+ ADD *AR5-0B, B, A ; 15448
+ ADD *AR5-0B, B, B ; 15449
+ ADD *AR5-0B, B ; 15450
+ ADD *AR5-0B, -16, A, A ; 15451
+ ADD *AR5-0B, -16, A, B ; 15452
+ ADD *AR5-0B, -16, A ; 15453
+ ADD *AR5-0B, -16, B, A ; 15454
+ ADD *AR5-0B, -16, B, B ; 15455
+ ADD *AR5-0B, -16, B ; 15456
+ ADD *AR5-0B, -15, A, A ; 15457
+ ADD *AR5-0B, -15, A, B ; 15458
+ ADD *AR5-0B, -15, A ; 15459
+ ADD *AR5-0B, -15, B, A ; 15460
+ ADD *AR5-0B, -15, B, B ; 15461
+ ADD *AR5-0B, -15, B ; 15462
+ ADD *AR5-0B, -14, A, A ; 15463
+ ADD *AR5-0B, -14, A, B ; 15464
+ ADD *AR5-0B, -14, A ; 15465
+ ADD *AR5-0B, -14, B, A ; 15466
+ ADD *AR5-0B, -14, B, B ; 15467
+ ADD *AR5-0B, -14, B ; 15468
+ ADD *AR5-0B, -13, A, A ; 15469
+ ADD *AR5-0B, -13, A, B ; 15470
+ ADD *AR5-0B, -13, A ; 15471
+ ADD *AR5-0B, -13, B, A ; 15472
+ ADD *AR5-0B, -13, B, B ; 15473
+ ADD *AR5-0B, -13, B ; 15474
+ ADD *AR5-0B, -12, A, A ; 15475
+ ADD *AR5-0B, -12, A, B ; 15476
+ ADD *AR5-0B, -12, A ; 15477
+ ADD *AR5-0B, -12, B, A ; 15478
+ ADD *AR5-0B, -12, B, B ; 15479
+ ADD *AR5-0B, -12, B ; 15480
+ ADD *AR5-0B, -11, A, A ; 15481
+ ADD *AR5-0B, -11, A, B ; 15482
+ ADD *AR5-0B, -11, A ; 15483
+ ADD *AR5-0B, -11, B, A ; 15484
+ ADD *AR5-0B, -11, B, B ; 15485
+ ADD *AR5-0B, -11, B ; 15486
+ ADD *AR5-0B, -10, A, A ; 15487
+ ADD *AR5-0B, -10, A, B ; 15488
+ ADD *AR5-0B, -10, A ; 15489
+ ADD *AR5-0B, -10, B, A ; 15490
+ ADD *AR5-0B, -10, B, B ; 15491
+ ADD *AR5-0B, -10, B ; 15492
+ ADD *AR5-0B, -9, A, A ; 15493
+ ADD *AR5-0B, -9, A, B ; 15494
+ ADD *AR5-0B, -9, A ; 15495
+ ADD *AR5-0B, -9, B, A ; 15496
+ ADD *AR5-0B, -9, B, B ; 15497
+ ADD *AR5-0B, -9, B ; 15498
+ ADD *AR5-0B, -8, A, A ; 15499
+ ADD *AR5-0B, -8, A, B ; 15500
+ ADD *AR5-0B, -8, A ; 15501
+ ADD *AR5-0B, -8, B, A ; 15502
+ ADD *AR5-0B, -8, B, B ; 15503
+ ADD *AR5-0B, -8, B ; 15504
+ ADD *AR5-0B, -7, A, A ; 15505
+ ADD *AR5-0B, -7, A, B ; 15506
+ ADD *AR5-0B, -7, A ; 15507
+ ADD *AR5-0B, -7, B, A ; 15508
+ ADD *AR5-0B, -7, B, B ; 15509
+ ADD *AR5-0B, -7, B ; 15510
+ ADD *AR5-0B, -6, A, A ; 15511
+ ADD *AR5-0B, -6, A, B ; 15512
+ ADD *AR5-0B, -6, A ; 15513
+ ADD *AR5-0B, -6, B, A ; 15514
+ ADD *AR5-0B, -6, B, B ; 15515
+ ADD *AR5-0B, -6, B ; 15516
+ ADD *AR5-0B, -5, A, A ; 15517
+ ADD *AR5-0B, -5, A, B ; 15518
+ ADD *AR5-0B, -5, A ; 15519
+ ADD *AR5-0B, -5, B, A ; 15520
+ ADD *AR5-0B, -5, B, B ; 15521
+ ADD *AR5-0B, -5, B ; 15522
+ ADD *AR5-0B, -4, A, A ; 15523
+ ADD *AR5-0B, -4, A, B ; 15524
+ ADD *AR5-0B, -4, A ; 15525
+ ADD *AR5-0B, -4, B, A ; 15526
+ ADD *AR5-0B, -4, B, B ; 15527
+ ADD *AR5-0B, -4, B ; 15528
+ ADD *AR5-0B, -3, A, A ; 15529
+ ADD *AR5-0B, -3, A, B ; 15530
+ ADD *AR5-0B, -3, A ; 15531
+ ADD *AR5-0B, -3, B, A ; 15532
+ ADD *AR5-0B, -3, B, B ; 15533
+ ADD *AR5-0B, -3, B ; 15534
+ ADD *AR5-0B, -2, A, A ; 15535
+ ADD *AR5-0B, -2, A, B ; 15536
+ ADD *AR5-0B, -2, A ; 15537
+ ADD *AR5-0B, -2, B, A ; 15538
+ ADD *AR5-0B, -2, B, B ; 15539
+ ADD *AR5-0B, -2, B ; 15540
+ ADD *AR5-0B, -1, A, A ; 15541
+ ADD *AR5-0B, -1, A, B ; 15542
+ ADD *AR5-0B, -1, A ; 15543
+ ADD *AR5-0B, -1, B, A ; 15544
+ ADD *AR5-0B, -1, B, B ; 15545
+ ADD *AR5-0B, -1, B ; 15546
+ ADD *AR5-0B, 0, A, A ; 15547
+ ADD *AR5-0B, 0, A, B ; 15548
+ ADD *AR5-0B, 0, A ; 15549
+ ADD *AR5-0B, 0, B, A ; 15550
+ ADD *AR5-0B, 0, B, B ; 15551
+ ADD *AR5-0B, 0, B ; 15552
+ ADD *AR5-0B, 1, A, A ; 15553
+ ADD *AR5-0B, 1, A, B ; 15554
+ ADD *AR5-0B, 1, A ; 15555
+ ADD *AR5-0B, 1, B, A ; 15556
+ ADD *AR5-0B, 1, B, B ; 15557
+ ADD *AR5-0B, 1, B ; 15558
+ ADD *AR5-0B, 2, A, A ; 15559
+ ADD *AR5-0B, 2, A, B ; 15560
+ ADD *AR5-0B, 2, A ; 15561
+ ADD *AR5-0B, 2, B, A ; 15562
+ ADD *AR5-0B, 2, B, B ; 15563
+ ADD *AR5-0B, 2, B ; 15564
+ ADD *AR5-0B, 3, A, A ; 15565
+ ADD *AR5-0B, 3, A, B ; 15566
+ ADD *AR5-0B, 3, A ; 15567
+ ADD *AR5-0B, 3, B, A ; 15568
+ ADD *AR5-0B, 3, B, B ; 15569
+ ADD *AR5-0B, 3, B ; 15570
+ ADD *AR5-0B, 4, A, A ; 15571
+ ADD *AR5-0B, 4, A, B ; 15572
+ ADD *AR5-0B, 4, A ; 15573
+ ADD *AR5-0B, 4, B, A ; 15574
+ ADD *AR5-0B, 4, B, B ; 15575
+ ADD *AR5-0B, 4, B ; 15576
+ ADD *AR5-0B, 5, A, A ; 15577
+ ADD *AR5-0B, 5, A, B ; 15578
+ ADD *AR5-0B, 5, A ; 15579
+ ADD *AR5-0B, 5, B, A ; 15580
+ ADD *AR5-0B, 5, B, B ; 15581
+ ADD *AR5-0B, 5, B ; 15582
+ ADD *AR5-0B, 6, A, A ; 15583
+ ADD *AR5-0B, 6, A, B ; 15584
+ ADD *AR5-0B, 6, A ; 15585
+ ADD *AR5-0B, 6, B, A ; 15586
+ ADD *AR5-0B, 6, B, B ; 15587
+ ADD *AR5-0B, 6, B ; 15588
+ ADD *AR5-0B, 7, A, A ; 15589
+ ADD *AR5-0B, 7, A, B ; 15590
+ ADD *AR5-0B, 7, A ; 15591
+ ADD *AR5-0B, 7, B, A ; 15592
+ ADD *AR5-0B, 7, B, B ; 15593
+ ADD *AR5-0B, 7, B ; 15594
+ ADD *AR5-0B, 8, A, A ; 15595
+ ADD *AR5-0B, 8, A, B ; 15596
+ ADD *AR5-0B, 8, A ; 15597
+ ADD *AR5-0B, 8, B, A ; 15598
+ ADD *AR5-0B, 8, B, B ; 15599
+ ADD *AR5-0B, 8, B ; 15600
+ ADD *AR5-0B, 9, A, A ; 15601
+ ADD *AR5-0B, 9, A, B ; 15602
+ ADD *AR5-0B, 9, A ; 15603
+ ADD *AR5-0B, 9, B, A ; 15604
+ ADD *AR5-0B, 9, B, B ; 15605
+ ADD *AR5-0B, 9, B ; 15606
+ ADD *AR5-0B, 10, A, A ; 15607
+ ADD *AR5-0B, 10, A, B ; 15608
+ ADD *AR5-0B, 10, A ; 15609
+ ADD *AR5-0B, 10, B, A ; 15610
+ ADD *AR5-0B, 10, B, B ; 15611
+ ADD *AR5-0B, 10, B ; 15612
+ ADD *AR5-0B, 11, A, A ; 15613
+ ADD *AR5-0B, 11, A, B ; 15614
+ ADD *AR5-0B, 11, A ; 15615
+ ADD *AR5-0B, 11, B, A ; 15616
+ ADD *AR5-0B, 11, B, B ; 15617
+ ADD *AR5-0B, 11, B ; 15618
+ ADD *AR5-0B, 12, A, A ; 15619
+ ADD *AR5-0B, 12, A, B ; 15620
+ ADD *AR5-0B, 12, A ; 15621
+ ADD *AR5-0B, 12, B, A ; 15622
+ ADD *AR5-0B, 12, B, B ; 15623
+ ADD *AR5-0B, 12, B ; 15624
+ ADD *AR5-0B, 13, A, A ; 15625
+ ADD *AR5-0B, 13, A, B ; 15626
+ ADD *AR5-0B, 13, A ; 15627
+ ADD *AR5-0B, 13, B, A ; 15628
+ ADD *AR5-0B, 13, B, B ; 15629
+ ADD *AR5-0B, 13, B ; 15630
+ ADD *AR5-0B, 14, A, A ; 15631
+ ADD *AR5-0B, 14, A, B ; 15632
+ ADD *AR5-0B, 14, A ; 15633
+ ADD *AR5-0B, 14, B, A ; 15634
+ ADD *AR5-0B, 14, B, B ; 15635
+ ADD *AR5-0B, 14, B ; 15636
+ ADD *AR5-0B, 15, A, A ; 15637
+ ADD *AR5-0B, 15, A, B ; 15638
+ ADD *AR5-0B, 15, A ; 15639
+ ADD *AR5-0B, 15, B, A ; 15640
+ ADD *AR5-0B, 15, B, B ; 15641
+ ADD *AR5-0B, 15, B ; 15642
+ ADD *AR5-0, A, A ; 15643
+ ADD *AR5-0, A, B ; 15644
+ ADD *AR5-0, A ; 15645
+ ADD *AR5-0, B, A ; 15646
+ ADD *AR5-0, B, B ; 15647
+ ADD *AR5-0, B ; 15648
+ ADD *AR5-0, -16, A, A ; 15649
+ ADD *AR5-0, -16, A, B ; 15650
+ ADD *AR5-0, -16, A ; 15651
+ ADD *AR5-0, -16, B, A ; 15652
+ ADD *AR5-0, -16, B, B ; 15653
+ ADD *AR5-0, -16, B ; 15654
+ ADD *AR5-0, -15, A, A ; 15655
+ ADD *AR5-0, -15, A, B ; 15656
+ ADD *AR5-0, -15, A ; 15657
+ ADD *AR5-0, -15, B, A ; 15658
+ ADD *AR5-0, -15, B, B ; 15659
+ ADD *AR5-0, -15, B ; 15660
+ ADD *AR5-0, -14, A, A ; 15661
+ ADD *AR5-0, -14, A, B ; 15662
+ ADD *AR5-0, -14, A ; 15663
+ ADD *AR5-0, -14, B, A ; 15664
+ ADD *AR5-0, -14, B, B ; 15665
+ ADD *AR5-0, -14, B ; 15666
+ ADD *AR5-0, -13, A, A ; 15667
+ ADD *AR5-0, -13, A, B ; 15668
+ ADD *AR5-0, -13, A ; 15669
+ ADD *AR5-0, -13, B, A ; 15670
+ ADD *AR5-0, -13, B, B ; 15671
+ ADD *AR5-0, -13, B ; 15672
+ ADD *AR5-0, -12, A, A ; 15673
+ ADD *AR5-0, -12, A, B ; 15674
+ ADD *AR5-0, -12, A ; 15675
+ ADD *AR5-0, -12, B, A ; 15676
+ ADD *AR5-0, -12, B, B ; 15677
+ ADD *AR5-0, -12, B ; 15678
+ ADD *AR5-0, -11, A, A ; 15679
+ ADD *AR5-0, -11, A, B ; 15680
+ ADD *AR5-0, -11, A ; 15681
+ ADD *AR5-0, -11, B, A ; 15682
+ ADD *AR5-0, -11, B, B ; 15683
+ ADD *AR5-0, -11, B ; 15684
+ ADD *AR5-0, -10, A, A ; 15685
+ ADD *AR5-0, -10, A, B ; 15686
+ ADD *AR5-0, -10, A ; 15687
+ ADD *AR5-0, -10, B, A ; 15688
+ ADD *AR5-0, -10, B, B ; 15689
+ ADD *AR5-0, -10, B ; 15690
+ ADD *AR5-0, -9, A, A ; 15691
+ ADD *AR5-0, -9, A, B ; 15692
+ ADD *AR5-0, -9, A ; 15693
+ ADD *AR5-0, -9, B, A ; 15694
+ ADD *AR5-0, -9, B, B ; 15695
+ ADD *AR5-0, -9, B ; 15696
+ ADD *AR5-0, -8, A, A ; 15697
+ ADD *AR5-0, -8, A, B ; 15698
+ ADD *AR5-0, -8, A ; 15699
+ ADD *AR5-0, -8, B, A ; 15700
+ ADD *AR5-0, -8, B, B ; 15701
+ ADD *AR5-0, -8, B ; 15702
+ ADD *AR5-0, -7, A, A ; 15703
+ ADD *AR5-0, -7, A, B ; 15704
+ ADD *AR5-0, -7, A ; 15705
+ ADD *AR5-0, -7, B, A ; 15706
+ ADD *AR5-0, -7, B, B ; 15707
+ ADD *AR5-0, -7, B ; 15708
+ ADD *AR5-0, -6, A, A ; 15709
+ ADD *AR5-0, -6, A, B ; 15710
+ ADD *AR5-0, -6, A ; 15711
+ ADD *AR5-0, -6, B, A ; 15712
+ ADD *AR5-0, -6, B, B ; 15713
+ ADD *AR5-0, -6, B ; 15714
+ ADD *AR5-0, -5, A, A ; 15715
+ ADD *AR5-0, -5, A, B ; 15716
+ ADD *AR5-0, -5, A ; 15717
+ ADD *AR5-0, -5, B, A ; 15718
+ ADD *AR5-0, -5, B, B ; 15719
+ ADD *AR5-0, -5, B ; 15720
+ ADD *AR5-0, -4, A, A ; 15721
+ ADD *AR5-0, -4, A, B ; 15722
+ ADD *AR5-0, -4, A ; 15723
+ ADD *AR5-0, -4, B, A ; 15724
+ ADD *AR5-0, -4, B, B ; 15725
+ ADD *AR5-0, -4, B ; 15726
+ ADD *AR5-0, -3, A, A ; 15727
+ ADD *AR5-0, -3, A, B ; 15728
+ ADD *AR5-0, -3, A ; 15729
+ ADD *AR5-0, -3, B, A ; 15730
+ ADD *AR5-0, -3, B, B ; 15731
+ ADD *AR5-0, -3, B ; 15732
+ ADD *AR5-0, -2, A, A ; 15733
+ ADD *AR5-0, -2, A, B ; 15734
+ ADD *AR5-0, -2, A ; 15735
+ ADD *AR5-0, -2, B, A ; 15736
+ ADD *AR5-0, -2, B, B ; 15737
+ ADD *AR5-0, -2, B ; 15738
+ ADD *AR5-0, -1, A, A ; 15739
+ ADD *AR5-0, -1, A, B ; 15740
+ ADD *AR5-0, -1, A ; 15741
+ ADD *AR5-0, -1, B, A ; 15742
+ ADD *AR5-0, -1, B, B ; 15743
+ ADD *AR5-0, -1, B ; 15744
+ ADD *AR5-0, 0, A, A ; 15745
+ ADD *AR5-0, 0, A, B ; 15746
+ ADD *AR5-0, 0, A ; 15747
+ ADD *AR5-0, 0, B, A ; 15748
+ ADD *AR5-0, 0, B, B ; 15749
+ ADD *AR5-0, 0, B ; 15750
+ ADD *AR5-0, 1, A, A ; 15751
+ ADD *AR5-0, 1, A, B ; 15752
+ ADD *AR5-0, 1, A ; 15753
+ ADD *AR5-0, 1, B, A ; 15754
+ ADD *AR5-0, 1, B, B ; 15755
+ ADD *AR5-0, 1, B ; 15756
+ ADD *AR5-0, 2, A, A ; 15757
+ ADD *AR5-0, 2, A, B ; 15758
+ ADD *AR5-0, 2, A ; 15759
+ ADD *AR5-0, 2, B, A ; 15760
+ ADD *AR5-0, 2, B, B ; 15761
+ ADD *AR5-0, 2, B ; 15762
+ ADD *AR5-0, 3, A, A ; 15763
+ ADD *AR5-0, 3, A, B ; 15764
+ ADD *AR5-0, 3, A ; 15765
+ ADD *AR5-0, 3, B, A ; 15766
+ ADD *AR5-0, 3, B, B ; 15767
+ ADD *AR5-0, 3, B ; 15768
+ ADD *AR5-0, 4, A, A ; 15769
+ ADD *AR5-0, 4, A, B ; 15770
+ ADD *AR5-0, 4, A ; 15771
+ ADD *AR5-0, 4, B, A ; 15772
+ ADD *AR5-0, 4, B, B ; 15773
+ ADD *AR5-0, 4, B ; 15774
+ ADD *AR5-0, 5, A, A ; 15775
+ ADD *AR5-0, 5, A, B ; 15776
+ ADD *AR5-0, 5, A ; 15777
+ ADD *AR5-0, 5, B, A ; 15778
+ ADD *AR5-0, 5, B, B ; 15779
+ ADD *AR5-0, 5, B ; 15780
+ ADD *AR5-0, 6, A, A ; 15781
+ ADD *AR5-0, 6, A, B ; 15782
+ ADD *AR5-0, 6, A ; 15783
+ ADD *AR5-0, 6, B, A ; 15784
+ ADD *AR5-0, 6, B, B ; 15785
+ ADD *AR5-0, 6, B ; 15786
+ ADD *AR5-0, 7, A, A ; 15787
+ ADD *AR5-0, 7, A, B ; 15788
+ ADD *AR5-0, 7, A ; 15789
+ ADD *AR5-0, 7, B, A ; 15790
+ ADD *AR5-0, 7, B, B ; 15791
+ ADD *AR5-0, 7, B ; 15792
+ ADD *AR5-0, 8, A, A ; 15793
+ ADD *AR5-0, 8, A, B ; 15794
+ ADD *AR5-0, 8, A ; 15795
+ ADD *AR5-0, 8, B, A ; 15796
+ ADD *AR5-0, 8, B, B ; 15797
+ ADD *AR5-0, 8, B ; 15798
+ ADD *AR5-0, 9, A, A ; 15799
+ ADD *AR5-0, 9, A, B ; 15800
+ ADD *AR5-0, 9, A ; 15801
+ ADD *AR5-0, 9, B, A ; 15802
+ ADD *AR5-0, 9, B, B ; 15803
+ ADD *AR5-0, 9, B ; 15804
+ ADD *AR5-0, 10, A, A ; 15805
+ ADD *AR5-0, 10, A, B ; 15806
+ ADD *AR5-0, 10, A ; 15807
+ ADD *AR5-0, 10, B, A ; 15808
+ ADD *AR5-0, 10, B, B ; 15809
+ ADD *AR5-0, 10, B ; 15810
+ ADD *AR5-0, 11, A, A ; 15811
+ ADD *AR5-0, 11, A, B ; 15812
+ ADD *AR5-0, 11, A ; 15813
+ ADD *AR5-0, 11, B, A ; 15814
+ ADD *AR5-0, 11, B, B ; 15815
+ ADD *AR5-0, 11, B ; 15816
+ ADD *AR5-0, 12, A, A ; 15817
+ ADD *AR5-0, 12, A, B ; 15818
+ ADD *AR5-0, 12, A ; 15819
+ ADD *AR5-0, 12, B, A ; 15820
+ ADD *AR5-0, 12, B, B ; 15821
+ ADD *AR5-0, 12, B ; 15822
+ ADD *AR5-0, 13, A, A ; 15823
+ ADD *AR5-0, 13, A, B ; 15824
+ ADD *AR5-0, 13, A ; 15825
+ ADD *AR5-0, 13, B, A ; 15826
+ ADD *AR5-0, 13, B, B ; 15827
+ ADD *AR5-0, 13, B ; 15828
+ ADD *AR5-0, 14, A, A ; 15829
+ ADD *AR5-0, 14, A, B ; 15830
+ ADD *AR5-0, 14, A ; 15831
+ ADD *AR5-0, 14, B, A ; 15832
+ ADD *AR5-0, 14, B, B ; 15833
+ ADD *AR5-0, 14, B ; 15834
+ ADD *AR5-0, 15, A, A ; 15835
+ ADD *AR5-0, 15, A, B ; 15836
+ ADD *AR5-0, 15, A ; 15837
+ ADD *AR5-0, 15, B, A ; 15838
+ ADD *AR5-0, 15, B, B ; 15839
+ ADD *AR5-0, 15, B ; 15840
+ ADD *AR5+0, A, A ; 15841
+ ADD *AR5+0, A, B ; 15842
+ ADD *AR5+0, A ; 15843
+ ADD *AR5+0, B, A ; 15844
+ ADD *AR5+0, B, B ; 15845
+ ADD *AR5+0, B ; 15846
+ ADD *AR5+0, -16, A, A ; 15847
+ ADD *AR5+0, -16, A, B ; 15848
+ ADD *AR5+0, -16, A ; 15849
+ ADD *AR5+0, -16, B, A ; 15850
+ ADD *AR5+0, -16, B, B ; 15851
+ ADD *AR5+0, -16, B ; 15852
+ ADD *AR5+0, -15, A, A ; 15853
+ ADD *AR5+0, -15, A, B ; 15854
+ ADD *AR5+0, -15, A ; 15855
+ ADD *AR5+0, -15, B, A ; 15856
+ ADD *AR5+0, -15, B, B ; 15857
+ ADD *AR5+0, -15, B ; 15858
+ ADD *AR5+0, -14, A, A ; 15859
+ ADD *AR5+0, -14, A, B ; 15860
+ ADD *AR5+0, -14, A ; 15861
+ ADD *AR5+0, -14, B, A ; 15862
+ ADD *AR5+0, -14, B, B ; 15863
+ ADD *AR5+0, -14, B ; 15864
+ ADD *AR5+0, -13, A, A ; 15865
+ ADD *AR5+0, -13, A, B ; 15866
+ ADD *AR5+0, -13, A ; 15867
+ ADD *AR5+0, -13, B, A ; 15868
+ ADD *AR5+0, -13, B, B ; 15869
+ ADD *AR5+0, -13, B ; 15870
+ ADD *AR5+0, -12, A, A ; 15871
+ ADD *AR5+0, -12, A, B ; 15872
+ ADD *AR5+0, -12, A ; 15873
+ ADD *AR5+0, -12, B, A ; 15874
+ ADD *AR5+0, -12, B, B ; 15875
+ ADD *AR5+0, -12, B ; 15876
+ ADD *AR5+0, -11, A, A ; 15877
+ ADD *AR5+0, -11, A, B ; 15878
+ ADD *AR5+0, -11, A ; 15879
+ ADD *AR5+0, -11, B, A ; 15880
+ ADD *AR5+0, -11, B, B ; 15881
+ ADD *AR5+0, -11, B ; 15882
+ ADD *AR5+0, -10, A, A ; 15883
+ ADD *AR5+0, -10, A, B ; 15884
+ ADD *AR5+0, -10, A ; 15885
+ ADD *AR5+0, -10, B, A ; 15886
+ ADD *AR5+0, -10, B, B ; 15887
+ ADD *AR5+0, -10, B ; 15888
+ ADD *AR5+0, -9, A, A ; 15889
+ ADD *AR5+0, -9, A, B ; 15890
+ ADD *AR5+0, -9, A ; 15891
+ ADD *AR5+0, -9, B, A ; 15892
+ ADD *AR5+0, -9, B, B ; 15893
+ ADD *AR5+0, -9, B ; 15894
+ ADD *AR5+0, -8, A, A ; 15895
+ ADD *AR5+0, -8, A, B ; 15896
+ ADD *AR5+0, -8, A ; 15897
+ ADD *AR5+0, -8, B, A ; 15898
+ ADD *AR5+0, -8, B, B ; 15899
+ ADD *AR5+0, -8, B ; 15900
+ ADD *AR5+0, -7, A, A ; 15901
+ ADD *AR5+0, -7, A, B ; 15902
+ ADD *AR5+0, -7, A ; 15903
+ ADD *AR5+0, -7, B, A ; 15904
+ ADD *AR5+0, -7, B, B ; 15905
+ ADD *AR5+0, -7, B ; 15906
+ ADD *AR5+0, -6, A, A ; 15907
+ ADD *AR5+0, -6, A, B ; 15908
+ ADD *AR5+0, -6, A ; 15909
+ ADD *AR5+0, -6, B, A ; 15910
+ ADD *AR5+0, -6, B, B ; 15911
+ ADD *AR5+0, -6, B ; 15912
+ ADD *AR5+0, -5, A, A ; 15913
+ ADD *AR5+0, -5, A, B ; 15914
+ ADD *AR5+0, -5, A ; 15915
+ ADD *AR5+0, -5, B, A ; 15916
+ ADD *AR5+0, -5, B, B ; 15917
+ ADD *AR5+0, -5, B ; 15918
+ ADD *AR5+0, -4, A, A ; 15919
+ ADD *AR5+0, -4, A, B ; 15920
+ ADD *AR5+0, -4, A ; 15921
+ ADD *AR5+0, -4, B, A ; 15922
+ ADD *AR5+0, -4, B, B ; 15923
+ ADD *AR5+0, -4, B ; 15924
+ ADD *AR5+0, -3, A, A ; 15925
+ ADD *AR5+0, -3, A, B ; 15926
+ ADD *AR5+0, -3, A ; 15927
+ ADD *AR5+0, -3, B, A ; 15928
+ ADD *AR5+0, -3, B, B ; 15929
+ ADD *AR5+0, -3, B ; 15930
+ ADD *AR5+0, -2, A, A ; 15931
+ ADD *AR5+0, -2, A, B ; 15932
+ ADD *AR5+0, -2, A ; 15933
+ ADD *AR5+0, -2, B, A ; 15934
+ ADD *AR5+0, -2, B, B ; 15935
+ ADD *AR5+0, -2, B ; 15936
+ ADD *AR5+0, -1, A, A ; 15937
+ ADD *AR5+0, -1, A, B ; 15938
+ ADD *AR5+0, -1, A ; 15939
+ ADD *AR5+0, -1, B, A ; 15940
+ ADD *AR5+0, -1, B, B ; 15941
+ ADD *AR5+0, -1, B ; 15942
+ ADD *AR5+0, 0, A, A ; 15943
+ ADD *AR5+0, 0, A, B ; 15944
+ ADD *AR5+0, 0, A ; 15945
+ ADD *AR5+0, 0, B, A ; 15946
+ ADD *AR5+0, 0, B, B ; 15947
+ ADD *AR5+0, 0, B ; 15948
+ ADD *AR5+0, 1, A, A ; 15949
+ ADD *AR5+0, 1, A, B ; 15950
+ ADD *AR5+0, 1, A ; 15951
+ ADD *AR5+0, 1, B, A ; 15952
+ ADD *AR5+0, 1, B, B ; 15953
+ ADD *AR5+0, 1, B ; 15954
+ ADD *AR5+0, 2, A, A ; 15955
+ ADD *AR5+0, 2, A, B ; 15956
+ ADD *AR5+0, 2, A ; 15957
+ ADD *AR5+0, 2, B, A ; 15958
+ ADD *AR5+0, 2, B, B ; 15959
+ ADD *AR5+0, 2, B ; 15960
+ ADD *AR5+0, 3, A, A ; 15961
+ ADD *AR5+0, 3, A, B ; 15962
+ ADD *AR5+0, 3, A ; 15963
+ ADD *AR5+0, 3, B, A ; 15964
+ ADD *AR5+0, 3, B, B ; 15965
+ ADD *AR5+0, 3, B ; 15966
+ ADD *AR5+0, 4, A, A ; 15967
+ ADD *AR5+0, 4, A, B ; 15968
+ ADD *AR5+0, 4, A ; 15969
+ ADD *AR5+0, 4, B, A ; 15970
+ ADD *AR5+0, 4, B, B ; 15971
+ ADD *AR5+0, 4, B ; 15972
+ ADD *AR5+0, 5, A, A ; 15973
+ ADD *AR5+0, 5, A, B ; 15974
+ ADD *AR5+0, 5, A ; 15975
+ ADD *AR5+0, 5, B, A ; 15976
+ ADD *AR5+0, 5, B, B ; 15977
+ ADD *AR5+0, 5, B ; 15978
+ ADD *AR5+0, 6, A, A ; 15979
+ ADD *AR5+0, 6, A, B ; 15980
+ ADD *AR5+0, 6, A ; 15981
+ ADD *AR5+0, 6, B, A ; 15982
+ ADD *AR5+0, 6, B, B ; 15983
+ ADD *AR5+0, 6, B ; 15984
+ ADD *AR5+0, 7, A, A ; 15985
+ ADD *AR5+0, 7, A, B ; 15986
+ ADD *AR5+0, 7, A ; 15987
+ ADD *AR5+0, 7, B, A ; 15988
+ ADD *AR5+0, 7, B, B ; 15989
+ ADD *AR5+0, 7, B ; 15990
+ ADD *AR5+0, 8, A, A ; 15991
+ ADD *AR5+0, 8, A, B ; 15992
+ ADD *AR5+0, 8, A ; 15993
+ ADD *AR5+0, 8, B, A ; 15994
+ ADD *AR5+0, 8, B, B ; 15995
+ ADD *AR5+0, 8, B ; 15996
+ ADD *AR5+0, 9, A, A ; 15997
+ ADD *AR5+0, 9, A, B ; 15998
+ ADD *AR5+0, 9, A ; 15999
+ ADD *AR5+0, 9, B, A ; 16000
+ ADD *AR5+0, 9, B, B ; 16001
+ ADD *AR5+0, 9, B ; 16002
+ ADD *AR5+0, 10, A, A ; 16003
+ ADD *AR5+0, 10, A, B ; 16004
+ ADD *AR5+0, 10, A ; 16005
+ ADD *AR5+0, 10, B, A ; 16006
+ ADD *AR5+0, 10, B, B ; 16007
+ ADD *AR5+0, 10, B ; 16008
+ ADD *AR5+0, 11, A, A ; 16009
+ ADD *AR5+0, 11, A, B ; 16010
+ ADD *AR5+0, 11, A ; 16011
+ ADD *AR5+0, 11, B, A ; 16012
+ ADD *AR5+0, 11, B, B ; 16013
+ ADD *AR5+0, 11, B ; 16014
+ ADD *AR5+0, 12, A, A ; 16015
+ ADD *AR5+0, 12, A, B ; 16016
+ ADD *AR5+0, 12, A ; 16017
+ ADD *AR5+0, 12, B, A ; 16018
+ ADD *AR5+0, 12, B, B ; 16019
+ ADD *AR5+0, 12, B ; 16020
+ ADD *AR5+0, 13, A, A ; 16021
+ ADD *AR5+0, 13, A, B ; 16022
+ ADD *AR5+0, 13, A ; 16023
+ ADD *AR5+0, 13, B, A ; 16024
+ ADD *AR5+0, 13, B, B ; 16025
+ ADD *AR5+0, 13, B ; 16026
+ ADD *AR5+0, 14, A, A ; 16027
+ ADD *AR5+0, 14, A, B ; 16028
+ ADD *AR5+0, 14, A ; 16029
+ ADD *AR5+0, 14, B, A ; 16030
+ ADD *AR5+0, 14, B, B ; 16031
+ ADD *AR5+0, 14, B ; 16032
+ ADD *AR5+0, 15, A, A ; 16033
+ ADD *AR5+0, 15, A, B ; 16034
+ ADD *AR5+0, 15, A ; 16035
+ ADD *AR5+0, 15, B, A ; 16036
+ ADD *AR5+0, 15, B, B ; 16037
+ ADD *AR5+0, 15, B ; 16038
+ ADD *AR5+0B, A, A ; 16039
+ ADD *AR5+0B, A, B ; 16040
+ ADD *AR5+0B, A ; 16041
+ ADD *AR5+0B, B, A ; 16042
+ ADD *AR5+0B, B, B ; 16043
+ ADD *AR5+0B, B ; 16044
+ ADD *AR5+0B, -16, A, A ; 16045
+ ADD *AR5+0B, -16, A, B ; 16046
+ ADD *AR5+0B, -16, A ; 16047
+ ADD *AR5+0B, -16, B, A ; 16048
+ ADD *AR5+0B, -16, B, B ; 16049
+ ADD *AR5+0B, -16, B ; 16050
+ ADD *AR5+0B, -15, A, A ; 16051
+ ADD *AR5+0B, -15, A, B ; 16052
+ ADD *AR5+0B, -15, A ; 16053
+ ADD *AR5+0B, -15, B, A ; 16054
+ ADD *AR5+0B, -15, B, B ; 16055
+ ADD *AR5+0B, -15, B ; 16056
+ ADD *AR5+0B, -14, A, A ; 16057
+ ADD *AR5+0B, -14, A, B ; 16058
+ ADD *AR5+0B, -14, A ; 16059
+ ADD *AR5+0B, -14, B, A ; 16060
+ ADD *AR5+0B, -14, B, B ; 16061
+ ADD *AR5+0B, -14, B ; 16062
+ ADD *AR5+0B, -13, A, A ; 16063
+ ADD *AR5+0B, -13, A, B ; 16064
+ ADD *AR5+0B, -13, A ; 16065
+ ADD *AR5+0B, -13, B, A ; 16066
+ ADD *AR5+0B, -13, B, B ; 16067
+ ADD *AR5+0B, -13, B ; 16068
+ ADD *AR5+0B, -12, A, A ; 16069
+ ADD *AR5+0B, -12, A, B ; 16070
+ ADD *AR5+0B, -12, A ; 16071
+ ADD *AR5+0B, -12, B, A ; 16072
+ ADD *AR5+0B, -12, B, B ; 16073
+ ADD *AR5+0B, -12, B ; 16074
+ ADD *AR5+0B, -11, A, A ; 16075
+ ADD *AR5+0B, -11, A, B ; 16076
+ ADD *AR5+0B, -11, A ; 16077
+ ADD *AR5+0B, -11, B, A ; 16078
+ ADD *AR5+0B, -11, B, B ; 16079
+ ADD *AR5+0B, -11, B ; 16080
+ ADD *AR5+0B, -10, A, A ; 16081
+ ADD *AR5+0B, -10, A, B ; 16082
+ ADD *AR5+0B, -10, A ; 16083
+ ADD *AR5+0B, -10, B, A ; 16084
+ ADD *AR5+0B, -10, B, B ; 16085
+ ADD *AR5+0B, -10, B ; 16086
+ ADD *AR5+0B, -9, A, A ; 16087
+ ADD *AR5+0B, -9, A, B ; 16088
+ ADD *AR5+0B, -9, A ; 16089
+ ADD *AR5+0B, -9, B, A ; 16090
+ ADD *AR5+0B, -9, B, B ; 16091
+ ADD *AR5+0B, -9, B ; 16092
+ ADD *AR5+0B, -8, A, A ; 16093
+ ADD *AR5+0B, -8, A, B ; 16094
+ ADD *AR5+0B, -8, A ; 16095
+ ADD *AR5+0B, -8, B, A ; 16096
+ ADD *AR5+0B, -8, B, B ; 16097
+ ADD *AR5+0B, -8, B ; 16098
+ ADD *AR5+0B, -7, A, A ; 16099
+ ADD *AR5+0B, -7, A, B ; 16100
+ ADD *AR5+0B, -7, A ; 16101
+ ADD *AR5+0B, -7, B, A ; 16102
+ ADD *AR5+0B, -7, B, B ; 16103
+ ADD *AR5+0B, -7, B ; 16104
+ ADD *AR5+0B, -6, A, A ; 16105
+ ADD *AR5+0B, -6, A, B ; 16106
+ ADD *AR5+0B, -6, A ; 16107
+ ADD *AR5+0B, -6, B, A ; 16108
+ ADD *AR5+0B, -6, B, B ; 16109
+ ADD *AR5+0B, -6, B ; 16110
+ ADD *AR5+0B, -5, A, A ; 16111
+ ADD *AR5+0B, -5, A, B ; 16112
+ ADD *AR5+0B, -5, A ; 16113
+ ADD *AR5+0B, -5, B, A ; 16114
+ ADD *AR5+0B, -5, B, B ; 16115
+ ADD *AR5+0B, -5, B ; 16116
+ ADD *AR5+0B, -4, A, A ; 16117
+ ADD *AR5+0B, -4, A, B ; 16118
+ ADD *AR5+0B, -4, A ; 16119
+ ADD *AR5+0B, -4, B, A ; 16120
+ ADD *AR5+0B, -4, B, B ; 16121
+ ADD *AR5+0B, -4, B ; 16122
+ ADD *AR5+0B, -3, A, A ; 16123
+ ADD *AR5+0B, -3, A, B ; 16124
+ ADD *AR5+0B, -3, A ; 16125
+ ADD *AR5+0B, -3, B, A ; 16126
+ ADD *AR5+0B, -3, B, B ; 16127
+ ADD *AR5+0B, -3, B ; 16128
+ ADD *AR5+0B, -2, A, A ; 16129
+ ADD *AR5+0B, -2, A, B ; 16130
+ ADD *AR5+0B, -2, A ; 16131
+ ADD *AR5+0B, -2, B, A ; 16132
+ ADD *AR5+0B, -2, B, B ; 16133
+ ADD *AR5+0B, -2, B ; 16134
+ ADD *AR5+0B, -1, A, A ; 16135
+ ADD *AR5+0B, -1, A, B ; 16136
+ ADD *AR5+0B, -1, A ; 16137
+ ADD *AR5+0B, -1, B, A ; 16138
+ ADD *AR5+0B, -1, B, B ; 16139
+ ADD *AR5+0B, -1, B ; 16140
+ ADD *AR5+0B, 0, A, A ; 16141
+ ADD *AR5+0B, 0, A, B ; 16142
+ ADD *AR5+0B, 0, A ; 16143
+ ADD *AR5+0B, 0, B, A ; 16144
+ ADD *AR5+0B, 0, B, B ; 16145
+ ADD *AR5+0B, 0, B ; 16146
+ ADD *AR5+0B, 1, A, A ; 16147
+ ADD *AR5+0B, 1, A, B ; 16148
+ ADD *AR5+0B, 1, A ; 16149
+ ADD *AR5+0B, 1, B, A ; 16150
+ ADD *AR5+0B, 1, B, B ; 16151
+ ADD *AR5+0B, 1, B ; 16152
+ ADD *AR5+0B, 2, A, A ; 16153
+ ADD *AR5+0B, 2, A, B ; 16154
+ ADD *AR5+0B, 2, A ; 16155
+ ADD *AR5+0B, 2, B, A ; 16156
+ ADD *AR5+0B, 2, B, B ; 16157
+ ADD *AR5+0B, 2, B ; 16158
+ ADD *AR5+0B, 3, A, A ; 16159
+ ADD *AR5+0B, 3, A, B ; 16160
+ ADD *AR5+0B, 3, A ; 16161
+ ADD *AR5+0B, 3, B, A ; 16162
+ ADD *AR5+0B, 3, B, B ; 16163
+ ADD *AR5+0B, 3, B ; 16164
+ ADD *AR5+0B, 4, A, A ; 16165
+ ADD *AR5+0B, 4, A, B ; 16166
+ ADD *AR5+0B, 4, A ; 16167
+ ADD *AR5+0B, 4, B, A ; 16168
+ ADD *AR5+0B, 4, B, B ; 16169
+ ADD *AR5+0B, 4, B ; 16170
+ ADD *AR5+0B, 5, A, A ; 16171
+ ADD *AR5+0B, 5, A, B ; 16172
+ ADD *AR5+0B, 5, A ; 16173
+ ADD *AR5+0B, 5, B, A ; 16174
+ ADD *AR5+0B, 5, B, B ; 16175
+ ADD *AR5+0B, 5, B ; 16176
+ ADD *AR5+0B, 6, A, A ; 16177
+ ADD *AR5+0B, 6, A, B ; 16178
+ ADD *AR5+0B, 6, A ; 16179
+ ADD *AR5+0B, 6, B, A ; 16180
+ ADD *AR5+0B, 6, B, B ; 16181
+ ADD *AR5+0B, 6, B ; 16182
+ ADD *AR5+0B, 7, A, A ; 16183
+ ADD *AR5+0B, 7, A, B ; 16184
+ ADD *AR5+0B, 7, A ; 16185
+ ADD *AR5+0B, 7, B, A ; 16186
+ ADD *AR5+0B, 7, B, B ; 16187
+ ADD *AR5+0B, 7, B ; 16188
+ ADD *AR5+0B, 8, A, A ; 16189
+ ADD *AR5+0B, 8, A, B ; 16190
+ ADD *AR5+0B, 8, A ; 16191
+ ADD *AR5+0B, 8, B, A ; 16192
+ ADD *AR5+0B, 8, B, B ; 16193
+ ADD *AR5+0B, 8, B ; 16194
+ ADD *AR5+0B, 9, A, A ; 16195
+ ADD *AR5+0B, 9, A, B ; 16196
+ ADD *AR5+0B, 9, A ; 16197
+ ADD *AR5+0B, 9, B, A ; 16198
+ ADD *AR5+0B, 9, B, B ; 16199
+ ADD *AR5+0B, 9, B ; 16200
+ ADD *AR5+0B, 10, A, A ; 16201
+ ADD *AR5+0B, 10, A, B ; 16202
+ ADD *AR5+0B, 10, A ; 16203
+ ADD *AR5+0B, 10, B, A ; 16204
+ ADD *AR5+0B, 10, B, B ; 16205
+ ADD *AR5+0B, 10, B ; 16206
+ ADD *AR5+0B, 11, A, A ; 16207
+ ADD *AR5+0B, 11, A, B ; 16208
+ ADD *AR5+0B, 11, A ; 16209
+ ADD *AR5+0B, 11, B, A ; 16210
+ ADD *AR5+0B, 11, B, B ; 16211
+ ADD *AR5+0B, 11, B ; 16212
+ ADD *AR5+0B, 12, A, A ; 16213
+ ADD *AR5+0B, 12, A, B ; 16214
+ ADD *AR5+0B, 12, A ; 16215
+ ADD *AR5+0B, 12, B, A ; 16216
+ ADD *AR5+0B, 12, B, B ; 16217
+ ADD *AR5+0B, 12, B ; 16218
+ ADD *AR5+0B, 13, A, A ; 16219
+ ADD *AR5+0B, 13, A, B ; 16220
+ ADD *AR5+0B, 13, A ; 16221
+ ADD *AR5+0B, 13, B, A ; 16222
+ ADD *AR5+0B, 13, B, B ; 16223
+ ADD *AR5+0B, 13, B ; 16224
+ ADD *AR5+0B, 14, A, A ; 16225
+ ADD *AR5+0B, 14, A, B ; 16226
+ ADD *AR5+0B, 14, A ; 16227
+ ADD *AR5+0B, 14, B, A ; 16228
+ ADD *AR5+0B, 14, B, B ; 16229
+ ADD *AR5+0B, 14, B ; 16230
+ ADD *AR5+0B, 15, A, A ; 16231
+ ADD *AR5+0B, 15, A, B ; 16232
+ ADD *AR5+0B, 15, A ; 16233
+ ADD *AR5+0B, 15, B, A ; 16234
+ ADD *AR5+0B, 15, B, B ; 16235
+ ADD *AR5+0B, 15, B ; 16236
+ ADD *AR5-%, A, A ; 16237
+ ADD *AR5-%, A, B ; 16238
+ ADD *AR5-%, A ; 16239
+ ADD *AR5-%, B, A ; 16240
+ ADD *AR5-%, B, B ; 16241
+ ADD *AR5-%, B ; 16242
+ ADD *AR5-%, -16, A, A ; 16243
+ ADD *AR5-%, -16, A, B ; 16244
+ ADD *AR5-%, -16, A ; 16245
+ ADD *AR5-%, -16, B, A ; 16246
+ ADD *AR5-%, -16, B, B ; 16247
+ ADD *AR5-%, -16, B ; 16248
+ ADD *AR5-%, -15, A, A ; 16249
+ ADD *AR5-%, -15, A, B ; 16250
+ ADD *AR5-%, -15, A ; 16251
+ ADD *AR5-%, -15, B, A ; 16252
+ ADD *AR5-%, -15, B, B ; 16253
+ ADD *AR5-%, -15, B ; 16254
+ ADD *AR5-%, -14, A, A ; 16255
+ ADD *AR5-%, -14, A, B ; 16256
+ ADD *AR5-%, -14, A ; 16257
+ ADD *AR5-%, -14, B, A ; 16258
+ ADD *AR5-%, -14, B, B ; 16259
+ ADD *AR5-%, -14, B ; 16260
+ ADD *AR5-%, -13, A, A ; 16261
+ ADD *AR5-%, -13, A, B ; 16262
+ ADD *AR5-%, -13, A ; 16263
+ ADD *AR5-%, -13, B, A ; 16264
+ ADD *AR5-%, -13, B, B ; 16265
+ ADD *AR5-%, -13, B ; 16266
+ ADD *AR5-%, -12, A, A ; 16267
+ ADD *AR5-%, -12, A, B ; 16268
+ ADD *AR5-%, -12, A ; 16269
+ ADD *AR5-%, -12, B, A ; 16270
+ ADD *AR5-%, -12, B, B ; 16271
+ ADD *AR5-%, -12, B ; 16272
+ ADD *AR5-%, -11, A, A ; 16273
+ ADD *AR5-%, -11, A, B ; 16274
+ ADD *AR5-%, -11, A ; 16275
+ ADD *AR5-%, -11, B, A ; 16276
+ ADD *AR5-%, -11, B, B ; 16277
+ ADD *AR5-%, -11, B ; 16278
+ ADD *AR5-%, -10, A, A ; 16279
+ ADD *AR5-%, -10, A, B ; 16280
+ ADD *AR5-%, -10, A ; 16281
+ ADD *AR5-%, -10, B, A ; 16282
+ ADD *AR5-%, -10, B, B ; 16283
+ ADD *AR5-%, -10, B ; 16284
+ ADD *AR5-%, -9, A, A ; 16285
+ ADD *AR5-%, -9, A, B ; 16286
+ ADD *AR5-%, -9, A ; 16287
+ ADD *AR5-%, -9, B, A ; 16288
+ ADD *AR5-%, -9, B, B ; 16289
+ ADD *AR5-%, -9, B ; 16290
+ ADD *AR5-%, -8, A, A ; 16291
+ ADD *AR5-%, -8, A, B ; 16292
+ ADD *AR5-%, -8, A ; 16293
+ ADD *AR5-%, -8, B, A ; 16294
+ ADD *AR5-%, -8, B, B ; 16295
+ ADD *AR5-%, -8, B ; 16296
+ ADD *AR5-%, -7, A, A ; 16297
+ ADD *AR5-%, -7, A, B ; 16298
+ ADD *AR5-%, -7, A ; 16299
+ ADD *AR5-%, -7, B, A ; 16300
+ ADD *AR5-%, -7, B, B ; 16301
+ ADD *AR5-%, -7, B ; 16302
+ ADD *AR5-%, -6, A, A ; 16303
+ ADD *AR5-%, -6, A, B ; 16304
+ ADD *AR5-%, -6, A ; 16305
+ ADD *AR5-%, -6, B, A ; 16306
+ ADD *AR5-%, -6, B, B ; 16307
+ ADD *AR5-%, -6, B ; 16308
+ ADD *AR5-%, -5, A, A ; 16309
+ ADD *AR5-%, -5, A, B ; 16310
+ ADD *AR5-%, -5, A ; 16311
+ ADD *AR5-%, -5, B, A ; 16312
+ ADD *AR5-%, -5, B, B ; 16313
+ ADD *AR5-%, -5, B ; 16314
+ ADD *AR5-%, -4, A, A ; 16315
+ ADD *AR5-%, -4, A, B ; 16316
+ ADD *AR5-%, -4, A ; 16317
+ ADD *AR5-%, -4, B, A ; 16318
+ ADD *AR5-%, -4, B, B ; 16319
+ ADD *AR5-%, -4, B ; 16320
+ ADD *AR5-%, -3, A, A ; 16321
+ ADD *AR5-%, -3, A, B ; 16322
+ ADD *AR5-%, -3, A ; 16323
+ ADD *AR5-%, -3, B, A ; 16324
+ ADD *AR5-%, -3, B, B ; 16325
+ ADD *AR5-%, -3, B ; 16326
+ ADD *AR5-%, -2, A, A ; 16327
+ ADD *AR5-%, -2, A, B ; 16328
+ ADD *AR5-%, -2, A ; 16329
+ ADD *AR5-%, -2, B, A ; 16330
+ ADD *AR5-%, -2, B, B ; 16331
+ ADD *AR5-%, -2, B ; 16332
+ ADD *AR5-%, -1, A, A ; 16333
+ ADD *AR5-%, -1, A, B ; 16334
+ ADD *AR5-%, -1, A ; 16335
+ ADD *AR5-%, -1, B, A ; 16336
+ ADD *AR5-%, -1, B, B ; 16337
+ ADD *AR5-%, -1, B ; 16338
+ ADD *AR5-%, 0, A, A ; 16339
+ ADD *AR5-%, 0, A, B ; 16340
+ ADD *AR5-%, 0, A ; 16341
+ ADD *AR5-%, 0, B, A ; 16342
+ ADD *AR5-%, 0, B, B ; 16343
+ ADD *AR5-%, 0, B ; 16344
+ ADD *AR5-%, 1, A, A ; 16345
+ ADD *AR5-%, 1, A, B ; 16346
+ ADD *AR5-%, 1, A ; 16347
+ ADD *AR5-%, 1, B, A ; 16348
+ ADD *AR5-%, 1, B, B ; 16349
+ ADD *AR5-%, 1, B ; 16350
+ ADD *AR5-%, 2, A, A ; 16351
+ ADD *AR5-%, 2, A, B ; 16352
+ ADD *AR5-%, 2, A ; 16353
+ ADD *AR5-%, 2, B, A ; 16354
+ ADD *AR5-%, 2, B, B ; 16355
+ ADD *AR5-%, 2, B ; 16356
+ ADD *AR5-%, 3, A, A ; 16357
+ ADD *AR5-%, 3, A, B ; 16358
+ ADD *AR5-%, 3, A ; 16359
+ ADD *AR5-%, 3, B, A ; 16360
+ ADD *AR5-%, 3, B, B ; 16361
+ ADD *AR5-%, 3, B ; 16362
+ ADD *AR5-%, 4, A, A ; 16363
+ ADD *AR5-%, 4, A, B ; 16364
+ ADD *AR5-%, 4, A ; 16365
+ ADD *AR5-%, 4, B, A ; 16366
+ ADD *AR5-%, 4, B, B ; 16367
+ ADD *AR5-%, 4, B ; 16368
+ ADD *AR5-%, 5, A, A ; 16369
+ ADD *AR5-%, 5, A, B ; 16370
+ ADD *AR5-%, 5, A ; 16371
+ ADD *AR5-%, 5, B, A ; 16372
+ ADD *AR5-%, 5, B, B ; 16373
+ ADD *AR5-%, 5, B ; 16374
+ ADD *AR5-%, 6, A, A ; 16375
+ ADD *AR5-%, 6, A, B ; 16376
+ ADD *AR5-%, 6, A ; 16377
+ ADD *AR5-%, 6, B, A ; 16378
+ ADD *AR5-%, 6, B, B ; 16379
+ ADD *AR5-%, 6, B ; 16380
+ ADD *AR5-%, 7, A, A ; 16381
+ ADD *AR5-%, 7, A, B ; 16382
+ ADD *AR5-%, 7, A ; 16383
+ ADD *AR5-%, 7, B, A ; 16384
+ ADD *AR5-%, 7, B, B ; 16385
+ ADD *AR5-%, 7, B ; 16386
+ ADD *AR5-%, 8, A, A ; 16387
+ ADD *AR5-%, 8, A, B ; 16388
+ ADD *AR5-%, 8, A ; 16389
+ ADD *AR5-%, 8, B, A ; 16390
+ ADD *AR5-%, 8, B, B ; 16391
+ ADD *AR5-%, 8, B ; 16392
+ ADD *AR5-%, 9, A, A ; 16393
+ ADD *AR5-%, 9, A, B ; 16394
+ ADD *AR5-%, 9, A ; 16395
+ ADD *AR5-%, 9, B, A ; 16396
+ ADD *AR5-%, 9, B, B ; 16397
+ ADD *AR5-%, 9, B ; 16398
+ ADD *AR5-%, 10, A, A ; 16399
+ ADD *AR5-%, 10, A, B ; 16400
+ ADD *AR5-%, 10, A ; 16401
+ ADD *AR5-%, 10, B, A ; 16402
+ ADD *AR5-%, 10, B, B ; 16403
+ ADD *AR5-%, 10, B ; 16404
+ ADD *AR5-%, 11, A, A ; 16405
+ ADD *AR5-%, 11, A, B ; 16406
+ ADD *AR5-%, 11, A ; 16407
+ ADD *AR5-%, 11, B, A ; 16408
+ ADD *AR5-%, 11, B, B ; 16409
+ ADD *AR5-%, 11, B ; 16410
+ ADD *AR5-%, 12, A, A ; 16411
+ ADD *AR5-%, 12, A, B ; 16412
+ ADD *AR5-%, 12, A ; 16413
+ ADD *AR5-%, 12, B, A ; 16414
+ ADD *AR5-%, 12, B, B ; 16415
+ ADD *AR5-%, 12, B ; 16416
+ ADD *AR5-%, 13, A, A ; 16417
+ ADD *AR5-%, 13, A, B ; 16418
+ ADD *AR5-%, 13, A ; 16419
+ ADD *AR5-%, 13, B, A ; 16420
+ ADD *AR5-%, 13, B, B ; 16421
+ ADD *AR5-%, 13, B ; 16422
+ ADD *AR5-%, 14, A, A ; 16423
+ ADD *AR5-%, 14, A, B ; 16424
+ ADD *AR5-%, 14, A ; 16425
+ ADD *AR5-%, 14, B, A ; 16426
+ ADD *AR5-%, 14, B, B ; 16427
+ ADD *AR5-%, 14, B ; 16428
+ ADD *AR5-%, 15, A, A ; 16429
+ ADD *AR5-%, 15, A, B ; 16430
+ ADD *AR5-%, 15, A ; 16431
+ ADD *AR5-%, 15, B, A ; 16432
+ ADD *AR5-%, 15, B, B ; 16433
+ ADD *AR5-%, 15, B ; 16434
+ ADD *AR5-0%, A, A ; 16435
+ ADD *AR5-0%, A, B ; 16436
+ ADD *AR5-0%, A ; 16437
+ ADD *AR5-0%, B, A ; 16438
+ ADD *AR5-0%, B, B ; 16439
+ ADD *AR5-0%, B ; 16440
+ ADD *AR5-0%, -16, A, A ; 16441
+ ADD *AR5-0%, -16, A, B ; 16442
+ ADD *AR5-0%, -16, A ; 16443
+ ADD *AR5-0%, -16, B, A ; 16444
+ ADD *AR5-0%, -16, B, B ; 16445
+ ADD *AR5-0%, -16, B ; 16446
+ ADD *AR5-0%, -15, A, A ; 16447
+ ADD *AR5-0%, -15, A, B ; 16448
+ ADD *AR5-0%, -15, A ; 16449
+ ADD *AR5-0%, -15, B, A ; 16450
+ ADD *AR5-0%, -15, B, B ; 16451
+ ADD *AR5-0%, -15, B ; 16452
+ ADD *AR5-0%, -14, A, A ; 16453
+ ADD *AR5-0%, -14, A, B ; 16454
+ ADD *AR5-0%, -14, A ; 16455
+ ADD *AR5-0%, -14, B, A ; 16456
+ ADD *AR5-0%, -14, B, B ; 16457
+ ADD *AR5-0%, -14, B ; 16458
+ ADD *AR5-0%, -13, A, A ; 16459
+ ADD *AR5-0%, -13, A, B ; 16460
+ ADD *AR5-0%, -13, A ; 16461
+ ADD *AR5-0%, -13, B, A ; 16462
+ ADD *AR5-0%, -13, B, B ; 16463
+ ADD *AR5-0%, -13, B ; 16464
+ ADD *AR5-0%, -12, A, A ; 16465
+ ADD *AR5-0%, -12, A, B ; 16466
+ ADD *AR5-0%, -12, A ; 16467
+ ADD *AR5-0%, -12, B, A ; 16468
+ ADD *AR5-0%, -12, B, B ; 16469
+ ADD *AR5-0%, -12, B ; 16470
+ ADD *AR5-0%, -11, A, A ; 16471
+ ADD *AR5-0%, -11, A, B ; 16472
+ ADD *AR5-0%, -11, A ; 16473
+ ADD *AR5-0%, -11, B, A ; 16474
+ ADD *AR5-0%, -11, B, B ; 16475
+ ADD *AR5-0%, -11, B ; 16476
+ ADD *AR5-0%, -10, A, A ; 16477
+ ADD *AR5-0%, -10, A, B ; 16478
+ ADD *AR5-0%, -10, A ; 16479
+ ADD *AR5-0%, -10, B, A ; 16480
+ ADD *AR5-0%, -10, B, B ; 16481
+ ADD *AR5-0%, -10, B ; 16482
+ ADD *AR5-0%, -9, A, A ; 16483
+ ADD *AR5-0%, -9, A, B ; 16484
+ ADD *AR5-0%, -9, A ; 16485
+ ADD *AR5-0%, -9, B, A ; 16486
+ ADD *AR5-0%, -9, B, B ; 16487
+ ADD *AR5-0%, -9, B ; 16488
+ ADD *AR5-0%, -8, A, A ; 16489
+ ADD *AR5-0%, -8, A, B ; 16490
+ ADD *AR5-0%, -8, A ; 16491
+ ADD *AR5-0%, -8, B, A ; 16492
+ ADD *AR5-0%, -8, B, B ; 16493
+ ADD *AR5-0%, -8, B ; 16494
+ ADD *AR5-0%, -7, A, A ; 16495
+ ADD *AR5-0%, -7, A, B ; 16496
+ ADD *AR5-0%, -7, A ; 16497
+ ADD *AR5-0%, -7, B, A ; 16498
+ ADD *AR5-0%, -7, B, B ; 16499
+ ADD *AR5-0%, -7, B ; 16500
+ ADD *AR5-0%, -6, A, A ; 16501
+ ADD *AR5-0%, -6, A, B ; 16502
+ ADD *AR5-0%, -6, A ; 16503
+ ADD *AR5-0%, -6, B, A ; 16504
+ ADD *AR5-0%, -6, B, B ; 16505
+ ADD *AR5-0%, -6, B ; 16506
+ ADD *AR5-0%, -5, A, A ; 16507
+ ADD *AR5-0%, -5, A, B ; 16508
+ ADD *AR5-0%, -5, A ; 16509
+ ADD *AR5-0%, -5, B, A ; 16510
+ ADD *AR5-0%, -5, B, B ; 16511
+ ADD *AR5-0%, -5, B ; 16512
+ ADD *AR5-0%, -4, A, A ; 16513
+ ADD *AR5-0%, -4, A, B ; 16514
+ ADD *AR5-0%, -4, A ; 16515
+ ADD *AR5-0%, -4, B, A ; 16516
+ ADD *AR5-0%, -4, B, B ; 16517
+ ADD *AR5-0%, -4, B ; 16518
+ ADD *AR5-0%, -3, A, A ; 16519
+ ADD *AR5-0%, -3, A, B ; 16520
+ ADD *AR5-0%, -3, A ; 16521
+ ADD *AR5-0%, -3, B, A ; 16522
+ ADD *AR5-0%, -3, B, B ; 16523
+ ADD *AR5-0%, -3, B ; 16524
+ ADD *AR5-0%, -2, A, A ; 16525
+ ADD *AR5-0%, -2, A, B ; 16526
+ ADD *AR5-0%, -2, A ; 16527
+ ADD *AR5-0%, -2, B, A ; 16528
+ ADD *AR5-0%, -2, B, B ; 16529
+ ADD *AR5-0%, -2, B ; 16530
+ ADD *AR5-0%, -1, A, A ; 16531
+ ADD *AR5-0%, -1, A, B ; 16532
+ ADD *AR5-0%, -1, A ; 16533
+ ADD *AR5-0%, -1, B, A ; 16534
+ ADD *AR5-0%, -1, B, B ; 16535
+ ADD *AR5-0%, -1, B ; 16536
+ ADD *AR5-0%, 0, A, A ; 16537
+ ADD *AR5-0%, 0, A, B ; 16538
+ ADD *AR5-0%, 0, A ; 16539
+ ADD *AR5-0%, 0, B, A ; 16540
+ ADD *AR5-0%, 0, B, B ; 16541
+ ADD *AR5-0%, 0, B ; 16542
+ ADD *AR5-0%, 1, A, A ; 16543
+ ADD *AR5-0%, 1, A, B ; 16544
+ ADD *AR5-0%, 1, A ; 16545
+ ADD *AR5-0%, 1, B, A ; 16546
+ ADD *AR5-0%, 1, B, B ; 16547
+ ADD *AR5-0%, 1, B ; 16548
+ ADD *AR5-0%, 2, A, A ; 16549
+ ADD *AR5-0%, 2, A, B ; 16550
+ ADD *AR5-0%, 2, A ; 16551
+ ADD *AR5-0%, 2, B, A ; 16552
+ ADD *AR5-0%, 2, B, B ; 16553
+ ADD *AR5-0%, 2, B ; 16554
+ ADD *AR5-0%, 3, A, A ; 16555
+ ADD *AR5-0%, 3, A, B ; 16556
+ ADD *AR5-0%, 3, A ; 16557
+ ADD *AR5-0%, 3, B, A ; 16558
+ ADD *AR5-0%, 3, B, B ; 16559
+ ADD *AR5-0%, 3, B ; 16560
+ ADD *AR5-0%, 4, A, A ; 16561
+ ADD *AR5-0%, 4, A, B ; 16562
+ ADD *AR5-0%, 4, A ; 16563
+ ADD *AR5-0%, 4, B, A ; 16564
+ ADD *AR5-0%, 4, B, B ; 16565
+ ADD *AR5-0%, 4, B ; 16566
+ ADD *AR5-0%, 5, A, A ; 16567
+ ADD *AR5-0%, 5, A, B ; 16568
+ ADD *AR5-0%, 5, A ; 16569
+ ADD *AR5-0%, 5, B, A ; 16570
+ ADD *AR5-0%, 5, B, B ; 16571
+ ADD *AR5-0%, 5, B ; 16572
+ ADD *AR5-0%, 6, A, A ; 16573
+ ADD *AR5-0%, 6, A, B ; 16574
+ ADD *AR5-0%, 6, A ; 16575
+ ADD *AR5-0%, 6, B, A ; 16576
+ ADD *AR5-0%, 6, B, B ; 16577
+ ADD *AR5-0%, 6, B ; 16578
+ ADD *AR5-0%, 7, A, A ; 16579
+ ADD *AR5-0%, 7, A, B ; 16580
+ ADD *AR5-0%, 7, A ; 16581
+ ADD *AR5-0%, 7, B, A ; 16582
+ ADD *AR5-0%, 7, B, B ; 16583
+ ADD *AR5-0%, 7, B ; 16584
+ ADD *AR5-0%, 8, A, A ; 16585
+ ADD *AR5-0%, 8, A, B ; 16586
+ ADD *AR5-0%, 8, A ; 16587
+ ADD *AR5-0%, 8, B, A ; 16588
+ ADD *AR5-0%, 8, B, B ; 16589
+ ADD *AR5-0%, 8, B ; 16590
+ ADD *AR5-0%, 9, A, A ; 16591
+ ADD *AR5-0%, 9, A, B ; 16592
+ ADD *AR5-0%, 9, A ; 16593
+ ADD *AR5-0%, 9, B, A ; 16594
+ ADD *AR5-0%, 9, B, B ; 16595
+ ADD *AR5-0%, 9, B ; 16596
+ ADD *AR5-0%, 10, A, A ; 16597
+ ADD *AR5-0%, 10, A, B ; 16598
+ ADD *AR5-0%, 10, A ; 16599
+ ADD *AR5-0%, 10, B, A ; 16600
+ ADD *AR5-0%, 10, B, B ; 16601
+ ADD *AR5-0%, 10, B ; 16602
+ ADD *AR5-0%, 11, A, A ; 16603
+ ADD *AR5-0%, 11, A, B ; 16604
+ ADD *AR5-0%, 11, A ; 16605
+ ADD *AR5-0%, 11, B, A ; 16606
+ ADD *AR5-0%, 11, B, B ; 16607
+ ADD *AR5-0%, 11, B ; 16608
+ ADD *AR5-0%, 12, A, A ; 16609
+ ADD *AR5-0%, 12, A, B ; 16610
+ ADD *AR5-0%, 12, A ; 16611
+ ADD *AR5-0%, 12, B, A ; 16612
+ ADD *AR5-0%, 12, B, B ; 16613
+ ADD *AR5-0%, 12, B ; 16614
+ ADD *AR5-0%, 13, A, A ; 16615
+ ADD *AR5-0%, 13, A, B ; 16616
+ ADD *AR5-0%, 13, A ; 16617
+ ADD *AR5-0%, 13, B, A ; 16618
+ ADD *AR5-0%, 13, B, B ; 16619
+ ADD *AR5-0%, 13, B ; 16620
+ ADD *AR5-0%, 14, A, A ; 16621
+ ADD *AR5-0%, 14, A, B ; 16622
+ ADD *AR5-0%, 14, A ; 16623
+ ADD *AR5-0%, 14, B, A ; 16624
+ ADD *AR5-0%, 14, B, B ; 16625
+ ADD *AR5-0%, 14, B ; 16626
+ ADD *AR5-0%, 15, A, A ; 16627
+ ADD *AR5-0%, 15, A, B ; 16628
+ ADD *AR5-0%, 15, A ; 16629
+ ADD *AR5-0%, 15, B, A ; 16630
+ ADD *AR5-0%, 15, B, B ; 16631
+ ADD *AR5-0%, 15, B ; 16632
+ ADD *AR5+%, A, A ; 16633
+ ADD *AR5+%, A, B ; 16634
+ ADD *AR5+%, A ; 16635
+ ADD *AR5+%, B, A ; 16636
+ ADD *AR5+%, B, B ; 16637
+ ADD *AR5+%, B ; 16638
+ ADD *AR5+%, -16, A, A ; 16639
+ ADD *AR5+%, -16, A, B ; 16640
+ ADD *AR5+%, -16, A ; 16641
+ ADD *AR5+%, -16, B, A ; 16642
+ ADD *AR5+%, -16, B, B ; 16643
+ ADD *AR5+%, -16, B ; 16644
+ ADD *AR5+%, -15, A, A ; 16645
+ ADD *AR5+%, -15, A, B ; 16646
+ ADD *AR5+%, -15, A ; 16647
+ ADD *AR5+%, -15, B, A ; 16648
+ ADD *AR5+%, -15, B, B ; 16649
+ ADD *AR5+%, -15, B ; 16650
+ ADD *AR5+%, -14, A, A ; 16651
+ ADD *AR5+%, -14, A, B ; 16652
+ ADD *AR5+%, -14, A ; 16653
+ ADD *AR5+%, -14, B, A ; 16654
+ ADD *AR5+%, -14, B, B ; 16655
+ ADD *AR5+%, -14, B ; 16656
+ ADD *AR5+%, -13, A, A ; 16657
+ ADD *AR5+%, -13, A, B ; 16658
+ ADD *AR5+%, -13, A ; 16659
+ ADD *AR5+%, -13, B, A ; 16660
+ ADD *AR5+%, -13, B, B ; 16661
+ ADD *AR5+%, -13, B ; 16662
+ ADD *AR5+%, -12, A, A ; 16663
+ ADD *AR5+%, -12, A, B ; 16664
+ ADD *AR5+%, -12, A ; 16665
+ ADD *AR5+%, -12, B, A ; 16666
+ ADD *AR5+%, -12, B, B ; 16667
+ ADD *AR5+%, -12, B ; 16668
+ ADD *AR5+%, -11, A, A ; 16669
+ ADD *AR5+%, -11, A, B ; 16670
+ ADD *AR5+%, -11, A ; 16671
+ ADD *AR5+%, -11, B, A ; 16672
+ ADD *AR5+%, -11, B, B ; 16673
+ ADD *AR5+%, -11, B ; 16674
+ ADD *AR5+%, -10, A, A ; 16675
+ ADD *AR5+%, -10, A, B ; 16676
+ ADD *AR5+%, -10, A ; 16677
+ ADD *AR5+%, -10, B, A ; 16678
+ ADD *AR5+%, -10, B, B ; 16679
+ ADD *AR5+%, -10, B ; 16680
+ ADD *AR5+%, -9, A, A ; 16681
+ ADD *AR5+%, -9, A, B ; 16682
+ ADD *AR5+%, -9, A ; 16683
+ ADD *AR5+%, -9, B, A ; 16684
+ ADD *AR5+%, -9, B, B ; 16685
+ ADD *AR5+%, -9, B ; 16686
+ ADD *AR5+%, -8, A, A ; 16687
+ ADD *AR5+%, -8, A, B ; 16688
+ ADD *AR5+%, -8, A ; 16689
+ ADD *AR5+%, -8, B, A ; 16690
+ ADD *AR5+%, -8, B, B ; 16691
+ ADD *AR5+%, -8, B ; 16692
+ ADD *AR5+%, -7, A, A ; 16693
+ ADD *AR5+%, -7, A, B ; 16694
+ ADD *AR5+%, -7, A ; 16695
+ ADD *AR5+%, -7, B, A ; 16696
+ ADD *AR5+%, -7, B, B ; 16697
+ ADD *AR5+%, -7, B ; 16698
+ ADD *AR5+%, -6, A, A ; 16699
+ ADD *AR5+%, -6, A, B ; 16700
+ ADD *AR5+%, -6, A ; 16701
+ ADD *AR5+%, -6, B, A ; 16702
+ ADD *AR5+%, -6, B, B ; 16703
+ ADD *AR5+%, -6, B ; 16704
+ ADD *AR5+%, -5, A, A ; 16705
+ ADD *AR5+%, -5, A, B ; 16706
+ ADD *AR5+%, -5, A ; 16707
+ ADD *AR5+%, -5, B, A ; 16708
+ ADD *AR5+%, -5, B, B ; 16709
+ ADD *AR5+%, -5, B ; 16710
+ ADD *AR5+%, -4, A, A ; 16711
+ ADD *AR5+%, -4, A, B ; 16712
+ ADD *AR5+%, -4, A ; 16713
+ ADD *AR5+%, -4, B, A ; 16714
+ ADD *AR5+%, -4, B, B ; 16715
+ ADD *AR5+%, -4, B ; 16716
+ ADD *AR5+%, -3, A, A ; 16717
+ ADD *AR5+%, -3, A, B ; 16718
+ ADD *AR5+%, -3, A ; 16719
+ ADD *AR5+%, -3, B, A ; 16720
+ ADD *AR5+%, -3, B, B ; 16721
+ ADD *AR5+%, -3, B ; 16722
+ ADD *AR5+%, -2, A, A ; 16723
+ ADD *AR5+%, -2, A, B ; 16724
+ ADD *AR5+%, -2, A ; 16725
+ ADD *AR5+%, -2, B, A ; 16726
+ ADD *AR5+%, -2, B, B ; 16727
+ ADD *AR5+%, -2, B ; 16728
+ ADD *AR5+%, -1, A, A ; 16729
+ ADD *AR5+%, -1, A, B ; 16730
+ ADD *AR5+%, -1, A ; 16731
+ ADD *AR5+%, -1, B, A ; 16732
+ ADD *AR5+%, -1, B, B ; 16733
+ ADD *AR5+%, -1, B ; 16734
+ ADD *AR5+%, 0, A, A ; 16735
+ ADD *AR5+%, 0, A, B ; 16736
+ ADD *AR5+%, 0, A ; 16737
+ ADD *AR5+%, 0, B, A ; 16738
+ ADD *AR5+%, 0, B, B ; 16739
+ ADD *AR5+%, 0, B ; 16740
+ ADD *AR5+%, 1, A, A ; 16741
+ ADD *AR5+%, 1, A, B ; 16742
+ ADD *AR5+%, 1, A ; 16743
+ ADD *AR5+%, 1, B, A ; 16744
+ ADD *AR5+%, 1, B, B ; 16745
+ ADD *AR5+%, 1, B ; 16746
+ ADD *AR5+%, 2, A, A ; 16747
+ ADD *AR5+%, 2, A, B ; 16748
+ ADD *AR5+%, 2, A ; 16749
+ ADD *AR5+%, 2, B, A ; 16750
+ ADD *AR5+%, 2, B, B ; 16751
+ ADD *AR5+%, 2, B ; 16752
+ ADD *AR5+%, 3, A, A ; 16753
+ ADD *AR5+%, 3, A, B ; 16754
+ ADD *AR5+%, 3, A ; 16755
+ ADD *AR5+%, 3, B, A ; 16756
+ ADD *AR5+%, 3, B, B ; 16757
+ ADD *AR5+%, 3, B ; 16758
+ ADD *AR5+%, 4, A, A ; 16759
+ ADD *AR5+%, 4, A, B ; 16760
+ ADD *AR5+%, 4, A ; 16761
+ ADD *AR5+%, 4, B, A ; 16762
+ ADD *AR5+%, 4, B, B ; 16763
+ ADD *AR5+%, 4, B ; 16764
+ ADD *AR5+%, 5, A, A ; 16765
+ ADD *AR5+%, 5, A, B ; 16766
+ ADD *AR5+%, 5, A ; 16767
+ ADD *AR5+%, 5, B, A ; 16768
+ ADD *AR5+%, 5, B, B ; 16769
+ ADD *AR5+%, 5, B ; 16770
+ ADD *AR5+%, 6, A, A ; 16771
+ ADD *AR5+%, 6, A, B ; 16772
+ ADD *AR5+%, 6, A ; 16773
+ ADD *AR5+%, 6, B, A ; 16774
+ ADD *AR5+%, 6, B, B ; 16775
+ ADD *AR5+%, 6, B ; 16776
+ ADD *AR5+%, 7, A, A ; 16777
+ ADD *AR5+%, 7, A, B ; 16778
+ ADD *AR5+%, 7, A ; 16779
+ ADD *AR5+%, 7, B, A ; 16780
+ ADD *AR5+%, 7, B, B ; 16781
+ ADD *AR5+%, 7, B ; 16782
+ ADD *AR5+%, 8, A, A ; 16783
+ ADD *AR5+%, 8, A, B ; 16784
+ ADD *AR5+%, 8, A ; 16785
+ ADD *AR5+%, 8, B, A ; 16786
+ ADD *AR5+%, 8, B, B ; 16787
+ ADD *AR5+%, 8, B ; 16788
+ ADD *AR5+%, 9, A, A ; 16789
+ ADD *AR5+%, 9, A, B ; 16790
+ ADD *AR5+%, 9, A ; 16791
+ ADD *AR5+%, 9, B, A ; 16792
+ ADD *AR5+%, 9, B, B ; 16793
+ ADD *AR5+%, 9, B ; 16794
+ ADD *AR5+%, 10, A, A ; 16795
+ ADD *AR5+%, 10, A, B ; 16796
+ ADD *AR5+%, 10, A ; 16797
+ ADD *AR5+%, 10, B, A ; 16798
+ ADD *AR5+%, 10, B, B ; 16799
+ ADD *AR5+%, 10, B ; 16800
+ ADD *AR5+%, 11, A, A ; 16801
+ ADD *AR5+%, 11, A, B ; 16802
+ ADD *AR5+%, 11, A ; 16803
+ ADD *AR5+%, 11, B, A ; 16804
+ ADD *AR5+%, 11, B, B ; 16805
+ ADD *AR5+%, 11, B ; 16806
+ ADD *AR5+%, 12, A, A ; 16807
+ ADD *AR5+%, 12, A, B ; 16808
+ ADD *AR5+%, 12, A ; 16809
+ ADD *AR5+%, 12, B, A ; 16810
+ ADD *AR5+%, 12, B, B ; 16811
+ ADD *AR5+%, 12, B ; 16812
+ ADD *AR5+%, 13, A, A ; 16813
+ ADD *AR5+%, 13, A, B ; 16814
+ ADD *AR5+%, 13, A ; 16815
+ ADD *AR5+%, 13, B, A ; 16816
+ ADD *AR5+%, 13, B, B ; 16817
+ ADD *AR5+%, 13, B ; 16818
+ ADD *AR5+%, 14, A, A ; 16819
+ ADD *AR5+%, 14, A, B ; 16820
+ ADD *AR5+%, 14, A ; 16821
+ ADD *AR5+%, 14, B, A ; 16822
+ ADD *AR5+%, 14, B, B ; 16823
+ ADD *AR5+%, 14, B ; 16824
+ ADD *AR5+%, 15, A, A ; 16825
+ ADD *AR5+%, 15, A, B ; 16826
+ ADD *AR5+%, 15, A ; 16827
+ ADD *AR5+%, 15, B, A ; 16828
+ ADD *AR5+%, 15, B, B ; 16829
+ ADD *AR5+%, 15, B ; 16830
+ ADD *AR5+0%, A, A ; 16831
+ ADD *AR5+0%, A, B ; 16832
+ ADD *AR5+0%, A ; 16833
+ ADD *AR5+0%, B, A ; 16834
+ ADD *AR5+0%, B, B ; 16835
+ ADD *AR5+0%, B ; 16836
+ ADD *AR5+0%, -16, A, A ; 16837
+ ADD *AR5+0%, -16, A, B ; 16838
+ ADD *AR5+0%, -16, A ; 16839
+ ADD *AR5+0%, -16, B, A ; 16840
+ ADD *AR5+0%, -16, B, B ; 16841
+ ADD *AR5+0%, -16, B ; 16842
+ ADD *AR5+0%, -15, A, A ; 16843
+ ADD *AR5+0%, -15, A, B ; 16844
+ ADD *AR5+0%, -15, A ; 16845
+ ADD *AR5+0%, -15, B, A ; 16846
+ ADD *AR5+0%, -15, B, B ; 16847
+ ADD *AR5+0%, -15, B ; 16848
+ ADD *AR5+0%, -14, A, A ; 16849
+ ADD *AR5+0%, -14, A, B ; 16850
+ ADD *AR5+0%, -14, A ; 16851
+ ADD *AR5+0%, -14, B, A ; 16852
+ ADD *AR5+0%, -14, B, B ; 16853
+ ADD *AR5+0%, -14, B ; 16854
+ ADD *AR5+0%, -13, A, A ; 16855
+ ADD *AR5+0%, -13, A, B ; 16856
+ ADD *AR5+0%, -13, A ; 16857
+ ADD *AR5+0%, -13, B, A ; 16858
+ ADD *AR5+0%, -13, B, B ; 16859
+ ADD *AR5+0%, -13, B ; 16860
+ ADD *AR5+0%, -12, A, A ; 16861
+ ADD *AR5+0%, -12, A, B ; 16862
+ ADD *AR5+0%, -12, A ; 16863
+ ADD *AR5+0%, -12, B, A ; 16864
+ ADD *AR5+0%, -12, B, B ; 16865
+ ADD *AR5+0%, -12, B ; 16866
+ ADD *AR5+0%, -11, A, A ; 16867
+ ADD *AR5+0%, -11, A, B ; 16868
+ ADD *AR5+0%, -11, A ; 16869
+ ADD *AR5+0%, -11, B, A ; 16870
+ ADD *AR5+0%, -11, B, B ; 16871
+ ADD *AR5+0%, -11, B ; 16872
+ ADD *AR5+0%, -10, A, A ; 16873
+ ADD *AR5+0%, -10, A, B ; 16874
+ ADD *AR5+0%, -10, A ; 16875
+ ADD *AR5+0%, -10, B, A ; 16876
+ ADD *AR5+0%, -10, B, B ; 16877
+ ADD *AR5+0%, -10, B ; 16878
+ ADD *AR5+0%, -9, A, A ; 16879
+ ADD *AR5+0%, -9, A, B ; 16880
+ ADD *AR5+0%, -9, A ; 16881
+ ADD *AR5+0%, -9, B, A ; 16882
+ ADD *AR5+0%, -9, B, B ; 16883
+ ADD *AR5+0%, -9, B ; 16884
+ ADD *AR5+0%, -8, A, A ; 16885
+ ADD *AR5+0%, -8, A, B ; 16886
+ ADD *AR5+0%, -8, A ; 16887
+ ADD *AR5+0%, -8, B, A ; 16888
+ ADD *AR5+0%, -8, B, B ; 16889
+ ADD *AR5+0%, -8, B ; 16890
+ ADD *AR5+0%, -7, A, A ; 16891
+ ADD *AR5+0%, -7, A, B ; 16892
+ ADD *AR5+0%, -7, A ; 16893
+ ADD *AR5+0%, -7, B, A ; 16894
+ ADD *AR5+0%, -7, B, B ; 16895
+ ADD *AR5+0%, -7, B ; 16896
+ ADD *AR5+0%, -6, A, A ; 16897
+ ADD *AR5+0%, -6, A, B ; 16898
+ ADD *AR5+0%, -6, A ; 16899
+ ADD *AR5+0%, -6, B, A ; 16900
+ ADD *AR5+0%, -6, B, B ; 16901
+ ADD *AR5+0%, -6, B ; 16902
+ ADD *AR5+0%, -5, A, A ; 16903
+ ADD *AR5+0%, -5, A, B ; 16904
+ ADD *AR5+0%, -5, A ; 16905
+ ADD *AR5+0%, -5, B, A ; 16906
+ ADD *AR5+0%, -5, B, B ; 16907
+ ADD *AR5+0%, -5, B ; 16908
+ ADD *AR5+0%, -4, A, A ; 16909
+ ADD *AR5+0%, -4, A, B ; 16910
+ ADD *AR5+0%, -4, A ; 16911
+ ADD *AR5+0%, -4, B, A ; 16912
+ ADD *AR5+0%, -4, B, B ; 16913
+ ADD *AR5+0%, -4, B ; 16914
+ ADD *AR5+0%, -3, A, A ; 16915
+ ADD *AR5+0%, -3, A, B ; 16916
+ ADD *AR5+0%, -3, A ; 16917
+ ADD *AR5+0%, -3, B, A ; 16918
+ ADD *AR5+0%, -3, B, B ; 16919
+ ADD *AR5+0%, -3, B ; 16920
+ ADD *AR5+0%, -2, A, A ; 16921
+ ADD *AR5+0%, -2, A, B ; 16922
+ ADD *AR5+0%, -2, A ; 16923
+ ADD *AR5+0%, -2, B, A ; 16924
+ ADD *AR5+0%, -2, B, B ; 16925
+ ADD *AR5+0%, -2, B ; 16926
+ ADD *AR5+0%, -1, A, A ; 16927
+ ADD *AR5+0%, -1, A, B ; 16928
+ ADD *AR5+0%, -1, A ; 16929
+ ADD *AR5+0%, -1, B, A ; 16930
+ ADD *AR5+0%, -1, B, B ; 16931
+ ADD *AR5+0%, -1, B ; 16932
+ ADD *AR5+0%, 0, A, A ; 16933
+ ADD *AR5+0%, 0, A, B ; 16934
+ ADD *AR5+0%, 0, A ; 16935
+ ADD *AR5+0%, 0, B, A ; 16936
+ ADD *AR5+0%, 0, B, B ; 16937
+ ADD *AR5+0%, 0, B ; 16938
+ ADD *AR5+0%, 1, A, A ; 16939
+ ADD *AR5+0%, 1, A, B ; 16940
+ ADD *AR5+0%, 1, A ; 16941
+ ADD *AR5+0%, 1, B, A ; 16942
+ ADD *AR5+0%, 1, B, B ; 16943
+ ADD *AR5+0%, 1, B ; 16944
+ ADD *AR5+0%, 2, A, A ; 16945
+ ADD *AR5+0%, 2, A, B ; 16946
+ ADD *AR5+0%, 2, A ; 16947
+ ADD *AR5+0%, 2, B, A ; 16948
+ ADD *AR5+0%, 2, B, B ; 16949
+ ADD *AR5+0%, 2, B ; 16950
+ ADD *AR5+0%, 3, A, A ; 16951
+ ADD *AR5+0%, 3, A, B ; 16952
+ ADD *AR5+0%, 3, A ; 16953
+ ADD *AR5+0%, 3, B, A ; 16954
+ ADD *AR5+0%, 3, B, B ; 16955
+ ADD *AR5+0%, 3, B ; 16956
+ ADD *AR5+0%, 4, A, A ; 16957
+ ADD *AR5+0%, 4, A, B ; 16958
+ ADD *AR5+0%, 4, A ; 16959
+ ADD *AR5+0%, 4, B, A ; 16960
+ ADD *AR5+0%, 4, B, B ; 16961
+ ADD *AR5+0%, 4, B ; 16962
+ ADD *AR5+0%, 5, A, A ; 16963
+ ADD *AR5+0%, 5, A, B ; 16964
+ ADD *AR5+0%, 5, A ; 16965
+ ADD *AR5+0%, 5, B, A ; 16966
+ ADD *AR5+0%, 5, B, B ; 16967
+ ADD *AR5+0%, 5, B ; 16968
+ ADD *AR5+0%, 6, A, A ; 16969
+ ADD *AR5+0%, 6, A, B ; 16970
+ ADD *AR5+0%, 6, A ; 16971
+ ADD *AR5+0%, 6, B, A ; 16972
+ ADD *AR5+0%, 6, B, B ; 16973
+ ADD *AR5+0%, 6, B ; 16974
+ ADD *AR5+0%, 7, A, A ; 16975
+ ADD *AR5+0%, 7, A, B ; 16976
+ ADD *AR5+0%, 7, A ; 16977
+ ADD *AR5+0%, 7, B, A ; 16978
+ ADD *AR5+0%, 7, B, B ; 16979
+ ADD *AR5+0%, 7, B ; 16980
+ ADD *AR5+0%, 8, A, A ; 16981
+ ADD *AR5+0%, 8, A, B ; 16982
+ ADD *AR5+0%, 8, A ; 16983
+ ADD *AR5+0%, 8, B, A ; 16984
+ ADD *AR5+0%, 8, B, B ; 16985
+ ADD *AR5+0%, 8, B ; 16986
+ ADD *AR5+0%, 9, A, A ; 16987
+ ADD *AR5+0%, 9, A, B ; 16988
+ ADD *AR5+0%, 9, A ; 16989
+ ADD *AR5+0%, 9, B, A ; 16990
+ ADD *AR5+0%, 9, B, B ; 16991
+ ADD *AR5+0%, 9, B ; 16992
+ ADD *AR5+0%, 10, A, A ; 16993
+ ADD *AR5+0%, 10, A, B ; 16994
+ ADD *AR5+0%, 10, A ; 16995
+ ADD *AR5+0%, 10, B, A ; 16996
+ ADD *AR5+0%, 10, B, B ; 16997
+ ADD *AR5+0%, 10, B ; 16998
+ ADD *AR5+0%, 11, A, A ; 16999
+ ADD *AR5+0%, 11, A, B ; 17000
+ ADD *AR5+0%, 11, A ; 17001
+ ADD *AR5+0%, 11, B, A ; 17002
+ ADD *AR5+0%, 11, B, B ; 17003
+ ADD *AR5+0%, 11, B ; 17004
+ ADD *AR5+0%, 12, A, A ; 17005
+ ADD *AR5+0%, 12, A, B ; 17006
+ ADD *AR5+0%, 12, A ; 17007
+ ADD *AR5+0%, 12, B, A ; 17008
+ ADD *AR5+0%, 12, B, B ; 17009
+ ADD *AR5+0%, 12, B ; 17010
+ ADD *AR5+0%, 13, A, A ; 17011
+ ADD *AR5+0%, 13, A, B ; 17012
+ ADD *AR5+0%, 13, A ; 17013
+ ADD *AR5+0%, 13, B, A ; 17014
+ ADD *AR5+0%, 13, B, B ; 17015
+ ADD *AR5+0%, 13, B ; 17016
+ ADD *AR5+0%, 14, A, A ; 17017
+ ADD *AR5+0%, 14, A, B ; 17018
+ ADD *AR5+0%, 14, A ; 17019
+ ADD *AR5+0%, 14, B, A ; 17020
+ ADD *AR5+0%, 14, B, B ; 17021
+ ADD *AR5+0%, 14, B ; 17022
+ ADD *AR5+0%, 15, A, A ; 17023
+ ADD *AR5+0%, 15, A, B ; 17024
+ ADD *AR5+0%, 15, A ; 17025
+ ADD *AR5+0%, 15, B, A ; 17026
+ ADD *AR5+0%, 15, B, B ; 17027
+ ADD *AR5+0%, 15, B ; 17028
+ ADD *AR5(011BDh), A, A ; 17029
+ ADD *AR5(011BEh), A, B ; 17030
+ ADD *AR5(011BFh), A ; 17031
+ ADD *AR5(011C0h), B, A ; 17032
+ ADD *AR5(011C1h), B, B ; 17033
+ ADD *AR5(011C2h), B ; 17034
+ ADD *AR5(011C3h), -16, A, A ; 17035
+ ADD *AR5(011C4h), -16, A, B ; 17036
+ ADD *AR5(011C5h), -16, A ; 17037
+ ADD *AR5(011C6h), -16, B, A ; 17038
+ ADD *AR5(011C7h), -16, B, B ; 17039
+ ADD *AR5(011C8h), -16, B ; 17040
+ ADD *AR5(011C9h), -15, A, A ; 17041
+ ADD *AR5(011CAh), -15, A, B ; 17042
+ ADD *AR5(011CBh), -15, A ; 17043
+ ADD *AR5(011CCh), -15, B, A ; 17044
+ ADD *AR5(011CDh), -15, B, B ; 17045
+ ADD *AR5(011CEh), -15, B ; 17046
+ ADD *AR5(011CFh), -14, A, A ; 17047
+ ADD *AR5(011D0h), -14, A, B ; 17048
+ ADD *AR5(011D1h), -14, A ; 17049
+ ADD *AR5(011D2h), -14, B, A ; 17050
+ ADD *AR5(011D3h), -14, B, B ; 17051
+ ADD *AR5(011D4h), -14, B ; 17052
+ ADD *AR5(011D5h), -13, A, A ; 17053
+ ADD *AR5(011D6h), -13, A, B ; 17054
+ ADD *AR5(011D7h), -13, A ; 17055
+ ADD *AR5(011D8h), -13, B, A ; 17056
+ ADD *AR5(011D9h), -13, B, B ; 17057
+ ADD *AR5(011DAh), -13, B ; 17058
+ ADD *AR5(011DBh), -12, A, A ; 17059
+ ADD *AR5(011DCh), -12, A, B ; 17060
+ ADD *AR5(011DDh), -12, A ; 17061
+ ADD *AR5(011DEh), -12, B, A ; 17062
+ ADD *AR5(011DFh), -12, B, B ; 17063
+ ADD *AR5(011E0h), -12, B ; 17064
+ ADD *AR5(011E1h), -11, A, A ; 17065
+ ADD *AR5(011E2h), -11, A, B ; 17066
+ ADD *AR5(011E3h), -11, A ; 17067
+ ADD *AR5(011E4h), -11, B, A ; 17068
+ ADD *AR5(011E5h), -11, B, B ; 17069
+ ADD *AR5(011E6h), -11, B ; 17070
+ ADD *AR5(011E7h), -10, A, A ; 17071
+ ADD *AR5(011E8h), -10, A, B ; 17072
+ ADD *AR5(011E9h), -10, A ; 17073
+ ADD *AR5(011EAh), -10, B, A ; 17074
+ ADD *AR5(011EBh), -10, B, B ; 17075
+ ADD *AR5(011ECh), -10, B ; 17076
+ ADD *AR5(011EDh), -9, A, A ; 17077
+ ADD *AR5(011EEh), -9, A, B ; 17078
+ ADD *AR5(011EFh), -9, A ; 17079
+ ADD *AR5(011F0h), -9, B, A ; 17080
+ ADD *AR5(011F1h), -9, B, B ; 17081
+ ADD *AR5(011F2h), -9, B ; 17082
+ ADD *AR5(011F3h), -8, A, A ; 17083
+ ADD *AR5(011F4h), -8, A, B ; 17084
+ ADD *AR5(011F5h), -8, A ; 17085
+ ADD *AR5(011F6h), -8, B, A ; 17086
+ ADD *AR5(011F7h), -8, B, B ; 17087
+ ADD *AR5(011F8h), -8, B ; 17088
+ ADD *AR5(011F9h), -7, A, A ; 17089
+ ADD *AR5(011FAh), -7, A, B ; 17090
+ ADD *AR5(011FBh), -7, A ; 17091
+ ADD *AR5(011FCh), -7, B, A ; 17092
+ ADD *AR5(011FDh), -7, B, B ; 17093
+ ADD *AR5(011FEh), -7, B ; 17094
+ ADD *AR5(011FFh), -6, A, A ; 17095
+ ADD *AR5(01200h), -6, A, B ; 17096
+ ADD *AR5(01201h), -6, A ; 17097
+ ADD *AR5(01202h), -6, B, A ; 17098
+ ADD *AR5(01203h), -6, B, B ; 17099
+ ADD *AR5(01204h), -6, B ; 17100
+ ADD *AR5(01205h), -5, A, A ; 17101
+ ADD *AR5(01206h), -5, A, B ; 17102
+ ADD *AR5(01207h), -5, A ; 17103
+ ADD *AR5(01208h), -5, B, A ; 17104
+ ADD *AR5(01209h), -5, B, B ; 17105
+ ADD *AR5(0120Ah), -5, B ; 17106
+ ADD *AR5(0120Bh), -4, A, A ; 17107
+ ADD *AR5(0120Ch), -4, A, B ; 17108
+ ADD *AR5(0120Dh), -4, A ; 17109
+ ADD *AR5(0120Eh), -4, B, A ; 17110
+ ADD *AR5(0120Fh), -4, B, B ; 17111
+ ADD *AR5(01210h), -4, B ; 17112
+ ADD *AR5(01211h), -3, A, A ; 17113
+ ADD *AR5(01212h), -3, A, B ; 17114
+ ADD *AR5(01213h), -3, A ; 17115
+ ADD *AR5(01214h), -3, B, A ; 17116
+ ADD *AR5(01215h), -3, B, B ; 17117
+ ADD *AR5(01216h), -3, B ; 17118
+ ADD *AR5(01217h), -2, A, A ; 17119
+ ADD *AR5(01218h), -2, A, B ; 17120
+ ADD *AR5(01219h), -2, A ; 17121
+ ADD *AR5(0121Ah), -2, B, A ; 17122
+ ADD *AR5(0121Bh), -2, B, B ; 17123
+ ADD *AR5(0121Ch), -2, B ; 17124
+ ADD *AR5(0121Dh), -1, A, A ; 17125
+ ADD *AR5(0121Eh), -1, A, B ; 17126
+ ADD *AR5(0121Fh), -1, A ; 17127
+ ADD *AR5(01220h), -1, B, A ; 17128
+ ADD *AR5(01221h), -1, B, B ; 17129
+ ADD *AR5(01222h), -1, B ; 17130
+ ADD *AR5(01223h), 0, A, A ; 17131
+ ADD *AR5(01224h), 0, A, B ; 17132
+ ADD *AR5(01225h), 0, A ; 17133
+ ADD *AR5(01226h), 0, B, A ; 17134
+ ADD *AR5(01227h), 0, B, B ; 17135
+ ADD *AR5(01228h), 0, B ; 17136
+ ADD *AR5(01229h), 1, A, A ; 17137
+ ADD *AR5(0122Ah), 1, A, B ; 17138
+ ADD *AR5(0122Bh), 1, A ; 17139
+ ADD *AR5(0122Ch), 1, B, A ; 17140
+ ADD *AR5(0122Dh), 1, B, B ; 17141
+ ADD *AR5(0122Eh), 1, B ; 17142
+ ADD *AR5(0122Fh), 2, A, A ; 17143
+ ADD *AR5(01230h), 2, A, B ; 17144
+ ADD *AR5(01231h), 2, A ; 17145
+ ADD *AR5(01232h), 2, B, A ; 17146
+ ADD *AR5(01233h), 2, B, B ; 17147
+ ADD *AR5(01234h), 2, B ; 17148
+ ADD *AR5(01235h), 3, A, A ; 17149
+ ADD *AR5(01236h), 3, A, B ; 17150
+ ADD *AR5(01237h), 3, A ; 17151
+ ADD *AR5(01238h), 3, B, A ; 17152
+ ADD *AR5(01239h), 3, B, B ; 17153
+ ADD *AR5(0123Ah), 3, B ; 17154
+ ADD *AR5(0123Bh), 4, A, A ; 17155
+ ADD *AR5(0123Ch), 4, A, B ; 17156
+ ADD *AR5(0123Dh), 4, A ; 17157
+ ADD *AR5(0123Eh), 4, B, A ; 17158
+ ADD *AR5(0123Fh), 4, B, B ; 17159
+ ADD *AR5(01240h), 4, B ; 17160
+ ADD *AR5(01241h), 5, A, A ; 17161
+ ADD *AR5(01242h), 5, A, B ; 17162
+ ADD *AR5(01243h), 5, A ; 17163
+ ADD *AR5(01244h), 5, B, A ; 17164
+ ADD *AR5(01245h), 5, B, B ; 17165
+ ADD *AR5(01246h), 5, B ; 17166
+ ADD *AR5(01247h), 6, A, A ; 17167
+ ADD *AR5(01248h), 6, A, B ; 17168
+ ADD *AR5(01249h), 6, A ; 17169
+ ADD *AR5(0124Ah), 6, B, A ; 17170
+ ADD *AR5(0124Bh), 6, B, B ; 17171
+ ADD *AR5(0124Ch), 6, B ; 17172
+ ADD *AR5(0124Dh), 7, A, A ; 17173
+ ADD *AR5(0124Eh), 7, A, B ; 17174
+ ADD *AR5(0124Fh), 7, A ; 17175
+ ADD *AR5(01250h), 7, B, A ; 17176
+ ADD *AR5(01251h), 7, B, B ; 17177
+ ADD *AR5(01252h), 7, B ; 17178
+ ADD *AR5(01253h), 8, A, A ; 17179
+ ADD *AR5(01254h), 8, A, B ; 17180
+ ADD *AR5(01255h), 8, A ; 17181
+ ADD *AR5(01256h), 8, B, A ; 17182
+ ADD *AR5(01257h), 8, B, B ; 17183
+ ADD *AR5(01258h), 8, B ; 17184
+ ADD *AR5(01259h), 9, A, A ; 17185
+ ADD *AR5(0125Ah), 9, A, B ; 17186
+ ADD *AR5(0125Bh), 9, A ; 17187
+ ADD *AR5(0125Ch), 9, B, A ; 17188
+ ADD *AR5(0125Dh), 9, B, B ; 17189
+ ADD *AR5(0125Eh), 9, B ; 17190
+ ADD *AR5(0125Fh), 10, A, A ; 17191
+ ADD *AR5(01260h), 10, A, B ; 17192
+ ADD *AR5(01261h), 10, A ; 17193
+ ADD *AR5(01262h), 10, B, A ; 17194
+ ADD *AR5(01263h), 10, B, B ; 17195
+ ADD *AR5(01264h), 10, B ; 17196
+ ADD *AR5(01265h), 11, A, A ; 17197
+ ADD *AR5(01266h), 11, A, B ; 17198
+ ADD *AR5(01267h), 11, A ; 17199
+ ADD *AR5(01268h), 11, B, A ; 17200
+ ADD *AR5(01269h), 11, B, B ; 17201
+ ADD *AR5(0126Ah), 11, B ; 17202
+ ADD *AR5(0126Bh), 12, A, A ; 17203
+ ADD *AR5(0126Ch), 12, A, B ; 17204
+ ADD *AR5(0126Dh), 12, A ; 17205
+ ADD *AR5(0126Eh), 12, B, A ; 17206
+ ADD *AR5(0126Fh), 12, B, B ; 17207
+ ADD *AR5(01270h), 12, B ; 17208
+ ADD *AR5(01271h), 13, A, A ; 17209
+ ADD *AR5(01272h), 13, A, B ; 17210
+ ADD *AR5(01273h), 13, A ; 17211
+ ADD *AR5(01274h), 13, B, A ; 17212
+ ADD *AR5(01275h), 13, B, B ; 17213
+ ADD *AR5(01276h), 13, B ; 17214
+ ADD *AR5(01277h), 14, A, A ; 17215
+ ADD *AR5(01278h), 14, A, B ; 17216
+ ADD *AR5(01279h), 14, A ; 17217
+ ADD *AR5(0127Ah), 14, B, A ; 17218
+ ADD *AR5(0127Bh), 14, B, B ; 17219
+ ADD *AR5(0127Ch), 14, B ; 17220
+ ADD *AR5(0127Dh), 15, A, A ; 17221
+ ADD *AR5(0127Eh), 15, A, B ; 17222
+ ADD *AR5(0127Fh), 15, A ; 17223
+ ADD *AR5(01280h), 15, B, A ; 17224
+ ADD *AR5(01281h), 15, B, B ; 17225
+ ADD *AR5(01282h), 15, B ; 17226
+ ADD *+AR5(01283h), A, A ; 17227
+ ADD *+AR5(01284h), A, B ; 17228
+ ADD *+AR5(01285h), A ; 17229
+ ADD *+AR5(01286h), B, A ; 17230
+ ADD *+AR5(01287h), B, B ; 17231
+ ADD *+AR5(01288h), B ; 17232
+ ADD *+AR5(01289h), -16, A, A ; 17233
+ ADD *+AR5(0128Ah), -16, A, B ; 17234
+ ADD *+AR5(0128Bh), -16, A ; 17235
+ ADD *+AR5(0128Ch), -16, B, A ; 17236
+ ADD *+AR5(0128Dh), -16, B, B ; 17237
+ ADD *+AR5(0128Eh), -16, B ; 17238
+ ADD *+AR5(0128Fh), -15, A, A ; 17239
+ ADD *+AR5(01290h), -15, A, B ; 17240
+ ADD *+AR5(01291h), -15, A ; 17241
+ ADD *+AR5(01292h), -15, B, A ; 17242
+ ADD *+AR5(01293h), -15, B, B ; 17243
+ ADD *+AR5(01294h), -15, B ; 17244
+ ADD *+AR5(01295h), -14, A, A ; 17245
+ ADD *+AR5(01296h), -14, A, B ; 17246
+ ADD *+AR5(01297h), -14, A ; 17247
+ ADD *+AR5(01298h), -14, B, A ; 17248
+ ADD *+AR5(01299h), -14, B, B ; 17249
+ ADD *+AR5(0129Ah), -14, B ; 17250
+ ADD *+AR5(0129Bh), -13, A, A ; 17251
+ ADD *+AR5(0129Ch), -13, A, B ; 17252
+ ADD *+AR5(0129Dh), -13, A ; 17253
+ ADD *+AR5(0129Eh), -13, B, A ; 17254
+ ADD *+AR5(0129Fh), -13, B, B ; 17255
+ ADD *+AR5(012A0h), -13, B ; 17256
+ ADD *+AR5(012A1h), -12, A, A ; 17257
+ ADD *+AR5(012A2h), -12, A, B ; 17258
+ ADD *+AR5(012A3h), -12, A ; 17259
+ ADD *+AR5(012A4h), -12, B, A ; 17260
+ ADD *+AR5(012A5h), -12, B, B ; 17261
+ ADD *+AR5(012A6h), -12, B ; 17262
+ ADD *+AR5(012A7h), -11, A, A ; 17263
+ ADD *+AR5(012A8h), -11, A, B ; 17264
+ ADD *+AR5(012A9h), -11, A ; 17265
+ ADD *+AR5(012AAh), -11, B, A ; 17266
+ ADD *+AR5(012ABh), -11, B, B ; 17267
+ ADD *+AR5(012ACh), -11, B ; 17268
+ ADD *+AR5(012ADh), -10, A, A ; 17269
+ ADD *+AR5(012AEh), -10, A, B ; 17270
+ ADD *+AR5(012AFh), -10, A ; 17271
+ ADD *+AR5(012B0h), -10, B, A ; 17272
+ ADD *+AR5(012B1h), -10, B, B ; 17273
+ ADD *+AR5(012B2h), -10, B ; 17274
+ ADD *+AR5(012B3h), -9, A, A ; 17275
+ ADD *+AR5(012B4h), -9, A, B ; 17276
+ ADD *+AR5(012B5h), -9, A ; 17277
+ ADD *+AR5(012B6h), -9, B, A ; 17278
+ ADD *+AR5(012B7h), -9, B, B ; 17279
+ ADD *+AR5(012B8h), -9, B ; 17280
+ ADD *+AR5(012B9h), -8, A, A ; 17281
+ ADD *+AR5(012BAh), -8, A, B ; 17282
+ ADD *+AR5(012BBh), -8, A ; 17283
+ ADD *+AR5(012BCh), -8, B, A ; 17284
+ ADD *+AR5(012BDh), -8, B, B ; 17285
+ ADD *+AR5(012BEh), -8, B ; 17286
+ ADD *+AR5(012BFh), -7, A, A ; 17287
+ ADD *+AR5(012C0h), -7, A, B ; 17288
+ ADD *+AR5(012C1h), -7, A ; 17289
+ ADD *+AR5(012C2h), -7, B, A ; 17290
+ ADD *+AR5(012C3h), -7, B, B ; 17291
+ ADD *+AR5(012C4h), -7, B ; 17292
+ ADD *+AR5(012C5h), -6, A, A ; 17293
+ ADD *+AR5(012C6h), -6, A, B ; 17294
+ ADD *+AR5(012C7h), -6, A ; 17295
+ ADD *+AR5(012C8h), -6, B, A ; 17296
+ ADD *+AR5(012C9h), -6, B, B ; 17297
+ ADD *+AR5(012CAh), -6, B ; 17298
+ ADD *+AR5(012CBh), -5, A, A ; 17299
+ ADD *+AR5(012CCh), -5, A, B ; 17300
+ ADD *+AR5(012CDh), -5, A ; 17301
+ ADD *+AR5(012CEh), -5, B, A ; 17302
+ ADD *+AR5(012CFh), -5, B, B ; 17303
+ ADD *+AR5(012D0h), -5, B ; 17304
+ ADD *+AR5(012D1h), -4, A, A ; 17305
+ ADD *+AR5(012D2h), -4, A, B ; 17306
+ ADD *+AR5(012D3h), -4, A ; 17307
+ ADD *+AR5(012D4h), -4, B, A ; 17308
+ ADD *+AR5(012D5h), -4, B, B ; 17309
+ ADD *+AR5(012D6h), -4, B ; 17310
+ ADD *+AR5(012D7h), -3, A, A ; 17311
+ ADD *+AR5(012D8h), -3, A, B ; 17312
+ ADD *+AR5(012D9h), -3, A ; 17313
+ ADD *+AR5(012DAh), -3, B, A ; 17314
+ ADD *+AR5(012DBh), -3, B, B ; 17315
+ ADD *+AR5(012DCh), -3, B ; 17316
+ ADD *+AR5(012DDh), -2, A, A ; 17317
+ ADD *+AR5(012DEh), -2, A, B ; 17318
+ ADD *+AR5(012DFh), -2, A ; 17319
+ ADD *+AR5(012E0h), -2, B, A ; 17320
+ ADD *+AR5(012E1h), -2, B, B ; 17321
+ ADD *+AR5(012E2h), -2, B ; 17322
+ ADD *+AR5(012E3h), -1, A, A ; 17323
+ ADD *+AR5(012E4h), -1, A, B ; 17324
+ ADD *+AR5(012E5h), -1, A ; 17325
+ ADD *+AR5(012E6h), -1, B, A ; 17326
+ ADD *+AR5(012E7h), -1, B, B ; 17327
+ ADD *+AR5(012E8h), -1, B ; 17328
+ ADD *+AR5(012E9h), 0, A, A ; 17329
+ ADD *+AR5(012EAh), 0, A, B ; 17330
+ ADD *+AR5(012EBh), 0, A ; 17331
+ ADD *+AR5(012ECh), 0, B, A ; 17332
+ ADD *+AR5(012EDh), 0, B, B ; 17333
+ ADD *+AR5(012EEh), 0, B ; 17334
+ ADD *+AR5(012EFh), 1, A, A ; 17335
+ ADD *+AR5(012F0h), 1, A, B ; 17336
+ ADD *+AR5(012F1h), 1, A ; 17337
+ ADD *+AR5(012F2h), 1, B, A ; 17338
+ ADD *+AR5(012F3h), 1, B, B ; 17339
+ ADD *+AR5(012F4h), 1, B ; 17340
+ ADD *+AR5(012F5h), 2, A, A ; 17341
+ ADD *+AR5(012F6h), 2, A, B ; 17342
+ ADD *+AR5(012F7h), 2, A ; 17343
+ ADD *+AR5(012F8h), 2, B, A ; 17344
+ ADD *+AR5(012F9h), 2, B, B ; 17345
+ ADD *+AR5(012FAh), 2, B ; 17346
+ ADD *+AR5(012FBh), 3, A, A ; 17347
+ ADD *+AR5(012FCh), 3, A, B ; 17348
+ ADD *+AR5(012FDh), 3, A ; 17349
+ ADD *+AR5(012FEh), 3, B, A ; 17350
+ ADD *+AR5(012FFh), 3, B, B ; 17351
+ ADD *+AR5(01300h), 3, B ; 17352
+ ADD *+AR5(01301h), 4, A, A ; 17353
+ ADD *+AR5(01302h), 4, A, B ; 17354
+ ADD *+AR5(01303h), 4, A ; 17355
+ ADD *+AR5(01304h), 4, B, A ; 17356
+ ADD *+AR5(01305h), 4, B, B ; 17357
+ ADD *+AR5(01306h), 4, B ; 17358
+ ADD *+AR5(01307h), 5, A, A ; 17359
+ ADD *+AR5(01308h), 5, A, B ; 17360
+ ADD *+AR5(01309h), 5, A ; 17361
+ ADD *+AR5(0130Ah), 5, B, A ; 17362
+ ADD *+AR5(0130Bh), 5, B, B ; 17363
+ ADD *+AR5(0130Ch), 5, B ; 17364
+ ADD *+AR5(0130Dh), 6, A, A ; 17365
+ ADD *+AR5(0130Eh), 6, A, B ; 17366
+ ADD *+AR5(0130Fh), 6, A ; 17367
+ ADD *+AR5(01310h), 6, B, A ; 17368
+ ADD *+AR5(01311h), 6, B, B ; 17369
+ ADD *+AR5(01312h), 6, B ; 17370
+ ADD *+AR5(01313h), 7, A, A ; 17371
+ ADD *+AR5(01314h), 7, A, B ; 17372
+ ADD *+AR5(01315h), 7, A ; 17373
+ ADD *+AR5(01316h), 7, B, A ; 17374
+ ADD *+AR5(01317h), 7, B, B ; 17375
+ ADD *+AR5(01318h), 7, B ; 17376
+ ADD *+AR5(01319h), 8, A, A ; 17377
+ ADD *+AR5(0131Ah), 8, A, B ; 17378
+ ADD *+AR5(0131Bh), 8, A ; 17379
+ ADD *+AR5(0131Ch), 8, B, A ; 17380
+ ADD *+AR5(0131Dh), 8, B, B ; 17381
+ ADD *+AR5(0131Eh), 8, B ; 17382
+ ADD *+AR5(0131Fh), 9, A, A ; 17383
+ ADD *+AR5(01320h), 9, A, B ; 17384
+ ADD *+AR5(01321h), 9, A ; 17385
+ ADD *+AR5(01322h), 9, B, A ; 17386
+ ADD *+AR5(01323h), 9, B, B ; 17387
+ ADD *+AR5(01324h), 9, B ; 17388
+ ADD *+AR5(01325h), 10, A, A ; 17389
+ ADD *+AR5(01326h), 10, A, B ; 17390
+ ADD *+AR5(01327h), 10, A ; 17391
+ ADD *+AR5(01328h), 10, B, A ; 17392
+ ADD *+AR5(01329h), 10, B, B ; 17393
+ ADD *+AR5(0132Ah), 10, B ; 17394
+ ADD *+AR5(0132Bh), 11, A, A ; 17395
+ ADD *+AR5(0132Ch), 11, A, B ; 17396
+ ADD *+AR5(0132Dh), 11, A ; 17397
+ ADD *+AR5(0132Eh), 11, B, A ; 17398
+ ADD *+AR5(0132Fh), 11, B, B ; 17399
+ ADD *+AR5(01330h), 11, B ; 17400
+ ADD *+AR5(01331h), 12, A, A ; 17401
+ ADD *+AR5(01332h), 12, A, B ; 17402
+ ADD *+AR5(01333h), 12, A ; 17403
+ ADD *+AR5(01334h), 12, B, A ; 17404
+ ADD *+AR5(01335h), 12, B, B ; 17405
+ ADD *+AR5(01336h), 12, B ; 17406
+ ADD *+AR5(01337h), 13, A, A ; 17407
+ ADD *+AR5(01338h), 13, A, B ; 17408
+ ADD *+AR5(01339h), 13, A ; 17409
+ ADD *+AR5(0133Ah), 13, B, A ; 17410
+ ADD *+AR5(0133Bh), 13, B, B ; 17411
+ ADD *+AR5(0133Ch), 13, B ; 17412
+ ADD *+AR5(0133Dh), 14, A, A ; 17413
+ ADD *+AR5(0133Eh), 14, A, B ; 17414
+ ADD *+AR5(0133Fh), 14, A ; 17415
+ ADD *+AR5(01340h), 14, B, A ; 17416
+ ADD *+AR5(01341h), 14, B, B ; 17417
+ ADD *+AR5(01342h), 14, B ; 17418
+ ADD *+AR5(01343h), 15, A, A ; 17419
+ ADD *+AR5(01344h), 15, A, B ; 17420
+ ADD *+AR5(01345h), 15, A ; 17421
+ ADD *+AR5(01346h), 15, B, A ; 17422
+ ADD *+AR5(01347h), 15, B, B ; 17423
+ ADD *+AR5(01348h), 15, B ; 17424
+ ADD *+AR5(01349h)%, A, A ; 17425
+ ADD *+AR5(0134Ah)%, A, B ; 17426
+ ADD *+AR5(0134Bh)%, A ; 17427
+ ADD *+AR5(0134Ch)%, B, A ; 17428
+ ADD *+AR5(0134Dh)%, B, B ; 17429
+ ADD *+AR5(0134Eh)%, B ; 17430
+ ADD *+AR5(0134Fh)%, -16, A, A ; 17431
+ ADD *+AR5(01350h)%, -16, A, B ; 17432
+ ADD *+AR5(01351h)%, -16, A ; 17433
+ ADD *+AR5(01352h)%, -16, B, A ; 17434
+ ADD *+AR5(01353h)%, -16, B, B ; 17435
+ ADD *+AR5(01354h)%, -16, B ; 17436
+ ADD *+AR5(01355h)%, -15, A, A ; 17437
+ ADD *+AR5(01356h)%, -15, A, B ; 17438
+ ADD *+AR5(01357h)%, -15, A ; 17439
+ ADD *+AR5(01358h)%, -15, B, A ; 17440
+ ADD *+AR5(01359h)%, -15, B, B ; 17441
+ ADD *+AR5(0135Ah)%, -15, B ; 17442
+ ADD *+AR5(0135Bh)%, -14, A, A ; 17443
+ ADD *+AR5(0135Ch)%, -14, A, B ; 17444
+ ADD *+AR5(0135Dh)%, -14, A ; 17445
+ ADD *+AR5(0135Eh)%, -14, B, A ; 17446
+ ADD *+AR5(0135Fh)%, -14, B, B ; 17447
+ ADD *+AR5(01360h)%, -14, B ; 17448
+ ADD *+AR5(01361h)%, -13, A, A ; 17449
+ ADD *+AR5(01362h)%, -13, A, B ; 17450
+ ADD *+AR5(01363h)%, -13, A ; 17451
+ ADD *+AR5(01364h)%, -13, B, A ; 17452
+ ADD *+AR5(01365h)%, -13, B, B ; 17453
+ ADD *+AR5(01366h)%, -13, B ; 17454
+ ADD *+AR5(01367h)%, -12, A, A ; 17455
+ ADD *+AR5(01368h)%, -12, A, B ; 17456
+ ADD *+AR5(01369h)%, -12, A ; 17457
+ ADD *+AR5(0136Ah)%, -12, B, A ; 17458
+ ADD *+AR5(0136Bh)%, -12, B, B ; 17459
+ ADD *+AR5(0136Ch)%, -12, B ; 17460
+ ADD *+AR5(0136Dh)%, -11, A, A ; 17461
+ ADD *+AR5(0136Eh)%, -11, A, B ; 17462
+ ADD *+AR5(0136Fh)%, -11, A ; 17463
+ ADD *+AR5(01370h)%, -11, B, A ; 17464
+ ADD *+AR5(01371h)%, -11, B, B ; 17465
+ ADD *+AR5(01372h)%, -11, B ; 17466
+ ADD *+AR5(01373h)%, -10, A, A ; 17467
+ ADD *+AR5(01374h)%, -10, A, B ; 17468
+ ADD *+AR5(01375h)%, -10, A ; 17469
+ ADD *+AR5(01376h)%, -10, B, A ; 17470
+ ADD *+AR5(01377h)%, -10, B, B ; 17471
+ ADD *+AR5(01378h)%, -10, B ; 17472
+ ADD *+AR5(01379h)%, -9, A, A ; 17473
+ ADD *+AR5(0137Ah)%, -9, A, B ; 17474
+ ADD *+AR5(0137Bh)%, -9, A ; 17475
+ ADD *+AR5(0137Ch)%, -9, B, A ; 17476
+ ADD *+AR5(0137Dh)%, -9, B, B ; 17477
+ ADD *+AR5(0137Eh)%, -9, B ; 17478
+ ADD *+AR5(0137Fh)%, -8, A, A ; 17479
+ ADD *+AR5(01380h)%, -8, A, B ; 17480
+ ADD *+AR5(01381h)%, -8, A ; 17481
+ ADD *+AR5(01382h)%, -8, B, A ; 17482
+ ADD *+AR5(01383h)%, -8, B, B ; 17483
+ ADD *+AR5(01384h)%, -8, B ; 17484
+ ADD *+AR5(01385h)%, -7, A, A ; 17485
+ ADD *+AR5(01386h)%, -7, A, B ; 17486
+ ADD *+AR5(01387h)%, -7, A ; 17487
+ ADD *+AR5(01388h)%, -7, B, A ; 17488
+ ADD *+AR5(01389h)%, -7, B, B ; 17489
+ ADD *+AR5(0138Ah)%, -7, B ; 17490
+ ADD *+AR5(0138Bh)%, -6, A, A ; 17491
+ ADD *+AR5(0138Ch)%, -6, A, B ; 17492
+ ADD *+AR5(0138Dh)%, -6, A ; 17493
+ ADD *+AR5(0138Eh)%, -6, B, A ; 17494
+ ADD *+AR5(0138Fh)%, -6, B, B ; 17495
+ ADD *+AR5(01390h)%, -6, B ; 17496
+ ADD *+AR5(01391h)%, -5, A, A ; 17497
+ ADD *+AR5(01392h)%, -5, A, B ; 17498
+ ADD *+AR5(01393h)%, -5, A ; 17499
+ ADD *+AR5(01394h)%, -5, B, A ; 17500
+ ADD *+AR5(01395h)%, -5, B, B ; 17501
+ ADD *+AR5(01396h)%, -5, B ; 17502
+ ADD *+AR5(01397h)%, -4, A, A ; 17503
+ ADD *+AR5(01398h)%, -4, A, B ; 17504
+ ADD *+AR5(01399h)%, -4, A ; 17505
+ ADD *+AR5(0139Ah)%, -4, B, A ; 17506
+ ADD *+AR5(0139Bh)%, -4, B, B ; 17507
+ ADD *+AR5(0139Ch)%, -4, B ; 17508
+ ADD *+AR5(0139Dh)%, -3, A, A ; 17509
+ ADD *+AR5(0139Eh)%, -3, A, B ; 17510
+ ADD *+AR5(0139Fh)%, -3, A ; 17511
+ ADD *+AR5(013A0h)%, -3, B, A ; 17512
+ ADD *+AR5(013A1h)%, -3, B, B ; 17513
+ ADD *+AR5(013A2h)%, -3, B ; 17514
+ ADD *+AR5(013A3h)%, -2, A, A ; 17515
+ ADD *+AR5(013A4h)%, -2, A, B ; 17516
+ ADD *+AR5(013A5h)%, -2, A ; 17517
+ ADD *+AR5(013A6h)%, -2, B, A ; 17518
+ ADD *+AR5(013A7h)%, -2, B, B ; 17519
+ ADD *+AR5(013A8h)%, -2, B ; 17520
+ ADD *+AR5(013A9h)%, -1, A, A ; 17521
+ ADD *+AR5(013AAh)%, -1, A, B ; 17522
+ ADD *+AR5(013ABh)%, -1, A ; 17523
+ ADD *+AR5(013ACh)%, -1, B, A ; 17524
+ ADD *+AR5(013ADh)%, -1, B, B ; 17525
+ ADD *+AR5(013AEh)%, -1, B ; 17526
+ ADD *+AR5(013AFh)%, 0, A, A ; 17527
+ ADD *+AR5(013B0h)%, 0, A, B ; 17528
+ ADD *+AR5(013B1h)%, 0, A ; 17529
+ ADD *+AR5(013B2h)%, 0, B, A ; 17530
+ ADD *+AR5(013B3h)%, 0, B, B ; 17531
+ ADD *+AR5(013B4h)%, 0, B ; 17532
+ ADD *+AR5(013B5h)%, 1, A, A ; 17533
+ ADD *+AR5(013B6h)%, 1, A, B ; 17534
+ ADD *+AR5(013B7h)%, 1, A ; 17535
+ ADD *+AR5(013B8h)%, 1, B, A ; 17536
+ ADD *+AR5(013B9h)%, 1, B, B ; 17537
+ ADD *+AR5(013BAh)%, 1, B ; 17538
+ ADD *+AR5(013BBh)%, 2, A, A ; 17539
+ ADD *+AR5(013BCh)%, 2, A, B ; 17540
+ ADD *+AR5(013BDh)%, 2, A ; 17541
+ ADD *+AR5(013BEh)%, 2, B, A ; 17542
+ ADD *+AR5(013BFh)%, 2, B, B ; 17543
+ ADD *+AR5(013C0h)%, 2, B ; 17544
+ ADD *+AR5(013C1h)%, 3, A, A ; 17545
+ ADD *+AR5(013C2h)%, 3, A, B ; 17546
+ ADD *+AR5(013C3h)%, 3, A ; 17547
+ ADD *+AR5(013C4h)%, 3, B, A ; 17548
+ ADD *+AR5(013C5h)%, 3, B, B ; 17549
+ ADD *+AR5(013C6h)%, 3, B ; 17550
+ ADD *+AR5(013C7h)%, 4, A, A ; 17551
+ ADD *+AR5(013C8h)%, 4, A, B ; 17552
+ ADD *+AR5(013C9h)%, 4, A ; 17553
+ ADD *+AR5(013CAh)%, 4, B, A ; 17554
+ ADD *+AR5(013CBh)%, 4, B, B ; 17555
+ ADD *+AR5(013CCh)%, 4, B ; 17556
+ ADD *+AR5(013CDh)%, 5, A, A ; 17557
+ ADD *+AR5(013CEh)%, 5, A, B ; 17558
+ ADD *+AR5(013CFh)%, 5, A ; 17559
+ ADD *+AR5(013D0h)%, 5, B, A ; 17560
+ ADD *+AR5(013D1h)%, 5, B, B ; 17561
+ ADD *+AR5(013D2h)%, 5, B ; 17562
+ ADD *+AR5(013D3h)%, 6, A, A ; 17563
+ ADD *+AR5(013D4h)%, 6, A, B ; 17564
+ ADD *+AR5(013D5h)%, 6, A ; 17565
+ ADD *+AR5(013D6h)%, 6, B, A ; 17566
+ ADD *+AR5(013D7h)%, 6, B, B ; 17567
+ ADD *+AR5(013D8h)%, 6, B ; 17568
+ ADD *+AR5(013D9h)%, 7, A, A ; 17569
+ ADD *+AR5(013DAh)%, 7, A, B ; 17570
+ ADD *+AR5(013DBh)%, 7, A ; 17571
+ ADD *+AR5(013DCh)%, 7, B, A ; 17572
+ ADD *+AR5(013DDh)%, 7, B, B ; 17573
+ ADD *+AR5(013DEh)%, 7, B ; 17574
+ ADD *+AR5(013DFh)%, 8, A, A ; 17575
+ ADD *+AR5(013E0h)%, 8, A, B ; 17576
+ ADD *+AR5(013E1h)%, 8, A ; 17577
+ ADD *+AR5(013E2h)%, 8, B, A ; 17578
+ ADD *+AR5(013E3h)%, 8, B, B ; 17579
+ ADD *+AR5(013E4h)%, 8, B ; 17580
+ ADD *+AR5(013E5h)%, 9, A, A ; 17581
+ ADD *+AR5(013E6h)%, 9, A, B ; 17582
+ ADD *+AR5(013E7h)%, 9, A ; 17583
+ ADD *+AR5(013E8h)%, 9, B, A ; 17584
+ ADD *+AR5(013E9h)%, 9, B, B ; 17585
+ ADD *+AR5(013EAh)%, 9, B ; 17586
+ ADD *+AR5(013EBh)%, 10, A, A ; 17587
+ ADD *+AR5(013ECh)%, 10, A, B ; 17588
+ ADD *+AR5(013EDh)%, 10, A ; 17589
+ ADD *+AR5(013EEh)%, 10, B, A ; 17590
+ ADD *+AR5(013EFh)%, 10, B, B ; 17591
+ ADD *+AR5(013F0h)%, 10, B ; 17592
+ ADD *+AR5(013F1h)%, 11, A, A ; 17593
+ ADD *+AR5(013F2h)%, 11, A, B ; 17594
+ ADD *+AR5(013F3h)%, 11, A ; 17595
+ ADD *+AR5(013F4h)%, 11, B, A ; 17596
+ ADD *+AR5(013F5h)%, 11, B, B ; 17597
+ ADD *+AR5(013F6h)%, 11, B ; 17598
+ ADD *+AR5(013F7h)%, 12, A, A ; 17599
+ ADD *+AR5(013F8h)%, 12, A, B ; 17600
+ ADD *+AR5(013F9h)%, 12, A ; 17601
+ ADD *+AR5(013FAh)%, 12, B, A ; 17602
+ ADD *+AR5(013FBh)%, 12, B, B ; 17603
+ ADD *+AR5(013FCh)%, 12, B ; 17604
+ ADD *+AR5(013FDh)%, 13, A, A ; 17605
+ ADD *+AR5(013FEh)%, 13, A, B ; 17606
+ ADD *+AR5(013FFh)%, 13, A ; 17607
+ ADD *+AR5(01400h)%, 13, B, A ; 17608
+ ADD *+AR5(01401h)%, 13, B, B ; 17609
+ ADD *+AR5(01402h)%, 13, B ; 17610
+ ADD *+AR5(01403h)%, 14, A, A ; 17611
+ ADD *+AR5(01404h)%, 14, A, B ; 17612
+ ADD *+AR5(01405h)%, 14, A ; 17613
+ ADD *+AR5(01406h)%, 14, B, A ; 17614
+ ADD *+AR5(01407h)%, 14, B, B ; 17615
+ ADD *+AR5(01408h)%, 14, B ; 17616
+ ADD *+AR5(01409h)%, 15, A, A ; 17617
+ ADD *+AR5(0140Ah)%, 15, A, B ; 17618
+ ADD *+AR5(0140Bh)%, 15, A ; 17619
+ ADD *+AR5(0140Ch)%, 15, B, A ; 17620
+ ADD *+AR5(0140Dh)%, 15, B, B ; 17621
+ ADD *+AR5(0140Eh)%, 15, B ; 17622
+ ADD *(0140Fh), A, A ; 17623
+ ADD *(01410h), A, B ; 17624
+ ADD *(01411h), A ; 17625
+ ADD *(01412h), B, A ; 17626
+ ADD *(01413h), B, B ; 17627
+ ADD *(01414h), B ; 17628
+ ADD *(01415h), -16, A, A ; 17629
+ ADD *(01416h), -16, A, B ; 17630
+ ADD *(01417h), -16, A ; 17631
+ ADD *(01418h), -16, B, A ; 17632
+ ADD *(01419h), -16, B, B ; 17633
+ ADD *(0141Ah), -16, B ; 17634
+ ADD *(0141Bh), -15, A, A ; 17635
+ ADD *(0141Ch), -15, A, B ; 17636
+ ADD *(0141Dh), -15, A ; 17637
+ ADD *(0141Eh), -15, B, A ; 17638
+ ADD *(0141Fh), -15, B, B ; 17639
+ ADD *(01420h), -15, B ; 17640
+ ADD *(01421h), -14, A, A ; 17641
+ ADD *(01422h), -14, A, B ; 17642
+ ADD *(01423h), -14, A ; 17643
+ ADD *(01424h), -14, B, A ; 17644
+ ADD *(01425h), -14, B, B ; 17645
+ ADD *(01426h), -14, B ; 17646
+ ADD *(01427h), -13, A, A ; 17647
+ ADD *(01428h), -13, A, B ; 17648
+ ADD *(01429h), -13, A ; 17649
+ ADD *(0142Ah), -13, B, A ; 17650
+ ADD *(0142Bh), -13, B, B ; 17651
+ ADD *(0142Ch), -13, B ; 17652
+ ADD *(0142Dh), -12, A, A ; 17653
+ ADD *(0142Eh), -12, A, B ; 17654
+ ADD *(0142Fh), -12, A ; 17655
+ ADD *(01430h), -12, B, A ; 17656
+ ADD *(01431h), -12, B, B ; 17657
+ ADD *(01432h), -12, B ; 17658
+ ADD *(01433h), -11, A, A ; 17659
+ ADD *(01434h), -11, A, B ; 17660
+ ADD *(01435h), -11, A ; 17661
+ ADD *(01436h), -11, B, A ; 17662
+ ADD *(01437h), -11, B, B ; 17663
+ ADD *(01438h), -11, B ; 17664
+ ADD *(01439h), -10, A, A ; 17665
+ ADD *(0143Ah), -10, A, B ; 17666
+ ADD *(0143Bh), -10, A ; 17667
+ ADD *(0143Ch), -10, B, A ; 17668
+ ADD *(0143Dh), -10, B, B ; 17669
+ ADD *(0143Eh), -10, B ; 17670
+ ADD *(0143Fh), -9, A, A ; 17671
+ ADD *(01440h), -9, A, B ; 17672
+ ADD *(01441h), -9, A ; 17673
+ ADD *(01442h), -9, B, A ; 17674
+ ADD *(01443h), -9, B, B ; 17675
+ ADD *(01444h), -9, B ; 17676
+ ADD *(01445h), -8, A, A ; 17677
+ ADD *(01446h), -8, A, B ; 17678
+ ADD *(01447h), -8, A ; 17679
+ ADD *(01448h), -8, B, A ; 17680
+ ADD *(01449h), -8, B, B ; 17681
+ ADD *(0144Ah), -8, B ; 17682
+ ADD *(0144Bh), -7, A, A ; 17683
+ ADD *(0144Ch), -7, A, B ; 17684
+ ADD *(0144Dh), -7, A ; 17685
+ ADD *(0144Eh), -7, B, A ; 17686
+ ADD *(0144Fh), -7, B, B ; 17687
+ ADD *(01450h), -7, B ; 17688
+ ADD *(01451h), -6, A, A ; 17689
+ ADD *(01452h), -6, A, B ; 17690
+ ADD *(01453h), -6, A ; 17691
+ ADD *(01454h), -6, B, A ; 17692
+ ADD *(01455h), -6, B, B ; 17693
+ ADD *(01456h), -6, B ; 17694
+ ADD *(01457h), -5, A, A ; 17695
+ ADD *(01458h), -5, A, B ; 17696
+ ADD *(01459h), -5, A ; 17697
+ ADD *(0145Ah), -5, B, A ; 17698
+ ADD *(0145Bh), -5, B, B ; 17699
+ ADD *(0145Ch), -5, B ; 17700
+ ADD *(0145Dh), -4, A, A ; 17701
+ ADD *(0145Eh), -4, A, B ; 17702
+ ADD *(0145Fh), -4, A ; 17703
+ ADD *(01460h), -4, B, A ; 17704
+ ADD *(01461h), -4, B, B ; 17705
+ ADD *(01462h), -4, B ; 17706
+ ADD *(01463h), -3, A, A ; 17707
+ ADD *(01464h), -3, A, B ; 17708
+ ADD *(01465h), -3, A ; 17709
+ ADD *(01466h), -3, B, A ; 17710
+ ADD *(01467h), -3, B, B ; 17711
+ ADD *(01468h), -3, B ; 17712
+ ADD *(01469h), -2, A, A ; 17713
+ ADD *(0146Ah), -2, A, B ; 17714
+ ADD *(0146Bh), -2, A ; 17715
+ ADD *(0146Ch), -2, B, A ; 17716
+ ADD *(0146Dh), -2, B, B ; 17717
+ ADD *(0146Eh), -2, B ; 17718
+ ADD *(0146Fh), -1, A, A ; 17719
+ ADD *(01470h), -1, A, B ; 17720
+ ADD *(01471h), -1, A ; 17721
+ ADD *(01472h), -1, B, A ; 17722
+ ADD *(01473h), -1, B, B ; 17723
+ ADD *(01474h), -1, B ; 17724
+ ADD *(01475h), 0, A, A ; 17725
+ ADD *(01476h), 0, A, B ; 17726
+ ADD *(01477h), 0, A ; 17727
+ ADD *(01478h), 0, B, A ; 17728
+ ADD *(01479h), 0, B, B ; 17729
+ ADD *(0147Ah), 0, B ; 17730
+ ADD *(0147Bh), 1, A, A ; 17731
+ ADD *(0147Ch), 1, A, B ; 17732
+ ADD *(0147Dh), 1, A ; 17733
+ ADD *(0147Eh), 1, B, A ; 17734
+ ADD *(0147Fh), 1, B, B ; 17735
+ ADD *(01480h), 1, B ; 17736
+ ADD *(01481h), 2, A, A ; 17737
+ ADD *(01482h), 2, A, B ; 17738
+ ADD *(01483h), 2, A ; 17739
+ ADD *(01484h), 2, B, A ; 17740
+ ADD *(01485h), 2, B, B ; 17741
+ ADD *(01486h), 2, B ; 17742
+ ADD *(01487h), 3, A, A ; 17743
+ ADD *(01488h), 3, A, B ; 17744
+ ADD *(01489h), 3, A ; 17745
+ ADD *(0148Ah), 3, B, A ; 17746
+ ADD *(0148Bh), 3, B, B ; 17747
+ ADD *(0148Ch), 3, B ; 17748
+ ADD *(0148Dh), 4, A, A ; 17749
+ ADD *(0148Eh), 4, A, B ; 17750
+ ADD *(0148Fh), 4, A ; 17751
+ ADD *(01490h), 4, B, A ; 17752
+ ADD *(01491h), 4, B, B ; 17753
+ ADD *(01492h), 4, B ; 17754
+ ADD *(01493h), 5, A, A ; 17755
+ ADD *(01494h), 5, A, B ; 17756
+ ADD *(01495h), 5, A ; 17757
+ ADD *(01496h), 5, B, A ; 17758
+ ADD *(01497h), 5, B, B ; 17759
+ ADD *(01498h), 5, B ; 17760
+ ADD *(01499h), 6, A, A ; 17761
+ ADD *(0149Ah), 6, A, B ; 17762
+ ADD *(0149Bh), 6, A ; 17763
+ ADD *(0149Ch), 6, B, A ; 17764
+ ADD *(0149Dh), 6, B, B ; 17765
+ ADD *(0149Eh), 6, B ; 17766
+ ADD *(0149Fh), 7, A, A ; 17767
+ ADD *(014A0h), 7, A, B ; 17768
+ ADD *(014A1h), 7, A ; 17769
+ ADD *(014A2h), 7, B, A ; 17770
+ ADD *(014A3h), 7, B, B ; 17771
+ ADD *(014A4h), 7, B ; 17772
+ ADD *(014A5h), 8, A, A ; 17773
+ ADD *(014A6h), 8, A, B ; 17774
+ ADD *(014A7h), 8, A ; 17775
+ ADD *(014A8h), 8, B, A ; 17776
+ ADD *(014A9h), 8, B, B ; 17777
+ ADD *(014AAh), 8, B ; 17778
+ ADD *(014ABh), 9, A, A ; 17779
+ ADD *(014ACh), 9, A, B ; 17780
+ ADD *(014ADh), 9, A ; 17781
+ ADD *(014AEh), 9, B, A ; 17782
+ ADD *(014AFh), 9, B, B ; 17783
+ ADD *(014B0h), 9, B ; 17784
+ ADD *(014B1h), 10, A, A ; 17785
+ ADD *(014B2h), 10, A, B ; 17786
+ ADD *(014B3h), 10, A ; 17787
+ ADD *(014B4h), 10, B, A ; 17788
+ ADD *(014B5h), 10, B, B ; 17789
+ ADD *(014B6h), 10, B ; 17790
+ ADD *(014B7h), 11, A, A ; 17791
+ ADD *(014B8h), 11, A, B ; 17792
+ ADD *(014B9h), 11, A ; 17793
+ ADD *(014BAh), 11, B, A ; 17794
+ ADD *(014BBh), 11, B, B ; 17795
+ ADD *(014BCh), 11, B ; 17796
+ ADD *(014BDh), 12, A, A ; 17797
+ ADD *(014BEh), 12, A, B ; 17798
+ ADD *(014BFh), 12, A ; 17799
+ ADD *(014C0h), 12, B, A ; 17800
+ ADD *(014C1h), 12, B, B ; 17801
+ ADD *(014C2h), 12, B ; 17802
+ ADD *(014C3h), 13, A, A ; 17803
+ ADD *(014C4h), 13, A, B ; 17804
+ ADD *(014C5h), 13, A ; 17805
+ ADD *(014C6h), 13, B, A ; 17806
+ ADD *(014C7h), 13, B, B ; 17807
+ ADD *(014C8h), 13, B ; 17808
+ ADD *(014C9h), 14, A, A ; 17809
+ ADD *(014CAh), 14, A, B ; 17810
+ ADD *(014CBh), 14, A ; 17811
+ ADD *(014CCh), 14, B, A ; 17812
+ ADD *(014CDh), 14, B, B ; 17813
+ ADD *(014CEh), 14, B ; 17814
+ ADD *(014CFh), 15, A, A ; 17815
+ ADD *(014D0h), 15, A, B ; 17816
+ ADD *(014D1h), 15, A ; 17817
+ ADD *(014D2h), 15, B, A ; 17818
+ ADD *(014D3h), 15, B, B ; 17819
+ ADD *(014D4h), 15, B ; 17820
+ ADD *AR6, A, A ; 17821
+ ADD *AR6, A, B ; 17822
+ ADD *AR6, A ; 17823
+ ADD *AR6, B, A ; 17824
+ ADD *AR6, B, B ; 17825
+ ADD *AR6, B ; 17826
+ ADD *AR6, -16, A, A ; 17827
+ ADD *AR6, -16, A, B ; 17828
+ ADD *AR6, -16, A ; 17829
+ ADD *AR6, -16, B, A ; 17830
+ ADD *AR6, -16, B, B ; 17831
+ ADD *AR6, -16, B ; 17832
+ ADD *AR6, -15, A, A ; 17833
+ ADD *AR6, -15, A, B ; 17834
+ ADD *AR6, -15, A ; 17835
+ ADD *AR6, -15, B, A ; 17836
+ ADD *AR6, -15, B, B ; 17837
+ ADD *AR6, -15, B ; 17838
+ ADD *AR6, -14, A, A ; 17839
+ ADD *AR6, -14, A, B ; 17840
+ ADD *AR6, -14, A ; 17841
+ ADD *AR6, -14, B, A ; 17842
+ ADD *AR6, -14, B, B ; 17843
+ ADD *AR6, -14, B ; 17844
+ ADD *AR6, -13, A, A ; 17845
+ ADD *AR6, -13, A, B ; 17846
+ ADD *AR6, -13, A ; 17847
+ ADD *AR6, -13, B, A ; 17848
+ ADD *AR6, -13, B, B ; 17849
+ ADD *AR6, -13, B ; 17850
+ ADD *AR6, -12, A, A ; 17851
+ ADD *AR6, -12, A, B ; 17852
+ ADD *AR6, -12, A ; 17853
+ ADD *AR6, -12, B, A ; 17854
+ ADD *AR6, -12, B, B ; 17855
+ ADD *AR6, -12, B ; 17856
+ ADD *AR6, -11, A, A ; 17857
+ ADD *AR6, -11, A, B ; 17858
+ ADD *AR6, -11, A ; 17859
+ ADD *AR6, -11, B, A ; 17860
+ ADD *AR6, -11, B, B ; 17861
+ ADD *AR6, -11, B ; 17862
+ ADD *AR6, -10, A, A ; 17863
+ ADD *AR6, -10, A, B ; 17864
+ ADD *AR6, -10, A ; 17865
+ ADD *AR6, -10, B, A ; 17866
+ ADD *AR6, -10, B, B ; 17867
+ ADD *AR6, -10, B ; 17868
+ ADD *AR6, -9, A, A ; 17869
+ ADD *AR6, -9, A, B ; 17870
+ ADD *AR6, -9, A ; 17871
+ ADD *AR6, -9, B, A ; 17872
+ ADD *AR6, -9, B, B ; 17873
+ ADD *AR6, -9, B ; 17874
+ ADD *AR6, -8, A, A ; 17875
+ ADD *AR6, -8, A, B ; 17876
+ ADD *AR6, -8, A ; 17877
+ ADD *AR6, -8, B, A ; 17878
+ ADD *AR6, -8, B, B ; 17879
+ ADD *AR6, -8, B ; 17880
+ ADD *AR6, -7, A, A ; 17881
+ ADD *AR6, -7, A, B ; 17882
+ ADD *AR6, -7, A ; 17883
+ ADD *AR6, -7, B, A ; 17884
+ ADD *AR6, -7, B, B ; 17885
+ ADD *AR6, -7, B ; 17886
+ ADD *AR6, -6, A, A ; 17887
+ ADD *AR6, -6, A, B ; 17888
+ ADD *AR6, -6, A ; 17889
+ ADD *AR6, -6, B, A ; 17890
+ ADD *AR6, -6, B, B ; 17891
+ ADD *AR6, -6, B ; 17892
+ ADD *AR6, -5, A, A ; 17893
+ ADD *AR6, -5, A, B ; 17894
+ ADD *AR6, -5, A ; 17895
+ ADD *AR6, -5, B, A ; 17896
+ ADD *AR6, -5, B, B ; 17897
+ ADD *AR6, -5, B ; 17898
+ ADD *AR6, -4, A, A ; 17899
+ ADD *AR6, -4, A, B ; 17900
+ ADD *AR6, -4, A ; 17901
+ ADD *AR6, -4, B, A ; 17902
+ ADD *AR6, -4, B, B ; 17903
+ ADD *AR6, -4, B ; 17904
+ ADD *AR6, -3, A, A ; 17905
+ ADD *AR6, -3, A, B ; 17906
+ ADD *AR6, -3, A ; 17907
+ ADD *AR6, -3, B, A ; 17908
+ ADD *AR6, -3, B, B ; 17909
+ ADD *AR6, -3, B ; 17910
+ ADD *AR6, -2, A, A ; 17911
+ ADD *AR6, -2, A, B ; 17912
+ ADD *AR6, -2, A ; 17913
+ ADD *AR6, -2, B, A ; 17914
+ ADD *AR6, -2, B, B ; 17915
+ ADD *AR6, -2, B ; 17916
+ ADD *AR6, -1, A, A ; 17917
+ ADD *AR6, -1, A, B ; 17918
+ ADD *AR6, -1, A ; 17919
+ ADD *AR6, -1, B, A ; 17920
+ ADD *AR6, -1, B, B ; 17921
+ ADD *AR6, -1, B ; 17922
+ ADD *AR6, 0, A, A ; 17923
+ ADD *AR6, 0, A, B ; 17924
+ ADD *AR6, 0, A ; 17925
+ ADD *AR6, 0, B, A ; 17926
+ ADD *AR6, 0, B, B ; 17927
+ ADD *AR6, 0, B ; 17928
+ ADD *AR6, 1, A, A ; 17929
+ ADD *AR6, 1, A, B ; 17930
+ ADD *AR6, 1, A ; 17931
+ ADD *AR6, 1, B, A ; 17932
+ ADD *AR6, 1, B, B ; 17933
+ ADD *AR6, 1, B ; 17934
+ ADD *AR6, 2, A, A ; 17935
+ ADD *AR6, 2, A, B ; 17936
+ ADD *AR6, 2, A ; 17937
+ ADD *AR6, 2, B, A ; 17938
+ ADD *AR6, 2, B, B ; 17939
+ ADD *AR6, 2, B ; 17940
+ ADD *AR6, 3, A, A ; 17941
+ ADD *AR6, 3, A, B ; 17942
+ ADD *AR6, 3, A ; 17943
+ ADD *AR6, 3, B, A ; 17944
+ ADD *AR6, 3, B, B ; 17945
+ ADD *AR6, 3, B ; 17946
+ ADD *AR6, 4, A, A ; 17947
+ ADD *AR6, 4, A, B ; 17948
+ ADD *AR6, 4, A ; 17949
+ ADD *AR6, 4, B, A ; 17950
+ ADD *AR6, 4, B, B ; 17951
+ ADD *AR6, 4, B ; 17952
+ ADD *AR6, 5, A, A ; 17953
+ ADD *AR6, 5, A, B ; 17954
+ ADD *AR6, 5, A ; 17955
+ ADD *AR6, 5, B, A ; 17956
+ ADD *AR6, 5, B, B ; 17957
+ ADD *AR6, 5, B ; 17958
+ ADD *AR6, 6, A, A ; 17959
+ ADD *AR6, 6, A, B ; 17960
+ ADD *AR6, 6, A ; 17961
+ ADD *AR6, 6, B, A ; 17962
+ ADD *AR6, 6, B, B ; 17963
+ ADD *AR6, 6, B ; 17964
+ ADD *AR6, 7, A, A ; 17965
+ ADD *AR6, 7, A, B ; 17966
+ ADD *AR6, 7, A ; 17967
+ ADD *AR6, 7, B, A ; 17968
+ ADD *AR6, 7, B, B ; 17969
+ ADD *AR6, 7, B ; 17970
+ ADD *AR6, 8, A, A ; 17971
+ ADD *AR6, 8, A, B ; 17972
+ ADD *AR6, 8, A ; 17973
+ ADD *AR6, 8, B, A ; 17974
+ ADD *AR6, 8, B, B ; 17975
+ ADD *AR6, 8, B ; 17976
+ ADD *AR6, 9, A, A ; 17977
+ ADD *AR6, 9, A, B ; 17978
+ ADD *AR6, 9, A ; 17979
+ ADD *AR6, 9, B, A ; 17980
+ ADD *AR6, 9, B, B ; 17981
+ ADD *AR6, 9, B ; 17982
+ ADD *AR6, 10, A, A ; 17983
+ ADD *AR6, 10, A, B ; 17984
+ ADD *AR6, 10, A ; 17985
+ ADD *AR6, 10, B, A ; 17986
+ ADD *AR6, 10, B, B ; 17987
+ ADD *AR6, 10, B ; 17988
+ ADD *AR6, 11, A, A ; 17989
+ ADD *AR6, 11, A, B ; 17990
+ ADD *AR6, 11, A ; 17991
+ ADD *AR6, 11, B, A ; 17992
+ ADD *AR6, 11, B, B ; 17993
+ ADD *AR6, 11, B ; 17994
+ ADD *AR6, 12, A, A ; 17995
+ ADD *AR6, 12, A, B ; 17996
+ ADD *AR6, 12, A ; 17997
+ ADD *AR6, 12, B, A ; 17998
+ ADD *AR6, 12, B, B ; 17999
+ ADD *AR6, 12, B ; 18000
+ ADD *AR6, 13, A, A ; 18001
+ ADD *AR6, 13, A, B ; 18002
+ ADD *AR6, 13, A ; 18003
+ ADD *AR6, 13, B, A ; 18004
+ ADD *AR6, 13, B, B ; 18005
+ ADD *AR6, 13, B ; 18006
+ ADD *AR6, 14, A, A ; 18007
+ ADD *AR6, 14, A, B ; 18008
+ ADD *AR6, 14, A ; 18009
+ ADD *AR6, 14, B, A ; 18010
+ ADD *AR6, 14, B, B ; 18011
+ ADD *AR6, 14, B ; 18012
+ ADD *AR6, 15, A, A ; 18013
+ ADD *AR6, 15, A, B ; 18014
+ ADD *AR6, 15, A ; 18015
+ ADD *AR6, 15, B, A ; 18016
+ ADD *AR6, 15, B, B ; 18017
+ ADD *AR6, 15, B ; 18018
+ ADD *AR6-, A, A ; 18019
+ ADD *AR6-, A, B ; 18020
+ ADD *AR6-, A ; 18021
+ ADD *AR6-, B, A ; 18022
+ ADD *AR6-, B, B ; 18023
+ ADD *AR6-, B ; 18024
+ ADD *AR6-, -16, A, A ; 18025
+ ADD *AR6-, -16, A, B ; 18026
+ ADD *AR6-, -16, A ; 18027
+ ADD *AR6-, -16, B, A ; 18028
+ ADD *AR6-, -16, B, B ; 18029
+ ADD *AR6-, -16, B ; 18030
+ ADD *AR6-, -15, A, A ; 18031
+ ADD *AR6-, -15, A, B ; 18032
+ ADD *AR6-, -15, A ; 18033
+ ADD *AR6-, -15, B, A ; 18034
+ ADD *AR6-, -15, B, B ; 18035
+ ADD *AR6-, -15, B ; 18036
+ ADD *AR6-, -14, A, A ; 18037
+ ADD *AR6-, -14, A, B ; 18038
+ ADD *AR6-, -14, A ; 18039
+ ADD *AR6-, -14, B, A ; 18040
+ ADD *AR6-, -14, B, B ; 18041
+ ADD *AR6-, -14, B ; 18042
+ ADD *AR6-, -13, A, A ; 18043
+ ADD *AR6-, -13, A, B ; 18044
+ ADD *AR6-, -13, A ; 18045
+ ADD *AR6-, -13, B, A ; 18046
+ ADD *AR6-, -13, B, B ; 18047
+ ADD *AR6-, -13, B ; 18048
+ ADD *AR6-, -12, A, A ; 18049
+ ADD *AR6-, -12, A, B ; 18050
+ ADD *AR6-, -12, A ; 18051
+ ADD *AR6-, -12, B, A ; 18052
+ ADD *AR6-, -12, B, B ; 18053
+ ADD *AR6-, -12, B ; 18054
+ ADD *AR6-, -11, A, A ; 18055
+ ADD *AR6-, -11, A, B ; 18056
+ ADD *AR6-, -11, A ; 18057
+ ADD *AR6-, -11, B, A ; 18058
+ ADD *AR6-, -11, B, B ; 18059
+ ADD *AR6-, -11, B ; 18060
+ ADD *AR6-, -10, A, A ; 18061
+ ADD *AR6-, -10, A, B ; 18062
+ ADD *AR6-, -10, A ; 18063
+ ADD *AR6-, -10, B, A ; 18064
+ ADD *AR6-, -10, B, B ; 18065
+ ADD *AR6-, -10, B ; 18066
+ ADD *AR6-, -9, A, A ; 18067
+ ADD *AR6-, -9, A, B ; 18068
+ ADD *AR6-, -9, A ; 18069
+ ADD *AR6-, -9, B, A ; 18070
+ ADD *AR6-, -9, B, B ; 18071
+ ADD *AR6-, -9, B ; 18072
+ ADD *AR6-, -8, A, A ; 18073
+ ADD *AR6-, -8, A, B ; 18074
+ ADD *AR6-, -8, A ; 18075
+ ADD *AR6-, -8, B, A ; 18076
+ ADD *AR6-, -8, B, B ; 18077
+ ADD *AR6-, -8, B ; 18078
+ ADD *AR6-, -7, A, A ; 18079
+ ADD *AR6-, -7, A, B ; 18080
+ ADD *AR6-, -7, A ; 18081
+ ADD *AR6-, -7, B, A ; 18082
+ ADD *AR6-, -7, B, B ; 18083
+ ADD *AR6-, -7, B ; 18084
+ ADD *AR6-, -6, A, A ; 18085
+ ADD *AR6-, -6, A, B ; 18086
+ ADD *AR6-, -6, A ; 18087
+ ADD *AR6-, -6, B, A ; 18088
+ ADD *AR6-, -6, B, B ; 18089
+ ADD *AR6-, -6, B ; 18090
+ ADD *AR6-, -5, A, A ; 18091
+ ADD *AR6-, -5, A, B ; 18092
+ ADD *AR6-, -5, A ; 18093
+ ADD *AR6-, -5, B, A ; 18094
+ ADD *AR6-, -5, B, B ; 18095
+ ADD *AR6-, -5, B ; 18096
+ ADD *AR6-, -4, A, A ; 18097
+ ADD *AR6-, -4, A, B ; 18098
+ ADD *AR6-, -4, A ; 18099
+ ADD *AR6-, -4, B, A ; 18100
+ ADD *AR6-, -4, B, B ; 18101
+ ADD *AR6-, -4, B ; 18102
+ ADD *AR6-, -3, A, A ; 18103
+ ADD *AR6-, -3, A, B ; 18104
+ ADD *AR6-, -3, A ; 18105
+ ADD *AR6-, -3, B, A ; 18106
+ ADD *AR6-, -3, B, B ; 18107
+ ADD *AR6-, -3, B ; 18108
+ ADD *AR6-, -2, A, A ; 18109
+ ADD *AR6-, -2, A, B ; 18110
+ ADD *AR6-, -2, A ; 18111
+ ADD *AR6-, -2, B, A ; 18112
+ ADD *AR6-, -2, B, B ; 18113
+ ADD *AR6-, -2, B ; 18114
+ ADD *AR6-, -1, A, A ; 18115
+ ADD *AR6-, -1, A, B ; 18116
+ ADD *AR6-, -1, A ; 18117
+ ADD *AR6-, -1, B, A ; 18118
+ ADD *AR6-, -1, B, B ; 18119
+ ADD *AR6-, -1, B ; 18120
+ ADD *AR6-, 0, A, A ; 18121
+ ADD *AR6-, 0, A, B ; 18122
+ ADD *AR6-, 0, A ; 18123
+ ADD *AR6-, 0, B, A ; 18124
+ ADD *AR6-, 0, B, B ; 18125
+ ADD *AR6-, 0, B ; 18126
+ ADD *AR6-, 1, A, A ; 18127
+ ADD *AR6-, 1, A, B ; 18128
+ ADD *AR6-, 1, A ; 18129
+ ADD *AR6-, 1, B, A ; 18130
+ ADD *AR6-, 1, B, B ; 18131
+ ADD *AR6-, 1, B ; 18132
+ ADD *AR6-, 2, A, A ; 18133
+ ADD *AR6-, 2, A, B ; 18134
+ ADD *AR6-, 2, A ; 18135
+ ADD *AR6-, 2, B, A ; 18136
+ ADD *AR6-, 2, B, B ; 18137
+ ADD *AR6-, 2, B ; 18138
+ ADD *AR6-, 3, A, A ; 18139
+ ADD *AR6-, 3, A, B ; 18140
+ ADD *AR6-, 3, A ; 18141
+ ADD *AR6-, 3, B, A ; 18142
+ ADD *AR6-, 3, B, B ; 18143
+ ADD *AR6-, 3, B ; 18144
+ ADD *AR6-, 4, A, A ; 18145
+ ADD *AR6-, 4, A, B ; 18146
+ ADD *AR6-, 4, A ; 18147
+ ADD *AR6-, 4, B, A ; 18148
+ ADD *AR6-, 4, B, B ; 18149
+ ADD *AR6-, 4, B ; 18150
+ ADD *AR6-, 5, A, A ; 18151
+ ADD *AR6-, 5, A, B ; 18152
+ ADD *AR6-, 5, A ; 18153
+ ADD *AR6-, 5, B, A ; 18154
+ ADD *AR6-, 5, B, B ; 18155
+ ADD *AR6-, 5, B ; 18156
+ ADD *AR6-, 6, A, A ; 18157
+ ADD *AR6-, 6, A, B ; 18158
+ ADD *AR6-, 6, A ; 18159
+ ADD *AR6-, 6, B, A ; 18160
+ ADD *AR6-, 6, B, B ; 18161
+ ADD *AR6-, 6, B ; 18162
+ ADD *AR6-, 7, A, A ; 18163
+ ADD *AR6-, 7, A, B ; 18164
+ ADD *AR6-, 7, A ; 18165
+ ADD *AR6-, 7, B, A ; 18166
+ ADD *AR6-, 7, B, B ; 18167
+ ADD *AR6-, 7, B ; 18168
+ ADD *AR6-, 8, A, A ; 18169
+ ADD *AR6-, 8, A, B ; 18170
+ ADD *AR6-, 8, A ; 18171
+ ADD *AR6-, 8, B, A ; 18172
+ ADD *AR6-, 8, B, B ; 18173
+ ADD *AR6-, 8, B ; 18174
+ ADD *AR6-, 9, A, A ; 18175
+ ADD *AR6-, 9, A, B ; 18176
+ ADD *AR6-, 9, A ; 18177
+ ADD *AR6-, 9, B, A ; 18178
+ ADD *AR6-, 9, B, B ; 18179
+ ADD *AR6-, 9, B ; 18180
+ ADD *AR6-, 10, A, A ; 18181
+ ADD *AR6-, 10, A, B ; 18182
+ ADD *AR6-, 10, A ; 18183
+ ADD *AR6-, 10, B, A ; 18184
+ ADD *AR6-, 10, B, B ; 18185
+ ADD *AR6-, 10, B ; 18186
+ ADD *AR6-, 11, A, A ; 18187
+ ADD *AR6-, 11, A, B ; 18188
+ ADD *AR6-, 11, A ; 18189
+ ADD *AR6-, 11, B, A ; 18190
+ ADD *AR6-, 11, B, B ; 18191
+ ADD *AR6-, 11, B ; 18192
+ ADD *AR6-, 12, A, A ; 18193
+ ADD *AR6-, 12, A, B ; 18194
+ ADD *AR6-, 12, A ; 18195
+ ADD *AR6-, 12, B, A ; 18196
+ ADD *AR6-, 12, B, B ; 18197
+ ADD *AR6-, 12, B ; 18198
+ ADD *AR6-, 13, A, A ; 18199
+ ADD *AR6-, 13, A, B ; 18200
+ ADD *AR6-, 13, A ; 18201
+ ADD *AR6-, 13, B, A ; 18202
+ ADD *AR6-, 13, B, B ; 18203
+ ADD *AR6-, 13, B ; 18204
+ ADD *AR6-, 14, A, A ; 18205
+ ADD *AR6-, 14, A, B ; 18206
+ ADD *AR6-, 14, A ; 18207
+ ADD *AR6-, 14, B, A ; 18208
+ ADD *AR6-, 14, B, B ; 18209
+ ADD *AR6-, 14, B ; 18210
+ ADD *AR6-, 15, A, A ; 18211
+ ADD *AR6-, 15, A, B ; 18212
+ ADD *AR6-, 15, A ; 18213
+ ADD *AR6-, 15, B, A ; 18214
+ ADD *AR6-, 15, B, B ; 18215
+ ADD *AR6-, 15, B ; 18216
+ ADD *AR6+, A, A ; 18217
+ ADD *AR6+, A, B ; 18218
+ ADD *AR6+, A ; 18219
+ ADD *AR6+, B, A ; 18220
+ ADD *AR6+, B, B ; 18221
+ ADD *AR6+, B ; 18222
+ ADD *AR6+, -16, A, A ; 18223
+ ADD *AR6+, -16, A, B ; 18224
+ ADD *AR6+, -16, A ; 18225
+ ADD *AR6+, -16, B, A ; 18226
+ ADD *AR6+, -16, B, B ; 18227
+ ADD *AR6+, -16, B ; 18228
+ ADD *AR6+, -15, A, A ; 18229
+ ADD *AR6+, -15, A, B ; 18230
+ ADD *AR6+, -15, A ; 18231
+ ADD *AR6+, -15, B, A ; 18232
+ ADD *AR6+, -15, B, B ; 18233
+ ADD *AR6+, -15, B ; 18234
+ ADD *AR6+, -14, A, A ; 18235
+ ADD *AR6+, -14, A, B ; 18236
+ ADD *AR6+, -14, A ; 18237
+ ADD *AR6+, -14, B, A ; 18238
+ ADD *AR6+, -14, B, B ; 18239
+ ADD *AR6+, -14, B ; 18240
+ ADD *AR6+, -13, A, A ; 18241
+ ADD *AR6+, -13, A, B ; 18242
+ ADD *AR6+, -13, A ; 18243
+ ADD *AR6+, -13, B, A ; 18244
+ ADD *AR6+, -13, B, B ; 18245
+ ADD *AR6+, -13, B ; 18246
+ ADD *AR6+, -12, A, A ; 18247
+ ADD *AR6+, -12, A, B ; 18248
+ ADD *AR6+, -12, A ; 18249
+ ADD *AR6+, -12, B, A ; 18250
+ ADD *AR6+, -12, B, B ; 18251
+ ADD *AR6+, -12, B ; 18252
+ ADD *AR6+, -11, A, A ; 18253
+ ADD *AR6+, -11, A, B ; 18254
+ ADD *AR6+, -11, A ; 18255
+ ADD *AR6+, -11, B, A ; 18256
+ ADD *AR6+, -11, B, B ; 18257
+ ADD *AR6+, -11, B ; 18258
+ ADD *AR6+, -10, A, A ; 18259
+ ADD *AR6+, -10, A, B ; 18260
+ ADD *AR6+, -10, A ; 18261
+ ADD *AR6+, -10, B, A ; 18262
+ ADD *AR6+, -10, B, B ; 18263
+ ADD *AR6+, -10, B ; 18264
+ ADD *AR6+, -9, A, A ; 18265
+ ADD *AR6+, -9, A, B ; 18266
+ ADD *AR6+, -9, A ; 18267
+ ADD *AR6+, -9, B, A ; 18268
+ ADD *AR6+, -9, B, B ; 18269
+ ADD *AR6+, -9, B ; 18270
+ ADD *AR6+, -8, A, A ; 18271
+ ADD *AR6+, -8, A, B ; 18272
+ ADD *AR6+, -8, A ; 18273
+ ADD *AR6+, -8, B, A ; 18274
+ ADD *AR6+, -8, B, B ; 18275
+ ADD *AR6+, -8, B ; 18276
+ ADD *AR6+, -7, A, A ; 18277
+ ADD *AR6+, -7, A, B ; 18278
+ ADD *AR6+, -7, A ; 18279
+ ADD *AR6+, -7, B, A ; 18280
+ ADD *AR6+, -7, B, B ; 18281
+ ADD *AR6+, -7, B ; 18282
+ ADD *AR6+, -6, A, A ; 18283
+ ADD *AR6+, -6, A, B ; 18284
+ ADD *AR6+, -6, A ; 18285
+ ADD *AR6+, -6, B, A ; 18286
+ ADD *AR6+, -6, B, B ; 18287
+ ADD *AR6+, -6, B ; 18288
+ ADD *AR6+, -5, A, A ; 18289
+ ADD *AR6+, -5, A, B ; 18290
+ ADD *AR6+, -5, A ; 18291
+ ADD *AR6+, -5, B, A ; 18292
+ ADD *AR6+, -5, B, B ; 18293
+ ADD *AR6+, -5, B ; 18294
+ ADD *AR6+, -4, A, A ; 18295
+ ADD *AR6+, -4, A, B ; 18296
+ ADD *AR6+, -4, A ; 18297
+ ADD *AR6+, -4, B, A ; 18298
+ ADD *AR6+, -4, B, B ; 18299
+ ADD *AR6+, -4, B ; 18300
+ ADD *AR6+, -3, A, A ; 18301
+ ADD *AR6+, -3, A, B ; 18302
+ ADD *AR6+, -3, A ; 18303
+ ADD *AR6+, -3, B, A ; 18304
+ ADD *AR6+, -3, B, B ; 18305
+ ADD *AR6+, -3, B ; 18306
+ ADD *AR6+, -2, A, A ; 18307
+ ADD *AR6+, -2, A, B ; 18308
+ ADD *AR6+, -2, A ; 18309
+ ADD *AR6+, -2, B, A ; 18310
+ ADD *AR6+, -2, B, B ; 18311
+ ADD *AR6+, -2, B ; 18312
+ ADD *AR6+, -1, A, A ; 18313
+ ADD *AR6+, -1, A, B ; 18314
+ ADD *AR6+, -1, A ; 18315
+ ADD *AR6+, -1, B, A ; 18316
+ ADD *AR6+, -1, B, B ; 18317
+ ADD *AR6+, -1, B ; 18318
+ ADD *AR6+, 0, A, A ; 18319
+ ADD *AR6+, 0, A, B ; 18320
+ ADD *AR6+, 0, A ; 18321
+ ADD *AR6+, 0, B, A ; 18322
+ ADD *AR6+, 0, B, B ; 18323
+ ADD *AR6+, 0, B ; 18324
+ ADD *AR6+, 1, A, A ; 18325
+ ADD *AR6+, 1, A, B ; 18326
+ ADD *AR6+, 1, A ; 18327
+ ADD *AR6+, 1, B, A ; 18328
+ ADD *AR6+, 1, B, B ; 18329
+ ADD *AR6+, 1, B ; 18330
+ ADD *AR6+, 2, A, A ; 18331
+ ADD *AR6+, 2, A, B ; 18332
+ ADD *AR6+, 2, A ; 18333
+ ADD *AR6+, 2, B, A ; 18334
+ ADD *AR6+, 2, B, B ; 18335
+ ADD *AR6+, 2, B ; 18336
+ ADD *AR6+, 3, A, A ; 18337
+ ADD *AR6+, 3, A, B ; 18338
+ ADD *AR6+, 3, A ; 18339
+ ADD *AR6+, 3, B, A ; 18340
+ ADD *AR6+, 3, B, B ; 18341
+ ADD *AR6+, 3, B ; 18342
+ ADD *AR6+, 4, A, A ; 18343
+ ADD *AR6+, 4, A, B ; 18344
+ ADD *AR6+, 4, A ; 18345
+ ADD *AR6+, 4, B, A ; 18346
+ ADD *AR6+, 4, B, B ; 18347
+ ADD *AR6+, 4, B ; 18348
+ ADD *AR6+, 5, A, A ; 18349
+ ADD *AR6+, 5, A, B ; 18350
+ ADD *AR6+, 5, A ; 18351
+ ADD *AR6+, 5, B, A ; 18352
+ ADD *AR6+, 5, B, B ; 18353
+ ADD *AR6+, 5, B ; 18354
+ ADD *AR6+, 6, A, A ; 18355
+ ADD *AR6+, 6, A, B ; 18356
+ ADD *AR6+, 6, A ; 18357
+ ADD *AR6+, 6, B, A ; 18358
+ ADD *AR6+, 6, B, B ; 18359
+ ADD *AR6+, 6, B ; 18360
+ ADD *AR6+, 7, A, A ; 18361
+ ADD *AR6+, 7, A, B ; 18362
+ ADD *AR6+, 7, A ; 18363
+ ADD *AR6+, 7, B, A ; 18364
+ ADD *AR6+, 7, B, B ; 18365
+ ADD *AR6+, 7, B ; 18366
+ ADD *AR6+, 8, A, A ; 18367
+ ADD *AR6+, 8, A, B ; 18368
+ ADD *AR6+, 8, A ; 18369
+ ADD *AR6+, 8, B, A ; 18370
+ ADD *AR6+, 8, B, B ; 18371
+ ADD *AR6+, 8, B ; 18372
+ ADD *AR6+, 9, A, A ; 18373
+ ADD *AR6+, 9, A, B ; 18374
+ ADD *AR6+, 9, A ; 18375
+ ADD *AR6+, 9, B, A ; 18376
+ ADD *AR6+, 9, B, B ; 18377
+ ADD *AR6+, 9, B ; 18378
+ ADD *AR6+, 10, A, A ; 18379
+ ADD *AR6+, 10, A, B ; 18380
+ ADD *AR6+, 10, A ; 18381
+ ADD *AR6+, 10, B, A ; 18382
+ ADD *AR6+, 10, B, B ; 18383
+ ADD *AR6+, 10, B ; 18384
+ ADD *AR6+, 11, A, A ; 18385
+ ADD *AR6+, 11, A, B ; 18386
+ ADD *AR6+, 11, A ; 18387
+ ADD *AR6+, 11, B, A ; 18388
+ ADD *AR6+, 11, B, B ; 18389
+ ADD *AR6+, 11, B ; 18390
+ ADD *AR6+, 12, A, A ; 18391
+ ADD *AR6+, 12, A, B ; 18392
+ ADD *AR6+, 12, A ; 18393
+ ADD *AR6+, 12, B, A ; 18394
+ ADD *AR6+, 12, B, B ; 18395
+ ADD *AR6+, 12, B ; 18396
+ ADD *AR6+, 13, A, A ; 18397
+ ADD *AR6+, 13, A, B ; 18398
+ ADD *AR6+, 13, A ; 18399
+ ADD *AR6+, 13, B, A ; 18400
+ ADD *AR6+, 13, B, B ; 18401
+ ADD *AR6+, 13, B ; 18402
+ ADD *AR6+, 14, A, A ; 18403
+ ADD *AR6+, 14, A, B ; 18404
+ ADD *AR6+, 14, A ; 18405
+ ADD *AR6+, 14, B, A ; 18406
+ ADD *AR6+, 14, B, B ; 18407
+ ADD *AR6+, 14, B ; 18408
+ ADD *AR6+, 15, A, A ; 18409
+ ADD *AR6+, 15, A, B ; 18410
+ ADD *AR6+, 15, A ; 18411
+ ADD *AR6+, 15, B, A ; 18412
+ ADD *AR6+, 15, B, B ; 18413
+ ADD *AR6+, 15, B ; 18414
+ ADD *AR6-0B, A, A ; 18415
+ ADD *AR6-0B, A, B ; 18416
+ ADD *AR6-0B, A ; 18417
+ ADD *AR6-0B, B, A ; 18418
+ ADD *AR6-0B, B, B ; 18419
+ ADD *AR6-0B, B ; 18420
+ ADD *AR6-0B, -16, A, A ; 18421
+ ADD *AR6-0B, -16, A, B ; 18422
+ ADD *AR6-0B, -16, A ; 18423
+ ADD *AR6-0B, -16, B, A ; 18424
+ ADD *AR6-0B, -16, B, B ; 18425
+ ADD *AR6-0B, -16, B ; 18426
+ ADD *AR6-0B, -15, A, A ; 18427
+ ADD *AR6-0B, -15, A, B ; 18428
+ ADD *AR6-0B, -15, A ; 18429
+ ADD *AR6-0B, -15, B, A ; 18430
+ ADD *AR6-0B, -15, B, B ; 18431
+ ADD *AR6-0B, -15, B ; 18432
+ ADD *AR6-0B, -14, A, A ; 18433
+ ADD *AR6-0B, -14, A, B ; 18434
+ ADD *AR6-0B, -14, A ; 18435
+ ADD *AR6-0B, -14, B, A ; 18436
+ ADD *AR6-0B, -14, B, B ; 18437
+ ADD *AR6-0B, -14, B ; 18438
+ ADD *AR6-0B, -13, A, A ; 18439
+ ADD *AR6-0B, -13, A, B ; 18440
+ ADD *AR6-0B, -13, A ; 18441
+ ADD *AR6-0B, -13, B, A ; 18442
+ ADD *AR6-0B, -13, B, B ; 18443
+ ADD *AR6-0B, -13, B ; 18444
+ ADD *AR6-0B, -12, A, A ; 18445
+ ADD *AR6-0B, -12, A, B ; 18446
+ ADD *AR6-0B, -12, A ; 18447
+ ADD *AR6-0B, -12, B, A ; 18448
+ ADD *AR6-0B, -12, B, B ; 18449
+ ADD *AR6-0B, -12, B ; 18450
+ ADD *AR6-0B, -11, A, A ; 18451
+ ADD *AR6-0B, -11, A, B ; 18452
+ ADD *AR6-0B, -11, A ; 18453
+ ADD *AR6-0B, -11, B, A ; 18454
+ ADD *AR6-0B, -11, B, B ; 18455
+ ADD *AR6-0B, -11, B ; 18456
+ ADD *AR6-0B, -10, A, A ; 18457
+ ADD *AR6-0B, -10, A, B ; 18458
+ ADD *AR6-0B, -10, A ; 18459
+ ADD *AR6-0B, -10, B, A ; 18460
+ ADD *AR6-0B, -10, B, B ; 18461
+ ADD *AR6-0B, -10, B ; 18462
+ ADD *AR6-0B, -9, A, A ; 18463
+ ADD *AR6-0B, -9, A, B ; 18464
+ ADD *AR6-0B, -9, A ; 18465
+ ADD *AR6-0B, -9, B, A ; 18466
+ ADD *AR6-0B, -9, B, B ; 18467
+ ADD *AR6-0B, -9, B ; 18468
+ ADD *AR6-0B, -8, A, A ; 18469
+ ADD *AR6-0B, -8, A, B ; 18470
+ ADD *AR6-0B, -8, A ; 18471
+ ADD *AR6-0B, -8, B, A ; 18472
+ ADD *AR6-0B, -8, B, B ; 18473
+ ADD *AR6-0B, -8, B ; 18474
+ ADD *AR6-0B, -7, A, A ; 18475
+ ADD *AR6-0B, -7, A, B ; 18476
+ ADD *AR6-0B, -7, A ; 18477
+ ADD *AR6-0B, -7, B, A ; 18478
+ ADD *AR6-0B, -7, B, B ; 18479
+ ADD *AR6-0B, -7, B ; 18480
+ ADD *AR6-0B, -6, A, A ; 18481
+ ADD *AR6-0B, -6, A, B ; 18482
+ ADD *AR6-0B, -6, A ; 18483
+ ADD *AR6-0B, -6, B, A ; 18484
+ ADD *AR6-0B, -6, B, B ; 18485
+ ADD *AR6-0B, -6, B ; 18486
+ ADD *AR6-0B, -5, A, A ; 18487
+ ADD *AR6-0B, -5, A, B ; 18488
+ ADD *AR6-0B, -5, A ; 18489
+ ADD *AR6-0B, -5, B, A ; 18490
+ ADD *AR6-0B, -5, B, B ; 18491
+ ADD *AR6-0B, -5, B ; 18492
+ ADD *AR6-0B, -4, A, A ; 18493
+ ADD *AR6-0B, -4, A, B ; 18494
+ ADD *AR6-0B, -4, A ; 18495
+ ADD *AR6-0B, -4, B, A ; 18496
+ ADD *AR6-0B, -4, B, B ; 18497
+ ADD *AR6-0B, -4, B ; 18498
+ ADD *AR6-0B, -3, A, A ; 18499
+ ADD *AR6-0B, -3, A, B ; 18500
+ ADD *AR6-0B, -3, A ; 18501
+ ADD *AR6-0B, -3, B, A ; 18502
+ ADD *AR6-0B, -3, B, B ; 18503
+ ADD *AR6-0B, -3, B ; 18504
+ ADD *AR6-0B, -2, A, A ; 18505
+ ADD *AR6-0B, -2, A, B ; 18506
+ ADD *AR6-0B, -2, A ; 18507
+ ADD *AR6-0B, -2, B, A ; 18508
+ ADD *AR6-0B, -2, B, B ; 18509
+ ADD *AR6-0B, -2, B ; 18510
+ ADD *AR6-0B, -1, A, A ; 18511
+ ADD *AR6-0B, -1, A, B ; 18512
+ ADD *AR6-0B, -1, A ; 18513
+ ADD *AR6-0B, -1, B, A ; 18514
+ ADD *AR6-0B, -1, B, B ; 18515
+ ADD *AR6-0B, -1, B ; 18516
+ ADD *AR6-0B, 0, A, A ; 18517
+ ADD *AR6-0B, 0, A, B ; 18518
+ ADD *AR6-0B, 0, A ; 18519
+ ADD *AR6-0B, 0, B, A ; 18520
+ ADD *AR6-0B, 0, B, B ; 18521
+ ADD *AR6-0B, 0, B ; 18522
+ ADD *AR6-0B, 1, A, A ; 18523
+ ADD *AR6-0B, 1, A, B ; 18524
+ ADD *AR6-0B, 1, A ; 18525
+ ADD *AR6-0B, 1, B, A ; 18526
+ ADD *AR6-0B, 1, B, B ; 18527
+ ADD *AR6-0B, 1, B ; 18528
+ ADD *AR6-0B, 2, A, A ; 18529
+ ADD *AR6-0B, 2, A, B ; 18530
+ ADD *AR6-0B, 2, A ; 18531
+ ADD *AR6-0B, 2, B, A ; 18532
+ ADD *AR6-0B, 2, B, B ; 18533
+ ADD *AR6-0B, 2, B ; 18534
+ ADD *AR6-0B, 3, A, A ; 18535
+ ADD *AR6-0B, 3, A, B ; 18536
+ ADD *AR6-0B, 3, A ; 18537
+ ADD *AR6-0B, 3, B, A ; 18538
+ ADD *AR6-0B, 3, B, B ; 18539
+ ADD *AR6-0B, 3, B ; 18540
+ ADD *AR6-0B, 4, A, A ; 18541
+ ADD *AR6-0B, 4, A, B ; 18542
+ ADD *AR6-0B, 4, A ; 18543
+ ADD *AR6-0B, 4, B, A ; 18544
+ ADD *AR6-0B, 4, B, B ; 18545
+ ADD *AR6-0B, 4, B ; 18546
+ ADD *AR6-0B, 5, A, A ; 18547
+ ADD *AR6-0B, 5, A, B ; 18548
+ ADD *AR6-0B, 5, A ; 18549
+ ADD *AR6-0B, 5, B, A ; 18550
+ ADD *AR6-0B, 5, B, B ; 18551
+ ADD *AR6-0B, 5, B ; 18552
+ ADD *AR6-0B, 6, A, A ; 18553
+ ADD *AR6-0B, 6, A, B ; 18554
+ ADD *AR6-0B, 6, A ; 18555
+ ADD *AR6-0B, 6, B, A ; 18556
+ ADD *AR6-0B, 6, B, B ; 18557
+ ADD *AR6-0B, 6, B ; 18558
+ ADD *AR6-0B, 7, A, A ; 18559
+ ADD *AR6-0B, 7, A, B ; 18560
+ ADD *AR6-0B, 7, A ; 18561
+ ADD *AR6-0B, 7, B, A ; 18562
+ ADD *AR6-0B, 7, B, B ; 18563
+ ADD *AR6-0B, 7, B ; 18564
+ ADD *AR6-0B, 8, A, A ; 18565
+ ADD *AR6-0B, 8, A, B ; 18566
+ ADD *AR6-0B, 8, A ; 18567
+ ADD *AR6-0B, 8, B, A ; 18568
+ ADD *AR6-0B, 8, B, B ; 18569
+ ADD *AR6-0B, 8, B ; 18570
+ ADD *AR6-0B, 9, A, A ; 18571
+ ADD *AR6-0B, 9, A, B ; 18572
+ ADD *AR6-0B, 9, A ; 18573
+ ADD *AR6-0B, 9, B, A ; 18574
+ ADD *AR6-0B, 9, B, B ; 18575
+ ADD *AR6-0B, 9, B ; 18576
+ ADD *AR6-0B, 10, A, A ; 18577
+ ADD *AR6-0B, 10, A, B ; 18578
+ ADD *AR6-0B, 10, A ; 18579
+ ADD *AR6-0B, 10, B, A ; 18580
+ ADD *AR6-0B, 10, B, B ; 18581
+ ADD *AR6-0B, 10, B ; 18582
+ ADD *AR6-0B, 11, A, A ; 18583
+ ADD *AR6-0B, 11, A, B ; 18584
+ ADD *AR6-0B, 11, A ; 18585
+ ADD *AR6-0B, 11, B, A ; 18586
+ ADD *AR6-0B, 11, B, B ; 18587
+ ADD *AR6-0B, 11, B ; 18588
+ ADD *AR6-0B, 12, A, A ; 18589
+ ADD *AR6-0B, 12, A, B ; 18590
+ ADD *AR6-0B, 12, A ; 18591
+ ADD *AR6-0B, 12, B, A ; 18592
+ ADD *AR6-0B, 12, B, B ; 18593
+ ADD *AR6-0B, 12, B ; 18594
+ ADD *AR6-0B, 13, A, A ; 18595
+ ADD *AR6-0B, 13, A, B ; 18596
+ ADD *AR6-0B, 13, A ; 18597
+ ADD *AR6-0B, 13, B, A ; 18598
+ ADD *AR6-0B, 13, B, B ; 18599
+ ADD *AR6-0B, 13, B ; 18600
+ ADD *AR6-0B, 14, A, A ; 18601
+ ADD *AR6-0B, 14, A, B ; 18602
+ ADD *AR6-0B, 14, A ; 18603
+ ADD *AR6-0B, 14, B, A ; 18604
+ ADD *AR6-0B, 14, B, B ; 18605
+ ADD *AR6-0B, 14, B ; 18606
+ ADD *AR6-0B, 15, A, A ; 18607
+ ADD *AR6-0B, 15, A, B ; 18608
+ ADD *AR6-0B, 15, A ; 18609
+ ADD *AR6-0B, 15, B, A ; 18610
+ ADD *AR6-0B, 15, B, B ; 18611
+ ADD *AR6-0B, 15, B ; 18612
+ ADD *AR6-0, A, A ; 18613
+ ADD *AR6-0, A, B ; 18614
+ ADD *AR6-0, A ; 18615
+ ADD *AR6-0, B, A ; 18616
+ ADD *AR6-0, B, B ; 18617
+ ADD *AR6-0, B ; 18618
+ ADD *AR6-0, -16, A, A ; 18619
+ ADD *AR6-0, -16, A, B ; 18620
+ ADD *AR6-0, -16, A ; 18621
+ ADD *AR6-0, -16, B, A ; 18622
+ ADD *AR6-0, -16, B, B ; 18623
+ ADD *AR6-0, -16, B ; 18624
+ ADD *AR6-0, -15, A, A ; 18625
+ ADD *AR6-0, -15, A, B ; 18626
+ ADD *AR6-0, -15, A ; 18627
+ ADD *AR6-0, -15, B, A ; 18628
+ ADD *AR6-0, -15, B, B ; 18629
+ ADD *AR6-0, -15, B ; 18630
+ ADD *AR6-0, -14, A, A ; 18631
+ ADD *AR6-0, -14, A, B ; 18632
+ ADD *AR6-0, -14, A ; 18633
+ ADD *AR6-0, -14, B, A ; 18634
+ ADD *AR6-0, -14, B, B ; 18635
+ ADD *AR6-0, -14, B ; 18636
+ ADD *AR6-0, -13, A, A ; 18637
+ ADD *AR6-0, -13, A, B ; 18638
+ ADD *AR6-0, -13, A ; 18639
+ ADD *AR6-0, -13, B, A ; 18640
+ ADD *AR6-0, -13, B, B ; 18641
+ ADD *AR6-0, -13, B ; 18642
+ ADD *AR6-0, -12, A, A ; 18643
+ ADD *AR6-0, -12, A, B ; 18644
+ ADD *AR6-0, -12, A ; 18645
+ ADD *AR6-0, -12, B, A ; 18646
+ ADD *AR6-0, -12, B, B ; 18647
+ ADD *AR6-0, -12, B ; 18648
+ ADD *AR6-0, -11, A, A ; 18649
+ ADD *AR6-0, -11, A, B ; 18650
+ ADD *AR6-0, -11, A ; 18651
+ ADD *AR6-0, -11, B, A ; 18652
+ ADD *AR6-0, -11, B, B ; 18653
+ ADD *AR6-0, -11, B ; 18654
+ ADD *AR6-0, -10, A, A ; 18655
+ ADD *AR6-0, -10, A, B ; 18656
+ ADD *AR6-0, -10, A ; 18657
+ ADD *AR6-0, -10, B, A ; 18658
+ ADD *AR6-0, -10, B, B ; 18659
+ ADD *AR6-0, -10, B ; 18660
+ ADD *AR6-0, -9, A, A ; 18661
+ ADD *AR6-0, -9, A, B ; 18662
+ ADD *AR6-0, -9, A ; 18663
+ ADD *AR6-0, -9, B, A ; 18664
+ ADD *AR6-0, -9, B, B ; 18665
+ ADD *AR6-0, -9, B ; 18666
+ ADD *AR6-0, -8, A, A ; 18667
+ ADD *AR6-0, -8, A, B ; 18668
+ ADD *AR6-0, -8, A ; 18669
+ ADD *AR6-0, -8, B, A ; 18670
+ ADD *AR6-0, -8, B, B ; 18671
+ ADD *AR6-0, -8, B ; 18672
+ ADD *AR6-0, -7, A, A ; 18673
+ ADD *AR6-0, -7, A, B ; 18674
+ ADD *AR6-0, -7, A ; 18675
+ ADD *AR6-0, -7, B, A ; 18676
+ ADD *AR6-0, -7, B, B ; 18677
+ ADD *AR6-0, -7, B ; 18678
+ ADD *AR6-0, -6, A, A ; 18679
+ ADD *AR6-0, -6, A, B ; 18680
+ ADD *AR6-0, -6, A ; 18681
+ ADD *AR6-0, -6, B, A ; 18682
+ ADD *AR6-0, -6, B, B ; 18683
+ ADD *AR6-0, -6, B ; 18684
+ ADD *AR6-0, -5, A, A ; 18685
+ ADD *AR6-0, -5, A, B ; 18686
+ ADD *AR6-0, -5, A ; 18687
+ ADD *AR6-0, -5, B, A ; 18688
+ ADD *AR6-0, -5, B, B ; 18689
+ ADD *AR6-0, -5, B ; 18690
+ ADD *AR6-0, -4, A, A ; 18691
+ ADD *AR6-0, -4, A, B ; 18692
+ ADD *AR6-0, -4, A ; 18693
+ ADD *AR6-0, -4, B, A ; 18694
+ ADD *AR6-0, -4, B, B ; 18695
+ ADD *AR6-0, -4, B ; 18696
+ ADD *AR6-0, -3, A, A ; 18697
+ ADD *AR6-0, -3, A, B ; 18698
+ ADD *AR6-0, -3, A ; 18699
+ ADD *AR6-0, -3, B, A ; 18700
+ ADD *AR6-0, -3, B, B ; 18701
+ ADD *AR6-0, -3, B ; 18702
+ ADD *AR6-0, -2, A, A ; 18703
+ ADD *AR6-0, -2, A, B ; 18704
+ ADD *AR6-0, -2, A ; 18705
+ ADD *AR6-0, -2, B, A ; 18706
+ ADD *AR6-0, -2, B, B ; 18707
+ ADD *AR6-0, -2, B ; 18708
+ ADD *AR6-0, -1, A, A ; 18709
+ ADD *AR6-0, -1, A, B ; 18710
+ ADD *AR6-0, -1, A ; 18711
+ ADD *AR6-0, -1, B, A ; 18712
+ ADD *AR6-0, -1, B, B ; 18713
+ ADD *AR6-0, -1, B ; 18714
+ ADD *AR6-0, 0, A, A ; 18715
+ ADD *AR6-0, 0, A, B ; 18716
+ ADD *AR6-0, 0, A ; 18717
+ ADD *AR6-0, 0, B, A ; 18718
+ ADD *AR6-0, 0, B, B ; 18719
+ ADD *AR6-0, 0, B ; 18720
+ ADD *AR6-0, 1, A, A ; 18721
+ ADD *AR6-0, 1, A, B ; 18722
+ ADD *AR6-0, 1, A ; 18723
+ ADD *AR6-0, 1, B, A ; 18724
+ ADD *AR6-0, 1, B, B ; 18725
+ ADD *AR6-0, 1, B ; 18726
+ ADD *AR6-0, 2, A, A ; 18727
+ ADD *AR6-0, 2, A, B ; 18728
+ ADD *AR6-0, 2, A ; 18729
+ ADD *AR6-0, 2, B, A ; 18730
+ ADD *AR6-0, 2, B, B ; 18731
+ ADD *AR6-0, 2, B ; 18732
+ ADD *AR6-0, 3, A, A ; 18733
+ ADD *AR6-0, 3, A, B ; 18734
+ ADD *AR6-0, 3, A ; 18735
+ ADD *AR6-0, 3, B, A ; 18736
+ ADD *AR6-0, 3, B, B ; 18737
+ ADD *AR6-0, 3, B ; 18738
+ ADD *AR6-0, 4, A, A ; 18739
+ ADD *AR6-0, 4, A, B ; 18740
+ ADD *AR6-0, 4, A ; 18741
+ ADD *AR6-0, 4, B, A ; 18742
+ ADD *AR6-0, 4, B, B ; 18743
+ ADD *AR6-0, 4, B ; 18744
+ ADD *AR6-0, 5, A, A ; 18745
+ ADD *AR6-0, 5, A, B ; 18746
+ ADD *AR6-0, 5, A ; 18747
+ ADD *AR6-0, 5, B, A ; 18748
+ ADD *AR6-0, 5, B, B ; 18749
+ ADD *AR6-0, 5, B ; 18750
+ ADD *AR6-0, 6, A, A ; 18751
+ ADD *AR6-0, 6, A, B ; 18752
+ ADD *AR6-0, 6, A ; 18753
+ ADD *AR6-0, 6, B, A ; 18754
+ ADD *AR6-0, 6, B, B ; 18755
+ ADD *AR6-0, 6, B ; 18756
+ ADD *AR6-0, 7, A, A ; 18757
+ ADD *AR6-0, 7, A, B ; 18758
+ ADD *AR6-0, 7, A ; 18759
+ ADD *AR6-0, 7, B, A ; 18760
+ ADD *AR6-0, 7, B, B ; 18761
+ ADD *AR6-0, 7, B ; 18762
+ ADD *AR6-0, 8, A, A ; 18763
+ ADD *AR6-0, 8, A, B ; 18764
+ ADD *AR6-0, 8, A ; 18765
+ ADD *AR6-0, 8, B, A ; 18766
+ ADD *AR6-0, 8, B, B ; 18767
+ ADD *AR6-0, 8, B ; 18768
+ ADD *AR6-0, 9, A, A ; 18769
+ ADD *AR6-0, 9, A, B ; 18770
+ ADD *AR6-0, 9, A ; 18771
+ ADD *AR6-0, 9, B, A ; 18772
+ ADD *AR6-0, 9, B, B ; 18773
+ ADD *AR6-0, 9, B ; 18774
+ ADD *AR6-0, 10, A, A ; 18775
+ ADD *AR6-0, 10, A, B ; 18776
+ ADD *AR6-0, 10, A ; 18777
+ ADD *AR6-0, 10, B, A ; 18778
+ ADD *AR6-0, 10, B, B ; 18779
+ ADD *AR6-0, 10, B ; 18780
+ ADD *AR6-0, 11, A, A ; 18781
+ ADD *AR6-0, 11, A, B ; 18782
+ ADD *AR6-0, 11, A ; 18783
+ ADD *AR6-0, 11, B, A ; 18784
+ ADD *AR6-0, 11, B, B ; 18785
+ ADD *AR6-0, 11, B ; 18786
+ ADD *AR6-0, 12, A, A ; 18787
+ ADD *AR6-0, 12, A, B ; 18788
+ ADD *AR6-0, 12, A ; 18789
+ ADD *AR6-0, 12, B, A ; 18790
+ ADD *AR6-0, 12, B, B ; 18791
+ ADD *AR6-0, 12, B ; 18792
+ ADD *AR6-0, 13, A, A ; 18793
+ ADD *AR6-0, 13, A, B ; 18794
+ ADD *AR6-0, 13, A ; 18795
+ ADD *AR6-0, 13, B, A ; 18796
+ ADD *AR6-0, 13, B, B ; 18797
+ ADD *AR6-0, 13, B ; 18798
+ ADD *AR6-0, 14, A, A ; 18799
+ ADD *AR6-0, 14, A, B ; 18800
+ ADD *AR6-0, 14, A ; 18801
+ ADD *AR6-0, 14, B, A ; 18802
+ ADD *AR6-0, 14, B, B ; 18803
+ ADD *AR6-0, 14, B ; 18804
+ ADD *AR6-0, 15, A, A ; 18805
+ ADD *AR6-0, 15, A, B ; 18806
+ ADD *AR6-0, 15, A ; 18807
+ ADD *AR6-0, 15, B, A ; 18808
+ ADD *AR6-0, 15, B, B ; 18809
+ ADD *AR6-0, 15, B ; 18810
+ ADD *AR6+0, A, A ; 18811
+ ADD *AR6+0, A, B ; 18812
+ ADD *AR6+0, A ; 18813
+ ADD *AR6+0, B, A ; 18814
+ ADD *AR6+0, B, B ; 18815
+ ADD *AR6+0, B ; 18816
+ ADD *AR6+0, -16, A, A ; 18817
+ ADD *AR6+0, -16, A, B ; 18818
+ ADD *AR6+0, -16, A ; 18819
+ ADD *AR6+0, -16, B, A ; 18820
+ ADD *AR6+0, -16, B, B ; 18821
+ ADD *AR6+0, -16, B ; 18822
+ ADD *AR6+0, -15, A, A ; 18823
+ ADD *AR6+0, -15, A, B ; 18824
+ ADD *AR6+0, -15, A ; 18825
+ ADD *AR6+0, -15, B, A ; 18826
+ ADD *AR6+0, -15, B, B ; 18827
+ ADD *AR6+0, -15, B ; 18828
+ ADD *AR6+0, -14, A, A ; 18829
+ ADD *AR6+0, -14, A, B ; 18830
+ ADD *AR6+0, -14, A ; 18831
+ ADD *AR6+0, -14, B, A ; 18832
+ ADD *AR6+0, -14, B, B ; 18833
+ ADD *AR6+0, -14, B ; 18834
+ ADD *AR6+0, -13, A, A ; 18835
+ ADD *AR6+0, -13, A, B ; 18836
+ ADD *AR6+0, -13, A ; 18837
+ ADD *AR6+0, -13, B, A ; 18838
+ ADD *AR6+0, -13, B, B ; 18839
+ ADD *AR6+0, -13, B ; 18840
+ ADD *AR6+0, -12, A, A ; 18841
+ ADD *AR6+0, -12, A, B ; 18842
+ ADD *AR6+0, -12, A ; 18843
+ ADD *AR6+0, -12, B, A ; 18844
+ ADD *AR6+0, -12, B, B ; 18845
+ ADD *AR6+0, -12, B ; 18846
+ ADD *AR6+0, -11, A, A ; 18847
+ ADD *AR6+0, -11, A, B ; 18848
+ ADD *AR6+0, -11, A ; 18849
+ ADD *AR6+0, -11, B, A ; 18850
+ ADD *AR6+0, -11, B, B ; 18851
+ ADD *AR6+0, -11, B ; 18852
+ ADD *AR6+0, -10, A, A ; 18853
+ ADD *AR6+0, -10, A, B ; 18854
+ ADD *AR6+0, -10, A ; 18855
+ ADD *AR6+0, -10, B, A ; 18856
+ ADD *AR6+0, -10, B, B ; 18857
+ ADD *AR6+0, -10, B ; 18858
+ ADD *AR6+0, -9, A, A ; 18859
+ ADD *AR6+0, -9, A, B ; 18860
+ ADD *AR6+0, -9, A ; 18861
+ ADD *AR6+0, -9, B, A ; 18862
+ ADD *AR6+0, -9, B, B ; 18863
+ ADD *AR6+0, -9, B ; 18864
+ ADD *AR6+0, -8, A, A ; 18865
+ ADD *AR6+0, -8, A, B ; 18866
+ ADD *AR6+0, -8, A ; 18867
+ ADD *AR6+0, -8, B, A ; 18868
+ ADD *AR6+0, -8, B, B ; 18869
+ ADD *AR6+0, -8, B ; 18870
+ ADD *AR6+0, -7, A, A ; 18871
+ ADD *AR6+0, -7, A, B ; 18872
+ ADD *AR6+0, -7, A ; 18873
+ ADD *AR6+0, -7, B, A ; 18874
+ ADD *AR6+0, -7, B, B ; 18875
+ ADD *AR6+0, -7, B ; 18876
+ ADD *AR6+0, -6, A, A ; 18877
+ ADD *AR6+0, -6, A, B ; 18878
+ ADD *AR6+0, -6, A ; 18879
+ ADD *AR6+0, -6, B, A ; 18880
+ ADD *AR6+0, -6, B, B ; 18881
+ ADD *AR6+0, -6, B ; 18882
+ ADD *AR6+0, -5, A, A ; 18883
+ ADD *AR6+0, -5, A, B ; 18884
+ ADD *AR6+0, -5, A ; 18885
+ ADD *AR6+0, -5, B, A ; 18886
+ ADD *AR6+0, -5, B, B ; 18887
+ ADD *AR6+0, -5, B ; 18888
+ ADD *AR6+0, -4, A, A ; 18889
+ ADD *AR6+0, -4, A, B ; 18890
+ ADD *AR6+0, -4, A ; 18891
+ ADD *AR6+0, -4, B, A ; 18892
+ ADD *AR6+0, -4, B, B ; 18893
+ ADD *AR6+0, -4, B ; 18894
+ ADD *AR6+0, -3, A, A ; 18895
+ ADD *AR6+0, -3, A, B ; 18896
+ ADD *AR6+0, -3, A ; 18897
+ ADD *AR6+0, -3, B, A ; 18898
+ ADD *AR6+0, -3, B, B ; 18899
+ ADD *AR6+0, -3, B ; 18900
+ ADD *AR6+0, -2, A, A ; 18901
+ ADD *AR6+0, -2, A, B ; 18902
+ ADD *AR6+0, -2, A ; 18903
+ ADD *AR6+0, -2, B, A ; 18904
+ ADD *AR6+0, -2, B, B ; 18905
+ ADD *AR6+0, -2, B ; 18906
+ ADD *AR6+0, -1, A, A ; 18907
+ ADD *AR6+0, -1, A, B ; 18908
+ ADD *AR6+0, -1, A ; 18909
+ ADD *AR6+0, -1, B, A ; 18910
+ ADD *AR6+0, -1, B, B ; 18911
+ ADD *AR6+0, -1, B ; 18912
+ ADD *AR6+0, 0, A, A ; 18913
+ ADD *AR6+0, 0, A, B ; 18914
+ ADD *AR6+0, 0, A ; 18915
+ ADD *AR6+0, 0, B, A ; 18916
+ ADD *AR6+0, 0, B, B ; 18917
+ ADD *AR6+0, 0, B ; 18918
+ ADD *AR6+0, 1, A, A ; 18919
+ ADD *AR6+0, 1, A, B ; 18920
+ ADD *AR6+0, 1, A ; 18921
+ ADD *AR6+0, 1, B, A ; 18922
+ ADD *AR6+0, 1, B, B ; 18923
+ ADD *AR6+0, 1, B ; 18924
+ ADD *AR6+0, 2, A, A ; 18925
+ ADD *AR6+0, 2, A, B ; 18926
+ ADD *AR6+0, 2, A ; 18927
+ ADD *AR6+0, 2, B, A ; 18928
+ ADD *AR6+0, 2, B, B ; 18929
+ ADD *AR6+0, 2, B ; 18930
+ ADD *AR6+0, 3, A, A ; 18931
+ ADD *AR6+0, 3, A, B ; 18932
+ ADD *AR6+0, 3, A ; 18933
+ ADD *AR6+0, 3, B, A ; 18934
+ ADD *AR6+0, 3, B, B ; 18935
+ ADD *AR6+0, 3, B ; 18936
+ ADD *AR6+0, 4, A, A ; 18937
+ ADD *AR6+0, 4, A, B ; 18938
+ ADD *AR6+0, 4, A ; 18939
+ ADD *AR6+0, 4, B, A ; 18940
+ ADD *AR6+0, 4, B, B ; 18941
+ ADD *AR6+0, 4, B ; 18942
+ ADD *AR6+0, 5, A, A ; 18943
+ ADD *AR6+0, 5, A, B ; 18944
+ ADD *AR6+0, 5, A ; 18945
+ ADD *AR6+0, 5, B, A ; 18946
+ ADD *AR6+0, 5, B, B ; 18947
+ ADD *AR6+0, 5, B ; 18948
+ ADD *AR6+0, 6, A, A ; 18949
+ ADD *AR6+0, 6, A, B ; 18950
+ ADD *AR6+0, 6, A ; 18951
+ ADD *AR6+0, 6, B, A ; 18952
+ ADD *AR6+0, 6, B, B ; 18953
+ ADD *AR6+0, 6, B ; 18954
+ ADD *AR6+0, 7, A, A ; 18955
+ ADD *AR6+0, 7, A, B ; 18956
+ ADD *AR6+0, 7, A ; 18957
+ ADD *AR6+0, 7, B, A ; 18958
+ ADD *AR6+0, 7, B, B ; 18959
+ ADD *AR6+0, 7, B ; 18960
+ ADD *AR6+0, 8, A, A ; 18961
+ ADD *AR6+0, 8, A, B ; 18962
+ ADD *AR6+0, 8, A ; 18963
+ ADD *AR6+0, 8, B, A ; 18964
+ ADD *AR6+0, 8, B, B ; 18965
+ ADD *AR6+0, 8, B ; 18966
+ ADD *AR6+0, 9, A, A ; 18967
+ ADD *AR6+0, 9, A, B ; 18968
+ ADD *AR6+0, 9, A ; 18969
+ ADD *AR6+0, 9, B, A ; 18970
+ ADD *AR6+0, 9, B, B ; 18971
+ ADD *AR6+0, 9, B ; 18972
+ ADD *AR6+0, 10, A, A ; 18973
+ ADD *AR6+0, 10, A, B ; 18974
+ ADD *AR6+0, 10, A ; 18975
+ ADD *AR6+0, 10, B, A ; 18976
+ ADD *AR6+0, 10, B, B ; 18977
+ ADD *AR6+0, 10, B ; 18978
+ ADD *AR6+0, 11, A, A ; 18979
+ ADD *AR6+0, 11, A, B ; 18980
+ ADD *AR6+0, 11, A ; 18981
+ ADD *AR6+0, 11, B, A ; 18982
+ ADD *AR6+0, 11, B, B ; 18983
+ ADD *AR6+0, 11, B ; 18984
+ ADD *AR6+0, 12, A, A ; 18985
+ ADD *AR6+0, 12, A, B ; 18986
+ ADD *AR6+0, 12, A ; 18987
+ ADD *AR6+0, 12, B, A ; 18988
+ ADD *AR6+0, 12, B, B ; 18989
+ ADD *AR6+0, 12, B ; 18990
+ ADD *AR6+0, 13, A, A ; 18991
+ ADD *AR6+0, 13, A, B ; 18992
+ ADD *AR6+0, 13, A ; 18993
+ ADD *AR6+0, 13, B, A ; 18994
+ ADD *AR6+0, 13, B, B ; 18995
+ ADD *AR6+0, 13, B ; 18996
+ ADD *AR6+0, 14, A, A ; 18997
+ ADD *AR6+0, 14, A, B ; 18998
+ ADD *AR6+0, 14, A ; 18999
+ ADD *AR6+0, 14, B, A ; 19000
+ ADD *AR6+0, 14, B, B ; 19001
+ ADD *AR6+0, 14, B ; 19002
+ ADD *AR6+0, 15, A, A ; 19003
+ ADD *AR6+0, 15, A, B ; 19004
+ ADD *AR6+0, 15, A ; 19005
+ ADD *AR6+0, 15, B, A ; 19006
+ ADD *AR6+0, 15, B, B ; 19007
+ ADD *AR6+0, 15, B ; 19008
+ ADD *AR6+0B, A, A ; 19009
+ ADD *AR6+0B, A, B ; 19010
+ ADD *AR6+0B, A ; 19011
+ ADD *AR6+0B, B, A ; 19012
+ ADD *AR6+0B, B, B ; 19013
+ ADD *AR6+0B, B ; 19014
+ ADD *AR6+0B, -16, A, A ; 19015
+ ADD *AR6+0B, -16, A, B ; 19016
+ ADD *AR6+0B, -16, A ; 19017
+ ADD *AR6+0B, -16, B, A ; 19018
+ ADD *AR6+0B, -16, B, B ; 19019
+ ADD *AR6+0B, -16, B ; 19020
+ ADD *AR6+0B, -15, A, A ; 19021
+ ADD *AR6+0B, -15, A, B ; 19022
+ ADD *AR6+0B, -15, A ; 19023
+ ADD *AR6+0B, -15, B, A ; 19024
+ ADD *AR6+0B, -15, B, B ; 19025
+ ADD *AR6+0B, -15, B ; 19026
+ ADD *AR6+0B, -14, A, A ; 19027
+ ADD *AR6+0B, -14, A, B ; 19028
+ ADD *AR6+0B, -14, A ; 19029
+ ADD *AR6+0B, -14, B, A ; 19030
+ ADD *AR6+0B, -14, B, B ; 19031
+ ADD *AR6+0B, -14, B ; 19032
+ ADD *AR6+0B, -13, A, A ; 19033
+ ADD *AR6+0B, -13, A, B ; 19034
+ ADD *AR6+0B, -13, A ; 19035
+ ADD *AR6+0B, -13, B, A ; 19036
+ ADD *AR6+0B, -13, B, B ; 19037
+ ADD *AR6+0B, -13, B ; 19038
+ ADD *AR6+0B, -12, A, A ; 19039
+ ADD *AR6+0B, -12, A, B ; 19040
+ ADD *AR6+0B, -12, A ; 19041
+ ADD *AR6+0B, -12, B, A ; 19042
+ ADD *AR6+0B, -12, B, B ; 19043
+ ADD *AR6+0B, -12, B ; 19044
+ ADD *AR6+0B, -11, A, A ; 19045
+ ADD *AR6+0B, -11, A, B ; 19046
+ ADD *AR6+0B, -11, A ; 19047
+ ADD *AR6+0B, -11, B, A ; 19048
+ ADD *AR6+0B, -11, B, B ; 19049
+ ADD *AR6+0B, -11, B ; 19050
+ ADD *AR6+0B, -10, A, A ; 19051
+ ADD *AR6+0B, -10, A, B ; 19052
+ ADD *AR6+0B, -10, A ; 19053
+ ADD *AR6+0B, -10, B, A ; 19054
+ ADD *AR6+0B, -10, B, B ; 19055
+ ADD *AR6+0B, -10, B ; 19056
+ ADD *AR6+0B, -9, A, A ; 19057
+ ADD *AR6+0B, -9, A, B ; 19058
+ ADD *AR6+0B, -9, A ; 19059
+ ADD *AR6+0B, -9, B, A ; 19060
+ ADD *AR6+0B, -9, B, B ; 19061
+ ADD *AR6+0B, -9, B ; 19062
+ ADD *AR6+0B, -8, A, A ; 19063
+ ADD *AR6+0B, -8, A, B ; 19064
+ ADD *AR6+0B, -8, A ; 19065
+ ADD *AR6+0B, -8, B, A ; 19066
+ ADD *AR6+0B, -8, B, B ; 19067
+ ADD *AR6+0B, -8, B ; 19068
+ ADD *AR6+0B, -7, A, A ; 19069
+ ADD *AR6+0B, -7, A, B ; 19070
+ ADD *AR6+0B, -7, A ; 19071
+ ADD *AR6+0B, -7, B, A ; 19072
+ ADD *AR6+0B, -7, B, B ; 19073
+ ADD *AR6+0B, -7, B ; 19074
+ ADD *AR6+0B, -6, A, A ; 19075
+ ADD *AR6+0B, -6, A, B ; 19076
+ ADD *AR6+0B, -6, A ; 19077
+ ADD *AR6+0B, -6, B, A ; 19078
+ ADD *AR6+0B, -6, B, B ; 19079
+ ADD *AR6+0B, -6, B ; 19080
+ ADD *AR6+0B, -5, A, A ; 19081
+ ADD *AR6+0B, -5, A, B ; 19082
+ ADD *AR6+0B, -5, A ; 19083
+ ADD *AR6+0B, -5, B, A ; 19084
+ ADD *AR6+0B, -5, B, B ; 19085
+ ADD *AR6+0B, -5, B ; 19086
+ ADD *AR6+0B, -4, A, A ; 19087
+ ADD *AR6+0B, -4, A, B ; 19088
+ ADD *AR6+0B, -4, A ; 19089
+ ADD *AR6+0B, -4, B, A ; 19090
+ ADD *AR6+0B, -4, B, B ; 19091
+ ADD *AR6+0B, -4, B ; 19092
+ ADD *AR6+0B, -3, A, A ; 19093
+ ADD *AR6+0B, -3, A, B ; 19094
+ ADD *AR6+0B, -3, A ; 19095
+ ADD *AR6+0B, -3, B, A ; 19096
+ ADD *AR6+0B, -3, B, B ; 19097
+ ADD *AR6+0B, -3, B ; 19098
+ ADD *AR6+0B, -2, A, A ; 19099
+ ADD *AR6+0B, -2, A, B ; 19100
+ ADD *AR6+0B, -2, A ; 19101
+ ADD *AR6+0B, -2, B, A ; 19102
+ ADD *AR6+0B, -2, B, B ; 19103
+ ADD *AR6+0B, -2, B ; 19104
+ ADD *AR6+0B, -1, A, A ; 19105
+ ADD *AR6+0B, -1, A, B ; 19106
+ ADD *AR6+0B, -1, A ; 19107
+ ADD *AR6+0B, -1, B, A ; 19108
+ ADD *AR6+0B, -1, B, B ; 19109
+ ADD *AR6+0B, -1, B ; 19110
+ ADD *AR6+0B, 0, A, A ; 19111
+ ADD *AR6+0B, 0, A, B ; 19112
+ ADD *AR6+0B, 0, A ; 19113
+ ADD *AR6+0B, 0, B, A ; 19114
+ ADD *AR6+0B, 0, B, B ; 19115
+ ADD *AR6+0B, 0, B ; 19116
+ ADD *AR6+0B, 1, A, A ; 19117
+ ADD *AR6+0B, 1, A, B ; 19118
+ ADD *AR6+0B, 1, A ; 19119
+ ADD *AR6+0B, 1, B, A ; 19120
+ ADD *AR6+0B, 1, B, B ; 19121
+ ADD *AR6+0B, 1, B ; 19122
+ ADD *AR6+0B, 2, A, A ; 19123
+ ADD *AR6+0B, 2, A, B ; 19124
+ ADD *AR6+0B, 2, A ; 19125
+ ADD *AR6+0B, 2, B, A ; 19126
+ ADD *AR6+0B, 2, B, B ; 19127
+ ADD *AR6+0B, 2, B ; 19128
+ ADD *AR6+0B, 3, A, A ; 19129
+ ADD *AR6+0B, 3, A, B ; 19130
+ ADD *AR6+0B, 3, A ; 19131
+ ADD *AR6+0B, 3, B, A ; 19132
+ ADD *AR6+0B, 3, B, B ; 19133
+ ADD *AR6+0B, 3, B ; 19134
+ ADD *AR6+0B, 4, A, A ; 19135
+ ADD *AR6+0B, 4, A, B ; 19136
+ ADD *AR6+0B, 4, A ; 19137
+ ADD *AR6+0B, 4, B, A ; 19138
+ ADD *AR6+0B, 4, B, B ; 19139
+ ADD *AR6+0B, 4, B ; 19140
+ ADD *AR6+0B, 5, A, A ; 19141
+ ADD *AR6+0B, 5, A, B ; 19142
+ ADD *AR6+0B, 5, A ; 19143
+ ADD *AR6+0B, 5, B, A ; 19144
+ ADD *AR6+0B, 5, B, B ; 19145
+ ADD *AR6+0B, 5, B ; 19146
+ ADD *AR6+0B, 6, A, A ; 19147
+ ADD *AR6+0B, 6, A, B ; 19148
+ ADD *AR6+0B, 6, A ; 19149
+ ADD *AR6+0B, 6, B, A ; 19150
+ ADD *AR6+0B, 6, B, B ; 19151
+ ADD *AR6+0B, 6, B ; 19152
+ ADD *AR6+0B, 7, A, A ; 19153
+ ADD *AR6+0B, 7, A, B ; 19154
+ ADD *AR6+0B, 7, A ; 19155
+ ADD *AR6+0B, 7, B, A ; 19156
+ ADD *AR6+0B, 7, B, B ; 19157
+ ADD *AR6+0B, 7, B ; 19158
+ ADD *AR6+0B, 8, A, A ; 19159
+ ADD *AR6+0B, 8, A, B ; 19160
+ ADD *AR6+0B, 8, A ; 19161
+ ADD *AR6+0B, 8, B, A ; 19162
+ ADD *AR6+0B, 8, B, B ; 19163
+ ADD *AR6+0B, 8, B ; 19164
+ ADD *AR6+0B, 9, A, A ; 19165
+ ADD *AR6+0B, 9, A, B ; 19166
+ ADD *AR6+0B, 9, A ; 19167
+ ADD *AR6+0B, 9, B, A ; 19168
+ ADD *AR6+0B, 9, B, B ; 19169
+ ADD *AR6+0B, 9, B ; 19170
+ ADD *AR6+0B, 10, A, A ; 19171
+ ADD *AR6+0B, 10, A, B ; 19172
+ ADD *AR6+0B, 10, A ; 19173
+ ADD *AR6+0B, 10, B, A ; 19174
+ ADD *AR6+0B, 10, B, B ; 19175
+ ADD *AR6+0B, 10, B ; 19176
+ ADD *AR6+0B, 11, A, A ; 19177
+ ADD *AR6+0B, 11, A, B ; 19178
+ ADD *AR6+0B, 11, A ; 19179
+ ADD *AR6+0B, 11, B, A ; 19180
+ ADD *AR6+0B, 11, B, B ; 19181
+ ADD *AR6+0B, 11, B ; 19182
+ ADD *AR6+0B, 12, A, A ; 19183
+ ADD *AR6+0B, 12, A, B ; 19184
+ ADD *AR6+0B, 12, A ; 19185
+ ADD *AR6+0B, 12, B, A ; 19186
+ ADD *AR6+0B, 12, B, B ; 19187
+ ADD *AR6+0B, 12, B ; 19188
+ ADD *AR6+0B, 13, A, A ; 19189
+ ADD *AR6+0B, 13, A, B ; 19190
+ ADD *AR6+0B, 13, A ; 19191
+ ADD *AR6+0B, 13, B, A ; 19192
+ ADD *AR6+0B, 13, B, B ; 19193
+ ADD *AR6+0B, 13, B ; 19194
+ ADD *AR6+0B, 14, A, A ; 19195
+ ADD *AR6+0B, 14, A, B ; 19196
+ ADD *AR6+0B, 14, A ; 19197
+ ADD *AR6+0B, 14, B, A ; 19198
+ ADD *AR6+0B, 14, B, B ; 19199
+ ADD *AR6+0B, 14, B ; 19200
+ ADD *AR6+0B, 15, A, A ; 19201
+ ADD *AR6+0B, 15, A, B ; 19202
+ ADD *AR6+0B, 15, A ; 19203
+ ADD *AR6+0B, 15, B, A ; 19204
+ ADD *AR6+0B, 15, B, B ; 19205
+ ADD *AR6+0B, 15, B ; 19206
+ ADD *AR6-%, A, A ; 19207
+ ADD *AR6-%, A, B ; 19208
+ ADD *AR6-%, A ; 19209
+ ADD *AR6-%, B, A ; 19210
+ ADD *AR6-%, B, B ; 19211
+ ADD *AR6-%, B ; 19212
+ ADD *AR6-%, -16, A, A ; 19213
+ ADD *AR6-%, -16, A, B ; 19214
+ ADD *AR6-%, -16, A ; 19215
+ ADD *AR6-%, -16, B, A ; 19216
+ ADD *AR6-%, -16, B, B ; 19217
+ ADD *AR6-%, -16, B ; 19218
+ ADD *AR6-%, -15, A, A ; 19219
+ ADD *AR6-%, -15, A, B ; 19220
+ ADD *AR6-%, -15, A ; 19221
+ ADD *AR6-%, -15, B, A ; 19222
+ ADD *AR6-%, -15, B, B ; 19223
+ ADD *AR6-%, -15, B ; 19224
+ ADD *AR6-%, -14, A, A ; 19225
+ ADD *AR6-%, -14, A, B ; 19226
+ ADD *AR6-%, -14, A ; 19227
+ ADD *AR6-%, -14, B, A ; 19228
+ ADD *AR6-%, -14, B, B ; 19229
+ ADD *AR6-%, -14, B ; 19230
+ ADD *AR6-%, -13, A, A ; 19231
+ ADD *AR6-%, -13, A, B ; 19232
+ ADD *AR6-%, -13, A ; 19233
+ ADD *AR6-%, -13, B, A ; 19234
+ ADD *AR6-%, -13, B, B ; 19235
+ ADD *AR6-%, -13, B ; 19236
+ ADD *AR6-%, -12, A, A ; 19237
+ ADD *AR6-%, -12, A, B ; 19238
+ ADD *AR6-%, -12, A ; 19239
+ ADD *AR6-%, -12, B, A ; 19240
+ ADD *AR6-%, -12, B, B ; 19241
+ ADD *AR6-%, -12, B ; 19242
+ ADD *AR6-%, -11, A, A ; 19243
+ ADD *AR6-%, -11, A, B ; 19244
+ ADD *AR6-%, -11, A ; 19245
+ ADD *AR6-%, -11, B, A ; 19246
+ ADD *AR6-%, -11, B, B ; 19247
+ ADD *AR6-%, -11, B ; 19248
+ ADD *AR6-%, -10, A, A ; 19249
+ ADD *AR6-%, -10, A, B ; 19250
+ ADD *AR6-%, -10, A ; 19251
+ ADD *AR6-%, -10, B, A ; 19252
+ ADD *AR6-%, -10, B, B ; 19253
+ ADD *AR6-%, -10, B ; 19254
+ ADD *AR6-%, -9, A, A ; 19255
+ ADD *AR6-%, -9, A, B ; 19256
+ ADD *AR6-%, -9, A ; 19257
+ ADD *AR6-%, -9, B, A ; 19258
+ ADD *AR6-%, -9, B, B ; 19259
+ ADD *AR6-%, -9, B ; 19260
+ ADD *AR6-%, -8, A, A ; 19261
+ ADD *AR6-%, -8, A, B ; 19262
+ ADD *AR6-%, -8, A ; 19263
+ ADD *AR6-%, -8, B, A ; 19264
+ ADD *AR6-%, -8, B, B ; 19265
+ ADD *AR6-%, -8, B ; 19266
+ ADD *AR6-%, -7, A, A ; 19267
+ ADD *AR6-%, -7, A, B ; 19268
+ ADD *AR6-%, -7, A ; 19269
+ ADD *AR6-%, -7, B, A ; 19270
+ ADD *AR6-%, -7, B, B ; 19271
+ ADD *AR6-%, -7, B ; 19272
+ ADD *AR6-%, -6, A, A ; 19273
+ ADD *AR6-%, -6, A, B ; 19274
+ ADD *AR6-%, -6, A ; 19275
+ ADD *AR6-%, -6, B, A ; 19276
+ ADD *AR6-%, -6, B, B ; 19277
+ ADD *AR6-%, -6, B ; 19278
+ ADD *AR6-%, -5, A, A ; 19279
+ ADD *AR6-%, -5, A, B ; 19280
+ ADD *AR6-%, -5, A ; 19281
+ ADD *AR6-%, -5, B, A ; 19282
+ ADD *AR6-%, -5, B, B ; 19283
+ ADD *AR6-%, -5, B ; 19284
+ ADD *AR6-%, -4, A, A ; 19285
+ ADD *AR6-%, -4, A, B ; 19286
+ ADD *AR6-%, -4, A ; 19287
+ ADD *AR6-%, -4, B, A ; 19288
+ ADD *AR6-%, -4, B, B ; 19289
+ ADD *AR6-%, -4, B ; 19290
+ ADD *AR6-%, -3, A, A ; 19291
+ ADD *AR6-%, -3, A, B ; 19292
+ ADD *AR6-%, -3, A ; 19293
+ ADD *AR6-%, -3, B, A ; 19294
+ ADD *AR6-%, -3, B, B ; 19295
+ ADD *AR6-%, -3, B ; 19296
+ ADD *AR6-%, -2, A, A ; 19297
+ ADD *AR6-%, -2, A, B ; 19298
+ ADD *AR6-%, -2, A ; 19299
+ ADD *AR6-%, -2, B, A ; 19300
+ ADD *AR6-%, -2, B, B ; 19301
+ ADD *AR6-%, -2, B ; 19302
+ ADD *AR6-%, -1, A, A ; 19303
+ ADD *AR6-%, -1, A, B ; 19304
+ ADD *AR6-%, -1, A ; 19305
+ ADD *AR6-%, -1, B, A ; 19306
+ ADD *AR6-%, -1, B, B ; 19307
+ ADD *AR6-%, -1, B ; 19308
+ ADD *AR6-%, 0, A, A ; 19309
+ ADD *AR6-%, 0, A, B ; 19310
+ ADD *AR6-%, 0, A ; 19311
+ ADD *AR6-%, 0, B, A ; 19312
+ ADD *AR6-%, 0, B, B ; 19313
+ ADD *AR6-%, 0, B ; 19314
+ ADD *AR6-%, 1, A, A ; 19315
+ ADD *AR6-%, 1, A, B ; 19316
+ ADD *AR6-%, 1, A ; 19317
+ ADD *AR6-%, 1, B, A ; 19318
+ ADD *AR6-%, 1, B, B ; 19319
+ ADD *AR6-%, 1, B ; 19320
+ ADD *AR6-%, 2, A, A ; 19321
+ ADD *AR6-%, 2, A, B ; 19322
+ ADD *AR6-%, 2, A ; 19323
+ ADD *AR6-%, 2, B, A ; 19324
+ ADD *AR6-%, 2, B, B ; 19325
+ ADD *AR6-%, 2, B ; 19326
+ ADD *AR6-%, 3, A, A ; 19327
+ ADD *AR6-%, 3, A, B ; 19328
+ ADD *AR6-%, 3, A ; 19329
+ ADD *AR6-%, 3, B, A ; 19330
+ ADD *AR6-%, 3, B, B ; 19331
+ ADD *AR6-%, 3, B ; 19332
+ ADD *AR6-%, 4, A, A ; 19333
+ ADD *AR6-%, 4, A, B ; 19334
+ ADD *AR6-%, 4, A ; 19335
+ ADD *AR6-%, 4, B, A ; 19336
+ ADD *AR6-%, 4, B, B ; 19337
+ ADD *AR6-%, 4, B ; 19338
+ ADD *AR6-%, 5, A, A ; 19339
+ ADD *AR6-%, 5, A, B ; 19340
+ ADD *AR6-%, 5, A ; 19341
+ ADD *AR6-%, 5, B, A ; 19342
+ ADD *AR6-%, 5, B, B ; 19343
+ ADD *AR6-%, 5, B ; 19344
+ ADD *AR6-%, 6, A, A ; 19345
+ ADD *AR6-%, 6, A, B ; 19346
+ ADD *AR6-%, 6, A ; 19347
+ ADD *AR6-%, 6, B, A ; 19348
+ ADD *AR6-%, 6, B, B ; 19349
+ ADD *AR6-%, 6, B ; 19350
+ ADD *AR6-%, 7, A, A ; 19351
+ ADD *AR6-%, 7, A, B ; 19352
+ ADD *AR6-%, 7, A ; 19353
+ ADD *AR6-%, 7, B, A ; 19354
+ ADD *AR6-%, 7, B, B ; 19355
+ ADD *AR6-%, 7, B ; 19356
+ ADD *AR6-%, 8, A, A ; 19357
+ ADD *AR6-%, 8, A, B ; 19358
+ ADD *AR6-%, 8, A ; 19359
+ ADD *AR6-%, 8, B, A ; 19360
+ ADD *AR6-%, 8, B, B ; 19361
+ ADD *AR6-%, 8, B ; 19362
+ ADD *AR6-%, 9, A, A ; 19363
+ ADD *AR6-%, 9, A, B ; 19364
+ ADD *AR6-%, 9, A ; 19365
+ ADD *AR6-%, 9, B, A ; 19366
+ ADD *AR6-%, 9, B, B ; 19367
+ ADD *AR6-%, 9, B ; 19368
+ ADD *AR6-%, 10, A, A ; 19369
+ ADD *AR6-%, 10, A, B ; 19370
+ ADD *AR6-%, 10, A ; 19371
+ ADD *AR6-%, 10, B, A ; 19372
+ ADD *AR6-%, 10, B, B ; 19373
+ ADD *AR6-%, 10, B ; 19374
+ ADD *AR6-%, 11, A, A ; 19375
+ ADD *AR6-%, 11, A, B ; 19376
+ ADD *AR6-%, 11, A ; 19377
+ ADD *AR6-%, 11, B, A ; 19378
+ ADD *AR6-%, 11, B, B ; 19379
+ ADD *AR6-%, 11, B ; 19380
+ ADD *AR6-%, 12, A, A ; 19381
+ ADD *AR6-%, 12, A, B ; 19382
+ ADD *AR6-%, 12, A ; 19383
+ ADD *AR6-%, 12, B, A ; 19384
+ ADD *AR6-%, 12, B, B ; 19385
+ ADD *AR6-%, 12, B ; 19386
+ ADD *AR6-%, 13, A, A ; 19387
+ ADD *AR6-%, 13, A, B ; 19388
+ ADD *AR6-%, 13, A ; 19389
+ ADD *AR6-%, 13, B, A ; 19390
+ ADD *AR6-%, 13, B, B ; 19391
+ ADD *AR6-%, 13, B ; 19392
+ ADD *AR6-%, 14, A, A ; 19393
+ ADD *AR6-%, 14, A, B ; 19394
+ ADD *AR6-%, 14, A ; 19395
+ ADD *AR6-%, 14, B, A ; 19396
+ ADD *AR6-%, 14, B, B ; 19397
+ ADD *AR6-%, 14, B ; 19398
+ ADD *AR6-%, 15, A, A ; 19399
+ ADD *AR6-%, 15, A, B ; 19400
+ ADD *AR6-%, 15, A ; 19401
+ ADD *AR6-%, 15, B, A ; 19402
+ ADD *AR6-%, 15, B, B ; 19403
+ ADD *AR6-%, 15, B ; 19404
+ ADD *AR6-0%, A, A ; 19405
+ ADD *AR6-0%, A, B ; 19406
+ ADD *AR6-0%, A ; 19407
+ ADD *AR6-0%, B, A ; 19408
+ ADD *AR6-0%, B, B ; 19409
+ ADD *AR6-0%, B ; 19410
+ ADD *AR6-0%, -16, A, A ; 19411
+ ADD *AR6-0%, -16, A, B ; 19412
+ ADD *AR6-0%, -16, A ; 19413
+ ADD *AR6-0%, -16, B, A ; 19414
+ ADD *AR6-0%, -16, B, B ; 19415
+ ADD *AR6-0%, -16, B ; 19416
+ ADD *AR6-0%, -15, A, A ; 19417
+ ADD *AR6-0%, -15, A, B ; 19418
+ ADD *AR6-0%, -15, A ; 19419
+ ADD *AR6-0%, -15, B, A ; 19420
+ ADD *AR6-0%, -15, B, B ; 19421
+ ADD *AR6-0%, -15, B ; 19422
+ ADD *AR6-0%, -14, A, A ; 19423
+ ADD *AR6-0%, -14, A, B ; 19424
+ ADD *AR6-0%, -14, A ; 19425
+ ADD *AR6-0%, -14, B, A ; 19426
+ ADD *AR6-0%, -14, B, B ; 19427
+ ADD *AR6-0%, -14, B ; 19428
+ ADD *AR6-0%, -13, A, A ; 19429
+ ADD *AR6-0%, -13, A, B ; 19430
+ ADD *AR6-0%, -13, A ; 19431
+ ADD *AR6-0%, -13, B, A ; 19432
+ ADD *AR6-0%, -13, B, B ; 19433
+ ADD *AR6-0%, -13, B ; 19434
+ ADD *AR6-0%, -12, A, A ; 19435
+ ADD *AR6-0%, -12, A, B ; 19436
+ ADD *AR6-0%, -12, A ; 19437
+ ADD *AR6-0%, -12, B, A ; 19438
+ ADD *AR6-0%, -12, B, B ; 19439
+ ADD *AR6-0%, -12, B ; 19440
+ ADD *AR6-0%, -11, A, A ; 19441
+ ADD *AR6-0%, -11, A, B ; 19442
+ ADD *AR6-0%, -11, A ; 19443
+ ADD *AR6-0%, -11, B, A ; 19444
+ ADD *AR6-0%, -11, B, B ; 19445
+ ADD *AR6-0%, -11, B ; 19446
+ ADD *AR6-0%, -10, A, A ; 19447
+ ADD *AR6-0%, -10, A, B ; 19448
+ ADD *AR6-0%, -10, A ; 19449
+ ADD *AR6-0%, -10, B, A ; 19450
+ ADD *AR6-0%, -10, B, B ; 19451
+ ADD *AR6-0%, -10, B ; 19452
+ ADD *AR6-0%, -9, A, A ; 19453
+ ADD *AR6-0%, -9, A, B ; 19454
+ ADD *AR6-0%, -9, A ; 19455
+ ADD *AR6-0%, -9, B, A ; 19456
+ ADD *AR6-0%, -9, B, B ; 19457
+ ADD *AR6-0%, -9, B ; 19458
+ ADD *AR6-0%, -8, A, A ; 19459
+ ADD *AR6-0%, -8, A, B ; 19460
+ ADD *AR6-0%, -8, A ; 19461
+ ADD *AR6-0%, -8, B, A ; 19462
+ ADD *AR6-0%, -8, B, B ; 19463
+ ADD *AR6-0%, -8, B ; 19464
+ ADD *AR6-0%, -7, A, A ; 19465
+ ADD *AR6-0%, -7, A, B ; 19466
+ ADD *AR6-0%, -7, A ; 19467
+ ADD *AR6-0%, -7, B, A ; 19468
+ ADD *AR6-0%, -7, B, B ; 19469
+ ADD *AR6-0%, -7, B ; 19470
+ ADD *AR6-0%, -6, A, A ; 19471
+ ADD *AR6-0%, -6, A, B ; 19472
+ ADD *AR6-0%, -6, A ; 19473
+ ADD *AR6-0%, -6, B, A ; 19474
+ ADD *AR6-0%, -6, B, B ; 19475
+ ADD *AR6-0%, -6, B ; 19476
+ ADD *AR6-0%, -5, A, A ; 19477
+ ADD *AR6-0%, -5, A, B ; 19478
+ ADD *AR6-0%, -5, A ; 19479
+ ADD *AR6-0%, -5, B, A ; 19480
+ ADD *AR6-0%, -5, B, B ; 19481
+ ADD *AR6-0%, -5, B ; 19482
+ ADD *AR6-0%, -4, A, A ; 19483
+ ADD *AR6-0%, -4, A, B ; 19484
+ ADD *AR6-0%, -4, A ; 19485
+ ADD *AR6-0%, -4, B, A ; 19486
+ ADD *AR6-0%, -4, B, B ; 19487
+ ADD *AR6-0%, -4, B ; 19488
+ ADD *AR6-0%, -3, A, A ; 19489
+ ADD *AR6-0%, -3, A, B ; 19490
+ ADD *AR6-0%, -3, A ; 19491
+ ADD *AR6-0%, -3, B, A ; 19492
+ ADD *AR6-0%, -3, B, B ; 19493
+ ADD *AR6-0%, -3, B ; 19494
+ ADD *AR6-0%, -2, A, A ; 19495
+ ADD *AR6-0%, -2, A, B ; 19496
+ ADD *AR6-0%, -2, A ; 19497
+ ADD *AR6-0%, -2, B, A ; 19498
+ ADD *AR6-0%, -2, B, B ; 19499
+ ADD *AR6-0%, -2, B ; 19500
+ ADD *AR6-0%, -1, A, A ; 19501
+ ADD *AR6-0%, -1, A, B ; 19502
+ ADD *AR6-0%, -1, A ; 19503
+ ADD *AR6-0%, -1, B, A ; 19504
+ ADD *AR6-0%, -1, B, B ; 19505
+ ADD *AR6-0%, -1, B ; 19506
+ ADD *AR6-0%, 0, A, A ; 19507
+ ADD *AR6-0%, 0, A, B ; 19508
+ ADD *AR6-0%, 0, A ; 19509
+ ADD *AR6-0%, 0, B, A ; 19510
+ ADD *AR6-0%, 0, B, B ; 19511
+ ADD *AR6-0%, 0, B ; 19512
+ ADD *AR6-0%, 1, A, A ; 19513
+ ADD *AR6-0%, 1, A, B ; 19514
+ ADD *AR6-0%, 1, A ; 19515
+ ADD *AR6-0%, 1, B, A ; 19516
+ ADD *AR6-0%, 1, B, B ; 19517
+ ADD *AR6-0%, 1, B ; 19518
+ ADD *AR6-0%, 2, A, A ; 19519
+ ADD *AR6-0%, 2, A, B ; 19520
+ ADD *AR6-0%, 2, A ; 19521
+ ADD *AR6-0%, 2, B, A ; 19522
+ ADD *AR6-0%, 2, B, B ; 19523
+ ADD *AR6-0%, 2, B ; 19524
+ ADD *AR6-0%, 3, A, A ; 19525
+ ADD *AR6-0%, 3, A, B ; 19526
+ ADD *AR6-0%, 3, A ; 19527
+ ADD *AR6-0%, 3, B, A ; 19528
+ ADD *AR6-0%, 3, B, B ; 19529
+ ADD *AR6-0%, 3, B ; 19530
+ ADD *AR6-0%, 4, A, A ; 19531
+ ADD *AR6-0%, 4, A, B ; 19532
+ ADD *AR6-0%, 4, A ; 19533
+ ADD *AR6-0%, 4, B, A ; 19534
+ ADD *AR6-0%, 4, B, B ; 19535
+ ADD *AR6-0%, 4, B ; 19536
+ ADD *AR6-0%, 5, A, A ; 19537
+ ADD *AR6-0%, 5, A, B ; 19538
+ ADD *AR6-0%, 5, A ; 19539
+ ADD *AR6-0%, 5, B, A ; 19540
+ ADD *AR6-0%, 5, B, B ; 19541
+ ADD *AR6-0%, 5, B ; 19542
+ ADD *AR6-0%, 6, A, A ; 19543
+ ADD *AR6-0%, 6, A, B ; 19544
+ ADD *AR6-0%, 6, A ; 19545
+ ADD *AR6-0%, 6, B, A ; 19546
+ ADD *AR6-0%, 6, B, B ; 19547
+ ADD *AR6-0%, 6, B ; 19548
+ ADD *AR6-0%, 7, A, A ; 19549
+ ADD *AR6-0%, 7, A, B ; 19550
+ ADD *AR6-0%, 7, A ; 19551
+ ADD *AR6-0%, 7, B, A ; 19552
+ ADD *AR6-0%, 7, B, B ; 19553
+ ADD *AR6-0%, 7, B ; 19554
+ ADD *AR6-0%, 8, A, A ; 19555
+ ADD *AR6-0%, 8, A, B ; 19556
+ ADD *AR6-0%, 8, A ; 19557
+ ADD *AR6-0%, 8, B, A ; 19558
+ ADD *AR6-0%, 8, B, B ; 19559
+ ADD *AR6-0%, 8, B ; 19560
+ ADD *AR6-0%, 9, A, A ; 19561
+ ADD *AR6-0%, 9, A, B ; 19562
+ ADD *AR6-0%, 9, A ; 19563
+ ADD *AR6-0%, 9, B, A ; 19564
+ ADD *AR6-0%, 9, B, B ; 19565
+ ADD *AR6-0%, 9, B ; 19566
+ ADD *AR6-0%, 10, A, A ; 19567
+ ADD *AR6-0%, 10, A, B ; 19568
+ ADD *AR6-0%, 10, A ; 19569
+ ADD *AR6-0%, 10, B, A ; 19570
+ ADD *AR6-0%, 10, B, B ; 19571
+ ADD *AR6-0%, 10, B ; 19572
+ ADD *AR6-0%, 11, A, A ; 19573
+ ADD *AR6-0%, 11, A, B ; 19574
+ ADD *AR6-0%, 11, A ; 19575
+ ADD *AR6-0%, 11, B, A ; 19576
+ ADD *AR6-0%, 11, B, B ; 19577
+ ADD *AR6-0%, 11, B ; 19578
+ ADD *AR6-0%, 12, A, A ; 19579
+ ADD *AR6-0%, 12, A, B ; 19580
+ ADD *AR6-0%, 12, A ; 19581
+ ADD *AR6-0%, 12, B, A ; 19582
+ ADD *AR6-0%, 12, B, B ; 19583
+ ADD *AR6-0%, 12, B ; 19584
+ ADD *AR6-0%, 13, A, A ; 19585
+ ADD *AR6-0%, 13, A, B ; 19586
+ ADD *AR6-0%, 13, A ; 19587
+ ADD *AR6-0%, 13, B, A ; 19588
+ ADD *AR6-0%, 13, B, B ; 19589
+ ADD *AR6-0%, 13, B ; 19590
+ ADD *AR6-0%, 14, A, A ; 19591
+ ADD *AR6-0%, 14, A, B ; 19592
+ ADD *AR6-0%, 14, A ; 19593
+ ADD *AR6-0%, 14, B, A ; 19594
+ ADD *AR6-0%, 14, B, B ; 19595
+ ADD *AR6-0%, 14, B ; 19596
+ ADD *AR6-0%, 15, A, A ; 19597
+ ADD *AR6-0%, 15, A, B ; 19598
+ ADD *AR6-0%, 15, A ; 19599
+ ADD *AR6-0%, 15, B, A ; 19600
+ ADD *AR6-0%, 15, B, B ; 19601
+ ADD *AR6-0%, 15, B ; 19602
+ ADD *AR6+%, A, A ; 19603
+ ADD *AR6+%, A, B ; 19604
+ ADD *AR6+%, A ; 19605
+ ADD *AR6+%, B, A ; 19606
+ ADD *AR6+%, B, B ; 19607
+ ADD *AR6+%, B ; 19608
+ ADD *AR6+%, -16, A, A ; 19609
+ ADD *AR6+%, -16, A, B ; 19610
+ ADD *AR6+%, -16, A ; 19611
+ ADD *AR6+%, -16, B, A ; 19612
+ ADD *AR6+%, -16, B, B ; 19613
+ ADD *AR6+%, -16, B ; 19614
+ ADD *AR6+%, -15, A, A ; 19615
+ ADD *AR6+%, -15, A, B ; 19616
+ ADD *AR6+%, -15, A ; 19617
+ ADD *AR6+%, -15, B, A ; 19618
+ ADD *AR6+%, -15, B, B ; 19619
+ ADD *AR6+%, -15, B ; 19620
+ ADD *AR6+%, -14, A, A ; 19621
+ ADD *AR6+%, -14, A, B ; 19622
+ ADD *AR6+%, -14, A ; 19623
+ ADD *AR6+%, -14, B, A ; 19624
+ ADD *AR6+%, -14, B, B ; 19625
+ ADD *AR6+%, -14, B ; 19626
+ ADD *AR6+%, -13, A, A ; 19627
+ ADD *AR6+%, -13, A, B ; 19628
+ ADD *AR6+%, -13, A ; 19629
+ ADD *AR6+%, -13, B, A ; 19630
+ ADD *AR6+%, -13, B, B ; 19631
+ ADD *AR6+%, -13, B ; 19632
+ ADD *AR6+%, -12, A, A ; 19633
+ ADD *AR6+%, -12, A, B ; 19634
+ ADD *AR6+%, -12, A ; 19635
+ ADD *AR6+%, -12, B, A ; 19636
+ ADD *AR6+%, -12, B, B ; 19637
+ ADD *AR6+%, -12, B ; 19638
+ ADD *AR6+%, -11, A, A ; 19639
+ ADD *AR6+%, -11, A, B ; 19640
+ ADD *AR6+%, -11, A ; 19641
+ ADD *AR6+%, -11, B, A ; 19642
+ ADD *AR6+%, -11, B, B ; 19643
+ ADD *AR6+%, -11, B ; 19644
+ ADD *AR6+%, -10, A, A ; 19645
+ ADD *AR6+%, -10, A, B ; 19646
+ ADD *AR6+%, -10, A ; 19647
+ ADD *AR6+%, -10, B, A ; 19648
+ ADD *AR6+%, -10, B, B ; 19649
+ ADD *AR6+%, -10, B ; 19650
+ ADD *AR6+%, -9, A, A ; 19651
+ ADD *AR6+%, -9, A, B ; 19652
+ ADD *AR6+%, -9, A ; 19653
+ ADD *AR6+%, -9, B, A ; 19654
+ ADD *AR6+%, -9, B, B ; 19655
+ ADD *AR6+%, -9, B ; 19656
+ ADD *AR6+%, -8, A, A ; 19657
+ ADD *AR6+%, -8, A, B ; 19658
+ ADD *AR6+%, -8, A ; 19659
+ ADD *AR6+%, -8, B, A ; 19660
+ ADD *AR6+%, -8, B, B ; 19661
+ ADD *AR6+%, -8, B ; 19662
+ ADD *AR6+%, -7, A, A ; 19663
+ ADD *AR6+%, -7, A, B ; 19664
+ ADD *AR6+%, -7, A ; 19665
+ ADD *AR6+%, -7, B, A ; 19666
+ ADD *AR6+%, -7, B, B ; 19667
+ ADD *AR6+%, -7, B ; 19668
+ ADD *AR6+%, -6, A, A ; 19669
+ ADD *AR6+%, -6, A, B ; 19670
+ ADD *AR6+%, -6, A ; 19671
+ ADD *AR6+%, -6, B, A ; 19672
+ ADD *AR6+%, -6, B, B ; 19673
+ ADD *AR6+%, -6, B ; 19674
+ ADD *AR6+%, -5, A, A ; 19675
+ ADD *AR6+%, -5, A, B ; 19676
+ ADD *AR6+%, -5, A ; 19677
+ ADD *AR6+%, -5, B, A ; 19678
+ ADD *AR6+%, -5, B, B ; 19679
+ ADD *AR6+%, -5, B ; 19680
+ ADD *AR6+%, -4, A, A ; 19681
+ ADD *AR6+%, -4, A, B ; 19682
+ ADD *AR6+%, -4, A ; 19683
+ ADD *AR6+%, -4, B, A ; 19684
+ ADD *AR6+%, -4, B, B ; 19685
+ ADD *AR6+%, -4, B ; 19686
+ ADD *AR6+%, -3, A, A ; 19687
+ ADD *AR6+%, -3, A, B ; 19688
+ ADD *AR6+%, -3, A ; 19689
+ ADD *AR6+%, -3, B, A ; 19690
+ ADD *AR6+%, -3, B, B ; 19691
+ ADD *AR6+%, -3, B ; 19692
+ ADD *AR6+%, -2, A, A ; 19693
+ ADD *AR6+%, -2, A, B ; 19694
+ ADD *AR6+%, -2, A ; 19695
+ ADD *AR6+%, -2, B, A ; 19696
+ ADD *AR6+%, -2, B, B ; 19697
+ ADD *AR6+%, -2, B ; 19698
+ ADD *AR6+%, -1, A, A ; 19699
+ ADD *AR6+%, -1, A, B ; 19700
+ ADD *AR6+%, -1, A ; 19701
+ ADD *AR6+%, -1, B, A ; 19702
+ ADD *AR6+%, -1, B, B ; 19703
+ ADD *AR6+%, -1, B ; 19704
+ ADD *AR6+%, 0, A, A ; 19705
+ ADD *AR6+%, 0, A, B ; 19706
+ ADD *AR6+%, 0, A ; 19707
+ ADD *AR6+%, 0, B, A ; 19708
+ ADD *AR6+%, 0, B, B ; 19709
+ ADD *AR6+%, 0, B ; 19710
+ ADD *AR6+%, 1, A, A ; 19711
+ ADD *AR6+%, 1, A, B ; 19712
+ ADD *AR6+%, 1, A ; 19713
+ ADD *AR6+%, 1, B, A ; 19714
+ ADD *AR6+%, 1, B, B ; 19715
+ ADD *AR6+%, 1, B ; 19716
+ ADD *AR6+%, 2, A, A ; 19717
+ ADD *AR6+%, 2, A, B ; 19718
+ ADD *AR6+%, 2, A ; 19719
+ ADD *AR6+%, 2, B, A ; 19720
+ ADD *AR6+%, 2, B, B ; 19721
+ ADD *AR6+%, 2, B ; 19722
+ ADD *AR6+%, 3, A, A ; 19723
+ ADD *AR6+%, 3, A, B ; 19724
+ ADD *AR6+%, 3, A ; 19725
+ ADD *AR6+%, 3, B, A ; 19726
+ ADD *AR6+%, 3, B, B ; 19727
+ ADD *AR6+%, 3, B ; 19728
+ ADD *AR6+%, 4, A, A ; 19729
+ ADD *AR6+%, 4, A, B ; 19730
+ ADD *AR6+%, 4, A ; 19731
+ ADD *AR6+%, 4, B, A ; 19732
+ ADD *AR6+%, 4, B, B ; 19733
+ ADD *AR6+%, 4, B ; 19734
+ ADD *AR6+%, 5, A, A ; 19735
+ ADD *AR6+%, 5, A, B ; 19736
+ ADD *AR6+%, 5, A ; 19737
+ ADD *AR6+%, 5, B, A ; 19738
+ ADD *AR6+%, 5, B, B ; 19739
+ ADD *AR6+%, 5, B ; 19740
+ ADD *AR6+%, 6, A, A ; 19741
+ ADD *AR6+%, 6, A, B ; 19742
+ ADD *AR6+%, 6, A ; 19743
+ ADD *AR6+%, 6, B, A ; 19744
+ ADD *AR6+%, 6, B, B ; 19745
+ ADD *AR6+%, 6, B ; 19746
+ ADD *AR6+%, 7, A, A ; 19747
+ ADD *AR6+%, 7, A, B ; 19748
+ ADD *AR6+%, 7, A ; 19749
+ ADD *AR6+%, 7, B, A ; 19750
+ ADD *AR6+%, 7, B, B ; 19751
+ ADD *AR6+%, 7, B ; 19752
+ ADD *AR6+%, 8, A, A ; 19753
+ ADD *AR6+%, 8, A, B ; 19754
+ ADD *AR6+%, 8, A ; 19755
+ ADD *AR6+%, 8, B, A ; 19756
+ ADD *AR6+%, 8, B, B ; 19757
+ ADD *AR6+%, 8, B ; 19758
+ ADD *AR6+%, 9, A, A ; 19759
+ ADD *AR6+%, 9, A, B ; 19760
+ ADD *AR6+%, 9, A ; 19761
+ ADD *AR6+%, 9, B, A ; 19762
+ ADD *AR6+%, 9, B, B ; 19763
+ ADD *AR6+%, 9, B ; 19764
+ ADD *AR6+%, 10, A, A ; 19765
+ ADD *AR6+%, 10, A, B ; 19766
+ ADD *AR6+%, 10, A ; 19767
+ ADD *AR6+%, 10, B, A ; 19768
+ ADD *AR6+%, 10, B, B ; 19769
+ ADD *AR6+%, 10, B ; 19770
+ ADD *AR6+%, 11, A, A ; 19771
+ ADD *AR6+%, 11, A, B ; 19772
+ ADD *AR6+%, 11, A ; 19773
+ ADD *AR6+%, 11, B, A ; 19774
+ ADD *AR6+%, 11, B, B ; 19775
+ ADD *AR6+%, 11, B ; 19776
+ ADD *AR6+%, 12, A, A ; 19777
+ ADD *AR6+%, 12, A, B ; 19778
+ ADD *AR6+%, 12, A ; 19779
+ ADD *AR6+%, 12, B, A ; 19780
+ ADD *AR6+%, 12, B, B ; 19781
+ ADD *AR6+%, 12, B ; 19782
+ ADD *AR6+%, 13, A, A ; 19783
+ ADD *AR6+%, 13, A, B ; 19784
+ ADD *AR6+%, 13, A ; 19785
+ ADD *AR6+%, 13, B, A ; 19786
+ ADD *AR6+%, 13, B, B ; 19787
+ ADD *AR6+%, 13, B ; 19788
+ ADD *AR6+%, 14, A, A ; 19789
+ ADD *AR6+%, 14, A, B ; 19790
+ ADD *AR6+%, 14, A ; 19791
+ ADD *AR6+%, 14, B, A ; 19792
+ ADD *AR6+%, 14, B, B ; 19793
+ ADD *AR6+%, 14, B ; 19794
+ ADD *AR6+%, 15, A, A ; 19795
+ ADD *AR6+%, 15, A, B ; 19796
+ ADD *AR6+%, 15, A ; 19797
+ ADD *AR6+%, 15, B, A ; 19798
+ ADD *AR6+%, 15, B, B ; 19799
+ ADD *AR6+%, 15, B ; 19800
+ ADD *AR6+0%, A, A ; 19801
+ ADD *AR6+0%, A, B ; 19802
+ ADD *AR6+0%, A ; 19803
+ ADD *AR6+0%, B, A ; 19804
+ ADD *AR6+0%, B, B ; 19805
+ ADD *AR6+0%, B ; 19806
+ ADD *AR6+0%, -16, A, A ; 19807
+ ADD *AR6+0%, -16, A, B ; 19808
+ ADD *AR6+0%, -16, A ; 19809
+ ADD *AR6+0%, -16, B, A ; 19810
+ ADD *AR6+0%, -16, B, B ; 19811
+ ADD *AR6+0%, -16, B ; 19812
+ ADD *AR6+0%, -15, A, A ; 19813
+ ADD *AR6+0%, -15, A, B ; 19814
+ ADD *AR6+0%, -15, A ; 19815
+ ADD *AR6+0%, -15, B, A ; 19816
+ ADD *AR6+0%, -15, B, B ; 19817
+ ADD *AR6+0%, -15, B ; 19818
+ ADD *AR6+0%, -14, A, A ; 19819
+ ADD *AR6+0%, -14, A, B ; 19820
+ ADD *AR6+0%, -14, A ; 19821
+ ADD *AR6+0%, -14, B, A ; 19822
+ ADD *AR6+0%, -14, B, B ; 19823
+ ADD *AR6+0%, -14, B ; 19824
+ ADD *AR6+0%, -13, A, A ; 19825
+ ADD *AR6+0%, -13, A, B ; 19826
+ ADD *AR6+0%, -13, A ; 19827
+ ADD *AR6+0%, -13, B, A ; 19828
+ ADD *AR6+0%, -13, B, B ; 19829
+ ADD *AR6+0%, -13, B ; 19830
+ ADD *AR6+0%, -12, A, A ; 19831
+ ADD *AR6+0%, -12, A, B ; 19832
+ ADD *AR6+0%, -12, A ; 19833
+ ADD *AR6+0%, -12, B, A ; 19834
+ ADD *AR6+0%, -12, B, B ; 19835
+ ADD *AR6+0%, -12, B ; 19836
+ ADD *AR6+0%, -11, A, A ; 19837
+ ADD *AR6+0%, -11, A, B ; 19838
+ ADD *AR6+0%, -11, A ; 19839
+ ADD *AR6+0%, -11, B, A ; 19840
+ ADD *AR6+0%, -11, B, B ; 19841
+ ADD *AR6+0%, -11, B ; 19842
+ ADD *AR6+0%, -10, A, A ; 19843
+ ADD *AR6+0%, -10, A, B ; 19844
+ ADD *AR6+0%, -10, A ; 19845
+ ADD *AR6+0%, -10, B, A ; 19846
+ ADD *AR6+0%, -10, B, B ; 19847
+ ADD *AR6+0%, -10, B ; 19848
+ ADD *AR6+0%, -9, A, A ; 19849
+ ADD *AR6+0%, -9, A, B ; 19850
+ ADD *AR6+0%, -9, A ; 19851
+ ADD *AR6+0%, -9, B, A ; 19852
+ ADD *AR6+0%, -9, B, B ; 19853
+ ADD *AR6+0%, -9, B ; 19854
+ ADD *AR6+0%, -8, A, A ; 19855
+ ADD *AR6+0%, -8, A, B ; 19856
+ ADD *AR6+0%, -8, A ; 19857
+ ADD *AR6+0%, -8, B, A ; 19858
+ ADD *AR6+0%, -8, B, B ; 19859
+ ADD *AR6+0%, -8, B ; 19860
+ ADD *AR6+0%, -7, A, A ; 19861
+ ADD *AR6+0%, -7, A, B ; 19862
+ ADD *AR6+0%, -7, A ; 19863
+ ADD *AR6+0%, -7, B, A ; 19864
+ ADD *AR6+0%, -7, B, B ; 19865
+ ADD *AR6+0%, -7, B ; 19866
+ ADD *AR6+0%, -6, A, A ; 19867
+ ADD *AR6+0%, -6, A, B ; 19868
+ ADD *AR6+0%, -6, A ; 19869
+ ADD *AR6+0%, -6, B, A ; 19870
+ ADD *AR6+0%, -6, B, B ; 19871
+ ADD *AR6+0%, -6, B ; 19872
+ ADD *AR6+0%, -5, A, A ; 19873
+ ADD *AR6+0%, -5, A, B ; 19874
+ ADD *AR6+0%, -5, A ; 19875
+ ADD *AR6+0%, -5, B, A ; 19876
+ ADD *AR6+0%, -5, B, B ; 19877
+ ADD *AR6+0%, -5, B ; 19878
+ ADD *AR6+0%, -4, A, A ; 19879
+ ADD *AR6+0%, -4, A, B ; 19880
+ ADD *AR6+0%, -4, A ; 19881
+ ADD *AR6+0%, -4, B, A ; 19882
+ ADD *AR6+0%, -4, B, B ; 19883
+ ADD *AR6+0%, -4, B ; 19884
+ ADD *AR6+0%, -3, A, A ; 19885
+ ADD *AR6+0%, -3, A, B ; 19886
+ ADD *AR6+0%, -3, A ; 19887
+ ADD *AR6+0%, -3, B, A ; 19888
+ ADD *AR6+0%, -3, B, B ; 19889
+ ADD *AR6+0%, -3, B ; 19890
+ ADD *AR6+0%, -2, A, A ; 19891
+ ADD *AR6+0%, -2, A, B ; 19892
+ ADD *AR6+0%, -2, A ; 19893
+ ADD *AR6+0%, -2, B, A ; 19894
+ ADD *AR6+0%, -2, B, B ; 19895
+ ADD *AR6+0%, -2, B ; 19896
+ ADD *AR6+0%, -1, A, A ; 19897
+ ADD *AR6+0%, -1, A, B ; 19898
+ ADD *AR6+0%, -1, A ; 19899
+ ADD *AR6+0%, -1, B, A ; 19900
+ ADD *AR6+0%, -1, B, B ; 19901
+ ADD *AR6+0%, -1, B ; 19902
+ ADD *AR6+0%, 0, A, A ; 19903
+ ADD *AR6+0%, 0, A, B ; 19904
+ ADD *AR6+0%, 0, A ; 19905
+ ADD *AR6+0%, 0, B, A ; 19906
+ ADD *AR6+0%, 0, B, B ; 19907
+ ADD *AR6+0%, 0, B ; 19908
+ ADD *AR6+0%, 1, A, A ; 19909
+ ADD *AR6+0%, 1, A, B ; 19910
+ ADD *AR6+0%, 1, A ; 19911
+ ADD *AR6+0%, 1, B, A ; 19912
+ ADD *AR6+0%, 1, B, B ; 19913
+ ADD *AR6+0%, 1, B ; 19914
+ ADD *AR6+0%, 2, A, A ; 19915
+ ADD *AR6+0%, 2, A, B ; 19916
+ ADD *AR6+0%, 2, A ; 19917
+ ADD *AR6+0%, 2, B, A ; 19918
+ ADD *AR6+0%, 2, B, B ; 19919
+ ADD *AR6+0%, 2, B ; 19920
+ ADD *AR6+0%, 3, A, A ; 19921
+ ADD *AR6+0%, 3, A, B ; 19922
+ ADD *AR6+0%, 3, A ; 19923
+ ADD *AR6+0%, 3, B, A ; 19924
+ ADD *AR6+0%, 3, B, B ; 19925
+ ADD *AR6+0%, 3, B ; 19926
+ ADD *AR6+0%, 4, A, A ; 19927
+ ADD *AR6+0%, 4, A, B ; 19928
+ ADD *AR6+0%, 4, A ; 19929
+ ADD *AR6+0%, 4, B, A ; 19930
+ ADD *AR6+0%, 4, B, B ; 19931
+ ADD *AR6+0%, 4, B ; 19932
+ ADD *AR6+0%, 5, A, A ; 19933
+ ADD *AR6+0%, 5, A, B ; 19934
+ ADD *AR6+0%, 5, A ; 19935
+ ADD *AR6+0%, 5, B, A ; 19936
+ ADD *AR6+0%, 5, B, B ; 19937
+ ADD *AR6+0%, 5, B ; 19938
+ ADD *AR6+0%, 6, A, A ; 19939
+ ADD *AR6+0%, 6, A, B ; 19940
+ ADD *AR6+0%, 6, A ; 19941
+ ADD *AR6+0%, 6, B, A ; 19942
+ ADD *AR6+0%, 6, B, B ; 19943
+ ADD *AR6+0%, 6, B ; 19944
+ ADD *AR6+0%, 7, A, A ; 19945
+ ADD *AR6+0%, 7, A, B ; 19946
+ ADD *AR6+0%, 7, A ; 19947
+ ADD *AR6+0%, 7, B, A ; 19948
+ ADD *AR6+0%, 7, B, B ; 19949
+ ADD *AR6+0%, 7, B ; 19950
+ ADD *AR6+0%, 8, A, A ; 19951
+ ADD *AR6+0%, 8, A, B ; 19952
+ ADD *AR6+0%, 8, A ; 19953
+ ADD *AR6+0%, 8, B, A ; 19954
+ ADD *AR6+0%, 8, B, B ; 19955
+ ADD *AR6+0%, 8, B ; 19956
+ ADD *AR6+0%, 9, A, A ; 19957
+ ADD *AR6+0%, 9, A, B ; 19958
+ ADD *AR6+0%, 9, A ; 19959
+ ADD *AR6+0%, 9, B, A ; 19960
+ ADD *AR6+0%, 9, B, B ; 19961
+ ADD *AR6+0%, 9, B ; 19962
+ ADD *AR6+0%, 10, A, A ; 19963
+ ADD *AR6+0%, 10, A, B ; 19964
+ ADD *AR6+0%, 10, A ; 19965
+ ADD *AR6+0%, 10, B, A ; 19966
+ ADD *AR6+0%, 10, B, B ; 19967
+ ADD *AR6+0%, 10, B ; 19968
+ ADD *AR6+0%, 11, A, A ; 19969
+ ADD *AR6+0%, 11, A, B ; 19970
+ ADD *AR6+0%, 11, A ; 19971
+ ADD *AR6+0%, 11, B, A ; 19972
+ ADD *AR6+0%, 11, B, B ; 19973
+ ADD *AR6+0%, 11, B ; 19974
+ ADD *AR6+0%, 12, A, A ; 19975
+ ADD *AR6+0%, 12, A, B ; 19976
+ ADD *AR6+0%, 12, A ; 19977
+ ADD *AR6+0%, 12, B, A ; 19978
+ ADD *AR6+0%, 12, B, B ; 19979
+ ADD *AR6+0%, 12, B ; 19980
+ ADD *AR6+0%, 13, A, A ; 19981
+ ADD *AR6+0%, 13, A, B ; 19982
+ ADD *AR6+0%, 13, A ; 19983
+ ADD *AR6+0%, 13, B, A ; 19984
+ ADD *AR6+0%, 13, B, B ; 19985
+ ADD *AR6+0%, 13, B ; 19986
+ ADD *AR6+0%, 14, A, A ; 19987
+ ADD *AR6+0%, 14, A, B ; 19988
+ ADD *AR6+0%, 14, A ; 19989
+ ADD *AR6+0%, 14, B, A ; 19990
+ ADD *AR6+0%, 14, B, B ; 19991
+ ADD *AR6+0%, 14, B ; 19992
+ ADD *AR6+0%, 15, A, A ; 19993
+ ADD *AR6+0%, 15, A, B ; 19994
+ ADD *AR6+0%, 15, A ; 19995
+ ADD *AR6+0%, 15, B, A ; 19996
+ ADD *AR6+0%, 15, B, B ; 19997
+ ADD *AR6+0%, 15, B ; 19998
+ ADD *AR6(014D5h), A, A ; 19999
+ ADD *AR6(014D6h), A, B ; 20000
+ ADD *AR6(014D7h), A ; 20001
+ ADD *AR6(014D8h), B, A ; 20002
+ ADD *AR6(014D9h), B, B ; 20003
+ ADD *AR6(014DAh), B ; 20004
+ ADD *AR6(014DBh), -16, A, A ; 20005
+ ADD *AR6(014DCh), -16, A, B ; 20006
+ ADD *AR6(014DDh), -16, A ; 20007
+ ADD *AR6(014DEh), -16, B, A ; 20008
+ ADD *AR6(014DFh), -16, B, B ; 20009
+ ADD *AR6(014E0h), -16, B ; 20010
+ ADD *AR6(014E1h), -15, A, A ; 20011
+ ADD *AR6(014E2h), -15, A, B ; 20012
+ ADD *AR6(014E3h), -15, A ; 20013
+ ADD *AR6(014E4h), -15, B, A ; 20014
+ ADD *AR6(014E5h), -15, B, B ; 20015
+ ADD *AR6(014E6h), -15, B ; 20016
+ ADD *AR6(014E7h), -14, A, A ; 20017
+ ADD *AR6(014E8h), -14, A, B ; 20018
+ ADD *AR6(014E9h), -14, A ; 20019
+ ADD *AR6(014EAh), -14, B, A ; 20020
+ ADD *AR6(014EBh), -14, B, B ; 20021
+ ADD *AR6(014ECh), -14, B ; 20022
+ ADD *AR6(014EDh), -13, A, A ; 20023
+ ADD *AR6(014EEh), -13, A, B ; 20024
+ ADD *AR6(014EFh), -13, A ; 20025
+ ADD *AR6(014F0h), -13, B, A ; 20026
+ ADD *AR6(014F1h), -13, B, B ; 20027
+ ADD *AR6(014F2h), -13, B ; 20028
+ ADD *AR6(014F3h), -12, A, A ; 20029
+ ADD *AR6(014F4h), -12, A, B ; 20030
+ ADD *AR6(014F5h), -12, A ; 20031
+ ADD *AR6(014F6h), -12, B, A ; 20032
+ ADD *AR6(014F7h), -12, B, B ; 20033
+ ADD *AR6(014F8h), -12, B ; 20034
+ ADD *AR6(014F9h), -11, A, A ; 20035
+ ADD *AR6(014FAh), -11, A, B ; 20036
+ ADD *AR6(014FBh), -11, A ; 20037
+ ADD *AR6(014FCh), -11, B, A ; 20038
+ ADD *AR6(014FDh), -11, B, B ; 20039
+ ADD *AR6(014FEh), -11, B ; 20040
+ ADD *AR6(014FFh), -10, A, A ; 20041
+ ADD *AR6(01500h), -10, A, B ; 20042
+ ADD *AR6(01501h), -10, A ; 20043
+ ADD *AR6(01502h), -10, B, A ; 20044
+ ADD *AR6(01503h), -10, B, B ; 20045
+ ADD *AR6(01504h), -10, B ; 20046
+ ADD *AR6(01505h), -9, A, A ; 20047
+ ADD *AR6(01506h), -9, A, B ; 20048
+ ADD *AR6(01507h), -9, A ; 20049
+ ADD *AR6(01508h), -9, B, A ; 20050
+ ADD *AR6(01509h), -9, B, B ; 20051
+ ADD *AR6(0150Ah), -9, B ; 20052
+ ADD *AR6(0150Bh), -8, A, A ; 20053
+ ADD *AR6(0150Ch), -8, A, B ; 20054
+ ADD *AR6(0150Dh), -8, A ; 20055
+ ADD *AR6(0150Eh), -8, B, A ; 20056
+ ADD *AR6(0150Fh), -8, B, B ; 20057
+ ADD *AR6(01510h), -8, B ; 20058
+ ADD *AR6(01511h), -7, A, A ; 20059
+ ADD *AR6(01512h), -7, A, B ; 20060
+ ADD *AR6(01513h), -7, A ; 20061
+ ADD *AR6(01514h), -7, B, A ; 20062
+ ADD *AR6(01515h), -7, B, B ; 20063
+ ADD *AR6(01516h), -7, B ; 20064
+ ADD *AR6(01517h), -6, A, A ; 20065
+ ADD *AR6(01518h), -6, A, B ; 20066
+ ADD *AR6(01519h), -6, A ; 20067
+ ADD *AR6(0151Ah), -6, B, A ; 20068
+ ADD *AR6(0151Bh), -6, B, B ; 20069
+ ADD *AR6(0151Ch), -6, B ; 20070
+ ADD *AR6(0151Dh), -5, A, A ; 20071
+ ADD *AR6(0151Eh), -5, A, B ; 20072
+ ADD *AR6(0151Fh), -5, A ; 20073
+ ADD *AR6(01520h), -5, B, A ; 20074
+ ADD *AR6(01521h), -5, B, B ; 20075
+ ADD *AR6(01522h), -5, B ; 20076
+ ADD *AR6(01523h), -4, A, A ; 20077
+ ADD *AR6(01524h), -4, A, B ; 20078
+ ADD *AR6(01525h), -4, A ; 20079
+ ADD *AR6(01526h), -4, B, A ; 20080
+ ADD *AR6(01527h), -4, B, B ; 20081
+ ADD *AR6(01528h), -4, B ; 20082
+ ADD *AR6(01529h), -3, A, A ; 20083
+ ADD *AR6(0152Ah), -3, A, B ; 20084
+ ADD *AR6(0152Bh), -3, A ; 20085
+ ADD *AR6(0152Ch), -3, B, A ; 20086
+ ADD *AR6(0152Dh), -3, B, B ; 20087
+ ADD *AR6(0152Eh), -3, B ; 20088
+ ADD *AR6(0152Fh), -2, A, A ; 20089
+ ADD *AR6(01530h), -2, A, B ; 20090
+ ADD *AR6(01531h), -2, A ; 20091
+ ADD *AR6(01532h), -2, B, A ; 20092
+ ADD *AR6(01533h), -2, B, B ; 20093
+ ADD *AR6(01534h), -2, B ; 20094
+ ADD *AR6(01535h), -1, A, A ; 20095
+ ADD *AR6(01536h), -1, A, B ; 20096
+ ADD *AR6(01537h), -1, A ; 20097
+ ADD *AR6(01538h), -1, B, A ; 20098
+ ADD *AR6(01539h), -1, B, B ; 20099
+ ADD *AR6(0153Ah), -1, B ; 20100
+ ADD *AR6(0153Bh), 0, A, A ; 20101
+ ADD *AR6(0153Ch), 0, A, B ; 20102
+ ADD *AR6(0153Dh), 0, A ; 20103
+ ADD *AR6(0153Eh), 0, B, A ; 20104
+ ADD *AR6(0153Fh), 0, B, B ; 20105
+ ADD *AR6(01540h), 0, B ; 20106
+ ADD *AR6(01541h), 1, A, A ; 20107
+ ADD *AR6(01542h), 1, A, B ; 20108
+ ADD *AR6(01543h), 1, A ; 20109
+ ADD *AR6(01544h), 1, B, A ; 20110
+ ADD *AR6(01545h), 1, B, B ; 20111
+ ADD *AR6(01546h), 1, B ; 20112
+ ADD *AR6(01547h), 2, A, A ; 20113
+ ADD *AR6(01548h), 2, A, B ; 20114
+ ADD *AR6(01549h), 2, A ; 20115
+ ADD *AR6(0154Ah), 2, B, A ; 20116
+ ADD *AR6(0154Bh), 2, B, B ; 20117
+ ADD *AR6(0154Ch), 2, B ; 20118
+ ADD *AR6(0154Dh), 3, A, A ; 20119
+ ADD *AR6(0154Eh), 3, A, B ; 20120
+ ADD *AR6(0154Fh), 3, A ; 20121
+ ADD *AR6(01550h), 3, B, A ; 20122
+ ADD *AR6(01551h), 3, B, B ; 20123
+ ADD *AR6(01552h), 3, B ; 20124
+ ADD *AR6(01553h), 4, A, A ; 20125
+ ADD *AR6(01554h), 4, A, B ; 20126
+ ADD *AR6(01555h), 4, A ; 20127
+ ADD *AR6(01556h), 4, B, A ; 20128
+ ADD *AR6(01557h), 4, B, B ; 20129
+ ADD *AR6(01558h), 4, B ; 20130
+ ADD *AR6(01559h), 5, A, A ; 20131
+ ADD *AR6(0155Ah), 5, A, B ; 20132
+ ADD *AR6(0155Bh), 5, A ; 20133
+ ADD *AR6(0155Ch), 5, B, A ; 20134
+ ADD *AR6(0155Dh), 5, B, B ; 20135
+ ADD *AR6(0155Eh), 5, B ; 20136
+ ADD *AR6(0155Fh), 6, A, A ; 20137
+ ADD *AR6(01560h), 6, A, B ; 20138
+ ADD *AR6(01561h), 6, A ; 20139
+ ADD *AR6(01562h), 6, B, A ; 20140
+ ADD *AR6(01563h), 6, B, B ; 20141
+ ADD *AR6(01564h), 6, B ; 20142
+ ADD *AR6(01565h), 7, A, A ; 20143
+ ADD *AR6(01566h), 7, A, B ; 20144
+ ADD *AR6(01567h), 7, A ; 20145
+ ADD *AR6(01568h), 7, B, A ; 20146
+ ADD *AR6(01569h), 7, B, B ; 20147
+ ADD *AR6(0156Ah), 7, B ; 20148
+ ADD *AR6(0156Bh), 8, A, A ; 20149
+ ADD *AR6(0156Ch), 8, A, B ; 20150
+ ADD *AR6(0156Dh), 8, A ; 20151
+ ADD *AR6(0156Eh), 8, B, A ; 20152
+ ADD *AR6(0156Fh), 8, B, B ; 20153
+ ADD *AR6(01570h), 8, B ; 20154
+ ADD *AR6(01571h), 9, A, A ; 20155
+ ADD *AR6(01572h), 9, A, B ; 20156
+ ADD *AR6(01573h), 9, A ; 20157
+ ADD *AR6(01574h), 9, B, A ; 20158
+ ADD *AR6(01575h), 9, B, B ; 20159
+ ADD *AR6(01576h), 9, B ; 20160
+ ADD *AR6(01577h), 10, A, A ; 20161
+ ADD *AR6(01578h), 10, A, B ; 20162
+ ADD *AR6(01579h), 10, A ; 20163
+ ADD *AR6(0157Ah), 10, B, A ; 20164
+ ADD *AR6(0157Bh), 10, B, B ; 20165
+ ADD *AR6(0157Ch), 10, B ; 20166
+ ADD *AR6(0157Dh), 11, A, A ; 20167
+ ADD *AR6(0157Eh), 11, A, B ; 20168
+ ADD *AR6(0157Fh), 11, A ; 20169
+ ADD *AR6(01580h), 11, B, A ; 20170
+ ADD *AR6(01581h), 11, B, B ; 20171
+ ADD *AR6(01582h), 11, B ; 20172
+ ADD *AR6(01583h), 12, A, A ; 20173
+ ADD *AR6(01584h), 12, A, B ; 20174
+ ADD *AR6(01585h), 12, A ; 20175
+ ADD *AR6(01586h), 12, B, A ; 20176
+ ADD *AR6(01587h), 12, B, B ; 20177
+ ADD *AR6(01588h), 12, B ; 20178
+ ADD *AR6(01589h), 13, A, A ; 20179
+ ADD *AR6(0158Ah), 13, A, B ; 20180
+ ADD *AR6(0158Bh), 13, A ; 20181
+ ADD *AR6(0158Ch), 13, B, A ; 20182
+ ADD *AR6(0158Dh), 13, B, B ; 20183
+ ADD *AR6(0158Eh), 13, B ; 20184
+ ADD *AR6(0158Fh), 14, A, A ; 20185
+ ADD *AR6(01590h), 14, A, B ; 20186
+ ADD *AR6(01591h), 14, A ; 20187
+ ADD *AR6(01592h), 14, B, A ; 20188
+ ADD *AR6(01593h), 14, B, B ; 20189
+ ADD *AR6(01594h), 14, B ; 20190
+ ADD *AR6(01595h), 15, A, A ; 20191
+ ADD *AR6(01596h), 15, A, B ; 20192
+ ADD *AR6(01597h), 15, A ; 20193
+ ADD *AR6(01598h), 15, B, A ; 20194
+ ADD *AR6(01599h), 15, B, B ; 20195
+ ADD *AR6(0159Ah), 15, B ; 20196
+ ADD *+AR6(0159Bh), A, A ; 20197
+ ADD *+AR6(0159Ch), A, B ; 20198
+ ADD *+AR6(0159Dh), A ; 20199
+ ADD *+AR6(0159Eh), B, A ; 20200
+ ADD *+AR6(0159Fh), B, B ; 20201
+ ADD *+AR6(015A0h), B ; 20202
+ ADD *+AR6(015A1h), -16, A, A ; 20203
+ ADD *+AR6(015A2h), -16, A, B ; 20204
+ ADD *+AR6(015A3h), -16, A ; 20205
+ ADD *+AR6(015A4h), -16, B, A ; 20206
+ ADD *+AR6(015A5h), -16, B, B ; 20207
+ ADD *+AR6(015A6h), -16, B ; 20208
+ ADD *+AR6(015A7h), -15, A, A ; 20209
+ ADD *+AR6(015A8h), -15, A, B ; 20210
+ ADD *+AR6(015A9h), -15, A ; 20211
+ ADD *+AR6(015AAh), -15, B, A ; 20212
+ ADD *+AR6(015ABh), -15, B, B ; 20213
+ ADD *+AR6(015ACh), -15, B ; 20214
+ ADD *+AR6(015ADh), -14, A, A ; 20215
+ ADD *+AR6(015AEh), -14, A, B ; 20216
+ ADD *+AR6(015AFh), -14, A ; 20217
+ ADD *+AR6(015B0h), -14, B, A ; 20218
+ ADD *+AR6(015B1h), -14, B, B ; 20219
+ ADD *+AR6(015B2h), -14, B ; 20220
+ ADD *+AR6(015B3h), -13, A, A ; 20221
+ ADD *+AR6(015B4h), -13, A, B ; 20222
+ ADD *+AR6(015B5h), -13, A ; 20223
+ ADD *+AR6(015B6h), -13, B, A ; 20224
+ ADD *+AR6(015B7h), -13, B, B ; 20225
+ ADD *+AR6(015B8h), -13, B ; 20226
+ ADD *+AR6(015B9h), -12, A, A ; 20227
+ ADD *+AR6(015BAh), -12, A, B ; 20228
+ ADD *+AR6(015BBh), -12, A ; 20229
+ ADD *+AR6(015BCh), -12, B, A ; 20230
+ ADD *+AR6(015BDh), -12, B, B ; 20231
+ ADD *+AR6(015BEh), -12, B ; 20232
+ ADD *+AR6(015BFh), -11, A, A ; 20233
+ ADD *+AR6(015C0h), -11, A, B ; 20234
+ ADD *+AR6(015C1h), -11, A ; 20235
+ ADD *+AR6(015C2h), -11, B, A ; 20236
+ ADD *+AR6(015C3h), -11, B, B ; 20237
+ ADD *+AR6(015C4h), -11, B ; 20238
+ ADD *+AR6(015C5h), -10, A, A ; 20239
+ ADD *+AR6(015C6h), -10, A, B ; 20240
+ ADD *+AR6(015C7h), -10, A ; 20241
+ ADD *+AR6(015C8h), -10, B, A ; 20242
+ ADD *+AR6(015C9h), -10, B, B ; 20243
+ ADD *+AR6(015CAh), -10, B ; 20244
+ ADD *+AR6(015CBh), -9, A, A ; 20245
+ ADD *+AR6(015CCh), -9, A, B ; 20246
+ ADD *+AR6(015CDh), -9, A ; 20247
+ ADD *+AR6(015CEh), -9, B, A ; 20248
+ ADD *+AR6(015CFh), -9, B, B ; 20249
+ ADD *+AR6(015D0h), -9, B ; 20250
+ ADD *+AR6(015D1h), -8, A, A ; 20251
+ ADD *+AR6(015D2h), -8, A, B ; 20252
+ ADD *+AR6(015D3h), -8, A ; 20253
+ ADD *+AR6(015D4h), -8, B, A ; 20254
+ ADD *+AR6(015D5h), -8, B, B ; 20255
+ ADD *+AR6(015D6h), -8, B ; 20256
+ ADD *+AR6(015D7h), -7, A, A ; 20257
+ ADD *+AR6(015D8h), -7, A, B ; 20258
+ ADD *+AR6(015D9h), -7, A ; 20259
+ ADD *+AR6(015DAh), -7, B, A ; 20260
+ ADD *+AR6(015DBh), -7, B, B ; 20261
+ ADD *+AR6(015DCh), -7, B ; 20262
+ ADD *+AR6(015DDh), -6, A, A ; 20263
+ ADD *+AR6(015DEh), -6, A, B ; 20264
+ ADD *+AR6(015DFh), -6, A ; 20265
+ ADD *+AR6(015E0h), -6, B, A ; 20266
+ ADD *+AR6(015E1h), -6, B, B ; 20267
+ ADD *+AR6(015E2h), -6, B ; 20268
+ ADD *+AR6(015E3h), -5, A, A ; 20269
+ ADD *+AR6(015E4h), -5, A, B ; 20270
+ ADD *+AR6(015E5h), -5, A ; 20271
+ ADD *+AR6(015E6h), -5, B, A ; 20272
+ ADD *+AR6(015E7h), -5, B, B ; 20273
+ ADD *+AR6(015E8h), -5, B ; 20274
+ ADD *+AR6(015E9h), -4, A, A ; 20275
+ ADD *+AR6(015EAh), -4, A, B ; 20276
+ ADD *+AR6(015EBh), -4, A ; 20277
+ ADD *+AR6(015ECh), -4, B, A ; 20278
+ ADD *+AR6(015EDh), -4, B, B ; 20279
+ ADD *+AR6(015EEh), -4, B ; 20280
+ ADD *+AR6(015EFh), -3, A, A ; 20281
+ ADD *+AR6(015F0h), -3, A, B ; 20282
+ ADD *+AR6(015F1h), -3, A ; 20283
+ ADD *+AR6(015F2h), -3, B, A ; 20284
+ ADD *+AR6(015F3h), -3, B, B ; 20285
+ ADD *+AR6(015F4h), -3, B ; 20286
+ ADD *+AR6(015F5h), -2, A, A ; 20287
+ ADD *+AR6(015F6h), -2, A, B ; 20288
+ ADD *+AR6(015F7h), -2, A ; 20289
+ ADD *+AR6(015F8h), -2, B, A ; 20290
+ ADD *+AR6(015F9h), -2, B, B ; 20291
+ ADD *+AR6(015FAh), -2, B ; 20292
+ ADD *+AR6(015FBh), -1, A, A ; 20293
+ ADD *+AR6(015FCh), -1, A, B ; 20294
+ ADD *+AR6(015FDh), -1, A ; 20295
+ ADD *+AR6(015FEh), -1, B, A ; 20296
+ ADD *+AR6(015FFh), -1, B, B ; 20297
+ ADD *+AR6(01600h), -1, B ; 20298
+ ADD *+AR6(01601h), 0, A, A ; 20299
+ ADD *+AR6(01602h), 0, A, B ; 20300
+ ADD *+AR6(01603h), 0, A ; 20301
+ ADD *+AR6(01604h), 0, B, A ; 20302
+ ADD *+AR6(01605h), 0, B, B ; 20303
+ ADD *+AR6(01606h), 0, B ; 20304
+ ADD *+AR6(01607h), 1, A, A ; 20305
+ ADD *+AR6(01608h), 1, A, B ; 20306
+ ADD *+AR6(01609h), 1, A ; 20307
+ ADD *+AR6(0160Ah), 1, B, A ; 20308
+ ADD *+AR6(0160Bh), 1, B, B ; 20309
+ ADD *+AR6(0160Ch), 1, B ; 20310
+ ADD *+AR6(0160Dh), 2, A, A ; 20311
+ ADD *+AR6(0160Eh), 2, A, B ; 20312
+ ADD *+AR6(0160Fh), 2, A ; 20313
+ ADD *+AR6(01610h), 2, B, A ; 20314
+ ADD *+AR6(01611h), 2, B, B ; 20315
+ ADD *+AR6(01612h), 2, B ; 20316
+ ADD *+AR6(01613h), 3, A, A ; 20317
+ ADD *+AR6(01614h), 3, A, B ; 20318
+ ADD *+AR6(01615h), 3, A ; 20319
+ ADD *+AR6(01616h), 3, B, A ; 20320
+ ADD *+AR6(01617h), 3, B, B ; 20321
+ ADD *+AR6(01618h), 3, B ; 20322
+ ADD *+AR6(01619h), 4, A, A ; 20323
+ ADD *+AR6(0161Ah), 4, A, B ; 20324
+ ADD *+AR6(0161Bh), 4, A ; 20325
+ ADD *+AR6(0161Ch), 4, B, A ; 20326
+ ADD *+AR6(0161Dh), 4, B, B ; 20327
+ ADD *+AR6(0161Eh), 4, B ; 20328
+ ADD *+AR6(0161Fh), 5, A, A ; 20329
+ ADD *+AR6(01620h), 5, A, B ; 20330
+ ADD *+AR6(01621h), 5, A ; 20331
+ ADD *+AR6(01622h), 5, B, A ; 20332
+ ADD *+AR6(01623h), 5, B, B ; 20333
+ ADD *+AR6(01624h), 5, B ; 20334
+ ADD *+AR6(01625h), 6, A, A ; 20335
+ ADD *+AR6(01626h), 6, A, B ; 20336
+ ADD *+AR6(01627h), 6, A ; 20337
+ ADD *+AR6(01628h), 6, B, A ; 20338
+ ADD *+AR6(01629h), 6, B, B ; 20339
+ ADD *+AR6(0162Ah), 6, B ; 20340
+ ADD *+AR6(0162Bh), 7, A, A ; 20341
+ ADD *+AR6(0162Ch), 7, A, B ; 20342
+ ADD *+AR6(0162Dh), 7, A ; 20343
+ ADD *+AR6(0162Eh), 7, B, A ; 20344
+ ADD *+AR6(0162Fh), 7, B, B ; 20345
+ ADD *+AR6(01630h), 7, B ; 20346
+ ADD *+AR6(01631h), 8, A, A ; 20347
+ ADD *+AR6(01632h), 8, A, B ; 20348
+ ADD *+AR6(01633h), 8, A ; 20349
+ ADD *+AR6(01634h), 8, B, A ; 20350
+ ADD *+AR6(01635h), 8, B, B ; 20351
+ ADD *+AR6(01636h), 8, B ; 20352
+ ADD *+AR6(01637h), 9, A, A ; 20353
+ ADD *+AR6(01638h), 9, A, B ; 20354
+ ADD *+AR6(01639h), 9, A ; 20355
+ ADD *+AR6(0163Ah), 9, B, A ; 20356
+ ADD *+AR6(0163Bh), 9, B, B ; 20357
+ ADD *+AR6(0163Ch), 9, B ; 20358
+ ADD *+AR6(0163Dh), 10, A, A ; 20359
+ ADD *+AR6(0163Eh), 10, A, B ; 20360
+ ADD *+AR6(0163Fh), 10, A ; 20361
+ ADD *+AR6(01640h), 10, B, A ; 20362
+ ADD *+AR6(01641h), 10, B, B ; 20363
+ ADD *+AR6(01642h), 10, B ; 20364
+ ADD *+AR6(01643h), 11, A, A ; 20365
+ ADD *+AR6(01644h), 11, A, B ; 20366
+ ADD *+AR6(01645h), 11, A ; 20367
+ ADD *+AR6(01646h), 11, B, A ; 20368
+ ADD *+AR6(01647h), 11, B, B ; 20369
+ ADD *+AR6(01648h), 11, B ; 20370
+ ADD *+AR6(01649h), 12, A, A ; 20371
+ ADD *+AR6(0164Ah), 12, A, B ; 20372
+ ADD *+AR6(0164Bh), 12, A ; 20373
+ ADD *+AR6(0164Ch), 12, B, A ; 20374
+ ADD *+AR6(0164Dh), 12, B, B ; 20375
+ ADD *+AR6(0164Eh), 12, B ; 20376
+ ADD *+AR6(0164Fh), 13, A, A ; 20377
+ ADD *+AR6(01650h), 13, A, B ; 20378
+ ADD *+AR6(01651h), 13, A ; 20379
+ ADD *+AR6(01652h), 13, B, A ; 20380
+ ADD *+AR6(01653h), 13, B, B ; 20381
+ ADD *+AR6(01654h), 13, B ; 20382
+ ADD *+AR6(01655h), 14, A, A ; 20383
+ ADD *+AR6(01656h), 14, A, B ; 20384
+ ADD *+AR6(01657h), 14, A ; 20385
+ ADD *+AR6(01658h), 14, B, A ; 20386
+ ADD *+AR6(01659h), 14, B, B ; 20387
+ ADD *+AR6(0165Ah), 14, B ; 20388
+ ADD *+AR6(0165Bh), 15, A, A ; 20389
+ ADD *+AR6(0165Ch), 15, A, B ; 20390
+ ADD *+AR6(0165Dh), 15, A ; 20391
+ ADD *+AR6(0165Eh), 15, B, A ; 20392
+ ADD *+AR6(0165Fh), 15, B, B ; 20393
+ ADD *+AR6(01660h), 15, B ; 20394
+ ADD *+AR6(01661h)%, A, A ; 20395
+ ADD *+AR6(01662h)%, A, B ; 20396
+ ADD *+AR6(01663h)%, A ; 20397
+ ADD *+AR6(01664h)%, B, A ; 20398
+ ADD *+AR6(01665h)%, B, B ; 20399
+ ADD *+AR6(01666h)%, B ; 20400
+ ADD *+AR6(01667h)%, -16, A, A ; 20401
+ ADD *+AR6(01668h)%, -16, A, B ; 20402
+ ADD *+AR6(01669h)%, -16, A ; 20403
+ ADD *+AR6(0166Ah)%, -16, B, A ; 20404
+ ADD *+AR6(0166Bh)%, -16, B, B ; 20405
+ ADD *+AR6(0166Ch)%, -16, B ; 20406
+ ADD *+AR6(0166Dh)%, -15, A, A ; 20407
+ ADD *+AR6(0166Eh)%, -15, A, B ; 20408
+ ADD *+AR6(0166Fh)%, -15, A ; 20409
+ ADD *+AR6(01670h)%, -15, B, A ; 20410
+ ADD *+AR6(01671h)%, -15, B, B ; 20411
+ ADD *+AR6(01672h)%, -15, B ; 20412
+ ADD *+AR6(01673h)%, -14, A, A ; 20413
+ ADD *+AR6(01674h)%, -14, A, B ; 20414
+ ADD *+AR6(01675h)%, -14, A ; 20415
+ ADD *+AR6(01676h)%, -14, B, A ; 20416
+ ADD *+AR6(01677h)%, -14, B, B ; 20417
+ ADD *+AR6(01678h)%, -14, B ; 20418
+ ADD *+AR6(01679h)%, -13, A, A ; 20419
+ ADD *+AR6(0167Ah)%, -13, A, B ; 20420
+ ADD *+AR6(0167Bh)%, -13, A ; 20421
+ ADD *+AR6(0167Ch)%, -13, B, A ; 20422
+ ADD *+AR6(0167Dh)%, -13, B, B ; 20423
+ ADD *+AR6(0167Eh)%, -13, B ; 20424
+ ADD *+AR6(0167Fh)%, -12, A, A ; 20425
+ ADD *+AR6(01680h)%, -12, A, B ; 20426
+ ADD *+AR6(01681h)%, -12, A ; 20427
+ ADD *+AR6(01682h)%, -12, B, A ; 20428
+ ADD *+AR6(01683h)%, -12, B, B ; 20429
+ ADD *+AR6(01684h)%, -12, B ; 20430
+ ADD *+AR6(01685h)%, -11, A, A ; 20431
+ ADD *+AR6(01686h)%, -11, A, B ; 20432
+ ADD *+AR6(01687h)%, -11, A ; 20433
+ ADD *+AR6(01688h)%, -11, B, A ; 20434
+ ADD *+AR6(01689h)%, -11, B, B ; 20435
+ ADD *+AR6(0168Ah)%, -11, B ; 20436
+ ADD *+AR6(0168Bh)%, -10, A, A ; 20437
+ ADD *+AR6(0168Ch)%, -10, A, B ; 20438
+ ADD *+AR6(0168Dh)%, -10, A ; 20439
+ ADD *+AR6(0168Eh)%, -10, B, A ; 20440
+ ADD *+AR6(0168Fh)%, -10, B, B ; 20441
+ ADD *+AR6(01690h)%, -10, B ; 20442
+ ADD *+AR6(01691h)%, -9, A, A ; 20443
+ ADD *+AR6(01692h)%, -9, A, B ; 20444
+ ADD *+AR6(01693h)%, -9, A ; 20445
+ ADD *+AR6(01694h)%, -9, B, A ; 20446
+ ADD *+AR6(01695h)%, -9, B, B ; 20447
+ ADD *+AR6(01696h)%, -9, B ; 20448
+ ADD *+AR6(01697h)%, -8, A, A ; 20449
+ ADD *+AR6(01698h)%, -8, A, B ; 20450
+ ADD *+AR6(01699h)%, -8, A ; 20451
+ ADD *+AR6(0169Ah)%, -8, B, A ; 20452
+ ADD *+AR6(0169Bh)%, -8, B, B ; 20453
+ ADD *+AR6(0169Ch)%, -8, B ; 20454
+ ADD *+AR6(0169Dh)%, -7, A, A ; 20455
+ ADD *+AR6(0169Eh)%, -7, A, B ; 20456
+ ADD *+AR6(0169Fh)%, -7, A ; 20457
+ ADD *+AR6(016A0h)%, -7, B, A ; 20458
+ ADD *+AR6(016A1h)%, -7, B, B ; 20459
+ ADD *+AR6(016A2h)%, -7, B ; 20460
+ ADD *+AR6(016A3h)%, -6, A, A ; 20461
+ ADD *+AR6(016A4h)%, -6, A, B ; 20462
+ ADD *+AR6(016A5h)%, -6, A ; 20463
+ ADD *+AR6(016A6h)%, -6, B, A ; 20464
+ ADD *+AR6(016A7h)%, -6, B, B ; 20465
+ ADD *+AR6(016A8h)%, -6, B ; 20466
+ ADD *+AR6(016A9h)%, -5, A, A ; 20467
+ ADD *+AR6(016AAh)%, -5, A, B ; 20468
+ ADD *+AR6(016ABh)%, -5, A ; 20469
+ ADD *+AR6(016ACh)%, -5, B, A ; 20470
+ ADD *+AR6(016ADh)%, -5, B, B ; 20471
+ ADD *+AR6(016AEh)%, -5, B ; 20472
+ ADD *+AR6(016AFh)%, -4, A, A ; 20473
+ ADD *+AR6(016B0h)%, -4, A, B ; 20474
+ ADD *+AR6(016B1h)%, -4, A ; 20475
+ ADD *+AR6(016B2h)%, -4, B, A ; 20476
+ ADD *+AR6(016B3h)%, -4, B, B ; 20477
+ ADD *+AR6(016B4h)%, -4, B ; 20478
+ ADD *+AR6(016B5h)%, -3, A, A ; 20479
+ ADD *+AR6(016B6h)%, -3, A, B ; 20480
+ ADD *+AR6(016B7h)%, -3, A ; 20481
+ ADD *+AR6(016B8h)%, -3, B, A ; 20482
+ ADD *+AR6(016B9h)%, -3, B, B ; 20483
+ ADD *+AR6(016BAh)%, -3, B ; 20484
+ ADD *+AR6(016BBh)%, -2, A, A ; 20485
+ ADD *+AR6(016BCh)%, -2, A, B ; 20486
+ ADD *+AR6(016BDh)%, -2, A ; 20487
+ ADD *+AR6(016BEh)%, -2, B, A ; 20488
+ ADD *+AR6(016BFh)%, -2, B, B ; 20489
+ ADD *+AR6(016C0h)%, -2, B ; 20490
+ ADD *+AR6(016C1h)%, -1, A, A ; 20491
+ ADD *+AR6(016C2h)%, -1, A, B ; 20492
+ ADD *+AR6(016C3h)%, -1, A ; 20493
+ ADD *+AR6(016C4h)%, -1, B, A ; 20494
+ ADD *+AR6(016C5h)%, -1, B, B ; 20495
+ ADD *+AR6(016C6h)%, -1, B ; 20496
+ ADD *+AR6(016C7h)%, 0, A, A ; 20497
+ ADD *+AR6(016C8h)%, 0, A, B ; 20498
+ ADD *+AR6(016C9h)%, 0, A ; 20499
+ ADD *+AR6(016CAh)%, 0, B, A ; 20500
+ ADD *+AR6(016CBh)%, 0, B, B ; 20501
+ ADD *+AR6(016CCh)%, 0, B ; 20502
+ ADD *+AR6(016CDh)%, 1, A, A ; 20503
+ ADD *+AR6(016CEh)%, 1, A, B ; 20504
+ ADD *+AR6(016CFh)%, 1, A ; 20505
+ ADD *+AR6(016D0h)%, 1, B, A ; 20506
+ ADD *+AR6(016D1h)%, 1, B, B ; 20507
+ ADD *+AR6(016D2h)%, 1, B ; 20508
+ ADD *+AR6(016D3h)%, 2, A, A ; 20509
+ ADD *+AR6(016D4h)%, 2, A, B ; 20510
+ ADD *+AR6(016D5h)%, 2, A ; 20511
+ ADD *+AR6(016D6h)%, 2, B, A ; 20512
+ ADD *+AR6(016D7h)%, 2, B, B ; 20513
+ ADD *+AR6(016D8h)%, 2, B ; 20514
+ ADD *+AR6(016D9h)%, 3, A, A ; 20515
+ ADD *+AR6(016DAh)%, 3, A, B ; 20516
+ ADD *+AR6(016DBh)%, 3, A ; 20517
+ ADD *+AR6(016DCh)%, 3, B, A ; 20518
+ ADD *+AR6(016DDh)%, 3, B, B ; 20519
+ ADD *+AR6(016DEh)%, 3, B ; 20520
+ ADD *+AR6(016DFh)%, 4, A, A ; 20521
+ ADD *+AR6(016E0h)%, 4, A, B ; 20522
+ ADD *+AR6(016E1h)%, 4, A ; 20523
+ ADD *+AR6(016E2h)%, 4, B, A ; 20524
+ ADD *+AR6(016E3h)%, 4, B, B ; 20525
+ ADD *+AR6(016E4h)%, 4, B ; 20526
+ ADD *+AR6(016E5h)%, 5, A, A ; 20527
+ ADD *+AR6(016E6h)%, 5, A, B ; 20528
+ ADD *+AR6(016E7h)%, 5, A ; 20529
+ ADD *+AR6(016E8h)%, 5, B, A ; 20530
+ ADD *+AR6(016E9h)%, 5, B, B ; 20531
+ ADD *+AR6(016EAh)%, 5, B ; 20532
+ ADD *+AR6(016EBh)%, 6, A, A ; 20533
+ ADD *+AR6(016ECh)%, 6, A, B ; 20534
+ ADD *+AR6(016EDh)%, 6, A ; 20535
+ ADD *+AR6(016EEh)%, 6, B, A ; 20536
+ ADD *+AR6(016EFh)%, 6, B, B ; 20537
+ ADD *+AR6(016F0h)%, 6, B ; 20538
+ ADD *+AR6(016F1h)%, 7, A, A ; 20539
+ ADD *+AR6(016F2h)%, 7, A, B ; 20540
+ ADD *+AR6(016F3h)%, 7, A ; 20541
+ ADD *+AR6(016F4h)%, 7, B, A ; 20542
+ ADD *+AR6(016F5h)%, 7, B, B ; 20543
+ ADD *+AR6(016F6h)%, 7, B ; 20544
+ ADD *+AR6(016F7h)%, 8, A, A ; 20545
+ ADD *+AR6(016F8h)%, 8, A, B ; 20546
+ ADD *+AR6(016F9h)%, 8, A ; 20547
+ ADD *+AR6(016FAh)%, 8, B, A ; 20548
+ ADD *+AR6(016FBh)%, 8, B, B ; 20549
+ ADD *+AR6(016FCh)%, 8, B ; 20550
+ ADD *+AR6(016FDh)%, 9, A, A ; 20551
+ ADD *+AR6(016FEh)%, 9, A, B ; 20552
+ ADD *+AR6(016FFh)%, 9, A ; 20553
+ ADD *+AR6(01700h)%, 9, B, A ; 20554
+ ADD *+AR6(01701h)%, 9, B, B ; 20555
+ ADD *+AR6(01702h)%, 9, B ; 20556
+ ADD *+AR6(01703h)%, 10, A, A ; 20557
+ ADD *+AR6(01704h)%, 10, A, B ; 20558
+ ADD *+AR6(01705h)%, 10, A ; 20559
+ ADD *+AR6(01706h)%, 10, B, A ; 20560
+ ADD *+AR6(01707h)%, 10, B, B ; 20561
+ ADD *+AR6(01708h)%, 10, B ; 20562
+ ADD *+AR6(01709h)%, 11, A, A ; 20563
+ ADD *+AR6(0170Ah)%, 11, A, B ; 20564
+ ADD *+AR6(0170Bh)%, 11, A ; 20565
+ ADD *+AR6(0170Ch)%, 11, B, A ; 20566
+ ADD *+AR6(0170Dh)%, 11, B, B ; 20567
+ ADD *+AR6(0170Eh)%, 11, B ; 20568
+ ADD *+AR6(0170Fh)%, 12, A, A ; 20569
+ ADD *+AR6(01710h)%, 12, A, B ; 20570
+ ADD *+AR6(01711h)%, 12, A ; 20571
+ ADD *+AR6(01712h)%, 12, B, A ; 20572
+ ADD *+AR6(01713h)%, 12, B, B ; 20573
+ ADD *+AR6(01714h)%, 12, B ; 20574
+ ADD *+AR6(01715h)%, 13, A, A ; 20575
+ ADD *+AR6(01716h)%, 13, A, B ; 20576
+ ADD *+AR6(01717h)%, 13, A ; 20577
+ ADD *+AR6(01718h)%, 13, B, A ; 20578
+ ADD *+AR6(01719h)%, 13, B, B ; 20579
+ ADD *+AR6(0171Ah)%, 13, B ; 20580
+ ADD *+AR6(0171Bh)%, 14, A, A ; 20581
+ ADD *+AR6(0171Ch)%, 14, A, B ; 20582
+ ADD *+AR6(0171Dh)%, 14, A ; 20583
+ ADD *+AR6(0171Eh)%, 14, B, A ; 20584
+ ADD *+AR6(0171Fh)%, 14, B, B ; 20585
+ ADD *+AR6(01720h)%, 14, B ; 20586
+ ADD *+AR6(01721h)%, 15, A, A ; 20587
+ ADD *+AR6(01722h)%, 15, A, B ; 20588
+ ADD *+AR6(01723h)%, 15, A ; 20589
+ ADD *+AR6(01724h)%, 15, B, A ; 20590
+ ADD *+AR6(01725h)%, 15, B, B ; 20591
+ ADD *+AR6(01726h)%, 15, B ; 20592
+ ADD *(01727h), A, A ; 20593
+ ADD *(01728h), A, B ; 20594
+ ADD *(01729h), A ; 20595
+ ADD *(0172Ah), B, A ; 20596
+ ADD *(0172Bh), B, B ; 20597
+ ADD *(0172Ch), B ; 20598
+ ADD *(0172Dh), -16, A, A ; 20599
+ ADD *(0172Eh), -16, A, B ; 20600
+ ADD *(0172Fh), -16, A ; 20601
+ ADD *(01730h), -16, B, A ; 20602
+ ADD *(01731h), -16, B, B ; 20603
+ ADD *(01732h), -16, B ; 20604
+ ADD *(01733h), -15, A, A ; 20605
+ ADD *(01734h), -15, A, B ; 20606
+ ADD *(01735h), -15, A ; 20607
+ ADD *(01736h), -15, B, A ; 20608
+ ADD *(01737h), -15, B, B ; 20609
+ ADD *(01738h), -15, B ; 20610
+ ADD *(01739h), -14, A, A ; 20611
+ ADD *(0173Ah), -14, A, B ; 20612
+ ADD *(0173Bh), -14, A ; 20613
+ ADD *(0173Ch), -14, B, A ; 20614
+ ADD *(0173Dh), -14, B, B ; 20615
+ ADD *(0173Eh), -14, B ; 20616
+ ADD *(0173Fh), -13, A, A ; 20617
+ ADD *(01740h), -13, A, B ; 20618
+ ADD *(01741h), -13, A ; 20619
+ ADD *(01742h), -13, B, A ; 20620
+ ADD *(01743h), -13, B, B ; 20621
+ ADD *(01744h), -13, B ; 20622
+ ADD *(01745h), -12, A, A ; 20623
+ ADD *(01746h), -12, A, B ; 20624
+ ADD *(01747h), -12, A ; 20625
+ ADD *(01748h), -12, B, A ; 20626
+ ADD *(01749h), -12, B, B ; 20627
+ ADD *(0174Ah), -12, B ; 20628
+ ADD *(0174Bh), -11, A, A ; 20629
+ ADD *(0174Ch), -11, A, B ; 20630
+ ADD *(0174Dh), -11, A ; 20631
+ ADD *(0174Eh), -11, B, A ; 20632
+ ADD *(0174Fh), -11, B, B ; 20633
+ ADD *(01750h), -11, B ; 20634
+ ADD *(01751h), -10, A, A ; 20635
+ ADD *(01752h), -10, A, B ; 20636
+ ADD *(01753h), -10, A ; 20637
+ ADD *(01754h), -10, B, A ; 20638
+ ADD *(01755h), -10, B, B ; 20639
+ ADD *(01756h), -10, B ; 20640
+ ADD *(01757h), -9, A, A ; 20641
+ ADD *(01758h), -9, A, B ; 20642
+ ADD *(01759h), -9, A ; 20643
+ ADD *(0175Ah), -9, B, A ; 20644
+ ADD *(0175Bh), -9, B, B ; 20645
+ ADD *(0175Ch), -9, B ; 20646
+ ADD *(0175Dh), -8, A, A ; 20647
+ ADD *(0175Eh), -8, A, B ; 20648
+ ADD *(0175Fh), -8, A ; 20649
+ ADD *(01760h), -8, B, A ; 20650
+ ADD *(01761h), -8, B, B ; 20651
+ ADD *(01762h), -8, B ; 20652
+ ADD *(01763h), -7, A, A ; 20653
+ ADD *(01764h), -7, A, B ; 20654
+ ADD *(01765h), -7, A ; 20655
+ ADD *(01766h), -7, B, A ; 20656
+ ADD *(01767h), -7, B, B ; 20657
+ ADD *(01768h), -7, B ; 20658
+ ADD *(01769h), -6, A, A ; 20659
+ ADD *(0176Ah), -6, A, B ; 20660
+ ADD *(0176Bh), -6, A ; 20661
+ ADD *(0176Ch), -6, B, A ; 20662
+ ADD *(0176Dh), -6, B, B ; 20663
+ ADD *(0176Eh), -6, B ; 20664
+ ADD *(0176Fh), -5, A, A ; 20665
+ ADD *(01770h), -5, A, B ; 20666
+ ADD *(01771h), -5, A ; 20667
+ ADD *(01772h), -5, B, A ; 20668
+ ADD *(01773h), -5, B, B ; 20669
+ ADD *(01774h), -5, B ; 20670
+ ADD *(01775h), -4, A, A ; 20671
+ ADD *(01776h), -4, A, B ; 20672
+ ADD *(01777h), -4, A ; 20673
+ ADD *(01778h), -4, B, A ; 20674
+ ADD *(01779h), -4, B, B ; 20675
+ ADD *(0177Ah), -4, B ; 20676
+ ADD *(0177Bh), -3, A, A ; 20677
+ ADD *(0177Ch), -3, A, B ; 20678
+ ADD *(0177Dh), -3, A ; 20679
+ ADD *(0177Eh), -3, B, A ; 20680
+ ADD *(0177Fh), -3, B, B ; 20681
+ ADD *(01780h), -3, B ; 20682
+ ADD *(01781h), -2, A, A ; 20683
+ ADD *(01782h), -2, A, B ; 20684
+ ADD *(01783h), -2, A ; 20685
+ ADD *(01784h), -2, B, A ; 20686
+ ADD *(01785h), -2, B, B ; 20687
+ ADD *(01786h), -2, B ; 20688
+ ADD *(01787h), -1, A, A ; 20689
+ ADD *(01788h), -1, A, B ; 20690
+ ADD *(01789h), -1, A ; 20691
+ ADD *(0178Ah), -1, B, A ; 20692
+ ADD *(0178Bh), -1, B, B ; 20693
+ ADD *(0178Ch), -1, B ; 20694
+ ADD *(0178Dh), 0, A, A ; 20695
+ ADD *(0178Eh), 0, A, B ; 20696
+ ADD *(0178Fh), 0, A ; 20697
+ ADD *(01790h), 0, B, A ; 20698
+ ADD *(01791h), 0, B, B ; 20699
+ ADD *(01792h), 0, B ; 20700
+ ADD *(01793h), 1, A, A ; 20701
+ ADD *(01794h), 1, A, B ; 20702
+ ADD *(01795h), 1, A ; 20703
+ ADD *(01796h), 1, B, A ; 20704
+ ADD *(01797h), 1, B, B ; 20705
+ ADD *(01798h), 1, B ; 20706
+ ADD *(01799h), 2, A, A ; 20707
+ ADD *(0179Ah), 2, A, B ; 20708
+ ADD *(0179Bh), 2, A ; 20709
+ ADD *(0179Ch), 2, B, A ; 20710
+ ADD *(0179Dh), 2, B, B ; 20711
+ ADD *(0179Eh), 2, B ; 20712
+ ADD *(0179Fh), 3, A, A ; 20713
+ ADD *(017A0h), 3, A, B ; 20714
+ ADD *(017A1h), 3, A ; 20715
+ ADD *(017A2h), 3, B, A ; 20716
+ ADD *(017A3h), 3, B, B ; 20717
+ ADD *(017A4h), 3, B ; 20718
+ ADD *(017A5h), 4, A, A ; 20719
+ ADD *(017A6h), 4, A, B ; 20720
+ ADD *(017A7h), 4, A ; 20721
+ ADD *(017A8h), 4, B, A ; 20722
+ ADD *(017A9h), 4, B, B ; 20723
+ ADD *(017AAh), 4, B ; 20724
+ ADD *(017ABh), 5, A, A ; 20725
+ ADD *(017ACh), 5, A, B ; 20726
+ ADD *(017ADh), 5, A ; 20727
+ ADD *(017AEh), 5, B, A ; 20728
+ ADD *(017AFh), 5, B, B ; 20729
+ ADD *(017B0h), 5, B ; 20730
+ ADD *(017B1h), 6, A, A ; 20731
+ ADD *(017B2h), 6, A, B ; 20732
+ ADD *(017B3h), 6, A ; 20733
+ ADD *(017B4h), 6, B, A ; 20734
+ ADD *(017B5h), 6, B, B ; 20735
+ ADD *(017B6h), 6, B ; 20736
+ ADD *(017B7h), 7, A, A ; 20737
+ ADD *(017B8h), 7, A, B ; 20738
+ ADD *(017B9h), 7, A ; 20739
+ ADD *(017BAh), 7, B, A ; 20740
+ ADD *(017BBh), 7, B, B ; 20741
+ ADD *(017BCh), 7, B ; 20742
+ ADD *(017BDh), 8, A, A ; 20743
+ ADD *(017BEh), 8, A, B ; 20744
+ ADD *(017BFh), 8, A ; 20745
+ ADD *(017C0h), 8, B, A ; 20746
+ ADD *(017C1h), 8, B, B ; 20747
+ ADD *(017C2h), 8, B ; 20748
+ ADD *(017C3h), 9, A, A ; 20749
+ ADD *(017C4h), 9, A, B ; 20750
+ ADD *(017C5h), 9, A ; 20751
+ ADD *(017C6h), 9, B, A ; 20752
+ ADD *(017C7h), 9, B, B ; 20753
+ ADD *(017C8h), 9, B ; 20754
+ ADD *(017C9h), 10, A, A ; 20755
+ ADD *(017CAh), 10, A, B ; 20756
+ ADD *(017CBh), 10, A ; 20757
+ ADD *(017CCh), 10, B, A ; 20758
+ ADD *(017CDh), 10, B, B ; 20759
+ ADD *(017CEh), 10, B ; 20760
+ ADD *(017CFh), 11, A, A ; 20761
+ ADD *(017D0h), 11, A, B ; 20762
+ ADD *(017D1h), 11, A ; 20763
+ ADD *(017D2h), 11, B, A ; 20764
+ ADD *(017D3h), 11, B, B ; 20765
+ ADD *(017D4h), 11, B ; 20766
+ ADD *(017D5h), 12, A, A ; 20767
+ ADD *(017D6h), 12, A, B ; 20768
+ ADD *(017D7h), 12, A ; 20769
+ ADD *(017D8h), 12, B, A ; 20770
+ ADD *(017D9h), 12, B, B ; 20771
+ ADD *(017DAh), 12, B ; 20772
+ ADD *(017DBh), 13, A, A ; 20773
+ ADD *(017DCh), 13, A, B ; 20774
+ ADD *(017DDh), 13, A ; 20775
+ ADD *(017DEh), 13, B, A ; 20776
+ ADD *(017DFh), 13, B, B ; 20777
+ ADD *(017E0h), 13, B ; 20778
+ ADD *(017E1h), 14, A, A ; 20779
+ ADD *(017E2h), 14, A, B ; 20780
+ ADD *(017E3h), 14, A ; 20781
+ ADD *(017E4h), 14, B, A ; 20782
+ ADD *(017E5h), 14, B, B ; 20783
+ ADD *(017E6h), 14, B ; 20784
+ ADD *(017E7h), 15, A, A ; 20785
+ ADD *(017E8h), 15, A, B ; 20786
+ ADD *(017E9h), 15, A ; 20787
+ ADD *(017EAh), 15, B, A ; 20788
+ ADD *(017EBh), 15, B, B ; 20789
+ ADD *(017ECh), 15, B ; 20790
+ ADD *AR7, A, A ; 20791
+ ADD *AR7, A, B ; 20792
+ ADD *AR7, A ; 20793
+ ADD *AR7, B, A ; 20794
+ ADD *AR7, B, B ; 20795
+ ADD *AR7, B ; 20796
+ ADD *AR7, -16, A, A ; 20797
+ ADD *AR7, -16, A, B ; 20798
+ ADD *AR7, -16, A ; 20799
+ ADD *AR7, -16, B, A ; 20800
+ ADD *AR7, -16, B, B ; 20801
+ ADD *AR7, -16, B ; 20802
+ ADD *AR7, -15, A, A ; 20803
+ ADD *AR7, -15, A, B ; 20804
+ ADD *AR7, -15, A ; 20805
+ ADD *AR7, -15, B, A ; 20806
+ ADD *AR7, -15, B, B ; 20807
+ ADD *AR7, -15, B ; 20808
+ ADD *AR7, -14, A, A ; 20809
+ ADD *AR7, -14, A, B ; 20810
+ ADD *AR7, -14, A ; 20811
+ ADD *AR7, -14, B, A ; 20812
+ ADD *AR7, -14, B, B ; 20813
+ ADD *AR7, -14, B ; 20814
+ ADD *AR7, -13, A, A ; 20815
+ ADD *AR7, -13, A, B ; 20816
+ ADD *AR7, -13, A ; 20817
+ ADD *AR7, -13, B, A ; 20818
+ ADD *AR7, -13, B, B ; 20819
+ ADD *AR7, -13, B ; 20820
+ ADD *AR7, -12, A, A ; 20821
+ ADD *AR7, -12, A, B ; 20822
+ ADD *AR7, -12, A ; 20823
+ ADD *AR7, -12, B, A ; 20824
+ ADD *AR7, -12, B, B ; 20825
+ ADD *AR7, -12, B ; 20826
+ ADD *AR7, -11, A, A ; 20827
+ ADD *AR7, -11, A, B ; 20828
+ ADD *AR7, -11, A ; 20829
+ ADD *AR7, -11, B, A ; 20830
+ ADD *AR7, -11, B, B ; 20831
+ ADD *AR7, -11, B ; 20832
+ ADD *AR7, -10, A, A ; 20833
+ ADD *AR7, -10, A, B ; 20834
+ ADD *AR7, -10, A ; 20835
+ ADD *AR7, -10, B, A ; 20836
+ ADD *AR7, -10, B, B ; 20837
+ ADD *AR7, -10, B ; 20838
+ ADD *AR7, -9, A, A ; 20839
+ ADD *AR7, -9, A, B ; 20840
+ ADD *AR7, -9, A ; 20841
+ ADD *AR7, -9, B, A ; 20842
+ ADD *AR7, -9, B, B ; 20843
+ ADD *AR7, -9, B ; 20844
+ ADD *AR7, -8, A, A ; 20845
+ ADD *AR7, -8, A, B ; 20846
+ ADD *AR7, -8, A ; 20847
+ ADD *AR7, -8, B, A ; 20848
+ ADD *AR7, -8, B, B ; 20849
+ ADD *AR7, -8, B ; 20850
+ ADD *AR7, -7, A, A ; 20851
+ ADD *AR7, -7, A, B ; 20852
+ ADD *AR7, -7, A ; 20853
+ ADD *AR7, -7, B, A ; 20854
+ ADD *AR7, -7, B, B ; 20855
+ ADD *AR7, -7, B ; 20856
+ ADD *AR7, -6, A, A ; 20857
+ ADD *AR7, -6, A, B ; 20858
+ ADD *AR7, -6, A ; 20859
+ ADD *AR7, -6, B, A ; 20860
+ ADD *AR7, -6, B, B ; 20861
+ ADD *AR7, -6, B ; 20862
+ ADD *AR7, -5, A, A ; 20863
+ ADD *AR7, -5, A, B ; 20864
+ ADD *AR7, -5, A ; 20865
+ ADD *AR7, -5, B, A ; 20866
+ ADD *AR7, -5, B, B ; 20867
+ ADD *AR7, -5, B ; 20868
+ ADD *AR7, -4, A, A ; 20869
+ ADD *AR7, -4, A, B ; 20870
+ ADD *AR7, -4, A ; 20871
+ ADD *AR7, -4, B, A ; 20872
+ ADD *AR7, -4, B, B ; 20873
+ ADD *AR7, -4, B ; 20874
+ ADD *AR7, -3, A, A ; 20875
+ ADD *AR7, -3, A, B ; 20876
+ ADD *AR7, -3, A ; 20877
+ ADD *AR7, -3, B, A ; 20878
+ ADD *AR7, -3, B, B ; 20879
+ ADD *AR7, -3, B ; 20880
+ ADD *AR7, -2, A, A ; 20881
+ ADD *AR7, -2, A, B ; 20882
+ ADD *AR7, -2, A ; 20883
+ ADD *AR7, -2, B, A ; 20884
+ ADD *AR7, -2, B, B ; 20885
+ ADD *AR7, -2, B ; 20886
+ ADD *AR7, -1, A, A ; 20887
+ ADD *AR7, -1, A, B ; 20888
+ ADD *AR7, -1, A ; 20889
+ ADD *AR7, -1, B, A ; 20890
+ ADD *AR7, -1, B, B ; 20891
+ ADD *AR7, -1, B ; 20892
+ ADD *AR7, 0, A, A ; 20893
+ ADD *AR7, 0, A, B ; 20894
+ ADD *AR7, 0, A ; 20895
+ ADD *AR7, 0, B, A ; 20896
+ ADD *AR7, 0, B, B ; 20897
+ ADD *AR7, 0, B ; 20898
+ ADD *AR7, 1, A, A ; 20899
+ ADD *AR7, 1, A, B ; 20900
+ ADD *AR7, 1, A ; 20901
+ ADD *AR7, 1, B, A ; 20902
+ ADD *AR7, 1, B, B ; 20903
+ ADD *AR7, 1, B ; 20904
+ ADD *AR7, 2, A, A ; 20905
+ ADD *AR7, 2, A, B ; 20906
+ ADD *AR7, 2, A ; 20907
+ ADD *AR7, 2, B, A ; 20908
+ ADD *AR7, 2, B, B ; 20909
+ ADD *AR7, 2, B ; 20910
+ ADD *AR7, 3, A, A ; 20911
+ ADD *AR7, 3, A, B ; 20912
+ ADD *AR7, 3, A ; 20913
+ ADD *AR7, 3, B, A ; 20914
+ ADD *AR7, 3, B, B ; 20915
+ ADD *AR7, 3, B ; 20916
+ ADD *AR7, 4, A, A ; 20917
+ ADD *AR7, 4, A, B ; 20918
+ ADD *AR7, 4, A ; 20919
+ ADD *AR7, 4, B, A ; 20920
+ ADD *AR7, 4, B, B ; 20921
+ ADD *AR7, 4, B ; 20922
+ ADD *AR7, 5, A, A ; 20923
+ ADD *AR7, 5, A, B ; 20924
+ ADD *AR7, 5, A ; 20925
+ ADD *AR7, 5, B, A ; 20926
+ ADD *AR7, 5, B, B ; 20927
+ ADD *AR7, 5, B ; 20928
+ ADD *AR7, 6, A, A ; 20929
+ ADD *AR7, 6, A, B ; 20930
+ ADD *AR7, 6, A ; 20931
+ ADD *AR7, 6, B, A ; 20932
+ ADD *AR7, 6, B, B ; 20933
+ ADD *AR7, 6, B ; 20934
+ ADD *AR7, 7, A, A ; 20935
+ ADD *AR7, 7, A, B ; 20936
+ ADD *AR7, 7, A ; 20937
+ ADD *AR7, 7, B, A ; 20938
+ ADD *AR7, 7, B, B ; 20939
+ ADD *AR7, 7, B ; 20940
+ ADD *AR7, 8, A, A ; 20941
+ ADD *AR7, 8, A, B ; 20942
+ ADD *AR7, 8, A ; 20943
+ ADD *AR7, 8, B, A ; 20944
+ ADD *AR7, 8, B, B ; 20945
+ ADD *AR7, 8, B ; 20946
+ ADD *AR7, 9, A, A ; 20947
+ ADD *AR7, 9, A, B ; 20948
+ ADD *AR7, 9, A ; 20949
+ ADD *AR7, 9, B, A ; 20950
+ ADD *AR7, 9, B, B ; 20951
+ ADD *AR7, 9, B ; 20952
+ ADD *AR7, 10, A, A ; 20953
+ ADD *AR7, 10, A, B ; 20954
+ ADD *AR7, 10, A ; 20955
+ ADD *AR7, 10, B, A ; 20956
+ ADD *AR7, 10, B, B ; 20957
+ ADD *AR7, 10, B ; 20958
+ ADD *AR7, 11, A, A ; 20959
+ ADD *AR7, 11, A, B ; 20960
+ ADD *AR7, 11, A ; 20961
+ ADD *AR7, 11, B, A ; 20962
+ ADD *AR7, 11, B, B ; 20963
+ ADD *AR7, 11, B ; 20964
+ ADD *AR7, 12, A, A ; 20965
+ ADD *AR7, 12, A, B ; 20966
+ ADD *AR7, 12, A ; 20967
+ ADD *AR7, 12, B, A ; 20968
+ ADD *AR7, 12, B, B ; 20969
+ ADD *AR7, 12, B ; 20970
+ ADD *AR7, 13, A, A ; 20971
+ ADD *AR7, 13, A, B ; 20972
+ ADD *AR7, 13, A ; 20973
+ ADD *AR7, 13, B, A ; 20974
+ ADD *AR7, 13, B, B ; 20975
+ ADD *AR7, 13, B ; 20976
+ ADD *AR7, 14, A, A ; 20977
+ ADD *AR7, 14, A, B ; 20978
+ ADD *AR7, 14, A ; 20979
+ ADD *AR7, 14, B, A ; 20980
+ ADD *AR7, 14, B, B ; 20981
+ ADD *AR7, 14, B ; 20982
+ ADD *AR7, 15, A, A ; 20983
+ ADD *AR7, 15, A, B ; 20984
+ ADD *AR7, 15, A ; 20985
+ ADD *AR7, 15, B, A ; 20986
+ ADD *AR7, 15, B, B ; 20987
+ ADD *AR7, 15, B ; 20988
+ ADD *AR7-, A, A ; 20989
+ ADD *AR7-, A, B ; 20990
+ ADD *AR7-, A ; 20991
+ ADD *AR7-, B, A ; 20992
+ ADD *AR7-, B, B ; 20993
+ ADD *AR7-, B ; 20994
+ ADD *AR7-, -16, A, A ; 20995
+ ADD *AR7-, -16, A, B ; 20996
+ ADD *AR7-, -16, A ; 20997
+ ADD *AR7-, -16, B, A ; 20998
+ ADD *AR7-, -16, B, B ; 20999
+ ADD *AR7-, -16, B ; 21000
+ ADD *AR7-, -15, A, A ; 21001
+ ADD *AR7-, -15, A, B ; 21002
+ ADD *AR7-, -15, A ; 21003
+ ADD *AR7-, -15, B, A ; 21004
+ ADD *AR7-, -15, B, B ; 21005
+ ADD *AR7-, -15, B ; 21006
+ ADD *AR7-, -14, A, A ; 21007
+ ADD *AR7-, -14, A, B ; 21008
+ ADD *AR7-, -14, A ; 21009
+ ADD *AR7-, -14, B, A ; 21010
+ ADD *AR7-, -14, B, B ; 21011
+ ADD *AR7-, -14, B ; 21012
+ ADD *AR7-, -13, A, A ; 21013
+ ADD *AR7-, -13, A, B ; 21014
+ ADD *AR7-, -13, A ; 21015
+ ADD *AR7-, -13, B, A ; 21016
+ ADD *AR7-, -13, B, B ; 21017
+ ADD *AR7-, -13, B ; 21018
+ ADD *AR7-, -12, A, A ; 21019
+ ADD *AR7-, -12, A, B ; 21020
+ ADD *AR7-, -12, A ; 21021
+ ADD *AR7-, -12, B, A ; 21022
+ ADD *AR7-, -12, B, B ; 21023
+ ADD *AR7-, -12, B ; 21024
+ ADD *AR7-, -11, A, A ; 21025
+ ADD *AR7-, -11, A, B ; 21026
+ ADD *AR7-, -11, A ; 21027
+ ADD *AR7-, -11, B, A ; 21028
+ ADD *AR7-, -11, B, B ; 21029
+ ADD *AR7-, -11, B ; 21030
+ ADD *AR7-, -10, A, A ; 21031
+ ADD *AR7-, -10, A, B ; 21032
+ ADD *AR7-, -10, A ; 21033
+ ADD *AR7-, -10, B, A ; 21034
+ ADD *AR7-, -10, B, B ; 21035
+ ADD *AR7-, -10, B ; 21036
+ ADD *AR7-, -9, A, A ; 21037
+ ADD *AR7-, -9, A, B ; 21038
+ ADD *AR7-, -9, A ; 21039
+ ADD *AR7-, -9, B, A ; 21040
+ ADD *AR7-, -9, B, B ; 21041
+ ADD *AR7-, -9, B ; 21042
+ ADD *AR7-, -8, A, A ; 21043
+ ADD *AR7-, -8, A, B ; 21044
+ ADD *AR7-, -8, A ; 21045
+ ADD *AR7-, -8, B, A ; 21046
+ ADD *AR7-, -8, B, B ; 21047
+ ADD *AR7-, -8, B ; 21048
+ ADD *AR7-, -7, A, A ; 21049
+ ADD *AR7-, -7, A, B ; 21050
+ ADD *AR7-, -7, A ; 21051
+ ADD *AR7-, -7, B, A ; 21052
+ ADD *AR7-, -7, B, B ; 21053
+ ADD *AR7-, -7, B ; 21054
+ ADD *AR7-, -6, A, A ; 21055
+ ADD *AR7-, -6, A, B ; 21056
+ ADD *AR7-, -6, A ; 21057
+ ADD *AR7-, -6, B, A ; 21058
+ ADD *AR7-, -6, B, B ; 21059
+ ADD *AR7-, -6, B ; 21060
+ ADD *AR7-, -5, A, A ; 21061
+ ADD *AR7-, -5, A, B ; 21062
+ ADD *AR7-, -5, A ; 21063
+ ADD *AR7-, -5, B, A ; 21064
+ ADD *AR7-, -5, B, B ; 21065
+ ADD *AR7-, -5, B ; 21066
+ ADD *AR7-, -4, A, A ; 21067
+ ADD *AR7-, -4, A, B ; 21068
+ ADD *AR7-, -4, A ; 21069
+ ADD *AR7-, -4, B, A ; 21070
+ ADD *AR7-, -4, B, B ; 21071
+ ADD *AR7-, -4, B ; 21072
+ ADD *AR7-, -3, A, A ; 21073
+ ADD *AR7-, -3, A, B ; 21074
+ ADD *AR7-, -3, A ; 21075
+ ADD *AR7-, -3, B, A ; 21076
+ ADD *AR7-, -3, B, B ; 21077
+ ADD *AR7-, -3, B ; 21078
+ ADD *AR7-, -2, A, A ; 21079
+ ADD *AR7-, -2, A, B ; 21080
+ ADD *AR7-, -2, A ; 21081
+ ADD *AR7-, -2, B, A ; 21082
+ ADD *AR7-, -2, B, B ; 21083
+ ADD *AR7-, -2, B ; 21084
+ ADD *AR7-, -1, A, A ; 21085
+ ADD *AR7-, -1, A, B ; 21086
+ ADD *AR7-, -1, A ; 21087
+ ADD *AR7-, -1, B, A ; 21088
+ ADD *AR7-, -1, B, B ; 21089
+ ADD *AR7-, -1, B ; 21090
+ ADD *AR7-, 0, A, A ; 21091
+ ADD *AR7-, 0, A, B ; 21092
+ ADD *AR7-, 0, A ; 21093
+ ADD *AR7-, 0, B, A ; 21094
+ ADD *AR7-, 0, B, B ; 21095
+ ADD *AR7-, 0, B ; 21096
+ ADD *AR7-, 1, A, A ; 21097
+ ADD *AR7-, 1, A, B ; 21098
+ ADD *AR7-, 1, A ; 21099
+ ADD *AR7-, 1, B, A ; 21100
+ ADD *AR7-, 1, B, B ; 21101
+ ADD *AR7-, 1, B ; 21102
+ ADD *AR7-, 2, A, A ; 21103
+ ADD *AR7-, 2, A, B ; 21104
+ ADD *AR7-, 2, A ; 21105
+ ADD *AR7-, 2, B, A ; 21106
+ ADD *AR7-, 2, B, B ; 21107
+ ADD *AR7-, 2, B ; 21108
+ ADD *AR7-, 3, A, A ; 21109
+ ADD *AR7-, 3, A, B ; 21110
+ ADD *AR7-, 3, A ; 21111
+ ADD *AR7-, 3, B, A ; 21112
+ ADD *AR7-, 3, B, B ; 21113
+ ADD *AR7-, 3, B ; 21114
+ ADD *AR7-, 4, A, A ; 21115
+ ADD *AR7-, 4, A, B ; 21116
+ ADD *AR7-, 4, A ; 21117
+ ADD *AR7-, 4, B, A ; 21118
+ ADD *AR7-, 4, B, B ; 21119
+ ADD *AR7-, 4, B ; 21120
+ ADD *AR7-, 5, A, A ; 21121
+ ADD *AR7-, 5, A, B ; 21122
+ ADD *AR7-, 5, A ; 21123
+ ADD *AR7-, 5, B, A ; 21124
+ ADD *AR7-, 5, B, B ; 21125
+ ADD *AR7-, 5, B ; 21126
+ ADD *AR7-, 6, A, A ; 21127
+ ADD *AR7-, 6, A, B ; 21128
+ ADD *AR7-, 6, A ; 21129
+ ADD *AR7-, 6, B, A ; 21130
+ ADD *AR7-, 6, B, B ; 21131
+ ADD *AR7-, 6, B ; 21132
+ ADD *AR7-, 7, A, A ; 21133
+ ADD *AR7-, 7, A, B ; 21134
+ ADD *AR7-, 7, A ; 21135
+ ADD *AR7-, 7, B, A ; 21136
+ ADD *AR7-, 7, B, B ; 21137
+ ADD *AR7-, 7, B ; 21138
+ ADD *AR7-, 8, A, A ; 21139
+ ADD *AR7-, 8, A, B ; 21140
+ ADD *AR7-, 8, A ; 21141
+ ADD *AR7-, 8, B, A ; 21142
+ ADD *AR7-, 8, B, B ; 21143
+ ADD *AR7-, 8, B ; 21144
+ ADD *AR7-, 9, A, A ; 21145
+ ADD *AR7-, 9, A, B ; 21146
+ ADD *AR7-, 9, A ; 21147
+ ADD *AR7-, 9, B, A ; 21148
+ ADD *AR7-, 9, B, B ; 21149
+ ADD *AR7-, 9, B ; 21150
+ ADD *AR7-, 10, A, A ; 21151
+ ADD *AR7-, 10, A, B ; 21152
+ ADD *AR7-, 10, A ; 21153
+ ADD *AR7-, 10, B, A ; 21154
+ ADD *AR7-, 10, B, B ; 21155
+ ADD *AR7-, 10, B ; 21156
+ ADD *AR7-, 11, A, A ; 21157
+ ADD *AR7-, 11, A, B ; 21158
+ ADD *AR7-, 11, A ; 21159
+ ADD *AR7-, 11, B, A ; 21160
+ ADD *AR7-, 11, B, B ; 21161
+ ADD *AR7-, 11, B ; 21162
+ ADD *AR7-, 12, A, A ; 21163
+ ADD *AR7-, 12, A, B ; 21164
+ ADD *AR7-, 12, A ; 21165
+ ADD *AR7-, 12, B, A ; 21166
+ ADD *AR7-, 12, B, B ; 21167
+ ADD *AR7-, 12, B ; 21168
+ ADD *AR7-, 13, A, A ; 21169
+ ADD *AR7-, 13, A, B ; 21170
+ ADD *AR7-, 13, A ; 21171
+ ADD *AR7-, 13, B, A ; 21172
+ ADD *AR7-, 13, B, B ; 21173
+ ADD *AR7-, 13, B ; 21174
+ ADD *AR7-, 14, A, A ; 21175
+ ADD *AR7-, 14, A, B ; 21176
+ ADD *AR7-, 14, A ; 21177
+ ADD *AR7-, 14, B, A ; 21178
+ ADD *AR7-, 14, B, B ; 21179
+ ADD *AR7-, 14, B ; 21180
+ ADD *AR7-, 15, A, A ; 21181
+ ADD *AR7-, 15, A, B ; 21182
+ ADD *AR7-, 15, A ; 21183
+ ADD *AR7-, 15, B, A ; 21184
+ ADD *AR7-, 15, B, B ; 21185
+ ADD *AR7-, 15, B ; 21186
+ ADD *AR7+, A, A ; 21187
+ ADD *AR7+, A, B ; 21188
+ ADD *AR7+, A ; 21189
+ ADD *AR7+, B, A ; 21190
+ ADD *AR7+, B, B ; 21191
+ ADD *AR7+, B ; 21192
+ ADD *AR7+, -16, A, A ; 21193
+ ADD *AR7+, -16, A, B ; 21194
+ ADD *AR7+, -16, A ; 21195
+ ADD *AR7+, -16, B, A ; 21196
+ ADD *AR7+, -16, B, B ; 21197
+ ADD *AR7+, -16, B ; 21198
+ ADD *AR7+, -15, A, A ; 21199
+ ADD *AR7+, -15, A, B ; 21200
+ ADD *AR7+, -15, A ; 21201
+ ADD *AR7+, -15, B, A ; 21202
+ ADD *AR7+, -15, B, B ; 21203
+ ADD *AR7+, -15, B ; 21204
+ ADD *AR7+, -14, A, A ; 21205
+ ADD *AR7+, -14, A, B ; 21206
+ ADD *AR7+, -14, A ; 21207
+ ADD *AR7+, -14, B, A ; 21208
+ ADD *AR7+, -14, B, B ; 21209
+ ADD *AR7+, -14, B ; 21210
+ ADD *AR7+, -13, A, A ; 21211
+ ADD *AR7+, -13, A, B ; 21212
+ ADD *AR7+, -13, A ; 21213
+ ADD *AR7+, -13, B, A ; 21214
+ ADD *AR7+, -13, B, B ; 21215
+ ADD *AR7+, -13, B ; 21216
+ ADD *AR7+, -12, A, A ; 21217
+ ADD *AR7+, -12, A, B ; 21218
+ ADD *AR7+, -12, A ; 21219
+ ADD *AR7+, -12, B, A ; 21220
+ ADD *AR7+, -12, B, B ; 21221
+ ADD *AR7+, -12, B ; 21222
+ ADD *AR7+, -11, A, A ; 21223
+ ADD *AR7+, -11, A, B ; 21224
+ ADD *AR7+, -11, A ; 21225
+ ADD *AR7+, -11, B, A ; 21226
+ ADD *AR7+, -11, B, B ; 21227
+ ADD *AR7+, -11, B ; 21228
+ ADD *AR7+, -10, A, A ; 21229
+ ADD *AR7+, -10, A, B ; 21230
+ ADD *AR7+, -10, A ; 21231
+ ADD *AR7+, -10, B, A ; 21232
+ ADD *AR7+, -10, B, B ; 21233
+ ADD *AR7+, -10, B ; 21234
+ ADD *AR7+, -9, A, A ; 21235
+ ADD *AR7+, -9, A, B ; 21236
+ ADD *AR7+, -9, A ; 21237
+ ADD *AR7+, -9, B, A ; 21238
+ ADD *AR7+, -9, B, B ; 21239
+ ADD *AR7+, -9, B ; 21240
+ ADD *AR7+, -8, A, A ; 21241
+ ADD *AR7+, -8, A, B ; 21242
+ ADD *AR7+, -8, A ; 21243
+ ADD *AR7+, -8, B, A ; 21244
+ ADD *AR7+, -8, B, B ; 21245
+ ADD *AR7+, -8, B ; 21246
+ ADD *AR7+, -7, A, A ; 21247
+ ADD *AR7+, -7, A, B ; 21248
+ ADD *AR7+, -7, A ; 21249
+ ADD *AR7+, -7, B, A ; 21250
+ ADD *AR7+, -7, B, B ; 21251
+ ADD *AR7+, -7, B ; 21252
+ ADD *AR7+, -6, A, A ; 21253
+ ADD *AR7+, -6, A, B ; 21254
+ ADD *AR7+, -6, A ; 21255
+ ADD *AR7+, -6, B, A ; 21256
+ ADD *AR7+, -6, B, B ; 21257
+ ADD *AR7+, -6, B ; 21258
+ ADD *AR7+, -5, A, A ; 21259
+ ADD *AR7+, -5, A, B ; 21260
+ ADD *AR7+, -5, A ; 21261
+ ADD *AR7+, -5, B, A ; 21262
+ ADD *AR7+, -5, B, B ; 21263
+ ADD *AR7+, -5, B ; 21264
+ ADD *AR7+, -4, A, A ; 21265
+ ADD *AR7+, -4, A, B ; 21266
+ ADD *AR7+, -4, A ; 21267
+ ADD *AR7+, -4, B, A ; 21268
+ ADD *AR7+, -4, B, B ; 21269
+ ADD *AR7+, -4, B ; 21270
+ ADD *AR7+, -3, A, A ; 21271
+ ADD *AR7+, -3, A, B ; 21272
+ ADD *AR7+, -3, A ; 21273
+ ADD *AR7+, -3, B, A ; 21274
+ ADD *AR7+, -3, B, B ; 21275
+ ADD *AR7+, -3, B ; 21276
+ ADD *AR7+, -2, A, A ; 21277
+ ADD *AR7+, -2, A, B ; 21278
+ ADD *AR7+, -2, A ; 21279
+ ADD *AR7+, -2, B, A ; 21280
+ ADD *AR7+, -2, B, B ; 21281
+ ADD *AR7+, -2, B ; 21282
+ ADD *AR7+, -1, A, A ; 21283
+ ADD *AR7+, -1, A, B ; 21284
+ ADD *AR7+, -1, A ; 21285
+ ADD *AR7+, -1, B, A ; 21286
+ ADD *AR7+, -1, B, B ; 21287
+ ADD *AR7+, -1, B ; 21288
+ ADD *AR7+, 0, A, A ; 21289
+ ADD *AR7+, 0, A, B ; 21290
+ ADD *AR7+, 0, A ; 21291
+ ADD *AR7+, 0, B, A ; 21292
+ ADD *AR7+, 0, B, B ; 21293
+ ADD *AR7+, 0, B ; 21294
+ ADD *AR7+, 1, A, A ; 21295
+ ADD *AR7+, 1, A, B ; 21296
+ ADD *AR7+, 1, A ; 21297
+ ADD *AR7+, 1, B, A ; 21298
+ ADD *AR7+, 1, B, B ; 21299
+ ADD *AR7+, 1, B ; 21300
+ ADD *AR7+, 2, A, A ; 21301
+ ADD *AR7+, 2, A, B ; 21302
+ ADD *AR7+, 2, A ; 21303
+ ADD *AR7+, 2, B, A ; 21304
+ ADD *AR7+, 2, B, B ; 21305
+ ADD *AR7+, 2, B ; 21306
+ ADD *AR7+, 3, A, A ; 21307
+ ADD *AR7+, 3, A, B ; 21308
+ ADD *AR7+, 3, A ; 21309
+ ADD *AR7+, 3, B, A ; 21310
+ ADD *AR7+, 3, B, B ; 21311
+ ADD *AR7+, 3, B ; 21312
+ ADD *AR7+, 4, A, A ; 21313
+ ADD *AR7+, 4, A, B ; 21314
+ ADD *AR7+, 4, A ; 21315
+ ADD *AR7+, 4, B, A ; 21316
+ ADD *AR7+, 4, B, B ; 21317
+ ADD *AR7+, 4, B ; 21318
+ ADD *AR7+, 5, A, A ; 21319
+ ADD *AR7+, 5, A, B ; 21320
+ ADD *AR7+, 5, A ; 21321
+ ADD *AR7+, 5, B, A ; 21322
+ ADD *AR7+, 5, B, B ; 21323
+ ADD *AR7+, 5, B ; 21324
+ ADD *AR7+, 6, A, A ; 21325
+ ADD *AR7+, 6, A, B ; 21326
+ ADD *AR7+, 6, A ; 21327
+ ADD *AR7+, 6, B, A ; 21328
+ ADD *AR7+, 6, B, B ; 21329
+ ADD *AR7+, 6, B ; 21330
+ ADD *AR7+, 7, A, A ; 21331
+ ADD *AR7+, 7, A, B ; 21332
+ ADD *AR7+, 7, A ; 21333
+ ADD *AR7+, 7, B, A ; 21334
+ ADD *AR7+, 7, B, B ; 21335
+ ADD *AR7+, 7, B ; 21336
+ ADD *AR7+, 8, A, A ; 21337
+ ADD *AR7+, 8, A, B ; 21338
+ ADD *AR7+, 8, A ; 21339
+ ADD *AR7+, 8, B, A ; 21340
+ ADD *AR7+, 8, B, B ; 21341
+ ADD *AR7+, 8, B ; 21342
+ ADD *AR7+, 9, A, A ; 21343
+ ADD *AR7+, 9, A, B ; 21344
+ ADD *AR7+, 9, A ; 21345
+ ADD *AR7+, 9, B, A ; 21346
+ ADD *AR7+, 9, B, B ; 21347
+ ADD *AR7+, 9, B ; 21348
+ ADD *AR7+, 10, A, A ; 21349
+ ADD *AR7+, 10, A, B ; 21350
+ ADD *AR7+, 10, A ; 21351
+ ADD *AR7+, 10, B, A ; 21352
+ ADD *AR7+, 10, B, B ; 21353
+ ADD *AR7+, 10, B ; 21354
+ ADD *AR7+, 11, A, A ; 21355
+ ADD *AR7+, 11, A, B ; 21356
+ ADD *AR7+, 11, A ; 21357
+ ADD *AR7+, 11, B, A ; 21358
+ ADD *AR7+, 11, B, B ; 21359
+ ADD *AR7+, 11, B ; 21360
+ ADD *AR7+, 12, A, A ; 21361
+ ADD *AR7+, 12, A, B ; 21362
+ ADD *AR7+, 12, A ; 21363
+ ADD *AR7+, 12, B, A ; 21364
+ ADD *AR7+, 12, B, B ; 21365
+ ADD *AR7+, 12, B ; 21366
+ ADD *AR7+, 13, A, A ; 21367
+ ADD *AR7+, 13, A, B ; 21368
+ ADD *AR7+, 13, A ; 21369
+ ADD *AR7+, 13, B, A ; 21370
+ ADD *AR7+, 13, B, B ; 21371
+ ADD *AR7+, 13, B ; 21372
+ ADD *AR7+, 14, A, A ; 21373
+ ADD *AR7+, 14, A, B ; 21374
+ ADD *AR7+, 14, A ; 21375
+ ADD *AR7+, 14, B, A ; 21376
+ ADD *AR7+, 14, B, B ; 21377
+ ADD *AR7+, 14, B ; 21378
+ ADD *AR7+, 15, A, A ; 21379
+ ADD *AR7+, 15, A, B ; 21380
+ ADD *AR7+, 15, A ; 21381
+ ADD *AR7+, 15, B, A ; 21382
+ ADD *AR7+, 15, B, B ; 21383
+ ADD *AR7+, 15, B ; 21384
+ ADD *AR7-0B, A, A ; 21385
+ ADD *AR7-0B, A, B ; 21386
+ ADD *AR7-0B, A ; 21387
+ ADD *AR7-0B, B, A ; 21388
+ ADD *AR7-0B, B, B ; 21389
+ ADD *AR7-0B, B ; 21390
+ ADD *AR7-0B, -16, A, A ; 21391
+ ADD *AR7-0B, -16, A, B ; 21392
+ ADD *AR7-0B, -16, A ; 21393
+ ADD *AR7-0B, -16, B, A ; 21394
+ ADD *AR7-0B, -16, B, B ; 21395
+ ADD *AR7-0B, -16, B ; 21396
+ ADD *AR7-0B, -15, A, A ; 21397
+ ADD *AR7-0B, -15, A, B ; 21398
+ ADD *AR7-0B, -15, A ; 21399
+ ADD *AR7-0B, -15, B, A ; 21400
+ ADD *AR7-0B, -15, B, B ; 21401
+ ADD *AR7-0B, -15, B ; 21402
+ ADD *AR7-0B, -14, A, A ; 21403
+ ADD *AR7-0B, -14, A, B ; 21404
+ ADD *AR7-0B, -14, A ; 21405
+ ADD *AR7-0B, -14, B, A ; 21406
+ ADD *AR7-0B, -14, B, B ; 21407
+ ADD *AR7-0B, -14, B ; 21408
+ ADD *AR7-0B, -13, A, A ; 21409
+ ADD *AR7-0B, -13, A, B ; 21410
+ ADD *AR7-0B, -13, A ; 21411
+ ADD *AR7-0B, -13, B, A ; 21412
+ ADD *AR7-0B, -13, B, B ; 21413
+ ADD *AR7-0B, -13, B ; 21414
+ ADD *AR7-0B, -12, A, A ; 21415
+ ADD *AR7-0B, -12, A, B ; 21416
+ ADD *AR7-0B, -12, A ; 21417
+ ADD *AR7-0B, -12, B, A ; 21418
+ ADD *AR7-0B, -12, B, B ; 21419
+ ADD *AR7-0B, -12, B ; 21420
+ ADD *AR7-0B, -11, A, A ; 21421
+ ADD *AR7-0B, -11, A, B ; 21422
+ ADD *AR7-0B, -11, A ; 21423
+ ADD *AR7-0B, -11, B, A ; 21424
+ ADD *AR7-0B, -11, B, B ; 21425
+ ADD *AR7-0B, -11, B ; 21426
+ ADD *AR7-0B, -10, A, A ; 21427
+ ADD *AR7-0B, -10, A, B ; 21428
+ ADD *AR7-0B, -10, A ; 21429
+ ADD *AR7-0B, -10, B, A ; 21430
+ ADD *AR7-0B, -10, B, B ; 21431
+ ADD *AR7-0B, -10, B ; 21432
+ ADD *AR7-0B, -9, A, A ; 21433
+ ADD *AR7-0B, -9, A, B ; 21434
+ ADD *AR7-0B, -9, A ; 21435
+ ADD *AR7-0B, -9, B, A ; 21436
+ ADD *AR7-0B, -9, B, B ; 21437
+ ADD *AR7-0B, -9, B ; 21438
+ ADD *AR7-0B, -8, A, A ; 21439
+ ADD *AR7-0B, -8, A, B ; 21440
+ ADD *AR7-0B, -8, A ; 21441
+ ADD *AR7-0B, -8, B, A ; 21442
+ ADD *AR7-0B, -8, B, B ; 21443
+ ADD *AR7-0B, -8, B ; 21444
+ ADD *AR7-0B, -7, A, A ; 21445
+ ADD *AR7-0B, -7, A, B ; 21446
+ ADD *AR7-0B, -7, A ; 21447
+ ADD *AR7-0B, -7, B, A ; 21448
+ ADD *AR7-0B, -7, B, B ; 21449
+ ADD *AR7-0B, -7, B ; 21450
+ ADD *AR7-0B, -6, A, A ; 21451
+ ADD *AR7-0B, -6, A, B ; 21452
+ ADD *AR7-0B, -6, A ; 21453
+ ADD *AR7-0B, -6, B, A ; 21454
+ ADD *AR7-0B, -6, B, B ; 21455
+ ADD *AR7-0B, -6, B ; 21456
+ ADD *AR7-0B, -5, A, A ; 21457
+ ADD *AR7-0B, -5, A, B ; 21458
+ ADD *AR7-0B, -5, A ; 21459
+ ADD *AR7-0B, -5, B, A ; 21460
+ ADD *AR7-0B, -5, B, B ; 21461
+ ADD *AR7-0B, -5, B ; 21462
+ ADD *AR7-0B, -4, A, A ; 21463
+ ADD *AR7-0B, -4, A, B ; 21464
+ ADD *AR7-0B, -4, A ; 21465
+ ADD *AR7-0B, -4, B, A ; 21466
+ ADD *AR7-0B, -4, B, B ; 21467
+ ADD *AR7-0B, -4, B ; 21468
+ ADD *AR7-0B, -3, A, A ; 21469
+ ADD *AR7-0B, -3, A, B ; 21470
+ ADD *AR7-0B, -3, A ; 21471
+ ADD *AR7-0B, -3, B, A ; 21472
+ ADD *AR7-0B, -3, B, B ; 21473
+ ADD *AR7-0B, -3, B ; 21474
+ ADD *AR7-0B, -2, A, A ; 21475
+ ADD *AR7-0B, -2, A, B ; 21476
+ ADD *AR7-0B, -2, A ; 21477
+ ADD *AR7-0B, -2, B, A ; 21478
+ ADD *AR7-0B, -2, B, B ; 21479
+ ADD *AR7-0B, -2, B ; 21480
+ ADD *AR7-0B, -1, A, A ; 21481
+ ADD *AR7-0B, -1, A, B ; 21482
+ ADD *AR7-0B, -1, A ; 21483
+ ADD *AR7-0B, -1, B, A ; 21484
+ ADD *AR7-0B, -1, B, B ; 21485
+ ADD *AR7-0B, -1, B ; 21486
+ ADD *AR7-0B, 0, A, A ; 21487
+ ADD *AR7-0B, 0, A, B ; 21488
+ ADD *AR7-0B, 0, A ; 21489
+ ADD *AR7-0B, 0, B, A ; 21490
+ ADD *AR7-0B, 0, B, B ; 21491
+ ADD *AR7-0B, 0, B ; 21492
+ ADD *AR7-0B, 1, A, A ; 21493
+ ADD *AR7-0B, 1, A, B ; 21494
+ ADD *AR7-0B, 1, A ; 21495
+ ADD *AR7-0B, 1, B, A ; 21496
+ ADD *AR7-0B, 1, B, B ; 21497
+ ADD *AR7-0B, 1, B ; 21498
+ ADD *AR7-0B, 2, A, A ; 21499
+ ADD *AR7-0B, 2, A, B ; 21500
+ ADD *AR7-0B, 2, A ; 21501
+ ADD *AR7-0B, 2, B, A ; 21502
+ ADD *AR7-0B, 2, B, B ; 21503
+ ADD *AR7-0B, 2, B ; 21504
+ ADD *AR7-0B, 3, A, A ; 21505
+ ADD *AR7-0B, 3, A, B ; 21506
+ ADD *AR7-0B, 3, A ; 21507
+ ADD *AR7-0B, 3, B, A ; 21508
+ ADD *AR7-0B, 3, B, B ; 21509
+ ADD *AR7-0B, 3, B ; 21510
+ ADD *AR7-0B, 4, A, A ; 21511
+ ADD *AR7-0B, 4, A, B ; 21512
+ ADD *AR7-0B, 4, A ; 21513
+ ADD *AR7-0B, 4, B, A ; 21514
+ ADD *AR7-0B, 4, B, B ; 21515
+ ADD *AR7-0B, 4, B ; 21516
+ ADD *AR7-0B, 5, A, A ; 21517
+ ADD *AR7-0B, 5, A, B ; 21518
+ ADD *AR7-0B, 5, A ; 21519
+ ADD *AR7-0B, 5, B, A ; 21520
+ ADD *AR7-0B, 5, B, B ; 21521
+ ADD *AR7-0B, 5, B ; 21522
+ ADD *AR7-0B, 6, A, A ; 21523
+ ADD *AR7-0B, 6, A, B ; 21524
+ ADD *AR7-0B, 6, A ; 21525
+ ADD *AR7-0B, 6, B, A ; 21526
+ ADD *AR7-0B, 6, B, B ; 21527
+ ADD *AR7-0B, 6, B ; 21528
+ ADD *AR7-0B, 7, A, A ; 21529
+ ADD *AR7-0B, 7, A, B ; 21530
+ ADD *AR7-0B, 7, A ; 21531
+ ADD *AR7-0B, 7, B, A ; 21532
+ ADD *AR7-0B, 7, B, B ; 21533
+ ADD *AR7-0B, 7, B ; 21534
+ ADD *AR7-0B, 8, A, A ; 21535
+ ADD *AR7-0B, 8, A, B ; 21536
+ ADD *AR7-0B, 8, A ; 21537
+ ADD *AR7-0B, 8, B, A ; 21538
+ ADD *AR7-0B, 8, B, B ; 21539
+ ADD *AR7-0B, 8, B ; 21540
+ ADD *AR7-0B, 9, A, A ; 21541
+ ADD *AR7-0B, 9, A, B ; 21542
+ ADD *AR7-0B, 9, A ; 21543
+ ADD *AR7-0B, 9, B, A ; 21544
+ ADD *AR7-0B, 9, B, B ; 21545
+ ADD *AR7-0B, 9, B ; 21546
+ ADD *AR7-0B, 10, A, A ; 21547
+ ADD *AR7-0B, 10, A, B ; 21548
+ ADD *AR7-0B, 10, A ; 21549
+ ADD *AR7-0B, 10, B, A ; 21550
+ ADD *AR7-0B, 10, B, B ; 21551
+ ADD *AR7-0B, 10, B ; 21552
+ ADD *AR7-0B, 11, A, A ; 21553
+ ADD *AR7-0B, 11, A, B ; 21554
+ ADD *AR7-0B, 11, A ; 21555
+ ADD *AR7-0B, 11, B, A ; 21556
+ ADD *AR7-0B, 11, B, B ; 21557
+ ADD *AR7-0B, 11, B ; 21558
+ ADD *AR7-0B, 12, A, A ; 21559
+ ADD *AR7-0B, 12, A, B ; 21560
+ ADD *AR7-0B, 12, A ; 21561
+ ADD *AR7-0B, 12, B, A ; 21562
+ ADD *AR7-0B, 12, B, B ; 21563
+ ADD *AR7-0B, 12, B ; 21564
+ ADD *AR7-0B, 13, A, A ; 21565
+ ADD *AR7-0B, 13, A, B ; 21566
+ ADD *AR7-0B, 13, A ; 21567
+ ADD *AR7-0B, 13, B, A ; 21568
+ ADD *AR7-0B, 13, B, B ; 21569
+ ADD *AR7-0B, 13, B ; 21570
+ ADD *AR7-0B, 14, A, A ; 21571
+ ADD *AR7-0B, 14, A, B ; 21572
+ ADD *AR7-0B, 14, A ; 21573
+ ADD *AR7-0B, 14, B, A ; 21574
+ ADD *AR7-0B, 14, B, B ; 21575
+ ADD *AR7-0B, 14, B ; 21576
+ ADD *AR7-0B, 15, A, A ; 21577
+ ADD *AR7-0B, 15, A, B ; 21578
+ ADD *AR7-0B, 15, A ; 21579
+ ADD *AR7-0B, 15, B, A ; 21580
+ ADD *AR7-0B, 15, B, B ; 21581
+ ADD *AR7-0B, 15, B ; 21582
+ ADD *AR7-0, A, A ; 21583
+ ADD *AR7-0, A, B ; 21584
+ ADD *AR7-0, A ; 21585
+ ADD *AR7-0, B, A ; 21586
+ ADD *AR7-0, B, B ; 21587
+ ADD *AR7-0, B ; 21588
+ ADD *AR7-0, -16, A, A ; 21589
+ ADD *AR7-0, -16, A, B ; 21590
+ ADD *AR7-0, -16, A ; 21591
+ ADD *AR7-0, -16, B, A ; 21592
+ ADD *AR7-0, -16, B, B ; 21593
+ ADD *AR7-0, -16, B ; 21594
+ ADD *AR7-0, -15, A, A ; 21595
+ ADD *AR7-0, -15, A, B ; 21596
+ ADD *AR7-0, -15, A ; 21597
+ ADD *AR7-0, -15, B, A ; 21598
+ ADD *AR7-0, -15, B, B ; 21599
+ ADD *AR7-0, -15, B ; 21600
+ ADD *AR7-0, -14, A, A ; 21601
+ ADD *AR7-0, -14, A, B ; 21602
+ ADD *AR7-0, -14, A ; 21603
+ ADD *AR7-0, -14, B, A ; 21604
+ ADD *AR7-0, -14, B, B ; 21605
+ ADD *AR7-0, -14, B ; 21606
+ ADD *AR7-0, -13, A, A ; 21607
+ ADD *AR7-0, -13, A, B ; 21608
+ ADD *AR7-0, -13, A ; 21609
+ ADD *AR7-0, -13, B, A ; 21610
+ ADD *AR7-0, -13, B, B ; 21611
+ ADD *AR7-0, -13, B ; 21612
+ ADD *AR7-0, -12, A, A ; 21613
+ ADD *AR7-0, -12, A, B ; 21614
+ ADD *AR7-0, -12, A ; 21615
+ ADD *AR7-0, -12, B, A ; 21616
+ ADD *AR7-0, -12, B, B ; 21617
+ ADD *AR7-0, -12, B ; 21618
+ ADD *AR7-0, -11, A, A ; 21619
+ ADD *AR7-0, -11, A, B ; 21620
+ ADD *AR7-0, -11, A ; 21621
+ ADD *AR7-0, -11, B, A ; 21622
+ ADD *AR7-0, -11, B, B ; 21623
+ ADD *AR7-0, -11, B ; 21624
+ ADD *AR7-0, -10, A, A ; 21625
+ ADD *AR7-0, -10, A, B ; 21626
+ ADD *AR7-0, -10, A ; 21627
+ ADD *AR7-0, -10, B, A ; 21628
+ ADD *AR7-0, -10, B, B ; 21629
+ ADD *AR7-0, -10, B ; 21630
+ ADD *AR7-0, -9, A, A ; 21631
+ ADD *AR7-0, -9, A, B ; 21632
+ ADD *AR7-0, -9, A ; 21633
+ ADD *AR7-0, -9, B, A ; 21634
+ ADD *AR7-0, -9, B, B ; 21635
+ ADD *AR7-0, -9, B ; 21636
+ ADD *AR7-0, -8, A, A ; 21637
+ ADD *AR7-0, -8, A, B ; 21638
+ ADD *AR7-0, -8, A ; 21639
+ ADD *AR7-0, -8, B, A ; 21640
+ ADD *AR7-0, -8, B, B ; 21641
+ ADD *AR7-0, -8, B ; 21642
+ ADD *AR7-0, -7, A, A ; 21643
+ ADD *AR7-0, -7, A, B ; 21644
+ ADD *AR7-0, -7, A ; 21645
+ ADD *AR7-0, -7, B, A ; 21646
+ ADD *AR7-0, -7, B, B ; 21647
+ ADD *AR7-0, -7, B ; 21648
+ ADD *AR7-0, -6, A, A ; 21649
+ ADD *AR7-0, -6, A, B ; 21650
+ ADD *AR7-0, -6, A ; 21651
+ ADD *AR7-0, -6, B, A ; 21652
+ ADD *AR7-0, -6, B, B ; 21653
+ ADD *AR7-0, -6, B ; 21654
+ ADD *AR7-0, -5, A, A ; 21655
+ ADD *AR7-0, -5, A, B ; 21656
+ ADD *AR7-0, -5, A ; 21657
+ ADD *AR7-0, -5, B, A ; 21658
+ ADD *AR7-0, -5, B, B ; 21659
+ ADD *AR7-0, -5, B ; 21660
+ ADD *AR7-0, -4, A, A ; 21661
+ ADD *AR7-0, -4, A, B ; 21662
+ ADD *AR7-0, -4, A ; 21663
+ ADD *AR7-0, -4, B, A ; 21664
+ ADD *AR7-0, -4, B, B ; 21665
+ ADD *AR7-0, -4, B ; 21666
+ ADD *AR7-0, -3, A, A ; 21667
+ ADD *AR7-0, -3, A, B ; 21668
+ ADD *AR7-0, -3, A ; 21669
+ ADD *AR7-0, -3, B, A ; 21670
+ ADD *AR7-0, -3, B, B ; 21671
+ ADD *AR7-0, -3, B ; 21672
+ ADD *AR7-0, -2, A, A ; 21673
+ ADD *AR7-0, -2, A, B ; 21674
+ ADD *AR7-0, -2, A ; 21675
+ ADD *AR7-0, -2, B, A ; 21676
+ ADD *AR7-0, -2, B, B ; 21677
+ ADD *AR7-0, -2, B ; 21678
+ ADD *AR7-0, -1, A, A ; 21679
+ ADD *AR7-0, -1, A, B ; 21680
+ ADD *AR7-0, -1, A ; 21681
+ ADD *AR7-0, -1, B, A ; 21682
+ ADD *AR7-0, -1, B, B ; 21683
+ ADD *AR7-0, -1, B ; 21684
+ ADD *AR7-0, 0, A, A ; 21685
+ ADD *AR7-0, 0, A, B ; 21686
+ ADD *AR7-0, 0, A ; 21687
+ ADD *AR7-0, 0, B, A ; 21688
+ ADD *AR7-0, 0, B, B ; 21689
+ ADD *AR7-0, 0, B ; 21690
+ ADD *AR7-0, 1, A, A ; 21691
+ ADD *AR7-0, 1, A, B ; 21692
+ ADD *AR7-0, 1, A ; 21693
+ ADD *AR7-0, 1, B, A ; 21694
+ ADD *AR7-0, 1, B, B ; 21695
+ ADD *AR7-0, 1, B ; 21696
+ ADD *AR7-0, 2, A, A ; 21697
+ ADD *AR7-0, 2, A, B ; 21698
+ ADD *AR7-0, 2, A ; 21699
+ ADD *AR7-0, 2, B, A ; 21700
+ ADD *AR7-0, 2, B, B ; 21701
+ ADD *AR7-0, 2, B ; 21702
+ ADD *AR7-0, 3, A, A ; 21703
+ ADD *AR7-0, 3, A, B ; 21704
+ ADD *AR7-0, 3, A ; 21705
+ ADD *AR7-0, 3, B, A ; 21706
+ ADD *AR7-0, 3, B, B ; 21707
+ ADD *AR7-0, 3, B ; 21708
+ ADD *AR7-0, 4, A, A ; 21709
+ ADD *AR7-0, 4, A, B ; 21710
+ ADD *AR7-0, 4, A ; 21711
+ ADD *AR7-0, 4, B, A ; 21712
+ ADD *AR7-0, 4, B, B ; 21713
+ ADD *AR7-0, 4, B ; 21714
+ ADD *AR7-0, 5, A, A ; 21715
+ ADD *AR7-0, 5, A, B ; 21716
+ ADD *AR7-0, 5, A ; 21717
+ ADD *AR7-0, 5, B, A ; 21718
+ ADD *AR7-0, 5, B, B ; 21719
+ ADD *AR7-0, 5, B ; 21720
+ ADD *AR7-0, 6, A, A ; 21721
+ ADD *AR7-0, 6, A, B ; 21722
+ ADD *AR7-0, 6, A ; 21723
+ ADD *AR7-0, 6, B, A ; 21724
+ ADD *AR7-0, 6, B, B ; 21725
+ ADD *AR7-0, 6, B ; 21726
+ ADD *AR7-0, 7, A, A ; 21727
+ ADD *AR7-0, 7, A, B ; 21728
+ ADD *AR7-0, 7, A ; 21729
+ ADD *AR7-0, 7, B, A ; 21730
+ ADD *AR7-0, 7, B, B ; 21731
+ ADD *AR7-0, 7, B ; 21732
+ ADD *AR7-0, 8, A, A ; 21733
+ ADD *AR7-0, 8, A, B ; 21734
+ ADD *AR7-0, 8, A ; 21735
+ ADD *AR7-0, 8, B, A ; 21736
+ ADD *AR7-0, 8, B, B ; 21737
+ ADD *AR7-0, 8, B ; 21738
+ ADD *AR7-0, 9, A, A ; 21739
+ ADD *AR7-0, 9, A, B ; 21740
+ ADD *AR7-0, 9, A ; 21741
+ ADD *AR7-0, 9, B, A ; 21742
+ ADD *AR7-0, 9, B, B ; 21743
+ ADD *AR7-0, 9, B ; 21744
+ ADD *AR7-0, 10, A, A ; 21745
+ ADD *AR7-0, 10, A, B ; 21746
+ ADD *AR7-0, 10, A ; 21747
+ ADD *AR7-0, 10, B, A ; 21748
+ ADD *AR7-0, 10, B, B ; 21749
+ ADD *AR7-0, 10, B ; 21750
+ ADD *AR7-0, 11, A, A ; 21751
+ ADD *AR7-0, 11, A, B ; 21752
+ ADD *AR7-0, 11, A ; 21753
+ ADD *AR7-0, 11, B, A ; 21754
+ ADD *AR7-0, 11, B, B ; 21755
+ ADD *AR7-0, 11, B ; 21756
+ ADD *AR7-0, 12, A, A ; 21757
+ ADD *AR7-0, 12, A, B ; 21758
+ ADD *AR7-0, 12, A ; 21759
+ ADD *AR7-0, 12, B, A ; 21760
+ ADD *AR7-0, 12, B, B ; 21761
+ ADD *AR7-0, 12, B ; 21762
+ ADD *AR7-0, 13, A, A ; 21763
+ ADD *AR7-0, 13, A, B ; 21764
+ ADD *AR7-0, 13, A ; 21765
+ ADD *AR7-0, 13, B, A ; 21766
+ ADD *AR7-0, 13, B, B ; 21767
+ ADD *AR7-0, 13, B ; 21768
+ ADD *AR7-0, 14, A, A ; 21769
+ ADD *AR7-0, 14, A, B ; 21770
+ ADD *AR7-0, 14, A ; 21771
+ ADD *AR7-0, 14, B, A ; 21772
+ ADD *AR7-0, 14, B, B ; 21773
+ ADD *AR7-0, 14, B ; 21774
+ ADD *AR7-0, 15, A, A ; 21775
+ ADD *AR7-0, 15, A, B ; 21776
+ ADD *AR7-0, 15, A ; 21777
+ ADD *AR7-0, 15, B, A ; 21778
+ ADD *AR7-0, 15, B, B ; 21779
+ ADD *AR7-0, 15, B ; 21780
+ ADD *AR7+0, A, A ; 21781
+ ADD *AR7+0, A, B ; 21782
+ ADD *AR7+0, A ; 21783
+ ADD *AR7+0, B, A ; 21784
+ ADD *AR7+0, B, B ; 21785
+ ADD *AR7+0, B ; 21786
+ ADD *AR7+0, -16, A, A ; 21787
+ ADD *AR7+0, -16, A, B ; 21788
+ ADD *AR7+0, -16, A ; 21789
+ ADD *AR7+0, -16, B, A ; 21790
+ ADD *AR7+0, -16, B, B ; 21791
+ ADD *AR7+0, -16, B ; 21792
+ ADD *AR7+0, -15, A, A ; 21793
+ ADD *AR7+0, -15, A, B ; 21794
+ ADD *AR7+0, -15, A ; 21795
+ ADD *AR7+0, -15, B, A ; 21796
+ ADD *AR7+0, -15, B, B ; 21797
+ ADD *AR7+0, -15, B ; 21798
+ ADD *AR7+0, -14, A, A ; 21799
+ ADD *AR7+0, -14, A, B ; 21800
+ ADD *AR7+0, -14, A ; 21801
+ ADD *AR7+0, -14, B, A ; 21802
+ ADD *AR7+0, -14, B, B ; 21803
+ ADD *AR7+0, -14, B ; 21804
+ ADD *AR7+0, -13, A, A ; 21805
+ ADD *AR7+0, -13, A, B ; 21806
+ ADD *AR7+0, -13, A ; 21807
+ ADD *AR7+0, -13, B, A ; 21808
+ ADD *AR7+0, -13, B, B ; 21809
+ ADD *AR7+0, -13, B ; 21810
+ ADD *AR7+0, -12, A, A ; 21811
+ ADD *AR7+0, -12, A, B ; 21812
+ ADD *AR7+0, -12, A ; 21813
+ ADD *AR7+0, -12, B, A ; 21814
+ ADD *AR7+0, -12, B, B ; 21815
+ ADD *AR7+0, -12, B ; 21816
+ ADD *AR7+0, -11, A, A ; 21817
+ ADD *AR7+0, -11, A, B ; 21818
+ ADD *AR7+0, -11, A ; 21819
+ ADD *AR7+0, -11, B, A ; 21820
+ ADD *AR7+0, -11, B, B ; 21821
+ ADD *AR7+0, -11, B ; 21822
+ ADD *AR7+0, -10, A, A ; 21823
+ ADD *AR7+0, -10, A, B ; 21824
+ ADD *AR7+0, -10, A ; 21825
+ ADD *AR7+0, -10, B, A ; 21826
+ ADD *AR7+0, -10, B, B ; 21827
+ ADD *AR7+0, -10, B ; 21828
+ ADD *AR7+0, -9, A, A ; 21829
+ ADD *AR7+0, -9, A, B ; 21830
+ ADD *AR7+0, -9, A ; 21831
+ ADD *AR7+0, -9, B, A ; 21832
+ ADD *AR7+0, -9, B, B ; 21833
+ ADD *AR7+0, -9, B ; 21834
+ ADD *AR7+0, -8, A, A ; 21835
+ ADD *AR7+0, -8, A, B ; 21836
+ ADD *AR7+0, -8, A ; 21837
+ ADD *AR7+0, -8, B, A ; 21838
+ ADD *AR7+0, -8, B, B ; 21839
+ ADD *AR7+0, -8, B ; 21840
+ ADD *AR7+0, -7, A, A ; 21841
+ ADD *AR7+0, -7, A, B ; 21842
+ ADD *AR7+0, -7, A ; 21843
+ ADD *AR7+0, -7, B, A ; 21844
+ ADD *AR7+0, -7, B, B ; 21845
+ ADD *AR7+0, -7, B ; 21846
+ ADD *AR7+0, -6, A, A ; 21847
+ ADD *AR7+0, -6, A, B ; 21848
+ ADD *AR7+0, -6, A ; 21849
+ ADD *AR7+0, -6, B, A ; 21850
+ ADD *AR7+0, -6, B, B ; 21851
+ ADD *AR7+0, -6, B ; 21852
+ ADD *AR7+0, -5, A, A ; 21853
+ ADD *AR7+0, -5, A, B ; 21854
+ ADD *AR7+0, -5, A ; 21855
+ ADD *AR7+0, -5, B, A ; 21856
+ ADD *AR7+0, -5, B, B ; 21857
+ ADD *AR7+0, -5, B ; 21858
+ ADD *AR7+0, -4, A, A ; 21859
+ ADD *AR7+0, -4, A, B ; 21860
+ ADD *AR7+0, -4, A ; 21861
+ ADD *AR7+0, -4, B, A ; 21862
+ ADD *AR7+0, -4, B, B ; 21863
+ ADD *AR7+0, -4, B ; 21864
+ ADD *AR7+0, -3, A, A ; 21865
+ ADD *AR7+0, -3, A, B ; 21866
+ ADD *AR7+0, -3, A ; 21867
+ ADD *AR7+0, -3, B, A ; 21868
+ ADD *AR7+0, -3, B, B ; 21869
+ ADD *AR7+0, -3, B ; 21870
+ ADD *AR7+0, -2, A, A ; 21871
+ ADD *AR7+0, -2, A, B ; 21872
+ ADD *AR7+0, -2, A ; 21873
+ ADD *AR7+0, -2, B, A ; 21874
+ ADD *AR7+0, -2, B, B ; 21875
+ ADD *AR7+0, -2, B ; 21876
+ ADD *AR7+0, -1, A, A ; 21877
+ ADD *AR7+0, -1, A, B ; 21878
+ ADD *AR7+0, -1, A ; 21879
+ ADD *AR7+0, -1, B, A ; 21880
+ ADD *AR7+0, -1, B, B ; 21881
+ ADD *AR7+0, -1, B ; 21882
+ ADD *AR7+0, 0, A, A ; 21883
+ ADD *AR7+0, 0, A, B ; 21884
+ ADD *AR7+0, 0, A ; 21885
+ ADD *AR7+0, 0, B, A ; 21886
+ ADD *AR7+0, 0, B, B ; 21887
+ ADD *AR7+0, 0, B ; 21888
+ ADD *AR7+0, 1, A, A ; 21889
+ ADD *AR7+0, 1, A, B ; 21890
+ ADD *AR7+0, 1, A ; 21891
+ ADD *AR7+0, 1, B, A ; 21892
+ ADD *AR7+0, 1, B, B ; 21893
+ ADD *AR7+0, 1, B ; 21894
+ ADD *AR7+0, 2, A, A ; 21895
+ ADD *AR7+0, 2, A, B ; 21896
+ ADD *AR7+0, 2, A ; 21897
+ ADD *AR7+0, 2, B, A ; 21898
+ ADD *AR7+0, 2, B, B ; 21899
+ ADD *AR7+0, 2, B ; 21900
+ ADD *AR7+0, 3, A, A ; 21901
+ ADD *AR7+0, 3, A, B ; 21902
+ ADD *AR7+0, 3, A ; 21903
+ ADD *AR7+0, 3, B, A ; 21904
+ ADD *AR7+0, 3, B, B ; 21905
+ ADD *AR7+0, 3, B ; 21906
+ ADD *AR7+0, 4, A, A ; 21907
+ ADD *AR7+0, 4, A, B ; 21908
+ ADD *AR7+0, 4, A ; 21909
+ ADD *AR7+0, 4, B, A ; 21910
+ ADD *AR7+0, 4, B, B ; 21911
+ ADD *AR7+0, 4, B ; 21912
+ ADD *AR7+0, 5, A, A ; 21913
+ ADD *AR7+0, 5, A, B ; 21914
+ ADD *AR7+0, 5, A ; 21915
+ ADD *AR7+0, 5, B, A ; 21916
+ ADD *AR7+0, 5, B, B ; 21917
+ ADD *AR7+0, 5, B ; 21918
+ ADD *AR7+0, 6, A, A ; 21919
+ ADD *AR7+0, 6, A, B ; 21920
+ ADD *AR7+0, 6, A ; 21921
+ ADD *AR7+0, 6, B, A ; 21922
+ ADD *AR7+0, 6, B, B ; 21923
+ ADD *AR7+0, 6, B ; 21924
+ ADD *AR7+0, 7, A, A ; 21925
+ ADD *AR7+0, 7, A, B ; 21926
+ ADD *AR7+0, 7, A ; 21927
+ ADD *AR7+0, 7, B, A ; 21928
+ ADD *AR7+0, 7, B, B ; 21929
+ ADD *AR7+0, 7, B ; 21930
+ ADD *AR7+0, 8, A, A ; 21931
+ ADD *AR7+0, 8, A, B ; 21932
+ ADD *AR7+0, 8, A ; 21933
+ ADD *AR7+0, 8, B, A ; 21934
+ ADD *AR7+0, 8, B, B ; 21935
+ ADD *AR7+0, 8, B ; 21936
+ ADD *AR7+0, 9, A, A ; 21937
+ ADD *AR7+0, 9, A, B ; 21938
+ ADD *AR7+0, 9, A ; 21939
+ ADD *AR7+0, 9, B, A ; 21940
+ ADD *AR7+0, 9, B, B ; 21941
+ ADD *AR7+0, 9, B ; 21942
+ ADD *AR7+0, 10, A, A ; 21943
+ ADD *AR7+0, 10, A, B ; 21944
+ ADD *AR7+0, 10, A ; 21945
+ ADD *AR7+0, 10, B, A ; 21946
+ ADD *AR7+0, 10, B, B ; 21947
+ ADD *AR7+0, 10, B ; 21948
+ ADD *AR7+0, 11, A, A ; 21949
+ ADD *AR7+0, 11, A, B ; 21950
+ ADD *AR7+0, 11, A ; 21951
+ ADD *AR7+0, 11, B, A ; 21952
+ ADD *AR7+0, 11, B, B ; 21953
+ ADD *AR7+0, 11, B ; 21954
+ ADD *AR7+0, 12, A, A ; 21955
+ ADD *AR7+0, 12, A, B ; 21956
+ ADD *AR7+0, 12, A ; 21957
+ ADD *AR7+0, 12, B, A ; 21958
+ ADD *AR7+0, 12, B, B ; 21959
+ ADD *AR7+0, 12, B ; 21960
+ ADD *AR7+0, 13, A, A ; 21961
+ ADD *AR7+0, 13, A, B ; 21962
+ ADD *AR7+0, 13, A ; 21963
+ ADD *AR7+0, 13, B, A ; 21964
+ ADD *AR7+0, 13, B, B ; 21965
+ ADD *AR7+0, 13, B ; 21966
+ ADD *AR7+0, 14, A, A ; 21967
+ ADD *AR7+0, 14, A, B ; 21968
+ ADD *AR7+0, 14, A ; 21969
+ ADD *AR7+0, 14, B, A ; 21970
+ ADD *AR7+0, 14, B, B ; 21971
+ ADD *AR7+0, 14, B ; 21972
+ ADD *AR7+0, 15, A, A ; 21973
+ ADD *AR7+0, 15, A, B ; 21974
+ ADD *AR7+0, 15, A ; 21975
+ ADD *AR7+0, 15, B, A ; 21976
+ ADD *AR7+0, 15, B, B ; 21977
+ ADD *AR7+0, 15, B ; 21978
+ ADD *AR7+0B, A, A ; 21979
+ ADD *AR7+0B, A, B ; 21980
+ ADD *AR7+0B, A ; 21981
+ ADD *AR7+0B, B, A ; 21982
+ ADD *AR7+0B, B, B ; 21983
+ ADD *AR7+0B, B ; 21984
+ ADD *AR7+0B, -16, A, A ; 21985
+ ADD *AR7+0B, -16, A, B ; 21986
+ ADD *AR7+0B, -16, A ; 21987
+ ADD *AR7+0B, -16, B, A ; 21988
+ ADD *AR7+0B, -16, B, B ; 21989
+ ADD *AR7+0B, -16, B ; 21990
+ ADD *AR7+0B, -15, A, A ; 21991
+ ADD *AR7+0B, -15, A, B ; 21992
+ ADD *AR7+0B, -15, A ; 21993
+ ADD *AR7+0B, -15, B, A ; 21994
+ ADD *AR7+0B, -15, B, B ; 21995
+ ADD *AR7+0B, -15, B ; 21996
+ ADD *AR7+0B, -14, A, A ; 21997
+ ADD *AR7+0B, -14, A, B ; 21998
+ ADD *AR7+0B, -14, A ; 21999
+ ADD *AR7+0B, -14, B, A ; 22000
+ ADD *AR7+0B, -14, B, B ; 22001
+ ADD *AR7+0B, -14, B ; 22002
+ ADD *AR7+0B, -13, A, A ; 22003
+ ADD *AR7+0B, -13, A, B ; 22004
+ ADD *AR7+0B, -13, A ; 22005
+ ADD *AR7+0B, -13, B, A ; 22006
+ ADD *AR7+0B, -13, B, B ; 22007
+ ADD *AR7+0B, -13, B ; 22008
+ ADD *AR7+0B, -12, A, A ; 22009
+ ADD *AR7+0B, -12, A, B ; 22010
+ ADD *AR7+0B, -12, A ; 22011
+ ADD *AR7+0B, -12, B, A ; 22012
+ ADD *AR7+0B, -12, B, B ; 22013
+ ADD *AR7+0B, -12, B ; 22014
+ ADD *AR7+0B, -11, A, A ; 22015
+ ADD *AR7+0B, -11, A, B ; 22016
+ ADD *AR7+0B, -11, A ; 22017
+ ADD *AR7+0B, -11, B, A ; 22018
+ ADD *AR7+0B, -11, B, B ; 22019
+ ADD *AR7+0B, -11, B ; 22020
+ ADD *AR7+0B, -10, A, A ; 22021
+ ADD *AR7+0B, -10, A, B ; 22022
+ ADD *AR7+0B, -10, A ; 22023
+ ADD *AR7+0B, -10, B, A ; 22024
+ ADD *AR7+0B, -10, B, B ; 22025
+ ADD *AR7+0B, -10, B ; 22026
+ ADD *AR7+0B, -9, A, A ; 22027
+ ADD *AR7+0B, -9, A, B ; 22028
+ ADD *AR7+0B, -9, A ; 22029
+ ADD *AR7+0B, -9, B, A ; 22030
+ ADD *AR7+0B, -9, B, B ; 22031
+ ADD *AR7+0B, -9, B ; 22032
+ ADD *AR7+0B, -8, A, A ; 22033
+ ADD *AR7+0B, -8, A, B ; 22034
+ ADD *AR7+0B, -8, A ; 22035
+ ADD *AR7+0B, -8, B, A ; 22036
+ ADD *AR7+0B, -8, B, B ; 22037
+ ADD *AR7+0B, -8, B ; 22038
+ ADD *AR7+0B, -7, A, A ; 22039
+ ADD *AR7+0B, -7, A, B ; 22040
+ ADD *AR7+0B, -7, A ; 22041
+ ADD *AR7+0B, -7, B, A ; 22042
+ ADD *AR7+0B, -7, B, B ; 22043
+ ADD *AR7+0B, -7, B ; 22044
+ ADD *AR7+0B, -6, A, A ; 22045
+ ADD *AR7+0B, -6, A, B ; 22046
+ ADD *AR7+0B, -6, A ; 22047
+ ADD *AR7+0B, -6, B, A ; 22048
+ ADD *AR7+0B, -6, B, B ; 22049
+ ADD *AR7+0B, -6, B ; 22050
+ ADD *AR7+0B, -5, A, A ; 22051
+ ADD *AR7+0B, -5, A, B ; 22052
+ ADD *AR7+0B, -5, A ; 22053
+ ADD *AR7+0B, -5, B, A ; 22054
+ ADD *AR7+0B, -5, B, B ; 22055
+ ADD *AR7+0B, -5, B ; 22056
+ ADD *AR7+0B, -4, A, A ; 22057
+ ADD *AR7+0B, -4, A, B ; 22058
+ ADD *AR7+0B, -4, A ; 22059
+ ADD *AR7+0B, -4, B, A ; 22060
+ ADD *AR7+0B, -4, B, B ; 22061
+ ADD *AR7+0B, -4, B ; 22062
+ ADD *AR7+0B, -3, A, A ; 22063
+ ADD *AR7+0B, -3, A, B ; 22064
+ ADD *AR7+0B, -3, A ; 22065
+ ADD *AR7+0B, -3, B, A ; 22066
+ ADD *AR7+0B, -3, B, B ; 22067
+ ADD *AR7+0B, -3, B ; 22068
+ ADD *AR7+0B, -2, A, A ; 22069
+ ADD *AR7+0B, -2, A, B ; 22070
+ ADD *AR7+0B, -2, A ; 22071
+ ADD *AR7+0B, -2, B, A ; 22072
+ ADD *AR7+0B, -2, B, B ; 22073
+ ADD *AR7+0B, -2, B ; 22074
+ ADD *AR7+0B, -1, A, A ; 22075
+ ADD *AR7+0B, -1, A, B ; 22076
+ ADD *AR7+0B, -1, A ; 22077
+ ADD *AR7+0B, -1, B, A ; 22078
+ ADD *AR7+0B, -1, B, B ; 22079
+ ADD *AR7+0B, -1, B ; 22080
+ ADD *AR7+0B, 0, A, A ; 22081
+ ADD *AR7+0B, 0, A, B ; 22082
+ ADD *AR7+0B, 0, A ; 22083
+ ADD *AR7+0B, 0, B, A ; 22084
+ ADD *AR7+0B, 0, B, B ; 22085
+ ADD *AR7+0B, 0, B ; 22086
+ ADD *AR7+0B, 1, A, A ; 22087
+ ADD *AR7+0B, 1, A, B ; 22088
+ ADD *AR7+0B, 1, A ; 22089
+ ADD *AR7+0B, 1, B, A ; 22090
+ ADD *AR7+0B, 1, B, B ; 22091
+ ADD *AR7+0B, 1, B ; 22092
+ ADD *AR7+0B, 2, A, A ; 22093
+ ADD *AR7+0B, 2, A, B ; 22094
+ ADD *AR7+0B, 2, A ; 22095
+ ADD *AR7+0B, 2, B, A ; 22096
+ ADD *AR7+0B, 2, B, B ; 22097
+ ADD *AR7+0B, 2, B ; 22098
+ ADD *AR7+0B, 3, A, A ; 22099
+ ADD *AR7+0B, 3, A, B ; 22100
+ ADD *AR7+0B, 3, A ; 22101
+ ADD *AR7+0B, 3, B, A ; 22102
+ ADD *AR7+0B, 3, B, B ; 22103
+ ADD *AR7+0B, 3, B ; 22104
+ ADD *AR7+0B, 4, A, A ; 22105
+ ADD *AR7+0B, 4, A, B ; 22106
+ ADD *AR7+0B, 4, A ; 22107
+ ADD *AR7+0B, 4, B, A ; 22108
+ ADD *AR7+0B, 4, B, B ; 22109
+ ADD *AR7+0B, 4, B ; 22110
+ ADD *AR7+0B, 5, A, A ; 22111
+ ADD *AR7+0B, 5, A, B ; 22112
+ ADD *AR7+0B, 5, A ; 22113
+ ADD *AR7+0B, 5, B, A ; 22114
+ ADD *AR7+0B, 5, B, B ; 22115
+ ADD *AR7+0B, 5, B ; 22116
+ ADD *AR7+0B, 6, A, A ; 22117
+ ADD *AR7+0B, 6, A, B ; 22118
+ ADD *AR7+0B, 6, A ; 22119
+ ADD *AR7+0B, 6, B, A ; 22120
+ ADD *AR7+0B, 6, B, B ; 22121
+ ADD *AR7+0B, 6, B ; 22122
+ ADD *AR7+0B, 7, A, A ; 22123
+ ADD *AR7+0B, 7, A, B ; 22124
+ ADD *AR7+0B, 7, A ; 22125
+ ADD *AR7+0B, 7, B, A ; 22126
+ ADD *AR7+0B, 7, B, B ; 22127
+ ADD *AR7+0B, 7, B ; 22128
+ ADD *AR7+0B, 8, A, A ; 22129
+ ADD *AR7+0B, 8, A, B ; 22130
+ ADD *AR7+0B, 8, A ; 22131
+ ADD *AR7+0B, 8, B, A ; 22132
+ ADD *AR7+0B, 8, B, B ; 22133
+ ADD *AR7+0B, 8, B ; 22134
+ ADD *AR7+0B, 9, A, A ; 22135
+ ADD *AR7+0B, 9, A, B ; 22136
+ ADD *AR7+0B, 9, A ; 22137
+ ADD *AR7+0B, 9, B, A ; 22138
+ ADD *AR7+0B, 9, B, B ; 22139
+ ADD *AR7+0B, 9, B ; 22140
+ ADD *AR7+0B, 10, A, A ; 22141
+ ADD *AR7+0B, 10, A, B ; 22142
+ ADD *AR7+0B, 10, A ; 22143
+ ADD *AR7+0B, 10, B, A ; 22144
+ ADD *AR7+0B, 10, B, B ; 22145
+ ADD *AR7+0B, 10, B ; 22146
+ ADD *AR7+0B, 11, A, A ; 22147
+ ADD *AR7+0B, 11, A, B ; 22148
+ ADD *AR7+0B, 11, A ; 22149
+ ADD *AR7+0B, 11, B, A ; 22150
+ ADD *AR7+0B, 11, B, B ; 22151
+ ADD *AR7+0B, 11, B ; 22152
+ ADD *AR7+0B, 12, A, A ; 22153
+ ADD *AR7+0B, 12, A, B ; 22154
+ ADD *AR7+0B, 12, A ; 22155
+ ADD *AR7+0B, 12, B, A ; 22156
+ ADD *AR7+0B, 12, B, B ; 22157
+ ADD *AR7+0B, 12, B ; 22158
+ ADD *AR7+0B, 13, A, A ; 22159
+ ADD *AR7+0B, 13, A, B ; 22160
+ ADD *AR7+0B, 13, A ; 22161
+ ADD *AR7+0B, 13, B, A ; 22162
+ ADD *AR7+0B, 13, B, B ; 22163
+ ADD *AR7+0B, 13, B ; 22164
+ ADD *AR7+0B, 14, A, A ; 22165
+ ADD *AR7+0B, 14, A, B ; 22166
+ ADD *AR7+0B, 14, A ; 22167
+ ADD *AR7+0B, 14, B, A ; 22168
+ ADD *AR7+0B, 14, B, B ; 22169
+ ADD *AR7+0B, 14, B ; 22170
+ ADD *AR7+0B, 15, A, A ; 22171
+ ADD *AR7+0B, 15, A, B ; 22172
+ ADD *AR7+0B, 15, A ; 22173
+ ADD *AR7+0B, 15, B, A ; 22174
+ ADD *AR7+0B, 15, B, B ; 22175
+ ADD *AR7+0B, 15, B ; 22176
+ ADD *AR7-%, A, A ; 22177
+ ADD *AR7-%, A, B ; 22178
+ ADD *AR7-%, A ; 22179
+ ADD *AR7-%, B, A ; 22180
+ ADD *AR7-%, B, B ; 22181
+ ADD *AR7-%, B ; 22182
+ ADD *AR7-%, -16, A, A ; 22183
+ ADD *AR7-%, -16, A, B ; 22184
+ ADD *AR7-%, -16, A ; 22185
+ ADD *AR7-%, -16, B, A ; 22186
+ ADD *AR7-%, -16, B, B ; 22187
+ ADD *AR7-%, -16, B ; 22188
+ ADD *AR7-%, -15, A, A ; 22189
+ ADD *AR7-%, -15, A, B ; 22190
+ ADD *AR7-%, -15, A ; 22191
+ ADD *AR7-%, -15, B, A ; 22192
+ ADD *AR7-%, -15, B, B ; 22193
+ ADD *AR7-%, -15, B ; 22194
+ ADD *AR7-%, -14, A, A ; 22195
+ ADD *AR7-%, -14, A, B ; 22196
+ ADD *AR7-%, -14, A ; 22197
+ ADD *AR7-%, -14, B, A ; 22198
+ ADD *AR7-%, -14, B, B ; 22199
+ ADD *AR7-%, -14, B ; 22200
+ ADD *AR7-%, -13, A, A ; 22201
+ ADD *AR7-%, -13, A, B ; 22202
+ ADD *AR7-%, -13, A ; 22203
+ ADD *AR7-%, -13, B, A ; 22204
+ ADD *AR7-%, -13, B, B ; 22205
+ ADD *AR7-%, -13, B ; 22206
+ ADD *AR7-%, -12, A, A ; 22207
+ ADD *AR7-%, -12, A, B ; 22208
+ ADD *AR7-%, -12, A ; 22209
+ ADD *AR7-%, -12, B, A ; 22210
+ ADD *AR7-%, -12, B, B ; 22211
+ ADD *AR7-%, -12, B ; 22212
+ ADD *AR7-%, -11, A, A ; 22213
+ ADD *AR7-%, -11, A, B ; 22214
+ ADD *AR7-%, -11, A ; 22215
+ ADD *AR7-%, -11, B, A ; 22216
+ ADD *AR7-%, -11, B, B ; 22217
+ ADD *AR7-%, -11, B ; 22218
+ ADD *AR7-%, -10, A, A ; 22219
+ ADD *AR7-%, -10, A, B ; 22220
+ ADD *AR7-%, -10, A ; 22221
+ ADD *AR7-%, -10, B, A ; 22222
+ ADD *AR7-%, -10, B, B ; 22223
+ ADD *AR7-%, -10, B ; 22224
+ ADD *AR7-%, -9, A, A ; 22225
+ ADD *AR7-%, -9, A, B ; 22226
+ ADD *AR7-%, -9, A ; 22227
+ ADD *AR7-%, -9, B, A ; 22228
+ ADD *AR7-%, -9, B, B ; 22229
+ ADD *AR7-%, -9, B ; 22230
+ ADD *AR7-%, -8, A, A ; 22231
+ ADD *AR7-%, -8, A, B ; 22232
+ ADD *AR7-%, -8, A ; 22233
+ ADD *AR7-%, -8, B, A ; 22234
+ ADD *AR7-%, -8, B, B ; 22235
+ ADD *AR7-%, -8, B ; 22236
+ ADD *AR7-%, -7, A, A ; 22237
+ ADD *AR7-%, -7, A, B ; 22238
+ ADD *AR7-%, -7, A ; 22239
+ ADD *AR7-%, -7, B, A ; 22240
+ ADD *AR7-%, -7, B, B ; 22241
+ ADD *AR7-%, -7, B ; 22242
+ ADD *AR7-%, -6, A, A ; 22243
+ ADD *AR7-%, -6, A, B ; 22244
+ ADD *AR7-%, -6, A ; 22245
+ ADD *AR7-%, -6, B, A ; 22246
+ ADD *AR7-%, -6, B, B ; 22247
+ ADD *AR7-%, -6, B ; 22248
+ ADD *AR7-%, -5, A, A ; 22249
+ ADD *AR7-%, -5, A, B ; 22250
+ ADD *AR7-%, -5, A ; 22251
+ ADD *AR7-%, -5, B, A ; 22252
+ ADD *AR7-%, -5, B, B ; 22253
+ ADD *AR7-%, -5, B ; 22254
+ ADD *AR7-%, -4, A, A ; 22255
+ ADD *AR7-%, -4, A, B ; 22256
+ ADD *AR7-%, -4, A ; 22257
+ ADD *AR7-%, -4, B, A ; 22258
+ ADD *AR7-%, -4, B, B ; 22259
+ ADD *AR7-%, -4, B ; 22260
+ ADD *AR7-%, -3, A, A ; 22261
+ ADD *AR7-%, -3, A, B ; 22262
+ ADD *AR7-%, -3, A ; 22263
+ ADD *AR7-%, -3, B, A ; 22264
+ ADD *AR7-%, -3, B, B ; 22265
+ ADD *AR7-%, -3, B ; 22266
+ ADD *AR7-%, -2, A, A ; 22267
+ ADD *AR7-%, -2, A, B ; 22268
+ ADD *AR7-%, -2, A ; 22269
+ ADD *AR7-%, -2, B, A ; 22270
+ ADD *AR7-%, -2, B, B ; 22271
+ ADD *AR7-%, -2, B ; 22272
+ ADD *AR7-%, -1, A, A ; 22273
+ ADD *AR7-%, -1, A, B ; 22274
+ ADD *AR7-%, -1, A ; 22275
+ ADD *AR7-%, -1, B, A ; 22276
+ ADD *AR7-%, -1, B, B ; 22277
+ ADD *AR7-%, -1, B ; 22278
+ ADD *AR7-%, 0, A, A ; 22279
+ ADD *AR7-%, 0, A, B ; 22280
+ ADD *AR7-%, 0, A ; 22281
+ ADD *AR7-%, 0, B, A ; 22282
+ ADD *AR7-%, 0, B, B ; 22283
+ ADD *AR7-%, 0, B ; 22284
+ ADD *AR7-%, 1, A, A ; 22285
+ ADD *AR7-%, 1, A, B ; 22286
+ ADD *AR7-%, 1, A ; 22287
+ ADD *AR7-%, 1, B, A ; 22288
+ ADD *AR7-%, 1, B, B ; 22289
+ ADD *AR7-%, 1, B ; 22290
+ ADD *AR7-%, 2, A, A ; 22291
+ ADD *AR7-%, 2, A, B ; 22292
+ ADD *AR7-%, 2, A ; 22293
+ ADD *AR7-%, 2, B, A ; 22294
+ ADD *AR7-%, 2, B, B ; 22295
+ ADD *AR7-%, 2, B ; 22296
+ ADD *AR7-%, 3, A, A ; 22297
+ ADD *AR7-%, 3, A, B ; 22298
+ ADD *AR7-%, 3, A ; 22299
+ ADD *AR7-%, 3, B, A ; 22300
+ ADD *AR7-%, 3, B, B ; 22301
+ ADD *AR7-%, 3, B ; 22302
+ ADD *AR7-%, 4, A, A ; 22303
+ ADD *AR7-%, 4, A, B ; 22304
+ ADD *AR7-%, 4, A ; 22305
+ ADD *AR7-%, 4, B, A ; 22306
+ ADD *AR7-%, 4, B, B ; 22307
+ ADD *AR7-%, 4, B ; 22308
+ ADD *AR7-%, 5, A, A ; 22309
+ ADD *AR7-%, 5, A, B ; 22310
+ ADD *AR7-%, 5, A ; 22311
+ ADD *AR7-%, 5, B, A ; 22312
+ ADD *AR7-%, 5, B, B ; 22313
+ ADD *AR7-%, 5, B ; 22314
+ ADD *AR7-%, 6, A, A ; 22315
+ ADD *AR7-%, 6, A, B ; 22316
+ ADD *AR7-%, 6, A ; 22317
+ ADD *AR7-%, 6, B, A ; 22318
+ ADD *AR7-%, 6, B, B ; 22319
+ ADD *AR7-%, 6, B ; 22320
+ ADD *AR7-%, 7, A, A ; 22321
+ ADD *AR7-%, 7, A, B ; 22322
+ ADD *AR7-%, 7, A ; 22323
+ ADD *AR7-%, 7, B, A ; 22324
+ ADD *AR7-%, 7, B, B ; 22325
+ ADD *AR7-%, 7, B ; 22326
+ ADD *AR7-%, 8, A, A ; 22327
+ ADD *AR7-%, 8, A, B ; 22328
+ ADD *AR7-%, 8, A ; 22329
+ ADD *AR7-%, 8, B, A ; 22330
+ ADD *AR7-%, 8, B, B ; 22331
+ ADD *AR7-%, 8, B ; 22332
+ ADD *AR7-%, 9, A, A ; 22333
+ ADD *AR7-%, 9, A, B ; 22334
+ ADD *AR7-%, 9, A ; 22335
+ ADD *AR7-%, 9, B, A ; 22336
+ ADD *AR7-%, 9, B, B ; 22337
+ ADD *AR7-%, 9, B ; 22338
+ ADD *AR7-%, 10, A, A ; 22339
+ ADD *AR7-%, 10, A, B ; 22340
+ ADD *AR7-%, 10, A ; 22341
+ ADD *AR7-%, 10, B, A ; 22342
+ ADD *AR7-%, 10, B, B ; 22343
+ ADD *AR7-%, 10, B ; 22344
+ ADD *AR7-%, 11, A, A ; 22345
+ ADD *AR7-%, 11, A, B ; 22346
+ ADD *AR7-%, 11, A ; 22347
+ ADD *AR7-%, 11, B, A ; 22348
+ ADD *AR7-%, 11, B, B ; 22349
+ ADD *AR7-%, 11, B ; 22350
+ ADD *AR7-%, 12, A, A ; 22351
+ ADD *AR7-%, 12, A, B ; 22352
+ ADD *AR7-%, 12, A ; 22353
+ ADD *AR7-%, 12, B, A ; 22354
+ ADD *AR7-%, 12, B, B ; 22355
+ ADD *AR7-%, 12, B ; 22356
+ ADD *AR7-%, 13, A, A ; 22357
+ ADD *AR7-%, 13, A, B ; 22358
+ ADD *AR7-%, 13, A ; 22359
+ ADD *AR7-%, 13, B, A ; 22360
+ ADD *AR7-%, 13, B, B ; 22361
+ ADD *AR7-%, 13, B ; 22362
+ ADD *AR7-%, 14, A, A ; 22363
+ ADD *AR7-%, 14, A, B ; 22364
+ ADD *AR7-%, 14, A ; 22365
+ ADD *AR7-%, 14, B, A ; 22366
+ ADD *AR7-%, 14, B, B ; 22367
+ ADD *AR7-%, 14, B ; 22368
+ ADD *AR7-%, 15, A, A ; 22369
+ ADD *AR7-%, 15, A, B ; 22370
+ ADD *AR7-%, 15, A ; 22371
+ ADD *AR7-%, 15, B, A ; 22372
+ ADD *AR7-%, 15, B, B ; 22373
+ ADD *AR7-%, 15, B ; 22374
+ ADD *AR7-0%, A, A ; 22375
+ ADD *AR7-0%, A, B ; 22376
+ ADD *AR7-0%, A ; 22377
+ ADD *AR7-0%, B, A ; 22378
+ ADD *AR7-0%, B, B ; 22379
+ ADD *AR7-0%, B ; 22380
+ ADD *AR7-0%, -16, A, A ; 22381
+ ADD *AR7-0%, -16, A, B ; 22382
+ ADD *AR7-0%, -16, A ; 22383
+ ADD *AR7-0%, -16, B, A ; 22384
+ ADD *AR7-0%, -16, B, B ; 22385
+ ADD *AR7-0%, -16, B ; 22386
+ ADD *AR7-0%, -15, A, A ; 22387
+ ADD *AR7-0%, -15, A, B ; 22388
+ ADD *AR7-0%, -15, A ; 22389
+ ADD *AR7-0%, -15, B, A ; 22390
+ ADD *AR7-0%, -15, B, B ; 22391
+ ADD *AR7-0%, -15, B ; 22392
+ ADD *AR7-0%, -14, A, A ; 22393
+ ADD *AR7-0%, -14, A, B ; 22394
+ ADD *AR7-0%, -14, A ; 22395
+ ADD *AR7-0%, -14, B, A ; 22396
+ ADD *AR7-0%, -14, B, B ; 22397
+ ADD *AR7-0%, -14, B ; 22398
+ ADD *AR7-0%, -13, A, A ; 22399
+ ADD *AR7-0%, -13, A, B ; 22400
+ ADD *AR7-0%, -13, A ; 22401
+ ADD *AR7-0%, -13, B, A ; 22402
+ ADD *AR7-0%, -13, B, B ; 22403
+ ADD *AR7-0%, -13, B ; 22404
+ ADD *AR7-0%, -12, A, A ; 22405
+ ADD *AR7-0%, -12, A, B ; 22406
+ ADD *AR7-0%, -12, A ; 22407
+ ADD *AR7-0%, -12, B, A ; 22408
+ ADD *AR7-0%, -12, B, B ; 22409
+ ADD *AR7-0%, -12, B ; 22410
+ ADD *AR7-0%, -11, A, A ; 22411
+ ADD *AR7-0%, -11, A, B ; 22412
+ ADD *AR7-0%, -11, A ; 22413
+ ADD *AR7-0%, -11, B, A ; 22414
+ ADD *AR7-0%, -11, B, B ; 22415
+ ADD *AR7-0%, -11, B ; 22416
+ ADD *AR7-0%, -10, A, A ; 22417
+ ADD *AR7-0%, -10, A, B ; 22418
+ ADD *AR7-0%, -10, A ; 22419
+ ADD *AR7-0%, -10, B, A ; 22420
+ ADD *AR7-0%, -10, B, B ; 22421
+ ADD *AR7-0%, -10, B ; 22422
+ ADD *AR7-0%, -9, A, A ; 22423
+ ADD *AR7-0%, -9, A, B ; 22424
+ ADD *AR7-0%, -9, A ; 22425
+ ADD *AR7-0%, -9, B, A ; 22426
+ ADD *AR7-0%, -9, B, B ; 22427
+ ADD *AR7-0%, -9, B ; 22428
+ ADD *AR7-0%, -8, A, A ; 22429
+ ADD *AR7-0%, -8, A, B ; 22430
+ ADD *AR7-0%, -8, A ; 22431
+ ADD *AR7-0%, -8, B, A ; 22432
+ ADD *AR7-0%, -8, B, B ; 22433
+ ADD *AR7-0%, -8, B ; 22434
+ ADD *AR7-0%, -7, A, A ; 22435
+ ADD *AR7-0%, -7, A, B ; 22436
+ ADD *AR7-0%, -7, A ; 22437
+ ADD *AR7-0%, -7, B, A ; 22438
+ ADD *AR7-0%, -7, B, B ; 22439
+ ADD *AR7-0%, -7, B ; 22440
+ ADD *AR7-0%, -6, A, A ; 22441
+ ADD *AR7-0%, -6, A, B ; 22442
+ ADD *AR7-0%, -6, A ; 22443
+ ADD *AR7-0%, -6, B, A ; 22444
+ ADD *AR7-0%, -6, B, B ; 22445
+ ADD *AR7-0%, -6, B ; 22446
+ ADD *AR7-0%, -5, A, A ; 22447
+ ADD *AR7-0%, -5, A, B ; 22448
+ ADD *AR7-0%, -5, A ; 22449
+ ADD *AR7-0%, -5, B, A ; 22450
+ ADD *AR7-0%, -5, B, B ; 22451
+ ADD *AR7-0%, -5, B ; 22452
+ ADD *AR7-0%, -4, A, A ; 22453
+ ADD *AR7-0%, -4, A, B ; 22454
+ ADD *AR7-0%, -4, A ; 22455
+ ADD *AR7-0%, -4, B, A ; 22456
+ ADD *AR7-0%, -4, B, B ; 22457
+ ADD *AR7-0%, -4, B ; 22458
+ ADD *AR7-0%, -3, A, A ; 22459
+ ADD *AR7-0%, -3, A, B ; 22460
+ ADD *AR7-0%, -3, A ; 22461
+ ADD *AR7-0%, -3, B, A ; 22462
+ ADD *AR7-0%, -3, B, B ; 22463
+ ADD *AR7-0%, -3, B ; 22464
+ ADD *AR7-0%, -2, A, A ; 22465
+ ADD *AR7-0%, -2, A, B ; 22466
+ ADD *AR7-0%, -2, A ; 22467
+ ADD *AR7-0%, -2, B, A ; 22468
+ ADD *AR7-0%, -2, B, B ; 22469
+ ADD *AR7-0%, -2, B ; 22470
+ ADD *AR7-0%, -1, A, A ; 22471
+ ADD *AR7-0%, -1, A, B ; 22472
+ ADD *AR7-0%, -1, A ; 22473
+ ADD *AR7-0%, -1, B, A ; 22474
+ ADD *AR7-0%, -1, B, B ; 22475
+ ADD *AR7-0%, -1, B ; 22476
+ ADD *AR7-0%, 0, A, A ; 22477
+ ADD *AR7-0%, 0, A, B ; 22478
+ ADD *AR7-0%, 0, A ; 22479
+ ADD *AR7-0%, 0, B, A ; 22480
+ ADD *AR7-0%, 0, B, B ; 22481
+ ADD *AR7-0%, 0, B ; 22482
+ ADD *AR7-0%, 1, A, A ; 22483
+ ADD *AR7-0%, 1, A, B ; 22484
+ ADD *AR7-0%, 1, A ; 22485
+ ADD *AR7-0%, 1, B, A ; 22486
+ ADD *AR7-0%, 1, B, B ; 22487
+ ADD *AR7-0%, 1, B ; 22488
+ ADD *AR7-0%, 2, A, A ; 22489
+ ADD *AR7-0%, 2, A, B ; 22490
+ ADD *AR7-0%, 2, A ; 22491
+ ADD *AR7-0%, 2, B, A ; 22492
+ ADD *AR7-0%, 2, B, B ; 22493
+ ADD *AR7-0%, 2, B ; 22494
+ ADD *AR7-0%, 3, A, A ; 22495
+ ADD *AR7-0%, 3, A, B ; 22496
+ ADD *AR7-0%, 3, A ; 22497
+ ADD *AR7-0%, 3, B, A ; 22498
+ ADD *AR7-0%, 3, B, B ; 22499
+ ADD *AR7-0%, 3, B ; 22500
+ ADD *AR7-0%, 4, A, A ; 22501
+ ADD *AR7-0%, 4, A, B ; 22502
+ ADD *AR7-0%, 4, A ; 22503
+ ADD *AR7-0%, 4, B, A ; 22504
+ ADD *AR7-0%, 4, B, B ; 22505
+ ADD *AR7-0%, 4, B ; 22506
+ ADD *AR7-0%, 5, A, A ; 22507
+ ADD *AR7-0%, 5, A, B ; 22508
+ ADD *AR7-0%, 5, A ; 22509
+ ADD *AR7-0%, 5, B, A ; 22510
+ ADD *AR7-0%, 5, B, B ; 22511
+ ADD *AR7-0%, 5, B ; 22512
+ ADD *AR7-0%, 6, A, A ; 22513
+ ADD *AR7-0%, 6, A, B ; 22514
+ ADD *AR7-0%, 6, A ; 22515
+ ADD *AR7-0%, 6, B, A ; 22516
+ ADD *AR7-0%, 6, B, B ; 22517
+ ADD *AR7-0%, 6, B ; 22518
+ ADD *AR7-0%, 7, A, A ; 22519
+ ADD *AR7-0%, 7, A, B ; 22520
+ ADD *AR7-0%, 7, A ; 22521
+ ADD *AR7-0%, 7, B, A ; 22522
+ ADD *AR7-0%, 7, B, B ; 22523
+ ADD *AR7-0%, 7, B ; 22524
+ ADD *AR7-0%, 8, A, A ; 22525
+ ADD *AR7-0%, 8, A, B ; 22526
+ ADD *AR7-0%, 8, A ; 22527
+ ADD *AR7-0%, 8, B, A ; 22528
+ ADD *AR7-0%, 8, B, B ; 22529
+ ADD *AR7-0%, 8, B ; 22530
+ ADD *AR7-0%, 9, A, A ; 22531
+ ADD *AR7-0%, 9, A, B ; 22532
+ ADD *AR7-0%, 9, A ; 22533
+ ADD *AR7-0%, 9, B, A ; 22534
+ ADD *AR7-0%, 9, B, B ; 22535
+ ADD *AR7-0%, 9, B ; 22536
+ ADD *AR7-0%, 10, A, A ; 22537
+ ADD *AR7-0%, 10, A, B ; 22538
+ ADD *AR7-0%, 10, A ; 22539
+ ADD *AR7-0%, 10, B, A ; 22540
+ ADD *AR7-0%, 10, B, B ; 22541
+ ADD *AR7-0%, 10, B ; 22542
+ ADD *AR7-0%, 11, A, A ; 22543
+ ADD *AR7-0%, 11, A, B ; 22544
+ ADD *AR7-0%, 11, A ; 22545
+ ADD *AR7-0%, 11, B, A ; 22546
+ ADD *AR7-0%, 11, B, B ; 22547
+ ADD *AR7-0%, 11, B ; 22548
+ ADD *AR7-0%, 12, A, A ; 22549
+ ADD *AR7-0%, 12, A, B ; 22550
+ ADD *AR7-0%, 12, A ; 22551
+ ADD *AR7-0%, 12, B, A ; 22552
+ ADD *AR7-0%, 12, B, B ; 22553
+ ADD *AR7-0%, 12, B ; 22554
+ ADD *AR7-0%, 13, A, A ; 22555
+ ADD *AR7-0%, 13, A, B ; 22556
+ ADD *AR7-0%, 13, A ; 22557
+ ADD *AR7-0%, 13, B, A ; 22558
+ ADD *AR7-0%, 13, B, B ; 22559
+ ADD *AR7-0%, 13, B ; 22560
+ ADD *AR7-0%, 14, A, A ; 22561
+ ADD *AR7-0%, 14, A, B ; 22562
+ ADD *AR7-0%, 14, A ; 22563
+ ADD *AR7-0%, 14, B, A ; 22564
+ ADD *AR7-0%, 14, B, B ; 22565
+ ADD *AR7-0%, 14, B ; 22566
+ ADD *AR7-0%, 15, A, A ; 22567
+ ADD *AR7-0%, 15, A, B ; 22568
+ ADD *AR7-0%, 15, A ; 22569
+ ADD *AR7-0%, 15, B, A ; 22570
+ ADD *AR7-0%, 15, B, B ; 22571
+ ADD *AR7-0%, 15, B ; 22572
+ ADD *AR7+%, A, A ; 22573
+ ADD *AR7+%, A, B ; 22574
+ ADD *AR7+%, A ; 22575
+ ADD *AR7+%, B, A ; 22576
+ ADD *AR7+%, B, B ; 22577
+ ADD *AR7+%, B ; 22578
+ ADD *AR7+%, -16, A, A ; 22579
+ ADD *AR7+%, -16, A, B ; 22580
+ ADD *AR7+%, -16, A ; 22581
+ ADD *AR7+%, -16, B, A ; 22582
+ ADD *AR7+%, -16, B, B ; 22583
+ ADD *AR7+%, -16, B ; 22584
+ ADD *AR7+%, -15, A, A ; 22585
+ ADD *AR7+%, -15, A, B ; 22586
+ ADD *AR7+%, -15, A ; 22587
+ ADD *AR7+%, -15, B, A ; 22588
+ ADD *AR7+%, -15, B, B ; 22589
+ ADD *AR7+%, -15, B ; 22590
+ ADD *AR7+%, -14, A, A ; 22591
+ ADD *AR7+%, -14, A, B ; 22592
+ ADD *AR7+%, -14, A ; 22593
+ ADD *AR7+%, -14, B, A ; 22594
+ ADD *AR7+%, -14, B, B ; 22595
+ ADD *AR7+%, -14, B ; 22596
+ ADD *AR7+%, -13, A, A ; 22597
+ ADD *AR7+%, -13, A, B ; 22598
+ ADD *AR7+%, -13, A ; 22599
+ ADD *AR7+%, -13, B, A ; 22600
+ ADD *AR7+%, -13, B, B ; 22601
+ ADD *AR7+%, -13, B ; 22602
+ ADD *AR7+%, -12, A, A ; 22603
+ ADD *AR7+%, -12, A, B ; 22604
+ ADD *AR7+%, -12, A ; 22605
+ ADD *AR7+%, -12, B, A ; 22606
+ ADD *AR7+%, -12, B, B ; 22607
+ ADD *AR7+%, -12, B ; 22608
+ ADD *AR7+%, -11, A, A ; 22609
+ ADD *AR7+%, -11, A, B ; 22610
+ ADD *AR7+%, -11, A ; 22611
+ ADD *AR7+%, -11, B, A ; 22612
+ ADD *AR7+%, -11, B, B ; 22613
+ ADD *AR7+%, -11, B ; 22614
+ ADD *AR7+%, -10, A, A ; 22615
+ ADD *AR7+%, -10, A, B ; 22616
+ ADD *AR7+%, -10, A ; 22617
+ ADD *AR7+%, -10, B, A ; 22618
+ ADD *AR7+%, -10, B, B ; 22619
+ ADD *AR7+%, -10, B ; 22620
+ ADD *AR7+%, -9, A, A ; 22621
+ ADD *AR7+%, -9, A, B ; 22622
+ ADD *AR7+%, -9, A ; 22623
+ ADD *AR7+%, -9, B, A ; 22624
+ ADD *AR7+%, -9, B, B ; 22625
+ ADD *AR7+%, -9, B ; 22626
+ ADD *AR7+%, -8, A, A ; 22627
+ ADD *AR7+%, -8, A, B ; 22628
+ ADD *AR7+%, -8, A ; 22629
+ ADD *AR7+%, -8, B, A ; 22630
+ ADD *AR7+%, -8, B, B ; 22631
+ ADD *AR7+%, -8, B ; 22632
+ ADD *AR7+%, -7, A, A ; 22633
+ ADD *AR7+%, -7, A, B ; 22634
+ ADD *AR7+%, -7, A ; 22635
+ ADD *AR7+%, -7, B, A ; 22636
+ ADD *AR7+%, -7, B, B ; 22637
+ ADD *AR7+%, -7, B ; 22638
+ ADD *AR7+%, -6, A, A ; 22639
+ ADD *AR7+%, -6, A, B ; 22640
+ ADD *AR7+%, -6, A ; 22641
+ ADD *AR7+%, -6, B, A ; 22642
+ ADD *AR7+%, -6, B, B ; 22643
+ ADD *AR7+%, -6, B ; 22644
+ ADD *AR7+%, -5, A, A ; 22645
+ ADD *AR7+%, -5, A, B ; 22646
+ ADD *AR7+%, -5, A ; 22647
+ ADD *AR7+%, -5, B, A ; 22648
+ ADD *AR7+%, -5, B, B ; 22649
+ ADD *AR7+%, -5, B ; 22650
+ ADD *AR7+%, -4, A, A ; 22651
+ ADD *AR7+%, -4, A, B ; 22652
+ ADD *AR7+%, -4, A ; 22653
+ ADD *AR7+%, -4, B, A ; 22654
+ ADD *AR7+%, -4, B, B ; 22655
+ ADD *AR7+%, -4, B ; 22656
+ ADD *AR7+%, -3, A, A ; 22657
+ ADD *AR7+%, -3, A, B ; 22658
+ ADD *AR7+%, -3, A ; 22659
+ ADD *AR7+%, -3, B, A ; 22660
+ ADD *AR7+%, -3, B, B ; 22661
+ ADD *AR7+%, -3, B ; 22662
+ ADD *AR7+%, -2, A, A ; 22663
+ ADD *AR7+%, -2, A, B ; 22664
+ ADD *AR7+%, -2, A ; 22665
+ ADD *AR7+%, -2, B, A ; 22666
+ ADD *AR7+%, -2, B, B ; 22667
+ ADD *AR7+%, -2, B ; 22668
+ ADD *AR7+%, -1, A, A ; 22669
+ ADD *AR7+%, -1, A, B ; 22670
+ ADD *AR7+%, -1, A ; 22671
+ ADD *AR7+%, -1, B, A ; 22672
+ ADD *AR7+%, -1, B, B ; 22673
+ ADD *AR7+%, -1, B ; 22674
+ ADD *AR7+%, 0, A, A ; 22675
+ ADD *AR7+%, 0, A, B ; 22676
+ ADD *AR7+%, 0, A ; 22677
+ ADD *AR7+%, 0, B, A ; 22678
+ ADD *AR7+%, 0, B, B ; 22679
+ ADD *AR7+%, 0, B ; 22680
+ ADD *AR7+%, 1, A, A ; 22681
+ ADD *AR7+%, 1, A, B ; 22682
+ ADD *AR7+%, 1, A ; 22683
+ ADD *AR7+%, 1, B, A ; 22684
+ ADD *AR7+%, 1, B, B ; 22685
+ ADD *AR7+%, 1, B ; 22686
+ ADD *AR7+%, 2, A, A ; 22687
+ ADD *AR7+%, 2, A, B ; 22688
+ ADD *AR7+%, 2, A ; 22689
+ ADD *AR7+%, 2, B, A ; 22690
+ ADD *AR7+%, 2, B, B ; 22691
+ ADD *AR7+%, 2, B ; 22692
+ ADD *AR7+%, 3, A, A ; 22693
+ ADD *AR7+%, 3, A, B ; 22694
+ ADD *AR7+%, 3, A ; 22695
+ ADD *AR7+%, 3, B, A ; 22696
+ ADD *AR7+%, 3, B, B ; 22697
+ ADD *AR7+%, 3, B ; 22698
+ ADD *AR7+%, 4, A, A ; 22699
+ ADD *AR7+%, 4, A, B ; 22700
+ ADD *AR7+%, 4, A ; 22701
+ ADD *AR7+%, 4, B, A ; 22702
+ ADD *AR7+%, 4, B, B ; 22703
+ ADD *AR7+%, 4, B ; 22704
+ ADD *AR7+%, 5, A, A ; 22705
+ ADD *AR7+%, 5, A, B ; 22706
+ ADD *AR7+%, 5, A ; 22707
+ ADD *AR7+%, 5, B, A ; 22708
+ ADD *AR7+%, 5, B, B ; 22709
+ ADD *AR7+%, 5, B ; 22710
+ ADD *AR7+%, 6, A, A ; 22711
+ ADD *AR7+%, 6, A, B ; 22712
+ ADD *AR7+%, 6, A ; 22713
+ ADD *AR7+%, 6, B, A ; 22714
+ ADD *AR7+%, 6, B, B ; 22715
+ ADD *AR7+%, 6, B ; 22716
+ ADD *AR7+%, 7, A, A ; 22717
+ ADD *AR7+%, 7, A, B ; 22718
+ ADD *AR7+%, 7, A ; 22719
+ ADD *AR7+%, 7, B, A ; 22720
+ ADD *AR7+%, 7, B, B ; 22721
+ ADD *AR7+%, 7, B ; 22722
+ ADD *AR7+%, 8, A, A ; 22723
+ ADD *AR7+%, 8, A, B ; 22724
+ ADD *AR7+%, 8, A ; 22725
+ ADD *AR7+%, 8, B, A ; 22726
+ ADD *AR7+%, 8, B, B ; 22727
+ ADD *AR7+%, 8, B ; 22728
+ ADD *AR7+%, 9, A, A ; 22729
+ ADD *AR7+%, 9, A, B ; 22730
+ ADD *AR7+%, 9, A ; 22731
+ ADD *AR7+%, 9, B, A ; 22732
+ ADD *AR7+%, 9, B, B ; 22733
+ ADD *AR7+%, 9, B ; 22734
+ ADD *AR7+%, 10, A, A ; 22735
+ ADD *AR7+%, 10, A, B ; 22736
+ ADD *AR7+%, 10, A ; 22737
+ ADD *AR7+%, 10, B, A ; 22738
+ ADD *AR7+%, 10, B, B ; 22739
+ ADD *AR7+%, 10, B ; 22740
+ ADD *AR7+%, 11, A, A ; 22741
+ ADD *AR7+%, 11, A, B ; 22742
+ ADD *AR7+%, 11, A ; 22743
+ ADD *AR7+%, 11, B, A ; 22744
+ ADD *AR7+%, 11, B, B ; 22745
+ ADD *AR7+%, 11, B ; 22746
+ ADD *AR7+%, 12, A, A ; 22747
+ ADD *AR7+%, 12, A, B ; 22748
+ ADD *AR7+%, 12, A ; 22749
+ ADD *AR7+%, 12, B, A ; 22750
+ ADD *AR7+%, 12, B, B ; 22751
+ ADD *AR7+%, 12, B ; 22752
+ ADD *AR7+%, 13, A, A ; 22753
+ ADD *AR7+%, 13, A, B ; 22754
+ ADD *AR7+%, 13, A ; 22755
+ ADD *AR7+%, 13, B, A ; 22756
+ ADD *AR7+%, 13, B, B ; 22757
+ ADD *AR7+%, 13, B ; 22758
+ ADD *AR7+%, 14, A, A ; 22759
+ ADD *AR7+%, 14, A, B ; 22760
+ ADD *AR7+%, 14, A ; 22761
+ ADD *AR7+%, 14, B, A ; 22762
+ ADD *AR7+%, 14, B, B ; 22763
+ ADD *AR7+%, 14, B ; 22764
+ ADD *AR7+%, 15, A, A ; 22765
+ ADD *AR7+%, 15, A, B ; 22766
+ ADD *AR7+%, 15, A ; 22767
+ ADD *AR7+%, 15, B, A ; 22768
+ ADD *AR7+%, 15, B, B ; 22769
+ ADD *AR7+%, 15, B ; 22770
+ ADD *AR7+0%, A, A ; 22771
+ ADD *AR7+0%, A, B ; 22772
+ ADD *AR7+0%, A ; 22773
+ ADD *AR7+0%, B, A ; 22774
+ ADD *AR7+0%, B, B ; 22775
+ ADD *AR7+0%, B ; 22776
+ ADD *AR7+0%, -16, A, A ; 22777
+ ADD *AR7+0%, -16, A, B ; 22778
+ ADD *AR7+0%, -16, A ; 22779
+ ADD *AR7+0%, -16, B, A ; 22780
+ ADD *AR7+0%, -16, B, B ; 22781
+ ADD *AR7+0%, -16, B ; 22782
+ ADD *AR7+0%, -15, A, A ; 22783
+ ADD *AR7+0%, -15, A, B ; 22784
+ ADD *AR7+0%, -15, A ; 22785
+ ADD *AR7+0%, -15, B, A ; 22786
+ ADD *AR7+0%, -15, B, B ; 22787
+ ADD *AR7+0%, -15, B ; 22788
+ ADD *AR7+0%, -14, A, A ; 22789
+ ADD *AR7+0%, -14, A, B ; 22790
+ ADD *AR7+0%, -14, A ; 22791
+ ADD *AR7+0%, -14, B, A ; 22792
+ ADD *AR7+0%, -14, B, B ; 22793
+ ADD *AR7+0%, -14, B ; 22794
+ ADD *AR7+0%, -13, A, A ; 22795
+ ADD *AR7+0%, -13, A, B ; 22796
+ ADD *AR7+0%, -13, A ; 22797
+ ADD *AR7+0%, -13, B, A ; 22798
+ ADD *AR7+0%, -13, B, B ; 22799
+ ADD *AR7+0%, -13, B ; 22800
+ ADD *AR7+0%, -12, A, A ; 22801
+ ADD *AR7+0%, -12, A, B ; 22802
+ ADD *AR7+0%, -12, A ; 22803
+ ADD *AR7+0%, -12, B, A ; 22804
+ ADD *AR7+0%, -12, B, B ; 22805
+ ADD *AR7+0%, -12, B ; 22806
+ ADD *AR7+0%, -11, A, A ; 22807
+ ADD *AR7+0%, -11, A, B ; 22808
+ ADD *AR7+0%, -11, A ; 22809
+ ADD *AR7+0%, -11, B, A ; 22810
+ ADD *AR7+0%, -11, B, B ; 22811
+ ADD *AR7+0%, -11, B ; 22812
+ ADD *AR7+0%, -10, A, A ; 22813
+ ADD *AR7+0%, -10, A, B ; 22814
+ ADD *AR7+0%, -10, A ; 22815
+ ADD *AR7+0%, -10, B, A ; 22816
+ ADD *AR7+0%, -10, B, B ; 22817
+ ADD *AR7+0%, -10, B ; 22818
+ ADD *AR7+0%, -9, A, A ; 22819
+ ADD *AR7+0%, -9, A, B ; 22820
+ ADD *AR7+0%, -9, A ; 22821
+ ADD *AR7+0%, -9, B, A ; 22822
+ ADD *AR7+0%, -9, B, B ; 22823
+ ADD *AR7+0%, -9, B ; 22824
+ ADD *AR7+0%, -8, A, A ; 22825
+ ADD *AR7+0%, -8, A, B ; 22826
+ ADD *AR7+0%, -8, A ; 22827
+ ADD *AR7+0%, -8, B, A ; 22828
+ ADD *AR7+0%, -8, B, B ; 22829
+ ADD *AR7+0%, -8, B ; 22830
+ ADD *AR7+0%, -7, A, A ; 22831
+ ADD *AR7+0%, -7, A, B ; 22832
+ ADD *AR7+0%, -7, A ; 22833
+ ADD *AR7+0%, -7, B, A ; 22834
+ ADD *AR7+0%, -7, B, B ; 22835
+ ADD *AR7+0%, -7, B ; 22836
+ ADD *AR7+0%, -6, A, A ; 22837
+ ADD *AR7+0%, -6, A, B ; 22838
+ ADD *AR7+0%, -6, A ; 22839
+ ADD *AR7+0%, -6, B, A ; 22840
+ ADD *AR7+0%, -6, B, B ; 22841
+ ADD *AR7+0%, -6, B ; 22842
+ ADD *AR7+0%, -5, A, A ; 22843
+ ADD *AR7+0%, -5, A, B ; 22844
+ ADD *AR7+0%, -5, A ; 22845
+ ADD *AR7+0%, -5, B, A ; 22846
+ ADD *AR7+0%, -5, B, B ; 22847
+ ADD *AR7+0%, -5, B ; 22848
+ ADD *AR7+0%, -4, A, A ; 22849
+ ADD *AR7+0%, -4, A, B ; 22850
+ ADD *AR7+0%, -4, A ; 22851
+ ADD *AR7+0%, -4, B, A ; 22852
+ ADD *AR7+0%, -4, B, B ; 22853
+ ADD *AR7+0%, -4, B ; 22854
+ ADD *AR7+0%, -3, A, A ; 22855
+ ADD *AR7+0%, -3, A, B ; 22856
+ ADD *AR7+0%, -3, A ; 22857
+ ADD *AR7+0%, -3, B, A ; 22858
+ ADD *AR7+0%, -3, B, B ; 22859
+ ADD *AR7+0%, -3, B ; 22860
+ ADD *AR7+0%, -2, A, A ; 22861
+ ADD *AR7+0%, -2, A, B ; 22862
+ ADD *AR7+0%, -2, A ; 22863
+ ADD *AR7+0%, -2, B, A ; 22864
+ ADD *AR7+0%, -2, B, B ; 22865
+ ADD *AR7+0%, -2, B ; 22866
+ ADD *AR7+0%, -1, A, A ; 22867
+ ADD *AR7+0%, -1, A, B ; 22868
+ ADD *AR7+0%, -1, A ; 22869
+ ADD *AR7+0%, -1, B, A ; 22870
+ ADD *AR7+0%, -1, B, B ; 22871
+ ADD *AR7+0%, -1, B ; 22872
+ ADD *AR7+0%, 0, A, A ; 22873
+ ADD *AR7+0%, 0, A, B ; 22874
+ ADD *AR7+0%, 0, A ; 22875
+ ADD *AR7+0%, 0, B, A ; 22876
+ ADD *AR7+0%, 0, B, B ; 22877
+ ADD *AR7+0%, 0, B ; 22878
+ ADD *AR7+0%, 1, A, A ; 22879
+ ADD *AR7+0%, 1, A, B ; 22880
+ ADD *AR7+0%, 1, A ; 22881
+ ADD *AR7+0%, 1, B, A ; 22882
+ ADD *AR7+0%, 1, B, B ; 22883
+ ADD *AR7+0%, 1, B ; 22884
+ ADD *AR7+0%, 2, A, A ; 22885
+ ADD *AR7+0%, 2, A, B ; 22886
+ ADD *AR7+0%, 2, A ; 22887
+ ADD *AR7+0%, 2, B, A ; 22888
+ ADD *AR7+0%, 2, B, B ; 22889
+ ADD *AR7+0%, 2, B ; 22890
+ ADD *AR7+0%, 3, A, A ; 22891
+ ADD *AR7+0%, 3, A, B ; 22892
+ ADD *AR7+0%, 3, A ; 22893
+ ADD *AR7+0%, 3, B, A ; 22894
+ ADD *AR7+0%, 3, B, B ; 22895
+ ADD *AR7+0%, 3, B ; 22896
+ ADD *AR7+0%, 4, A, A ; 22897
+ ADD *AR7+0%, 4, A, B ; 22898
+ ADD *AR7+0%, 4, A ; 22899
+ ADD *AR7+0%, 4, B, A ; 22900
+ ADD *AR7+0%, 4, B, B ; 22901
+ ADD *AR7+0%, 4, B ; 22902
+ ADD *AR7+0%, 5, A, A ; 22903
+ ADD *AR7+0%, 5, A, B ; 22904
+ ADD *AR7+0%, 5, A ; 22905
+ ADD *AR7+0%, 5, B, A ; 22906
+ ADD *AR7+0%, 5, B, B ; 22907
+ ADD *AR7+0%, 5, B ; 22908
+ ADD *AR7+0%, 6, A, A ; 22909
+ ADD *AR7+0%, 6, A, B ; 22910
+ ADD *AR7+0%, 6, A ; 22911
+ ADD *AR7+0%, 6, B, A ; 22912
+ ADD *AR7+0%, 6, B, B ; 22913
+ ADD *AR7+0%, 6, B ; 22914
+ ADD *AR7+0%, 7, A, A ; 22915
+ ADD *AR7+0%, 7, A, B ; 22916
+ ADD *AR7+0%, 7, A ; 22917
+ ADD *AR7+0%, 7, B, A ; 22918
+ ADD *AR7+0%, 7, B, B ; 22919
+ ADD *AR7+0%, 7, B ; 22920
+ ADD *AR7+0%, 8, A, A ; 22921
+ ADD *AR7+0%, 8, A, B ; 22922
+ ADD *AR7+0%, 8, A ; 22923
+ ADD *AR7+0%, 8, B, A ; 22924
+ ADD *AR7+0%, 8, B, B ; 22925
+ ADD *AR7+0%, 8, B ; 22926
+ ADD *AR7+0%, 9, A, A ; 22927
+ ADD *AR7+0%, 9, A, B ; 22928
+ ADD *AR7+0%, 9, A ; 22929
+ ADD *AR7+0%, 9, B, A ; 22930
+ ADD *AR7+0%, 9, B, B ; 22931
+ ADD *AR7+0%, 9, B ; 22932
+ ADD *AR7+0%, 10, A, A ; 22933
+ ADD *AR7+0%, 10, A, B ; 22934
+ ADD *AR7+0%, 10, A ; 22935
+ ADD *AR7+0%, 10, B, A ; 22936
+ ADD *AR7+0%, 10, B, B ; 22937
+ ADD *AR7+0%, 10, B ; 22938
+ ADD *AR7+0%, 11, A, A ; 22939
+ ADD *AR7+0%, 11, A, B ; 22940
+ ADD *AR7+0%, 11, A ; 22941
+ ADD *AR7+0%, 11, B, A ; 22942
+ ADD *AR7+0%, 11, B, B ; 22943
+ ADD *AR7+0%, 11, B ; 22944
+ ADD *AR7+0%, 12, A, A ; 22945
+ ADD *AR7+0%, 12, A, B ; 22946
+ ADD *AR7+0%, 12, A ; 22947
+ ADD *AR7+0%, 12, B, A ; 22948
+ ADD *AR7+0%, 12, B, B ; 22949
+ ADD *AR7+0%, 12, B ; 22950
+ ADD *AR7+0%, 13, A, A ; 22951
+ ADD *AR7+0%, 13, A, B ; 22952
+ ADD *AR7+0%, 13, A ; 22953
+ ADD *AR7+0%, 13, B, A ; 22954
+ ADD *AR7+0%, 13, B, B ; 22955
+ ADD *AR7+0%, 13, B ; 22956
+ ADD *AR7+0%, 14, A, A ; 22957
+ ADD *AR7+0%, 14, A, B ; 22958
+ ADD *AR7+0%, 14, A ; 22959
+ ADD *AR7+0%, 14, B, A ; 22960
+ ADD *AR7+0%, 14, B, B ; 22961
+ ADD *AR7+0%, 14, B ; 22962
+ ADD *AR7+0%, 15, A, A ; 22963
+ ADD *AR7+0%, 15, A, B ; 22964
+ ADD *AR7+0%, 15, A ; 22965
+ ADD *AR7+0%, 15, B, A ; 22966
+ ADD *AR7+0%, 15, B, B ; 22967
+ ADD *AR7+0%, 15, B ; 22968
+ ADD *AR7(017EDh), A, A ; 22969
+ ADD *AR7(017EEh), A, B ; 22970
+ ADD *AR7(017EFh), A ; 22971
+ ADD *AR7(017F0h), B, A ; 22972
+ ADD *AR7(017F1h), B, B ; 22973
+ ADD *AR7(017F2h), B ; 22974
+ ADD *AR7(017F3h), -16, A, A ; 22975
+ ADD *AR7(017F4h), -16, A, B ; 22976
+ ADD *AR7(017F5h), -16, A ; 22977
+ ADD *AR7(017F6h), -16, B, A ; 22978
+ ADD *AR7(017F7h), -16, B, B ; 22979
+ ADD *AR7(017F8h), -16, B ; 22980
+ ADD *AR7(017F9h), -15, A, A ; 22981
+ ADD *AR7(017FAh), -15, A, B ; 22982
+ ADD *AR7(017FBh), -15, A ; 22983
+ ADD *AR7(017FCh), -15, B, A ; 22984
+ ADD *AR7(017FDh), -15, B, B ; 22985
+ ADD *AR7(017FEh), -15, B ; 22986
+ ADD *AR7(017FFh), -14, A, A ; 22987
+ ADD *AR7(01800h), -14, A, B ; 22988
+ ADD *AR7(01801h), -14, A ; 22989
+ ADD *AR7(01802h), -14, B, A ; 22990
+ ADD *AR7(01803h), -14, B, B ; 22991
+ ADD *AR7(01804h), -14, B ; 22992
+ ADD *AR7(01805h), -13, A, A ; 22993
+ ADD *AR7(01806h), -13, A, B ; 22994
+ ADD *AR7(01807h), -13, A ; 22995
+ ADD *AR7(01808h), -13, B, A ; 22996
+ ADD *AR7(01809h), -13, B, B ; 22997
+ ADD *AR7(0180Ah), -13, B ; 22998
+ ADD *AR7(0180Bh), -12, A, A ; 22999
+ ADD *AR7(0180Ch), -12, A, B ; 23000
+ ADD *AR7(0180Dh), -12, A ; 23001
+ ADD *AR7(0180Eh), -12, B, A ; 23002
+ ADD *AR7(0180Fh), -12, B, B ; 23003
+ ADD *AR7(01810h), -12, B ; 23004
+ ADD *AR7(01811h), -11, A, A ; 23005
+ ADD *AR7(01812h), -11, A, B ; 23006
+ ADD *AR7(01813h), -11, A ; 23007
+ ADD *AR7(01814h), -11, B, A ; 23008
+ ADD *AR7(01815h), -11, B, B ; 23009
+ ADD *AR7(01816h), -11, B ; 23010
+ ADD *AR7(01817h), -10, A, A ; 23011
+ ADD *AR7(01818h), -10, A, B ; 23012
+ ADD *AR7(01819h), -10, A ; 23013
+ ADD *AR7(0181Ah), -10, B, A ; 23014
+ ADD *AR7(0181Bh), -10, B, B ; 23015
+ ADD *AR7(0181Ch), -10, B ; 23016
+ ADD *AR7(0181Dh), -9, A, A ; 23017
+ ADD *AR7(0181Eh), -9, A, B ; 23018
+ ADD *AR7(0181Fh), -9, A ; 23019
+ ADD *AR7(01820h), -9, B, A ; 23020
+ ADD *AR7(01821h), -9, B, B ; 23021
+ ADD *AR7(01822h), -9, B ; 23022
+ ADD *AR7(01823h), -8, A, A ; 23023
+ ADD *AR7(01824h), -8, A, B ; 23024
+ ADD *AR7(01825h), -8, A ; 23025
+ ADD *AR7(01826h), -8, B, A ; 23026
+ ADD *AR7(01827h), -8, B, B ; 23027
+ ADD *AR7(01828h), -8, B ; 23028
+ ADD *AR7(01829h), -7, A, A ; 23029
+ ADD *AR7(0182Ah), -7, A, B ; 23030
+ ADD *AR7(0182Bh), -7, A ; 23031
+ ADD *AR7(0182Ch), -7, B, A ; 23032
+ ADD *AR7(0182Dh), -7, B, B ; 23033
+ ADD *AR7(0182Eh), -7, B ; 23034
+ ADD *AR7(0182Fh), -6, A, A ; 23035
+ ADD *AR7(01830h), -6, A, B ; 23036
+ ADD *AR7(01831h), -6, A ; 23037
+ ADD *AR7(01832h), -6, B, A ; 23038
+ ADD *AR7(01833h), -6, B, B ; 23039
+ ADD *AR7(01834h), -6, B ; 23040
+ ADD *AR7(01835h), -5, A, A ; 23041
+ ADD *AR7(01836h), -5, A, B ; 23042
+ ADD *AR7(01837h), -5, A ; 23043
+ ADD *AR7(01838h), -5, B, A ; 23044
+ ADD *AR7(01839h), -5, B, B ; 23045
+ ADD *AR7(0183Ah), -5, B ; 23046
+ ADD *AR7(0183Bh), -4, A, A ; 23047
+ ADD *AR7(0183Ch), -4, A, B ; 23048
+ ADD *AR7(0183Dh), -4, A ; 23049
+ ADD *AR7(0183Eh), -4, B, A ; 23050
+ ADD *AR7(0183Fh), -4, B, B ; 23051
+ ADD *AR7(01840h), -4, B ; 23052
+ ADD *AR7(01841h), -3, A, A ; 23053
+ ADD *AR7(01842h), -3, A, B ; 23054
+ ADD *AR7(01843h), -3, A ; 23055
+ ADD *AR7(01844h), -3, B, A ; 23056
+ ADD *AR7(01845h), -3, B, B ; 23057
+ ADD *AR7(01846h), -3, B ; 23058
+ ADD *AR7(01847h), -2, A, A ; 23059
+ ADD *AR7(01848h), -2, A, B ; 23060
+ ADD *AR7(01849h), -2, A ; 23061
+ ADD *AR7(0184Ah), -2, B, A ; 23062
+ ADD *AR7(0184Bh), -2, B, B ; 23063
+ ADD *AR7(0184Ch), -2, B ; 23064
+ ADD *AR7(0184Dh), -1, A, A ; 23065
+ ADD *AR7(0184Eh), -1, A, B ; 23066
+ ADD *AR7(0184Fh), -1, A ; 23067
+ ADD *AR7(01850h), -1, B, A ; 23068
+ ADD *AR7(01851h), -1, B, B ; 23069
+ ADD *AR7(01852h), -1, B ; 23070
+ ADD *AR7(01853h), 0, A, A ; 23071
+ ADD *AR7(01854h), 0, A, B ; 23072
+ ADD *AR7(01855h), 0, A ; 23073
+ ADD *AR7(01856h), 0, B, A ; 23074
+ ADD *AR7(01857h), 0, B, B ; 23075
+ ADD *AR7(01858h), 0, B ; 23076
+ ADD *AR7(01859h), 1, A, A ; 23077
+ ADD *AR7(0185Ah), 1, A, B ; 23078
+ ADD *AR7(0185Bh), 1, A ; 23079
+ ADD *AR7(0185Ch), 1, B, A ; 23080
+ ADD *AR7(0185Dh), 1, B, B ; 23081
+ ADD *AR7(0185Eh), 1, B ; 23082
+ ADD *AR7(0185Fh), 2, A, A ; 23083
+ ADD *AR7(01860h), 2, A, B ; 23084
+ ADD *AR7(01861h), 2, A ; 23085
+ ADD *AR7(01862h), 2, B, A ; 23086
+ ADD *AR7(01863h), 2, B, B ; 23087
+ ADD *AR7(01864h), 2, B ; 23088
+ ADD *AR7(01865h), 3, A, A ; 23089
+ ADD *AR7(01866h), 3, A, B ; 23090
+ ADD *AR7(01867h), 3, A ; 23091
+ ADD *AR7(01868h), 3, B, A ; 23092
+ ADD *AR7(01869h), 3, B, B ; 23093
+ ADD *AR7(0186Ah), 3, B ; 23094
+ ADD *AR7(0186Bh), 4, A, A ; 23095
+ ADD *AR7(0186Ch), 4, A, B ; 23096
+ ADD *AR7(0186Dh), 4, A ; 23097
+ ADD *AR7(0186Eh), 4, B, A ; 23098
+ ADD *AR7(0186Fh), 4, B, B ; 23099
+ ADD *AR7(01870h), 4, B ; 23100
+ ADD *AR7(01871h), 5, A, A ; 23101
+ ADD *AR7(01872h), 5, A, B ; 23102
+ ADD *AR7(01873h), 5, A ; 23103
+ ADD *AR7(01874h), 5, B, A ; 23104
+ ADD *AR7(01875h), 5, B, B ; 23105
+ ADD *AR7(01876h), 5, B ; 23106
+ ADD *AR7(01877h), 6, A, A ; 23107
+ ADD *AR7(01878h), 6, A, B ; 23108
+ ADD *AR7(01879h), 6, A ; 23109
+ ADD *AR7(0187Ah), 6, B, A ; 23110
+ ADD *AR7(0187Bh), 6, B, B ; 23111
+ ADD *AR7(0187Ch), 6, B ; 23112
+ ADD *AR7(0187Dh), 7, A, A ; 23113
+ ADD *AR7(0187Eh), 7, A, B ; 23114
+ ADD *AR7(0187Fh), 7, A ; 23115
+ ADD *AR7(01880h), 7, B, A ; 23116
+ ADD *AR7(01881h), 7, B, B ; 23117
+ ADD *AR7(01882h), 7, B ; 23118
+ ADD *AR7(01883h), 8, A, A ; 23119
+ ADD *AR7(01884h), 8, A, B ; 23120
+ ADD *AR7(01885h), 8, A ; 23121
+ ADD *AR7(01886h), 8, B, A ; 23122
+ ADD *AR7(01887h), 8, B, B ; 23123
+ ADD *AR7(01888h), 8, B ; 23124
+ ADD *AR7(01889h), 9, A, A ; 23125
+ ADD *AR7(0188Ah), 9, A, B ; 23126
+ ADD *AR7(0188Bh), 9, A ; 23127
+ ADD *AR7(0188Ch), 9, B, A ; 23128
+ ADD *AR7(0188Dh), 9, B, B ; 23129
+ ADD *AR7(0188Eh), 9, B ; 23130
+ ADD *AR7(0188Fh), 10, A, A ; 23131
+ ADD *AR7(01890h), 10, A, B ; 23132
+ ADD *AR7(01891h), 10, A ; 23133
+ ADD *AR7(01892h), 10, B, A ; 23134
+ ADD *AR7(01893h), 10, B, B ; 23135
+ ADD *AR7(01894h), 10, B ; 23136
+ ADD *AR7(01895h), 11, A, A ; 23137
+ ADD *AR7(01896h), 11, A, B ; 23138
+ ADD *AR7(01897h), 11, A ; 23139
+ ADD *AR7(01898h), 11, B, A ; 23140
+ ADD *AR7(01899h), 11, B, B ; 23141
+ ADD *AR7(0189Ah), 11, B ; 23142
+ ADD *AR7(0189Bh), 12, A, A ; 23143
+ ADD *AR7(0189Ch), 12, A, B ; 23144
+ ADD *AR7(0189Dh), 12, A ; 23145
+ ADD *AR7(0189Eh), 12, B, A ; 23146
+ ADD *AR7(0189Fh), 12, B, B ; 23147
+ ADD *AR7(018A0h), 12, B ; 23148
+ ADD *AR7(018A1h), 13, A, A ; 23149
+ ADD *AR7(018A2h), 13, A, B ; 23150
+ ADD *AR7(018A3h), 13, A ; 23151
+ ADD *AR7(018A4h), 13, B, A ; 23152
+ ADD *AR7(018A5h), 13, B, B ; 23153
+ ADD *AR7(018A6h), 13, B ; 23154
+ ADD *AR7(018A7h), 14, A, A ; 23155
+ ADD *AR7(018A8h), 14, A, B ; 23156
+ ADD *AR7(018A9h), 14, A ; 23157
+ ADD *AR7(018AAh), 14, B, A ; 23158
+ ADD *AR7(018ABh), 14, B, B ; 23159
+ ADD *AR7(018ACh), 14, B ; 23160
+ ADD *AR7(018ADh), 15, A, A ; 23161
+ ADD *AR7(018AEh), 15, A, B ; 23162
+ ADD *AR7(018AFh), 15, A ; 23163
+ ADD *AR7(018B0h), 15, B, A ; 23164
+ ADD *AR7(018B1h), 15, B, B ; 23165
+ ADD *AR7(018B2h), 15, B ; 23166
+ ADD *+AR7(018B3h), A, A ; 23167
+ ADD *+AR7(018B4h), A, B ; 23168
+ ADD *+AR7(018B5h), A ; 23169
+ ADD *+AR7(018B6h), B, A ; 23170
+ ADD *+AR7(018B7h), B, B ; 23171
+ ADD *+AR7(018B8h), B ; 23172
+ ADD *+AR7(018B9h), -16, A, A ; 23173
+ ADD *+AR7(018BAh), -16, A, B ; 23174
+ ADD *+AR7(018BBh), -16, A ; 23175
+ ADD *+AR7(018BCh), -16, B, A ; 23176
+ ADD *+AR7(018BDh), -16, B, B ; 23177
+ ADD *+AR7(018BEh), -16, B ; 23178
+ ADD *+AR7(018BFh), -15, A, A ; 23179
+ ADD *+AR7(018C0h), -15, A, B ; 23180
+ ADD *+AR7(018C1h), -15, A ; 23181
+ ADD *+AR7(018C2h), -15, B, A ; 23182
+ ADD *+AR7(018C3h), -15, B, B ; 23183
+ ADD *+AR7(018C4h), -15, B ; 23184
+ ADD *+AR7(018C5h), -14, A, A ; 23185
+ ADD *+AR7(018C6h), -14, A, B ; 23186
+ ADD *+AR7(018C7h), -14, A ; 23187
+ ADD *+AR7(018C8h), -14, B, A ; 23188
+ ADD *+AR7(018C9h), -14, B, B ; 23189
+ ADD *+AR7(018CAh), -14, B ; 23190
+ ADD *+AR7(018CBh), -13, A, A ; 23191
+ ADD *+AR7(018CCh), -13, A, B ; 23192
+ ADD *+AR7(018CDh), -13, A ; 23193
+ ADD *+AR7(018CEh), -13, B, A ; 23194
+ ADD *+AR7(018CFh), -13, B, B ; 23195
+ ADD *+AR7(018D0h), -13, B ; 23196
+ ADD *+AR7(018D1h), -12, A, A ; 23197
+ ADD *+AR7(018D2h), -12, A, B ; 23198
+ ADD *+AR7(018D3h), -12, A ; 23199
+ ADD *+AR7(018D4h), -12, B, A ; 23200
+ ADD *+AR7(018D5h), -12, B, B ; 23201
+ ADD *+AR7(018D6h), -12, B ; 23202
+ ADD *+AR7(018D7h), -11, A, A ; 23203
+ ADD *+AR7(018D8h), -11, A, B ; 23204
+ ADD *+AR7(018D9h), -11, A ; 23205
+ ADD *+AR7(018DAh), -11, B, A ; 23206
+ ADD *+AR7(018DBh), -11, B, B ; 23207
+ ADD *+AR7(018DCh), -11, B ; 23208
+ ADD *+AR7(018DDh), -10, A, A ; 23209
+ ADD *+AR7(018DEh), -10, A, B ; 23210
+ ADD *+AR7(018DFh), -10, A ; 23211
+ ADD *+AR7(018E0h), -10, B, A ; 23212
+ ADD *+AR7(018E1h), -10, B, B ; 23213
+ ADD *+AR7(018E2h), -10, B ; 23214
+ ADD *+AR7(018E3h), -9, A, A ; 23215
+ ADD *+AR7(018E4h), -9, A, B ; 23216
+ ADD *+AR7(018E5h), -9, A ; 23217
+ ADD *+AR7(018E6h), -9, B, A ; 23218
+ ADD *+AR7(018E7h), -9, B, B ; 23219
+ ADD *+AR7(018E8h), -9, B ; 23220
+ ADD *+AR7(018E9h), -8, A, A ; 23221
+ ADD *+AR7(018EAh), -8, A, B ; 23222
+ ADD *+AR7(018EBh), -8, A ; 23223
+ ADD *+AR7(018ECh), -8, B, A ; 23224
+ ADD *+AR7(018EDh), -8, B, B ; 23225
+ ADD *+AR7(018EEh), -8, B ; 23226
+ ADD *+AR7(018EFh), -7, A, A ; 23227
+ ADD *+AR7(018F0h), -7, A, B ; 23228
+ ADD *+AR7(018F1h), -7, A ; 23229
+ ADD *+AR7(018F2h), -7, B, A ; 23230
+ ADD *+AR7(018F3h), -7, B, B ; 23231
+ ADD *+AR7(018F4h), -7, B ; 23232
+ ADD *+AR7(018F5h), -6, A, A ; 23233
+ ADD *+AR7(018F6h), -6, A, B ; 23234
+ ADD *+AR7(018F7h), -6, A ; 23235
+ ADD *+AR7(018F8h), -6, B, A ; 23236
+ ADD *+AR7(018F9h), -6, B, B ; 23237
+ ADD *+AR7(018FAh), -6, B ; 23238
+ ADD *+AR7(018FBh), -5, A, A ; 23239
+ ADD *+AR7(018FCh), -5, A, B ; 23240
+ ADD *+AR7(018FDh), -5, A ; 23241
+ ADD *+AR7(018FEh), -5, B, A ; 23242
+ ADD *+AR7(018FFh), -5, B, B ; 23243
+ ADD *+AR7(01900h), -5, B ; 23244
+ ADD *+AR7(01901h), -4, A, A ; 23245
+ ADD *+AR7(01902h), -4, A, B ; 23246
+ ADD *+AR7(01903h), -4, A ; 23247
+ ADD *+AR7(01904h), -4, B, A ; 23248
+ ADD *+AR7(01905h), -4, B, B ; 23249
+ ADD *+AR7(01906h), -4, B ; 23250
+ ADD *+AR7(01907h), -3, A, A ; 23251
+ ADD *+AR7(01908h), -3, A, B ; 23252
+ ADD *+AR7(01909h), -3, A ; 23253
+ ADD *+AR7(0190Ah), -3, B, A ; 23254
+ ADD *+AR7(0190Bh), -3, B, B ; 23255
+ ADD *+AR7(0190Ch), -3, B ; 23256
+ ADD *+AR7(0190Dh), -2, A, A ; 23257
+ ADD *+AR7(0190Eh), -2, A, B ; 23258
+ ADD *+AR7(0190Fh), -2, A ; 23259
+ ADD *+AR7(01910h), -2, B, A ; 23260
+ ADD *+AR7(01911h), -2, B, B ; 23261
+ ADD *+AR7(01912h), -2, B ; 23262
+ ADD *+AR7(01913h), -1, A, A ; 23263
+ ADD *+AR7(01914h), -1, A, B ; 23264
+ ADD *+AR7(01915h), -1, A ; 23265
+ ADD *+AR7(01916h), -1, B, A ; 23266
+ ADD *+AR7(01917h), -1, B, B ; 23267
+ ADD *+AR7(01918h), -1, B ; 23268
+ ADD *+AR7(01919h), 0, A, A ; 23269
+ ADD *+AR7(0191Ah), 0, A, B ; 23270
+ ADD *+AR7(0191Bh), 0, A ; 23271
+ ADD *+AR7(0191Ch), 0, B, A ; 23272
+ ADD *+AR7(0191Dh), 0, B, B ; 23273
+ ADD *+AR7(0191Eh), 0, B ; 23274
+ ADD *+AR7(0191Fh), 1, A, A ; 23275
+ ADD *+AR7(01920h), 1, A, B ; 23276
+ ADD *+AR7(01921h), 1, A ; 23277
+ ADD *+AR7(01922h), 1, B, A ; 23278
+ ADD *+AR7(01923h), 1, B, B ; 23279
+ ADD *+AR7(01924h), 1, B ; 23280
+ ADD *+AR7(01925h), 2, A, A ; 23281
+ ADD *+AR7(01926h), 2, A, B ; 23282
+ ADD *+AR7(01927h), 2, A ; 23283
+ ADD *+AR7(01928h), 2, B, A ; 23284
+ ADD *+AR7(01929h), 2, B, B ; 23285
+ ADD *+AR7(0192Ah), 2, B ; 23286
+ ADD *+AR7(0192Bh), 3, A, A ; 23287
+ ADD *+AR7(0192Ch), 3, A, B ; 23288
+ ADD *+AR7(0192Dh), 3, A ; 23289
+ ADD *+AR7(0192Eh), 3, B, A ; 23290
+ ADD *+AR7(0192Fh), 3, B, B ; 23291
+ ADD *+AR7(01930h), 3, B ; 23292
+ ADD *+AR7(01931h), 4, A, A ; 23293
+ ADD *+AR7(01932h), 4, A, B ; 23294
+ ADD *+AR7(01933h), 4, A ; 23295
+ ADD *+AR7(01934h), 4, B, A ; 23296
+ ADD *+AR7(01935h), 4, B, B ; 23297
+ ADD *+AR7(01936h), 4, B ; 23298
+ ADD *+AR7(01937h), 5, A, A ; 23299
+ ADD *+AR7(01938h), 5, A, B ; 23300
+ ADD *+AR7(01939h), 5, A ; 23301
+ ADD *+AR7(0193Ah), 5, B, A ; 23302
+ ADD *+AR7(0193Bh), 5, B, B ; 23303
+ ADD *+AR7(0193Ch), 5, B ; 23304
+ ADD *+AR7(0193Dh), 6, A, A ; 23305
+ ADD *+AR7(0193Eh), 6, A, B ; 23306
+ ADD *+AR7(0193Fh), 6, A ; 23307
+ ADD *+AR7(01940h), 6, B, A ; 23308
+ ADD *+AR7(01941h), 6, B, B ; 23309
+ ADD *+AR7(01942h), 6, B ; 23310
+ ADD *+AR7(01943h), 7, A, A ; 23311
+ ADD *+AR7(01944h), 7, A, B ; 23312
+ ADD *+AR7(01945h), 7, A ; 23313
+ ADD *+AR7(01946h), 7, B, A ; 23314
+ ADD *+AR7(01947h), 7, B, B ; 23315
+ ADD *+AR7(01948h), 7, B ; 23316
+ ADD *+AR7(01949h), 8, A, A ; 23317
+ ADD *+AR7(0194Ah), 8, A, B ; 23318
+ ADD *+AR7(0194Bh), 8, A ; 23319
+ ADD *+AR7(0194Ch), 8, B, A ; 23320
+ ADD *+AR7(0194Dh), 8, B, B ; 23321
+ ADD *+AR7(0194Eh), 8, B ; 23322
+ ADD *+AR7(0194Fh), 9, A, A ; 23323
+ ADD *+AR7(01950h), 9, A, B ; 23324
+ ADD *+AR7(01951h), 9, A ; 23325
+ ADD *+AR7(01952h), 9, B, A ; 23326
+ ADD *+AR7(01953h), 9, B, B ; 23327
+ ADD *+AR7(01954h), 9, B ; 23328
+ ADD *+AR7(01955h), 10, A, A ; 23329
+ ADD *+AR7(01956h), 10, A, B ; 23330
+ ADD *+AR7(01957h), 10, A ; 23331
+ ADD *+AR7(01958h), 10, B, A ; 23332
+ ADD *+AR7(01959h), 10, B, B ; 23333
+ ADD *+AR7(0195Ah), 10, B ; 23334
+ ADD *+AR7(0195Bh), 11, A, A ; 23335
+ ADD *+AR7(0195Ch), 11, A, B ; 23336
+ ADD *+AR7(0195Dh), 11, A ; 23337
+ ADD *+AR7(0195Eh), 11, B, A ; 23338
+ ADD *+AR7(0195Fh), 11, B, B ; 23339
+ ADD *+AR7(01960h), 11, B ; 23340
+ ADD *+AR7(01961h), 12, A, A ; 23341
+ ADD *+AR7(01962h), 12, A, B ; 23342
+ ADD *+AR7(01963h), 12, A ; 23343
+ ADD *+AR7(01964h), 12, B, A ; 23344
+ ADD *+AR7(01965h), 12, B, B ; 23345
+ ADD *+AR7(01966h), 12, B ; 23346
+ ADD *+AR7(01967h), 13, A, A ; 23347
+ ADD *+AR7(01968h), 13, A, B ; 23348
+ ADD *+AR7(01969h), 13, A ; 23349
+ ADD *+AR7(0196Ah), 13, B, A ; 23350
+ ADD *+AR7(0196Bh), 13, B, B ; 23351
+ ADD *+AR7(0196Ch), 13, B ; 23352
+ ADD *+AR7(0196Dh), 14, A, A ; 23353
+ ADD *+AR7(0196Eh), 14, A, B ; 23354
+ ADD *+AR7(0196Fh), 14, A ; 23355
+ ADD *+AR7(01970h), 14, B, A ; 23356
+ ADD *+AR7(01971h), 14, B, B ; 23357
+ ADD *+AR7(01972h), 14, B ; 23358
+ ADD *+AR7(01973h), 15, A, A ; 23359
+ ADD *+AR7(01974h), 15, A, B ; 23360
+ ADD *+AR7(01975h), 15, A ; 23361
+ ADD *+AR7(01976h), 15, B, A ; 23362
+ ADD *+AR7(01977h), 15, B, B ; 23363
+ ADD *+AR7(01978h), 15, B ; 23364
+ ADD *+AR7(01979h)%, A, A ; 23365
+ ADD *+AR7(0197Ah)%, A, B ; 23366
+ ADD *+AR7(0197Bh)%, A ; 23367
+ ADD *+AR7(0197Ch)%, B, A ; 23368
+ ADD *+AR7(0197Dh)%, B, B ; 23369
+ ADD *+AR7(0197Eh)%, B ; 23370
+ ADD *+AR7(0197Fh)%, -16, A, A ; 23371
+ ADD *+AR7(01980h)%, -16, A, B ; 23372
+ ADD *+AR7(01981h)%, -16, A ; 23373
+ ADD *+AR7(01982h)%, -16, B, A ; 23374
+ ADD *+AR7(01983h)%, -16, B, B ; 23375
+ ADD *+AR7(01984h)%, -16, B ; 23376
+ ADD *+AR7(01985h)%, -15, A, A ; 23377
+ ADD *+AR7(01986h)%, -15, A, B ; 23378
+ ADD *+AR7(01987h)%, -15, A ; 23379
+ ADD *+AR7(01988h)%, -15, B, A ; 23380
+ ADD *+AR7(01989h)%, -15, B, B ; 23381
+ ADD *+AR7(0198Ah)%, -15, B ; 23382
+ ADD *+AR7(0198Bh)%, -14, A, A ; 23383
+ ADD *+AR7(0198Ch)%, -14, A, B ; 23384
+ ADD *+AR7(0198Dh)%, -14, A ; 23385
+ ADD *+AR7(0198Eh)%, -14, B, A ; 23386
+ ADD *+AR7(0198Fh)%, -14, B, B ; 23387
+ ADD *+AR7(01990h)%, -14, B ; 23388
+ ADD *+AR7(01991h)%, -13, A, A ; 23389
+ ADD *+AR7(01992h)%, -13, A, B ; 23390
+ ADD *+AR7(01993h)%, -13, A ; 23391
+ ADD *+AR7(01994h)%, -13, B, A ; 23392
+ ADD *+AR7(01995h)%, -13, B, B ; 23393
+ ADD *+AR7(01996h)%, -13, B ; 23394
+ ADD *+AR7(01997h)%, -12, A, A ; 23395
+ ADD *+AR7(01998h)%, -12, A, B ; 23396
+ ADD *+AR7(01999h)%, -12, A ; 23397
+ ADD *+AR7(0199Ah)%, -12, B, A ; 23398
+ ADD *+AR7(0199Bh)%, -12, B, B ; 23399
+ ADD *+AR7(0199Ch)%, -12, B ; 23400
+ ADD *+AR7(0199Dh)%, -11, A, A ; 23401
+ ADD *+AR7(0199Eh)%, -11, A, B ; 23402
+ ADD *+AR7(0199Fh)%, -11, A ; 23403
+ ADD *+AR7(019A0h)%, -11, B, A ; 23404
+ ADD *+AR7(019A1h)%, -11, B, B ; 23405
+ ADD *+AR7(019A2h)%, -11, B ; 23406
+ ADD *+AR7(019A3h)%, -10, A, A ; 23407
+ ADD *+AR7(019A4h)%, -10, A, B ; 23408
+ ADD *+AR7(019A5h)%, -10, A ; 23409
+ ADD *+AR7(019A6h)%, -10, B, A ; 23410
+ ADD *+AR7(019A7h)%, -10, B, B ; 23411
+ ADD *+AR7(019A8h)%, -10, B ; 23412
+ ADD *+AR7(019A9h)%, -9, A, A ; 23413
+ ADD *+AR7(019AAh)%, -9, A, B ; 23414
+ ADD *+AR7(019ABh)%, -9, A ; 23415
+ ADD *+AR7(019ACh)%, -9, B, A ; 23416
+ ADD *+AR7(019ADh)%, -9, B, B ; 23417
+ ADD *+AR7(019AEh)%, -9, B ; 23418
+ ADD *+AR7(019AFh)%, -8, A, A ; 23419
+ ADD *+AR7(019B0h)%, -8, A, B ; 23420
+ ADD *+AR7(019B1h)%, -8, A ; 23421
+ ADD *+AR7(019B2h)%, -8, B, A ; 23422
+ ADD *+AR7(019B3h)%, -8, B, B ; 23423
+ ADD *+AR7(019B4h)%, -8, B ; 23424
+ ADD *+AR7(019B5h)%, -7, A, A ; 23425
+ ADD *+AR7(019B6h)%, -7, A, B ; 23426
+ ADD *+AR7(019B7h)%, -7, A ; 23427
+ ADD *+AR7(019B8h)%, -7, B, A ; 23428
+ ADD *+AR7(019B9h)%, -7, B, B ; 23429
+ ADD *+AR7(019BAh)%, -7, B ; 23430
+ ADD *+AR7(019BBh)%, -6, A, A ; 23431
+ ADD *+AR7(019BCh)%, -6, A, B ; 23432
+ ADD *+AR7(019BDh)%, -6, A ; 23433
+ ADD *+AR7(019BEh)%, -6, B, A ; 23434
+ ADD *+AR7(019BFh)%, -6, B, B ; 23435
+ ADD *+AR7(019C0h)%, -6, B ; 23436
+ ADD *+AR7(019C1h)%, -5, A, A ; 23437
+ ADD *+AR7(019C2h)%, -5, A, B ; 23438
+ ADD *+AR7(019C3h)%, -5, A ; 23439
+ ADD *+AR7(019C4h)%, -5, B, A ; 23440
+ ADD *+AR7(019C5h)%, -5, B, B ; 23441
+ ADD *+AR7(019C6h)%, -5, B ; 23442
+ ADD *+AR7(019C7h)%, -4, A, A ; 23443
+ ADD *+AR7(019C8h)%, -4, A, B ; 23444
+ ADD *+AR7(019C9h)%, -4, A ; 23445
+ ADD *+AR7(019CAh)%, -4, B, A ; 23446
+ ADD *+AR7(019CBh)%, -4, B, B ; 23447
+ ADD *+AR7(019CCh)%, -4, B ; 23448
+ ADD *+AR7(019CDh)%, -3, A, A ; 23449
+ ADD *+AR7(019CEh)%, -3, A, B ; 23450
+ ADD *+AR7(019CFh)%, -3, A ; 23451
+ ADD *+AR7(019D0h)%, -3, B, A ; 23452
+ ADD *+AR7(019D1h)%, -3, B, B ; 23453
+ ADD *+AR7(019D2h)%, -3, B ; 23454
+ ADD *+AR7(019D3h)%, -2, A, A ; 23455
+ ADD *+AR7(019D4h)%, -2, A, B ; 23456
+ ADD *+AR7(019D5h)%, -2, A ; 23457
+ ADD *+AR7(019D6h)%, -2, B, A ; 23458
+ ADD *+AR7(019D7h)%, -2, B, B ; 23459
+ ADD *+AR7(019D8h)%, -2, B ; 23460
+ ADD *+AR7(019D9h)%, -1, A, A ; 23461
+ ADD *+AR7(019DAh)%, -1, A, B ; 23462
+ ADD *+AR7(019DBh)%, -1, A ; 23463
+ ADD *+AR7(019DCh)%, -1, B, A ; 23464
+ ADD *+AR7(019DDh)%, -1, B, B ; 23465
+ ADD *+AR7(019DEh)%, -1, B ; 23466
+ ADD *+AR7(019DFh)%, 0, A, A ; 23467
+ ADD *+AR7(019E0h)%, 0, A, B ; 23468
+ ADD *+AR7(019E1h)%, 0, A ; 23469
+ ADD *+AR7(019E2h)%, 0, B, A ; 23470
+ ADD *+AR7(019E3h)%, 0, B, B ; 23471
+ ADD *+AR7(019E4h)%, 0, B ; 23472
+ ADD *+AR7(019E5h)%, 1, A, A ; 23473
+ ADD *+AR7(019E6h)%, 1, A, B ; 23474
+ ADD *+AR7(019E7h)%, 1, A ; 23475
+ ADD *+AR7(019E8h)%, 1, B, A ; 23476
+ ADD *+AR7(019E9h)%, 1, B, B ; 23477
+ ADD *+AR7(019EAh)%, 1, B ; 23478
+ ADD *+AR7(019EBh)%, 2, A, A ; 23479
+ ADD *+AR7(019ECh)%, 2, A, B ; 23480
+ ADD *+AR7(019EDh)%, 2, A ; 23481
+ ADD *+AR7(019EEh)%, 2, B, A ; 23482
+ ADD *+AR7(019EFh)%, 2, B, B ; 23483
+ ADD *+AR7(019F0h)%, 2, B ; 23484
+ ADD *+AR7(019F1h)%, 3, A, A ; 23485
+ ADD *+AR7(019F2h)%, 3, A, B ; 23486
+ ADD *+AR7(019F3h)%, 3, A ; 23487
+ ADD *+AR7(019F4h)%, 3, B, A ; 23488
+ ADD *+AR7(019F5h)%, 3, B, B ; 23489
+ ADD *+AR7(019F6h)%, 3, B ; 23490
+ ADD *+AR7(019F7h)%, 4, A, A ; 23491
+ ADD *+AR7(019F8h)%, 4, A, B ; 23492
+ ADD *+AR7(019F9h)%, 4, A ; 23493
+ ADD *+AR7(019FAh)%, 4, B, A ; 23494
+ ADD *+AR7(019FBh)%, 4, B, B ; 23495
+ ADD *+AR7(019FCh)%, 4, B ; 23496
+ ADD *+AR7(019FDh)%, 5, A, A ; 23497
+ ADD *+AR7(019FEh)%, 5, A, B ; 23498
+ ADD *+AR7(019FFh)%, 5, A ; 23499
+ ADD *+AR7(01A00h)%, 5, B, A ; 23500
+ ADD *+AR7(01A01h)%, 5, B, B ; 23501
+ ADD *+AR7(01A02h)%, 5, B ; 23502
+ ADD *+AR7(01A03h)%, 6, A, A ; 23503
+ ADD *+AR7(01A04h)%, 6, A, B ; 23504
+ ADD *+AR7(01A05h)%, 6, A ; 23505
+ ADD *+AR7(01A06h)%, 6, B, A ; 23506
+ ADD *+AR7(01A07h)%, 6, B, B ; 23507
+ ADD *+AR7(01A08h)%, 6, B ; 23508
+ ADD *+AR7(01A09h)%, 7, A, A ; 23509
+ ADD *+AR7(01A0Ah)%, 7, A, B ; 23510
+ ADD *+AR7(01A0Bh)%, 7, A ; 23511
+ ADD *+AR7(01A0Ch)%, 7, B, A ; 23512
+ ADD *+AR7(01A0Dh)%, 7, B, B ; 23513
+ ADD *+AR7(01A0Eh)%, 7, B ; 23514
+ ADD *+AR7(01A0Fh)%, 8, A, A ; 23515
+ ADD *+AR7(01A10h)%, 8, A, B ; 23516
+ ADD *+AR7(01A11h)%, 8, A ; 23517
+ ADD *+AR7(01A12h)%, 8, B, A ; 23518
+ ADD *+AR7(01A13h)%, 8, B, B ; 23519
+ ADD *+AR7(01A14h)%, 8, B ; 23520
+ ADD *+AR7(01A15h)%, 9, A, A ; 23521
+ ADD *+AR7(01A16h)%, 9, A, B ; 23522
+ ADD *+AR7(01A17h)%, 9, A ; 23523
+ ADD *+AR7(01A18h)%, 9, B, A ; 23524
+ ADD *+AR7(01A19h)%, 9, B, B ; 23525
+ ADD *+AR7(01A1Ah)%, 9, B ; 23526
+ ADD *+AR7(01A1Bh)%, 10, A, A ; 23527
+ ADD *+AR7(01A1Ch)%, 10, A, B ; 23528
+ ADD *+AR7(01A1Dh)%, 10, A ; 23529
+ ADD *+AR7(01A1Eh)%, 10, B, A ; 23530
+ ADD *+AR7(01A1Fh)%, 10, B, B ; 23531
+ ADD *+AR7(01A20h)%, 10, B ; 23532
+ ADD *+AR7(01A21h)%, 11, A, A ; 23533
+ ADD *+AR7(01A22h)%, 11, A, B ; 23534
+ ADD *+AR7(01A23h)%, 11, A ; 23535
+ ADD *+AR7(01A24h)%, 11, B, A ; 23536
+ ADD *+AR7(01A25h)%, 11, B, B ; 23537
+ ADD *+AR7(01A26h)%, 11, B ; 23538
+ ADD *+AR7(01A27h)%, 12, A, A ; 23539
+ ADD *+AR7(01A28h)%, 12, A, B ; 23540
+ ADD *+AR7(01A29h)%, 12, A ; 23541
+ ADD *+AR7(01A2Ah)%, 12, B, A ; 23542
+ ADD *+AR7(01A2Bh)%, 12, B, B ; 23543
+ ADD *+AR7(01A2Ch)%, 12, B ; 23544
+ ADD *+AR7(01A2Dh)%, 13, A, A ; 23545
+ ADD *+AR7(01A2Eh)%, 13, A, B ; 23546
+ ADD *+AR7(01A2Fh)%, 13, A ; 23547
+ ADD *+AR7(01A30h)%, 13, B, A ; 23548
+ ADD *+AR7(01A31h)%, 13, B, B ; 23549
+ ADD *+AR7(01A32h)%, 13, B ; 23550
+ ADD *+AR7(01A33h)%, 14, A, A ; 23551
+ ADD *+AR7(01A34h)%, 14, A, B ; 23552
+ ADD *+AR7(01A35h)%, 14, A ; 23553
+ ADD *+AR7(01A36h)%, 14, B, A ; 23554
+ ADD *+AR7(01A37h)%, 14, B, B ; 23555
+ ADD *+AR7(01A38h)%, 14, B ; 23556
+ ADD *+AR7(01A39h)%, 15, A, A ; 23557
+ ADD *+AR7(01A3Ah)%, 15, A, B ; 23558
+ ADD *+AR7(01A3Bh)%, 15, A ; 23559
+ ADD *+AR7(01A3Ch)%, 15, B, A ; 23560
+ ADD *+AR7(01A3Dh)%, 15, B, B ; 23561
+ ADD *+AR7(01A3Eh)%, 15, B ; 23562
+ ADD *(01A3Fh), A, A ; 23563
+ ADD *(01A40h), A, B ; 23564
+ ADD *(01A41h), A ; 23565
+ ADD *(01A42h), B, A ; 23566
+ ADD *(01A43h), B, B ; 23567
+ ADD *(01A44h), B ; 23568
+ ADD *(01A45h), -16, A, A ; 23569
+ ADD *(01A46h), -16, A, B ; 23570
+ ADD *(01A47h), -16, A ; 23571
+ ADD *(01A48h), -16, B, A ; 23572
+ ADD *(01A49h), -16, B, B ; 23573
+ ADD *(01A4Ah), -16, B ; 23574
+ ADD *(01A4Bh), -15, A, A ; 23575
+ ADD *(01A4Ch), -15, A, B ; 23576
+ ADD *(01A4Dh), -15, A ; 23577
+ ADD *(01A4Eh), -15, B, A ; 23578
+ ADD *(01A4Fh), -15, B, B ; 23579
+ ADD *(01A50h), -15, B ; 23580
+ ADD *(01A51h), -14, A, A ; 23581
+ ADD *(01A52h), -14, A, B ; 23582
+ ADD *(01A53h), -14, A ; 23583
+ ADD *(01A54h), -14, B, A ; 23584
+ ADD *(01A55h), -14, B, B ; 23585
+ ADD *(01A56h), -14, B ; 23586
+ ADD *(01A57h), -13, A, A ; 23587
+ ADD *(01A58h), -13, A, B ; 23588
+ ADD *(01A59h), -13, A ; 23589
+ ADD *(01A5Ah), -13, B, A ; 23590
+ ADD *(01A5Bh), -13, B, B ; 23591
+ ADD *(01A5Ch), -13, B ; 23592
+ ADD *(01A5Dh), -12, A, A ; 23593
+ ADD *(01A5Eh), -12, A, B ; 23594
+ ADD *(01A5Fh), -12, A ; 23595
+ ADD *(01A60h), -12, B, A ; 23596
+ ADD *(01A61h), -12, B, B ; 23597
+ ADD *(01A62h), -12, B ; 23598
+ ADD *(01A63h), -11, A, A ; 23599
+ ADD *(01A64h), -11, A, B ; 23600
+ ADD *(01A65h), -11, A ; 23601
+ ADD *(01A66h), -11, B, A ; 23602
+ ADD *(01A67h), -11, B, B ; 23603
+ ADD *(01A68h), -11, B ; 23604
+ ADD *(01A69h), -10, A, A ; 23605
+ ADD *(01A6Ah), -10, A, B ; 23606
+ ADD *(01A6Bh), -10, A ; 23607
+ ADD *(01A6Ch), -10, B, A ; 23608
+ ADD *(01A6Dh), -10, B, B ; 23609
+ ADD *(01A6Eh), -10, B ; 23610
+ ADD *(01A6Fh), -9, A, A ; 23611
+ ADD *(01A70h), -9, A, B ; 23612
+ ADD *(01A71h), -9, A ; 23613
+ ADD *(01A72h), -9, B, A ; 23614
+ ADD *(01A73h), -9, B, B ; 23615
+ ADD *(01A74h), -9, B ; 23616
+ ADD *(01A75h), -8, A, A ; 23617
+ ADD *(01A76h), -8, A, B ; 23618
+ ADD *(01A77h), -8, A ; 23619
+ ADD *(01A78h), -8, B, A ; 23620
+ ADD *(01A79h), -8, B, B ; 23621
+ ADD *(01A7Ah), -8, B ; 23622
+ ADD *(01A7Bh), -7, A, A ; 23623
+ ADD *(01A7Ch), -7, A, B ; 23624
+ ADD *(01A7Dh), -7, A ; 23625
+ ADD *(01A7Eh), -7, B, A ; 23626
+ ADD *(01A7Fh), -7, B, B ; 23627
+ ADD *(01A80h), -7, B ; 23628
+ ADD *(01A81h), -6, A, A ; 23629
+ ADD *(01A82h), -6, A, B ; 23630
+ ADD *(01A83h), -6, A ; 23631
+ ADD *(01A84h), -6, B, A ; 23632
+ ADD *(01A85h), -6, B, B ; 23633
+ ADD *(01A86h), -6, B ; 23634
+ ADD *(01A87h), -5, A, A ; 23635
+ ADD *(01A88h), -5, A, B ; 23636
+ ADD *(01A89h), -5, A ; 23637
+ ADD *(01A8Ah), -5, B, A ; 23638
+ ADD *(01A8Bh), -5, B, B ; 23639
+ ADD *(01A8Ch), -5, B ; 23640
+ ADD *(01A8Dh), -4, A, A ; 23641
+ ADD *(01A8Eh), -4, A, B ; 23642
+ ADD *(01A8Fh), -4, A ; 23643
+ ADD *(01A90h), -4, B, A ; 23644
+ ADD *(01A91h), -4, B, B ; 23645
+ ADD *(01A92h), -4, B ; 23646
+ ADD *(01A93h), -3, A, A ; 23647
+ ADD *(01A94h), -3, A, B ; 23648
+ ADD *(01A95h), -3, A ; 23649
+ ADD *(01A96h), -3, B, A ; 23650
+ ADD *(01A97h), -3, B, B ; 23651
+ ADD *(01A98h), -3, B ; 23652
+ ADD *(01A99h), -2, A, A ; 23653
+ ADD *(01A9Ah), -2, A, B ; 23654
+ ADD *(01A9Bh), -2, A ; 23655
+ ADD *(01A9Ch), -2, B, A ; 23656
+ ADD *(01A9Dh), -2, B, B ; 23657
+ ADD *(01A9Eh), -2, B ; 23658
+ ADD *(01A9Fh), -1, A, A ; 23659
+ ADD *(01AA0h), -1, A, B ; 23660
+ ADD *(01AA1h), -1, A ; 23661
+ ADD *(01AA2h), -1, B, A ; 23662
+ ADD *(01AA3h), -1, B, B ; 23663
+ ADD *(01AA4h), -1, B ; 23664
+ ADD *(01AA5h), 0, A, A ; 23665
+ ADD *(01AA6h), 0, A, B ; 23666
+ ADD *(01AA7h), 0, A ; 23667
+ ADD *(01AA8h), 0, B, A ; 23668
+ ADD *(01AA9h), 0, B, B ; 23669
+ ADD *(01AAAh), 0, B ; 23670
+ ADD *(01AABh), 1, A, A ; 23671
+ ADD *(01AACh), 1, A, B ; 23672
+ ADD *(01AADh), 1, A ; 23673
+ ADD *(01AAEh), 1, B, A ; 23674
+ ADD *(01AAFh), 1, B, B ; 23675
+ ADD *(01AB0h), 1, B ; 23676
+ ADD *(01AB1h), 2, A, A ; 23677
+ ADD *(01AB2h), 2, A, B ; 23678
+ ADD *(01AB3h), 2, A ; 23679
+ ADD *(01AB4h), 2, B, A ; 23680
+ ADD *(01AB5h), 2, B, B ; 23681
+ ADD *(01AB6h), 2, B ; 23682
+ ADD *(01AB7h), 3, A, A ; 23683
+ ADD *(01AB8h), 3, A, B ; 23684
+ ADD *(01AB9h), 3, A ; 23685
+ ADD *(01ABAh), 3, B, A ; 23686
+ ADD *(01ABBh), 3, B, B ; 23687
+ ADD *(01ABCh), 3, B ; 23688
+ ADD *(01ABDh), 4, A, A ; 23689
+ ADD *(01ABEh), 4, A, B ; 23690
+ ADD *(01ABFh), 4, A ; 23691
+ ADD *(01AC0h), 4, B, A ; 23692
+ ADD *(01AC1h), 4, B, B ; 23693
+ ADD *(01AC2h), 4, B ; 23694
+ ADD *(01AC3h), 5, A, A ; 23695
+ ADD *(01AC4h), 5, A, B ; 23696
+ ADD *(01AC5h), 5, A ; 23697
+ ADD *(01AC6h), 5, B, A ; 23698
+ ADD *(01AC7h), 5, B, B ; 23699
+ ADD *(01AC8h), 5, B ; 23700
+ ADD *(01AC9h), 6, A, A ; 23701
+ ADD *(01ACAh), 6, A, B ; 23702
+ ADD *(01ACBh), 6, A ; 23703
+ ADD *(01ACCh), 6, B, A ; 23704
+ ADD *(01ACDh), 6, B, B ; 23705
+ ADD *(01ACEh), 6, B ; 23706
+ ADD *(01ACFh), 7, A, A ; 23707
+ ADD *(01AD0h), 7, A, B ; 23708
+ ADD *(01AD1h), 7, A ; 23709
+ ADD *(01AD2h), 7, B, A ; 23710
+ ADD *(01AD3h), 7, B, B ; 23711
+ ADD *(01AD4h), 7, B ; 23712
+ ADD *(01AD5h), 8, A, A ; 23713
+ ADD *(01AD6h), 8, A, B ; 23714
+ ADD *(01AD7h), 8, A ; 23715
+ ADD *(01AD8h), 8, B, A ; 23716
+ ADD *(01AD9h), 8, B, B ; 23717
+ ADD *(01ADAh), 8, B ; 23718
+ ADD *(01ADBh), 9, A, A ; 23719
+ ADD *(01ADCh), 9, A, B ; 23720
+ ADD *(01ADDh), 9, A ; 23721
+ ADD *(01ADEh), 9, B, A ; 23722
+ ADD *(01ADFh), 9, B, B ; 23723
+ ADD *(01AE0h), 9, B ; 23724
+ ADD *(01AE1h), 10, A, A ; 23725
+ ADD *(01AE2h), 10, A, B ; 23726
+ ADD *(01AE3h), 10, A ; 23727
+ ADD *(01AE4h), 10, B, A ; 23728
+ ADD *(01AE5h), 10, B, B ; 23729
+ ADD *(01AE6h), 10, B ; 23730
+ ADD *(01AE7h), 11, A, A ; 23731
+ ADD *(01AE8h), 11, A, B ; 23732
+ ADD *(01AE9h), 11, A ; 23733
+ ADD *(01AEAh), 11, B, A ; 23734
+ ADD *(01AEBh), 11, B, B ; 23735
+ ADD *(01AECh), 11, B ; 23736
+ ADD *(01AEDh), 12, A, A ; 23737
+ ADD *(01AEEh), 12, A, B ; 23738
+ ADD *(01AEFh), 12, A ; 23739
+ ADD *(01AF0h), 12, B, A ; 23740
+ ADD *(01AF1h), 12, B, B ; 23741
+ ADD *(01AF2h), 12, B ; 23742
+ ADD *(01AF3h), 13, A, A ; 23743
+ ADD *(01AF4h), 13, A, B ; 23744
+ ADD *(01AF5h), 13, A ; 23745
+ ADD *(01AF6h), 13, B, A ; 23746
+ ADD *(01AF7h), 13, B, B ; 23747
+ ADD *(01AF8h), 13, B ; 23748
+ ADD *(01AF9h), 14, A, A ; 23749
+ ADD *(01AFAh), 14, A, B ; 23750
+ ADD *(01AFBh), 14, A ; 23751
+ ADD *(01AFCh), 14, B, A ; 23752
+ ADD *(01AFDh), 14, B, B ; 23753
+ ADD *(01AFEh), 14, B ; 23754
+ ADD *(01AFFh), 15, A, A ; 23755
+ ADD *(01B00h), 15, A, B ; 23756
+ ADD *(01B01h), 15, A ; 23757
+ ADD *(01B02h), 15, B, A ; 23758
+ ADD *(01B03h), 15, B, B ; 23759
+ ADD *(01B04h), 15, B ; 23760
+ ; ADDsmem_I : ADD Smem_I, AB : 240
+ ADD *AR0, A ; 1
+ ADD *AR0, B ; 2
+ ADD *AR0-, A ; 3
+ ADD *AR0-, B ; 4
+ ADD *AR0+, A ; 5
+ ADD *AR0+, B ; 6
+ ADD *AR0-0B, A ; 7
+ ADD *AR0-0B, B ; 8
+ ADD *AR0-0, A ; 9
+ ADD *AR0-0, B ; 10
+ ADD *AR0+0, A ; 11
+ ADD *AR0+0, B ; 12
+ ADD *AR0+0B, A ; 13
+ ADD *AR0+0B, B ; 14
+ ADD *AR0-%, A ; 15
+ ADD *AR0-%, B ; 16
+ ADD *AR0-0%, A ; 17
+ ADD *AR0-0%, B ; 18
+ ADD *AR0+%, A ; 19
+ ADD *AR0+%, B ; 20
+ ADD *AR0+0%, A ; 21
+ ADD *AR0+0%, B ; 22
+ ADD *AR0(01B05h), A ; 23
+ ADD *AR0(01B06h), B ; 24
+ ADD *+AR0(01B07h), A ; 25
+ ADD *+AR0(01B08h), B ; 26
+ ADD *+AR0(01B09h)%, A ; 27
+ ADD *+AR0(01B0Ah)%, B ; 28
+ ADD *(01B0Bh), A ; 29
+ ADD *(01B0Ch), B ; 30
+ ADD *AR1, A ; 31
+ ADD *AR1, B ; 32
+ ADD *AR1-, A ; 33
+ ADD *AR1-, B ; 34
+ ADD *AR1+, A ; 35
+ ADD *AR1+, B ; 36
+ ADD *AR1-0B, A ; 37
+ ADD *AR1-0B, B ; 38
+ ADD *AR1-0, A ; 39
+ ADD *AR1-0, B ; 40
+ ADD *AR1+0, A ; 41
+ ADD *AR1+0, B ; 42
+ ADD *AR1+0B, A ; 43
+ ADD *AR1+0B, B ; 44
+ ADD *AR1-%, A ; 45
+ ADD *AR1-%, B ; 46
+ ADD *AR1-0%, A ; 47
+ ADD *AR1-0%, B ; 48
+ ADD *AR1+%, A ; 49
+ ADD *AR1+%, B ; 50
+ ADD *AR1+0%, A ; 51
+ ADD *AR1+0%, B ; 52
+ ADD *AR1(01B0Dh), A ; 53
+ ADD *AR1(01B0Eh), B ; 54
+ ADD *+AR1(01B0Fh), A ; 55
+ ADD *+AR1(01B10h), B ; 56
+ ADD *+AR1(01B11h)%, A ; 57
+ ADD *+AR1(01B12h)%, B ; 58
+ ADD *(01B13h), A ; 59
+ ADD *(01B14h), B ; 60
+ ADD *AR2, A ; 61
+ ADD *AR2, B ; 62
+ ADD *AR2-, A ; 63
+ ADD *AR2-, B ; 64
+ ADD *AR2+, A ; 65
+ ADD *AR2+, B ; 66
+ ADD *AR2-0B, A ; 67
+ ADD *AR2-0B, B ; 68
+ ADD *AR2-0, A ; 69
+ ADD *AR2-0, B ; 70
+ ADD *AR2+0, A ; 71
+ ADD *AR2+0, B ; 72
+ ADD *AR2+0B, A ; 73
+ ADD *AR2+0B, B ; 74
+ ADD *AR2-%, A ; 75
+ ADD *AR2-%, B ; 76
+ ADD *AR2-0%, A ; 77
+ ADD *AR2-0%, B ; 78
+ ADD *AR2+%, A ; 79
+ ADD *AR2+%, B ; 80
+ ADD *AR2+0%, A ; 81
+ ADD *AR2+0%, B ; 82
+ ADD *AR2(01B15h), A ; 83
+ ADD *AR2(01B16h), B ; 84
+ ADD *+AR2(01B17h), A ; 85
+ ADD *+AR2(01B18h), B ; 86
+ ADD *+AR2(01B19h)%, A ; 87
+ ADD *+AR2(01B1Ah)%, B ; 88
+ ADD *(01B1Bh), A ; 89
+ ADD *(01B1Ch), B ; 90
+ ADD *AR3, A ; 91
+ ADD *AR3, B ; 92
+ ADD *AR3-, A ; 93
+ ADD *AR3-, B ; 94
+ ADD *AR3+, A ; 95
+ ADD *AR3+, B ; 96
+ ADD *AR3-0B, A ; 97
+ ADD *AR3-0B, B ; 98
+ ADD *AR3-0, A ; 99
+ ADD *AR3-0, B ; 100
+ ADD *AR3+0, A ; 101
+ ADD *AR3+0, B ; 102
+ ADD *AR3+0B, A ; 103
+ ADD *AR3+0B, B ; 104
+ ADD *AR3-%, A ; 105
+ ADD *AR3-%, B ; 106
+ ADD *AR3-0%, A ; 107
+ ADD *AR3-0%, B ; 108
+ ADD *AR3+%, A ; 109
+ ADD *AR3+%, B ; 110
+ ADD *AR3+0%, A ; 111
+ ADD *AR3+0%, B ; 112
+ ADD *AR3(01B1Dh), A ; 113
+ ADD *AR3(01B1Eh), B ; 114
+ ADD *+AR3(01B1Fh), A ; 115
+ ADD *+AR3(01B20h), B ; 116
+ ADD *+AR3(01B21h)%, A ; 117
+ ADD *+AR3(01B22h)%, B ; 118
+ ADD *(01B23h), A ; 119
+ ADD *(01B24h), B ; 120
+ ADD *AR4, A ; 121
+ ADD *AR4, B ; 122
+ ADD *AR4-, A ; 123
+ ADD *AR4-, B ; 124
+ ADD *AR4+, A ; 125
+ ADD *AR4+, B ; 126
+ ADD *AR4-0B, A ; 127
+ ADD *AR4-0B, B ; 128
+ ADD *AR4-0, A ; 129
+ ADD *AR4-0, B ; 130
+ ADD *AR4+0, A ; 131
+ ADD *AR4+0, B ; 132
+ ADD *AR4+0B, A ; 133
+ ADD *AR4+0B, B ; 134
+ ADD *AR4-%, A ; 135
+ ADD *AR4-%, B ; 136
+ ADD *AR4-0%, A ; 137
+ ADD *AR4-0%, B ; 138
+ ADD *AR4+%, A ; 139
+ ADD *AR4+%, B ; 140
+ ADD *AR4+0%, A ; 141
+ ADD *AR4+0%, B ; 142
+ ADD *AR4(01B25h), A ; 143
+ ADD *AR4(01B26h), B ; 144
+ ADD *+AR4(01B27h), A ; 145
+ ADD *+AR4(01B28h), B ; 146
+ ADD *+AR4(01B29h)%, A ; 147
+ ADD *+AR4(01B2Ah)%, B ; 148
+ ADD *(01B2Bh), A ; 149
+ ADD *(01B2Ch), B ; 150
+ ADD *AR5, A ; 151
+ ADD *AR5, B ; 152
+ ADD *AR5-, A ; 153
+ ADD *AR5-, B ; 154
+ ADD *AR5+, A ; 155
+ ADD *AR5+, B ; 156
+ ADD *AR5-0B, A ; 157
+ ADD *AR5-0B, B ; 158
+ ADD *AR5-0, A ; 159
+ ADD *AR5-0, B ; 160
+ ADD *AR5+0, A ; 161
+ ADD *AR5+0, B ; 162
+ ADD *AR5+0B, A ; 163
+ ADD *AR5+0B, B ; 164
+ ADD *AR5-%, A ; 165
+ ADD *AR5-%, B ; 166
+ ADD *AR5-0%, A ; 167
+ ADD *AR5-0%, B ; 168
+ ADD *AR5+%, A ; 169
+ ADD *AR5+%, B ; 170
+ ADD *AR5+0%, A ; 171
+ ADD *AR5+0%, B ; 172
+ ADD *AR5(01B2Dh), A ; 173
+ ADD *AR5(01B2Eh), B ; 174
+ ADD *+AR5(01B2Fh), A ; 175
+ ADD *+AR5(01B30h), B ; 176
+ ADD *+AR5(01B31h)%, A ; 177
+ ADD *+AR5(01B32h)%, B ; 178
+ ADD *(01B33h), A ; 179
+ ADD *(01B34h), B ; 180
+ ADD *AR6, A ; 181
+ ADD *AR6, B ; 182
+ ADD *AR6-, A ; 183
+ ADD *AR6-, B ; 184
+ ADD *AR6+, A ; 185
+ ADD *AR6+, B ; 186
+ ADD *AR6-0B, A ; 187
+ ADD *AR6-0B, B ; 188
+ ADD *AR6-0, A ; 189
+ ADD *AR6-0, B ; 190
+ ADD *AR6+0, A ; 191
+ ADD *AR6+0, B ; 192
+ ADD *AR6+0B, A ; 193
+ ADD *AR6+0B, B ; 194
+ ADD *AR6-%, A ; 195
+ ADD *AR6-%, B ; 196
+ ADD *AR6-0%, A ; 197
+ ADD *AR6-0%, B ; 198
+ ADD *AR6+%, A ; 199
+ ADD *AR6+%, B ; 200
+ ADD *AR6+0%, A ; 201
+ ADD *AR6+0%, B ; 202
+ ADD *AR6(01B35h), A ; 203
+ ADD *AR6(01B36h), B ; 204
+ ADD *+AR6(01B37h), A ; 205
+ ADD *+AR6(01B38h), B ; 206
+ ADD *+AR6(01B39h)%, A ; 207
+ ADD *+AR6(01B3Ah)%, B ; 208
+ ADD *(01B3Bh), A ; 209
+ ADD *(01B3Ch), B ; 210
+ ADD *AR7, A ; 211
+ ADD *AR7, B ; 212
+ ADD *AR7-, A ; 213
+ ADD *AR7-, B ; 214
+ ADD *AR7+, A ; 215
+ ADD *AR7+, B ; 216
+ ADD *AR7-0B, A ; 217
+ ADD *AR7-0B, B ; 218
+ ADD *AR7-0, A ; 219
+ ADD *AR7-0, B ; 220
+ ADD *AR7+0, A ; 221
+ ADD *AR7+0, B ; 222
+ ADD *AR7+0B, A ; 223
+ ADD *AR7+0B, B ; 224
+ ADD *AR7-%, A ; 225
+ ADD *AR7-%, B ; 226
+ ADD *AR7-0%, A ; 227
+ ADD *AR7-0%, B ; 228
+ ADD *AR7+%, A ; 229
+ ADD *AR7+%, B ; 230
+ ADD *AR7+0%, A ; 231
+ ADD *AR7+0%, B ; 232
+ ADD *AR7(01B3Dh), A ; 233
+ ADD *AR7(01B3Eh), B ; 234
+ ADD *+AR7(01B3Fh), A ; 235
+ ADD *+AR7(01B40h), B ; 236
+ ADD *+AR7(01B41h)%, A ; 237
+ ADD *+AR7(01B42h)%, B ; 238
+ ADD *(01B43h), A ; 239
+ ADD *(01B44h), B ; 240
+ ; ADDts : ADD Smem, TS, AB : 2
+ ADD 53h, TS, A ; 1
+ ADD 54h, TS, B ; 2
+ ; ADDts_I : ADD Smem_I, TS, AB : 240
+ ADD *AR0, TS, A ; 1
+ ADD *AR0, TS, B ; 2
+ ADD *AR0-, TS, A ; 3
+ ADD *AR0-, TS, B ; 4
+ ADD *AR0+, TS, A ; 5
+ ADD *AR0+, TS, B ; 6
+ ADD *AR0-0B, TS, A ; 7
+ ADD *AR0-0B, TS, B ; 8
+ ADD *AR0-0, TS, A ; 9
+ ADD *AR0-0, TS, B ; 10
+ ADD *AR0+0, TS, A ; 11
+ ADD *AR0+0, TS, B ; 12
+ ADD *AR0+0B, TS, A ; 13
+ ADD *AR0+0B, TS, B ; 14
+ ADD *AR0-%, TS, A ; 15
+ ADD *AR0-%, TS, B ; 16
+ ADD *AR0-0%, TS, A ; 17
+ ADD *AR0-0%, TS, B ; 18
+ ADD *AR0+%, TS, A ; 19
+ ADD *AR0+%, TS, B ; 20
+ ADD *AR0+0%, TS, A ; 21
+ ADD *AR0+0%, TS, B ; 22
+ ADD *AR0(01B45h), TS, A ; 23
+ ADD *AR0(01B46h), TS, B ; 24
+ ADD *+AR0(01B47h), TS, A ; 25
+ ADD *+AR0(01B48h), TS, B ; 26
+ ADD *+AR0(01B49h)%, TS, A ; 27
+ ADD *+AR0(01B4Ah)%, TS, B ; 28
+ ADD *(01B4Bh), TS, A ; 29
+ ADD *(01B4Ch), TS, B ; 30
+ ADD *AR1, TS, A ; 31
+ ADD *AR1, TS, B ; 32
+ ADD *AR1-, TS, A ; 33
+ ADD *AR1-, TS, B ; 34
+ ADD *AR1+, TS, A ; 35
+ ADD *AR1+, TS, B ; 36
+ ADD *AR1-0B, TS, A ; 37
+ ADD *AR1-0B, TS, B ; 38
+ ADD *AR1-0, TS, A ; 39
+ ADD *AR1-0, TS, B ; 40
+ ADD *AR1+0, TS, A ; 41
+ ADD *AR1+0, TS, B ; 42
+ ADD *AR1+0B, TS, A ; 43
+ ADD *AR1+0B, TS, B ; 44
+ ADD *AR1-%, TS, A ; 45
+ ADD *AR1-%, TS, B ; 46
+ ADD *AR1-0%, TS, A ; 47
+ ADD *AR1-0%, TS, B ; 48
+ ADD *AR1+%, TS, A ; 49
+ ADD *AR1+%, TS, B ; 50
+ ADD *AR1+0%, TS, A ; 51
+ ADD *AR1+0%, TS, B ; 52
+ ADD *AR1(01B4Dh), TS, A ; 53
+ ADD *AR1(01B4Eh), TS, B ; 54
+ ADD *+AR1(01B4Fh), TS, A ; 55
+ ADD *+AR1(01B50h), TS, B ; 56
+ ADD *+AR1(01B51h)%, TS, A ; 57
+ ADD *+AR1(01B52h)%, TS, B ; 58
+ ADD *(01B53h), TS, A ; 59
+ ADD *(01B54h), TS, B ; 60
+ ADD *AR2, TS, A ; 61
+ ADD *AR2, TS, B ; 62
+ ADD *AR2-, TS, A ; 63
+ ADD *AR2-, TS, B ; 64
+ ADD *AR2+, TS, A ; 65
+ ADD *AR2+, TS, B ; 66
+ ADD *AR2-0B, TS, A ; 67
+ ADD *AR2-0B, TS, B ; 68
+ ADD *AR2-0, TS, A ; 69
+ ADD *AR2-0, TS, B ; 70
+ ADD *AR2+0, TS, A ; 71
+ ADD *AR2+0, TS, B ; 72
+ ADD *AR2+0B, TS, A ; 73
+ ADD *AR2+0B, TS, B ; 74
+ ADD *AR2-%, TS, A ; 75
+ ADD *AR2-%, TS, B ; 76
+ ADD *AR2-0%, TS, A ; 77
+ ADD *AR2-0%, TS, B ; 78
+ ADD *AR2+%, TS, A ; 79
+ ADD *AR2+%, TS, B ; 80
+ ADD *AR2+0%, TS, A ; 81
+ ADD *AR2+0%, TS, B ; 82
+ ADD *AR2(01B55h), TS, A ; 83
+ ADD *AR2(01B56h), TS, B ; 84
+ ADD *+AR2(01B57h), TS, A ; 85
+ ADD *+AR2(01B58h), TS, B ; 86
+ ADD *+AR2(01B59h)%, TS, A ; 87
+ ADD *+AR2(01B5Ah)%, TS, B ; 88
+ ADD *(01B5Bh), TS, A ; 89
+ ADD *(01B5Ch), TS, B ; 90
+ ADD *AR3, TS, A ; 91
+ ADD *AR3, TS, B ; 92
+ ADD *AR3-, TS, A ; 93
+ ADD *AR3-, TS, B ; 94
+ ADD *AR3+, TS, A ; 95
+ ADD *AR3+, TS, B ; 96
+ ADD *AR3-0B, TS, A ; 97
+ ADD *AR3-0B, TS, B ; 98
+ ADD *AR3-0, TS, A ; 99
+ ADD *AR3-0, TS, B ; 100
+ ADD *AR3+0, TS, A ; 101
+ ADD *AR3+0, TS, B ; 102
+ ADD *AR3+0B, TS, A ; 103
+ ADD *AR3+0B, TS, B ; 104
+ ADD *AR3-%, TS, A ; 105
+ ADD *AR3-%, TS, B ; 106
+ ADD *AR3-0%, TS, A ; 107
+ ADD *AR3-0%, TS, B ; 108
+ ADD *AR3+%, TS, A ; 109
+ ADD *AR3+%, TS, B ; 110
+ ADD *AR3+0%, TS, A ; 111
+ ADD *AR3+0%, TS, B ; 112
+ ADD *AR3(01B5Dh), TS, A ; 113
+ ADD *AR3(01B5Eh), TS, B ; 114
+ ADD *+AR3(01B5Fh), TS, A ; 115
+ ADD *+AR3(01B60h), TS, B ; 116
+ ADD *+AR3(01B61h)%, TS, A ; 117
+ ADD *+AR3(01B62h)%, TS, B ; 118
+ ADD *(01B63h), TS, A ; 119
+ ADD *(01B64h), TS, B ; 120
+ ADD *AR4, TS, A ; 121
+ ADD *AR4, TS, B ; 122
+ ADD *AR4-, TS, A ; 123
+ ADD *AR4-, TS, B ; 124
+ ADD *AR4+, TS, A ; 125
+ ADD *AR4+, TS, B ; 126
+ ADD *AR4-0B, TS, A ; 127
+ ADD *AR4-0B, TS, B ; 128
+ ADD *AR4-0, TS, A ; 129
+ ADD *AR4-0, TS, B ; 130
+ ADD *AR4+0, TS, A ; 131
+ ADD *AR4+0, TS, B ; 132
+ ADD *AR4+0B, TS, A ; 133
+ ADD *AR4+0B, TS, B ; 134
+ ADD *AR4-%, TS, A ; 135
+ ADD *AR4-%, TS, B ; 136
+ ADD *AR4-0%, TS, A ; 137
+ ADD *AR4-0%, TS, B ; 138
+ ADD *AR4+%, TS, A ; 139
+ ADD *AR4+%, TS, B ; 140
+ ADD *AR4+0%, TS, A ; 141
+ ADD *AR4+0%, TS, B ; 142
+ ADD *AR4(01B65h), TS, A ; 143
+ ADD *AR4(01B66h), TS, B ; 144
+ ADD *+AR4(01B67h), TS, A ; 145
+ ADD *+AR4(01B68h), TS, B ; 146
+ ADD *+AR4(01B69h)%, TS, A ; 147
+ ADD *+AR4(01B6Ah)%, TS, B ; 148
+ ADD *(01B6Bh), TS, A ; 149
+ ADD *(01B6Ch), TS, B ; 150
+ ADD *AR5, TS, A ; 151
+ ADD *AR5, TS, B ; 152
+ ADD *AR5-, TS, A ; 153
+ ADD *AR5-, TS, B ; 154
+ ADD *AR5+, TS, A ; 155
+ ADD *AR5+, TS, B ; 156
+ ADD *AR5-0B, TS, A ; 157
+ ADD *AR5-0B, TS, B ; 158
+ ADD *AR5-0, TS, A ; 159
+ ADD *AR5-0, TS, B ; 160
+ ADD *AR5+0, TS, A ; 161
+ ADD *AR5+0, TS, B ; 162
+ ADD *AR5+0B, TS, A ; 163
+ ADD *AR5+0B, TS, B ; 164
+ ADD *AR5-%, TS, A ; 165
+ ADD *AR5-%, TS, B ; 166
+ ADD *AR5-0%, TS, A ; 167
+ ADD *AR5-0%, TS, B ; 168
+ ADD *AR5+%, TS, A ; 169
+ ADD *AR5+%, TS, B ; 170
+ ADD *AR5+0%, TS, A ; 171
+ ADD *AR5+0%, TS, B ; 172
+ ADD *AR5(01B6Dh), TS, A ; 173
+ ADD *AR5(01B6Eh), TS, B ; 174
+ ADD *+AR5(01B6Fh), TS, A ; 175
+ ADD *+AR5(01B70h), TS, B ; 176
+ ADD *+AR5(01B71h)%, TS, A ; 177
+ ADD *+AR5(01B72h)%, TS, B ; 178
+ ADD *(01B73h), TS, A ; 179
+ ADD *(01B74h), TS, B ; 180
+ ADD *AR6, TS, A ; 181
+ ADD *AR6, TS, B ; 182
+ ADD *AR6-, TS, A ; 183
+ ADD *AR6-, TS, B ; 184
+ ADD *AR6+, TS, A ; 185
+ ADD *AR6+, TS, B ; 186
+ ADD *AR6-0B, TS, A ; 187
+ ADD *AR6-0B, TS, B ; 188
+ ADD *AR6-0, TS, A ; 189
+ ADD *AR6-0, TS, B ; 190
+ ADD *AR6+0, TS, A ; 191
+ ADD *AR6+0, TS, B ; 192
+ ADD *AR6+0B, TS, A ; 193
+ ADD *AR6+0B, TS, B ; 194
+ ADD *AR6-%, TS, A ; 195
+ ADD *AR6-%, TS, B ; 196
+ ADD *AR6-0%, TS, A ; 197
+ ADD *AR6-0%, TS, B ; 198
+ ADD *AR6+%, TS, A ; 199
+ ADD *AR6+%, TS, B ; 200
+ ADD *AR6+0%, TS, A ; 201
+ ADD *AR6+0%, TS, B ; 202
+ ADD *AR6(01B75h), TS, A ; 203
+ ADD *AR6(01B76h), TS, B ; 204
+ ADD *+AR6(01B77h), TS, A ; 205
+ ADD *+AR6(01B78h), TS, B ; 206
+ ADD *+AR6(01B79h)%, TS, A ; 207
+ ADD *+AR6(01B7Ah)%, TS, B ; 208
+ ADD *(01B7Bh), TS, A ; 209
+ ADD *(01B7Ch), TS, B ; 210
+ ADD *AR7, TS, A ; 211
+ ADD *AR7, TS, B ; 212
+ ADD *AR7-, TS, A ; 213
+ ADD *AR7-, TS, B ; 214
+ ADD *AR7+, TS, A ; 215
+ ADD *AR7+, TS, B ; 216
+ ADD *AR7-0B, TS, A ; 217
+ ADD *AR7-0B, TS, B ; 218
+ ADD *AR7-0, TS, A ; 219
+ ADD *AR7-0, TS, B ; 220
+ ADD *AR7+0, TS, A ; 221
+ ADD *AR7+0, TS, B ; 222
+ ADD *AR7+0B, TS, A ; 223
+ ADD *AR7+0B, TS, B ; 224
+ ADD *AR7-%, TS, A ; 225
+ ADD *AR7-%, TS, B ; 226
+ ADD *AR7-0%, TS, A ; 227
+ ADD *AR7-0%, TS, B ; 228
+ ADD *AR7+%, TS, A ; 229
+ ADD *AR7+%, TS, B ; 230
+ ADD *AR7+0%, TS, A ; 231
+ ADD *AR7+0%, TS, B ; 232
+ ADD *AR7(01B7Dh), TS, A ; 233
+ ADD *AR7(01B7Eh), TS, B ; 234
+ ADD *+AR7(01B7Fh), TS, A ; 235
+ ADD *+AR7(01B80h), TS, B ; 236
+ ADD *+AR7(01B81h)%, TS, A ; 237
+ ADD *+AR7(01B82h)%, TS, B ; 238
+ ADD *(01B83h), TS, A ; 239
+ ADD *(01B84h), TS, B ; 240
+ ; ADDxmShift : ADD Xmem, SHFT, AB : 512
+ ADD *AR2, 0, A ; 1
+ ADD *AR2, 0, B ; 2
+ ADD *AR2, 1, A ; 3
+ ADD *AR2, 1, B ; 4
+ ADD *AR2, 2, A ; 5
+ ADD *AR2, 2, B ; 6
+ ADD *AR2, 3, A ; 7
+ ADD *AR2, 3, B ; 8
+ ADD *AR2, 4, A ; 9
+ ADD *AR2, 4, B ; 10
+ ADD *AR2, 5, A ; 11
+ ADD *AR2, 5, B ; 12
+ ADD *AR2, 6, A ; 13
+ ADD *AR2, 6, B ; 14
+ ADD *AR2, 7, A ; 15
+ ADD *AR2, 7, B ; 16
+ ADD *AR2, 8, A ; 17
+ ADD *AR2, 8, B ; 18
+ ADD *AR2, 9, A ; 19
+ ADD *AR2, 9, B ; 20
+ ADD *AR2, 10, A ; 21
+ ADD *AR2, 10, B ; 22
+ ADD *AR2, 11, A ; 23
+ ADD *AR2, 11, B ; 24
+ ADD *AR2, 12, A ; 25
+ ADD *AR2, 12, B ; 26
+ ADD *AR2, 13, A ; 27
+ ADD *AR2, 13, B ; 28
+ ADD *AR2, 14, A ; 29
+ ADD *AR2, 14, B ; 30
+ ADD *AR2, 15, A ; 31
+ ADD *AR2, 15, B ; 32
+ ADD *AR2-, 0, A ; 33
+ ADD *AR2-, 0, B ; 34
+ ADD *AR2-, 1, A ; 35
+ ADD *AR2-, 1, B ; 36
+ ADD *AR2-, 2, A ; 37
+ ADD *AR2-, 2, B ; 38
+ ADD *AR2-, 3, A ; 39
+ ADD *AR2-, 3, B ; 40
+ ADD *AR2-, 4, A ; 41
+ ADD *AR2-, 4, B ; 42
+ ADD *AR2-, 5, A ; 43
+ ADD *AR2-, 5, B ; 44
+ ADD *AR2-, 6, A ; 45
+ ADD *AR2-, 6, B ; 46
+ ADD *AR2-, 7, A ; 47
+ ADD *AR2-, 7, B ; 48
+ ADD *AR2-, 8, A ; 49
+ ADD *AR2-, 8, B ; 50
+ ADD *AR2-, 9, A ; 51
+ ADD *AR2-, 9, B ; 52
+ ADD *AR2-, 10, A ; 53
+ ADD *AR2-, 10, B ; 54
+ ADD *AR2-, 11, A ; 55
+ ADD *AR2-, 11, B ; 56
+ ADD *AR2-, 12, A ; 57
+ ADD *AR2-, 12, B ; 58
+ ADD *AR2-, 13, A ; 59
+ ADD *AR2-, 13, B ; 60
+ ADD *AR2-, 14, A ; 61
+ ADD *AR2-, 14, B ; 62
+ ADD *AR2-, 15, A ; 63
+ ADD *AR2-, 15, B ; 64
+ ADD *AR2+, 0, A ; 65
+ ADD *AR2+, 0, B ; 66
+ ADD *AR2+, 1, A ; 67
+ ADD *AR2+, 1, B ; 68
+ ADD *AR2+, 2, A ; 69
+ ADD *AR2+, 2, B ; 70
+ ADD *AR2+, 3, A ; 71
+ ADD *AR2+, 3, B ; 72
+ ADD *AR2+, 4, A ; 73
+ ADD *AR2+, 4, B ; 74
+ ADD *AR2+, 5, A ; 75
+ ADD *AR2+, 5, B ; 76
+ ADD *AR2+, 6, A ; 77
+ ADD *AR2+, 6, B ; 78
+ ADD *AR2+, 7, A ; 79
+ ADD *AR2+, 7, B ; 80
+ ADD *AR2+, 8, A ; 81
+ ADD *AR2+, 8, B ; 82
+ ADD *AR2+, 9, A ; 83
+ ADD *AR2+, 9, B ; 84
+ ADD *AR2+, 10, A ; 85
+ ADD *AR2+, 10, B ; 86
+ ADD *AR2+, 11, A ; 87
+ ADD *AR2+, 11, B ; 88
+ ADD *AR2+, 12, A ; 89
+ ADD *AR2+, 12, B ; 90
+ ADD *AR2+, 13, A ; 91
+ ADD *AR2+, 13, B ; 92
+ ADD *AR2+, 14, A ; 93
+ ADD *AR2+, 14, B ; 94
+ ADD *AR2+, 15, A ; 95
+ ADD *AR2+, 15, B ; 96
+ ADD *AR2+0%, 0, A ; 97
+ ADD *AR2+0%, 0, B ; 98
+ ADD *AR2+0%, 1, A ; 99
+ ADD *AR2+0%, 1, B ; 100
+ ADD *AR2+0%, 2, A ; 101
+ ADD *AR2+0%, 2, B ; 102
+ ADD *AR2+0%, 3, A ; 103
+ ADD *AR2+0%, 3, B ; 104
+ ADD *AR2+0%, 4, A ; 105
+ ADD *AR2+0%, 4, B ; 106
+ ADD *AR2+0%, 5, A ; 107
+ ADD *AR2+0%, 5, B ; 108
+ ADD *AR2+0%, 6, A ; 109
+ ADD *AR2+0%, 6, B ; 110
+ ADD *AR2+0%, 7, A ; 111
+ ADD *AR2+0%, 7, B ; 112
+ ADD *AR2+0%, 8, A ; 113
+ ADD *AR2+0%, 8, B ; 114
+ ADD *AR2+0%, 9, A ; 115
+ ADD *AR2+0%, 9, B ; 116
+ ADD *AR2+0%, 10, A ; 117
+ ADD *AR2+0%, 10, B ; 118
+ ADD *AR2+0%, 11, A ; 119
+ ADD *AR2+0%, 11, B ; 120
+ ADD *AR2+0%, 12, A ; 121
+ ADD *AR2+0%, 12, B ; 122
+ ADD *AR2+0%, 13, A ; 123
+ ADD *AR2+0%, 13, B ; 124
+ ADD *AR2+0%, 14, A ; 125
+ ADD *AR2+0%, 14, B ; 126
+ ADD *AR2+0%, 15, A ; 127
+ ADD *AR2+0%, 15, B ; 128
+ ADD *AR3, 0, A ; 129
+ ADD *AR3, 0, B ; 130
+ ADD *AR3, 1, A ; 131
+ ADD *AR3, 1, B ; 132
+ ADD *AR3, 2, A ; 133
+ ADD *AR3, 2, B ; 134
+ ADD *AR3, 3, A ; 135
+ ADD *AR3, 3, B ; 136
+ ADD *AR3, 4, A ; 137
+ ADD *AR3, 4, B ; 138
+ ADD *AR3, 5, A ; 139
+ ADD *AR3, 5, B ; 140
+ ADD *AR3, 6, A ; 141
+ ADD *AR3, 6, B ; 142
+ ADD *AR3, 7, A ; 143
+ ADD *AR3, 7, B ; 144
+ ADD *AR3, 8, A ; 145
+ ADD *AR3, 8, B ; 146
+ ADD *AR3, 9, A ; 147
+ ADD *AR3, 9, B ; 148
+ ADD *AR3, 10, A ; 149
+ ADD *AR3, 10, B ; 150
+ ADD *AR3, 11, A ; 151
+ ADD *AR3, 11, B ; 152
+ ADD *AR3, 12, A ; 153
+ ADD *AR3, 12, B ; 154
+ ADD *AR3, 13, A ; 155
+ ADD *AR3, 13, B ; 156
+ ADD *AR3, 14, A ; 157
+ ADD *AR3, 14, B ; 158
+ ADD *AR3, 15, A ; 159
+ ADD *AR3, 15, B ; 160
+ ADD *AR3-, 0, A ; 161
+ ADD *AR3-, 0, B ; 162
+ ADD *AR3-, 1, A ; 163
+ ADD *AR3-, 1, B ; 164
+ ADD *AR3-, 2, A ; 165
+ ADD *AR3-, 2, B ; 166
+ ADD *AR3-, 3, A ; 167
+ ADD *AR3-, 3, B ; 168
+ ADD *AR3-, 4, A ; 169
+ ADD *AR3-, 4, B ; 170
+ ADD *AR3-, 5, A ; 171
+ ADD *AR3-, 5, B ; 172
+ ADD *AR3-, 6, A ; 173
+ ADD *AR3-, 6, B ; 174
+ ADD *AR3-, 7, A ; 175
+ ADD *AR3-, 7, B ; 176
+ ADD *AR3-, 8, A ; 177
+ ADD *AR3-, 8, B ; 178
+ ADD *AR3-, 9, A ; 179
+ ADD *AR3-, 9, B ; 180
+ ADD *AR3-, 10, A ; 181
+ ADD *AR3-, 10, B ; 182
+ ADD *AR3-, 11, A ; 183
+ ADD *AR3-, 11, B ; 184
+ ADD *AR3-, 12, A ; 185
+ ADD *AR3-, 12, B ; 186
+ ADD *AR3-, 13, A ; 187
+ ADD *AR3-, 13, B ; 188
+ ADD *AR3-, 14, A ; 189
+ ADD *AR3-, 14, B ; 190
+ ADD *AR3-, 15, A ; 191
+ ADD *AR3-, 15, B ; 192
+ ADD *AR3+, 0, A ; 193
+ ADD *AR3+, 0, B ; 194
+ ADD *AR3+, 1, A ; 195
+ ADD *AR3+, 1, B ; 196
+ ADD *AR3+, 2, A ; 197
+ ADD *AR3+, 2, B ; 198
+ ADD *AR3+, 3, A ; 199
+ ADD *AR3+, 3, B ; 200
+ ADD *AR3+, 4, A ; 201
+ ADD *AR3+, 4, B ; 202
+ ADD *AR3+, 5, A ; 203
+ ADD *AR3+, 5, B ; 204
+ ADD *AR3+, 6, A ; 205
+ ADD *AR3+, 6, B ; 206
+ ADD *AR3+, 7, A ; 207
+ ADD *AR3+, 7, B ; 208
+ ADD *AR3+, 8, A ; 209
+ ADD *AR3+, 8, B ; 210
+ ADD *AR3+, 9, A ; 211
+ ADD *AR3+, 9, B ; 212
+ ADD *AR3+, 10, A ; 213
+ ADD *AR3+, 10, B ; 214
+ ADD *AR3+, 11, A ; 215
+ ADD *AR3+, 11, B ; 216
+ ADD *AR3+, 12, A ; 217
+ ADD *AR3+, 12, B ; 218
+ ADD *AR3+, 13, A ; 219
+ ADD *AR3+, 13, B ; 220
+ ADD *AR3+, 14, A ; 221
+ ADD *AR3+, 14, B ; 222
+ ADD *AR3+, 15, A ; 223
+ ADD *AR3+, 15, B ; 224
+ ADD *AR3+0%, 0, A ; 225
+ ADD *AR3+0%, 0, B ; 226
+ ADD *AR3+0%, 1, A ; 227
+ ADD *AR3+0%, 1, B ; 228
+ ADD *AR3+0%, 2, A ; 229
+ ADD *AR3+0%, 2, B ; 230
+ ADD *AR3+0%, 3, A ; 231
+ ADD *AR3+0%, 3, B ; 232
+ ADD *AR3+0%, 4, A ; 233
+ ADD *AR3+0%, 4, B ; 234
+ ADD *AR3+0%, 5, A ; 235
+ ADD *AR3+0%, 5, B ; 236
+ ADD *AR3+0%, 6, A ; 237
+ ADD *AR3+0%, 6, B ; 238
+ ADD *AR3+0%, 7, A ; 239
+ ADD *AR3+0%, 7, B ; 240
+ ADD *AR3+0%, 8, A ; 241
+ ADD *AR3+0%, 8, B ; 242
+ ADD *AR3+0%, 9, A ; 243
+ ADD *AR3+0%, 9, B ; 244
+ ADD *AR3+0%, 10, A ; 245
+ ADD *AR3+0%, 10, B ; 246
+ ADD *AR3+0%, 11, A ; 247
+ ADD *AR3+0%, 11, B ; 248
+ ADD *AR3+0%, 12, A ; 249
+ ADD *AR3+0%, 12, B ; 250
+ ADD *AR3+0%, 13, A ; 251
+ ADD *AR3+0%, 13, B ; 252
+ ADD *AR3+0%, 14, A ; 253
+ ADD *AR3+0%, 14, B ; 254
+ ADD *AR3+0%, 15, A ; 255
+ ADD *AR3+0%, 15, B ; 256
+ ADD *AR4, 0, A ; 257
+ ADD *AR4, 0, B ; 258
+ ADD *AR4, 1, A ; 259
+ ADD *AR4, 1, B ; 260
+ ADD *AR4, 2, A ; 261
+ ADD *AR4, 2, B ; 262
+ ADD *AR4, 3, A ; 263
+ ADD *AR4, 3, B ; 264
+ ADD *AR4, 4, A ; 265
+ ADD *AR4, 4, B ; 266
+ ADD *AR4, 5, A ; 267
+ ADD *AR4, 5, B ; 268
+ ADD *AR4, 6, A ; 269
+ ADD *AR4, 6, B ; 270
+ ADD *AR4, 7, A ; 271
+ ADD *AR4, 7, B ; 272
+ ADD *AR4, 8, A ; 273
+ ADD *AR4, 8, B ; 274
+ ADD *AR4, 9, A ; 275
+ ADD *AR4, 9, B ; 276
+ ADD *AR4, 10, A ; 277
+ ADD *AR4, 10, B ; 278
+ ADD *AR4, 11, A ; 279
+ ADD *AR4, 11, B ; 280
+ ADD *AR4, 12, A ; 281
+ ADD *AR4, 12, B ; 282
+ ADD *AR4, 13, A ; 283
+ ADD *AR4, 13, B ; 284
+ ADD *AR4, 14, A ; 285
+ ADD *AR4, 14, B ; 286
+ ADD *AR4, 15, A ; 287
+ ADD *AR4, 15, B ; 288
+ ADD *AR4-, 0, A ; 289
+ ADD *AR4-, 0, B ; 290
+ ADD *AR4-, 1, A ; 291
+ ADD *AR4-, 1, B ; 292
+ ADD *AR4-, 2, A ; 293
+ ADD *AR4-, 2, B ; 294
+ ADD *AR4-, 3, A ; 295
+ ADD *AR4-, 3, B ; 296
+ ADD *AR4-, 4, A ; 297
+ ADD *AR4-, 4, B ; 298
+ ADD *AR4-, 5, A ; 299
+ ADD *AR4-, 5, B ; 300
+ ADD *AR4-, 6, A ; 301
+ ADD *AR4-, 6, B ; 302
+ ADD *AR4-, 7, A ; 303
+ ADD *AR4-, 7, B ; 304
+ ADD *AR4-, 8, A ; 305
+ ADD *AR4-, 8, B ; 306
+ ADD *AR4-, 9, A ; 307
+ ADD *AR4-, 9, B ; 308
+ ADD *AR4-, 10, A ; 309
+ ADD *AR4-, 10, B ; 310
+ ADD *AR4-, 11, A ; 311
+ ADD *AR4-, 11, B ; 312
+ ADD *AR4-, 12, A ; 313
+ ADD *AR4-, 12, B ; 314
+ ADD *AR4-, 13, A ; 315
+ ADD *AR4-, 13, B ; 316
+ ADD *AR4-, 14, A ; 317
+ ADD *AR4-, 14, B ; 318
+ ADD *AR4-, 15, A ; 319
+ ADD *AR4-, 15, B ; 320
+ ADD *AR4+, 0, A ; 321
+ ADD *AR4+, 0, B ; 322
+ ADD *AR4+, 1, A ; 323
+ ADD *AR4+, 1, B ; 324
+ ADD *AR4+, 2, A ; 325
+ ADD *AR4+, 2, B ; 326
+ ADD *AR4+, 3, A ; 327
+ ADD *AR4+, 3, B ; 328
+ ADD *AR4+, 4, A ; 329
+ ADD *AR4+, 4, B ; 330
+ ADD *AR4+, 5, A ; 331
+ ADD *AR4+, 5, B ; 332
+ ADD *AR4+, 6, A ; 333
+ ADD *AR4+, 6, B ; 334
+ ADD *AR4+, 7, A ; 335
+ ADD *AR4+, 7, B ; 336
+ ADD *AR4+, 8, A ; 337
+ ADD *AR4+, 8, B ; 338
+ ADD *AR4+, 9, A ; 339
+ ADD *AR4+, 9, B ; 340
+ ADD *AR4+, 10, A ; 341
+ ADD *AR4+, 10, B ; 342
+ ADD *AR4+, 11, A ; 343
+ ADD *AR4+, 11, B ; 344
+ ADD *AR4+, 12, A ; 345
+ ADD *AR4+, 12, B ; 346
+ ADD *AR4+, 13, A ; 347
+ ADD *AR4+, 13, B ; 348
+ ADD *AR4+, 14, A ; 349
+ ADD *AR4+, 14, B ; 350
+ ADD *AR4+, 15, A ; 351
+ ADD *AR4+, 15, B ; 352
+ ADD *AR4+0%, 0, A ; 353
+ ADD *AR4+0%, 0, B ; 354
+ ADD *AR4+0%, 1, A ; 355
+ ADD *AR4+0%, 1, B ; 356
+ ADD *AR4+0%, 2, A ; 357
+ ADD *AR4+0%, 2, B ; 358
+ ADD *AR4+0%, 3, A ; 359
+ ADD *AR4+0%, 3, B ; 360
+ ADD *AR4+0%, 4, A ; 361
+ ADD *AR4+0%, 4, B ; 362
+ ADD *AR4+0%, 5, A ; 363
+ ADD *AR4+0%, 5, B ; 364
+ ADD *AR4+0%, 6, A ; 365
+ ADD *AR4+0%, 6, B ; 366
+ ADD *AR4+0%, 7, A ; 367
+ ADD *AR4+0%, 7, B ; 368
+ ADD *AR4+0%, 8, A ; 369
+ ADD *AR4+0%, 8, B ; 370
+ ADD *AR4+0%, 9, A ; 371
+ ADD *AR4+0%, 9, B ; 372
+ ADD *AR4+0%, 10, A ; 373
+ ADD *AR4+0%, 10, B ; 374
+ ADD *AR4+0%, 11, A ; 375
+ ADD *AR4+0%, 11, B ; 376
+ ADD *AR4+0%, 12, A ; 377
+ ADD *AR4+0%, 12, B ; 378
+ ADD *AR4+0%, 13, A ; 379
+ ADD *AR4+0%, 13, B ; 380
+ ADD *AR4+0%, 14, A ; 381
+ ADD *AR4+0%, 14, B ; 382
+ ADD *AR4+0%, 15, A ; 383
+ ADD *AR4+0%, 15, B ; 384
+ ADD *AR5, 0, A ; 385
+ ADD *AR5, 0, B ; 386
+ ADD *AR5, 1, A ; 387
+ ADD *AR5, 1, B ; 388
+ ADD *AR5, 2, A ; 389
+ ADD *AR5, 2, B ; 390
+ ADD *AR5, 3, A ; 391
+ ADD *AR5, 3, B ; 392
+ ADD *AR5, 4, A ; 393
+ ADD *AR5, 4, B ; 394
+ ADD *AR5, 5, A ; 395
+ ADD *AR5, 5, B ; 396
+ ADD *AR5, 6, A ; 397
+ ADD *AR5, 6, B ; 398
+ ADD *AR5, 7, A ; 399
+ ADD *AR5, 7, B ; 400
+ ADD *AR5, 8, A ; 401
+ ADD *AR5, 8, B ; 402
+ ADD *AR5, 9, A ; 403
+ ADD *AR5, 9, B ; 404
+ ADD *AR5, 10, A ; 405
+ ADD *AR5, 10, B ; 406
+ ADD *AR5, 11, A ; 407
+ ADD *AR5, 11, B ; 408
+ ADD *AR5, 12, A ; 409
+ ADD *AR5, 12, B ; 410
+ ADD *AR5, 13, A ; 411
+ ADD *AR5, 13, B ; 412
+ ADD *AR5, 14, A ; 413
+ ADD *AR5, 14, B ; 414
+ ADD *AR5, 15, A ; 415
+ ADD *AR5, 15, B ; 416
+ ADD *AR5-, 0, A ; 417
+ ADD *AR5-, 0, B ; 418
+ ADD *AR5-, 1, A ; 419
+ ADD *AR5-, 1, B ; 420
+ ADD *AR5-, 2, A ; 421
+ ADD *AR5-, 2, B ; 422
+ ADD *AR5-, 3, A ; 423
+ ADD *AR5-, 3, B ; 424
+ ADD *AR5-, 4, A ; 425
+ ADD *AR5-, 4, B ; 426
+ ADD *AR5-, 5, A ; 427
+ ADD *AR5-, 5, B ; 428
+ ADD *AR5-, 6, A ; 429
+ ADD *AR5-, 6, B ; 430
+ ADD *AR5-, 7, A ; 431
+ ADD *AR5-, 7, B ; 432
+ ADD *AR5-, 8, A ; 433
+ ADD *AR5-, 8, B ; 434
+ ADD *AR5-, 9, A ; 435
+ ADD *AR5-, 9, B ; 436
+ ADD *AR5-, 10, A ; 437
+ ADD *AR5-, 10, B ; 438
+ ADD *AR5-, 11, A ; 439
+ ADD *AR5-, 11, B ; 440
+ ADD *AR5-, 12, A ; 441
+ ADD *AR5-, 12, B ; 442
+ ADD *AR5-, 13, A ; 443
+ ADD *AR5-, 13, B ; 444
+ ADD *AR5-, 14, A ; 445
+ ADD *AR5-, 14, B ; 446
+ ADD *AR5-, 15, A ; 447
+ ADD *AR5-, 15, B ; 448
+ ADD *AR5+, 0, A ; 449
+ ADD *AR5+, 0, B ; 450
+ ADD *AR5+, 1, A ; 451
+ ADD *AR5+, 1, B ; 452
+ ADD *AR5+, 2, A ; 453
+ ADD *AR5+, 2, B ; 454
+ ADD *AR5+, 3, A ; 455
+ ADD *AR5+, 3, B ; 456
+ ADD *AR5+, 4, A ; 457
+ ADD *AR5+, 4, B ; 458
+ ADD *AR5+, 5, A ; 459
+ ADD *AR5+, 5, B ; 460
+ ADD *AR5+, 6, A ; 461
+ ADD *AR5+, 6, B ; 462
+ ADD *AR5+, 7, A ; 463
+ ADD *AR5+, 7, B ; 464
+ ADD *AR5+, 8, A ; 465
+ ADD *AR5+, 8, B ; 466
+ ADD *AR5+, 9, A ; 467
+ ADD *AR5+, 9, B ; 468
+ ADD *AR5+, 10, A ; 469
+ ADD *AR5+, 10, B ; 470
+ ADD *AR5+, 11, A ; 471
+ ADD *AR5+, 11, B ; 472
+ ADD *AR5+, 12, A ; 473
+ ADD *AR5+, 12, B ; 474
+ ADD *AR5+, 13, A ; 475
+ ADD *AR5+, 13, B ; 476
+ ADD *AR5+, 14, A ; 477
+ ADD *AR5+, 14, B ; 478
+ ADD *AR5+, 15, A ; 479
+ ADD *AR5+, 15, B ; 480
+ ADD *AR5+0%, 0, A ; 481
+ ADD *AR5+0%, 0, B ; 482
+ ADD *AR5+0%, 1, A ; 483
+ ADD *AR5+0%, 1, B ; 484
+ ADD *AR5+0%, 2, A ; 485
+ ADD *AR5+0%, 2, B ; 486
+ ADD *AR5+0%, 3, A ; 487
+ ADD *AR5+0%, 3, B ; 488
+ ADD *AR5+0%, 4, A ; 489
+ ADD *AR5+0%, 4, B ; 490
+ ADD *AR5+0%, 5, A ; 491
+ ADD *AR5+0%, 5, B ; 492
+ ADD *AR5+0%, 6, A ; 493
+ ADD *AR5+0%, 6, B ; 494
+ ADD *AR5+0%, 7, A ; 495
+ ADD *AR5+0%, 7, B ; 496
+ ADD *AR5+0%, 8, A ; 497
+ ADD *AR5+0%, 8, B ; 498
+ ADD *AR5+0%, 9, A ; 499
+ ADD *AR5+0%, 9, B ; 500
+ ADD *AR5+0%, 10, A ; 501
+ ADD *AR5+0%, 10, B ; 502
+ ADD *AR5+0%, 11, A ; 503
+ ADD *AR5+0%, 11, B ; 504
+ ADD *AR5+0%, 12, A ; 505
+ ADD *AR5+0%, 12, B ; 506
+ ADD *AR5+0%, 13, A ; 507
+ ADD *AR5+0%, 13, B ; 508
+ ADD *AR5+0%, 14, A ; 509
+ ADD *AR5+0%, 14, B ; 510
+ ADD *AR5+0%, 15, A ; 511
+ ADD *AR5+0%, 15, B ; 512
+ ; ADDxmym : ADD Xmem, Ymem, AB : 512
+ ADD *AR2, *AR2, A ; 1
+ ADD *AR2, *AR2, B ; 2
+ ADD *AR2, *AR2-, A ; 3
+ ADD *AR2, *AR2-, B ; 4
+ ADD *AR2, *AR2+, A ; 5
+ ADD *AR2, *AR2+, B ; 6
+ ADD *AR2, *AR2+0%, A ; 7
+ ADD *AR2, *AR2+0%, B ; 8
+ ADD *AR2, *AR3, A ; 9
+ ADD *AR2, *AR3, B ; 10
+ ADD *AR2, *AR3-, A ; 11
+ ADD *AR2, *AR3-, B ; 12
+ ADD *AR2, *AR3+, A ; 13
+ ADD *AR2, *AR3+, B ; 14
+ ADD *AR2, *AR3+0%, A ; 15
+ ADD *AR2, *AR3+0%, B ; 16
+ ADD *AR2, *AR4, A ; 17
+ ADD *AR2, *AR4, B ; 18
+ ADD *AR2, *AR4-, A ; 19
+ ADD *AR2, *AR4-, B ; 20
+ ADD *AR2, *AR4+, A ; 21
+ ADD *AR2, *AR4+, B ; 22
+ ADD *AR2, *AR4+0%, A ; 23
+ ADD *AR2, *AR4+0%, B ; 24
+ ADD *AR2, *AR5, A ; 25
+ ADD *AR2, *AR5, B ; 26
+ ADD *AR2, *AR5-, A ; 27
+ ADD *AR2, *AR5-, B ; 28
+ ADD *AR2, *AR5+, A ; 29
+ ADD *AR2, *AR5+, B ; 30
+ ADD *AR2, *AR5+0%, A ; 31
+ ADD *AR2, *AR5+0%, B ; 32
+ ADD *AR2-, *AR2, A ; 33
+ ADD *AR2-, *AR2, B ; 34
+ ADD *AR2-, *AR2-, A ; 35
+ ADD *AR2-, *AR2-, B ; 36
+ ADD *AR2-, *AR2+, A ; 37
+ ADD *AR2-, *AR2+, B ; 38
+ ADD *AR2-, *AR2+0%, A ; 39
+ ADD *AR2-, *AR2+0%, B ; 40
+ ADD *AR2-, *AR3, A ; 41
+ ADD *AR2-, *AR3, B ; 42
+ ADD *AR2-, *AR3-, A ; 43
+ ADD *AR2-, *AR3-, B ; 44
+ ADD *AR2-, *AR3+, A ; 45
+ ADD *AR2-, *AR3+, B ; 46
+ ADD *AR2-, *AR3+0%, A ; 47
+ ADD *AR2-, *AR3+0%, B ; 48
+ ADD *AR2-, *AR4, A ; 49
+ ADD *AR2-, *AR4, B ; 50
+ ADD *AR2-, *AR4-, A ; 51
+ ADD *AR2-, *AR4-, B ; 52
+ ADD *AR2-, *AR4+, A ; 53
+ ADD *AR2-, *AR4+, B ; 54
+ ADD *AR2-, *AR4+0%, A ; 55
+ ADD *AR2-, *AR4+0%, B ; 56
+ ADD *AR2-, *AR5, A ; 57
+ ADD *AR2-, *AR5, B ; 58
+ ADD *AR2-, *AR5-, A ; 59
+ ADD *AR2-, *AR5-, B ; 60
+ ADD *AR2-, *AR5+, A ; 61
+ ADD *AR2-, *AR5+, B ; 62
+ ADD *AR2-, *AR5+0%, A ; 63
+ ADD *AR2-, *AR5+0%, B ; 64
+ ADD *AR2+, *AR2, A ; 65
+ ADD *AR2+, *AR2, B ; 66
+ ADD *AR2+, *AR2-, A ; 67
+ ADD *AR2+, *AR2-, B ; 68
+ ADD *AR2+, *AR2+, A ; 69
+ ADD *AR2+, *AR2+, B ; 70
+ ADD *AR2+, *AR2+0%, A ; 71
+ ADD *AR2+, *AR2+0%, B ; 72
+ ADD *AR2+, *AR3, A ; 73
+ ADD *AR2+, *AR3, B ; 74
+ ADD *AR2+, *AR3-, A ; 75
+ ADD *AR2+, *AR3-, B ; 76
+ ADD *AR2+, *AR3+, A ; 77
+ ADD *AR2+, *AR3+, B ; 78
+ ADD *AR2+, *AR3+0%, A ; 79
+ ADD *AR2+, *AR3+0%, B ; 80
+ ADD *AR2+, *AR4, A ; 81
+ ADD *AR2+, *AR4, B ; 82
+ ADD *AR2+, *AR4-, A ; 83
+ ADD *AR2+, *AR4-, B ; 84
+ ADD *AR2+, *AR4+, A ; 85
+ ADD *AR2+, *AR4+, B ; 86
+ ADD *AR2+, *AR4+0%, A ; 87
+ ADD *AR2+, *AR4+0%, B ; 88
+ ADD *AR2+, *AR5, A ; 89
+ ADD *AR2+, *AR5, B ; 90
+ ADD *AR2+, *AR5-, A ; 91
+ ADD *AR2+, *AR5-, B ; 92
+ ADD *AR2+, *AR5+, A ; 93
+ ADD *AR2+, *AR5+, B ; 94
+ ADD *AR2+, *AR5+0%, A ; 95
+ ADD *AR2+, *AR5+0%, B ; 96
+ ADD *AR2+0%, *AR2, A ; 97
+ ADD *AR2+0%, *AR2, B ; 98
+ ADD *AR2+0%, *AR2-, A ; 99
+ ADD *AR2+0%, *AR2-, B ; 100
+ ADD *AR2+0%, *AR2+, A ; 101
+ ADD *AR2+0%, *AR2+, B ; 102
+ ADD *AR2+0%, *AR2+0%, A ; 103
+ ADD *AR2+0%, *AR2+0%, B ; 104
+ ADD *AR2+0%, *AR3, A ; 105
+ ADD *AR2+0%, *AR3, B ; 106
+ ADD *AR2+0%, *AR3-, A ; 107
+ ADD *AR2+0%, *AR3-, B ; 108
+ ADD *AR2+0%, *AR3+, A ; 109
+ ADD *AR2+0%, *AR3+, B ; 110
+ ADD *AR2+0%, *AR3+0%, A ; 111
+ ADD *AR2+0%, *AR3+0%, B ; 112
+ ADD *AR2+0%, *AR4, A ; 113
+ ADD *AR2+0%, *AR4, B ; 114
+ ADD *AR2+0%, *AR4-, A ; 115
+ ADD *AR2+0%, *AR4-, B ; 116
+ ADD *AR2+0%, *AR4+, A ; 117
+ ADD *AR2+0%, *AR4+, B ; 118
+ ADD *AR2+0%, *AR4+0%, A ; 119
+ ADD *AR2+0%, *AR4+0%, B ; 120
+ ADD *AR2+0%, *AR5, A ; 121
+ ADD *AR2+0%, *AR5, B ; 122
+ ADD *AR2+0%, *AR5-, A ; 123
+ ADD *AR2+0%, *AR5-, B ; 124
+ ADD *AR2+0%, *AR5+, A ; 125
+ ADD *AR2+0%, *AR5+, B ; 126
+ ADD *AR2+0%, *AR5+0%, A ; 127
+ ADD *AR2+0%, *AR5+0%, B ; 128
+ ADD *AR3, *AR2, A ; 129
+ ADD *AR3, *AR2, B ; 130
+ ADD *AR3, *AR2-, A ; 131
+ ADD *AR3, *AR2-, B ; 132
+ ADD *AR3, *AR2+, A ; 133
+ ADD *AR3, *AR2+, B ; 134
+ ADD *AR3, *AR2+0%, A ; 135
+ ADD *AR3, *AR2+0%, B ; 136
+ ADD *AR3, *AR3, A ; 137
+ ADD *AR3, *AR3, B ; 138
+ ADD *AR3, *AR3-, A ; 139
+ ADD *AR3, *AR3-, B ; 140
+ ADD *AR3, *AR3+, A ; 141
+ ADD *AR3, *AR3+, B ; 142
+ ADD *AR3, *AR3+0%, A ; 143
+ ADD *AR3, *AR3+0%, B ; 144
+ ADD *AR3, *AR4, A ; 145
+ ADD *AR3, *AR4, B ; 146
+ ADD *AR3, *AR4-, A ; 147
+ ADD *AR3, *AR4-, B ; 148
+ ADD *AR3, *AR4+, A ; 149
+ ADD *AR3, *AR4+, B ; 150
+ ADD *AR3, *AR4+0%, A ; 151
+ ADD *AR3, *AR4+0%, B ; 152
+ ADD *AR3, *AR5, A ; 153
+ ADD *AR3, *AR5, B ; 154
+ ADD *AR3, *AR5-, A ; 155
+ ADD *AR3, *AR5-, B ; 156
+ ADD *AR3, *AR5+, A ; 157
+ ADD *AR3, *AR5+, B ; 158
+ ADD *AR3, *AR5+0%, A ; 159
+ ADD *AR3, *AR5+0%, B ; 160
+ ADD *AR3-, *AR2, A ; 161
+ ADD *AR3-, *AR2, B ; 162
+ ADD *AR3-, *AR2-, A ; 163
+ ADD *AR3-, *AR2-, B ; 164
+ ADD *AR3-, *AR2+, A ; 165
+ ADD *AR3-, *AR2+, B ; 166
+ ADD *AR3-, *AR2+0%, A ; 167
+ ADD *AR3-, *AR2+0%, B ; 168
+ ADD *AR3-, *AR3, A ; 169
+ ADD *AR3-, *AR3, B ; 170
+ ADD *AR3-, *AR3-, A ; 171
+ ADD *AR3-, *AR3-, B ; 172
+ ADD *AR3-, *AR3+, A ; 173
+ ADD *AR3-, *AR3+, B ; 174
+ ADD *AR3-, *AR3+0%, A ; 175
+ ADD *AR3-, *AR3+0%, B ; 176
+ ADD *AR3-, *AR4, A ; 177
+ ADD *AR3-, *AR4, B ; 178
+ ADD *AR3-, *AR4-, A ; 179
+ ADD *AR3-, *AR4-, B ; 180
+ ADD *AR3-, *AR4+, A ; 181
+ ADD *AR3-, *AR4+, B ; 182
+ ADD *AR3-, *AR4+0%, A ; 183
+ ADD *AR3-, *AR4+0%, B ; 184
+ ADD *AR3-, *AR5, A ; 185
+ ADD *AR3-, *AR5, B ; 186
+ ADD *AR3-, *AR5-, A ; 187
+ ADD *AR3-, *AR5-, B ; 188
+ ADD *AR3-, *AR5+, A ; 189
+ ADD *AR3-, *AR5+, B ; 190
+ ADD *AR3-, *AR5+0%, A ; 191
+ ADD *AR3-, *AR5+0%, B ; 192
+ ADD *AR3+, *AR2, A ; 193
+ ADD *AR3+, *AR2, B ; 194
+ ADD *AR3+, *AR2-, A ; 195
+ ADD *AR3+, *AR2-, B ; 196
+ ADD *AR3+, *AR2+, A ; 197
+ ADD *AR3+, *AR2+, B ; 198
+ ADD *AR3+, *AR2+0%, A ; 199
+ ADD *AR3+, *AR2+0%, B ; 200
+ ADD *AR3+, *AR3, A ; 201
+ ADD *AR3+, *AR3, B ; 202
+ ADD *AR3+, *AR3-, A ; 203
+ ADD *AR3+, *AR3-, B ; 204
+ ADD *AR3+, *AR3+, A ; 205
+ ADD *AR3+, *AR3+, B ; 206
+ ADD *AR3+, *AR3+0%, A ; 207
+ ADD *AR3+, *AR3+0%, B ; 208
+ ADD *AR3+, *AR4, A ; 209
+ ADD *AR3+, *AR4, B ; 210
+ ADD *AR3+, *AR4-, A ; 211
+ ADD *AR3+, *AR4-, B ; 212
+ ADD *AR3+, *AR4+, A ; 213
+ ADD *AR3+, *AR4+, B ; 214
+ ADD *AR3+, *AR4+0%, A ; 215
+ ADD *AR3+, *AR4+0%, B ; 216
+ ADD *AR3+, *AR5, A ; 217
+ ADD *AR3+, *AR5, B ; 218
+ ADD *AR3+, *AR5-, A ; 219
+ ADD *AR3+, *AR5-, B ; 220
+ ADD *AR3+, *AR5+, A ; 221
+ ADD *AR3+, *AR5+, B ; 222
+ ADD *AR3+, *AR5+0%, A ; 223
+ ADD *AR3+, *AR5+0%, B ; 224
+ ADD *AR3+0%, *AR2, A ; 225
+ ADD *AR3+0%, *AR2, B ; 226
+ ADD *AR3+0%, *AR2-, A ; 227
+ ADD *AR3+0%, *AR2-, B ; 228
+ ADD *AR3+0%, *AR2+, A ; 229
+ ADD *AR3+0%, *AR2+, B ; 230
+ ADD *AR3+0%, *AR2+0%, A ; 231
+ ADD *AR3+0%, *AR2+0%, B ; 232
+ ADD *AR3+0%, *AR3, A ; 233
+ ADD *AR3+0%, *AR3, B ; 234
+ ADD *AR3+0%, *AR3-, A ; 235
+ ADD *AR3+0%, *AR3-, B ; 236
+ ADD *AR3+0%, *AR3+, A ; 237
+ ADD *AR3+0%, *AR3+, B ; 238
+ ADD *AR3+0%, *AR3+0%, A ; 239
+ ADD *AR3+0%, *AR3+0%, B ; 240
+ ADD *AR3+0%, *AR4, A ; 241
+ ADD *AR3+0%, *AR4, B ; 242
+ ADD *AR3+0%, *AR4-, A ; 243
+ ADD *AR3+0%, *AR4-, B ; 244
+ ADD *AR3+0%, *AR4+, A ; 245
+ ADD *AR3+0%, *AR4+, B ; 246
+ ADD *AR3+0%, *AR4+0%, A ; 247
+ ADD *AR3+0%, *AR4+0%, B ; 248
+ ADD *AR3+0%, *AR5, A ; 249
+ ADD *AR3+0%, *AR5, B ; 250
+ ADD *AR3+0%, *AR5-, A ; 251
+ ADD *AR3+0%, *AR5-, B ; 252
+ ADD *AR3+0%, *AR5+, A ; 253
+ ADD *AR3+0%, *AR5+, B ; 254
+ ADD *AR3+0%, *AR5+0%, A ; 255
+ ADD *AR3+0%, *AR5+0%, B ; 256
+ ADD *AR4, *AR2, A ; 257
+ ADD *AR4, *AR2, B ; 258
+ ADD *AR4, *AR2-, A ; 259
+ ADD *AR4, *AR2-, B ; 260
+ ADD *AR4, *AR2+, A ; 261
+ ADD *AR4, *AR2+, B ; 262
+ ADD *AR4, *AR2+0%, A ; 263
+ ADD *AR4, *AR2+0%, B ; 264
+ ADD *AR4, *AR3, A ; 265
+ ADD *AR4, *AR3, B ; 266
+ ADD *AR4, *AR3-, A ; 267
+ ADD *AR4, *AR3-, B ; 268
+ ADD *AR4, *AR3+, A ; 269
+ ADD *AR4, *AR3+, B ; 270
+ ADD *AR4, *AR3+0%, A ; 271
+ ADD *AR4, *AR3+0%, B ; 272
+ ADD *AR4, *AR4, A ; 273
+ ADD *AR4, *AR4, B ; 274
+ ADD *AR4, *AR4-, A ; 275
+ ADD *AR4, *AR4-, B ; 276
+ ADD *AR4, *AR4+, A ; 277
+ ADD *AR4, *AR4+, B ; 278
+ ADD *AR4, *AR4+0%, A ; 279
+ ADD *AR4, *AR4+0%, B ; 280
+ ADD *AR4, *AR5, A ; 281
+ ADD *AR4, *AR5, B ; 282
+ ADD *AR4, *AR5-, A ; 283
+ ADD *AR4, *AR5-, B ; 284
+ ADD *AR4, *AR5+, A ; 285
+ ADD *AR4, *AR5+, B ; 286
+ ADD *AR4, *AR5+0%, A ; 287
+ ADD *AR4, *AR5+0%, B ; 288
+ ADD *AR4-, *AR2, A ; 289
+ ADD *AR4-, *AR2, B ; 290
+ ADD *AR4-, *AR2-, A ; 291
+ ADD *AR4-, *AR2-, B ; 292
+ ADD *AR4-, *AR2+, A ; 293
+ ADD *AR4-, *AR2+, B ; 294
+ ADD *AR4-, *AR2+0%, A ; 295
+ ADD *AR4-, *AR2+0%, B ; 296
+ ADD *AR4-, *AR3, A ; 297
+ ADD *AR4-, *AR3, B ; 298
+ ADD *AR4-, *AR3-, A ; 299
+ ADD *AR4-, *AR3-, B ; 300
+ ADD *AR4-, *AR3+, A ; 301
+ ADD *AR4-, *AR3+, B ; 302
+ ADD *AR4-, *AR3+0%, A ; 303
+ ADD *AR4-, *AR3+0%, B ; 304
+ ADD *AR4-, *AR4, A ; 305
+ ADD *AR4-, *AR4, B ; 306
+ ADD *AR4-, *AR4-, A ; 307
+ ADD *AR4-, *AR4-, B ; 308
+ ADD *AR4-, *AR4+, A ; 309
+ ADD *AR4-, *AR4+, B ; 310
+ ADD *AR4-, *AR4+0%, A ; 311
+ ADD *AR4-, *AR4+0%, B ; 312
+ ADD *AR4-, *AR5, A ; 313
+ ADD *AR4-, *AR5, B ; 314
+ ADD *AR4-, *AR5-, A ; 315
+ ADD *AR4-, *AR5-, B ; 316
+ ADD *AR4-, *AR5+, A ; 317
+ ADD *AR4-, *AR5+, B ; 318
+ ADD *AR4-, *AR5+0%, A ; 319
+ ADD *AR4-, *AR5+0%, B ; 320
+ ADD *AR4+, *AR2, A ; 321
+ ADD *AR4+, *AR2, B ; 322
+ ADD *AR4+, *AR2-, A ; 323
+ ADD *AR4+, *AR2-, B ; 324
+ ADD *AR4+, *AR2+, A ; 325
+ ADD *AR4+, *AR2+, B ; 326
+ ADD *AR4+, *AR2+0%, A ; 327
+ ADD *AR4+, *AR2+0%, B ; 328
+ ADD *AR4+, *AR3, A ; 329
+ ADD *AR4+, *AR3, B ; 330
+ ADD *AR4+, *AR3-, A ; 331
+ ADD *AR4+, *AR3-, B ; 332
+ ADD *AR4+, *AR3+, A ; 333
+ ADD *AR4+, *AR3+, B ; 334
+ ADD *AR4+, *AR3+0%, A ; 335
+ ADD *AR4+, *AR3+0%, B ; 336
+ ADD *AR4+, *AR4, A ; 337
+ ADD *AR4+, *AR4, B ; 338
+ ADD *AR4+, *AR4-, A ; 339
+ ADD *AR4+, *AR4-, B ; 340
+ ADD *AR4+, *AR4+, A ; 341
+ ADD *AR4+, *AR4+, B ; 342
+ ADD *AR4+, *AR4+0%, A ; 343
+ ADD *AR4+, *AR4+0%, B ; 344
+ ADD *AR4+, *AR5, A ; 345
+ ADD *AR4+, *AR5, B ; 346
+ ADD *AR4+, *AR5-, A ; 347
+ ADD *AR4+, *AR5-, B ; 348
+ ADD *AR4+, *AR5+, A ; 349
+ ADD *AR4+, *AR5+, B ; 350
+ ADD *AR4+, *AR5+0%, A ; 351
+ ADD *AR4+, *AR5+0%, B ; 352
+ ADD *AR4+0%, *AR2, A ; 353
+ ADD *AR4+0%, *AR2, B ; 354
+ ADD *AR4+0%, *AR2-, A ; 355
+ ADD *AR4+0%, *AR2-, B ; 356
+ ADD *AR4+0%, *AR2+, A ; 357
+ ADD *AR4+0%, *AR2+, B ; 358
+ ADD *AR4+0%, *AR2+0%, A ; 359
+ ADD *AR4+0%, *AR2+0%, B ; 360
+ ADD *AR4+0%, *AR3, A ; 361
+ ADD *AR4+0%, *AR3, B ; 362
+ ADD *AR4+0%, *AR3-, A ; 363
+ ADD *AR4+0%, *AR3-, B ; 364
+ ADD *AR4+0%, *AR3+, A ; 365
+ ADD *AR4+0%, *AR3+, B ; 366
+ ADD *AR4+0%, *AR3+0%, A ; 367
+ ADD *AR4+0%, *AR3+0%, B ; 368
+ ADD *AR4+0%, *AR4, A ; 369
+ ADD *AR4+0%, *AR4, B ; 370
+ ADD *AR4+0%, *AR4-, A ; 371
+ ADD *AR4+0%, *AR4-, B ; 372
+ ADD *AR4+0%, *AR4+, A ; 373
+ ADD *AR4+0%, *AR4+, B ; 374
+ ADD *AR4+0%, *AR4+0%, A ; 375
+ ADD *AR4+0%, *AR4+0%, B ; 376
+ ADD *AR4+0%, *AR5, A ; 377
+ ADD *AR4+0%, *AR5, B ; 378
+ ADD *AR4+0%, *AR5-, A ; 379
+ ADD *AR4+0%, *AR5-, B ; 380
+ ADD *AR4+0%, *AR5+, A ; 381
+ ADD *AR4+0%, *AR5+, B ; 382
+ ADD *AR4+0%, *AR5+0%, A ; 383
+ ADD *AR4+0%, *AR5+0%, B ; 384
+ ADD *AR5, *AR2, A ; 385
+ ADD *AR5, *AR2, B ; 386
+ ADD *AR5, *AR2-, A ; 387
+ ADD *AR5, *AR2-, B ; 388
+ ADD *AR5, *AR2+, A ; 389
+ ADD *AR5, *AR2+, B ; 390
+ ADD *AR5, *AR2+0%, A ; 391
+ ADD *AR5, *AR2+0%, B ; 392
+ ADD *AR5, *AR3, A ; 393
+ ADD *AR5, *AR3, B ; 394
+ ADD *AR5, *AR3-, A ; 395
+ ADD *AR5, *AR3-, B ; 396
+ ADD *AR5, *AR3+, A ; 397
+ ADD *AR5, *AR3+, B ; 398
+ ADD *AR5, *AR3+0%, A ; 399
+ ADD *AR5, *AR3+0%, B ; 400
+ ADD *AR5, *AR4, A ; 401
+ ADD *AR5, *AR4, B ; 402
+ ADD *AR5, *AR4-, A ; 403
+ ADD *AR5, *AR4-, B ; 404
+ ADD *AR5, *AR4+, A ; 405
+ ADD *AR5, *AR4+, B ; 406
+ ADD *AR5, *AR4+0%, A ; 407
+ ADD *AR5, *AR4+0%, B ; 408
+ ADD *AR5, *AR5, A ; 409
+ ADD *AR5, *AR5, B ; 410
+ ADD *AR5, *AR5-, A ; 411
+ ADD *AR5, *AR5-, B ; 412
+ ADD *AR5, *AR5+, A ; 413
+ ADD *AR5, *AR5+, B ; 414
+ ADD *AR5, *AR5+0%, A ; 415
+ ADD *AR5, *AR5+0%, B ; 416
+ ADD *AR5-, *AR2, A ; 417
+ ADD *AR5-, *AR2, B ; 418
+ ADD *AR5-, *AR2-, A ; 419
+ ADD *AR5-, *AR2-, B ; 420
+ ADD *AR5-, *AR2+, A ; 421
+ ADD *AR5-, *AR2+, B ; 422
+ ADD *AR5-, *AR2+0%, A ; 423
+ ADD *AR5-, *AR2+0%, B ; 424
+ ADD *AR5-, *AR3, A ; 425
+ ADD *AR5-, *AR3, B ; 426
+ ADD *AR5-, *AR3-, A ; 427
+ ADD *AR5-, *AR3-, B ; 428
+ ADD *AR5-, *AR3+, A ; 429
+ ADD *AR5-, *AR3+, B ; 430
+ ADD *AR5-, *AR3+0%, A ; 431
+ ADD *AR5-, *AR3+0%, B ; 432
+ ADD *AR5-, *AR4, A ; 433
+ ADD *AR5-, *AR4, B ; 434
+ ADD *AR5-, *AR4-, A ; 435
+ ADD *AR5-, *AR4-, B ; 436
+ ADD *AR5-, *AR4+, A ; 437
+ ADD *AR5-, *AR4+, B ; 438
+ ADD *AR5-, *AR4+0%, A ; 439
+ ADD *AR5-, *AR4+0%, B ; 440
+ ADD *AR5-, *AR5, A ; 441
+ ADD *AR5-, *AR5, B ; 442
+ ADD *AR5-, *AR5-, A ; 443
+ ADD *AR5-, *AR5-, B ; 444
+ ADD *AR5-, *AR5+, A ; 445
+ ADD *AR5-, *AR5+, B ; 446
+ ADD *AR5-, *AR5+0%, A ; 447
+ ADD *AR5-, *AR5+0%, B ; 448
+ ADD *AR5+, *AR2, A ; 449
+ ADD *AR5+, *AR2, B ; 450
+ ADD *AR5+, *AR2-, A ; 451
+ ADD *AR5+, *AR2-, B ; 452
+ ADD *AR5+, *AR2+, A ; 453
+ ADD *AR5+, *AR2+, B ; 454
+ ADD *AR5+, *AR2+0%, A ; 455
+ ADD *AR5+, *AR2+0%, B ; 456
+ ADD *AR5+, *AR3, A ; 457
+ ADD *AR5+, *AR3, B ; 458
+ ADD *AR5+, *AR3-, A ; 459
+ ADD *AR5+, *AR3-, B ; 460
+ ADD *AR5+, *AR3+, A ; 461
+ ADD *AR5+, *AR3+, B ; 462
+ ADD *AR5+, *AR3+0%, A ; 463
+ ADD *AR5+, *AR3+0%, B ; 464
+ ADD *AR5+, *AR4, A ; 465
+ ADD *AR5+, *AR4, B ; 466
+ ADD *AR5+, *AR4-, A ; 467
+ ADD *AR5+, *AR4-, B ; 468
+ ADD *AR5+, *AR4+, A ; 469
+ ADD *AR5+, *AR4+, B ; 470
+ ADD *AR5+, *AR4+0%, A ; 471
+ ADD *AR5+, *AR4+0%, B ; 472
+ ADD *AR5+, *AR5, A ; 473
+ ADD *AR5+, *AR5, B ; 474
+ ADD *AR5+, *AR5-, A ; 475
+ ADD *AR5+, *AR5-, B ; 476
+ ADD *AR5+, *AR5+, A ; 477
+ ADD *AR5+, *AR5+, B ; 478
+ ADD *AR5+, *AR5+0%, A ; 479
+ ADD *AR5+, *AR5+0%, B ; 480
+ ADD *AR5+0%, *AR2, A ; 481
+ ADD *AR5+0%, *AR2, B ; 482
+ ADD *AR5+0%, *AR2-, A ; 483
+ ADD *AR5+0%, *AR2-, B ; 484
+ ADD *AR5+0%, *AR2+, A ; 485
+ ADD *AR5+0%, *AR2+, B ; 486
+ ADD *AR5+0%, *AR2+0%, A ; 487
+ ADD *AR5+0%, *AR2+0%, B ; 488
+ ADD *AR5+0%, *AR3, A ; 489
+ ADD *AR5+0%, *AR3, B ; 490
+ ADD *AR5+0%, *AR3-, A ; 491
+ ADD *AR5+0%, *AR3-, B ; 492
+ ADD *AR5+0%, *AR3+, A ; 493
+ ADD *AR5+0%, *AR3+, B ; 494
+ ADD *AR5+0%, *AR3+0%, A ; 495
+ ADD *AR5+0%, *AR3+0%, B ; 496
+ ADD *AR5+0%, *AR4, A ; 497
+ ADD *AR5+0%, *AR4, B ; 498
+ ADD *AR5+0%, *AR4-, A ; 499
+ ADD *AR5+0%, *AR4-, B ; 500
+ ADD *AR5+0%, *AR4+, A ; 501
+ ADD *AR5+0%, *AR4+, B ; 502
+ ADD *AR5+0%, *AR4+0%, A ; 503
+ ADD *AR5+0%, *AR4+0%, B ; 504
+ ADD *AR5+0%, *AR5, A ; 505
+ ADD *AR5+0%, *AR5, B ; 506
+ ADD *AR5+0%, *AR5-, A ; 507
+ ADD *AR5+0%, *AR5-, B ; 508
+ ADD *AR5+0%, *AR5+, A ; 509
+ ADD *AR5+0%, *AR5+, B ; 510
+ ADD *AR5+0%, *AR5+0%, A ; 511
+ ADD *AR5+0%, *AR5+0%, B ; 512
+ ; AND16lk : AND lk, 16, AB, AB2 : 6
+ AND #01B85h, 16, A, A ; 1
+ AND #01B86h, 16, A, B ; 2
+ AND #01B87h, 16, A ; 3
+ AND #01B88h, 16, B, A ; 4
+ AND #01B89h, 16, B, B ; 5
+ AND #01B8Ah, 16, B ; 6
+ ; ANDM : ANDM lk, Smem : 1
+ ANDM #01B8Bh, 55h ; 1
+ ; ANDM_I : ANDM lk, Smem_I : 120
+ ANDM #01B8Ch, *AR0 ; 1
+ ANDM #01B8Dh, *AR0- ; 2
+ ANDM #01B8Eh, *AR0+ ; 3
+ ANDM #01B8Fh, *AR0-0B ; 4
+ ANDM #01B90h, *AR0-0 ; 5
+ ANDM #01B91h, *AR0+0 ; 6
+ ANDM #01B92h, *AR0+0B ; 7
+ ANDM #01B93h, *AR0-% ; 8
+ ANDM #01B94h, *AR0-0% ; 9
+ ANDM #01B95h, *AR0+% ; 10
+ ANDM #01B96h, *AR0+0% ; 11
+ ANDM #01B98h, *AR0(01B97h) ; 12
+ ANDM #01B9Ah, *+AR0(01B99h) ; 13
+ ANDM #01B9Ch, *+AR0(01B9Bh)% ; 14
+ ANDM #01B9Eh, *(01B9Dh) ; 15
+ ANDM #01B9Fh, *AR1 ; 16
+ ANDM #01BA0h, *AR1- ; 17
+ ANDM #01BA1h, *AR1+ ; 18
+ ANDM #01BA2h, *AR1-0B ; 19
+ ANDM #01BA3h, *AR1-0 ; 20
+ ANDM #01BA4h, *AR1+0 ; 21
+ ANDM #01BA5h, *AR1+0B ; 22
+ ANDM #01BA6h, *AR1-% ; 23
+ ANDM #01BA7h, *AR1-0% ; 24
+ ANDM #01BA8h, *AR1+% ; 25
+ ANDM #01BA9h, *AR1+0% ; 26
+ ANDM #01BABh, *AR1(01BAAh) ; 27
+ ANDM #01BADh, *+AR1(01BACh) ; 28
+ ANDM #01BAFh, *+AR1(01BAEh)% ; 29
+ ANDM #01BB1h, *(01BB0h) ; 30
+ ANDM #01BB2h, *AR2 ; 31
+ ANDM #01BB3h, *AR2- ; 32
+ ANDM #01BB4h, *AR2+ ; 33
+ ANDM #01BB5h, *AR2-0B ; 34
+ ANDM #01BB6h, *AR2-0 ; 35
+ ANDM #01BB7h, *AR2+0 ; 36
+ ANDM #01BB8h, *AR2+0B ; 37
+ ANDM #01BB9h, *AR2-% ; 38
+ ANDM #01BBAh, *AR2-0% ; 39
+ ANDM #01BBBh, *AR2+% ; 40
+ ANDM #01BBCh, *AR2+0% ; 41
+ ANDM #01BBEh, *AR2(01BBDh) ; 42
+ ANDM #01BC0h, *+AR2(01BBFh) ; 43
+ ANDM #01BC2h, *+AR2(01BC1h)% ; 44
+ ANDM #01BC4h, *(01BC3h) ; 45
+ ANDM #01BC5h, *AR3 ; 46
+ ANDM #01BC6h, *AR3- ; 47
+ ANDM #01BC7h, *AR3+ ; 48
+ ANDM #01BC8h, *AR3-0B ; 49
+ ANDM #01BC9h, *AR3-0 ; 50
+ ANDM #01BCAh, *AR3+0 ; 51
+ ANDM #01BCBh, *AR3+0B ; 52
+ ANDM #01BCCh, *AR3-% ; 53
+ ANDM #01BCDh, *AR3-0% ; 54
+ ANDM #01BCEh, *AR3+% ; 55
+ ANDM #01BCFh, *AR3+0% ; 56
+ ANDM #01BD1h, *AR3(01BD0h) ; 57
+ ANDM #01BD3h, *+AR3(01BD2h) ; 58
+ ANDM #01BD5h, *+AR3(01BD4h)% ; 59
+ ANDM #01BD7h, *(01BD6h) ; 60
+ ANDM #01BD8h, *AR4 ; 61
+ ANDM #01BD9h, *AR4- ; 62
+ ANDM #01BDAh, *AR4+ ; 63
+ ANDM #01BDBh, *AR4-0B ; 64
+ ANDM #01BDCh, *AR4-0 ; 65
+ ANDM #01BDDh, *AR4+0 ; 66
+ ANDM #01BDEh, *AR4+0B ; 67
+ ANDM #01BDFh, *AR4-% ; 68
+ ANDM #01BE0h, *AR4-0% ; 69
+ ANDM #01BE1h, *AR4+% ; 70
+ ANDM #01BE2h, *AR4+0% ; 71
+ ANDM #01BE4h, *AR4(01BE3h) ; 72
+ ANDM #01BE6h, *+AR4(01BE5h) ; 73
+ ANDM #01BE8h, *+AR4(01BE7h)% ; 74
+ ANDM #01BEAh, *(01BE9h) ; 75
+ ANDM #01BEBh, *AR5 ; 76
+ ANDM #01BECh, *AR5- ; 77
+ ANDM #01BEDh, *AR5+ ; 78
+ ANDM #01BEEh, *AR5-0B ; 79
+ ANDM #01BEFh, *AR5-0 ; 80
+ ANDM #01BF0h, *AR5+0 ; 81
+ ANDM #01BF1h, *AR5+0B ; 82
+ ANDM #01BF2h, *AR5-% ; 83
+ ANDM #01BF3h, *AR5-0% ; 84
+ ANDM #01BF4h, *AR5+% ; 85
+ ANDM #01BF5h, *AR5+0% ; 86
+ ANDM #01BF7h, *AR5(01BF6h) ; 87
+ ANDM #01BF9h, *+AR5(01BF8h) ; 88
+ ANDM #01BFBh, *+AR5(01BFAh)% ; 89
+ ANDM #01BFDh, *(01BFCh) ; 90
+ ANDM #01BFEh, *AR6 ; 91
+ ANDM #01BFFh, *AR6- ; 92
+ ANDM #01C00h, *AR6+ ; 93
+ ANDM #01C01h, *AR6-0B ; 94
+ ANDM #01C02h, *AR6-0 ; 95
+ ANDM #01C03h, *AR6+0 ; 96
+ ANDM #01C04h, *AR6+0B ; 97
+ ANDM #01C05h, *AR6-% ; 98
+ ANDM #01C06h, *AR6-0% ; 99
+ ANDM #01C07h, *AR6+% ; 100
+ ANDM #01C08h, *AR6+0% ; 101
+ ANDM #01C0Ah, *AR6(01C09h) ; 102
+ ANDM #01C0Ch, *+AR6(01C0Bh) ; 103
+ ANDM #01C0Eh, *+AR6(01C0Dh)% ; 104
+ ANDM #01C10h, *(01C0Fh) ; 105
+ ANDM #01C11h, *AR7 ; 106
+ ANDM #01C12h, *AR7- ; 107
+ ANDM #01C13h, *AR7+ ; 108
+ ANDM #01C14h, *AR7-0B ; 109
+ ANDM #01C15h, *AR7-0 ; 110
+ ANDM #01C16h, *AR7+0 ; 111
+ ANDM #01C17h, *AR7+0B ; 112
+ ANDM #01C18h, *AR7-% ; 113
+ ANDM #01C19h, *AR7-0% ; 114
+ ANDM #01C1Ah, *AR7+% ; 115
+ ANDM #01C1Bh, *AR7+0% ; 116
+ ANDM #01C1Dh, *AR7(01C1Ch) ; 117
+ ANDM #01C1Fh, *+AR7(01C1Eh) ; 118
+ ANDM #01C21h, *+AR7(01C20h)% ; 119
+ ANDM #01C23h, *(01C22h) ; 120
+ ; ANDlkShift : AND lk, SHFT2, AB, AB2 : 102
+ AND #01C24h, A, A ; 1
+ AND #01C25h, A, B ; 2
+ AND #01C26h, A ; 3
+ AND #01C27h, B, A ; 4
+ AND #01C28h, B, B ; 5
+ AND #01C29h, B ; 6
+ AND #01C2Ah, 0, A, A ; 7
+ AND #01C2Bh, 0, A, B ; 8
+ AND #01C2Ch, 0, A ; 9
+ AND #01C2Dh, 0, B, A ; 10
+ AND #01C2Eh, 0, B, B ; 11
+ AND #01C2Fh, 0, B ; 12
+ AND #01C30h, 1, A, A ; 13
+ AND #01C31h, 1, A, B ; 14
+ AND #01C32h, 1, A ; 15
+ AND #01C33h, 1, B, A ; 16
+ AND #01C34h, 1, B, B ; 17
+ AND #01C35h, 1, B ; 18
+ AND #01C36h, 2, A, A ; 19
+ AND #01C37h, 2, A, B ; 20
+ AND #01C38h, 2, A ; 21
+ AND #01C39h, 2, B, A ; 22
+ AND #01C3Ah, 2, B, B ; 23
+ AND #01C3Bh, 2, B ; 24
+ AND #01C3Ch, 3, A, A ; 25
+ AND #01C3Dh, 3, A, B ; 26
+ AND #01C3Eh, 3, A ; 27
+ AND #01C3Fh, 3, B, A ; 28
+ AND #01C40h, 3, B, B ; 29
+ AND #01C41h, 3, B ; 30
+ AND #01C42h, 4, A, A ; 31
+ AND #01C43h, 4, A, B ; 32
+ AND #01C44h, 4, A ; 33
+ AND #01C45h, 4, B, A ; 34
+ AND #01C46h, 4, B, B ; 35
+ AND #01C47h, 4, B ; 36
+ AND #01C48h, 5, A, A ; 37
+ AND #01C49h, 5, A, B ; 38
+ AND #01C4Ah, 5, A ; 39
+ AND #01C4Bh, 5, B, A ; 40
+ AND #01C4Ch, 5, B, B ; 41
+ AND #01C4Dh, 5, B ; 42
+ AND #01C4Eh, 6, A, A ; 43
+ AND #01C4Fh, 6, A, B ; 44
+ AND #01C50h, 6, A ; 45
+ AND #01C51h, 6, B, A ; 46
+ AND #01C52h, 6, B, B ; 47
+ AND #01C53h, 6, B ; 48
+ AND #01C54h, 7, A, A ; 49
+ AND #01C55h, 7, A, B ; 50
+ AND #01C56h, 7, A ; 51
+ AND #01C57h, 7, B, A ; 52
+ AND #01C58h, 7, B, B ; 53
+ AND #01C59h, 7, B ; 54
+ AND #01C5Ah, 8, A, A ; 55
+ AND #01C5Bh, 8, A, B ; 56
+ AND #01C5Ch, 8, A ; 57
+ AND #01C5Dh, 8, B, A ; 58
+ AND #01C5Eh, 8, B, B ; 59
+ AND #01C5Fh, 8, B ; 60
+ AND #01C60h, 9, A, A ; 61
+ AND #01C61h, 9, A, B ; 62
+ AND #01C62h, 9, A ; 63
+ AND #01C63h, 9, B, A ; 64
+ AND #01C64h, 9, B, B ; 65
+ AND #01C65h, 9, B ; 66
+ AND #01C66h, 10, A, A ; 67
+ AND #01C67h, 10, A, B ; 68
+ AND #01C68h, 10, A ; 69
+ AND #01C69h, 10, B, A ; 70
+ AND #01C6Ah, 10, B, B ; 71
+ AND #01C6Bh, 10, B ; 72
+ AND #01C6Ch, 11, A, A ; 73
+ AND #01C6Dh, 11, A, B ; 74
+ AND #01C6Eh, 11, A ; 75
+ AND #01C6Fh, 11, B, A ; 76
+ AND #01C70h, 11, B, B ; 77
+ AND #01C71h, 11, B ; 78
+ AND #01C72h, 12, A, A ; 79
+ AND #01C73h, 12, A, B ; 80
+ AND #01C74h, 12, A ; 81
+ AND #01C75h, 12, B, A ; 82
+ AND #01C76h, 12, B, B ; 83
+ AND #01C77h, 12, B ; 84
+ AND #01C78h, 13, A, A ; 85
+ AND #01C79h, 13, A, B ; 86
+ AND #01C7Ah, 13, A ; 87
+ AND #01C7Bh, 13, B, A ; 88
+ AND #01C7Ch, 13, B, B ; 89
+ AND #01C7Dh, 13, B ; 90
+ AND #01C7Eh, 14, A, A ; 91
+ AND #01C7Fh, 14, A, B ; 92
+ AND #01C80h, 14, A ; 93
+ AND #01C81h, 14, B, A ; 94
+ AND #01C82h, 14, B, B ; 95
+ AND #01C83h, 14, B ; 96
+ AND #01C84h, 15, A, A ; 97
+ AND #01C85h, 15, A, B ; 98
+ AND #01C86h, 15, A ; 99
+ AND #01C87h, 15, B, A ; 100
+ AND #01C88h, 15, B, B ; 101
+ AND #01C89h, 15, B ; 102
+ ; ANDsdShift : AND AB, SHIFT, AB2 : 198
+ AND A, A ; 1
+ AND A, B ; 2
+ AND A ; 3
+ AND A, -16, A ; 4
+ AND A, -16, B ; 5
+ AND A, -16 ; 6
+ AND A, -15, A ; 7
+ AND A, -15, B ; 8
+ AND A, -15 ; 9
+ AND A, -14, A ; 10
+ AND A, -14, B ; 11
+ AND A, -14 ; 12
+ AND A, -13, A ; 13
+ AND A, -13, B ; 14
+ AND A, -13 ; 15
+ AND A, -12, A ; 16
+ AND A, -12, B ; 17
+ AND A, -12 ; 18
+ AND A, -11, A ; 19
+ AND A, -11, B ; 20
+ AND A, -11 ; 21
+ AND A, -10, A ; 22
+ AND A, -10, B ; 23
+ AND A, -10 ; 24
+ AND A, -9, A ; 25
+ AND A, -9, B ; 26
+ AND A, -9 ; 27
+ AND A, -8, A ; 28
+ AND A, -8, B ; 29
+ AND A, -8 ; 30
+ AND A, -7, A ; 31
+ AND A, -7, B ; 32
+ AND A, -7 ; 33
+ AND A, -6, A ; 34
+ AND A, -6, B ; 35
+ AND A, -6 ; 36
+ AND A, -5, A ; 37
+ AND A, -5, B ; 38
+ AND A, -5 ; 39
+ AND A, -4, A ; 40
+ AND A, -4, B ; 41
+ AND A, -4 ; 42
+ AND A, -3, A ; 43
+ AND A, -3, B ; 44
+ AND A, -3 ; 45
+ AND A, -2, A ; 46
+ AND A, -2, B ; 47
+ AND A, -2 ; 48
+ AND A, -1, A ; 49
+ AND A, -1, B ; 50
+ AND A, -1 ; 51
+ AND A, 0, A ; 52
+ AND A, 0, B ; 53
+ AND A, 0 ; 54
+ AND A, 1, A ; 55
+ AND A, 1, B ; 56
+ AND A, 1 ; 57
+ AND A, 2, A ; 58
+ AND A, 2, B ; 59
+ AND A, 2 ; 60
+ AND A, 3, A ; 61
+ AND A, 3, B ; 62
+ AND A, 3 ; 63
+ AND A, 4, A ; 64
+ AND A, 4, B ; 65
+ AND A, 4 ; 66
+ AND A, 5, A ; 67
+ AND A, 5, B ; 68
+ AND A, 5 ; 69
+ AND A, 6, A ; 70
+ AND A, 6, B ; 71
+ AND A, 6 ; 72
+ AND A, 7, A ; 73
+ AND A, 7, B ; 74
+ AND A, 7 ; 75
+ AND A, 8, A ; 76
+ AND A, 8, B ; 77
+ AND A, 8 ; 78
+ AND A, 9, A ; 79
+ AND A, 9, B ; 80
+ AND A, 9 ; 81
+ AND A, 10, A ; 82
+ AND A, 10, B ; 83
+ AND A, 10 ; 84
+ AND A, 11, A ; 85
+ AND A, 11, B ; 86
+ AND A, 11 ; 87
+ AND A, 12, A ; 88
+ AND A, 12, B ; 89
+ AND A, 12 ; 90
+ AND A, 13, A ; 91
+ AND A, 13, B ; 92
+ AND A, 13 ; 93
+ AND A, 14, A ; 94
+ AND A, 14, B ; 95
+ AND A, 14 ; 96
+ AND A, 15, A ; 97
+ AND A, 15, B ; 98
+ AND A, 15 ; 99
+ AND B, A ; 100
+ AND B, B ; 101
+ AND B ; 102
+ AND B, -16, A ; 103
+ AND B, -16, B ; 104
+ AND B, -16 ; 105
+ AND B, -15, A ; 106
+ AND B, -15, B ; 107
+ AND B, -15 ; 108
+ AND B, -14, A ; 109
+ AND B, -14, B ; 110
+ AND B, -14 ; 111
+ AND B, -13, A ; 112
+ AND B, -13, B ; 113
+ AND B, -13 ; 114
+ AND B, -12, A ; 115
+ AND B, -12, B ; 116
+ AND B, -12 ; 117
+ AND B, -11, A ; 118
+ AND B, -11, B ; 119
+ AND B, -11 ; 120
+ AND B, -10, A ; 121
+ AND B, -10, B ; 122
+ AND B, -10 ; 123
+ AND B, -9, A ; 124
+ AND B, -9, B ; 125
+ AND B, -9 ; 126
+ AND B, -8, A ; 127
+ AND B, -8, B ; 128
+ AND B, -8 ; 129
+ AND B, -7, A ; 130
+ AND B, -7, B ; 131
+ AND B, -7 ; 132
+ AND B, -6, A ; 133
+ AND B, -6, B ; 134
+ AND B, -6 ; 135
+ AND B, -5, A ; 136
+ AND B, -5, B ; 137
+ AND B, -5 ; 138
+ AND B, -4, A ; 139
+ AND B, -4, B ; 140
+ AND B, -4 ; 141
+ AND B, -3, A ; 142
+ AND B, -3, B ; 143
+ AND B, -3 ; 144
+ AND B, -2, A ; 145
+ AND B, -2, B ; 146
+ AND B, -2 ; 147
+ AND B, -1, A ; 148
+ AND B, -1, B ; 149
+ AND B, -1 ; 150
+ AND B, 0, A ; 151
+ AND B, 0, B ; 152
+ AND B, 0 ; 153
+ AND B, 1, A ; 154
+ AND B, 1, B ; 155
+ AND B, 1 ; 156
+ AND B, 2, A ; 157
+ AND B, 2, B ; 158
+ AND B, 2 ; 159
+ AND B, 3, A ; 160
+ AND B, 3, B ; 161
+ AND B, 3 ; 162
+ AND B, 4, A ; 163
+ AND B, 4, B ; 164
+ AND B, 4 ; 165
+ AND B, 5, A ; 166
+ AND B, 5, B ; 167
+ AND B, 5 ; 168
+ AND B, 6, A ; 169
+ AND B, 6, B ; 170
+ AND B, 6 ; 171
+ AND B, 7, A ; 172
+ AND B, 7, B ; 173
+ AND B, 7 ; 174
+ AND B, 8, A ; 175
+ AND B, 8, B ; 176
+ AND B, 8 ; 177
+ AND B, 9, A ; 178
+ AND B, 9, B ; 179
+ AND B, 9 ; 180
+ AND B, 10, A ; 181
+ AND B, 10, B ; 182
+ AND B, 10 ; 183
+ AND B, 11, A ; 184
+ AND B, 11, B ; 185
+ AND B, 11 ; 186
+ AND B, 12, A ; 187
+ AND B, 12, B ; 188
+ AND B, 12 ; 189
+ AND B, 13, A ; 190
+ AND B, 13, B ; 191
+ AND B, 13 ; 192
+ AND B, 14, A ; 193
+ AND B, 14, B ; 194
+ AND B, 14 ; 195
+ AND B, 15, A ; 196
+ AND B, 15, B ; 197
+ AND B, 15 ; 198
+ ; ANDsmem : AND Smem, AB : 2
+ AND 56h, A ; 1
+ AND 57h, B ; 2
+ ; ANDsmem_I : AND Smem_I, AB : 240
+ AND *AR0, A ; 1
+ AND *AR0, B ; 2
+ AND *AR0-, A ; 3
+ AND *AR0-, B ; 4
+ AND *AR0+, A ; 5
+ AND *AR0+, B ; 6
+ AND *AR0-0B, A ; 7
+ AND *AR0-0B, B ; 8
+ AND *AR0-0, A ; 9
+ AND *AR0-0, B ; 10
+ AND *AR0+0, A ; 11
+ AND *AR0+0, B ; 12
+ AND *AR0+0B, A ; 13
+ AND *AR0+0B, B ; 14
+ AND *AR0-%, A ; 15
+ AND *AR0-%, B ; 16
+ AND *AR0-0%, A ; 17
+ AND *AR0-0%, B ; 18
+ AND *AR0+%, A ; 19
+ AND *AR0+%, B ; 20
+ AND *AR0+0%, A ; 21
+ AND *AR0+0%, B ; 22
+ AND *AR0(01C8Ah), A ; 23
+ AND *AR0(01C8Bh), B ; 24
+ AND *+AR0(01C8Ch), A ; 25
+ AND *+AR0(01C8Dh), B ; 26
+ AND *+AR0(01C8Eh)%, A ; 27
+ AND *+AR0(01C8Fh)%, B ; 28
+ AND *(01C90h), A ; 29
+ AND *(01C91h), B ; 30
+ AND *AR1, A ; 31
+ AND *AR1, B ; 32
+ AND *AR1-, A ; 33
+ AND *AR1-, B ; 34
+ AND *AR1+, A ; 35
+ AND *AR1+, B ; 36
+ AND *AR1-0B, A ; 37
+ AND *AR1-0B, B ; 38
+ AND *AR1-0, A ; 39
+ AND *AR1-0, B ; 40
+ AND *AR1+0, A ; 41
+ AND *AR1+0, B ; 42
+ AND *AR1+0B, A ; 43
+ AND *AR1+0B, B ; 44
+ AND *AR1-%, A ; 45
+ AND *AR1-%, B ; 46
+ AND *AR1-0%, A ; 47
+ AND *AR1-0%, B ; 48
+ AND *AR1+%, A ; 49
+ AND *AR1+%, B ; 50
+ AND *AR1+0%, A ; 51
+ AND *AR1+0%, B ; 52
+ AND *AR1(01C92h), A ; 53
+ AND *AR1(01C93h), B ; 54
+ AND *+AR1(01C94h), A ; 55
+ AND *+AR1(01C95h), B ; 56
+ AND *+AR1(01C96h)%, A ; 57
+ AND *+AR1(01C97h)%, B ; 58
+ AND *(01C98h), A ; 59
+ AND *(01C99h), B ; 60
+ AND *AR2, A ; 61
+ AND *AR2, B ; 62
+ AND *AR2-, A ; 63
+ AND *AR2-, B ; 64
+ AND *AR2+, A ; 65
+ AND *AR2+, B ; 66
+ AND *AR2-0B, A ; 67
+ AND *AR2-0B, B ; 68
+ AND *AR2-0, A ; 69
+ AND *AR2-0, B ; 70
+ AND *AR2+0, A ; 71
+ AND *AR2+0, B ; 72
+ AND *AR2+0B, A ; 73
+ AND *AR2+0B, B ; 74
+ AND *AR2-%, A ; 75
+ AND *AR2-%, B ; 76
+ AND *AR2-0%, A ; 77
+ AND *AR2-0%, B ; 78
+ AND *AR2+%, A ; 79
+ AND *AR2+%, B ; 80
+ AND *AR2+0%, A ; 81
+ AND *AR2+0%, B ; 82
+ AND *AR2(01C9Ah), A ; 83
+ AND *AR2(01C9Bh), B ; 84
+ AND *+AR2(01C9Ch), A ; 85
+ AND *+AR2(01C9Dh), B ; 86
+ AND *+AR2(01C9Eh)%, A ; 87
+ AND *+AR2(01C9Fh)%, B ; 88
+ AND *(01CA0h), A ; 89
+ AND *(01CA1h), B ; 90
+ AND *AR3, A ; 91
+ AND *AR3, B ; 92
+ AND *AR3-, A ; 93
+ AND *AR3-, B ; 94
+ AND *AR3+, A ; 95
+ AND *AR3+, B ; 96
+ AND *AR3-0B, A ; 97
+ AND *AR3-0B, B ; 98
+ AND *AR3-0, A ; 99
+ AND *AR3-0, B ; 100
+ AND *AR3+0, A ; 101
+ AND *AR3+0, B ; 102
+ AND *AR3+0B, A ; 103
+ AND *AR3+0B, B ; 104
+ AND *AR3-%, A ; 105
+ AND *AR3-%, B ; 106
+ AND *AR3-0%, A ; 107
+ AND *AR3-0%, B ; 108
+ AND *AR3+%, A ; 109
+ AND *AR3+%, B ; 110
+ AND *AR3+0%, A ; 111
+ AND *AR3+0%, B ; 112
+ AND *AR3(01CA2h), A ; 113
+ AND *AR3(01CA3h), B ; 114
+ AND *+AR3(01CA4h), A ; 115
+ AND *+AR3(01CA5h), B ; 116
+ AND *+AR3(01CA6h)%, A ; 117
+ AND *+AR3(01CA7h)%, B ; 118
+ AND *(01CA8h), A ; 119
+ AND *(01CA9h), B ; 120
+ AND *AR4, A ; 121
+ AND *AR4, B ; 122
+ AND *AR4-, A ; 123
+ AND *AR4-, B ; 124
+ AND *AR4+, A ; 125
+ AND *AR4+, B ; 126
+ AND *AR4-0B, A ; 127
+ AND *AR4-0B, B ; 128
+ AND *AR4-0, A ; 129
+ AND *AR4-0, B ; 130
+ AND *AR4+0, A ; 131
+ AND *AR4+0, B ; 132
+ AND *AR4+0B, A ; 133
+ AND *AR4+0B, B ; 134
+ AND *AR4-%, A ; 135
+ AND *AR4-%, B ; 136
+ AND *AR4-0%, A ; 137
+ AND *AR4-0%, B ; 138
+ AND *AR4+%, A ; 139
+ AND *AR4+%, B ; 140
+ AND *AR4+0%, A ; 141
+ AND *AR4+0%, B ; 142
+ AND *AR4(01CAAh), A ; 143
+ AND *AR4(01CABh), B ; 144
+ AND *+AR4(01CACh), A ; 145
+ AND *+AR4(01CADh), B ; 146
+ AND *+AR4(01CAEh)%, A ; 147
+ AND *+AR4(01CAFh)%, B ; 148
+ AND *(01CB0h), A ; 149
+ AND *(01CB1h), B ; 150
+ AND *AR5, A ; 151
+ AND *AR5, B ; 152
+ AND *AR5-, A ; 153
+ AND *AR5-, B ; 154
+ AND *AR5+, A ; 155
+ AND *AR5+, B ; 156
+ AND *AR5-0B, A ; 157
+ AND *AR5-0B, B ; 158
+ AND *AR5-0, A ; 159
+ AND *AR5-0, B ; 160
+ AND *AR5+0, A ; 161
+ AND *AR5+0, B ; 162
+ AND *AR5+0B, A ; 163
+ AND *AR5+0B, B ; 164
+ AND *AR5-%, A ; 165
+ AND *AR5-%, B ; 166
+ AND *AR5-0%, A ; 167
+ AND *AR5-0%, B ; 168
+ AND *AR5+%, A ; 169
+ AND *AR5+%, B ; 170
+ AND *AR5+0%, A ; 171
+ AND *AR5+0%, B ; 172
+ AND *AR5(01CB2h), A ; 173
+ AND *AR5(01CB3h), B ; 174
+ AND *+AR5(01CB4h), A ; 175
+ AND *+AR5(01CB5h), B ; 176
+ AND *+AR5(01CB6h)%, A ; 177
+ AND *+AR5(01CB7h)%, B ; 178
+ AND *(01CB8h), A ; 179
+ AND *(01CB9h), B ; 180
+ AND *AR6, A ; 181
+ AND *AR6, B ; 182
+ AND *AR6-, A ; 183
+ AND *AR6-, B ; 184
+ AND *AR6+, A ; 185
+ AND *AR6+, B ; 186
+ AND *AR6-0B, A ; 187
+ AND *AR6-0B, B ; 188
+ AND *AR6-0, A ; 189
+ AND *AR6-0, B ; 190
+ AND *AR6+0, A ; 191
+ AND *AR6+0, B ; 192
+ AND *AR6+0B, A ; 193
+ AND *AR6+0B, B ; 194
+ AND *AR6-%, A ; 195
+ AND *AR6-%, B ; 196
+ AND *AR6-0%, A ; 197
+ AND *AR6-0%, B ; 198
+ AND *AR6+%, A ; 199
+ AND *AR6+%, B ; 200
+ AND *AR6+0%, A ; 201
+ AND *AR6+0%, B ; 202
+ AND *AR6(01CBAh), A ; 203
+ AND *AR6(01CBBh), B ; 204
+ AND *+AR6(01CBCh), A ; 205
+ AND *+AR6(01CBDh), B ; 206
+ AND *+AR6(01CBEh)%, A ; 207
+ AND *+AR6(01CBFh)%, B ; 208
+ AND *(01CC0h), A ; 209
+ AND *(01CC1h), B ; 210
+ AND *AR7, A ; 211
+ AND *AR7, B ; 212
+ AND *AR7-, A ; 213
+ AND *AR7-, B ; 214
+ AND *AR7+, A ; 215
+ AND *AR7+, B ; 216
+ AND *AR7-0B, A ; 217
+ AND *AR7-0B, B ; 218
+ AND *AR7-0, A ; 219
+ AND *AR7-0, B ; 220
+ AND *AR7+0, A ; 221
+ AND *AR7+0, B ; 222
+ AND *AR7+0B, A ; 223
+ AND *AR7+0B, B ; 224
+ AND *AR7-%, A ; 225
+ AND *AR7-%, B ; 226
+ AND *AR7-0%, A ; 227
+ AND *AR7-0%, B ; 228
+ AND *AR7+%, A ; 229
+ AND *AR7+%, B ; 230
+ AND *AR7+0%, A ; 231
+ AND *AR7+0%, B ; 232
+ AND *AR7(01CC2h), A ; 233
+ AND *AR7(01CC3h), B ; 234
+ AND *+AR7(01CC4h), A ; 235
+ AND *+AR7(01CC5h), B ; 236
+ AND *+AR7(01CC6h)%, A ; 237
+ AND *+AR7(01CC7h)%, B ; 238
+ AND *(01CC8h), A ; 239
+ AND *(01CC9h), B ; 240
+ ; BACC : BACC AB : 2
+ BACC A ; 1
+ BACC B ; 2
+ ; BACCD : BACCD AB : 2
+ BACCD A ; 1
+ NOP
+ NOP
+ BACCD B ; 2
+ NOP
+ NOP
+ ; BANZ : BANZ Pmad, Sind : 120
+ BANZ 00060h, *AR0 ; 1
+ BANZ 00061h, *AR0- ; 2
+ BANZ 00062h, *AR0+ ; 3
+ BANZ 00063h, *AR0-0B ; 4
+ BANZ 00064h, *AR0-0 ; 5
+ BANZ 00065h, *AR0+0 ; 6
+ BANZ 00066h, *AR0+0B ; 7
+ BANZ 00067h, *AR0-% ; 8
+ BANZ 00068h, *AR0-0% ; 9
+ BANZ 00069h, *AR0+% ; 10
+ BANZ 0006Ah, *AR0+0% ; 11
+ BANZ 0006Bh, *AR0(01CCAh) ; 12
+ BANZ 0006Ch, *+AR0(01CCBh) ; 13
+ BANZ 0006Dh, *+AR0(01CCCh)% ; 14
+ BANZ 0006Eh, *(01CCDh) ; 15
+ BANZ 0006Fh, *AR1 ; 16
+ BANZ 00070h, *AR1- ; 17
+ BANZ 00071h, *AR1+ ; 18
+ BANZ 00072h, *AR1-0B ; 19
+ BANZ 00073h, *AR1-0 ; 20
+ BANZ 00074h, *AR1+0 ; 21
+ BANZ 00075h, *AR1+0B ; 22
+ BANZ 00076h, *AR1-% ; 23
+ BANZ 00077h, *AR1-0% ; 24
+ BANZ 00078h, *AR1+% ; 25
+ BANZ 00079h, *AR1+0% ; 26
+ BANZ 0007Ah, *AR1(01CCEh) ; 27
+ BANZ 0007Bh, *+AR1(01CCFh) ; 28
+ BANZ 0007Ch, *+AR1(01CD0h)% ; 29
+ BANZ 0007Dh, *(01CD1h) ; 30
+ BANZ 0007Eh, *AR2 ; 31
+ BANZ 0007Fh, *AR2- ; 32
+ BANZ 00080h, *AR2+ ; 33
+ BANZ 00081h, *AR2-0B ; 34
+ BANZ 00082h, *AR2-0 ; 35
+ BANZ 00083h, *AR2+0 ; 36
+ BANZ 00084h, *AR2+0B ; 37
+ BANZ 00085h, *AR2-% ; 38
+ BANZ 00086h, *AR2-0% ; 39
+ BANZ 00087h, *AR2+% ; 40
+ BANZ 00088h, *AR2+0% ; 41
+ BANZ 00089h, *AR2(01CD2h) ; 42
+ BANZ 0008Ah, *+AR2(01CD3h) ; 43
+ BANZ 0008Bh, *+AR2(01CD4h)% ; 44
+ BANZ 0008Ch, *(01CD5h) ; 45
+ BANZ 0008Dh, *AR3 ; 46
+ BANZ 0008Eh, *AR3- ; 47
+ BANZ 0008Fh, *AR3+ ; 48
+ BANZ 00090h, *AR3-0B ; 49
+ BANZ 00091h, *AR3-0 ; 50
+ BANZ 00092h, *AR3+0 ; 51
+ BANZ 00093h, *AR3+0B ; 52
+ BANZ 00094h, *AR3-% ; 53
+ BANZ 00095h, *AR3-0% ; 54
+ BANZ 00096h, *AR3+% ; 55
+ BANZ 00097h, *AR3+0% ; 56
+ BANZ 00098h, *AR3(01CD6h) ; 57
+ BANZ 00099h, *+AR3(01CD7h) ; 58
+ BANZ 0009Ah, *+AR3(01CD8h)% ; 59
+ BANZ 0009Bh, *(01CD9h) ; 60
+ BANZ 0009Ch, *AR4 ; 61
+ BANZ 0009Dh, *AR4- ; 62
+ BANZ 0009Eh, *AR4+ ; 63
+ BANZ 0009Fh, *AR4-0B ; 64
+ BANZ 000A0h, *AR4-0 ; 65
+ BANZ 000A1h, *AR4+0 ; 66
+ BANZ 000A2h, *AR4+0B ; 67
+ BANZ 000A3h, *AR4-% ; 68
+ BANZ 000A4h, *AR4-0% ; 69
+ BANZ 000A5h, *AR4+% ; 70
+ BANZ 000A6h, *AR4+0% ; 71
+ BANZ 000A7h, *AR4(01CDAh) ; 72
+ BANZ 000A8h, *+AR4(01CDBh) ; 73
+ BANZ 000A9h, *+AR4(01CDCh)% ; 74
+ BANZ 000AAh, *(01CDDh) ; 75
+ BANZ 000ABh, *AR5 ; 76
+ BANZ 000ACh, *AR5- ; 77
+ BANZ 000ADh, *AR5+ ; 78
+ BANZ 000AEh, *AR5-0B ; 79
+ BANZ 000AFh, *AR5-0 ; 80
+ BANZ 000B0h, *AR5+0 ; 81
+ BANZ 000B1h, *AR5+0B ; 82
+ BANZ 000B2h, *AR5-% ; 83
+ BANZ 000B3h, *AR5-0% ; 84
+ BANZ 000B4h, *AR5+% ; 85
+ BANZ 000B5h, *AR5+0% ; 86
+ BANZ 000B6h, *AR5(01CDEh) ; 87
+ BANZ 000B7h, *+AR5(01CDFh) ; 88
+ BANZ 000B8h, *+AR5(01CE0h)% ; 89
+ BANZ 000B9h, *(01CE1h) ; 90
+ BANZ 000BAh, *AR6 ; 91
+ BANZ 000BBh, *AR6- ; 92
+ BANZ 000BCh, *AR6+ ; 93
+ BANZ 000BDh, *AR6-0B ; 94
+ BANZ 000BEh, *AR6-0 ; 95
+ BANZ 000BFh, *AR6+0 ; 96
+ BANZ 000C0h, *AR6+0B ; 97
+ BANZ 000C1h, *AR6-% ; 98
+ BANZ 000C2h, *AR6-0% ; 99
+ BANZ 000C3h, *AR6+% ; 100
+ BANZ 000C4h, *AR6+0% ; 101
+ BANZ 000C5h, *AR6(01CE2h) ; 102
+ BANZ 000C6h, *+AR6(01CE3h) ; 103
+ BANZ 000C7h, *+AR6(01CE4h)% ; 104
+ BANZ 000C8h, *(01CE5h) ; 105
+ BANZ 000C9h, *AR7 ; 106
+ BANZ 000CAh, *AR7- ; 107
+ BANZ 000CBh, *AR7+ ; 108
+ BANZ 000CCh, *AR7-0B ; 109
+ BANZ 000CDh, *AR7-0 ; 110
+ BANZ 000CEh, *AR7+0 ; 111
+ BANZ 000CFh, *AR7+0B ; 112
+ BANZ 000D0h, *AR7-% ; 113
+ BANZ 000D1h, *AR7-0% ; 114
+ BANZ 000D2h, *AR7+% ; 115
+ BANZ 000D3h, *AR7+0% ; 116
+ BANZ 000D4h, *AR7(01CE6h) ; 117
+ BANZ 000D5h, *+AR7(01CE7h) ; 118
+ BANZ 000D6h, *+AR7(01CE8h)% ; 119
+ BANZ 000D7h, *(01CE9h) ; 120
+ ; BANZD : BANZD Pmad, Sind : 120
+ BANZD 000D8h, *AR0 ; 1
+ NOP
+ NOP
+ BANZD 000D9h, *AR0- ; 2
+ NOP
+ NOP
+ BANZD 000DAh, *AR0+ ; 3
+ NOP
+ NOP
+ BANZD 000DBh, *AR0-0B ; 4
+ NOP
+ NOP
+ BANZD 000DCh, *AR0-0 ; 5
+ NOP
+ NOP
+ BANZD 000DDh, *AR0+0 ; 6
+ NOP
+ NOP
+ BANZD 000DEh, *AR0+0B ; 7
+ NOP
+ NOP
+ BANZD 000DFh, *AR0-% ; 8
+ NOP
+ NOP
+ BANZD 000E0h, *AR0-0% ; 9
+ NOP
+ NOP
+ BANZD 000E1h, *AR0+% ; 10
+ NOP
+ NOP
+ BANZD 000E2h, *AR0+0% ; 11
+ NOP
+ NOP
+ BANZD 000E3h, *AR0(01CEAh) ; 12
+ NOP
+ NOP
+ BANZD 000E4h, *+AR0(01CEBh) ; 13
+ NOP
+ NOP
+ BANZD 000E5h, *+AR0(01CECh)% ; 14
+ NOP
+ NOP
+ BANZD 000E6h, *(01CEDh) ; 15
+ NOP
+ NOP
+ BANZD 000E7h, *AR1 ; 16
+ NOP
+ NOP
+ BANZD 000E8h, *AR1- ; 17
+ NOP
+ NOP
+ BANZD 000E9h, *AR1+ ; 18
+ NOP
+ NOP
+ BANZD 000EAh, *AR1-0B ; 19
+ NOP
+ NOP
+ BANZD 000EBh, *AR1-0 ; 20
+ NOP
+ NOP
+ BANZD 000ECh, *AR1+0 ; 21
+ NOP
+ NOP
+ BANZD 000EDh, *AR1+0B ; 22
+ NOP
+ NOP
+ BANZD 000EEh, *AR1-% ; 23
+ NOP
+ NOP
+ BANZD 000EFh, *AR1-0% ; 24
+ NOP
+ NOP
+ BANZD 000F0h, *AR1+% ; 25
+ NOP
+ NOP
+ BANZD 000F1h, *AR1+0% ; 26
+ NOP
+ NOP
+ BANZD 000F2h, *AR1(01CEEh) ; 27
+ NOP
+ NOP
+ BANZD 000F3h, *+AR1(01CEFh) ; 28
+ NOP
+ NOP
+ BANZD 000F4h, *+AR1(01CF0h)% ; 29
+ NOP
+ NOP
+ BANZD 000F5h, *(01CF1h) ; 30
+ NOP
+ NOP
+ BANZD 000F6h, *AR2 ; 31
+ NOP
+ NOP
+ BANZD 000F7h, *AR2- ; 32
+ NOP
+ NOP
+ BANZD 000F8h, *AR2+ ; 33
+ NOP
+ NOP
+ BANZD 000F9h, *AR2-0B ; 34
+ NOP
+ NOP
+ BANZD 000FAh, *AR2-0 ; 35
+ NOP
+ NOP
+ BANZD 000FBh, *AR2+0 ; 36
+ NOP
+ NOP
+ BANZD 000FCh, *AR2+0B ; 37
+ NOP
+ NOP
+ BANZD 000FDh, *AR2-% ; 38
+ NOP
+ NOP
+ BANZD 000FEh, *AR2-0% ; 39
+ NOP
+ NOP
+ BANZD 000FFh, *AR2+% ; 40
+ NOP
+ NOP
+ BANZD 00100h, *AR2+0% ; 41
+ NOP
+ NOP
+ BANZD 00101h, *AR2(01CF2h) ; 42
+ NOP
+ NOP
+ BANZD 00102h, *+AR2(01CF3h) ; 43
+ NOP
+ NOP
+ BANZD 00103h, *+AR2(01CF4h)% ; 44
+ NOP
+ NOP
+ BANZD 00104h, *(01CF5h) ; 45
+ NOP
+ NOP
+ BANZD 00105h, *AR3 ; 46
+ NOP
+ NOP
+ BANZD 00106h, *AR3- ; 47
+ NOP
+ NOP
+ BANZD 00107h, *AR3+ ; 48
+ NOP
+ NOP
+ BANZD 00108h, *AR3-0B ; 49
+ NOP
+ NOP
+ BANZD 00109h, *AR3-0 ; 50
+ NOP
+ NOP
+ BANZD 0010Ah, *AR3+0 ; 51
+ NOP
+ NOP
+ BANZD 0010Bh, *AR3+0B ; 52
+ NOP
+ NOP
+ BANZD 0010Ch, *AR3-% ; 53
+ NOP
+ NOP
+ BANZD 0010Dh, *AR3-0% ; 54
+ NOP
+ NOP
+ BANZD 0010Eh, *AR3+% ; 55
+ NOP
+ NOP
+ BANZD 0010Fh, *AR3+0% ; 56
+ NOP
+ NOP
+ BANZD 00110h, *AR3(01CF6h) ; 57
+ NOP
+ NOP
+ BANZD 00111h, *+AR3(01CF7h) ; 58
+ NOP
+ NOP
+ BANZD 00112h, *+AR3(01CF8h)% ; 59
+ NOP
+ NOP
+ BANZD 00113h, *(01CF9h) ; 60
+ NOP
+ NOP
+ BANZD 00114h, *AR4 ; 61
+ NOP
+ NOP
+ BANZD 00115h, *AR4- ; 62
+ NOP
+ NOP
+ BANZD 00116h, *AR4+ ; 63
+ NOP
+ NOP
+ BANZD 00117h, *AR4-0B ; 64
+ NOP
+ NOP
+ BANZD 00118h, *AR4-0 ; 65
+ NOP
+ NOP
+ BANZD 00119h, *AR4+0 ; 66
+ NOP
+ NOP
+ BANZD 0011Ah, *AR4+0B ; 67
+ NOP
+ NOP
+ BANZD 0011Bh, *AR4-% ; 68
+ NOP
+ NOP
+ BANZD 0011Ch, *AR4-0% ; 69
+ NOP
+ NOP
+ BANZD 0011Dh, *AR4+% ; 70
+ NOP
+ NOP
+ BANZD 0011Eh, *AR4+0% ; 71
+ NOP
+ NOP
+ BANZD 0011Fh, *AR4(01CFAh) ; 72
+ NOP
+ NOP
+ BANZD 00120h, *+AR4(01CFBh) ; 73
+ NOP
+ NOP
+ BANZD 00121h, *+AR4(01CFCh)% ; 74
+ NOP
+ NOP
+ BANZD 00122h, *(01CFDh) ; 75
+ NOP
+ NOP
+ BANZD 00123h, *AR5 ; 76
+ NOP
+ NOP
+ BANZD 00124h, *AR5- ; 77
+ NOP
+ NOP
+ BANZD 00125h, *AR5+ ; 78
+ NOP
+ NOP
+ BANZD 00126h, *AR5-0B ; 79
+ NOP
+ NOP
+ BANZD 00127h, *AR5-0 ; 80
+ NOP
+ NOP
+ BANZD 00128h, *AR5+0 ; 81
+ NOP
+ NOP
+ BANZD 00129h, *AR5+0B ; 82
+ NOP
+ NOP
+ BANZD 0012Ah, *AR5-% ; 83
+ NOP
+ NOP
+ BANZD 0012Bh, *AR5-0% ; 84
+ NOP
+ NOP
+ BANZD 0012Ch, *AR5+% ; 85
+ NOP
+ NOP
+ BANZD 0012Dh, *AR5+0% ; 86
+ NOP
+ NOP
+ BANZD 0012Eh, *AR5(01CFEh) ; 87
+ NOP
+ NOP
+ BANZD 0012Fh, *+AR5(01CFFh) ; 88
+ NOP
+ NOP
+ BANZD 00130h, *+AR5(01D00h)% ; 89
+ NOP
+ NOP
+ BANZD 00131h, *(01D01h) ; 90
+ NOP
+ NOP
+ BANZD 00132h, *AR6 ; 91
+ NOP
+ NOP
+ BANZD 00133h, *AR6- ; 92
+ NOP
+ NOP
+ BANZD 00134h, *AR6+ ; 93
+ NOP
+ NOP
+ BANZD 00135h, *AR6-0B ; 94
+ NOP
+ NOP
+ BANZD 00136h, *AR6-0 ; 95
+ NOP
+ NOP
+ BANZD 00137h, *AR6+0 ; 96
+ NOP
+ NOP
+ BANZD 00138h, *AR6+0B ; 97
+ NOP
+ NOP
+ BANZD 00139h, *AR6-% ; 98
+ NOP
+ NOP
+ BANZD 0013Ah, *AR6-0% ; 99
+ NOP
+ NOP
+ BANZD 0013Bh, *AR6+% ; 100
+ NOP
+ NOP
+ BANZD 0013Ch, *AR6+0% ; 101
+ NOP
+ NOP
+ BANZD 0013Dh, *AR6(01D02h) ; 102
+ NOP
+ NOP
+ BANZD 0013Eh, *+AR6(01D03h) ; 103
+ NOP
+ NOP
+ BANZD 0013Fh, *+AR6(01D04h)% ; 104
+ NOP
+ NOP
+ BANZD 00140h, *(01D05h) ; 105
+ NOP
+ NOP
+ BANZD 00141h, *AR7 ; 106
+ NOP
+ NOP
+ BANZD 00142h, *AR7- ; 107
+ NOP
+ NOP
+ BANZD 00143h, *AR7+ ; 108
+ NOP
+ NOP
+ BANZD 00144h, *AR7-0B ; 109
+ NOP
+ NOP
+ BANZD 00145h, *AR7-0 ; 110
+ NOP
+ NOP
+ BANZD 00146h, *AR7+0 ; 111
+ NOP
+ NOP
+ BANZD 00147h, *AR7+0B ; 112
+ NOP
+ NOP
+ BANZD 00148h, *AR7-% ; 113
+ NOP
+ NOP
+ BANZD 00149h, *AR7-0% ; 114
+ NOP
+ NOP
+ BANZD 0014Ah, *AR7+% ; 115
+ NOP
+ NOP
+ BANZD 0014Bh, *AR7+0% ; 116
+ NOP
+ NOP
+ BANZD 0014Ch, *AR7(01D06h) ; 117
+ NOP
+ NOP
+ BANZD 0014Dh, *+AR7(01D07h) ; 118
+ NOP
+ NOP
+ BANZD 0014Eh, *+AR7(01D08h)% ; 119
+ NOP
+ NOP
+ BANZD 0014Fh, *(01D09h) ; 120
+ NOP
+ NOP
+ ; BC : BC Pmad, cond7 : 159
+ BC 00150h, UNC ; 1
+ BC 00151h, ALT ; 2
+ BC 00152h, BLT ; 3
+ BC 00153h, ALEQ ; 4
+ BC 00154h, BLEQ ; 5
+ BC 00155h, AGT ; 6
+ BC 00156h, BGT ; 7
+ BC 00157h, ANEQ ; 8
+ BC 00158h, BNEQ ; 9
+ BC 00159h, AGEQ ; 10
+ BC 0015Ah, BGEQ ; 11
+ BC 0015Bh, AEQ ; 12
+ BC 0015Ch, BEQ ; 13
+ BC 0015Dh, ANOV ; 14
+ BC 0015Eh, BNOV ; 15
+ BC 0015Fh, AOV ; 16
+ BC 00160h, BOV ; 17
+ BC 00161h, NTC ; 18
+ BC 00162h, TC ; 19
+ BC 00163h, NC ; 20
+ BC 00164h, C ; 21
+ BC 00165h, NBIO ; 22
+ BC 00166h, BIO ; 23
+ BC 00167h, ALT, ANOV ; 24
+ BC 00168h, ANOV, ALT ; 25
+ BC 00169h, BLT, BNOV ; 26
+ BC 0016Ah, BNOV, BLT ; 27
+ BC 0016Bh, ALT, AOV ; 28
+ BC 0016Ch, AOV, ALT ; 29
+ BC 0016Dh, BLT, BOV ; 30
+ BC 0016Eh, BOV, BLT ; 31
+ BC 0016Fh, ALEQ, ANOV ; 32
+ BC 00170h, ANOV, ALEQ ; 33
+ BC 00171h, BLEQ, BNOV ; 34
+ BC 00172h, BNOV, BLEQ ; 35
+ BC 00173h, ALEQ, AOV ; 36
+ BC 00174h, AOV, ALEQ ; 37
+ BC 00175h, BLEQ, BOV ; 38
+ BC 00176h, BOV, BLEQ ; 39
+ BC 00177h, AGT, ANOV ; 40
+ BC 00178h, ANOV, AGT ; 41
+ BC 00179h, BGT, BNOV ; 42
+ BC 0017Ah, BNOV, BGT ; 43
+ BC 0017Bh, AGT, AOV ; 44
+ BC 0017Ch, AOV, AGT ; 45
+ BC 0017Dh, BGT, BOV ; 46
+ BC 0017Eh, BOV, BGT ; 47
+ BC 0017Fh, ANEQ, ANOV ; 48
+ BC 00180h, ANOV, ANEQ ; 49
+ BC 00181h, BNEQ, BNOV ; 50
+ BC 00182h, BNOV, BNEQ ; 51
+ BC 00183h, ANEQ, AOV ; 52
+ BC 00184h, AOV, ANEQ ; 53
+ BC 00185h, BNEQ, BOV ; 54
+ BC 00186h, BOV, BNEQ ; 55
+ BC 00187h, AGEQ, ANOV ; 56
+ BC 00188h, ANOV, AGEQ ; 57
+ BC 00189h, BGEQ, BNOV ; 58
+ BC 0018Ah, BNOV, BGEQ ; 59
+ BC 0018Bh, AGEQ, AOV ; 60
+ BC 0018Ch, AOV, AGEQ ; 61
+ BC 0018Dh, BGEQ, BOV ; 62
+ BC 0018Eh, BOV, BGEQ ; 63
+ BC 0018Fh, AEQ, ANOV ; 64
+ BC 00190h, ANOV, AEQ ; 65
+ BC 00191h, BEQ, BNOV ; 66
+ BC 00192h, BNOV, BEQ ; 67
+ BC 00193h, AEQ, AOV ; 68
+ BC 00194h, AOV, AEQ ; 69
+ BC 00195h, BEQ, BOV ; 70
+ BC 00196h, BOV, BEQ ; 71
+ BC 00197h, NTC, NC, NBIO ; 72
+ BC 00198h, NTC, NBIO, NC ; 73
+ BC 00199h, NC, NTC, NBIO ; 74
+ BC 0019Ah, NC, NBIO, NTC ; 75
+ BC 0019Bh, NBIO, NTC, NC ; 76
+ BC 0019Ch, NBIO, NC, NTC ; 77
+ BC 0019Dh, NC, NTC ; 78
+ BC 0019Eh, NTC, NBIO ; 79
+ BC 0019Fh, NBIO, NTC ; 80
+ BC 001A0h, NC, NBIO ; 81
+ BC 001A1h, NBIO, NC ; 82
+ BC 001A2h, NTC, NC, BIO ; 83
+ BC 001A3h, NTC, BIO, NC ; 84
+ BC 001A4h, NC, NTC, BIO ; 85
+ BC 001A5h, NC, BIO, NTC ; 86
+ BC 001A6h, BIO, NTC, NC ; 87
+ BC 001A7h, BIO, NC, NTC ; 88
+ BC 001A8h, NC, NTC ; 89
+ BC 001A9h, NTC, BIO ; 90
+ BC 001AAh, BIO, NTC ; 91
+ BC 001ABh, NC, BIO ; 92
+ BC 001ACh, BIO, NC ; 93
+ BC 001ADh, NTC, C, NBIO ; 94
+ BC 001AEh, NTC, NBIO, C ; 95
+ BC 001AFh, C, NTC, NBIO ; 96
+ BC 001B0h, C, NBIO, NTC ; 97
+ BC 001B1h, NBIO, NTC, C ; 98
+ BC 001B2h, NBIO, C, NTC ; 99
+ BC 001B3h, C, NTC ; 100
+ BC 001B4h, NTC, NBIO ; 101
+ BC 001B5h, NBIO, NTC ; 102
+ BC 001B6h, C, NBIO ; 103
+ BC 001B7h, NBIO, C ; 104
+ BC 001B8h, NTC, C, BIO ; 105
+ BC 001B9h, NTC, BIO, C ; 106
+ BC 001BAh, C, NTC, BIO ; 107
+ BC 001BBh, C, BIO, NTC ; 108
+ BC 001BCh, BIO, NTC, C ; 109
+ BC 001BDh, BIO, C, NTC ; 110
+ BC 001BEh, C, NTC ; 111
+ BC 001BFh, NTC, BIO ; 112
+ BC 001C0h, BIO, NTC ; 113
+ BC 001C1h, C, BIO ; 114
+ BC 001C2h, BIO, C ; 115
+ BC 001C3h, TC, NC, NBIO ; 116
+ BC 001C4h, TC, NBIO, NC ; 117
+ BC 001C5h, NC, TC, NBIO ; 118
+ BC 001C6h, NC, NBIO, TC ; 119
+ BC 001C7h, NBIO, TC, NC ; 120
+ BC 001C8h, NBIO, NC, TC ; 121
+ BC 001C9h, NC, TC ; 122
+ BC 001CAh, TC, NBIO ; 123
+ BC 001CBh, NBIO, TC ; 124
+ BC 001CCh, NC, NBIO ; 125
+ BC 001CDh, NBIO, NC ; 126
+ BC 001CEh, TC, NC, BIO ; 127
+ BC 001CFh, TC, BIO, NC ; 128
+ BC 001D0h, NC, TC, BIO ; 129
+ BC 001D1h, NC, BIO, TC ; 130
+ BC 001D2h, BIO, TC, NC ; 131
+ BC 001D3h, BIO, NC, TC ; 132
+ BC 001D4h, NC, TC ; 133
+ BC 001D5h, TC, BIO ; 134
+ BC 001D6h, BIO, TC ; 135
+ BC 001D7h, NC, BIO ; 136
+ BC 001D8h, BIO, NC ; 137
+ BC 001D9h, TC, C, NBIO ; 138
+ BC 001DAh, TC, NBIO, C ; 139
+ BC 001DBh, C, TC, NBIO ; 140
+ BC 001DCh, C, NBIO, TC ; 141
+ BC 001DDh, NBIO, TC, C ; 142
+ BC 001DEh, NBIO, C, TC ; 143
+ BC 001DFh, C, TC ; 144
+ BC 001E0h, TC, NBIO ; 145
+ BC 001E1h, NBIO, TC ; 146
+ BC 001E2h, C, NBIO ; 147
+ BC 001E3h, NBIO, C ; 148
+ BC 001E4h, TC, C, BIO ; 149
+ BC 001E5h, TC, BIO, C ; 150
+ BC 001E6h, C, TC, BIO ; 151
+ BC 001E7h, C, BIO, TC ; 152
+ BC 001E8h, BIO, TC, C ; 153
+ BC 001E9h, BIO, C, TC ; 154
+ BC 001EAh, C, TC ; 155
+ BC 001EBh, TC, BIO ; 156
+ BC 001ECh, BIO, TC ; 157
+ BC 001EDh, C, BIO ; 158
+ BC 001EEh, BIO, C ; 159
+ ; BCD : BCD Pmad, cond7 : 159
+ BCD 001EFh, UNC ; 1
+ NOP
+ NOP
+ BCD 001F0h, ALT ; 2
+ NOP
+ NOP
+ BCD 001F1h, BLT ; 3
+ NOP
+ NOP
+ BCD 001F2h, ALEQ ; 4
+ NOP
+ NOP
+ BCD 001F3h, BLEQ ; 5
+ NOP
+ NOP
+ BCD 001F4h, AGT ; 6
+ NOP
+ NOP
+ BCD 001F5h, BGT ; 7
+ NOP
+ NOP
+ BCD 001F6h, ANEQ ; 8
+ NOP
+ NOP
+ BCD 001F7h, BNEQ ; 9
+ NOP
+ NOP
+ BCD 001F8h, AGEQ ; 10
+ NOP
+ NOP
+ BCD 001F9h, BGEQ ; 11
+ NOP
+ NOP
+ BCD 001FAh, AEQ ; 12
+ NOP
+ NOP
+ BCD 001FBh, BEQ ; 13
+ NOP
+ NOP
+ BCD 001FCh, ANOV ; 14
+ NOP
+ NOP
+ BCD 001FDh, BNOV ; 15
+ NOP
+ NOP
+ BCD 001FEh, AOV ; 16
+ NOP
+ NOP
+ BCD 001FFh, BOV ; 17
+ NOP
+ NOP
+ BCD 00200h, NTC ; 18
+ NOP
+ NOP
+ BCD 00201h, TC ; 19
+ NOP
+ NOP
+ BCD 00202h, NC ; 20
+ NOP
+ NOP
+ BCD 00203h, C ; 21
+ NOP
+ NOP
+ BCD 00204h, NBIO ; 22
+ NOP
+ NOP
+ BCD 00205h, BIO ; 23
+ NOP
+ NOP
+ BCD 00206h, ALT, ANOV ; 24
+ NOP
+ NOP
+ BCD 00207h, ANOV, ALT ; 25
+ NOP
+ NOP
+ BCD 00208h, BLT, BNOV ; 26
+ NOP
+ NOP
+ BCD 00209h, BNOV, BLT ; 27
+ NOP
+ NOP
+ BCD 0020Ah, ALT, AOV ; 28
+ NOP
+ NOP
+ BCD 0020Bh, AOV, ALT ; 29
+ NOP
+ NOP
+ BCD 0020Ch, BLT, BOV ; 30
+ NOP
+ NOP
+ BCD 0020Dh, BOV, BLT ; 31
+ NOP
+ NOP
+ BCD 0020Eh, ALEQ, ANOV ; 32
+ NOP
+ NOP
+ BCD 0020Fh, ANOV, ALEQ ; 33
+ NOP
+ NOP
+ BCD 00210h, BLEQ, BNOV ; 34
+ NOP
+ NOP
+ BCD 00211h, BNOV, BLEQ ; 35
+ NOP
+ NOP
+ BCD 00212h, ALEQ, AOV ; 36
+ NOP
+ NOP
+ BCD 00213h, AOV, ALEQ ; 37
+ NOP
+ NOP
+ BCD 00214h, BLEQ, BOV ; 38
+ NOP
+ NOP
+ BCD 00215h, BOV, BLEQ ; 39
+ NOP
+ NOP
+ BCD 00216h, AGT, ANOV ; 40
+ NOP
+ NOP
+ BCD 00217h, ANOV, AGT ; 41
+ NOP
+ NOP
+ BCD 00218h, BGT, BNOV ; 42
+ NOP
+ NOP
+ BCD 00219h, BNOV, BGT ; 43
+ NOP
+ NOP
+ BCD 0021Ah, AGT, AOV ; 44
+ NOP
+ NOP
+ BCD 0021Bh, AOV, AGT ; 45
+ NOP
+ NOP
+ BCD 0021Ch, BGT, BOV ; 46
+ NOP
+ NOP
+ BCD 0021Dh, BOV, BGT ; 47
+ NOP
+ NOP
+ BCD 0021Eh, ANEQ, ANOV ; 48
+ NOP
+ NOP
+ BCD 0021Fh, ANOV, ANEQ ; 49
+ NOP
+ NOP
+ BCD 00220h, BNEQ, BNOV ; 50
+ NOP
+ NOP
+ BCD 00221h, BNOV, BNEQ ; 51
+ NOP
+ NOP
+ BCD 00222h, ANEQ, AOV ; 52
+ NOP
+ NOP
+ BCD 00223h, AOV, ANEQ ; 53
+ NOP
+ NOP
+ BCD 00224h, BNEQ, BOV ; 54
+ NOP
+ NOP
+ BCD 00225h, BOV, BNEQ ; 55
+ NOP
+ NOP
+ BCD 00226h, AGEQ, ANOV ; 56
+ NOP
+ NOP
+ BCD 00227h, ANOV, AGEQ ; 57
+ NOP
+ NOP
+ BCD 00228h, BGEQ, BNOV ; 58
+ NOP
+ NOP
+ BCD 00229h, BNOV, BGEQ ; 59
+ NOP
+ NOP
+ BCD 0022Ah, AGEQ, AOV ; 60
+ NOP
+ NOP
+ BCD 0022Bh, AOV, AGEQ ; 61
+ NOP
+ NOP
+ BCD 0022Ch, BGEQ, BOV ; 62
+ NOP
+ NOP
+ BCD 0022Dh, BOV, BGEQ ; 63
+ NOP
+ NOP
+ BCD 0022Eh, AEQ, ANOV ; 64
+ NOP
+ NOP
+ BCD 0022Fh, ANOV, AEQ ; 65
+ NOP
+ NOP
+ BCD 00230h, BEQ, BNOV ; 66
+ NOP
+ NOP
+ BCD 00231h, BNOV, BEQ ; 67
+ NOP
+ NOP
+ BCD 00232h, AEQ, AOV ; 68
+ NOP
+ NOP
+ BCD 00233h, AOV, AEQ ; 69
+ NOP
+ NOP
+ BCD 00234h, BEQ, BOV ; 70
+ NOP
+ NOP
+ BCD 00235h, BOV, BEQ ; 71
+ NOP
+ NOP
+ BCD 00236h, NTC, NC, NBIO ; 72
+ NOP
+ NOP
+ BCD 00237h, NTC, NBIO, NC ; 73
+ NOP
+ NOP
+ BCD 00238h, NC, NTC, NBIO ; 74
+ NOP
+ NOP
+ BCD 00239h, NC, NBIO, NTC ; 75
+ NOP
+ NOP
+ BCD 0023Ah, NBIO, NTC, NC ; 76
+ NOP
+ NOP
+ BCD 0023Bh, NBIO, NC, NTC ; 77
+ NOP
+ NOP
+ BCD 0023Ch, NC, NTC ; 78
+ NOP
+ NOP
+ BCD 0023Dh, NTC, NBIO ; 79
+ NOP
+ NOP
+ BCD 0023Eh, NBIO, NTC ; 80
+ NOP
+ NOP
+ BCD 0023Fh, NC, NBIO ; 81
+ NOP
+ NOP
+ BCD 00240h, NBIO, NC ; 82
+ NOP
+ NOP
+ BCD 00241h, NTC, NC, BIO ; 83
+ NOP
+ NOP
+ BCD 00242h, NTC, BIO, NC ; 84
+ NOP
+ NOP
+ BCD 00243h, NC, NTC, BIO ; 85
+ NOP
+ NOP
+ BCD 00244h, NC, BIO, NTC ; 86
+ NOP
+ NOP
+ BCD 00245h, BIO, NTC, NC ; 87
+ NOP
+ NOP
+ BCD 00246h, BIO, NC, NTC ; 88
+ NOP
+ NOP
+ BCD 00247h, NC, NTC ; 89
+ NOP
+ NOP
+ BCD 00248h, NTC, BIO ; 90
+ NOP
+ NOP
+ BCD 00249h, BIO, NTC ; 91
+ NOP
+ NOP
+ BCD 0024Ah, NC, BIO ; 92
+ NOP
+ NOP
+ BCD 0024Bh, BIO, NC ; 93
+ NOP
+ NOP
+ BCD 0024Ch, NTC, C, NBIO ; 94
+ NOP
+ NOP
+ BCD 0024Dh, NTC, NBIO, C ; 95
+ NOP
+ NOP
+ BCD 0024Eh, C, NTC, NBIO ; 96
+ NOP
+ NOP
+ BCD 0024Fh, C, NBIO, NTC ; 97
+ NOP
+ NOP
+ BCD 00250h, NBIO, NTC, C ; 98
+ NOP
+ NOP
+ BCD 00251h, NBIO, C, NTC ; 99
+ NOP
+ NOP
+ BCD 00252h, C, NTC ; 100
+ NOP
+ NOP
+ BCD 00253h, NTC, NBIO ; 101
+ NOP
+ NOP
+ BCD 00254h, NBIO, NTC ; 102
+ NOP
+ NOP
+ BCD 00255h, C, NBIO ; 103
+ NOP
+ NOP
+ BCD 00256h, NBIO, C ; 104
+ NOP
+ NOP
+ BCD 00257h, NTC, C, BIO ; 105
+ NOP
+ NOP
+ BCD 00258h, NTC, BIO, C ; 106
+ NOP
+ NOP
+ BCD 00259h, C, NTC, BIO ; 107
+ NOP
+ NOP
+ BCD 0025Ah, C, BIO, NTC ; 108
+ NOP
+ NOP
+ BCD 0025Bh, BIO, NTC, C ; 109
+ NOP
+ NOP
+ BCD 0025Ch, BIO, C, NTC ; 110
+ NOP
+ NOP
+ BCD 0025Dh, C, NTC ; 111
+ NOP
+ NOP
+ BCD 0025Eh, NTC, BIO ; 112
+ NOP
+ NOP
+ BCD 0025Fh, BIO, NTC ; 113
+ NOP
+ NOP
+ BCD 00260h, C, BIO ; 114
+ NOP
+ NOP
+ BCD 00261h, BIO, C ; 115
+ NOP
+ NOP
+ BCD 00262h, TC, NC, NBIO ; 116
+ NOP
+ NOP
+ BCD 00263h, TC, NBIO, NC ; 117
+ NOP
+ NOP
+ BCD 00264h, NC, TC, NBIO ; 118
+ NOP
+ NOP
+ BCD 00265h, NC, NBIO, TC ; 119
+ NOP
+ NOP
+ BCD 00266h, NBIO, TC, NC ; 120
+ NOP
+ NOP
+ BCD 00267h, NBIO, NC, TC ; 121
+ NOP
+ NOP
+ BCD 00268h, NC, TC ; 122
+ NOP
+ NOP
+ BCD 00269h, TC, NBIO ; 123
+ NOP
+ NOP
+ BCD 0026Ah, NBIO, TC ; 124
+ NOP
+ NOP
+ BCD 0026Bh, NC, NBIO ; 125
+ NOP
+ NOP
+ BCD 0026Ch, NBIO, NC ; 126
+ NOP
+ NOP
+ BCD 0026Dh, TC, NC, BIO ; 127
+ NOP
+ NOP
+ BCD 0026Eh, TC, BIO, NC ; 128
+ NOP
+ NOP
+ BCD 0026Fh, NC, TC, BIO ; 129
+ NOP
+ NOP
+ BCD 00270h, NC, BIO, TC ; 130
+ NOP
+ NOP
+ BCD 00271h, BIO, TC, NC ; 131
+ NOP
+ NOP
+ BCD 00272h, BIO, NC, TC ; 132
+ NOP
+ NOP
+ BCD 00273h, NC, TC ; 133
+ NOP
+ NOP
+ BCD 00274h, TC, BIO ; 134
+ NOP
+ NOP
+ BCD 00275h, BIO, TC ; 135
+ NOP
+ NOP
+ BCD 00276h, NC, BIO ; 136
+ NOP
+ NOP
+ BCD 00277h, BIO, NC ; 137
+ NOP
+ NOP
+ BCD 00278h, TC, C, NBIO ; 138
+ NOP
+ NOP
+ BCD 00279h, TC, NBIO, C ; 139
+ NOP
+ NOP
+ BCD 0027Ah, C, TC, NBIO ; 140
+ NOP
+ NOP
+ BCD 0027Bh, C, NBIO, TC ; 141
+ NOP
+ NOP
+ BCD 0027Ch, NBIO, TC, C ; 142
+ NOP
+ NOP
+ BCD 0027Dh, NBIO, C, TC ; 143
+ NOP
+ NOP
+ BCD 0027Eh, C, TC ; 144
+ NOP
+ NOP
+ BCD 0027Fh, TC, NBIO ; 145
+ NOP
+ NOP
+ BCD 00280h, NBIO, TC ; 146
+ NOP
+ NOP
+ BCD 00281h, C, NBIO ; 147
+ NOP
+ NOP
+ BCD 00282h, NBIO, C ; 148
+ NOP
+ NOP
+ BCD 00283h, TC, C, BIO ; 149
+ NOP
+ NOP
+ BCD 00284h, TC, BIO, C ; 150
+ NOP
+ NOP
+ BCD 00285h, C, TC, BIO ; 151
+ NOP
+ NOP
+ BCD 00286h, C, BIO, TC ; 152
+ NOP
+ NOP
+ BCD 00287h, BIO, TC, C ; 153
+ NOP
+ NOP
+ BCD 00288h, BIO, C, TC ; 154
+ NOP
+ NOP
+ BCD 00289h, C, TC ; 155
+ NOP
+ NOP
+ BCD 0028Ah, TC, BIO ; 156
+ NOP
+ NOP
+ BCD 0028Bh, BIO, TC ; 157
+ NOP
+ NOP
+ BCD 0028Ch, C, BIO ; 158
+ NOP
+ NOP
+ BCD 0028Dh, BIO, C ; 159
+ NOP
+ NOP
+ ; BIT : BIT Xmem, BITC : 256
+ BIT *AR2, 0 ; 1
+ BIT *AR2, 1 ; 2
+ BIT *AR2, 2 ; 3
+ BIT *AR2, 3 ; 4
+ BIT *AR2, 4 ; 5
+ BIT *AR2, 5 ; 6
+ BIT *AR2, 6 ; 7
+ BIT *AR2, 7 ; 8
+ BIT *AR2, 8 ; 9
+ BIT *AR2, 9 ; 10
+ BIT *AR2, 10 ; 11
+ BIT *AR2, 11 ; 12
+ BIT *AR2, 12 ; 13
+ BIT *AR2, 13 ; 14
+ BIT *AR2, 14 ; 15
+ BIT *AR2, 15 ; 16
+ BIT *AR2-, 0 ; 17
+ BIT *AR2-, 1 ; 18
+ BIT *AR2-, 2 ; 19
+ BIT *AR2-, 3 ; 20
+ BIT *AR2-, 4 ; 21
+ BIT *AR2-, 5 ; 22
+ BIT *AR2-, 6 ; 23
+ BIT *AR2-, 7 ; 24
+ BIT *AR2-, 8 ; 25
+ BIT *AR2-, 9 ; 26
+ BIT *AR2-, 10 ; 27
+ BIT *AR2-, 11 ; 28
+ BIT *AR2-, 12 ; 29
+ BIT *AR2-, 13 ; 30
+ BIT *AR2-, 14 ; 31
+ BIT *AR2-, 15 ; 32
+ BIT *AR2+, 0 ; 33
+ BIT *AR2+, 1 ; 34
+ BIT *AR2+, 2 ; 35
+ BIT *AR2+, 3 ; 36
+ BIT *AR2+, 4 ; 37
+ BIT *AR2+, 5 ; 38
+ BIT *AR2+, 6 ; 39
+ BIT *AR2+, 7 ; 40
+ BIT *AR2+, 8 ; 41
+ BIT *AR2+, 9 ; 42
+ BIT *AR2+, 10 ; 43
+ BIT *AR2+, 11 ; 44
+ BIT *AR2+, 12 ; 45
+ BIT *AR2+, 13 ; 46
+ BIT *AR2+, 14 ; 47
+ BIT *AR2+, 15 ; 48
+ BIT *AR2+0%, 0 ; 49
+ BIT *AR2+0%, 1 ; 50
+ BIT *AR2+0%, 2 ; 51
+ BIT *AR2+0%, 3 ; 52
+ BIT *AR2+0%, 4 ; 53
+ BIT *AR2+0%, 5 ; 54
+ BIT *AR2+0%, 6 ; 55
+ BIT *AR2+0%, 7 ; 56
+ BIT *AR2+0%, 8 ; 57
+ BIT *AR2+0%, 9 ; 58
+ BIT *AR2+0%, 10 ; 59
+ BIT *AR2+0%, 11 ; 60
+ BIT *AR2+0%, 12 ; 61
+ BIT *AR2+0%, 13 ; 62
+ BIT *AR2+0%, 14 ; 63
+ BIT *AR2+0%, 15 ; 64
+ BIT *AR3, 0 ; 65
+ BIT *AR3, 1 ; 66
+ BIT *AR3, 2 ; 67
+ BIT *AR3, 3 ; 68
+ BIT *AR3, 4 ; 69
+ BIT *AR3, 5 ; 70
+ BIT *AR3, 6 ; 71
+ BIT *AR3, 7 ; 72
+ BIT *AR3, 8 ; 73
+ BIT *AR3, 9 ; 74
+ BIT *AR3, 10 ; 75
+ BIT *AR3, 11 ; 76
+ BIT *AR3, 12 ; 77
+ BIT *AR3, 13 ; 78
+ BIT *AR3, 14 ; 79
+ BIT *AR3, 15 ; 80
+ BIT *AR3-, 0 ; 81
+ BIT *AR3-, 1 ; 82
+ BIT *AR3-, 2 ; 83
+ BIT *AR3-, 3 ; 84
+ BIT *AR3-, 4 ; 85
+ BIT *AR3-, 5 ; 86
+ BIT *AR3-, 6 ; 87
+ BIT *AR3-, 7 ; 88
+ BIT *AR3-, 8 ; 89
+ BIT *AR3-, 9 ; 90
+ BIT *AR3-, 10 ; 91
+ BIT *AR3-, 11 ; 92
+ BIT *AR3-, 12 ; 93
+ BIT *AR3-, 13 ; 94
+ BIT *AR3-, 14 ; 95
+ BIT *AR3-, 15 ; 96
+ BIT *AR3+, 0 ; 97
+ BIT *AR3+, 1 ; 98
+ BIT *AR3+, 2 ; 99
+ BIT *AR3+, 3 ; 100
+ BIT *AR3+, 4 ; 101
+ BIT *AR3+, 5 ; 102
+ BIT *AR3+, 6 ; 103
+ BIT *AR3+, 7 ; 104
+ BIT *AR3+, 8 ; 105
+ BIT *AR3+, 9 ; 106
+ BIT *AR3+, 10 ; 107
+ BIT *AR3+, 11 ; 108
+ BIT *AR3+, 12 ; 109
+ BIT *AR3+, 13 ; 110
+ BIT *AR3+, 14 ; 111
+ BIT *AR3+, 15 ; 112
+ BIT *AR3+0%, 0 ; 113
+ BIT *AR3+0%, 1 ; 114
+ BIT *AR3+0%, 2 ; 115
+ BIT *AR3+0%, 3 ; 116
+ BIT *AR3+0%, 4 ; 117
+ BIT *AR3+0%, 5 ; 118
+ BIT *AR3+0%, 6 ; 119
+ BIT *AR3+0%, 7 ; 120
+ BIT *AR3+0%, 8 ; 121
+ BIT *AR3+0%, 9 ; 122
+ BIT *AR3+0%, 10 ; 123
+ BIT *AR3+0%, 11 ; 124
+ BIT *AR3+0%, 12 ; 125
+ BIT *AR3+0%, 13 ; 126
+ BIT *AR3+0%, 14 ; 127
+ BIT *AR3+0%, 15 ; 128
+ BIT *AR4, 0 ; 129
+ BIT *AR4, 1 ; 130
+ BIT *AR4, 2 ; 131
+ BIT *AR4, 3 ; 132
+ BIT *AR4, 4 ; 133
+ BIT *AR4, 5 ; 134
+ BIT *AR4, 6 ; 135
+ BIT *AR4, 7 ; 136
+ BIT *AR4, 8 ; 137
+ BIT *AR4, 9 ; 138
+ BIT *AR4, 10 ; 139
+ BIT *AR4, 11 ; 140
+ BIT *AR4, 12 ; 141
+ BIT *AR4, 13 ; 142
+ BIT *AR4, 14 ; 143
+ BIT *AR4, 15 ; 144
+ BIT *AR4-, 0 ; 145
+ BIT *AR4-, 1 ; 146
+ BIT *AR4-, 2 ; 147
+ BIT *AR4-, 3 ; 148
+ BIT *AR4-, 4 ; 149
+ BIT *AR4-, 5 ; 150
+ BIT *AR4-, 6 ; 151
+ BIT *AR4-, 7 ; 152
+ BIT *AR4-, 8 ; 153
+ BIT *AR4-, 9 ; 154
+ BIT *AR4-, 10 ; 155
+ BIT *AR4-, 11 ; 156
+ BIT *AR4-, 12 ; 157
+ BIT *AR4-, 13 ; 158
+ BIT *AR4-, 14 ; 159
+ BIT *AR4-, 15 ; 160
+ BIT *AR4+, 0 ; 161
+ BIT *AR4+, 1 ; 162
+ BIT *AR4+, 2 ; 163
+ BIT *AR4+, 3 ; 164
+ BIT *AR4+, 4 ; 165
+ BIT *AR4+, 5 ; 166
+ BIT *AR4+, 6 ; 167
+ BIT *AR4+, 7 ; 168
+ BIT *AR4+, 8 ; 169
+ BIT *AR4+, 9 ; 170
+ BIT *AR4+, 10 ; 171
+ BIT *AR4+, 11 ; 172
+ BIT *AR4+, 12 ; 173
+ BIT *AR4+, 13 ; 174
+ BIT *AR4+, 14 ; 175
+ BIT *AR4+, 15 ; 176
+ BIT *AR4+0%, 0 ; 177
+ BIT *AR4+0%, 1 ; 178
+ BIT *AR4+0%, 2 ; 179
+ BIT *AR4+0%, 3 ; 180
+ BIT *AR4+0%, 4 ; 181
+ BIT *AR4+0%, 5 ; 182
+ BIT *AR4+0%, 6 ; 183
+ BIT *AR4+0%, 7 ; 184
+ BIT *AR4+0%, 8 ; 185
+ BIT *AR4+0%, 9 ; 186
+ BIT *AR4+0%, 10 ; 187
+ BIT *AR4+0%, 11 ; 188
+ BIT *AR4+0%, 12 ; 189
+ BIT *AR4+0%, 13 ; 190
+ BIT *AR4+0%, 14 ; 191
+ BIT *AR4+0%, 15 ; 192
+ BIT *AR5, 0 ; 193
+ BIT *AR5, 1 ; 194
+ BIT *AR5, 2 ; 195
+ BIT *AR5, 3 ; 196
+ BIT *AR5, 4 ; 197
+ BIT *AR5, 5 ; 198
+ BIT *AR5, 6 ; 199
+ BIT *AR5, 7 ; 200
+ BIT *AR5, 8 ; 201
+ BIT *AR5, 9 ; 202
+ BIT *AR5, 10 ; 203
+ BIT *AR5, 11 ; 204
+ BIT *AR5, 12 ; 205
+ BIT *AR5, 13 ; 206
+ BIT *AR5, 14 ; 207
+ BIT *AR5, 15 ; 208
+ BIT *AR5-, 0 ; 209
+ BIT *AR5-, 1 ; 210
+ BIT *AR5-, 2 ; 211
+ BIT *AR5-, 3 ; 212
+ BIT *AR5-, 4 ; 213
+ BIT *AR5-, 5 ; 214
+ BIT *AR5-, 6 ; 215
+ BIT *AR5-, 7 ; 216
+ BIT *AR5-, 8 ; 217
+ BIT *AR5-, 9 ; 218
+ BIT *AR5-, 10 ; 219
+ BIT *AR5-, 11 ; 220
+ BIT *AR5-, 12 ; 221
+ BIT *AR5-, 13 ; 222
+ BIT *AR5-, 14 ; 223
+ BIT *AR5-, 15 ; 224
+ BIT *AR5+, 0 ; 225
+ BIT *AR5+, 1 ; 226
+ BIT *AR5+, 2 ; 227
+ BIT *AR5+, 3 ; 228
+ BIT *AR5+, 4 ; 229
+ BIT *AR5+, 5 ; 230
+ BIT *AR5+, 6 ; 231
+ BIT *AR5+, 7 ; 232
+ BIT *AR5+, 8 ; 233
+ BIT *AR5+, 9 ; 234
+ BIT *AR5+, 10 ; 235
+ BIT *AR5+, 11 ; 236
+ BIT *AR5+, 12 ; 237
+ BIT *AR5+, 13 ; 238
+ BIT *AR5+, 14 ; 239
+ BIT *AR5+, 15 ; 240
+ BIT *AR5+0%, 0 ; 241
+ BIT *AR5+0%, 1 ; 242
+ BIT *AR5+0%, 2 ; 243
+ BIT *AR5+0%, 3 ; 244
+ BIT *AR5+0%, 4 ; 245
+ BIT *AR5+0%, 5 ; 246
+ BIT *AR5+0%, 6 ; 247
+ BIT *AR5+0%, 7 ; 248
+ BIT *AR5+0%, 8 ; 249
+ BIT *AR5+0%, 9 ; 250
+ BIT *AR5+0%, 10 ; 251
+ BIT *AR5+0%, 11 ; 252
+ BIT *AR5+0%, 12 ; 253
+ BIT *AR5+0%, 13 ; 254
+ BIT *AR5+0%, 14 ; 255
+ BIT *AR5+0%, 15 ; 256
+ ; BITF : BITF Smem, lk : 1
+ BITF 58h, #01D0Ah ; 1
+ ; BITF_I : BITF Smem_I, lk : 120
+ BITF *AR0, #01D0Bh ; 1
+ BITF *AR0-, #01D0Ch ; 2
+ BITF *AR0+, #01D0Dh ; 3
+ BITF *AR0-0B, #01D0Eh ; 4
+ BITF *AR0-0, #01D0Fh ; 5
+ BITF *AR0+0, #01D10h ; 6
+ BITF *AR0+0B, #01D11h ; 7
+ BITF *AR0-%, #01D12h ; 8
+ BITF *AR0-0%, #01D13h ; 9
+ BITF *AR0+%, #01D14h ; 10
+ BITF *AR0+0%, #01D15h ; 11
+ BITF *AR0(01D16h), #01D17h ; 12
+ BITF *+AR0(01D18h), #01D19h ; 13
+ BITF *+AR0(01D1Ah)%, #01D1Bh ; 14
+ BITF *(01D1Ch), #01D1Dh ; 15
+ BITF *AR1, #01D1Eh ; 16
+ BITF *AR1-, #01D1Fh ; 17
+ BITF *AR1+, #01D20h ; 18
+ BITF *AR1-0B, #01D21h ; 19
+ BITF *AR1-0, #01D22h ; 20
+ BITF *AR1+0, #01D23h ; 21
+ BITF *AR1+0B, #01D24h ; 22
+ BITF *AR1-%, #01D25h ; 23
+ BITF *AR1-0%, #01D26h ; 24
+ BITF *AR1+%, #01D27h ; 25
+ BITF *AR1+0%, #01D28h ; 26
+ BITF *AR1(01D29h), #01D2Ah ; 27
+ BITF *+AR1(01D2Bh), #01D2Ch ; 28
+ BITF *+AR1(01D2Dh)%, #01D2Eh ; 29
+ BITF *(01D2Fh), #01D30h ; 30
+ BITF *AR2, #01D31h ; 31
+ BITF *AR2-, #01D32h ; 32
+ BITF *AR2+, #01D33h ; 33
+ BITF *AR2-0B, #01D34h ; 34
+ BITF *AR2-0, #01D35h ; 35
+ BITF *AR2+0, #01D36h ; 36
+ BITF *AR2+0B, #01D37h ; 37
+ BITF *AR2-%, #01D38h ; 38
+ BITF *AR2-0%, #01D39h ; 39
+ BITF *AR2+%, #01D3Ah ; 40
+ BITF *AR2+0%, #01D3Bh ; 41
+ BITF *AR2(01D3Ch), #01D3Dh ; 42
+ BITF *+AR2(01D3Eh), #01D3Fh ; 43
+ BITF *+AR2(01D40h)%, #01D41h ; 44
+ BITF *(01D42h), #01D43h ; 45
+ BITF *AR3, #01D44h ; 46
+ BITF *AR3-, #01D45h ; 47
+ BITF *AR3+, #01D46h ; 48
+ BITF *AR3-0B, #01D47h ; 49
+ BITF *AR3-0, #01D48h ; 50
+ BITF *AR3+0, #01D49h ; 51
+ BITF *AR3+0B, #01D4Ah ; 52
+ BITF *AR3-%, #01D4Bh ; 53
+ BITF *AR3-0%, #01D4Ch ; 54
+ BITF *AR3+%, #01D4Dh ; 55
+ BITF *AR3+0%, #01D4Eh ; 56
+ BITF *AR3(01D4Fh), #01D50h ; 57
+ BITF *+AR3(01D51h), #01D52h ; 58
+ BITF *+AR3(01D53h)%, #01D54h ; 59
+ BITF *(01D55h), #01D56h ; 60
+ BITF *AR4, #01D57h ; 61
+ BITF *AR4-, #01D58h ; 62
+ BITF *AR4+, #01D59h ; 63
+ BITF *AR4-0B, #01D5Ah ; 64
+ BITF *AR4-0, #01D5Bh ; 65
+ BITF *AR4+0, #01D5Ch ; 66
+ BITF *AR4+0B, #01D5Dh ; 67
+ BITF *AR4-%, #01D5Eh ; 68
+ BITF *AR4-0%, #01D5Fh ; 69
+ BITF *AR4+%, #01D60h ; 70
+ BITF *AR4+0%, #01D61h ; 71
+ BITF *AR4(01D62h), #01D63h ; 72
+ BITF *+AR4(01D64h), #01D65h ; 73
+ BITF *+AR4(01D66h)%, #01D67h ; 74
+ BITF *(01D68h), #01D69h ; 75
+ BITF *AR5, #01D6Ah ; 76
+ BITF *AR5-, #01D6Bh ; 77
+ BITF *AR5+, #01D6Ch ; 78
+ BITF *AR5-0B, #01D6Dh ; 79
+ BITF *AR5-0, #01D6Eh ; 80
+ BITF *AR5+0, #01D6Fh ; 81
+ BITF *AR5+0B, #01D70h ; 82
+ BITF *AR5-%, #01D71h ; 83
+ BITF *AR5-0%, #01D72h ; 84
+ BITF *AR5+%, #01D73h ; 85
+ BITF *AR5+0%, #01D74h ; 86
+ BITF *AR5(01D75h), #01D76h ; 87
+ BITF *+AR5(01D77h), #01D78h ; 88
+ BITF *+AR5(01D79h)%, #01D7Ah ; 89
+ BITF *(01D7Bh), #01D7Ch ; 90
+ BITF *AR6, #01D7Dh ; 91
+ BITF *AR6-, #01D7Eh ; 92
+ BITF *AR6+, #01D7Fh ; 93
+ BITF *AR6-0B, #01D80h ; 94
+ BITF *AR6-0, #01D81h ; 95
+ BITF *AR6+0, #01D82h ; 96
+ BITF *AR6+0B, #01D83h ; 97
+ BITF *AR6-%, #01D84h ; 98
+ BITF *AR6-0%, #01D85h ; 99
+ BITF *AR6+%, #01D86h ; 100
+ BITF *AR6+0%, #01D87h ; 101
+ BITF *AR6(01D88h), #01D89h ; 102
+ BITF *+AR6(01D8Ah), #01D8Bh ; 103
+ BITF *+AR6(01D8Ch)%, #01D8Dh ; 104
+ BITF *(01D8Eh), #01D8Fh ; 105
+ BITF *AR7, #01D90h ; 106
+ BITF *AR7-, #01D91h ; 107
+ BITF *AR7+, #01D92h ; 108
+ BITF *AR7-0B, #01D93h ; 109
+ BITF *AR7-0, #01D94h ; 110
+ BITF *AR7+0, #01D95h ; 111
+ BITF *AR7+0B, #01D96h ; 112
+ BITF *AR7-%, #01D97h ; 113
+ BITF *AR7-0%, #01D98h ; 114
+ BITF *AR7+%, #01D99h ; 115
+ BITF *AR7+0%, #01D9Ah ; 116
+ BITF *AR7(01D9Bh), #01D9Ch ; 117
+ BITF *+AR7(01D9Dh), #01D9Eh ; 118
+ BITF *+AR7(01D9Fh)%, #01DA0h ; 119
+ BITF *(01DA1h), #01DA2h ; 120
+ ; BITT : BITT Smem : 1
+ BITT 59h ; 1
+ ; BITT_I : BITT Smem_I : 120
+ BITT *AR0 ; 1
+ BITT *AR0- ; 2
+ BITT *AR0+ ; 3
+ BITT *AR0-0B ; 4
+ BITT *AR0-0 ; 5
+ BITT *AR0+0 ; 6
+ BITT *AR0+0B ; 7
+ BITT *AR0-% ; 8
+ BITT *AR0-0% ; 9
+ BITT *AR0+% ; 10
+ BITT *AR0+0% ; 11
+ BITT *AR0(01DA3h) ; 12
+ BITT *+AR0(01DA4h) ; 13
+ BITT *+AR0(01DA5h)% ; 14
+ BITT *(01DA6h) ; 15
+ BITT *AR1 ; 16
+ BITT *AR1- ; 17
+ BITT *AR1+ ; 18
+ BITT *AR1-0B ; 19
+ BITT *AR1-0 ; 20
+ BITT *AR1+0 ; 21
+ BITT *AR1+0B ; 22
+ BITT *AR1-% ; 23
+ BITT *AR1-0% ; 24
+ BITT *AR1+% ; 25
+ BITT *AR1+0% ; 26
+ BITT *AR1(01DA7h) ; 27
+ BITT *+AR1(01DA8h) ; 28
+ BITT *+AR1(01DA9h)% ; 29
+ BITT *(01DAAh) ; 30
+ BITT *AR2 ; 31
+ BITT *AR2- ; 32
+ BITT *AR2+ ; 33
+ BITT *AR2-0B ; 34
+ BITT *AR2-0 ; 35
+ BITT *AR2+0 ; 36
+ BITT *AR2+0B ; 37
+ BITT *AR2-% ; 38
+ BITT *AR2-0% ; 39
+ BITT *AR2+% ; 40
+ BITT *AR2+0% ; 41
+ BITT *AR2(01DABh) ; 42
+ BITT *+AR2(01DACh) ; 43
+ BITT *+AR2(01DADh)% ; 44
+ BITT *(01DAEh) ; 45
+ BITT *AR3 ; 46
+ BITT *AR3- ; 47
+ BITT *AR3+ ; 48
+ BITT *AR3-0B ; 49
+ BITT *AR3-0 ; 50
+ BITT *AR3+0 ; 51
+ BITT *AR3+0B ; 52
+ BITT *AR3-% ; 53
+ BITT *AR3-0% ; 54
+ BITT *AR3+% ; 55
+ BITT *AR3+0% ; 56
+ BITT *AR3(01DAFh) ; 57
+ BITT *+AR3(01DB0h) ; 58
+ BITT *+AR3(01DB1h)% ; 59
+ BITT *(01DB2h) ; 60
+ BITT *AR4 ; 61
+ BITT *AR4- ; 62
+ BITT *AR4+ ; 63
+ BITT *AR4-0B ; 64
+ BITT *AR4-0 ; 65
+ BITT *AR4+0 ; 66
+ BITT *AR4+0B ; 67
+ BITT *AR4-% ; 68
+ BITT *AR4-0% ; 69
+ BITT *AR4+% ; 70
+ BITT *AR4+0% ; 71
+ BITT *AR4(01DB3h) ; 72
+ BITT *+AR4(01DB4h) ; 73
+ BITT *+AR4(01DB5h)% ; 74
+ BITT *(01DB6h) ; 75
+ BITT *AR5 ; 76
+ BITT *AR5- ; 77
+ BITT *AR5+ ; 78
+ BITT *AR5-0B ; 79
+ BITT *AR5-0 ; 80
+ BITT *AR5+0 ; 81
+ BITT *AR5+0B ; 82
+ BITT *AR5-% ; 83
+ BITT *AR5-0% ; 84
+ BITT *AR5+% ; 85
+ BITT *AR5+0% ; 86
+ BITT *AR5(01DB7h) ; 87
+ BITT *+AR5(01DB8h) ; 88
+ BITT *+AR5(01DB9h)% ; 89
+ BITT *(01DBAh) ; 90
+ BITT *AR6 ; 91
+ BITT *AR6- ; 92
+ BITT *AR6+ ; 93
+ BITT *AR6-0B ; 94
+ BITT *AR6-0 ; 95
+ BITT *AR6+0 ; 96
+ BITT *AR6+0B ; 97
+ BITT *AR6-% ; 98
+ BITT *AR6-0% ; 99
+ BITT *AR6+% ; 100
+ BITT *AR6+0% ; 101
+ BITT *AR6(01DBBh) ; 102
+ BITT *+AR6(01DBCh) ; 103
+ BITT *+AR6(01DBDh)% ; 104
+ BITT *(01DBEh) ; 105
+ BITT *AR7 ; 106
+ BITT *AR7- ; 107
+ BITT *AR7+ ; 108
+ BITT *AR7-0B ; 109
+ BITT *AR7-0 ; 110
+ BITT *AR7+0 ; 111
+ BITT *AR7+0B ; 112
+ BITT *AR7-% ; 113
+ BITT *AR7-0% ; 114
+ BITT *AR7+% ; 115
+ BITT *AR7+0% ; 116
+ BITT *AR7(01DBFh) ; 117
+ BITT *+AR7(01DC0h) ; 118
+ BITT *+AR7(01DC1h)% ; 119
+ BITT *(01DC2h) ; 120
+ ; Branch : B Pmad : 1
+ B 0028Eh ; 1
+ ; Branch_Z : BD Pmad : 1
+ BD 0028Fh ; 1
+ NOP
+ NOP
+ ; CALA : CALA AB : 2
+ CALA A ; 1
+ CALA B ; 2
+ ; CALAD : CALAD AB : 2
+ CALAD A ; 1
+ NOP
+ NOP
+ CALAD B ; 2
+ NOP
+ NOP
+ ; CALL : CALL Pmad : 1
+ CALL 00290h ; 1
+ ; CALLD : CALLD Pmad : 1
+ CALLD 00291h ; 1
+ NOP
+ NOP
+ ; CC : CC Pmad, cond7 : 159
+ CC 00292h, UNC ; 1
+ CC 00293h, ALT ; 2
+ CC 00294h, BLT ; 3
+ CC 00295h, ALEQ ; 4
+ CC 00296h, BLEQ ; 5
+ CC 00297h, AGT ; 6
+ CC 00298h, BGT ; 7
+ CC 00299h, ANEQ ; 8
+ CC 0029Ah, BNEQ ; 9
+ CC 0029Bh, AGEQ ; 10
+ CC 0029Ch, BGEQ ; 11
+ CC 0029Dh, AEQ ; 12
+ CC 0029Eh, BEQ ; 13
+ CC 0029Fh, ANOV ; 14
+ CC 002A0h, BNOV ; 15
+ CC 002A1h, AOV ; 16
+ CC 002A2h, BOV ; 17
+ CC 002A3h, NTC ; 18
+ CC 002A4h, TC ; 19
+ CC 002A5h, NC ; 20
+ CC 002A6h, C ; 21
+ CC 002A7h, NBIO ; 22
+ CC 002A8h, BIO ; 23
+ CC 002A9h, ALT, ANOV ; 24
+ CC 002AAh, ANOV, ALT ; 25
+ CC 002ABh, BLT, BNOV ; 26
+ CC 002ACh, BNOV, BLT ; 27
+ CC 002ADh, ALT, AOV ; 28
+ CC 002AEh, AOV, ALT ; 29
+ CC 002AFh, BLT, BOV ; 30
+ CC 002B0h, BOV, BLT ; 31
+ CC 002B1h, ALEQ, ANOV ; 32
+ CC 002B2h, ANOV, ALEQ ; 33
+ CC 002B3h, BLEQ, BNOV ; 34
+ CC 002B4h, BNOV, BLEQ ; 35
+ CC 002B5h, ALEQ, AOV ; 36
+ CC 002B6h, AOV, ALEQ ; 37
+ CC 002B7h, BLEQ, BOV ; 38
+ CC 002B8h, BOV, BLEQ ; 39
+ CC 002B9h, AGT, ANOV ; 40
+ CC 002BAh, ANOV, AGT ; 41
+ CC 002BBh, BGT, BNOV ; 42
+ CC 002BCh, BNOV, BGT ; 43
+ CC 002BDh, AGT, AOV ; 44
+ CC 002BEh, AOV, AGT ; 45
+ CC 002BFh, BGT, BOV ; 46
+ CC 002C0h, BOV, BGT ; 47
+ CC 002C1h, ANEQ, ANOV ; 48
+ CC 002C2h, ANOV, ANEQ ; 49
+ CC 002C3h, BNEQ, BNOV ; 50
+ CC 002C4h, BNOV, BNEQ ; 51
+ CC 002C5h, ANEQ, AOV ; 52
+ CC 002C6h, AOV, ANEQ ; 53
+ CC 002C7h, BNEQ, BOV ; 54
+ CC 002C8h, BOV, BNEQ ; 55
+ CC 002C9h, AGEQ, ANOV ; 56
+ CC 002CAh, ANOV, AGEQ ; 57
+ CC 002CBh, BGEQ, BNOV ; 58
+ CC 002CCh, BNOV, BGEQ ; 59
+ CC 002CDh, AGEQ, AOV ; 60
+ CC 002CEh, AOV, AGEQ ; 61
+ CC 002CFh, BGEQ, BOV ; 62
+ CC 002D0h, BOV, BGEQ ; 63
+ CC 002D1h, AEQ, ANOV ; 64
+ CC 002D2h, ANOV, AEQ ; 65
+ CC 002D3h, BEQ, BNOV ; 66
+ CC 002D4h, BNOV, BEQ ; 67
+ CC 002D5h, AEQ, AOV ; 68
+ CC 002D6h, AOV, AEQ ; 69
+ CC 002D7h, BEQ, BOV ; 70
+ CC 002D8h, BOV, BEQ ; 71
+ CC 002D9h, NTC, NC, NBIO ; 72
+ CC 002DAh, NTC, NBIO, NC ; 73
+ CC 002DBh, NC, NTC, NBIO ; 74
+ CC 002DCh, NC, NBIO, NTC ; 75
+ CC 002DDh, NBIO, NTC, NC ; 76
+ CC 002DEh, NBIO, NC, NTC ; 77
+ CC 002DFh, NC, NTC ; 78
+ CC 002E0h, NTC, NBIO ; 79
+ CC 002E1h, NBIO, NTC ; 80
+ CC 002E2h, NC, NBIO ; 81
+ CC 002E3h, NBIO, NC ; 82
+ CC 002E4h, NTC, NC, BIO ; 83
+ CC 002E5h, NTC, BIO, NC ; 84
+ CC 002E6h, NC, NTC, BIO ; 85
+ CC 002E7h, NC, BIO, NTC ; 86
+ CC 002E8h, BIO, NTC, NC ; 87
+ CC 002E9h, BIO, NC, NTC ; 88
+ CC 002EAh, NC, NTC ; 89
+ CC 002EBh, NTC, BIO ; 90
+ CC 002ECh, BIO, NTC ; 91
+ CC 002EDh, NC, BIO ; 92
+ CC 002EEh, BIO, NC ; 93
+ CC 002EFh, NTC, C, NBIO ; 94
+ CC 002F0h, NTC, NBIO, C ; 95
+ CC 002F1h, C, NTC, NBIO ; 96
+ CC 002F2h, C, NBIO, NTC ; 97
+ CC 002F3h, NBIO, NTC, C ; 98
+ CC 002F4h, NBIO, C, NTC ; 99
+ CC 002F5h, C, NTC ; 100
+ CC 002F6h, NTC, NBIO ; 101
+ CC 002F7h, NBIO, NTC ; 102
+ CC 002F8h, C, NBIO ; 103
+ CC 002F9h, NBIO, C ; 104
+ CC 002FAh, NTC, C, BIO ; 105
+ CC 002FBh, NTC, BIO, C ; 106
+ CC 002FCh, C, NTC, BIO ; 107
+ CC 002FDh, C, BIO, NTC ; 108
+ CC 002FEh, BIO, NTC, C ; 109
+ CC 002FFh, BIO, C, NTC ; 110
+ CC 00300h, C, NTC ; 111
+ CC 00301h, NTC, BIO ; 112
+ CC 00302h, BIO, NTC ; 113
+ CC 00303h, C, BIO ; 114
+ CC 00304h, BIO, C ; 115
+ CC 00305h, TC, NC, NBIO ; 116
+ CC 00306h, TC, NBIO, NC ; 117
+ CC 00307h, NC, TC, NBIO ; 118
+ CC 00308h, NC, NBIO, TC ; 119
+ CC 00309h, NBIO, TC, NC ; 120
+ CC 0030Ah, NBIO, NC, TC ; 121
+ CC 0030Bh, NC, TC ; 122
+ CC 0030Ch, TC, NBIO ; 123
+ CC 0030Dh, NBIO, TC ; 124
+ CC 0030Eh, NC, NBIO ; 125
+ CC 0030Fh, NBIO, NC ; 126
+ CC 00310h, TC, NC, BIO ; 127
+ CC 00311h, TC, BIO, NC ; 128
+ CC 00312h, NC, TC, BIO ; 129
+ CC 00313h, NC, BIO, TC ; 130
+ CC 00314h, BIO, TC, NC ; 131
+ CC 00315h, BIO, NC, TC ; 132
+ CC 00316h, NC, TC ; 133
+ CC 00317h, TC, BIO ; 134
+ CC 00318h, BIO, TC ; 135
+ CC 00319h, NC, BIO ; 136
+ CC 0031Ah, BIO, NC ; 137
+ CC 0031Bh, TC, C, NBIO ; 138
+ CC 0031Ch, TC, NBIO, C ; 139
+ CC 0031Dh, C, TC, NBIO ; 140
+ CC 0031Eh, C, NBIO, TC ; 141
+ CC 0031Fh, NBIO, TC, C ; 142
+ CC 00320h, NBIO, C, TC ; 143
+ CC 00321h, C, TC ; 144
+ CC 00322h, TC, NBIO ; 145
+ CC 00323h, NBIO, TC ; 146
+ CC 00324h, C, NBIO ; 147
+ CC 00325h, NBIO, C ; 148
+ CC 00326h, TC, C, BIO ; 149
+ CC 00327h, TC, BIO, C ; 150
+ CC 00328h, C, TC, BIO ; 151
+ CC 00329h, C, BIO, TC ; 152
+ CC 0032Ah, BIO, TC, C ; 153
+ CC 0032Bh, BIO, C, TC ; 154
+ CC 0032Ch, C, TC ; 155
+ CC 0032Dh, TC, BIO ; 156
+ CC 0032Eh, BIO, TC ; 157
+ CC 0032Fh, C, BIO ; 158
+ CC 00330h, BIO, C ; 159
+ ; CCD : CCD Pmad, cond7 : 159
+ CCD 00331h, UNC ; 1
+ NOP
+ NOP
+ CCD 00332h, ALT ; 2
+ NOP
+ NOP
+ CCD 00333h, BLT ; 3
+ NOP
+ NOP
+ CCD 00334h, ALEQ ; 4
+ NOP
+ NOP
+ CCD 00335h, BLEQ ; 5
+ NOP
+ NOP
+ CCD 00336h, AGT ; 6
+ NOP
+ NOP
+ CCD 00337h, BGT ; 7
+ NOP
+ NOP
+ CCD 00338h, ANEQ ; 8
+ NOP
+ NOP
+ CCD 00339h, BNEQ ; 9
+ NOP
+ NOP
+ CCD 0033Ah, AGEQ ; 10
+ NOP
+ NOP
+ CCD 0033Bh, BGEQ ; 11
+ NOP
+ NOP
+ CCD 0033Ch, AEQ ; 12
+ NOP
+ NOP
+ CCD 0033Dh, BEQ ; 13
+ NOP
+ NOP
+ CCD 0033Eh, ANOV ; 14
+ NOP
+ NOP
+ CCD 0033Fh, BNOV ; 15
+ NOP
+ NOP
+ CCD 00340h, AOV ; 16
+ NOP
+ NOP
+ CCD 00341h, BOV ; 17
+ NOP
+ NOP
+ CCD 00342h, NTC ; 18
+ NOP
+ NOP
+ CCD 00343h, TC ; 19
+ NOP
+ NOP
+ CCD 00344h, NC ; 20
+ NOP
+ NOP
+ CCD 00345h, C ; 21
+ NOP
+ NOP
+ CCD 00346h, NBIO ; 22
+ NOP
+ NOP
+ CCD 00347h, BIO ; 23
+ NOP
+ NOP
+ CCD 00348h, ALT, ANOV ; 24
+ NOP
+ NOP
+ CCD 00349h, ANOV, ALT ; 25
+ NOP
+ NOP
+ CCD 0034Ah, BLT, BNOV ; 26
+ NOP
+ NOP
+ CCD 0034Bh, BNOV, BLT ; 27
+ NOP
+ NOP
+ CCD 0034Ch, ALT, AOV ; 28
+ NOP
+ NOP
+ CCD 0034Dh, AOV, ALT ; 29
+ NOP
+ NOP
+ CCD 0034Eh, BLT, BOV ; 30
+ NOP
+ NOP
+ CCD 0034Fh, BOV, BLT ; 31
+ NOP
+ NOP
+ CCD 00350h, ALEQ, ANOV ; 32
+ NOP
+ NOP
+ CCD 00351h, ANOV, ALEQ ; 33
+ NOP
+ NOP
+ CCD 00352h, BLEQ, BNOV ; 34
+ NOP
+ NOP
+ CCD 00353h, BNOV, BLEQ ; 35
+ NOP
+ NOP
+ CCD 00354h, ALEQ, AOV ; 36
+ NOP
+ NOP
+ CCD 00355h, AOV, ALEQ ; 37
+ NOP
+ NOP
+ CCD 00356h, BLEQ, BOV ; 38
+ NOP
+ NOP
+ CCD 00357h, BOV, BLEQ ; 39
+ NOP
+ NOP
+ CCD 00358h, AGT, ANOV ; 40
+ NOP
+ NOP
+ CCD 00359h, ANOV, AGT ; 41
+ NOP
+ NOP
+ CCD 0035Ah, BGT, BNOV ; 42
+ NOP
+ NOP
+ CCD 0035Bh, BNOV, BGT ; 43
+ NOP
+ NOP
+ CCD 0035Ch, AGT, AOV ; 44
+ NOP
+ NOP
+ CCD 0035Dh, AOV, AGT ; 45
+ NOP
+ NOP
+ CCD 0035Eh, BGT, BOV ; 46
+ NOP
+ NOP
+ CCD 0035Fh, BOV, BGT ; 47
+ NOP
+ NOP
+ CCD 00360h, ANEQ, ANOV ; 48
+ NOP
+ NOP
+ CCD 00361h, ANOV, ANEQ ; 49
+ NOP
+ NOP
+ CCD 00362h, BNEQ, BNOV ; 50
+ NOP
+ NOP
+ CCD 00363h, BNOV, BNEQ ; 51
+ NOP
+ NOP
+ CCD 00364h, ANEQ, AOV ; 52
+ NOP
+ NOP
+ CCD 00365h, AOV, ANEQ ; 53
+ NOP
+ NOP
+ CCD 00366h, BNEQ, BOV ; 54
+ NOP
+ NOP
+ CCD 00367h, BOV, BNEQ ; 55
+ NOP
+ NOP
+ CCD 00368h, AGEQ, ANOV ; 56
+ NOP
+ NOP
+ CCD 00369h, ANOV, AGEQ ; 57
+ NOP
+ NOP
+ CCD 0036Ah, BGEQ, BNOV ; 58
+ NOP
+ NOP
+ CCD 0036Bh, BNOV, BGEQ ; 59
+ NOP
+ NOP
+ CCD 0036Ch, AGEQ, AOV ; 60
+ NOP
+ NOP
+ CCD 0036Dh, AOV, AGEQ ; 61
+ NOP
+ NOP
+ CCD 0036Eh, BGEQ, BOV ; 62
+ NOP
+ NOP
+ CCD 0036Fh, BOV, BGEQ ; 63
+ NOP
+ NOP
+ CCD 00370h, AEQ, ANOV ; 64
+ NOP
+ NOP
+ CCD 00371h, ANOV, AEQ ; 65
+ NOP
+ NOP
+ CCD 00372h, BEQ, BNOV ; 66
+ NOP
+ NOP
+ CCD 00373h, BNOV, BEQ ; 67
+ NOP
+ NOP
+ CCD 00374h, AEQ, AOV ; 68
+ NOP
+ NOP
+ CCD 00375h, AOV, AEQ ; 69
+ NOP
+ NOP
+ CCD 00376h, BEQ, BOV ; 70
+ NOP
+ NOP
+ CCD 00377h, BOV, BEQ ; 71
+ NOP
+ NOP
+ CCD 00378h, NTC, NC, NBIO ; 72
+ NOP
+ NOP
+ CCD 00379h, NTC, NBIO, NC ; 73
+ NOP
+ NOP
+ CCD 0037Ah, NC, NTC, NBIO ; 74
+ NOP
+ NOP
+ CCD 0037Bh, NC, NBIO, NTC ; 75
+ NOP
+ NOP
+ CCD 0037Ch, NBIO, NTC, NC ; 76
+ NOP
+ NOP
+ CCD 0037Dh, NBIO, NC, NTC ; 77
+ NOP
+ NOP
+ CCD 0037Eh, NC, NTC ; 78
+ NOP
+ NOP
+ CCD 0037Fh, NTC, NBIO ; 79
+ NOP
+ NOP
+ CCD 00380h, NBIO, NTC ; 80
+ NOP
+ NOP
+ CCD 00381h, NC, NBIO ; 81
+ NOP
+ NOP
+ CCD 00382h, NBIO, NC ; 82
+ NOP
+ NOP
+ CCD 00383h, NTC, NC, BIO ; 83
+ NOP
+ NOP
+ CCD 00384h, NTC, BIO, NC ; 84
+ NOP
+ NOP
+ CCD 00385h, NC, NTC, BIO ; 85
+ NOP
+ NOP
+ CCD 00386h, NC, BIO, NTC ; 86
+ NOP
+ NOP
+ CCD 00387h, BIO, NTC, NC ; 87
+ NOP
+ NOP
+ CCD 00388h, BIO, NC, NTC ; 88
+ NOP
+ NOP
+ CCD 00389h, NC, NTC ; 89
+ NOP
+ NOP
+ CCD 0038Ah, NTC, BIO ; 90
+ NOP
+ NOP
+ CCD 0038Bh, BIO, NTC ; 91
+ NOP
+ NOP
+ CCD 0038Ch, NC, BIO ; 92
+ NOP
+ NOP
+ CCD 0038Dh, BIO, NC ; 93
+ NOP
+ NOP
+ CCD 0038Eh, NTC, C, NBIO ; 94
+ NOP
+ NOP
+ CCD 0038Fh, NTC, NBIO, C ; 95
+ NOP
+ NOP
+ CCD 00390h, C, NTC, NBIO ; 96
+ NOP
+ NOP
+ CCD 00391h, C, NBIO, NTC ; 97
+ NOP
+ NOP
+ CCD 00392h, NBIO, NTC, C ; 98
+ NOP
+ NOP
+ CCD 00393h, NBIO, C, NTC ; 99
+ NOP
+ NOP
+ CCD 00394h, C, NTC ; 100
+ NOP
+ NOP
+ CCD 00395h, NTC, NBIO ; 101
+ NOP
+ NOP
+ CCD 00396h, NBIO, NTC ; 102
+ NOP
+ NOP
+ CCD 00397h, C, NBIO ; 103
+ NOP
+ NOP
+ CCD 00398h, NBIO, C ; 104
+ NOP
+ NOP
+ CCD 00399h, NTC, C, BIO ; 105
+ NOP
+ NOP
+ CCD 0039Ah, NTC, BIO, C ; 106
+ NOP
+ NOP
+ CCD 0039Bh, C, NTC, BIO ; 107
+ NOP
+ NOP
+ CCD 0039Ch, C, BIO, NTC ; 108
+ NOP
+ NOP
+ CCD 0039Dh, BIO, NTC, C ; 109
+ NOP
+ NOP
+ CCD 0039Eh, BIO, C, NTC ; 110
+ NOP
+ NOP
+ CCD 0039Fh, C, NTC ; 111
+ NOP
+ NOP
+ CCD 003A0h, NTC, BIO ; 112
+ NOP
+ NOP
+ CCD 003A1h, BIO, NTC ; 113
+ NOP
+ NOP
+ CCD 003A2h, C, BIO ; 114
+ NOP
+ NOP
+ CCD 003A3h, BIO, C ; 115
+ NOP
+ NOP
+ CCD 003A4h, TC, NC, NBIO ; 116
+ NOP
+ NOP
+ CCD 003A5h, TC, NBIO, NC ; 117
+ NOP
+ NOP
+ CCD 003A6h, NC, TC, NBIO ; 118
+ NOP
+ NOP
+ CCD 003A7h, NC, NBIO, TC ; 119
+ NOP
+ NOP
+ CCD 003A8h, NBIO, TC, NC ; 120
+ NOP
+ NOP
+ CCD 003A9h, NBIO, NC, TC ; 121
+ NOP
+ NOP
+ CCD 003AAh, NC, TC ; 122
+ NOP
+ NOP
+ CCD 003ABh, TC, NBIO ; 123
+ NOP
+ NOP
+ CCD 003ACh, NBIO, TC ; 124
+ NOP
+ NOP
+ CCD 003ADh, NC, NBIO ; 125
+ NOP
+ NOP
+ CCD 003AEh, NBIO, NC ; 126
+ NOP
+ NOP
+ CCD 003AFh, TC, NC, BIO ; 127
+ NOP
+ NOP
+ CCD 003B0h, TC, BIO, NC ; 128
+ NOP
+ NOP
+ CCD 003B1h, NC, TC, BIO ; 129
+ NOP
+ NOP
+ CCD 003B2h, NC, BIO, TC ; 130
+ NOP
+ NOP
+ CCD 003B3h, BIO, TC, NC ; 131
+ NOP
+ NOP
+ CCD 003B4h, BIO, NC, TC ; 132
+ NOP
+ NOP
+ CCD 003B5h, NC, TC ; 133
+ NOP
+ NOP
+ CCD 003B6h, TC, BIO ; 134
+ NOP
+ NOP
+ CCD 003B7h, BIO, TC ; 135
+ NOP
+ NOP
+ CCD 003B8h, NC, BIO ; 136
+ NOP
+ NOP
+ CCD 003B9h, BIO, NC ; 137
+ NOP
+ NOP
+ CCD 003BAh, TC, C, NBIO ; 138
+ NOP
+ NOP
+ CCD 003BBh, TC, NBIO, C ; 139
+ NOP
+ NOP
+ CCD 003BCh, C, TC, NBIO ; 140
+ NOP
+ NOP
+ CCD 003BDh, C, NBIO, TC ; 141
+ NOP
+ NOP
+ CCD 003BEh, NBIO, TC, C ; 142
+ NOP
+ NOP
+ CCD 003BFh, NBIO, C, TC ; 143
+ NOP
+ NOP
+ CCD 003C0h, C, TC ; 144
+ NOP
+ NOP
+ CCD 003C1h, TC, NBIO ; 145
+ NOP
+ NOP
+ CCD 003C2h, NBIO, TC ; 146
+ NOP
+ NOP
+ CCD 003C3h, C, NBIO ; 147
+ NOP
+ NOP
+ CCD 003C4h, NBIO, C ; 148
+ NOP
+ NOP
+ CCD 003C5h, TC, C, BIO ; 149
+ NOP
+ NOP
+ CCD 003C6h, TC, BIO, C ; 150
+ NOP
+ NOP
+ CCD 003C7h, C, TC, BIO ; 151
+ NOP
+ NOP
+ CCD 003C8h, C, BIO, TC ; 152
+ NOP
+ NOP
+ CCD 003C9h, BIO, TC, C ; 153
+ NOP
+ NOP
+ CCD 003CAh, BIO, C, TC ; 154
+ NOP
+ NOP
+ CCD 003CBh, C, TC ; 155
+ NOP
+ NOP
+ CCD 003CCh, TC, BIO ; 156
+ NOP
+ NOP
+ CCD 003CDh, BIO, TC ; 157
+ NOP
+ NOP
+ CCD 003CEh, C, BIO ; 158
+ NOP
+ NOP
+ CCD 003CFh, BIO, C ; 159
+ NOP
+ NOP
+ ; CMPL : CMPL AB, AB2 : 6
+ CMPL A, A ; 1
+ CMPL A, B ; 2
+ CMPL A ; 3
+ CMPL B, A ; 4
+ CMPL B, B ; 5
+ CMPL B ; 6
+ ; CMPM : CMPM Smem, lk : 1
+ CMPM 5Ah, #01DC3h ; 1
+ ; CMPM_I : CMPM Smem_I, lk : 120
+ CMPM *AR0, #01DC4h ; 1
+ CMPM *AR0-, #01DC5h ; 2
+ CMPM *AR0+, #01DC6h ; 3
+ CMPM *AR0-0B, #01DC7h ; 4
+ CMPM *AR0-0, #01DC8h ; 5
+ CMPM *AR0+0, #01DC9h ; 6
+ CMPM *AR0+0B, #01DCAh ; 7
+ CMPM *AR0-%, #01DCBh ; 8
+ CMPM *AR0-0%, #01DCCh ; 9
+ CMPM *AR0+%, #01DCDh ; 10
+ CMPM *AR0+0%, #01DCEh ; 11
+ CMPM *AR0(01DCFh), #01DD0h ; 12
+ CMPM *+AR0(01DD1h), #01DD2h ; 13
+ CMPM *+AR0(01DD3h)%, #01DD4h ; 14
+ CMPM *(01DD5h), #01DD6h ; 15
+ CMPM *AR1, #01DD7h ; 16
+ CMPM *AR1-, #01DD8h ; 17
+ CMPM *AR1+, #01DD9h ; 18
+ CMPM *AR1-0B, #01DDAh ; 19
+ CMPM *AR1-0, #01DDBh ; 20
+ CMPM *AR1+0, #01DDCh ; 21
+ CMPM *AR1+0B, #01DDDh ; 22
+ CMPM *AR1-%, #01DDEh ; 23
+ CMPM *AR1-0%, #01DDFh ; 24
+ CMPM *AR1+%, #01DE0h ; 25
+ CMPM *AR1+0%, #01DE1h ; 26
+ CMPM *AR1(01DE2h), #01DE3h ; 27
+ CMPM *+AR1(01DE4h), #01DE5h ; 28
+ CMPM *+AR1(01DE6h)%, #01DE7h ; 29
+ CMPM *(01DE8h), #01DE9h ; 30
+ CMPM *AR2, #01DEAh ; 31
+ CMPM *AR2-, #01DEBh ; 32
+ CMPM *AR2+, #01DECh ; 33
+ CMPM *AR2-0B, #01DEDh ; 34
+ CMPM *AR2-0, #01DEEh ; 35
+ CMPM *AR2+0, #01DEFh ; 36
+ CMPM *AR2+0B, #01DF0h ; 37
+ CMPM *AR2-%, #01DF1h ; 38
+ CMPM *AR2-0%, #01DF2h ; 39
+ CMPM *AR2+%, #01DF3h ; 40
+ CMPM *AR2+0%, #01DF4h ; 41
+ CMPM *AR2(01DF5h), #01DF6h ; 42
+ CMPM *+AR2(01DF7h), #01DF8h ; 43
+ CMPM *+AR2(01DF9h)%, #01DFAh ; 44
+ CMPM *(01DFBh), #01DFCh ; 45
+ CMPM *AR3, #01DFDh ; 46
+ CMPM *AR3-, #01DFEh ; 47
+ CMPM *AR3+, #01DFFh ; 48
+ CMPM *AR3-0B, #01E00h ; 49
+ CMPM *AR3-0, #01E01h ; 50
+ CMPM *AR3+0, #01E02h ; 51
+ CMPM *AR3+0B, #01E03h ; 52
+ CMPM *AR3-%, #01E04h ; 53
+ CMPM *AR3-0%, #01E05h ; 54
+ CMPM *AR3+%, #01E06h ; 55
+ CMPM *AR3+0%, #01E07h ; 56
+ CMPM *AR3(01E08h), #01E09h ; 57
+ CMPM *+AR3(01E0Ah), #01E0Bh ; 58
+ CMPM *+AR3(01E0Ch)%, #01E0Dh ; 59
+ CMPM *(01E0Eh), #01E0Fh ; 60
+ CMPM *AR4, #01E10h ; 61
+ CMPM *AR4-, #01E11h ; 62
+ CMPM *AR4+, #01E12h ; 63
+ CMPM *AR4-0B, #01E13h ; 64
+ CMPM *AR4-0, #01E14h ; 65
+ CMPM *AR4+0, #01E15h ; 66
+ CMPM *AR4+0B, #01E16h ; 67
+ CMPM *AR4-%, #01E17h ; 68
+ CMPM *AR4-0%, #01E18h ; 69
+ CMPM *AR4+%, #01E19h ; 70
+ CMPM *AR4+0%, #01E1Ah ; 71
+ CMPM *AR4(01E1Bh), #01E1Ch ; 72
+ CMPM *+AR4(01E1Dh), #01E1Eh ; 73
+ CMPM *+AR4(01E1Fh)%, #01E20h ; 74
+ CMPM *(01E21h), #01E22h ; 75
+ CMPM *AR5, #01E23h ; 76
+ CMPM *AR5-, #01E24h ; 77
+ CMPM *AR5+, #01E25h ; 78
+ CMPM *AR5-0B, #01E26h ; 79
+ CMPM *AR5-0, #01E27h ; 80
+ CMPM *AR5+0, #01E28h ; 81
+ CMPM *AR5+0B, #01E29h ; 82
+ CMPM *AR5-%, #01E2Ah ; 83
+ CMPM *AR5-0%, #01E2Bh ; 84
+ CMPM *AR5+%, #01E2Ch ; 85
+ CMPM *AR5+0%, #01E2Dh ; 86
+ CMPM *AR5(01E2Eh), #01E2Fh ; 87
+ CMPM *+AR5(01E30h), #01E31h ; 88
+ CMPM *+AR5(01E32h)%, #01E33h ; 89
+ CMPM *(01E34h), #01E35h ; 90
+ CMPM *AR6, #01E36h ; 91
+ CMPM *AR6-, #01E37h ; 92
+ CMPM *AR6+, #01E38h ; 93
+ CMPM *AR6-0B, #01E39h ; 94
+ CMPM *AR6-0, #01E3Ah ; 95
+ CMPM *AR6+0, #01E3Bh ; 96
+ CMPM *AR6+0B, #01E3Ch ; 97
+ CMPM *AR6-%, #01E3Dh ; 98
+ CMPM *AR6-0%, #01E3Eh ; 99
+ CMPM *AR6+%, #01E3Fh ; 100
+ CMPM *AR6+0%, #01E40h ; 101
+ CMPM *AR6(01E41h), #01E42h ; 102
+ CMPM *+AR6(01E43h), #01E44h ; 103
+ CMPM *+AR6(01E45h)%, #01E46h ; 104
+ CMPM *(01E47h), #01E48h ; 105
+ CMPM *AR7, #01E49h ; 106
+ CMPM *AR7-, #01E4Ah ; 107
+ CMPM *AR7+, #01E4Bh ; 108
+ CMPM *AR7-0B, #01E4Ch ; 109
+ CMPM *AR7-0, #01E4Dh ; 110
+ CMPM *AR7+0, #01E4Eh ; 111
+ CMPM *AR7+0B, #01E4Fh ; 112
+ CMPM *AR7-%, #01E50h ; 113
+ CMPM *AR7-0%, #01E51h ; 114
+ CMPM *AR7+%, #01E52h ; 115
+ CMPM *AR7+0%, #01E53h ; 116
+ CMPM *AR7(01E54h), #01E55h ; 117
+ CMPM *+AR7(01E56h), #01E57h ; 118
+ CMPM *+AR7(01E58h)%, #01E59h ; 119
+ CMPM *(01E5Ah), #01E5Bh ; 120
+ ; CMPR : CMPR CC, AR : 32
+ CMPR EQ, AR0 ; 1
+ CMPR EQ, AR1 ; 2
+ CMPR EQ, AR2 ; 3
+ CMPR EQ, AR3 ; 4
+ CMPR EQ, AR4 ; 5
+ CMPR EQ, AR5 ; 6
+ CMPR EQ, AR6 ; 7
+ CMPR EQ, AR7 ; 8
+ CMPR LT, AR0 ; 9
+ CMPR LT, AR1 ; 10
+ CMPR LT, AR2 ; 11
+ CMPR LT, AR3 ; 12
+ CMPR LT, AR4 ; 13
+ CMPR LT, AR5 ; 14
+ CMPR LT, AR6 ; 15
+ CMPR LT, AR7 ; 16
+ CMPR GT, AR0 ; 17
+ CMPR GT, AR1 ; 18
+ CMPR GT, AR2 ; 19
+ CMPR GT, AR3 ; 20
+ CMPR GT, AR4 ; 21
+ CMPR GT, AR5 ; 22
+ CMPR GT, AR6 ; 23
+ CMPR GT, AR7 ; 24
+ CMPR NEQ, AR0 ; 25
+ CMPR NEQ, AR1 ; 26
+ CMPR NEQ, AR2 ; 27
+ CMPR NEQ, AR3 ; 28
+ CMPR NEQ, AR4 ; 29
+ CMPR NEQ, AR5 ; 30
+ CMPR NEQ, AR6 ; 31
+ CMPR NEQ, AR7 ; 32
+ ; CMPS : CMPS AB, Smem : 2
+ CMPS A, 5Bh ; 1
+ CMPS B, 5Ch ; 2
+ ; CMPS_I : CMPS AB, Smem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ CMPS A, *AR0 ; 1
+ CMPS A, *AR0- ; 2
+ CMPS A, *AR0+ ; 3
+ CMPS A, *+AR0 ; 4
+ CMPS A, *AR0-0B ; 5
+ CMPS A, *AR0-0 ; 6
+ CMPS A, *AR0+0 ; 7
+ CMPS A, *AR0+0B ; 8
+ CMPS A, *AR0-% ; 9
+ CMPS A, *AR0-0% ; 10
+ CMPS A, *AR0+% ; 11
+ CMPS A, *AR0+0% ; 12
+ CMPS A, *AR0(01E5Ch) ; 13
+ CMPS A, *+AR0(01E5Dh) ; 14
+ CMPS A, *+AR0(01E5Eh)% ; 15
+ CMPS A, *(01E5Fh) ; 16
+ CMPS A, *AR1 ; 17
+ CMPS A, *AR1- ; 18
+ CMPS A, *AR1+ ; 19
+ CMPS A, *+AR1 ; 20
+ CMPS A, *AR1-0B ; 21
+ CMPS A, *AR1-0 ; 22
+ CMPS A, *AR1+0 ; 23
+ CMPS A, *AR1+0B ; 24
+ CMPS A, *AR1-% ; 25
+ CMPS A, *AR1-0% ; 26
+ CMPS A, *AR1+% ; 27
+ CMPS A, *AR1+0% ; 28
+ CMPS A, *AR1(01E60h) ; 29
+ CMPS A, *+AR1(01E61h) ; 30
+ CMPS A, *+AR1(01E62h)% ; 31
+ CMPS A, *(01E63h) ; 32
+ CMPS A, *AR2 ; 33
+ CMPS A, *AR2- ; 34
+ CMPS A, *AR2+ ; 35
+ CMPS A, *+AR2 ; 36
+ CMPS A, *AR2-0B ; 37
+ CMPS A, *AR2-0 ; 38
+ CMPS A, *AR2+0 ; 39
+ CMPS A, *AR2+0B ; 40
+ CMPS A, *AR2-% ; 41
+ CMPS A, *AR2-0% ; 42
+ CMPS A, *AR2+% ; 43
+ CMPS A, *AR2+0% ; 44
+ CMPS A, *AR2(01E64h) ; 45
+ CMPS A, *+AR2(01E65h) ; 46
+ CMPS A, *+AR2(01E66h)% ; 47
+ CMPS A, *(01E67h) ; 48
+ CMPS A, *AR3 ; 49
+ CMPS A, *AR3- ; 50
+ CMPS A, *AR3+ ; 51
+ CMPS A, *+AR3 ; 52
+ CMPS A, *AR3-0B ; 53
+ CMPS A, *AR3-0 ; 54
+ CMPS A, *AR3+0 ; 55
+ CMPS A, *AR3+0B ; 56
+ CMPS A, *AR3-% ; 57
+ CMPS A, *AR3-0% ; 58
+ CMPS A, *AR3+% ; 59
+ CMPS A, *AR3+0% ; 60
+ CMPS A, *AR3(01E68h) ; 61
+ CMPS A, *+AR3(01E69h) ; 62
+ CMPS A, *+AR3(01E6Ah)% ; 63
+ CMPS A, *(01E6Bh) ; 64
+ CMPS A, *AR4 ; 65
+ CMPS A, *AR4- ; 66
+ CMPS A, *AR4+ ; 67
+ CMPS A, *+AR4 ; 68
+ CMPS A, *AR4-0B ; 69
+ CMPS A, *AR4-0 ; 70
+ CMPS A, *AR4+0 ; 71
+ CMPS A, *AR4+0B ; 72
+ CMPS A, *AR4-% ; 73
+ CMPS A, *AR4-0% ; 74
+ CMPS A, *AR4+% ; 75
+ CMPS A, *AR4+0% ; 76
+ CMPS A, *AR4(01E6Ch) ; 77
+ CMPS A, *+AR4(01E6Dh) ; 78
+ CMPS A, *+AR4(01E6Eh)% ; 79
+ CMPS A, *(01E6Fh) ; 80
+ CMPS A, *AR5 ; 81
+ CMPS A, *AR5- ; 82
+ CMPS A, *AR5+ ; 83
+ CMPS A, *+AR5 ; 84
+ CMPS A, *AR5-0B ; 85
+ CMPS A, *AR5-0 ; 86
+ CMPS A, *AR5+0 ; 87
+ CMPS A, *AR5+0B ; 88
+ CMPS A, *AR5-% ; 89
+ CMPS A, *AR5-0% ; 90
+ CMPS A, *AR5+% ; 91
+ CMPS A, *AR5+0% ; 92
+ CMPS A, *AR5(01E70h) ; 93
+ CMPS A, *+AR5(01E71h) ; 94
+ CMPS A, *+AR5(01E72h)% ; 95
+ CMPS A, *(01E73h) ; 96
+ CMPS A, *AR6 ; 97
+ CMPS A, *AR6- ; 98
+ CMPS A, *AR6+ ; 99
+ CMPS A, *+AR6 ; 100
+ CMPS A, *AR6-0B ; 101
+ CMPS A, *AR6-0 ; 102
+ CMPS A, *AR6+0 ; 103
+ CMPS A, *AR6+0B ; 104
+ CMPS A, *AR6-% ; 105
+ CMPS A, *AR6-0% ; 106
+ CMPS A, *AR6+% ; 107
+ CMPS A, *AR6+0% ; 108
+ CMPS A, *AR6(01E74h) ; 109
+ CMPS A, *+AR6(01E75h) ; 110
+ CMPS A, *+AR6(01E76h)% ; 111
+ CMPS A, *(01E77h) ; 112
+ CMPS A, *AR7 ; 113
+ CMPS A, *AR7- ; 114
+ CMPS A, *AR7+ ; 115
+ CMPS A, *+AR7 ; 116
+ CMPS A, *AR7-0B ; 117
+ CMPS A, *AR7-0 ; 118
+ CMPS A, *AR7+0 ; 119
+ CMPS A, *AR7+0B ; 120
+ CMPS A, *AR7-% ; 121
+ CMPS A, *AR7-0% ; 122
+ CMPS A, *AR7+% ; 123
+ CMPS A, *AR7+0% ; 124
+ CMPS A, *AR7(01E78h) ; 125
+ CMPS A, *+AR7(01E79h) ; 126
+ CMPS A, *+AR7(01E7Ah)% ; 127
+ CMPS A, *(01E7Bh) ; 128
+ CMPS B, *AR0 ; 129
+ CMPS B, *AR0- ; 130
+ CMPS B, *AR0+ ; 131
+ CMPS B, *+AR0 ; 132
+ CMPS B, *AR0-0B ; 133
+ CMPS B, *AR0-0 ; 134
+ CMPS B, *AR0+0 ; 135
+ CMPS B, *AR0+0B ; 136
+ CMPS B, *AR0-% ; 137
+ CMPS B, *AR0-0% ; 138
+ CMPS B, *AR0+% ; 139
+ CMPS B, *AR0+0% ; 140
+ CMPS B, *AR0(01E7Ch) ; 141
+ CMPS B, *+AR0(01E7Dh) ; 142
+ CMPS B, *+AR0(01E7Eh)% ; 143
+ CMPS B, *(01E7Fh) ; 144
+ CMPS B, *AR1 ; 145
+ CMPS B, *AR1- ; 146
+ CMPS B, *AR1+ ; 147
+ CMPS B, *+AR1 ; 148
+ CMPS B, *AR1-0B ; 149
+ CMPS B, *AR1-0 ; 150
+ CMPS B, *AR1+0 ; 151
+ CMPS B, *AR1+0B ; 152
+ CMPS B, *AR1-% ; 153
+ CMPS B, *AR1-0% ; 154
+ CMPS B, *AR1+% ; 155
+ CMPS B, *AR1+0% ; 156
+ CMPS B, *AR1(01E80h) ; 157
+ CMPS B, *+AR1(01E81h) ; 158
+ CMPS B, *+AR1(01E82h)% ; 159
+ CMPS B, *(01E83h) ; 160
+ CMPS B, *AR2 ; 161
+ CMPS B, *AR2- ; 162
+ CMPS B, *AR2+ ; 163
+ CMPS B, *+AR2 ; 164
+ CMPS B, *AR2-0B ; 165
+ CMPS B, *AR2-0 ; 166
+ CMPS B, *AR2+0 ; 167
+ CMPS B, *AR2+0B ; 168
+ CMPS B, *AR2-% ; 169
+ CMPS B, *AR2-0% ; 170
+ CMPS B, *AR2+% ; 171
+ CMPS B, *AR2+0% ; 172
+ CMPS B, *AR2(01E84h) ; 173
+ CMPS B, *+AR2(01E85h) ; 174
+ CMPS B, *+AR2(01E86h)% ; 175
+ CMPS B, *(01E87h) ; 176
+ CMPS B, *AR3 ; 177
+ CMPS B, *AR3- ; 178
+ CMPS B, *AR3+ ; 179
+ CMPS B, *+AR3 ; 180
+ CMPS B, *AR3-0B ; 181
+ CMPS B, *AR3-0 ; 182
+ CMPS B, *AR3+0 ; 183
+ CMPS B, *AR3+0B ; 184
+ CMPS B, *AR3-% ; 185
+ CMPS B, *AR3-0% ; 186
+ CMPS B, *AR3+% ; 187
+ CMPS B, *AR3+0% ; 188
+ CMPS B, *AR3(01E88h) ; 189
+ CMPS B, *+AR3(01E89h) ; 190
+ CMPS B, *+AR3(01E8Ah)% ; 191
+ CMPS B, *(01E8Bh) ; 192
+ CMPS B, *AR4 ; 193
+ CMPS B, *AR4- ; 194
+ CMPS B, *AR4+ ; 195
+ CMPS B, *+AR4 ; 196
+ CMPS B, *AR4-0B ; 197
+ CMPS B, *AR4-0 ; 198
+ CMPS B, *AR4+0 ; 199
+ CMPS B, *AR4+0B ; 200
+ CMPS B, *AR4-% ; 201
+ CMPS B, *AR4-0% ; 202
+ CMPS B, *AR4+% ; 203
+ CMPS B, *AR4+0% ; 204
+ CMPS B, *AR4(01E8Ch) ; 205
+ CMPS B, *+AR4(01E8Dh) ; 206
+ CMPS B, *+AR4(01E8Eh)% ; 207
+ CMPS B, *(01E8Fh) ; 208
+ CMPS B, *AR5 ; 209
+ CMPS B, *AR5- ; 210
+ CMPS B, *AR5+ ; 211
+ CMPS B, *+AR5 ; 212
+ CMPS B, *AR5-0B ; 213
+ CMPS B, *AR5-0 ; 214
+ CMPS B, *AR5+0 ; 215
+ CMPS B, *AR5+0B ; 216
+ CMPS B, *AR5-% ; 217
+ CMPS B, *AR5-0% ; 218
+ CMPS B, *AR5+% ; 219
+ CMPS B, *AR5+0% ; 220
+ CMPS B, *AR5(01E90h) ; 221
+ CMPS B, *+AR5(01E91h) ; 222
+ CMPS B, *+AR5(01E92h)% ; 223
+ CMPS B, *(01E93h) ; 224
+ CMPS B, *AR6 ; 225
+ CMPS B, *AR6- ; 226
+ CMPS B, *AR6+ ; 227
+ CMPS B, *+AR6 ; 228
+ CMPS B, *AR6-0B ; 229
+ CMPS B, *AR6-0 ; 230
+ CMPS B, *AR6+0 ; 231
+ CMPS B, *AR6+0B ; 232
+ CMPS B, *AR6-% ; 233
+ CMPS B, *AR6-0% ; 234
+ CMPS B, *AR6+% ; 235
+ CMPS B, *AR6+0% ; 236
+ CMPS B, *AR6(01E94h) ; 237
+ CMPS B, *+AR6(01E95h) ; 238
+ CMPS B, *+AR6(01E96h)% ; 239
+ CMPS B, *(01E97h) ; 240
+ CMPS B, *AR7 ; 241
+ CMPS B, *AR7- ; 242
+ CMPS B, *AR7+ ; 243
+ CMPS B, *+AR7 ; 244
+ CMPS B, *AR7-0B ; 245
+ CMPS B, *AR7-0 ; 246
+ CMPS B, *AR7+0 ; 247
+ CMPS B, *AR7+0B ; 248
+ CMPS B, *AR7-% ; 249
+ CMPS B, *AR7-0% ; 250
+ CMPS B, *AR7+% ; 251
+ CMPS B, *AR7+0% ; 252
+ CMPS B, *AR7(01E98h) ; 253
+ CMPS B, *+AR7(01E99h) ; 254
+ CMPS B, *+AR7(01E9Ah)% ; 255
+ CMPS B, *(01E9Bh) ; 256
+ ; DADD : DADD Lmem, AB, AB2 : 6
+ DADD 5Dh, A, A ; 1
+ DADD 5Eh, A, B ; 2
+ DADD 5Fh, A ; 3
+ DADD 60h, B, A ; 4
+ DADD 61h, B, B ; 5
+ DADD 62h, B ; 6
+ ; DADD_I : DADD Lmem_I, AB, AB2 : 720
+ DADD *AR0, A, A ; 1
+ DADD *AR0, A, B ; 2
+ DADD *AR0, A ; 3
+ DADD *AR0, B, A ; 4
+ DADD *AR0, B, B ; 5
+ DADD *AR0, B ; 6
+ DADD *AR0-, A, A ; 7
+ DADD *AR0-, A, B ; 8
+ DADD *AR0-, A ; 9
+ DADD *AR0-, B, A ; 10
+ DADD *AR0-, B, B ; 11
+ DADD *AR0-, B ; 12
+ DADD *AR0+, A, A ; 13
+ DADD *AR0+, A, B ; 14
+ DADD *AR0+, A ; 15
+ DADD *AR0+, B, A ; 16
+ DADD *AR0+, B, B ; 17
+ DADD *AR0+, B ; 18
+ DADD *AR0-0B, A, A ; 19
+ DADD *AR0-0B, A, B ; 20
+ DADD *AR0-0B, A ; 21
+ DADD *AR0-0B, B, A ; 22
+ DADD *AR0-0B, B, B ; 23
+ DADD *AR0-0B, B ; 24
+ DADD *AR0-0, A, A ; 25
+ DADD *AR0-0, A, B ; 26
+ DADD *AR0-0, A ; 27
+ DADD *AR0-0, B, A ; 28
+ DADD *AR0-0, B, B ; 29
+ DADD *AR0-0, B ; 30
+ DADD *AR0+0, A, A ; 31
+ DADD *AR0+0, A, B ; 32
+ DADD *AR0+0, A ; 33
+ DADD *AR0+0, B, A ; 34
+ DADD *AR0+0, B, B ; 35
+ DADD *AR0+0, B ; 36
+ DADD *AR0+0B, A, A ; 37
+ DADD *AR0+0B, A, B ; 38
+ DADD *AR0+0B, A ; 39
+ DADD *AR0+0B, B, A ; 40
+ DADD *AR0+0B, B, B ; 41
+ DADD *AR0+0B, B ; 42
+ DADD *AR0-%, A, A ; 43
+ DADD *AR0-%, A, B ; 44
+ DADD *AR0-%, A ; 45
+ DADD *AR0-%, B, A ; 46
+ DADD *AR0-%, B, B ; 47
+ DADD *AR0-%, B ; 48
+ DADD *AR0-0%, A, A ; 49
+ DADD *AR0-0%, A, B ; 50
+ DADD *AR0-0%, A ; 51
+ DADD *AR0-0%, B, A ; 52
+ DADD *AR0-0%, B, B ; 53
+ DADD *AR0-0%, B ; 54
+ DADD *AR0+%, A, A ; 55
+ DADD *AR0+%, A, B ; 56
+ DADD *AR0+%, A ; 57
+ DADD *AR0+%, B, A ; 58
+ DADD *AR0+%, B, B ; 59
+ DADD *AR0+%, B ; 60
+ DADD *AR0+0%, A, A ; 61
+ DADD *AR0+0%, A, B ; 62
+ DADD *AR0+0%, A ; 63
+ DADD *AR0+0%, B, A ; 64
+ DADD *AR0+0%, B, B ; 65
+ DADD *AR0+0%, B ; 66
+ DADD *AR0(01E9Ch), A, A ; 67
+ DADD *AR0(01E9Dh), A, B ; 68
+ DADD *AR0(01E9Eh), A ; 69
+ DADD *AR0(01E9Fh), B, A ; 70
+ DADD *AR0(01EA0h), B, B ; 71
+ DADD *AR0(01EA1h), B ; 72
+ DADD *+AR0(01EA2h), A, A ; 73
+ DADD *+AR0(01EA3h), A, B ; 74
+ DADD *+AR0(01EA4h), A ; 75
+ DADD *+AR0(01EA5h), B, A ; 76
+ DADD *+AR0(01EA6h), B, B ; 77
+ DADD *+AR0(01EA7h), B ; 78
+ DADD *+AR0(01EA8h)%, A, A ; 79
+ DADD *+AR0(01EA9h)%, A, B ; 80
+ DADD *+AR0(01EAAh)%, A ; 81
+ DADD *+AR0(01EABh)%, B, A ; 82
+ DADD *+AR0(01EACh)%, B, B ; 83
+ DADD *+AR0(01EADh)%, B ; 84
+ DADD *(01EAEh), A, A ; 85
+ DADD *(01EAFh), A, B ; 86
+ DADD *(01EB0h), A ; 87
+ DADD *(01EB1h), B, A ; 88
+ DADD *(01EB2h), B, B ; 89
+ DADD *(01EB3h), B ; 90
+ DADD *AR1, A, A ; 91
+ DADD *AR1, A, B ; 92
+ DADD *AR1, A ; 93
+ DADD *AR1, B, A ; 94
+ DADD *AR1, B, B ; 95
+ DADD *AR1, B ; 96
+ DADD *AR1-, A, A ; 97
+ DADD *AR1-, A, B ; 98
+ DADD *AR1-, A ; 99
+ DADD *AR1-, B, A ; 100
+ DADD *AR1-, B, B ; 101
+ DADD *AR1-, B ; 102
+ DADD *AR1+, A, A ; 103
+ DADD *AR1+, A, B ; 104
+ DADD *AR1+, A ; 105
+ DADD *AR1+, B, A ; 106
+ DADD *AR1+, B, B ; 107
+ DADD *AR1+, B ; 108
+ DADD *AR1-0B, A, A ; 109
+ DADD *AR1-0B, A, B ; 110
+ DADD *AR1-0B, A ; 111
+ DADD *AR1-0B, B, A ; 112
+ DADD *AR1-0B, B, B ; 113
+ DADD *AR1-0B, B ; 114
+ DADD *AR1-0, A, A ; 115
+ DADD *AR1-0, A, B ; 116
+ DADD *AR1-0, A ; 117
+ DADD *AR1-0, B, A ; 118
+ DADD *AR1-0, B, B ; 119
+ DADD *AR1-0, B ; 120
+ DADD *AR1+0, A, A ; 121
+ DADD *AR1+0, A, B ; 122
+ DADD *AR1+0, A ; 123
+ DADD *AR1+0, B, A ; 124
+ DADD *AR1+0, B, B ; 125
+ DADD *AR1+0, B ; 126
+ DADD *AR1+0B, A, A ; 127
+ DADD *AR1+0B, A, B ; 128
+ DADD *AR1+0B, A ; 129
+ DADD *AR1+0B, B, A ; 130
+ DADD *AR1+0B, B, B ; 131
+ DADD *AR1+0B, B ; 132
+ DADD *AR1-%, A, A ; 133
+ DADD *AR1-%, A, B ; 134
+ DADD *AR1-%, A ; 135
+ DADD *AR1-%, B, A ; 136
+ DADD *AR1-%, B, B ; 137
+ DADD *AR1-%, B ; 138
+ DADD *AR1-0%, A, A ; 139
+ DADD *AR1-0%, A, B ; 140
+ DADD *AR1-0%, A ; 141
+ DADD *AR1-0%, B, A ; 142
+ DADD *AR1-0%, B, B ; 143
+ DADD *AR1-0%, B ; 144
+ DADD *AR1+%, A, A ; 145
+ DADD *AR1+%, A, B ; 146
+ DADD *AR1+%, A ; 147
+ DADD *AR1+%, B, A ; 148
+ DADD *AR1+%, B, B ; 149
+ DADD *AR1+%, B ; 150
+ DADD *AR1+0%, A, A ; 151
+ DADD *AR1+0%, A, B ; 152
+ DADD *AR1+0%, A ; 153
+ DADD *AR1+0%, B, A ; 154
+ DADD *AR1+0%, B, B ; 155
+ DADD *AR1+0%, B ; 156
+ DADD *AR1(01EB4h), A, A ; 157
+ DADD *AR1(01EB5h), A, B ; 158
+ DADD *AR1(01EB6h), A ; 159
+ DADD *AR1(01EB7h), B, A ; 160
+ DADD *AR1(01EB8h), B, B ; 161
+ DADD *AR1(01EB9h), B ; 162
+ DADD *+AR1(01EBAh), A, A ; 163
+ DADD *+AR1(01EBBh), A, B ; 164
+ DADD *+AR1(01EBCh), A ; 165
+ DADD *+AR1(01EBDh), B, A ; 166
+ DADD *+AR1(01EBEh), B, B ; 167
+ DADD *+AR1(01EBFh), B ; 168
+ DADD *+AR1(01EC0h)%, A, A ; 169
+ DADD *+AR1(01EC1h)%, A, B ; 170
+ DADD *+AR1(01EC2h)%, A ; 171
+ DADD *+AR1(01EC3h)%, B, A ; 172
+ DADD *+AR1(01EC4h)%, B, B ; 173
+ DADD *+AR1(01EC5h)%, B ; 174
+ DADD *(01EC6h), A, A ; 175
+ DADD *(01EC7h), A, B ; 176
+ DADD *(01EC8h), A ; 177
+ DADD *(01EC9h), B, A ; 178
+ DADD *(01ECAh), B, B ; 179
+ DADD *(01ECBh), B ; 180
+ DADD *AR2, A, A ; 181
+ DADD *AR2, A, B ; 182
+ DADD *AR2, A ; 183
+ DADD *AR2, B, A ; 184
+ DADD *AR2, B, B ; 185
+ DADD *AR2, B ; 186
+ DADD *AR2-, A, A ; 187
+ DADD *AR2-, A, B ; 188
+ DADD *AR2-, A ; 189
+ DADD *AR2-, B, A ; 190
+ DADD *AR2-, B, B ; 191
+ DADD *AR2-, B ; 192
+ DADD *AR2+, A, A ; 193
+ DADD *AR2+, A, B ; 194
+ DADD *AR2+, A ; 195
+ DADD *AR2+, B, A ; 196
+ DADD *AR2+, B, B ; 197
+ DADD *AR2+, B ; 198
+ DADD *AR2-0B, A, A ; 199
+ DADD *AR2-0B, A, B ; 200
+ DADD *AR2-0B, A ; 201
+ DADD *AR2-0B, B, A ; 202
+ DADD *AR2-0B, B, B ; 203
+ DADD *AR2-0B, B ; 204
+ DADD *AR2-0, A, A ; 205
+ DADD *AR2-0, A, B ; 206
+ DADD *AR2-0, A ; 207
+ DADD *AR2-0, B, A ; 208
+ DADD *AR2-0, B, B ; 209
+ DADD *AR2-0, B ; 210
+ DADD *AR2+0, A, A ; 211
+ DADD *AR2+0, A, B ; 212
+ DADD *AR2+0, A ; 213
+ DADD *AR2+0, B, A ; 214
+ DADD *AR2+0, B, B ; 215
+ DADD *AR2+0, B ; 216
+ DADD *AR2+0B, A, A ; 217
+ DADD *AR2+0B, A, B ; 218
+ DADD *AR2+0B, A ; 219
+ DADD *AR2+0B, B, A ; 220
+ DADD *AR2+0B, B, B ; 221
+ DADD *AR2+0B, B ; 222
+ DADD *AR2-%, A, A ; 223
+ DADD *AR2-%, A, B ; 224
+ DADD *AR2-%, A ; 225
+ DADD *AR2-%, B, A ; 226
+ DADD *AR2-%, B, B ; 227
+ DADD *AR2-%, B ; 228
+ DADD *AR2-0%, A, A ; 229
+ DADD *AR2-0%, A, B ; 230
+ DADD *AR2-0%, A ; 231
+ DADD *AR2-0%, B, A ; 232
+ DADD *AR2-0%, B, B ; 233
+ DADD *AR2-0%, B ; 234
+ DADD *AR2+%, A, A ; 235
+ DADD *AR2+%, A, B ; 236
+ DADD *AR2+%, A ; 237
+ DADD *AR2+%, B, A ; 238
+ DADD *AR2+%, B, B ; 239
+ DADD *AR2+%, B ; 240
+ DADD *AR2+0%, A, A ; 241
+ DADD *AR2+0%, A, B ; 242
+ DADD *AR2+0%, A ; 243
+ DADD *AR2+0%, B, A ; 244
+ DADD *AR2+0%, B, B ; 245
+ DADD *AR2+0%, B ; 246
+ DADD *AR2(01ECCh), A, A ; 247
+ DADD *AR2(01ECDh), A, B ; 248
+ DADD *AR2(01ECEh), A ; 249
+ DADD *AR2(01ECFh), B, A ; 250
+ DADD *AR2(01ED0h), B, B ; 251
+ DADD *AR2(01ED1h), B ; 252
+ DADD *+AR2(01ED2h), A, A ; 253
+ DADD *+AR2(01ED3h), A, B ; 254
+ DADD *+AR2(01ED4h), A ; 255
+ DADD *+AR2(01ED5h), B, A ; 256
+ DADD *+AR2(01ED6h), B, B ; 257
+ DADD *+AR2(01ED7h), B ; 258
+ DADD *+AR2(01ED8h)%, A, A ; 259
+ DADD *+AR2(01ED9h)%, A, B ; 260
+ DADD *+AR2(01EDAh)%, A ; 261
+ DADD *+AR2(01EDBh)%, B, A ; 262
+ DADD *+AR2(01EDCh)%, B, B ; 263
+ DADD *+AR2(01EDDh)%, B ; 264
+ DADD *(01EDEh), A, A ; 265
+ DADD *(01EDFh), A, B ; 266
+ DADD *(01EE0h), A ; 267
+ DADD *(01EE1h), B, A ; 268
+ DADD *(01EE2h), B, B ; 269
+ DADD *(01EE3h), B ; 270
+ DADD *AR3, A, A ; 271
+ DADD *AR3, A, B ; 272
+ DADD *AR3, A ; 273
+ DADD *AR3, B, A ; 274
+ DADD *AR3, B, B ; 275
+ DADD *AR3, B ; 276
+ DADD *AR3-, A, A ; 277
+ DADD *AR3-, A, B ; 278
+ DADD *AR3-, A ; 279
+ DADD *AR3-, B, A ; 280
+ DADD *AR3-, B, B ; 281
+ DADD *AR3-, B ; 282
+ DADD *AR3+, A, A ; 283
+ DADD *AR3+, A, B ; 284
+ DADD *AR3+, A ; 285
+ DADD *AR3+, B, A ; 286
+ DADD *AR3+, B, B ; 287
+ DADD *AR3+, B ; 288
+ DADD *AR3-0B, A, A ; 289
+ DADD *AR3-0B, A, B ; 290
+ DADD *AR3-0B, A ; 291
+ DADD *AR3-0B, B, A ; 292
+ DADD *AR3-0B, B, B ; 293
+ DADD *AR3-0B, B ; 294
+ DADD *AR3-0, A, A ; 295
+ DADD *AR3-0, A, B ; 296
+ DADD *AR3-0, A ; 297
+ DADD *AR3-0, B, A ; 298
+ DADD *AR3-0, B, B ; 299
+ DADD *AR3-0, B ; 300
+ DADD *AR3+0, A, A ; 301
+ DADD *AR3+0, A, B ; 302
+ DADD *AR3+0, A ; 303
+ DADD *AR3+0, B, A ; 304
+ DADD *AR3+0, B, B ; 305
+ DADD *AR3+0, B ; 306
+ DADD *AR3+0B, A, A ; 307
+ DADD *AR3+0B, A, B ; 308
+ DADD *AR3+0B, A ; 309
+ DADD *AR3+0B, B, A ; 310
+ DADD *AR3+0B, B, B ; 311
+ DADD *AR3+0B, B ; 312
+ DADD *AR3-%, A, A ; 313
+ DADD *AR3-%, A, B ; 314
+ DADD *AR3-%, A ; 315
+ DADD *AR3-%, B, A ; 316
+ DADD *AR3-%, B, B ; 317
+ DADD *AR3-%, B ; 318
+ DADD *AR3-0%, A, A ; 319
+ DADD *AR3-0%, A, B ; 320
+ DADD *AR3-0%, A ; 321
+ DADD *AR3-0%, B, A ; 322
+ DADD *AR3-0%, B, B ; 323
+ DADD *AR3-0%, B ; 324
+ DADD *AR3+%, A, A ; 325
+ DADD *AR3+%, A, B ; 326
+ DADD *AR3+%, A ; 327
+ DADD *AR3+%, B, A ; 328
+ DADD *AR3+%, B, B ; 329
+ DADD *AR3+%, B ; 330
+ DADD *AR3+0%, A, A ; 331
+ DADD *AR3+0%, A, B ; 332
+ DADD *AR3+0%, A ; 333
+ DADD *AR3+0%, B, A ; 334
+ DADD *AR3+0%, B, B ; 335
+ DADD *AR3+0%, B ; 336
+ DADD *AR3(01EE4h), A, A ; 337
+ DADD *AR3(01EE5h), A, B ; 338
+ DADD *AR3(01EE6h), A ; 339
+ DADD *AR3(01EE7h), B, A ; 340
+ DADD *AR3(01EE8h), B, B ; 341
+ DADD *AR3(01EE9h), B ; 342
+ DADD *+AR3(01EEAh), A, A ; 343
+ DADD *+AR3(01EEBh), A, B ; 344
+ DADD *+AR3(01EECh), A ; 345
+ DADD *+AR3(01EEDh), B, A ; 346
+ DADD *+AR3(01EEEh), B, B ; 347
+ DADD *+AR3(01EEFh), B ; 348
+ DADD *+AR3(01EF0h)%, A, A ; 349
+ DADD *+AR3(01EF1h)%, A, B ; 350
+ DADD *+AR3(01EF2h)%, A ; 351
+ DADD *+AR3(01EF3h)%, B, A ; 352
+ DADD *+AR3(01EF4h)%, B, B ; 353
+ DADD *+AR3(01EF5h)%, B ; 354
+ DADD *(01EF6h), A, A ; 355
+ DADD *(01EF7h), A, B ; 356
+ DADD *(01EF8h), A ; 357
+ DADD *(01EF9h), B, A ; 358
+ DADD *(01EFAh), B, B ; 359
+ DADD *(01EFBh), B ; 360
+ DADD *AR4, A, A ; 361
+ DADD *AR4, A, B ; 362
+ DADD *AR4, A ; 363
+ DADD *AR4, B, A ; 364
+ DADD *AR4, B, B ; 365
+ DADD *AR4, B ; 366
+ DADD *AR4-, A, A ; 367
+ DADD *AR4-, A, B ; 368
+ DADD *AR4-, A ; 369
+ DADD *AR4-, B, A ; 370
+ DADD *AR4-, B, B ; 371
+ DADD *AR4-, B ; 372
+ DADD *AR4+, A, A ; 373
+ DADD *AR4+, A, B ; 374
+ DADD *AR4+, A ; 375
+ DADD *AR4+, B, A ; 376
+ DADD *AR4+, B, B ; 377
+ DADD *AR4+, B ; 378
+ DADD *AR4-0B, A, A ; 379
+ DADD *AR4-0B, A, B ; 380
+ DADD *AR4-0B, A ; 381
+ DADD *AR4-0B, B, A ; 382
+ DADD *AR4-0B, B, B ; 383
+ DADD *AR4-0B, B ; 384
+ DADD *AR4-0, A, A ; 385
+ DADD *AR4-0, A, B ; 386
+ DADD *AR4-0, A ; 387
+ DADD *AR4-0, B, A ; 388
+ DADD *AR4-0, B, B ; 389
+ DADD *AR4-0, B ; 390
+ DADD *AR4+0, A, A ; 391
+ DADD *AR4+0, A, B ; 392
+ DADD *AR4+0, A ; 393
+ DADD *AR4+0, B, A ; 394
+ DADD *AR4+0, B, B ; 395
+ DADD *AR4+0, B ; 396
+ DADD *AR4+0B, A, A ; 397
+ DADD *AR4+0B, A, B ; 398
+ DADD *AR4+0B, A ; 399
+ DADD *AR4+0B, B, A ; 400
+ DADD *AR4+0B, B, B ; 401
+ DADD *AR4+0B, B ; 402
+ DADD *AR4-%, A, A ; 403
+ DADD *AR4-%, A, B ; 404
+ DADD *AR4-%, A ; 405
+ DADD *AR4-%, B, A ; 406
+ DADD *AR4-%, B, B ; 407
+ DADD *AR4-%, B ; 408
+ DADD *AR4-0%, A, A ; 409
+ DADD *AR4-0%, A, B ; 410
+ DADD *AR4-0%, A ; 411
+ DADD *AR4-0%, B, A ; 412
+ DADD *AR4-0%, B, B ; 413
+ DADD *AR4-0%, B ; 414
+ DADD *AR4+%, A, A ; 415
+ DADD *AR4+%, A, B ; 416
+ DADD *AR4+%, A ; 417
+ DADD *AR4+%, B, A ; 418
+ DADD *AR4+%, B, B ; 419
+ DADD *AR4+%, B ; 420
+ DADD *AR4+0%, A, A ; 421
+ DADD *AR4+0%, A, B ; 422
+ DADD *AR4+0%, A ; 423
+ DADD *AR4+0%, B, A ; 424
+ DADD *AR4+0%, B, B ; 425
+ DADD *AR4+0%, B ; 426
+ DADD *AR4(01EFCh), A, A ; 427
+ DADD *AR4(01EFDh), A, B ; 428
+ DADD *AR4(01EFEh), A ; 429
+ DADD *AR4(01EFFh), B, A ; 430
+ DADD *AR4(01F00h), B, B ; 431
+ DADD *AR4(01F01h), B ; 432
+ DADD *+AR4(01F02h), A, A ; 433
+ DADD *+AR4(01F03h), A, B ; 434
+ DADD *+AR4(01F04h), A ; 435
+ DADD *+AR4(01F05h), B, A ; 436
+ DADD *+AR4(01F06h), B, B ; 437
+ DADD *+AR4(01F07h), B ; 438
+ DADD *+AR4(01F08h)%, A, A ; 439
+ DADD *+AR4(01F09h)%, A, B ; 440
+ DADD *+AR4(01F0Ah)%, A ; 441
+ DADD *+AR4(01F0Bh)%, B, A ; 442
+ DADD *+AR4(01F0Ch)%, B, B ; 443
+ DADD *+AR4(01F0Dh)%, B ; 444
+ DADD *(01F0Eh), A, A ; 445
+ DADD *(01F0Fh), A, B ; 446
+ DADD *(01F10h), A ; 447
+ DADD *(01F11h), B, A ; 448
+ DADD *(01F12h), B, B ; 449
+ DADD *(01F13h), B ; 450
+ DADD *AR5, A, A ; 451
+ DADD *AR5, A, B ; 452
+ DADD *AR5, A ; 453
+ DADD *AR5, B, A ; 454
+ DADD *AR5, B, B ; 455
+ DADD *AR5, B ; 456
+ DADD *AR5-, A, A ; 457
+ DADD *AR5-, A, B ; 458
+ DADD *AR5-, A ; 459
+ DADD *AR5-, B, A ; 460
+ DADD *AR5-, B, B ; 461
+ DADD *AR5-, B ; 462
+ DADD *AR5+, A, A ; 463
+ DADD *AR5+, A, B ; 464
+ DADD *AR5+, A ; 465
+ DADD *AR5+, B, A ; 466
+ DADD *AR5+, B, B ; 467
+ DADD *AR5+, B ; 468
+ DADD *AR5-0B, A, A ; 469
+ DADD *AR5-0B, A, B ; 470
+ DADD *AR5-0B, A ; 471
+ DADD *AR5-0B, B, A ; 472
+ DADD *AR5-0B, B, B ; 473
+ DADD *AR5-0B, B ; 474
+ DADD *AR5-0, A, A ; 475
+ DADD *AR5-0, A, B ; 476
+ DADD *AR5-0, A ; 477
+ DADD *AR5-0, B, A ; 478
+ DADD *AR5-0, B, B ; 479
+ DADD *AR5-0, B ; 480
+ DADD *AR5+0, A, A ; 481
+ DADD *AR5+0, A, B ; 482
+ DADD *AR5+0, A ; 483
+ DADD *AR5+0, B, A ; 484
+ DADD *AR5+0, B, B ; 485
+ DADD *AR5+0, B ; 486
+ DADD *AR5+0B, A, A ; 487
+ DADD *AR5+0B, A, B ; 488
+ DADD *AR5+0B, A ; 489
+ DADD *AR5+0B, B, A ; 490
+ DADD *AR5+0B, B, B ; 491
+ DADD *AR5+0B, B ; 492
+ DADD *AR5-%, A, A ; 493
+ DADD *AR5-%, A, B ; 494
+ DADD *AR5-%, A ; 495
+ DADD *AR5-%, B, A ; 496
+ DADD *AR5-%, B, B ; 497
+ DADD *AR5-%, B ; 498
+ DADD *AR5-0%, A, A ; 499
+ DADD *AR5-0%, A, B ; 500
+ DADD *AR5-0%, A ; 501
+ DADD *AR5-0%, B, A ; 502
+ DADD *AR5-0%, B, B ; 503
+ DADD *AR5-0%, B ; 504
+ DADD *AR5+%, A, A ; 505
+ DADD *AR5+%, A, B ; 506
+ DADD *AR5+%, A ; 507
+ DADD *AR5+%, B, A ; 508
+ DADD *AR5+%, B, B ; 509
+ DADD *AR5+%, B ; 510
+ DADD *AR5+0%, A, A ; 511
+ DADD *AR5+0%, A, B ; 512
+ DADD *AR5+0%, A ; 513
+ DADD *AR5+0%, B, A ; 514
+ DADD *AR5+0%, B, B ; 515
+ DADD *AR5+0%, B ; 516
+ DADD *AR5(01F14h), A, A ; 517
+ DADD *AR5(01F15h), A, B ; 518
+ DADD *AR5(01F16h), A ; 519
+ DADD *AR5(01F17h), B, A ; 520
+ DADD *AR5(01F18h), B, B ; 521
+ DADD *AR5(01F19h), B ; 522
+ DADD *+AR5(01F1Ah), A, A ; 523
+ DADD *+AR5(01F1Bh), A, B ; 524
+ DADD *+AR5(01F1Ch), A ; 525
+ DADD *+AR5(01F1Dh), B, A ; 526
+ DADD *+AR5(01F1Eh), B, B ; 527
+ DADD *+AR5(01F1Fh), B ; 528
+ DADD *+AR5(01F20h)%, A, A ; 529
+ DADD *+AR5(01F21h)%, A, B ; 530
+ DADD *+AR5(01F22h)%, A ; 531
+ DADD *+AR5(01F23h)%, B, A ; 532
+ DADD *+AR5(01F24h)%, B, B ; 533
+ DADD *+AR5(01F25h)%, B ; 534
+ DADD *(01F26h), A, A ; 535
+ DADD *(01F27h), A, B ; 536
+ DADD *(01F28h), A ; 537
+ DADD *(01F29h), B, A ; 538
+ DADD *(01F2Ah), B, B ; 539
+ DADD *(01F2Bh), B ; 540
+ DADD *AR6, A, A ; 541
+ DADD *AR6, A, B ; 542
+ DADD *AR6, A ; 543
+ DADD *AR6, B, A ; 544
+ DADD *AR6, B, B ; 545
+ DADD *AR6, B ; 546
+ DADD *AR6-, A, A ; 547
+ DADD *AR6-, A, B ; 548
+ DADD *AR6-, A ; 549
+ DADD *AR6-, B, A ; 550
+ DADD *AR6-, B, B ; 551
+ DADD *AR6-, B ; 552
+ DADD *AR6+, A, A ; 553
+ DADD *AR6+, A, B ; 554
+ DADD *AR6+, A ; 555
+ DADD *AR6+, B, A ; 556
+ DADD *AR6+, B, B ; 557
+ DADD *AR6+, B ; 558
+ DADD *AR6-0B, A, A ; 559
+ DADD *AR6-0B, A, B ; 560
+ DADD *AR6-0B, A ; 561
+ DADD *AR6-0B, B, A ; 562
+ DADD *AR6-0B, B, B ; 563
+ DADD *AR6-0B, B ; 564
+ DADD *AR6-0, A, A ; 565
+ DADD *AR6-0, A, B ; 566
+ DADD *AR6-0, A ; 567
+ DADD *AR6-0, B, A ; 568
+ DADD *AR6-0, B, B ; 569
+ DADD *AR6-0, B ; 570
+ DADD *AR6+0, A, A ; 571
+ DADD *AR6+0, A, B ; 572
+ DADD *AR6+0, A ; 573
+ DADD *AR6+0, B, A ; 574
+ DADD *AR6+0, B, B ; 575
+ DADD *AR6+0, B ; 576
+ DADD *AR6+0B, A, A ; 577
+ DADD *AR6+0B, A, B ; 578
+ DADD *AR6+0B, A ; 579
+ DADD *AR6+0B, B, A ; 580
+ DADD *AR6+0B, B, B ; 581
+ DADD *AR6+0B, B ; 582
+ DADD *AR6-%, A, A ; 583
+ DADD *AR6-%, A, B ; 584
+ DADD *AR6-%, A ; 585
+ DADD *AR6-%, B, A ; 586
+ DADD *AR6-%, B, B ; 587
+ DADD *AR6-%, B ; 588
+ DADD *AR6-0%, A, A ; 589
+ DADD *AR6-0%, A, B ; 590
+ DADD *AR6-0%, A ; 591
+ DADD *AR6-0%, B, A ; 592
+ DADD *AR6-0%, B, B ; 593
+ DADD *AR6-0%, B ; 594
+ DADD *AR6+%, A, A ; 595
+ DADD *AR6+%, A, B ; 596
+ DADD *AR6+%, A ; 597
+ DADD *AR6+%, B, A ; 598
+ DADD *AR6+%, B, B ; 599
+ DADD *AR6+%, B ; 600
+ DADD *AR6+0%, A, A ; 601
+ DADD *AR6+0%, A, B ; 602
+ DADD *AR6+0%, A ; 603
+ DADD *AR6+0%, B, A ; 604
+ DADD *AR6+0%, B, B ; 605
+ DADD *AR6+0%, B ; 606
+ DADD *AR6(01F2Ch), A, A ; 607
+ DADD *AR6(01F2Dh), A, B ; 608
+ DADD *AR6(01F2Eh), A ; 609
+ DADD *AR6(01F2Fh), B, A ; 610
+ DADD *AR6(01F30h), B, B ; 611
+ DADD *AR6(01F31h), B ; 612
+ DADD *+AR6(01F32h), A, A ; 613
+ DADD *+AR6(01F33h), A, B ; 614
+ DADD *+AR6(01F34h), A ; 615
+ DADD *+AR6(01F35h), B, A ; 616
+ DADD *+AR6(01F36h), B, B ; 617
+ DADD *+AR6(01F37h), B ; 618
+ DADD *+AR6(01F38h)%, A, A ; 619
+ DADD *+AR6(01F39h)%, A, B ; 620
+ DADD *+AR6(01F3Ah)%, A ; 621
+ DADD *+AR6(01F3Bh)%, B, A ; 622
+ DADD *+AR6(01F3Ch)%, B, B ; 623
+ DADD *+AR6(01F3Dh)%, B ; 624
+ DADD *(01F3Eh), A, A ; 625
+ DADD *(01F3Fh), A, B ; 626
+ DADD *(01F40h), A ; 627
+ DADD *(01F41h), B, A ; 628
+ DADD *(01F42h), B, B ; 629
+ DADD *(01F43h), B ; 630
+ DADD *AR7, A, A ; 631
+ DADD *AR7, A, B ; 632
+ DADD *AR7, A ; 633
+ DADD *AR7, B, A ; 634
+ DADD *AR7, B, B ; 635
+ DADD *AR7, B ; 636
+ DADD *AR7-, A, A ; 637
+ DADD *AR7-, A, B ; 638
+ DADD *AR7-, A ; 639
+ DADD *AR7-, B, A ; 640
+ DADD *AR7-, B, B ; 641
+ DADD *AR7-, B ; 642
+ DADD *AR7+, A, A ; 643
+ DADD *AR7+, A, B ; 644
+ DADD *AR7+, A ; 645
+ DADD *AR7+, B, A ; 646
+ DADD *AR7+, B, B ; 647
+ DADD *AR7+, B ; 648
+ DADD *AR7-0B, A, A ; 649
+ DADD *AR7-0B, A, B ; 650
+ DADD *AR7-0B, A ; 651
+ DADD *AR7-0B, B, A ; 652
+ DADD *AR7-0B, B, B ; 653
+ DADD *AR7-0B, B ; 654
+ DADD *AR7-0, A, A ; 655
+ DADD *AR7-0, A, B ; 656
+ DADD *AR7-0, A ; 657
+ DADD *AR7-0, B, A ; 658
+ DADD *AR7-0, B, B ; 659
+ DADD *AR7-0, B ; 660
+ DADD *AR7+0, A, A ; 661
+ DADD *AR7+0, A, B ; 662
+ DADD *AR7+0, A ; 663
+ DADD *AR7+0, B, A ; 664
+ DADD *AR7+0, B, B ; 665
+ DADD *AR7+0, B ; 666
+ DADD *AR7+0B, A, A ; 667
+ DADD *AR7+0B, A, B ; 668
+ DADD *AR7+0B, A ; 669
+ DADD *AR7+0B, B, A ; 670
+ DADD *AR7+0B, B, B ; 671
+ DADD *AR7+0B, B ; 672
+ DADD *AR7-%, A, A ; 673
+ DADD *AR7-%, A, B ; 674
+ DADD *AR7-%, A ; 675
+ DADD *AR7-%, B, A ; 676
+ DADD *AR7-%, B, B ; 677
+ DADD *AR7-%, B ; 678
+ DADD *AR7-0%, A, A ; 679
+ DADD *AR7-0%, A, B ; 680
+ DADD *AR7-0%, A ; 681
+ DADD *AR7-0%, B, A ; 682
+ DADD *AR7-0%, B, B ; 683
+ DADD *AR7-0%, B ; 684
+ DADD *AR7+%, A, A ; 685
+ DADD *AR7+%, A, B ; 686
+ DADD *AR7+%, A ; 687
+ DADD *AR7+%, B, A ; 688
+ DADD *AR7+%, B, B ; 689
+ DADD *AR7+%, B ; 690
+ DADD *AR7+0%, A, A ; 691
+ DADD *AR7+0%, A, B ; 692
+ DADD *AR7+0%, A ; 693
+ DADD *AR7+0%, B, A ; 694
+ DADD *AR7+0%, B, B ; 695
+ DADD *AR7+0%, B ; 696
+ DADD *AR7(01F44h), A, A ; 697
+ DADD *AR7(01F45h), A, B ; 698
+ DADD *AR7(01F46h), A ; 699
+ DADD *AR7(01F47h), B, A ; 700
+ DADD *AR7(01F48h), B, B ; 701
+ DADD *AR7(01F49h), B ; 702
+ DADD *+AR7(01F4Ah), A, A ; 703
+ DADD *+AR7(01F4Bh), A, B ; 704
+ DADD *+AR7(01F4Ch), A ; 705
+ DADD *+AR7(01F4Dh), B, A ; 706
+ DADD *+AR7(01F4Eh), B, B ; 707
+ DADD *+AR7(01F4Fh), B ; 708
+ DADD *+AR7(01F50h)%, A, A ; 709
+ DADD *+AR7(01F51h)%, A, B ; 710
+ DADD *+AR7(01F52h)%, A ; 711
+ DADD *+AR7(01F53h)%, B, A ; 712
+ DADD *+AR7(01F54h)%, B, B ; 713
+ DADD *+AR7(01F55h)%, B ; 714
+ DADD *(01F56h), A, A ; 715
+ DADD *(01F57h), A, B ; 716
+ DADD *(01F58h), A ; 717
+ DADD *(01F59h), B, A ; 718
+ DADD *(01F5Ah), B, B ; 719
+ DADD *(01F5Bh), B ; 720
+ ; DADST : DADST Lmem, AB : 2
+ DADST 63h, A ; 1
+ DADST 64h, B ; 2
+ ; DADST_I : DADST Lmem_I, AB : 240
+ DADST *AR0, A ; 1
+ DADST *AR0, B ; 2
+ DADST *AR0-, A ; 3
+ DADST *AR0-, B ; 4
+ DADST *AR0+, A ; 5
+ DADST *AR0+, B ; 6
+ DADST *AR0-0B, A ; 7
+ DADST *AR0-0B, B ; 8
+ DADST *AR0-0, A ; 9
+ DADST *AR0-0, B ; 10
+ DADST *AR0+0, A ; 11
+ DADST *AR0+0, B ; 12
+ DADST *AR0+0B, A ; 13
+ DADST *AR0+0B, B ; 14
+ DADST *AR0-%, A ; 15
+ DADST *AR0-%, B ; 16
+ DADST *AR0-0%, A ; 17
+ DADST *AR0-0%, B ; 18
+ DADST *AR0+%, A ; 19
+ DADST *AR0+%, B ; 20
+ DADST *AR0+0%, A ; 21
+ DADST *AR0+0%, B ; 22
+ DADST *AR0(01F5Ch), A ; 23
+ DADST *AR0(01F5Dh), B ; 24
+ DADST *+AR0(01F5Eh), A ; 25
+ DADST *+AR0(01F5Fh), B ; 26
+ DADST *+AR0(01F60h)%, A ; 27
+ DADST *+AR0(01F61h)%, B ; 28
+ DADST *(01F62h), A ; 29
+ DADST *(01F63h), B ; 30
+ DADST *AR1, A ; 31
+ DADST *AR1, B ; 32
+ DADST *AR1-, A ; 33
+ DADST *AR1-, B ; 34
+ DADST *AR1+, A ; 35
+ DADST *AR1+, B ; 36
+ DADST *AR1-0B, A ; 37
+ DADST *AR1-0B, B ; 38
+ DADST *AR1-0, A ; 39
+ DADST *AR1-0, B ; 40
+ DADST *AR1+0, A ; 41
+ DADST *AR1+0, B ; 42
+ DADST *AR1+0B, A ; 43
+ DADST *AR1+0B, B ; 44
+ DADST *AR1-%, A ; 45
+ DADST *AR1-%, B ; 46
+ DADST *AR1-0%, A ; 47
+ DADST *AR1-0%, B ; 48
+ DADST *AR1+%, A ; 49
+ DADST *AR1+%, B ; 50
+ DADST *AR1+0%, A ; 51
+ DADST *AR1+0%, B ; 52
+ DADST *AR1(01F64h), A ; 53
+ DADST *AR1(01F65h), B ; 54
+ DADST *+AR1(01F66h), A ; 55
+ DADST *+AR1(01F67h), B ; 56
+ DADST *+AR1(01F68h)%, A ; 57
+ DADST *+AR1(01F69h)%, B ; 58
+ DADST *(01F6Ah), A ; 59
+ DADST *(01F6Bh), B ; 60
+ DADST *AR2, A ; 61
+ DADST *AR2, B ; 62
+ DADST *AR2-, A ; 63
+ DADST *AR2-, B ; 64
+ DADST *AR2+, A ; 65
+ DADST *AR2+, B ; 66
+ DADST *AR2-0B, A ; 67
+ DADST *AR2-0B, B ; 68
+ DADST *AR2-0, A ; 69
+ DADST *AR2-0, B ; 70
+ DADST *AR2+0, A ; 71
+ DADST *AR2+0, B ; 72
+ DADST *AR2+0B, A ; 73
+ DADST *AR2+0B, B ; 74
+ DADST *AR2-%, A ; 75
+ DADST *AR2-%, B ; 76
+ DADST *AR2-0%, A ; 77
+ DADST *AR2-0%, B ; 78
+ DADST *AR2+%, A ; 79
+ DADST *AR2+%, B ; 80
+ DADST *AR2+0%, A ; 81
+ DADST *AR2+0%, B ; 82
+ DADST *AR2(01F6Ch), A ; 83
+ DADST *AR2(01F6Dh), B ; 84
+ DADST *+AR2(01F6Eh), A ; 85
+ DADST *+AR2(01F6Fh), B ; 86
+ DADST *+AR2(01F70h)%, A ; 87
+ DADST *+AR2(01F71h)%, B ; 88
+ DADST *(01F72h), A ; 89
+ DADST *(01F73h), B ; 90
+ DADST *AR3, A ; 91
+ DADST *AR3, B ; 92
+ DADST *AR3-, A ; 93
+ DADST *AR3-, B ; 94
+ DADST *AR3+, A ; 95
+ DADST *AR3+, B ; 96
+ DADST *AR3-0B, A ; 97
+ DADST *AR3-0B, B ; 98
+ DADST *AR3-0, A ; 99
+ DADST *AR3-0, B ; 100
+ DADST *AR3+0, A ; 101
+ DADST *AR3+0, B ; 102
+ DADST *AR3+0B, A ; 103
+ DADST *AR3+0B, B ; 104
+ DADST *AR3-%, A ; 105
+ DADST *AR3-%, B ; 106
+ DADST *AR3-0%, A ; 107
+ DADST *AR3-0%, B ; 108
+ DADST *AR3+%, A ; 109
+ DADST *AR3+%, B ; 110
+ DADST *AR3+0%, A ; 111
+ DADST *AR3+0%, B ; 112
+ DADST *AR3(01F74h), A ; 113
+ DADST *AR3(01F75h), B ; 114
+ DADST *+AR3(01F76h), A ; 115
+ DADST *+AR3(01F77h), B ; 116
+ DADST *+AR3(01F78h)%, A ; 117
+ DADST *+AR3(01F79h)%, B ; 118
+ DADST *(01F7Ah), A ; 119
+ DADST *(01F7Bh), B ; 120
+ DADST *AR4, A ; 121
+ DADST *AR4, B ; 122
+ DADST *AR4-, A ; 123
+ DADST *AR4-, B ; 124
+ DADST *AR4+, A ; 125
+ DADST *AR4+, B ; 126
+ DADST *AR4-0B, A ; 127
+ DADST *AR4-0B, B ; 128
+ DADST *AR4-0, A ; 129
+ DADST *AR4-0, B ; 130
+ DADST *AR4+0, A ; 131
+ DADST *AR4+0, B ; 132
+ DADST *AR4+0B, A ; 133
+ DADST *AR4+0B, B ; 134
+ DADST *AR4-%, A ; 135
+ DADST *AR4-%, B ; 136
+ DADST *AR4-0%, A ; 137
+ DADST *AR4-0%, B ; 138
+ DADST *AR4+%, A ; 139
+ DADST *AR4+%, B ; 140
+ DADST *AR4+0%, A ; 141
+ DADST *AR4+0%, B ; 142
+ DADST *AR4(01F7Ch), A ; 143
+ DADST *AR4(01F7Dh), B ; 144
+ DADST *+AR4(01F7Eh), A ; 145
+ DADST *+AR4(01F7Fh), B ; 146
+ DADST *+AR4(01F80h)%, A ; 147
+ DADST *+AR4(01F81h)%, B ; 148
+ DADST *(01F82h), A ; 149
+ DADST *(01F83h), B ; 150
+ DADST *AR5, A ; 151
+ DADST *AR5, B ; 152
+ DADST *AR5-, A ; 153
+ DADST *AR5-, B ; 154
+ DADST *AR5+, A ; 155
+ DADST *AR5+, B ; 156
+ DADST *AR5-0B, A ; 157
+ DADST *AR5-0B, B ; 158
+ DADST *AR5-0, A ; 159
+ DADST *AR5-0, B ; 160
+ DADST *AR5+0, A ; 161
+ DADST *AR5+0, B ; 162
+ DADST *AR5+0B, A ; 163
+ DADST *AR5+0B, B ; 164
+ DADST *AR5-%, A ; 165
+ DADST *AR5-%, B ; 166
+ DADST *AR5-0%, A ; 167
+ DADST *AR5-0%, B ; 168
+ DADST *AR5+%, A ; 169
+ DADST *AR5+%, B ; 170
+ DADST *AR5+0%, A ; 171
+ DADST *AR5+0%, B ; 172
+ DADST *AR5(01F84h), A ; 173
+ DADST *AR5(01F85h), B ; 174
+ DADST *+AR5(01F86h), A ; 175
+ DADST *+AR5(01F87h), B ; 176
+ DADST *+AR5(01F88h)%, A ; 177
+ DADST *+AR5(01F89h)%, B ; 178
+ DADST *(01F8Ah), A ; 179
+ DADST *(01F8Bh), B ; 180
+ DADST *AR6, A ; 181
+ DADST *AR6, B ; 182
+ DADST *AR6-, A ; 183
+ DADST *AR6-, B ; 184
+ DADST *AR6+, A ; 185
+ DADST *AR6+, B ; 186
+ DADST *AR6-0B, A ; 187
+ DADST *AR6-0B, B ; 188
+ DADST *AR6-0, A ; 189
+ DADST *AR6-0, B ; 190
+ DADST *AR6+0, A ; 191
+ DADST *AR6+0, B ; 192
+ DADST *AR6+0B, A ; 193
+ DADST *AR6+0B, B ; 194
+ DADST *AR6-%, A ; 195
+ DADST *AR6-%, B ; 196
+ DADST *AR6-0%, A ; 197
+ DADST *AR6-0%, B ; 198
+ DADST *AR6+%, A ; 199
+ DADST *AR6+%, B ; 200
+ DADST *AR6+0%, A ; 201
+ DADST *AR6+0%, B ; 202
+ DADST *AR6(01F8Ch), A ; 203
+ DADST *AR6(01F8Dh), B ; 204
+ DADST *+AR6(01F8Eh), A ; 205
+ DADST *+AR6(01F8Fh), B ; 206
+ DADST *+AR6(01F90h)%, A ; 207
+ DADST *+AR6(01F91h)%, B ; 208
+ DADST *(01F92h), A ; 209
+ DADST *(01F93h), B ; 210
+ DADST *AR7, A ; 211
+ DADST *AR7, B ; 212
+ DADST *AR7-, A ; 213
+ DADST *AR7-, B ; 214
+ DADST *AR7+, A ; 215
+ DADST *AR7+, B ; 216
+ DADST *AR7-0B, A ; 217
+ DADST *AR7-0B, B ; 218
+ DADST *AR7-0, A ; 219
+ DADST *AR7-0, B ; 220
+ DADST *AR7+0, A ; 221
+ DADST *AR7+0, B ; 222
+ DADST *AR7+0B, A ; 223
+ DADST *AR7+0B, B ; 224
+ DADST *AR7-%, A ; 225
+ DADST *AR7-%, B ; 226
+ DADST *AR7-0%, A ; 227
+ DADST *AR7-0%, B ; 228
+ DADST *AR7+%, A ; 229
+ DADST *AR7+%, B ; 230
+ DADST *AR7+0%, A ; 231
+ DADST *AR7+0%, B ; 232
+ DADST *AR7(01F94h), A ; 233
+ DADST *AR7(01F95h), B ; 234
+ DADST *+AR7(01F96h), A ; 235
+ DADST *+AR7(01F97h), B ; 236
+ DADST *+AR7(01F98h)%, A ; 237
+ DADST *+AR7(01F99h)%, B ; 238
+ DADST *(01F9Ah), A ; 239
+ DADST *(01F9Bh), B ; 240
+ ; DELAY : DELAY Smem : 1
+ DELAY 65h ; 1
+ ; DELAY_I : DELAY Smem_I : 120
+ DELAY *AR0 ; 1
+ DELAY *AR0- ; 2
+ DELAY *AR0+ ; 3
+ DELAY *AR0-0B ; 4
+ DELAY *AR0-0 ; 5
+ DELAY *AR0+0 ; 6
+ DELAY *AR0+0B ; 7
+ DELAY *AR0-% ; 8
+ DELAY *AR0-0% ; 9
+ DELAY *AR0+% ; 10
+ DELAY *AR0+0% ; 11
+ DELAY *AR0(01F9Ch) ; 12
+ DELAY *+AR0(01F9Dh) ; 13
+ DELAY *+AR0(01F9Eh)% ; 14
+ DELAY *(01F9Fh) ; 15
+ DELAY *AR1 ; 16
+ DELAY *AR1- ; 17
+ DELAY *AR1+ ; 18
+ DELAY *AR1-0B ; 19
+ DELAY *AR1-0 ; 20
+ DELAY *AR1+0 ; 21
+ DELAY *AR1+0B ; 22
+ DELAY *AR1-% ; 23
+ DELAY *AR1-0% ; 24
+ DELAY *AR1+% ; 25
+ DELAY *AR1+0% ; 26
+ DELAY *AR1(01FA0h) ; 27
+ DELAY *+AR1(01FA1h) ; 28
+ DELAY *+AR1(01FA2h)% ; 29
+ DELAY *(01FA3h) ; 30
+ DELAY *AR2 ; 31
+ DELAY *AR2- ; 32
+ DELAY *AR2+ ; 33
+ DELAY *AR2-0B ; 34
+ DELAY *AR2-0 ; 35
+ DELAY *AR2+0 ; 36
+ DELAY *AR2+0B ; 37
+ DELAY *AR2-% ; 38
+ DELAY *AR2-0% ; 39
+ DELAY *AR2+% ; 40
+ DELAY *AR2+0% ; 41
+ DELAY *AR2(01FA4h) ; 42
+ DELAY *+AR2(01FA5h) ; 43
+ DELAY *+AR2(01FA6h)% ; 44
+ DELAY *(01FA7h) ; 45
+ DELAY *AR3 ; 46
+ DELAY *AR3- ; 47
+ DELAY *AR3+ ; 48
+ DELAY *AR3-0B ; 49
+ DELAY *AR3-0 ; 50
+ DELAY *AR3+0 ; 51
+ DELAY *AR3+0B ; 52
+ DELAY *AR3-% ; 53
+ DELAY *AR3-0% ; 54
+ DELAY *AR3+% ; 55
+ DELAY *AR3+0% ; 56
+ DELAY *AR3(01FA8h) ; 57
+ DELAY *+AR3(01FA9h) ; 58
+ DELAY *+AR3(01FAAh)% ; 59
+ DELAY *(01FABh) ; 60
+ DELAY *AR4 ; 61
+ DELAY *AR4- ; 62
+ DELAY *AR4+ ; 63
+ DELAY *AR4-0B ; 64
+ DELAY *AR4-0 ; 65
+ DELAY *AR4+0 ; 66
+ DELAY *AR4+0B ; 67
+ DELAY *AR4-% ; 68
+ DELAY *AR4-0% ; 69
+ DELAY *AR4+% ; 70
+ DELAY *AR4+0% ; 71
+ DELAY *AR4(01FACh) ; 72
+ DELAY *+AR4(01FADh) ; 73
+ DELAY *+AR4(01FAEh)% ; 74
+ DELAY *(01FAFh) ; 75
+ DELAY *AR5 ; 76
+ DELAY *AR5- ; 77
+ DELAY *AR5+ ; 78
+ DELAY *AR5-0B ; 79
+ DELAY *AR5-0 ; 80
+ DELAY *AR5+0 ; 81
+ DELAY *AR5+0B ; 82
+ DELAY *AR5-% ; 83
+ DELAY *AR5-0% ; 84
+ DELAY *AR5+% ; 85
+ DELAY *AR5+0% ; 86
+ DELAY *AR5(01FB0h) ; 87
+ DELAY *+AR5(01FB1h) ; 88
+ DELAY *+AR5(01FB2h)% ; 89
+ DELAY *(01FB3h) ; 90
+ DELAY *AR6 ; 91
+ DELAY *AR6- ; 92
+ DELAY *AR6+ ; 93
+ DELAY *AR6-0B ; 94
+ DELAY *AR6-0 ; 95
+ DELAY *AR6+0 ; 96
+ DELAY *AR6+0B ; 97
+ DELAY *AR6-% ; 98
+ DELAY *AR6-0% ; 99
+ DELAY *AR6+% ; 100
+ DELAY *AR6+0% ; 101
+ DELAY *AR6(01FB4h) ; 102
+ DELAY *+AR6(01FB5h) ; 103
+ DELAY *+AR6(01FB6h)% ; 104
+ DELAY *(01FB7h) ; 105
+ DELAY *AR7 ; 106
+ DELAY *AR7- ; 107
+ DELAY *AR7+ ; 108
+ DELAY *AR7-0B ; 109
+ DELAY *AR7-0 ; 110
+ DELAY *AR7+0 ; 111
+ DELAY *AR7+0B ; 112
+ DELAY *AR7-% ; 113
+ DELAY *AR7-0% ; 114
+ DELAY *AR7+% ; 115
+ DELAY *AR7+0% ; 116
+ DELAY *AR7(01FB8h) ; 117
+ DELAY *+AR7(01FB9h) ; 118
+ DELAY *+AR7(01FBAh)% ; 119
+ DELAY *(01FBBh) ; 120
+ ; DLD : DLD Lmem, AB : 2
+ DLD 66h, A ; 1
+ DLD 67h, B ; 2
+ ; DLD_I : DLD Lmem_I, AB : 240
+ DLD *AR0, A ; 1
+ DLD *AR0, B ; 2
+ DLD *AR0-, A ; 3
+ DLD *AR0-, B ; 4
+ DLD *AR0+, A ; 5
+ DLD *AR0+, B ; 6
+ DLD *AR0-0B, A ; 7
+ DLD *AR0-0B, B ; 8
+ DLD *AR0-0, A ; 9
+ DLD *AR0-0, B ; 10
+ DLD *AR0+0, A ; 11
+ DLD *AR0+0, B ; 12
+ DLD *AR0+0B, A ; 13
+ DLD *AR0+0B, B ; 14
+ DLD *AR0-%, A ; 15
+ DLD *AR0-%, B ; 16
+ DLD *AR0-0%, A ; 17
+ DLD *AR0-0%, B ; 18
+ DLD *AR0+%, A ; 19
+ DLD *AR0+%, B ; 20
+ DLD *AR0+0%, A ; 21
+ DLD *AR0+0%, B ; 22
+ DLD *AR0(01FBCh), A ; 23
+ DLD *AR0(01FBDh), B ; 24
+ DLD *+AR0(01FBEh), A ; 25
+ DLD *+AR0(01FBFh), B ; 26
+ DLD *+AR0(01FC0h)%, A ; 27
+ DLD *+AR0(01FC1h)%, B ; 28
+ DLD *(01FC2h), A ; 29
+ DLD *(01FC3h), B ; 30
+ DLD *AR1, A ; 31
+ DLD *AR1, B ; 32
+ DLD *AR1-, A ; 33
+ DLD *AR1-, B ; 34
+ DLD *AR1+, A ; 35
+ DLD *AR1+, B ; 36
+ DLD *AR1-0B, A ; 37
+ DLD *AR1-0B, B ; 38
+ DLD *AR1-0, A ; 39
+ DLD *AR1-0, B ; 40
+ DLD *AR1+0, A ; 41
+ DLD *AR1+0, B ; 42
+ DLD *AR1+0B, A ; 43
+ DLD *AR1+0B, B ; 44
+ DLD *AR1-%, A ; 45
+ DLD *AR1-%, B ; 46
+ DLD *AR1-0%, A ; 47
+ DLD *AR1-0%, B ; 48
+ DLD *AR1+%, A ; 49
+ DLD *AR1+%, B ; 50
+ DLD *AR1+0%, A ; 51
+ DLD *AR1+0%, B ; 52
+ DLD *AR1(01FC4h), A ; 53
+ DLD *AR1(01FC5h), B ; 54
+ DLD *+AR1(01FC6h), A ; 55
+ DLD *+AR1(01FC7h), B ; 56
+ DLD *+AR1(01FC8h)%, A ; 57
+ DLD *+AR1(01FC9h)%, B ; 58
+ DLD *(01FCAh), A ; 59
+ DLD *(01FCBh), B ; 60
+ DLD *AR2, A ; 61
+ DLD *AR2, B ; 62
+ DLD *AR2-, A ; 63
+ DLD *AR2-, B ; 64
+ DLD *AR2+, A ; 65
+ DLD *AR2+, B ; 66
+ DLD *AR2-0B, A ; 67
+ DLD *AR2-0B, B ; 68
+ DLD *AR2-0, A ; 69
+ DLD *AR2-0, B ; 70
+ DLD *AR2+0, A ; 71
+ DLD *AR2+0, B ; 72
+ DLD *AR2+0B, A ; 73
+ DLD *AR2+0B, B ; 74
+ DLD *AR2-%, A ; 75
+ DLD *AR2-%, B ; 76
+ DLD *AR2-0%, A ; 77
+ DLD *AR2-0%, B ; 78
+ DLD *AR2+%, A ; 79
+ DLD *AR2+%, B ; 80
+ DLD *AR2+0%, A ; 81
+ DLD *AR2+0%, B ; 82
+ DLD *AR2(01FCCh), A ; 83
+ DLD *AR2(01FCDh), B ; 84
+ DLD *+AR2(01FCEh), A ; 85
+ DLD *+AR2(01FCFh), B ; 86
+ DLD *+AR2(01FD0h)%, A ; 87
+ DLD *+AR2(01FD1h)%, B ; 88
+ DLD *(01FD2h), A ; 89
+ DLD *(01FD3h), B ; 90
+ DLD *AR3, A ; 91
+ DLD *AR3, B ; 92
+ DLD *AR3-, A ; 93
+ DLD *AR3-, B ; 94
+ DLD *AR3+, A ; 95
+ DLD *AR3+, B ; 96
+ DLD *AR3-0B, A ; 97
+ DLD *AR3-0B, B ; 98
+ DLD *AR3-0, A ; 99
+ DLD *AR3-0, B ; 100
+ DLD *AR3+0, A ; 101
+ DLD *AR3+0, B ; 102
+ DLD *AR3+0B, A ; 103
+ DLD *AR3+0B, B ; 104
+ DLD *AR3-%, A ; 105
+ DLD *AR3-%, B ; 106
+ DLD *AR3-0%, A ; 107
+ DLD *AR3-0%, B ; 108
+ DLD *AR3+%, A ; 109
+ DLD *AR3+%, B ; 110
+ DLD *AR3+0%, A ; 111
+ DLD *AR3+0%, B ; 112
+ DLD *AR3(01FD4h), A ; 113
+ DLD *AR3(01FD5h), B ; 114
+ DLD *+AR3(01FD6h), A ; 115
+ DLD *+AR3(01FD7h), B ; 116
+ DLD *+AR3(01FD8h)%, A ; 117
+ DLD *+AR3(01FD9h)%, B ; 118
+ DLD *(01FDAh), A ; 119
+ DLD *(01FDBh), B ; 120
+ DLD *AR4, A ; 121
+ DLD *AR4, B ; 122
+ DLD *AR4-, A ; 123
+ DLD *AR4-, B ; 124
+ DLD *AR4+, A ; 125
+ DLD *AR4+, B ; 126
+ DLD *AR4-0B, A ; 127
+ DLD *AR4-0B, B ; 128
+ DLD *AR4-0, A ; 129
+ DLD *AR4-0, B ; 130
+ DLD *AR4+0, A ; 131
+ DLD *AR4+0, B ; 132
+ DLD *AR4+0B, A ; 133
+ DLD *AR4+0B, B ; 134
+ DLD *AR4-%, A ; 135
+ DLD *AR4-%, B ; 136
+ DLD *AR4-0%, A ; 137
+ DLD *AR4-0%, B ; 138
+ DLD *AR4+%, A ; 139
+ DLD *AR4+%, B ; 140
+ DLD *AR4+0%, A ; 141
+ DLD *AR4+0%, B ; 142
+ DLD *AR4(01FDCh), A ; 143
+ DLD *AR4(01FDDh), B ; 144
+ DLD *+AR4(01FDEh), A ; 145
+ DLD *+AR4(01FDFh), B ; 146
+ DLD *+AR4(01FE0h)%, A ; 147
+ DLD *+AR4(01FE1h)%, B ; 148
+ DLD *(01FE2h), A ; 149
+ DLD *(01FE3h), B ; 150
+ DLD *AR5, A ; 151
+ DLD *AR5, B ; 152
+ DLD *AR5-, A ; 153
+ DLD *AR5-, B ; 154
+ DLD *AR5+, A ; 155
+ DLD *AR5+, B ; 156
+ DLD *AR5-0B, A ; 157
+ DLD *AR5-0B, B ; 158
+ DLD *AR5-0, A ; 159
+ DLD *AR5-0, B ; 160
+ DLD *AR5+0, A ; 161
+ DLD *AR5+0, B ; 162
+ DLD *AR5+0B, A ; 163
+ DLD *AR5+0B, B ; 164
+ DLD *AR5-%, A ; 165
+ DLD *AR5-%, B ; 166
+ DLD *AR5-0%, A ; 167
+ DLD *AR5-0%, B ; 168
+ DLD *AR5+%, A ; 169
+ DLD *AR5+%, B ; 170
+ DLD *AR5+0%, A ; 171
+ DLD *AR5+0%, B ; 172
+ DLD *AR5(01FE4h), A ; 173
+ DLD *AR5(01FE5h), B ; 174
+ DLD *+AR5(01FE6h), A ; 175
+ DLD *+AR5(01FE7h), B ; 176
+ DLD *+AR5(01FE8h)%, A ; 177
+ DLD *+AR5(01FE9h)%, B ; 178
+ DLD *(01FEAh), A ; 179
+ DLD *(01FEBh), B ; 180
+ DLD *AR6, A ; 181
+ DLD *AR6, B ; 182
+ DLD *AR6-, A ; 183
+ DLD *AR6-, B ; 184
+ DLD *AR6+, A ; 185
+ DLD *AR6+, B ; 186
+ DLD *AR6-0B, A ; 187
+ DLD *AR6-0B, B ; 188
+ DLD *AR6-0, A ; 189
+ DLD *AR6-0, B ; 190
+ DLD *AR6+0, A ; 191
+ DLD *AR6+0, B ; 192
+ DLD *AR6+0B, A ; 193
+ DLD *AR6+0B, B ; 194
+ DLD *AR6-%, A ; 195
+ DLD *AR6-%, B ; 196
+ DLD *AR6-0%, A ; 197
+ DLD *AR6-0%, B ; 198
+ DLD *AR6+%, A ; 199
+ DLD *AR6+%, B ; 200
+ DLD *AR6+0%, A ; 201
+ DLD *AR6+0%, B ; 202
+ DLD *AR6(01FECh), A ; 203
+ DLD *AR6(01FEDh), B ; 204
+ DLD *+AR6(01FEEh), A ; 205
+ DLD *+AR6(01FEFh), B ; 206
+ DLD *+AR6(01FF0h)%, A ; 207
+ DLD *+AR6(01FF1h)%, B ; 208
+ DLD *(01FF2h), A ; 209
+ DLD *(01FF3h), B ; 210
+ DLD *AR7, A ; 211
+ DLD *AR7, B ; 212
+ DLD *AR7-, A ; 213
+ DLD *AR7-, B ; 214
+ DLD *AR7+, A ; 215
+ DLD *AR7+, B ; 216
+ DLD *AR7-0B, A ; 217
+ DLD *AR7-0B, B ; 218
+ DLD *AR7-0, A ; 219
+ DLD *AR7-0, B ; 220
+ DLD *AR7+0, A ; 221
+ DLD *AR7+0, B ; 222
+ DLD *AR7+0B, A ; 223
+ DLD *AR7+0B, B ; 224
+ DLD *AR7-%, A ; 225
+ DLD *AR7-%, B ; 226
+ DLD *AR7-0%, A ; 227
+ DLD *AR7-0%, B ; 228
+ DLD *AR7+%, A ; 229
+ DLD *AR7+%, B ; 230
+ DLD *AR7+0%, A ; 231
+ DLD *AR7+0%, B ; 232
+ DLD *AR7(01FF4h), A ; 233
+ DLD *AR7(01FF5h), B ; 234
+ DLD *+AR7(01FF6h), A ; 235
+ DLD *+AR7(01FF7h), B ; 236
+ DLD *+AR7(01FF8h)%, A ; 237
+ DLD *+AR7(01FF9h)%, B ; 238
+ DLD *(01FFAh), A ; 239
+ DLD *(01FFBh), B ; 240
+ ; DRSUB : DRSUB Lmem, AB : 2
+ DRSUB 68h, A ; 1
+ DRSUB 69h, B ; 2
+ ; DRSUB_I : DRSUB Lmem_I, AB : 240
+ DRSUB *AR0, A ; 1
+ DRSUB *AR0, B ; 2
+ DRSUB *AR0-, A ; 3
+ DRSUB *AR0-, B ; 4
+ DRSUB *AR0+, A ; 5
+ DRSUB *AR0+, B ; 6
+ DRSUB *AR0-0B, A ; 7
+ DRSUB *AR0-0B, B ; 8
+ DRSUB *AR0-0, A ; 9
+ DRSUB *AR0-0, B ; 10
+ DRSUB *AR0+0, A ; 11
+ DRSUB *AR0+0, B ; 12
+ DRSUB *AR0+0B, A ; 13
+ DRSUB *AR0+0B, B ; 14
+ DRSUB *AR0-%, A ; 15
+ DRSUB *AR0-%, B ; 16
+ DRSUB *AR0-0%, A ; 17
+ DRSUB *AR0-0%, B ; 18
+ DRSUB *AR0+%, A ; 19
+ DRSUB *AR0+%, B ; 20
+ DRSUB *AR0+0%, A ; 21
+ DRSUB *AR0+0%, B ; 22
+ DRSUB *AR0(01FFCh), A ; 23
+ DRSUB *AR0(01FFDh), B ; 24
+ DRSUB *+AR0(01FFEh), A ; 25
+ DRSUB *+AR0(01FFFh), B ; 26
+ DRSUB *+AR0(02000h)%, A ; 27
+ DRSUB *+AR0(02001h)%, B ; 28
+ DRSUB *(02002h), A ; 29
+ DRSUB *(02003h), B ; 30
+ DRSUB *AR1, A ; 31
+ DRSUB *AR1, B ; 32
+ DRSUB *AR1-, A ; 33
+ DRSUB *AR1-, B ; 34
+ DRSUB *AR1+, A ; 35
+ DRSUB *AR1+, B ; 36
+ DRSUB *AR1-0B, A ; 37
+ DRSUB *AR1-0B, B ; 38
+ DRSUB *AR1-0, A ; 39
+ DRSUB *AR1-0, B ; 40
+ DRSUB *AR1+0, A ; 41
+ DRSUB *AR1+0, B ; 42
+ DRSUB *AR1+0B, A ; 43
+ DRSUB *AR1+0B, B ; 44
+ DRSUB *AR1-%, A ; 45
+ DRSUB *AR1-%, B ; 46
+ DRSUB *AR1-0%, A ; 47
+ DRSUB *AR1-0%, B ; 48
+ DRSUB *AR1+%, A ; 49
+ DRSUB *AR1+%, B ; 50
+ DRSUB *AR1+0%, A ; 51
+ DRSUB *AR1+0%, B ; 52
+ DRSUB *AR1(02004h), A ; 53
+ DRSUB *AR1(02005h), B ; 54
+ DRSUB *+AR1(02006h), A ; 55
+ DRSUB *+AR1(02007h), B ; 56
+ DRSUB *+AR1(02008h)%, A ; 57
+ DRSUB *+AR1(02009h)%, B ; 58
+ DRSUB *(0200Ah), A ; 59
+ DRSUB *(0200Bh), B ; 60
+ DRSUB *AR2, A ; 61
+ DRSUB *AR2, B ; 62
+ DRSUB *AR2-, A ; 63
+ DRSUB *AR2-, B ; 64
+ DRSUB *AR2+, A ; 65
+ DRSUB *AR2+, B ; 66
+ DRSUB *AR2-0B, A ; 67
+ DRSUB *AR2-0B, B ; 68
+ DRSUB *AR2-0, A ; 69
+ DRSUB *AR2-0, B ; 70
+ DRSUB *AR2+0, A ; 71
+ DRSUB *AR2+0, B ; 72
+ DRSUB *AR2+0B, A ; 73
+ DRSUB *AR2+0B, B ; 74
+ DRSUB *AR2-%, A ; 75
+ DRSUB *AR2-%, B ; 76
+ DRSUB *AR2-0%, A ; 77
+ DRSUB *AR2-0%, B ; 78
+ DRSUB *AR2+%, A ; 79
+ DRSUB *AR2+%, B ; 80
+ DRSUB *AR2+0%, A ; 81
+ DRSUB *AR2+0%, B ; 82
+ DRSUB *AR2(0200Ch), A ; 83
+ DRSUB *AR2(0200Dh), B ; 84
+ DRSUB *+AR2(0200Eh), A ; 85
+ DRSUB *+AR2(0200Fh), B ; 86
+ DRSUB *+AR2(02010h)%, A ; 87
+ DRSUB *+AR2(02011h)%, B ; 88
+ DRSUB *(02012h), A ; 89
+ DRSUB *(02013h), B ; 90
+ DRSUB *AR3, A ; 91
+ DRSUB *AR3, B ; 92
+ DRSUB *AR3-, A ; 93
+ DRSUB *AR3-, B ; 94
+ DRSUB *AR3+, A ; 95
+ DRSUB *AR3+, B ; 96
+ DRSUB *AR3-0B, A ; 97
+ DRSUB *AR3-0B, B ; 98
+ DRSUB *AR3-0, A ; 99
+ DRSUB *AR3-0, B ; 100
+ DRSUB *AR3+0, A ; 101
+ DRSUB *AR3+0, B ; 102
+ DRSUB *AR3+0B, A ; 103
+ DRSUB *AR3+0B, B ; 104
+ DRSUB *AR3-%, A ; 105
+ DRSUB *AR3-%, B ; 106
+ DRSUB *AR3-0%, A ; 107
+ DRSUB *AR3-0%, B ; 108
+ DRSUB *AR3+%, A ; 109
+ DRSUB *AR3+%, B ; 110
+ DRSUB *AR3+0%, A ; 111
+ DRSUB *AR3+0%, B ; 112
+ DRSUB *AR3(02014h), A ; 113
+ DRSUB *AR3(02015h), B ; 114
+ DRSUB *+AR3(02016h), A ; 115
+ DRSUB *+AR3(02017h), B ; 116
+ DRSUB *+AR3(02018h)%, A ; 117
+ DRSUB *+AR3(02019h)%, B ; 118
+ DRSUB *(0201Ah), A ; 119
+ DRSUB *(0201Bh), B ; 120
+ DRSUB *AR4, A ; 121
+ DRSUB *AR4, B ; 122
+ DRSUB *AR4-, A ; 123
+ DRSUB *AR4-, B ; 124
+ DRSUB *AR4+, A ; 125
+ DRSUB *AR4+, B ; 126
+ DRSUB *AR4-0B, A ; 127
+ DRSUB *AR4-0B, B ; 128
+ DRSUB *AR4-0, A ; 129
+ DRSUB *AR4-0, B ; 130
+ DRSUB *AR4+0, A ; 131
+ DRSUB *AR4+0, B ; 132
+ DRSUB *AR4+0B, A ; 133
+ DRSUB *AR4+0B, B ; 134
+ DRSUB *AR4-%, A ; 135
+ DRSUB *AR4-%, B ; 136
+ DRSUB *AR4-0%, A ; 137
+ DRSUB *AR4-0%, B ; 138
+ DRSUB *AR4+%, A ; 139
+ DRSUB *AR4+%, B ; 140
+ DRSUB *AR4+0%, A ; 141
+ DRSUB *AR4+0%, B ; 142
+ DRSUB *AR4(0201Ch), A ; 143
+ DRSUB *AR4(0201Dh), B ; 144
+ DRSUB *+AR4(0201Eh), A ; 145
+ DRSUB *+AR4(0201Fh), B ; 146
+ DRSUB *+AR4(02020h)%, A ; 147
+ DRSUB *+AR4(02021h)%, B ; 148
+ DRSUB *(02022h), A ; 149
+ DRSUB *(02023h), B ; 150
+ DRSUB *AR5, A ; 151
+ DRSUB *AR5, B ; 152
+ DRSUB *AR5-, A ; 153
+ DRSUB *AR5-, B ; 154
+ DRSUB *AR5+, A ; 155
+ DRSUB *AR5+, B ; 156
+ DRSUB *AR5-0B, A ; 157
+ DRSUB *AR5-0B, B ; 158
+ DRSUB *AR5-0, A ; 159
+ DRSUB *AR5-0, B ; 160
+ DRSUB *AR5+0, A ; 161
+ DRSUB *AR5+0, B ; 162
+ DRSUB *AR5+0B, A ; 163
+ DRSUB *AR5+0B, B ; 164
+ DRSUB *AR5-%, A ; 165
+ DRSUB *AR5-%, B ; 166
+ DRSUB *AR5-0%, A ; 167
+ DRSUB *AR5-0%, B ; 168
+ DRSUB *AR5+%, A ; 169
+ DRSUB *AR5+%, B ; 170
+ DRSUB *AR5+0%, A ; 171
+ DRSUB *AR5+0%, B ; 172
+ DRSUB *AR5(02024h), A ; 173
+ DRSUB *AR5(02025h), B ; 174
+ DRSUB *+AR5(02026h), A ; 175
+ DRSUB *+AR5(02027h), B ; 176
+ DRSUB *+AR5(02028h)%, A ; 177
+ DRSUB *+AR5(02029h)%, B ; 178
+ DRSUB *(0202Ah), A ; 179
+ DRSUB *(0202Bh), B ; 180
+ DRSUB *AR6, A ; 181
+ DRSUB *AR6, B ; 182
+ DRSUB *AR6-, A ; 183
+ DRSUB *AR6-, B ; 184
+ DRSUB *AR6+, A ; 185
+ DRSUB *AR6+, B ; 186
+ DRSUB *AR6-0B, A ; 187
+ DRSUB *AR6-0B, B ; 188
+ DRSUB *AR6-0, A ; 189
+ DRSUB *AR6-0, B ; 190
+ DRSUB *AR6+0, A ; 191
+ DRSUB *AR6+0, B ; 192
+ DRSUB *AR6+0B, A ; 193
+ DRSUB *AR6+0B, B ; 194
+ DRSUB *AR6-%, A ; 195
+ DRSUB *AR6-%, B ; 196
+ DRSUB *AR6-0%, A ; 197
+ DRSUB *AR6-0%, B ; 198
+ DRSUB *AR6+%, A ; 199
+ DRSUB *AR6+%, B ; 200
+ DRSUB *AR6+0%, A ; 201
+ DRSUB *AR6+0%, B ; 202
+ DRSUB *AR6(0202Ch), A ; 203
+ DRSUB *AR6(0202Dh), B ; 204
+ DRSUB *+AR6(0202Eh), A ; 205
+ DRSUB *+AR6(0202Fh), B ; 206
+ DRSUB *+AR6(02030h)%, A ; 207
+ DRSUB *+AR6(02031h)%, B ; 208
+ DRSUB *(02032h), A ; 209
+ DRSUB *(02033h), B ; 210
+ DRSUB *AR7, A ; 211
+ DRSUB *AR7, B ; 212
+ DRSUB *AR7-, A ; 213
+ DRSUB *AR7-, B ; 214
+ DRSUB *AR7+, A ; 215
+ DRSUB *AR7+, B ; 216
+ DRSUB *AR7-0B, A ; 217
+ DRSUB *AR7-0B, B ; 218
+ DRSUB *AR7-0, A ; 219
+ DRSUB *AR7-0, B ; 220
+ DRSUB *AR7+0, A ; 221
+ DRSUB *AR7+0, B ; 222
+ DRSUB *AR7+0B, A ; 223
+ DRSUB *AR7+0B, B ; 224
+ DRSUB *AR7-%, A ; 225
+ DRSUB *AR7-%, B ; 226
+ DRSUB *AR7-0%, A ; 227
+ DRSUB *AR7-0%, B ; 228
+ DRSUB *AR7+%, A ; 229
+ DRSUB *AR7+%, B ; 230
+ DRSUB *AR7+0%, A ; 231
+ DRSUB *AR7+0%, B ; 232
+ DRSUB *AR7(02034h), A ; 233
+ DRSUB *AR7(02035h), B ; 234
+ DRSUB *+AR7(02036h), A ; 235
+ DRSUB *+AR7(02037h), B ; 236
+ DRSUB *+AR7(02038h)%, A ; 237
+ DRSUB *+AR7(02039h)%, B ; 238
+ DRSUB *(0203Ah), A ; 239
+ DRSUB *(0203Bh), B ; 240
+ ; DSADT : DSADT Lmem, AB : 2
+ DSADT 6Ah, A ; 1
+ DSADT 6Bh, B ; 2
+ ; DSADT_I : DSADT Lmem_I, AB : 240
+ DSADT *AR0, A ; 1
+ DSADT *AR0, B ; 2
+ DSADT *AR0-, A ; 3
+ DSADT *AR0-, B ; 4
+ DSADT *AR0+, A ; 5
+ DSADT *AR0+, B ; 6
+ DSADT *AR0-0B, A ; 7
+ DSADT *AR0-0B, B ; 8
+ DSADT *AR0-0, A ; 9
+ DSADT *AR0-0, B ; 10
+ DSADT *AR0+0, A ; 11
+ DSADT *AR0+0, B ; 12
+ DSADT *AR0+0B, A ; 13
+ DSADT *AR0+0B, B ; 14
+ DSADT *AR0-%, A ; 15
+ DSADT *AR0-%, B ; 16
+ DSADT *AR0-0%, A ; 17
+ DSADT *AR0-0%, B ; 18
+ DSADT *AR0+%, A ; 19
+ DSADT *AR0+%, B ; 20
+ DSADT *AR0+0%, A ; 21
+ DSADT *AR0+0%, B ; 22
+ DSADT *AR0(0203Ch), A ; 23
+ DSADT *AR0(0203Dh), B ; 24
+ DSADT *+AR0(0203Eh), A ; 25
+ DSADT *+AR0(0203Fh), B ; 26
+ DSADT *+AR0(02040h)%, A ; 27
+ DSADT *+AR0(02041h)%, B ; 28
+ DSADT *(02042h), A ; 29
+ DSADT *(02043h), B ; 30
+ DSADT *AR1, A ; 31
+ DSADT *AR1, B ; 32
+ DSADT *AR1-, A ; 33
+ DSADT *AR1-, B ; 34
+ DSADT *AR1+, A ; 35
+ DSADT *AR1+, B ; 36
+ DSADT *AR1-0B, A ; 37
+ DSADT *AR1-0B, B ; 38
+ DSADT *AR1-0, A ; 39
+ DSADT *AR1-0, B ; 40
+ DSADT *AR1+0, A ; 41
+ DSADT *AR1+0, B ; 42
+ DSADT *AR1+0B, A ; 43
+ DSADT *AR1+0B, B ; 44
+ DSADT *AR1-%, A ; 45
+ DSADT *AR1-%, B ; 46
+ DSADT *AR1-0%, A ; 47
+ DSADT *AR1-0%, B ; 48
+ DSADT *AR1+%, A ; 49
+ DSADT *AR1+%, B ; 50
+ DSADT *AR1+0%, A ; 51
+ DSADT *AR1+0%, B ; 52
+ DSADT *AR1(02044h), A ; 53
+ DSADT *AR1(02045h), B ; 54
+ DSADT *+AR1(02046h), A ; 55
+ DSADT *+AR1(02047h), B ; 56
+ DSADT *+AR1(02048h)%, A ; 57
+ DSADT *+AR1(02049h)%, B ; 58
+ DSADT *(0204Ah), A ; 59
+ DSADT *(0204Bh), B ; 60
+ DSADT *AR2, A ; 61
+ DSADT *AR2, B ; 62
+ DSADT *AR2-, A ; 63
+ DSADT *AR2-, B ; 64
+ DSADT *AR2+, A ; 65
+ DSADT *AR2+, B ; 66
+ DSADT *AR2-0B, A ; 67
+ DSADT *AR2-0B, B ; 68
+ DSADT *AR2-0, A ; 69
+ DSADT *AR2-0, B ; 70
+ DSADT *AR2+0, A ; 71
+ DSADT *AR2+0, B ; 72
+ DSADT *AR2+0B, A ; 73
+ DSADT *AR2+0B, B ; 74
+ DSADT *AR2-%, A ; 75
+ DSADT *AR2-%, B ; 76
+ DSADT *AR2-0%, A ; 77
+ DSADT *AR2-0%, B ; 78
+ DSADT *AR2+%, A ; 79
+ DSADT *AR2+%, B ; 80
+ DSADT *AR2+0%, A ; 81
+ DSADT *AR2+0%, B ; 82
+ DSADT *AR2(0204Ch), A ; 83
+ DSADT *AR2(0204Dh), B ; 84
+ DSADT *+AR2(0204Eh), A ; 85
+ DSADT *+AR2(0204Fh), B ; 86
+ DSADT *+AR2(02050h)%, A ; 87
+ DSADT *+AR2(02051h)%, B ; 88
+ DSADT *(02052h), A ; 89
+ DSADT *(02053h), B ; 90
+ DSADT *AR3, A ; 91
+ DSADT *AR3, B ; 92
+ DSADT *AR3-, A ; 93
+ DSADT *AR3-, B ; 94
+ DSADT *AR3+, A ; 95
+ DSADT *AR3+, B ; 96
+ DSADT *AR3-0B, A ; 97
+ DSADT *AR3-0B, B ; 98
+ DSADT *AR3-0, A ; 99
+ DSADT *AR3-0, B ; 100
+ DSADT *AR3+0, A ; 101
+ DSADT *AR3+0, B ; 102
+ DSADT *AR3+0B, A ; 103
+ DSADT *AR3+0B, B ; 104
+ DSADT *AR3-%, A ; 105
+ DSADT *AR3-%, B ; 106
+ DSADT *AR3-0%, A ; 107
+ DSADT *AR3-0%, B ; 108
+ DSADT *AR3+%, A ; 109
+ DSADT *AR3+%, B ; 110
+ DSADT *AR3+0%, A ; 111
+ DSADT *AR3+0%, B ; 112
+ DSADT *AR3(02054h), A ; 113
+ DSADT *AR3(02055h), B ; 114
+ DSADT *+AR3(02056h), A ; 115
+ DSADT *+AR3(02057h), B ; 116
+ DSADT *+AR3(02058h)%, A ; 117
+ DSADT *+AR3(02059h)%, B ; 118
+ DSADT *(0205Ah), A ; 119
+ DSADT *(0205Bh), B ; 120
+ DSADT *AR4, A ; 121
+ DSADT *AR4, B ; 122
+ DSADT *AR4-, A ; 123
+ DSADT *AR4-, B ; 124
+ DSADT *AR4+, A ; 125
+ DSADT *AR4+, B ; 126
+ DSADT *AR4-0B, A ; 127
+ DSADT *AR4-0B, B ; 128
+ DSADT *AR4-0, A ; 129
+ DSADT *AR4-0, B ; 130
+ DSADT *AR4+0, A ; 131
+ DSADT *AR4+0, B ; 132
+ DSADT *AR4+0B, A ; 133
+ DSADT *AR4+0B, B ; 134
+ DSADT *AR4-%, A ; 135
+ DSADT *AR4-%, B ; 136
+ DSADT *AR4-0%, A ; 137
+ DSADT *AR4-0%, B ; 138
+ DSADT *AR4+%, A ; 139
+ DSADT *AR4+%, B ; 140
+ DSADT *AR4+0%, A ; 141
+ DSADT *AR4+0%, B ; 142
+ DSADT *AR4(0205Ch), A ; 143
+ DSADT *AR4(0205Dh), B ; 144
+ DSADT *+AR4(0205Eh), A ; 145
+ DSADT *+AR4(0205Fh), B ; 146
+ DSADT *+AR4(02060h)%, A ; 147
+ DSADT *+AR4(02061h)%, B ; 148
+ DSADT *(02062h), A ; 149
+ DSADT *(02063h), B ; 150
+ DSADT *AR5, A ; 151
+ DSADT *AR5, B ; 152
+ DSADT *AR5-, A ; 153
+ DSADT *AR5-, B ; 154
+ DSADT *AR5+, A ; 155
+ DSADT *AR5+, B ; 156
+ DSADT *AR5-0B, A ; 157
+ DSADT *AR5-0B, B ; 158
+ DSADT *AR5-0, A ; 159
+ DSADT *AR5-0, B ; 160
+ DSADT *AR5+0, A ; 161
+ DSADT *AR5+0, B ; 162
+ DSADT *AR5+0B, A ; 163
+ DSADT *AR5+0B, B ; 164
+ DSADT *AR5-%, A ; 165
+ DSADT *AR5-%, B ; 166
+ DSADT *AR5-0%, A ; 167
+ DSADT *AR5-0%, B ; 168
+ DSADT *AR5+%, A ; 169
+ DSADT *AR5+%, B ; 170
+ DSADT *AR5+0%, A ; 171
+ DSADT *AR5+0%, B ; 172
+ DSADT *AR5(02064h), A ; 173
+ DSADT *AR5(02065h), B ; 174
+ DSADT *+AR5(02066h), A ; 175
+ DSADT *+AR5(02067h), B ; 176
+ DSADT *+AR5(02068h)%, A ; 177
+ DSADT *+AR5(02069h)%, B ; 178
+ DSADT *(0206Ah), A ; 179
+ DSADT *(0206Bh), B ; 180
+ DSADT *AR6, A ; 181
+ DSADT *AR6, B ; 182
+ DSADT *AR6-, A ; 183
+ DSADT *AR6-, B ; 184
+ DSADT *AR6+, A ; 185
+ DSADT *AR6+, B ; 186
+ DSADT *AR6-0B, A ; 187
+ DSADT *AR6-0B, B ; 188
+ DSADT *AR6-0, A ; 189
+ DSADT *AR6-0, B ; 190
+ DSADT *AR6+0, A ; 191
+ DSADT *AR6+0, B ; 192
+ DSADT *AR6+0B, A ; 193
+ DSADT *AR6+0B, B ; 194
+ DSADT *AR6-%, A ; 195
+ DSADT *AR6-%, B ; 196
+ DSADT *AR6-0%, A ; 197
+ DSADT *AR6-0%, B ; 198
+ DSADT *AR6+%, A ; 199
+ DSADT *AR6+%, B ; 200
+ DSADT *AR6+0%, A ; 201
+ DSADT *AR6+0%, B ; 202
+ DSADT *AR6(0206Ch), A ; 203
+ DSADT *AR6(0206Dh), B ; 204
+ DSADT *+AR6(0206Eh), A ; 205
+ DSADT *+AR6(0206Fh), B ; 206
+ DSADT *+AR6(02070h)%, A ; 207
+ DSADT *+AR6(02071h)%, B ; 208
+ DSADT *(02072h), A ; 209
+ DSADT *(02073h), B ; 210
+ DSADT *AR7, A ; 211
+ DSADT *AR7, B ; 212
+ DSADT *AR7-, A ; 213
+ DSADT *AR7-, B ; 214
+ DSADT *AR7+, A ; 215
+ DSADT *AR7+, B ; 216
+ DSADT *AR7-0B, A ; 217
+ DSADT *AR7-0B, B ; 218
+ DSADT *AR7-0, A ; 219
+ DSADT *AR7-0, B ; 220
+ DSADT *AR7+0, A ; 221
+ DSADT *AR7+0, B ; 222
+ DSADT *AR7+0B, A ; 223
+ DSADT *AR7+0B, B ; 224
+ DSADT *AR7-%, A ; 225
+ DSADT *AR7-%, B ; 226
+ DSADT *AR7-0%, A ; 227
+ DSADT *AR7-0%, B ; 228
+ DSADT *AR7+%, A ; 229
+ DSADT *AR7+%, B ; 230
+ DSADT *AR7+0%, A ; 231
+ DSADT *AR7+0%, B ; 232
+ DSADT *AR7(02074h), A ; 233
+ DSADT *AR7(02075h), B ; 234
+ DSADT *+AR7(02076h), A ; 235
+ DSADT *+AR7(02077h), B ; 236
+ DSADT *+AR7(02078h)%, A ; 237
+ DSADT *+AR7(02079h)%, B ; 238
+ DSADT *(0207Ah), A ; 239
+ DSADT *(0207Bh), B ; 240
+ ; DST : DST AB, Lmem : 2
+ DST A, 6Ch ; 1
+ DST B, 6Dh ; 2
+ ; DST_I : DST AB, Lmem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ DST A, *AR0 ; 1
+ DST A, *AR0- ; 2
+ DST A, *AR0+ ; 3
+ DST A, *+AR0 ; 4
+ DST A, *AR0-0B ; 5
+ DST A, *AR0-0 ; 6
+ DST A, *AR0+0 ; 7
+ DST A, *AR0+0B ; 8
+ DST A, *AR0-% ; 9
+ DST A, *AR0-0% ; 10
+ DST A, *AR0+% ; 11
+ DST A, *AR0+0% ; 12
+ DST A, *AR0(0207Ch) ; 13
+ DST A, *+AR0(0207Dh) ; 14
+ DST A, *+AR0(0207Eh)% ; 15
+ DST A, *(0207Fh) ; 16
+ DST A, *AR1 ; 17
+ DST A, *AR1- ; 18
+ DST A, *AR1+ ; 19
+ DST A, *+AR1 ; 20
+ DST A, *AR1-0B ; 21
+ DST A, *AR1-0 ; 22
+ DST A, *AR1+0 ; 23
+ DST A, *AR1+0B ; 24
+ DST A, *AR1-% ; 25
+ DST A, *AR1-0% ; 26
+ DST A, *AR1+% ; 27
+ DST A, *AR1+0% ; 28
+ DST A, *AR1(02080h) ; 29
+ DST A, *+AR1(02081h) ; 30
+ DST A, *+AR1(02082h)% ; 31
+ DST A, *(02083h) ; 32
+ DST A, *AR2 ; 33
+ DST A, *AR2- ; 34
+ DST A, *AR2+ ; 35
+ DST A, *+AR2 ; 36
+ DST A, *AR2-0B ; 37
+ DST A, *AR2-0 ; 38
+ DST A, *AR2+0 ; 39
+ DST A, *AR2+0B ; 40
+ DST A, *AR2-% ; 41
+ DST A, *AR2-0% ; 42
+ DST A, *AR2+% ; 43
+ DST A, *AR2+0% ; 44
+ DST A, *AR2(02084h) ; 45
+ DST A, *+AR2(02085h) ; 46
+ DST A, *+AR2(02086h)% ; 47
+ DST A, *(02087h) ; 48
+ DST A, *AR3 ; 49
+ DST A, *AR3- ; 50
+ DST A, *AR3+ ; 51
+ DST A, *+AR3 ; 52
+ DST A, *AR3-0B ; 53
+ DST A, *AR3-0 ; 54
+ DST A, *AR3+0 ; 55
+ DST A, *AR3+0B ; 56
+ DST A, *AR3-% ; 57
+ DST A, *AR3-0% ; 58
+ DST A, *AR3+% ; 59
+ DST A, *AR3+0% ; 60
+ DST A, *AR3(02088h) ; 61
+ DST A, *+AR3(02089h) ; 62
+ DST A, *+AR3(0208Ah)% ; 63
+ DST A, *(0208Bh) ; 64
+ DST A, *AR4 ; 65
+ DST A, *AR4- ; 66
+ DST A, *AR4+ ; 67
+ DST A, *+AR4 ; 68
+ DST A, *AR4-0B ; 69
+ DST A, *AR4-0 ; 70
+ DST A, *AR4+0 ; 71
+ DST A, *AR4+0B ; 72
+ DST A, *AR4-% ; 73
+ DST A, *AR4-0% ; 74
+ DST A, *AR4+% ; 75
+ DST A, *AR4+0% ; 76
+ DST A, *AR4(0208Ch) ; 77
+ DST A, *+AR4(0208Dh) ; 78
+ DST A, *+AR4(0208Eh)% ; 79
+ DST A, *(0208Fh) ; 80
+ DST A, *AR5 ; 81
+ DST A, *AR5- ; 82
+ DST A, *AR5+ ; 83
+ DST A, *+AR5 ; 84
+ DST A, *AR5-0B ; 85
+ DST A, *AR5-0 ; 86
+ DST A, *AR5+0 ; 87
+ DST A, *AR5+0B ; 88
+ DST A, *AR5-% ; 89
+ DST A, *AR5-0% ; 90
+ DST A, *AR5+% ; 91
+ DST A, *AR5+0% ; 92
+ DST A, *AR5(02090h) ; 93
+ DST A, *+AR5(02091h) ; 94
+ DST A, *+AR5(02092h)% ; 95
+ DST A, *(02093h) ; 96
+ DST A, *AR6 ; 97
+ DST A, *AR6- ; 98
+ DST A, *AR6+ ; 99
+ DST A, *+AR6 ; 100
+ DST A, *AR6-0B ; 101
+ DST A, *AR6-0 ; 102
+ DST A, *AR6+0 ; 103
+ DST A, *AR6+0B ; 104
+ DST A, *AR6-% ; 105
+ DST A, *AR6-0% ; 106
+ DST A, *AR6+% ; 107
+ DST A, *AR6+0% ; 108
+ DST A, *AR6(02094h) ; 109
+ DST A, *+AR6(02095h) ; 110
+ DST A, *+AR6(02096h)% ; 111
+ DST A, *(02097h) ; 112
+ DST A, *AR7 ; 113
+ DST A, *AR7- ; 114
+ DST A, *AR7+ ; 115
+ DST A, *+AR7 ; 116
+ DST A, *AR7-0B ; 117
+ DST A, *AR7-0 ; 118
+ DST A, *AR7+0 ; 119
+ DST A, *AR7+0B ; 120
+ DST A, *AR7-% ; 121
+ DST A, *AR7-0% ; 122
+ DST A, *AR7+% ; 123
+ DST A, *AR7+0% ; 124
+ DST A, *AR7(02098h) ; 125
+ DST A, *+AR7(02099h) ; 126
+ DST A, *+AR7(0209Ah)% ; 127
+ DST A, *(0209Bh) ; 128
+ DST B, *AR0 ; 129
+ DST B, *AR0- ; 130
+ DST B, *AR0+ ; 131
+ DST B, *+AR0 ; 132
+ DST B, *AR0-0B ; 133
+ DST B, *AR0-0 ; 134
+ DST B, *AR0+0 ; 135
+ DST B, *AR0+0B ; 136
+ DST B, *AR0-% ; 137
+ DST B, *AR0-0% ; 138
+ DST B, *AR0+% ; 139
+ DST B, *AR0+0% ; 140
+ DST B, *AR0(0209Ch) ; 141
+ DST B, *+AR0(0209Dh) ; 142
+ DST B, *+AR0(0209Eh)% ; 143
+ DST B, *(0209Fh) ; 144
+ DST B, *AR1 ; 145
+ DST B, *AR1- ; 146
+ DST B, *AR1+ ; 147
+ DST B, *+AR1 ; 148
+ DST B, *AR1-0B ; 149
+ DST B, *AR1-0 ; 150
+ DST B, *AR1+0 ; 151
+ DST B, *AR1+0B ; 152
+ DST B, *AR1-% ; 153
+ DST B, *AR1-0% ; 154
+ DST B, *AR1+% ; 155
+ DST B, *AR1+0% ; 156
+ DST B, *AR1(020A0h) ; 157
+ DST B, *+AR1(020A1h) ; 158
+ DST B, *+AR1(020A2h)% ; 159
+ DST B, *(020A3h) ; 160
+ DST B, *AR2 ; 161
+ DST B, *AR2- ; 162
+ DST B, *AR2+ ; 163
+ DST B, *+AR2 ; 164
+ DST B, *AR2-0B ; 165
+ DST B, *AR2-0 ; 166
+ DST B, *AR2+0 ; 167
+ DST B, *AR2+0B ; 168
+ DST B, *AR2-% ; 169
+ DST B, *AR2-0% ; 170
+ DST B, *AR2+% ; 171
+ DST B, *AR2+0% ; 172
+ DST B, *AR2(020A4h) ; 173
+ DST B, *+AR2(020A5h) ; 174
+ DST B, *+AR2(020A6h)% ; 175
+ DST B, *(020A7h) ; 176
+ DST B, *AR3 ; 177
+ DST B, *AR3- ; 178
+ DST B, *AR3+ ; 179
+ DST B, *+AR3 ; 180
+ DST B, *AR3-0B ; 181
+ DST B, *AR3-0 ; 182
+ DST B, *AR3+0 ; 183
+ DST B, *AR3+0B ; 184
+ DST B, *AR3-% ; 185
+ DST B, *AR3-0% ; 186
+ DST B, *AR3+% ; 187
+ DST B, *AR3+0% ; 188
+ DST B, *AR3(020A8h) ; 189
+ DST B, *+AR3(020A9h) ; 190
+ DST B, *+AR3(020AAh)% ; 191
+ DST B, *(020ABh) ; 192
+ DST B, *AR4 ; 193
+ DST B, *AR4- ; 194
+ DST B, *AR4+ ; 195
+ DST B, *+AR4 ; 196
+ DST B, *AR4-0B ; 197
+ DST B, *AR4-0 ; 198
+ DST B, *AR4+0 ; 199
+ DST B, *AR4+0B ; 200
+ DST B, *AR4-% ; 201
+ DST B, *AR4-0% ; 202
+ DST B, *AR4+% ; 203
+ DST B, *AR4+0% ; 204
+ DST B, *AR4(020ACh) ; 205
+ DST B, *+AR4(020ADh) ; 206
+ DST B, *+AR4(020AEh)% ; 207
+ DST B, *(020AFh) ; 208
+ DST B, *AR5 ; 209
+ DST B, *AR5- ; 210
+ DST B, *AR5+ ; 211
+ DST B, *+AR5 ; 212
+ DST B, *AR5-0B ; 213
+ DST B, *AR5-0 ; 214
+ DST B, *AR5+0 ; 215
+ DST B, *AR5+0B ; 216
+ DST B, *AR5-% ; 217
+ DST B, *AR5-0% ; 218
+ DST B, *AR5+% ; 219
+ DST B, *AR5+0% ; 220
+ DST B, *AR5(020B0h) ; 221
+ DST B, *+AR5(020B1h) ; 222
+ DST B, *+AR5(020B2h)% ; 223
+ DST B, *(020B3h) ; 224
+ DST B, *AR6 ; 225
+ DST B, *AR6- ; 226
+ DST B, *AR6+ ; 227
+ DST B, *+AR6 ; 228
+ DST B, *AR6-0B ; 229
+ DST B, *AR6-0 ; 230
+ DST B, *AR6+0 ; 231
+ DST B, *AR6+0B ; 232
+ DST B, *AR6-% ; 233
+ DST B, *AR6-0% ; 234
+ DST B, *AR6+% ; 235
+ DST B, *AR6+0% ; 236
+ DST B, *AR6(020B4h) ; 237
+ DST B, *+AR6(020B5h) ; 238
+ DST B, *+AR6(020B6h)% ; 239
+ DST B, *(020B7h) ; 240
+ DST B, *AR7 ; 241
+ DST B, *AR7- ; 242
+ DST B, *AR7+ ; 243
+ DST B, *+AR7 ; 244
+ DST B, *AR7-0B ; 245
+ DST B, *AR7-0 ; 246
+ DST B, *AR7+0 ; 247
+ DST B, *AR7+0B ; 248
+ DST B, *AR7-% ; 249
+ DST B, *AR7-0% ; 250
+ DST B, *AR7+% ; 251
+ DST B, *AR7+0% ; 252
+ DST B, *AR7(020B8h) ; 253
+ DST B, *+AR7(020B9h) ; 254
+ DST B, *+AR7(020BAh)% ; 255
+ DST B, *(020BBh) ; 256
+ ; DSUB : DSUB Lmem, AB : 2
+ DSUB 6Eh, A ; 1
+ DSUB 6Fh, B ; 2
+ ; DSUBT : DSUBT Lmem, AB : 2
+ DSUBT 70h, A ; 1
+ DSUBT 71h, B ; 2
+ ; DSUBT_I : DSUBT Lmem_I, AB : 240
+ DSUBT *AR0, A ; 1
+ DSUBT *AR0, B ; 2
+ DSUBT *AR0-, A ; 3
+ DSUBT *AR0-, B ; 4
+ DSUBT *AR0+, A ; 5
+ DSUBT *AR0+, B ; 6
+ DSUBT *AR0-0B, A ; 7
+ DSUBT *AR0-0B, B ; 8
+ DSUBT *AR0-0, A ; 9
+ DSUBT *AR0-0, B ; 10
+ DSUBT *AR0+0, A ; 11
+ DSUBT *AR0+0, B ; 12
+ DSUBT *AR0+0B, A ; 13
+ DSUBT *AR0+0B, B ; 14
+ DSUBT *AR0-%, A ; 15
+ DSUBT *AR0-%, B ; 16
+ DSUBT *AR0-0%, A ; 17
+ DSUBT *AR0-0%, B ; 18
+ DSUBT *AR0+%, A ; 19
+ DSUBT *AR0+%, B ; 20
+ DSUBT *AR0+0%, A ; 21
+ DSUBT *AR0+0%, B ; 22
+ DSUBT *AR0(020BCh), A ; 23
+ DSUBT *AR0(020BDh), B ; 24
+ DSUBT *+AR0(020BEh), A ; 25
+ DSUBT *+AR0(020BFh), B ; 26
+ DSUBT *+AR0(020C0h)%, A ; 27
+ DSUBT *+AR0(020C1h)%, B ; 28
+ DSUBT *(020C2h), A ; 29
+ DSUBT *(020C3h), B ; 30
+ DSUBT *AR1, A ; 31
+ DSUBT *AR1, B ; 32
+ DSUBT *AR1-, A ; 33
+ DSUBT *AR1-, B ; 34
+ DSUBT *AR1+, A ; 35
+ DSUBT *AR1+, B ; 36
+ DSUBT *AR1-0B, A ; 37
+ DSUBT *AR1-0B, B ; 38
+ DSUBT *AR1-0, A ; 39
+ DSUBT *AR1-0, B ; 40
+ DSUBT *AR1+0, A ; 41
+ DSUBT *AR1+0, B ; 42
+ DSUBT *AR1+0B, A ; 43
+ DSUBT *AR1+0B, B ; 44
+ DSUBT *AR1-%, A ; 45
+ DSUBT *AR1-%, B ; 46
+ DSUBT *AR1-0%, A ; 47
+ DSUBT *AR1-0%, B ; 48
+ DSUBT *AR1+%, A ; 49
+ DSUBT *AR1+%, B ; 50
+ DSUBT *AR1+0%, A ; 51
+ DSUBT *AR1+0%, B ; 52
+ DSUBT *AR1(020C4h), A ; 53
+ DSUBT *AR1(020C5h), B ; 54
+ DSUBT *+AR1(020C6h), A ; 55
+ DSUBT *+AR1(020C7h), B ; 56
+ DSUBT *+AR1(020C8h)%, A ; 57
+ DSUBT *+AR1(020C9h)%, B ; 58
+ DSUBT *(020CAh), A ; 59
+ DSUBT *(020CBh), B ; 60
+ DSUBT *AR2, A ; 61
+ DSUBT *AR2, B ; 62
+ DSUBT *AR2-, A ; 63
+ DSUBT *AR2-, B ; 64
+ DSUBT *AR2+, A ; 65
+ DSUBT *AR2+, B ; 66
+ DSUBT *AR2-0B, A ; 67
+ DSUBT *AR2-0B, B ; 68
+ DSUBT *AR2-0, A ; 69
+ DSUBT *AR2-0, B ; 70
+ DSUBT *AR2+0, A ; 71
+ DSUBT *AR2+0, B ; 72
+ DSUBT *AR2+0B, A ; 73
+ DSUBT *AR2+0B, B ; 74
+ DSUBT *AR2-%, A ; 75
+ DSUBT *AR2-%, B ; 76
+ DSUBT *AR2-0%, A ; 77
+ DSUBT *AR2-0%, B ; 78
+ DSUBT *AR2+%, A ; 79
+ DSUBT *AR2+%, B ; 80
+ DSUBT *AR2+0%, A ; 81
+ DSUBT *AR2+0%, B ; 82
+ DSUBT *AR2(020CCh), A ; 83
+ DSUBT *AR2(020CDh), B ; 84
+ DSUBT *+AR2(020CEh), A ; 85
+ DSUBT *+AR2(020CFh), B ; 86
+ DSUBT *+AR2(020D0h)%, A ; 87
+ DSUBT *+AR2(020D1h)%, B ; 88
+ DSUBT *(020D2h), A ; 89
+ DSUBT *(020D3h), B ; 90
+ DSUBT *AR3, A ; 91
+ DSUBT *AR3, B ; 92
+ DSUBT *AR3-, A ; 93
+ DSUBT *AR3-, B ; 94
+ DSUBT *AR3+, A ; 95
+ DSUBT *AR3+, B ; 96
+ DSUBT *AR3-0B, A ; 97
+ DSUBT *AR3-0B, B ; 98
+ DSUBT *AR3-0, A ; 99
+ DSUBT *AR3-0, B ; 100
+ DSUBT *AR3+0, A ; 101
+ DSUBT *AR3+0, B ; 102
+ DSUBT *AR3+0B, A ; 103
+ DSUBT *AR3+0B, B ; 104
+ DSUBT *AR3-%, A ; 105
+ DSUBT *AR3-%, B ; 106
+ DSUBT *AR3-0%, A ; 107
+ DSUBT *AR3-0%, B ; 108
+ DSUBT *AR3+%, A ; 109
+ DSUBT *AR3+%, B ; 110
+ DSUBT *AR3+0%, A ; 111
+ DSUBT *AR3+0%, B ; 112
+ DSUBT *AR3(020D4h), A ; 113
+ DSUBT *AR3(020D5h), B ; 114
+ DSUBT *+AR3(020D6h), A ; 115
+ DSUBT *+AR3(020D7h), B ; 116
+ DSUBT *+AR3(020D8h)%, A ; 117
+ DSUBT *+AR3(020D9h)%, B ; 118
+ DSUBT *(020DAh), A ; 119
+ DSUBT *(020DBh), B ; 120
+ DSUBT *AR4, A ; 121
+ DSUBT *AR4, B ; 122
+ DSUBT *AR4-, A ; 123
+ DSUBT *AR4-, B ; 124
+ DSUBT *AR4+, A ; 125
+ DSUBT *AR4+, B ; 126
+ DSUBT *AR4-0B, A ; 127
+ DSUBT *AR4-0B, B ; 128
+ DSUBT *AR4-0, A ; 129
+ DSUBT *AR4-0, B ; 130
+ DSUBT *AR4+0, A ; 131
+ DSUBT *AR4+0, B ; 132
+ DSUBT *AR4+0B, A ; 133
+ DSUBT *AR4+0B, B ; 134
+ DSUBT *AR4-%, A ; 135
+ DSUBT *AR4-%, B ; 136
+ DSUBT *AR4-0%, A ; 137
+ DSUBT *AR4-0%, B ; 138
+ DSUBT *AR4+%, A ; 139
+ DSUBT *AR4+%, B ; 140
+ DSUBT *AR4+0%, A ; 141
+ DSUBT *AR4+0%, B ; 142
+ DSUBT *AR4(020DCh), A ; 143
+ DSUBT *AR4(020DDh), B ; 144
+ DSUBT *+AR4(020DEh), A ; 145
+ DSUBT *+AR4(020DFh), B ; 146
+ DSUBT *+AR4(020E0h)%, A ; 147
+ DSUBT *+AR4(020E1h)%, B ; 148
+ DSUBT *(020E2h), A ; 149
+ DSUBT *(020E3h), B ; 150
+ DSUBT *AR5, A ; 151
+ DSUBT *AR5, B ; 152
+ DSUBT *AR5-, A ; 153
+ DSUBT *AR5-, B ; 154
+ DSUBT *AR5+, A ; 155
+ DSUBT *AR5+, B ; 156
+ DSUBT *AR5-0B, A ; 157
+ DSUBT *AR5-0B, B ; 158
+ DSUBT *AR5-0, A ; 159
+ DSUBT *AR5-0, B ; 160
+ DSUBT *AR5+0, A ; 161
+ DSUBT *AR5+0, B ; 162
+ DSUBT *AR5+0B, A ; 163
+ DSUBT *AR5+0B, B ; 164
+ DSUBT *AR5-%, A ; 165
+ DSUBT *AR5-%, B ; 166
+ DSUBT *AR5-0%, A ; 167
+ DSUBT *AR5-0%, B ; 168
+ DSUBT *AR5+%, A ; 169
+ DSUBT *AR5+%, B ; 170
+ DSUBT *AR5+0%, A ; 171
+ DSUBT *AR5+0%, B ; 172
+ DSUBT *AR5(020E4h), A ; 173
+ DSUBT *AR5(020E5h), B ; 174
+ DSUBT *+AR5(020E6h), A ; 175
+ DSUBT *+AR5(020E7h), B ; 176
+ DSUBT *+AR5(020E8h)%, A ; 177
+ DSUBT *+AR5(020E9h)%, B ; 178
+ DSUBT *(020EAh), A ; 179
+ DSUBT *(020EBh), B ; 180
+ DSUBT *AR6, A ; 181
+ DSUBT *AR6, B ; 182
+ DSUBT *AR6-, A ; 183
+ DSUBT *AR6-, B ; 184
+ DSUBT *AR6+, A ; 185
+ DSUBT *AR6+, B ; 186
+ DSUBT *AR6-0B, A ; 187
+ DSUBT *AR6-0B, B ; 188
+ DSUBT *AR6-0, A ; 189
+ DSUBT *AR6-0, B ; 190
+ DSUBT *AR6+0, A ; 191
+ DSUBT *AR6+0, B ; 192
+ DSUBT *AR6+0B, A ; 193
+ DSUBT *AR6+0B, B ; 194
+ DSUBT *AR6-%, A ; 195
+ DSUBT *AR6-%, B ; 196
+ DSUBT *AR6-0%, A ; 197
+ DSUBT *AR6-0%, B ; 198
+ DSUBT *AR6+%, A ; 199
+ DSUBT *AR6+%, B ; 200
+ DSUBT *AR6+0%, A ; 201
+ DSUBT *AR6+0%, B ; 202
+ DSUBT *AR6(020ECh), A ; 203
+ DSUBT *AR6(020EDh), B ; 204
+ DSUBT *+AR6(020EEh), A ; 205
+ DSUBT *+AR6(020EFh), B ; 206
+ DSUBT *+AR6(020F0h)%, A ; 207
+ DSUBT *+AR6(020F1h)%, B ; 208
+ DSUBT *(020F2h), A ; 209
+ DSUBT *(020F3h), B ; 210
+ DSUBT *AR7, A ; 211
+ DSUBT *AR7, B ; 212
+ DSUBT *AR7-, A ; 213
+ DSUBT *AR7-, B ; 214
+ DSUBT *AR7+, A ; 215
+ DSUBT *AR7+, B ; 216
+ DSUBT *AR7-0B, A ; 217
+ DSUBT *AR7-0B, B ; 218
+ DSUBT *AR7-0, A ; 219
+ DSUBT *AR7-0, B ; 220
+ DSUBT *AR7+0, A ; 221
+ DSUBT *AR7+0, B ; 222
+ DSUBT *AR7+0B, A ; 223
+ DSUBT *AR7+0B, B ; 224
+ DSUBT *AR7-%, A ; 225
+ DSUBT *AR7-%, B ; 226
+ DSUBT *AR7-0%, A ; 227
+ DSUBT *AR7-0%, B ; 228
+ DSUBT *AR7+%, A ; 229
+ DSUBT *AR7+%, B ; 230
+ DSUBT *AR7+0%, A ; 231
+ DSUBT *AR7+0%, B ; 232
+ DSUBT *AR7(020F4h), A ; 233
+ DSUBT *AR7(020F5h), B ; 234
+ DSUBT *+AR7(020F6h), A ; 235
+ DSUBT *+AR7(020F7h), B ; 236
+ DSUBT *+AR7(020F8h)%, A ; 237
+ DSUBT *+AR7(020F9h)%, B ; 238
+ DSUBT *(020FAh), A ; 239
+ DSUBT *(020FBh), B ; 240
+ ; DSUB_I : DSUB Lmem_I, AB : 240
+ DSUB *AR0, A ; 1
+ DSUB *AR0, B ; 2
+ DSUB *AR0-, A ; 3
+ DSUB *AR0-, B ; 4
+ DSUB *AR0+, A ; 5
+ DSUB *AR0+, B ; 6
+ DSUB *AR0-0B, A ; 7
+ DSUB *AR0-0B, B ; 8
+ DSUB *AR0-0, A ; 9
+ DSUB *AR0-0, B ; 10
+ DSUB *AR0+0, A ; 11
+ DSUB *AR0+0, B ; 12
+ DSUB *AR0+0B, A ; 13
+ DSUB *AR0+0B, B ; 14
+ DSUB *AR0-%, A ; 15
+ DSUB *AR0-%, B ; 16
+ DSUB *AR0-0%, A ; 17
+ DSUB *AR0-0%, B ; 18
+ DSUB *AR0+%, A ; 19
+ DSUB *AR0+%, B ; 20
+ DSUB *AR0+0%, A ; 21
+ DSUB *AR0+0%, B ; 22
+ DSUB *AR0(020FCh), A ; 23
+ DSUB *AR0(020FDh), B ; 24
+ DSUB *+AR0(020FEh), A ; 25
+ DSUB *+AR0(020FFh), B ; 26
+ DSUB *+AR0(02100h)%, A ; 27
+ DSUB *+AR0(02101h)%, B ; 28
+ DSUB *(02102h), A ; 29
+ DSUB *(02103h), B ; 30
+ DSUB *AR1, A ; 31
+ DSUB *AR1, B ; 32
+ DSUB *AR1-, A ; 33
+ DSUB *AR1-, B ; 34
+ DSUB *AR1+, A ; 35
+ DSUB *AR1+, B ; 36
+ DSUB *AR1-0B, A ; 37
+ DSUB *AR1-0B, B ; 38
+ DSUB *AR1-0, A ; 39
+ DSUB *AR1-0, B ; 40
+ DSUB *AR1+0, A ; 41
+ DSUB *AR1+0, B ; 42
+ DSUB *AR1+0B, A ; 43
+ DSUB *AR1+0B, B ; 44
+ DSUB *AR1-%, A ; 45
+ DSUB *AR1-%, B ; 46
+ DSUB *AR1-0%, A ; 47
+ DSUB *AR1-0%, B ; 48
+ DSUB *AR1+%, A ; 49
+ DSUB *AR1+%, B ; 50
+ DSUB *AR1+0%, A ; 51
+ DSUB *AR1+0%, B ; 52
+ DSUB *AR1(02104h), A ; 53
+ DSUB *AR1(02105h), B ; 54
+ DSUB *+AR1(02106h), A ; 55
+ DSUB *+AR1(02107h), B ; 56
+ DSUB *+AR1(02108h)%, A ; 57
+ DSUB *+AR1(02109h)%, B ; 58
+ DSUB *(0210Ah), A ; 59
+ DSUB *(0210Bh), B ; 60
+ DSUB *AR2, A ; 61
+ DSUB *AR2, B ; 62
+ DSUB *AR2-, A ; 63
+ DSUB *AR2-, B ; 64
+ DSUB *AR2+, A ; 65
+ DSUB *AR2+, B ; 66
+ DSUB *AR2-0B, A ; 67
+ DSUB *AR2-0B, B ; 68
+ DSUB *AR2-0, A ; 69
+ DSUB *AR2-0, B ; 70
+ DSUB *AR2+0, A ; 71
+ DSUB *AR2+0, B ; 72
+ DSUB *AR2+0B, A ; 73
+ DSUB *AR2+0B, B ; 74
+ DSUB *AR2-%, A ; 75
+ DSUB *AR2-%, B ; 76
+ DSUB *AR2-0%, A ; 77
+ DSUB *AR2-0%, B ; 78
+ DSUB *AR2+%, A ; 79
+ DSUB *AR2+%, B ; 80
+ DSUB *AR2+0%, A ; 81
+ DSUB *AR2+0%, B ; 82
+ DSUB *AR2(0210Ch), A ; 83
+ DSUB *AR2(0210Dh), B ; 84
+ DSUB *+AR2(0210Eh), A ; 85
+ DSUB *+AR2(0210Fh), B ; 86
+ DSUB *+AR2(02110h)%, A ; 87
+ DSUB *+AR2(02111h)%, B ; 88
+ DSUB *(02112h), A ; 89
+ DSUB *(02113h), B ; 90
+ DSUB *AR3, A ; 91
+ DSUB *AR3, B ; 92
+ DSUB *AR3-, A ; 93
+ DSUB *AR3-, B ; 94
+ DSUB *AR3+, A ; 95
+ DSUB *AR3+, B ; 96
+ DSUB *AR3-0B, A ; 97
+ DSUB *AR3-0B, B ; 98
+ DSUB *AR3-0, A ; 99
+ DSUB *AR3-0, B ; 100
+ DSUB *AR3+0, A ; 101
+ DSUB *AR3+0, B ; 102
+ DSUB *AR3+0B, A ; 103
+ DSUB *AR3+0B, B ; 104
+ DSUB *AR3-%, A ; 105
+ DSUB *AR3-%, B ; 106
+ DSUB *AR3-0%, A ; 107
+ DSUB *AR3-0%, B ; 108
+ DSUB *AR3+%, A ; 109
+ DSUB *AR3+%, B ; 110
+ DSUB *AR3+0%, A ; 111
+ DSUB *AR3+0%, B ; 112
+ DSUB *AR3(02114h), A ; 113
+ DSUB *AR3(02115h), B ; 114
+ DSUB *+AR3(02116h), A ; 115
+ DSUB *+AR3(02117h), B ; 116
+ DSUB *+AR3(02118h)%, A ; 117
+ DSUB *+AR3(02119h)%, B ; 118
+ DSUB *(0211Ah), A ; 119
+ DSUB *(0211Bh), B ; 120
+ DSUB *AR4, A ; 121
+ DSUB *AR4, B ; 122
+ DSUB *AR4-, A ; 123
+ DSUB *AR4-, B ; 124
+ DSUB *AR4+, A ; 125
+ DSUB *AR4+, B ; 126
+ DSUB *AR4-0B, A ; 127
+ DSUB *AR4-0B, B ; 128
+ DSUB *AR4-0, A ; 129
+ DSUB *AR4-0, B ; 130
+ DSUB *AR4+0, A ; 131
+ DSUB *AR4+0, B ; 132
+ DSUB *AR4+0B, A ; 133
+ DSUB *AR4+0B, B ; 134
+ DSUB *AR4-%, A ; 135
+ DSUB *AR4-%, B ; 136
+ DSUB *AR4-0%, A ; 137
+ DSUB *AR4-0%, B ; 138
+ DSUB *AR4+%, A ; 139
+ DSUB *AR4+%, B ; 140
+ DSUB *AR4+0%, A ; 141
+ DSUB *AR4+0%, B ; 142
+ DSUB *AR4(0211Ch), A ; 143
+ DSUB *AR4(0211Dh), B ; 144
+ DSUB *+AR4(0211Eh), A ; 145
+ DSUB *+AR4(0211Fh), B ; 146
+ DSUB *+AR4(02120h)%, A ; 147
+ DSUB *+AR4(02121h)%, B ; 148
+ DSUB *(02122h), A ; 149
+ DSUB *(02123h), B ; 150
+ DSUB *AR5, A ; 151
+ DSUB *AR5, B ; 152
+ DSUB *AR5-, A ; 153
+ DSUB *AR5-, B ; 154
+ DSUB *AR5+, A ; 155
+ DSUB *AR5+, B ; 156
+ DSUB *AR5-0B, A ; 157
+ DSUB *AR5-0B, B ; 158
+ DSUB *AR5-0, A ; 159
+ DSUB *AR5-0, B ; 160
+ DSUB *AR5+0, A ; 161
+ DSUB *AR5+0, B ; 162
+ DSUB *AR5+0B, A ; 163
+ DSUB *AR5+0B, B ; 164
+ DSUB *AR5-%, A ; 165
+ DSUB *AR5-%, B ; 166
+ DSUB *AR5-0%, A ; 167
+ DSUB *AR5-0%, B ; 168
+ DSUB *AR5+%, A ; 169
+ DSUB *AR5+%, B ; 170
+ DSUB *AR5+0%, A ; 171
+ DSUB *AR5+0%, B ; 172
+ DSUB *AR5(02124h), A ; 173
+ DSUB *AR5(02125h), B ; 174
+ DSUB *+AR5(02126h), A ; 175
+ DSUB *+AR5(02127h), B ; 176
+ DSUB *+AR5(02128h)%, A ; 177
+ DSUB *+AR5(02129h)%, B ; 178
+ DSUB *(0212Ah), A ; 179
+ DSUB *(0212Bh), B ; 180
+ DSUB *AR6, A ; 181
+ DSUB *AR6, B ; 182
+ DSUB *AR6-, A ; 183
+ DSUB *AR6-, B ; 184
+ DSUB *AR6+, A ; 185
+ DSUB *AR6+, B ; 186
+ DSUB *AR6-0B, A ; 187
+ DSUB *AR6-0B, B ; 188
+ DSUB *AR6-0, A ; 189
+ DSUB *AR6-0, B ; 190
+ DSUB *AR6+0, A ; 191
+ DSUB *AR6+0, B ; 192
+ DSUB *AR6+0B, A ; 193
+ DSUB *AR6+0B, B ; 194
+ DSUB *AR6-%, A ; 195
+ DSUB *AR6-%, B ; 196
+ DSUB *AR6-0%, A ; 197
+ DSUB *AR6-0%, B ; 198
+ DSUB *AR6+%, A ; 199
+ DSUB *AR6+%, B ; 200
+ DSUB *AR6+0%, A ; 201
+ DSUB *AR6+0%, B ; 202
+ DSUB *AR6(0212Ch), A ; 203
+ DSUB *AR6(0212Dh), B ; 204
+ DSUB *+AR6(0212Eh), A ; 205
+ DSUB *+AR6(0212Fh), B ; 206
+ DSUB *+AR6(02130h)%, A ; 207
+ DSUB *+AR6(02131h)%, B ; 208
+ DSUB *(02132h), A ; 209
+ DSUB *(02133h), B ; 210
+ DSUB *AR7, A ; 211
+ DSUB *AR7, B ; 212
+ DSUB *AR7-, A ; 213
+ DSUB *AR7-, B ; 214
+ DSUB *AR7+, A ; 215
+ DSUB *AR7+, B ; 216
+ DSUB *AR7-0B, A ; 217
+ DSUB *AR7-0B, B ; 218
+ DSUB *AR7-0, A ; 219
+ DSUB *AR7-0, B ; 220
+ DSUB *AR7+0, A ; 221
+ DSUB *AR7+0, B ; 222
+ DSUB *AR7+0B, A ; 223
+ DSUB *AR7+0B, B ; 224
+ DSUB *AR7-%, A ; 225
+ DSUB *AR7-%, B ; 226
+ DSUB *AR7-0%, A ; 227
+ DSUB *AR7-0%, B ; 228
+ DSUB *AR7+%, A ; 229
+ DSUB *AR7+%, B ; 230
+ DSUB *AR7+0%, A ; 231
+ DSUB *AR7+0%, B ; 232
+ DSUB *AR7(02134h), A ; 233
+ DSUB *AR7(02135h), B ; 234
+ DSUB *+AR7(02136h), A ; 235
+ DSUB *+AR7(02137h), B ; 236
+ DSUB *+AR7(02138h)%, A ; 237
+ DSUB *+AR7(02139h)%, B ; 238
+ DSUB *(0213Ah), A ; 239
+ DSUB *(0213Bh), B ; 240
+ ; EXP : EXP AB : 2
+ EXP A ; 1
+ EXP B ; 2
+ .if $isdefed("__allow_far")
+ ; 074: FB : 1 ; 1
+ FB 010000h
+ ; 075: FBD : 1 ; 1
+ FBD 010001h
+ NOP
+ NOP
+ ; 076: FBACC : 2
+ FBACC A ; 1
+ FBACC B ; 2
+ ; 077: FBACCD : 2
+ FBACCD A ; 1
+ NOP
+ NOP
+ FBACCD B ; 2
+ NOP
+ NOP
+ ; 078: FCALA : 2
+ FCALA A ; 1
+ FCALA B ; 2
+ ; 079: FCALAD : 2
+ FCALAD A ; 1
+ NOP
+ NOP
+ FCALAD B ; 2
+ NOP
+ NOP
+ ; 080: FCALL : 1
+ FCALL 010002h ; 1
+ ; 081: FCALLD : 1
+ FCALLD 010003h ; 1
+ NOP
+ NOP
+ .endif
+ ; FIRS : FIRS Xmem, Ymem, Pmad : 256
+ FIRS *AR2, *AR2, 003D0h ; 1
+ FIRS *AR2, *AR2-, 003D1h ; 2
+ FIRS *AR2, *AR2+, 003D2h ; 3
+ FIRS *AR2, *AR2+0%, 003D3h ; 4
+ FIRS *AR2, *AR3, 003D4h ; 5
+ FIRS *AR2, *AR3-, 003D5h ; 6
+ FIRS *AR2, *AR3+, 003D6h ; 7
+ FIRS *AR2, *AR3+0%, 003D7h ; 8
+ FIRS *AR2, *AR4, 003D8h ; 9
+ FIRS *AR2, *AR4-, 003D9h ; 10
+ FIRS *AR2, *AR4+, 003DAh ; 11
+ FIRS *AR2, *AR4+0%, 003DBh ; 12
+ FIRS *AR2, *AR5, 003DCh ; 13
+ FIRS *AR2, *AR5-, 003DDh ; 14
+ FIRS *AR2, *AR5+, 003DEh ; 15
+ FIRS *AR2, *AR5+0%, 003DFh ; 16
+ FIRS *AR2-, *AR2, 003E0h ; 17
+ FIRS *AR2-, *AR2-, 003E1h ; 18
+ FIRS *AR2-, *AR2+, 003E2h ; 19
+ FIRS *AR2-, *AR2+0%, 003E3h ; 20
+ FIRS *AR2-, *AR3, 003E4h ; 21
+ FIRS *AR2-, *AR3-, 003E5h ; 22
+ FIRS *AR2-, *AR3+, 003E6h ; 23
+ FIRS *AR2-, *AR3+0%, 003E7h ; 24
+ FIRS *AR2-, *AR4, 003E8h ; 25
+ FIRS *AR2-, *AR4-, 003E9h ; 26
+ FIRS *AR2-, *AR4+, 003EAh ; 27
+ FIRS *AR2-, *AR4+0%, 003EBh ; 28
+ FIRS *AR2-, *AR5, 003ECh ; 29
+ FIRS *AR2-, *AR5-, 003EDh ; 30
+ FIRS *AR2-, *AR5+, 003EEh ; 31
+ FIRS *AR2-, *AR5+0%, 003EFh ; 32
+ FIRS *AR2+, *AR2, 003F0h ; 33
+ FIRS *AR2+, *AR2-, 003F1h ; 34
+ FIRS *AR2+, *AR2+, 003F2h ; 35
+ FIRS *AR2+, *AR2+0%, 003F3h ; 36
+ FIRS *AR2+, *AR3, 003F4h ; 37
+ FIRS *AR2+, *AR3-, 003F5h ; 38
+ FIRS *AR2+, *AR3+, 003F6h ; 39
+ FIRS *AR2+, *AR3+0%, 003F7h ; 40
+ FIRS *AR2+, *AR4, 003F8h ; 41
+ FIRS *AR2+, *AR4-, 003F9h ; 42
+ FIRS *AR2+, *AR4+, 003FAh ; 43
+ FIRS *AR2+, *AR4+0%, 003FBh ; 44
+ FIRS *AR2+, *AR5, 003FCh ; 45
+ FIRS *AR2+, *AR5-, 003FDh ; 46
+ FIRS *AR2+, *AR5+, 003FEh ; 47
+ FIRS *AR2+, *AR5+0%, 003FFh ; 48
+ FIRS *AR2+0%, *AR2, 00400h ; 49
+ FIRS *AR2+0%, *AR2-, 00401h ; 50
+ FIRS *AR2+0%, *AR2+, 00402h ; 51
+ FIRS *AR2+0%, *AR2+0%, 00403h ; 52
+ FIRS *AR2+0%, *AR3, 00404h ; 53
+ FIRS *AR2+0%, *AR3-, 00405h ; 54
+ FIRS *AR2+0%, *AR3+, 00406h ; 55
+ FIRS *AR2+0%, *AR3+0%, 00407h ; 56
+ FIRS *AR2+0%, *AR4, 00408h ; 57
+ FIRS *AR2+0%, *AR4-, 00409h ; 58
+ FIRS *AR2+0%, *AR4+, 0040Ah ; 59
+ FIRS *AR2+0%, *AR4+0%, 0040Bh ; 60
+ FIRS *AR2+0%, *AR5, 0040Ch ; 61
+ FIRS *AR2+0%, *AR5-, 0040Dh ; 62
+ FIRS *AR2+0%, *AR5+, 0040Eh ; 63
+ FIRS *AR2+0%, *AR5+0%, 0040Fh ; 64
+ FIRS *AR3, *AR2, 00410h ; 65
+ FIRS *AR3, *AR2-, 00411h ; 66
+ FIRS *AR3, *AR2+, 00412h ; 67
+ FIRS *AR3, *AR2+0%, 00413h ; 68
+ FIRS *AR3, *AR3, 00414h ; 69
+ FIRS *AR3, *AR3-, 00415h ; 70
+ FIRS *AR3, *AR3+, 00416h ; 71
+ FIRS *AR3, *AR3+0%, 00417h ; 72
+ FIRS *AR3, *AR4, 00418h ; 73
+ FIRS *AR3, *AR4-, 00419h ; 74
+ FIRS *AR3, *AR4+, 0041Ah ; 75
+ FIRS *AR3, *AR4+0%, 0041Bh ; 76
+ FIRS *AR3, *AR5, 0041Ch ; 77
+ FIRS *AR3, *AR5-, 0041Dh ; 78
+ FIRS *AR3, *AR5+, 0041Eh ; 79
+ FIRS *AR3, *AR5+0%, 0041Fh ; 80
+ FIRS *AR3-, *AR2, 00420h ; 81
+ FIRS *AR3-, *AR2-, 00421h ; 82
+ FIRS *AR3-, *AR2+, 00422h ; 83
+ FIRS *AR3-, *AR2+0%, 00423h ; 84
+ FIRS *AR3-, *AR3, 00424h ; 85
+ FIRS *AR3-, *AR3-, 00425h ; 86
+ FIRS *AR3-, *AR3+, 00426h ; 87
+ FIRS *AR3-, *AR3+0%, 00427h ; 88
+ FIRS *AR3-, *AR4, 00428h ; 89
+ FIRS *AR3-, *AR4-, 00429h ; 90
+ FIRS *AR3-, *AR4+, 0042Ah ; 91
+ FIRS *AR3-, *AR4+0%, 0042Bh ; 92
+ FIRS *AR3-, *AR5, 0042Ch ; 93
+ FIRS *AR3-, *AR5-, 0042Dh ; 94
+ FIRS *AR3-, *AR5+, 0042Eh ; 95
+ FIRS *AR3-, *AR5+0%, 0042Fh ; 96
+ FIRS *AR3+, *AR2, 00430h ; 97
+ FIRS *AR3+, *AR2-, 00431h ; 98
+ FIRS *AR3+, *AR2+, 00432h ; 99
+ FIRS *AR3+, *AR2+0%, 00433h ; 100
+ FIRS *AR3+, *AR3, 00434h ; 101
+ FIRS *AR3+, *AR3-, 00435h ; 102
+ FIRS *AR3+, *AR3+, 00436h ; 103
+ FIRS *AR3+, *AR3+0%, 00437h ; 104
+ FIRS *AR3+, *AR4, 00438h ; 105
+ FIRS *AR3+, *AR4-, 00439h ; 106
+ FIRS *AR3+, *AR4+, 0043Ah ; 107
+ FIRS *AR3+, *AR4+0%, 0043Bh ; 108
+ FIRS *AR3+, *AR5, 0043Ch ; 109
+ FIRS *AR3+, *AR5-, 0043Dh ; 110
+ FIRS *AR3+, *AR5+, 0043Eh ; 111
+ FIRS *AR3+, *AR5+0%, 0043Fh ; 112
+ FIRS *AR3+0%, *AR2, 00440h ; 113
+ FIRS *AR3+0%, *AR2-, 00441h ; 114
+ FIRS *AR3+0%, *AR2+, 00442h ; 115
+ FIRS *AR3+0%, *AR2+0%, 00443h ; 116
+ FIRS *AR3+0%, *AR3, 00444h ; 117
+ FIRS *AR3+0%, *AR3-, 00445h ; 118
+ FIRS *AR3+0%, *AR3+, 00446h ; 119
+ FIRS *AR3+0%, *AR3+0%, 00447h ; 120
+ FIRS *AR3+0%, *AR4, 00448h ; 121
+ FIRS *AR3+0%, *AR4-, 00449h ; 122
+ FIRS *AR3+0%, *AR4+, 0044Ah ; 123
+ FIRS *AR3+0%, *AR4+0%, 0044Bh ; 124
+ FIRS *AR3+0%, *AR5, 0044Ch ; 125
+ FIRS *AR3+0%, *AR5-, 0044Dh ; 126
+ FIRS *AR3+0%, *AR5+, 0044Eh ; 127
+ FIRS *AR3+0%, *AR5+0%, 0044Fh ; 128
+ FIRS *AR4, *AR2, 00450h ; 129
+ FIRS *AR4, *AR2-, 00451h ; 130
+ FIRS *AR4, *AR2+, 00452h ; 131
+ FIRS *AR4, *AR2+0%, 00453h ; 132
+ FIRS *AR4, *AR3, 00454h ; 133
+ FIRS *AR4, *AR3-, 00455h ; 134
+ FIRS *AR4, *AR3+, 00456h ; 135
+ FIRS *AR4, *AR3+0%, 00457h ; 136
+ FIRS *AR4, *AR4, 00458h ; 137
+ FIRS *AR4, *AR4-, 00459h ; 138
+ FIRS *AR4, *AR4+, 0045Ah ; 139
+ FIRS *AR4, *AR4+0%, 0045Bh ; 140
+ FIRS *AR4, *AR5, 0045Ch ; 141
+ FIRS *AR4, *AR5-, 0045Dh ; 142
+ FIRS *AR4, *AR5+, 0045Eh ; 143
+ FIRS *AR4, *AR5+0%, 0045Fh ; 144
+ FIRS *AR4-, *AR2, 00460h ; 145
+ FIRS *AR4-, *AR2-, 00461h ; 146
+ FIRS *AR4-, *AR2+, 00462h ; 147
+ FIRS *AR4-, *AR2+0%, 00463h ; 148
+ FIRS *AR4-, *AR3, 00464h ; 149
+ FIRS *AR4-, *AR3-, 00465h ; 150
+ FIRS *AR4-, *AR3+, 00466h ; 151
+ FIRS *AR4-, *AR3+0%, 00467h ; 152
+ FIRS *AR4-, *AR4, 00468h ; 153
+ FIRS *AR4-, *AR4-, 00469h ; 154
+ FIRS *AR4-, *AR4+, 0046Ah ; 155
+ FIRS *AR4-, *AR4+0%, 0046Bh ; 156
+ FIRS *AR4-, *AR5, 0046Ch ; 157
+ FIRS *AR4-, *AR5-, 0046Dh ; 158
+ FIRS *AR4-, *AR5+, 0046Eh ; 159
+ FIRS *AR4-, *AR5+0%, 0046Fh ; 160
+ FIRS *AR4+, *AR2, 00470h ; 161
+ FIRS *AR4+, *AR2-, 00471h ; 162
+ FIRS *AR4+, *AR2+, 00472h ; 163
+ FIRS *AR4+, *AR2+0%, 00473h ; 164
+ FIRS *AR4+, *AR3, 00474h ; 165
+ FIRS *AR4+, *AR3-, 00475h ; 166
+ FIRS *AR4+, *AR3+, 00476h ; 167
+ FIRS *AR4+, *AR3+0%, 00477h ; 168
+ FIRS *AR4+, *AR4, 00478h ; 169
+ FIRS *AR4+, *AR4-, 00479h ; 170
+ FIRS *AR4+, *AR4+, 0047Ah ; 171
+ FIRS *AR4+, *AR4+0%, 0047Bh ; 172
+ FIRS *AR4+, *AR5, 0047Ch ; 173
+ FIRS *AR4+, *AR5-, 0047Dh ; 174
+ FIRS *AR4+, *AR5+, 0047Eh ; 175
+ FIRS *AR4+, *AR5+0%, 0047Fh ; 176
+ FIRS *AR4+0%, *AR2, 00480h ; 177
+ FIRS *AR4+0%, *AR2-, 00481h ; 178
+ FIRS *AR4+0%, *AR2+, 00482h ; 179
+ FIRS *AR4+0%, *AR2+0%, 00483h ; 180
+ FIRS *AR4+0%, *AR3, 00484h ; 181
+ FIRS *AR4+0%, *AR3-, 00485h ; 182
+ FIRS *AR4+0%, *AR3+, 00486h ; 183
+ FIRS *AR4+0%, *AR3+0%, 00487h ; 184
+ FIRS *AR4+0%, *AR4, 00488h ; 185
+ FIRS *AR4+0%, *AR4-, 00489h ; 186
+ FIRS *AR4+0%, *AR4+, 0048Ah ; 187
+ FIRS *AR4+0%, *AR4+0%, 0048Bh ; 188
+ FIRS *AR4+0%, *AR5, 0048Ch ; 189
+ FIRS *AR4+0%, *AR5-, 0048Dh ; 190
+ FIRS *AR4+0%, *AR5+, 0048Eh ; 191
+ FIRS *AR4+0%, *AR5+0%, 0048Fh ; 192
+ FIRS *AR5, *AR2, 00490h ; 193
+ FIRS *AR5, *AR2-, 00491h ; 194
+ FIRS *AR5, *AR2+, 00492h ; 195
+ FIRS *AR5, *AR2+0%, 00493h ; 196
+ FIRS *AR5, *AR3, 00494h ; 197
+ FIRS *AR5, *AR3-, 00495h ; 198
+ FIRS *AR5, *AR3+, 00496h ; 199
+ FIRS *AR5, *AR3+0%, 00497h ; 200
+ FIRS *AR5, *AR4, 00498h ; 201
+ FIRS *AR5, *AR4-, 00499h ; 202
+ FIRS *AR5, *AR4+, 0049Ah ; 203
+ FIRS *AR5, *AR4+0%, 0049Bh ; 204
+ FIRS *AR5, *AR5, 0049Ch ; 205
+ FIRS *AR5, *AR5-, 0049Dh ; 206
+ FIRS *AR5, *AR5+, 0049Eh ; 207
+ FIRS *AR5, *AR5+0%, 0049Fh ; 208
+ FIRS *AR5-, *AR2, 004A0h ; 209
+ FIRS *AR5-, *AR2-, 004A1h ; 210
+ FIRS *AR5-, *AR2+, 004A2h ; 211
+ FIRS *AR5-, *AR2+0%, 004A3h ; 212
+ FIRS *AR5-, *AR3, 004A4h ; 213
+ FIRS *AR5-, *AR3-, 004A5h ; 214
+ FIRS *AR5-, *AR3+, 004A6h ; 215
+ FIRS *AR5-, *AR3+0%, 004A7h ; 216
+ FIRS *AR5-, *AR4, 004A8h ; 217
+ FIRS *AR5-, *AR4-, 004A9h ; 218
+ FIRS *AR5-, *AR4+, 004AAh ; 219
+ FIRS *AR5-, *AR4+0%, 004ABh ; 220
+ FIRS *AR5-, *AR5, 004ACh ; 221
+ FIRS *AR5-, *AR5-, 004ADh ; 222
+ FIRS *AR5-, *AR5+, 004AEh ; 223
+ FIRS *AR5-, *AR5+0%, 004AFh ; 224
+ FIRS *AR5+, *AR2, 004B0h ; 225
+ FIRS *AR5+, *AR2-, 004B1h ; 226
+ FIRS *AR5+, *AR2+, 004B2h ; 227
+ FIRS *AR5+, *AR2+0%, 004B3h ; 228
+ FIRS *AR5+, *AR3, 004B4h ; 229
+ FIRS *AR5+, *AR3-, 004B5h ; 230
+ FIRS *AR5+, *AR3+, 004B6h ; 231
+ FIRS *AR5+, *AR3+0%, 004B7h ; 232
+ FIRS *AR5+, *AR4, 004B8h ; 233
+ FIRS *AR5+, *AR4-, 004B9h ; 234
+ FIRS *AR5+, *AR4+, 004BAh ; 235
+ FIRS *AR5+, *AR4+0%, 004BBh ; 236
+ FIRS *AR5+, *AR5, 004BCh ; 237
+ FIRS *AR5+, *AR5-, 004BDh ; 238
+ FIRS *AR5+, *AR5+, 004BEh ; 239
+ FIRS *AR5+, *AR5+0%, 004BFh ; 240
+ FIRS *AR5+0%, *AR2, 004C0h ; 241
+ FIRS *AR5+0%, *AR2-, 004C1h ; 242
+ FIRS *AR5+0%, *AR2+, 004C2h ; 243
+ FIRS *AR5+0%, *AR2+0%, 004C3h ; 244
+ FIRS *AR5+0%, *AR3, 004C4h ; 245
+ FIRS *AR5+0%, *AR3-, 004C5h ; 246
+ FIRS *AR5+0%, *AR3+, 004C6h ; 247
+ FIRS *AR5+0%, *AR3+0%, 004C7h ; 248
+ FIRS *AR5+0%, *AR4, 004C8h ; 249
+ FIRS *AR5+0%, *AR4-, 004C9h ; 250
+ FIRS *AR5+0%, *AR4+, 004CAh ; 251
+ FIRS *AR5+0%, *AR4+0%, 004CBh ; 252
+ FIRS *AR5+0%, *AR5, 004CCh ; 253
+ FIRS *AR5+0%, *AR5-, 004CDh ; 254
+ FIRS *AR5+0%, *AR5+, 004CEh ; 255
+ FIRS *AR5+0%, *AR5+0%, 004CFh ; 256
+ ; FRAME : FRAME K : 256
+ FRAME -128 ; 1
+ FRAME -127 ; 2
+ FRAME -126 ; 3
+ FRAME -125 ; 4
+ FRAME -124 ; 5
+ FRAME -123 ; 6
+ FRAME -122 ; 7
+ FRAME -121 ; 8
+ FRAME -120 ; 9
+ FRAME -119 ; 10
+ FRAME -118 ; 11
+ FRAME -117 ; 12
+ FRAME -116 ; 13
+ FRAME -115 ; 14
+ FRAME -114 ; 15
+ FRAME -113 ; 16
+ FRAME -112 ; 17
+ FRAME -111 ; 18
+ FRAME -110 ; 19
+ FRAME -109 ; 20
+ FRAME -108 ; 21
+ FRAME -107 ; 22
+ FRAME -106 ; 23
+ FRAME -105 ; 24
+ FRAME -104 ; 25
+ FRAME -103 ; 26
+ FRAME -102 ; 27
+ FRAME -101 ; 28
+ FRAME -100 ; 29
+ FRAME -99 ; 30
+ FRAME -98 ; 31
+ FRAME -97 ; 32
+ FRAME -96 ; 33
+ FRAME -95 ; 34
+ FRAME -94 ; 35
+ FRAME -93 ; 36
+ FRAME -92 ; 37
+ FRAME -91 ; 38
+ FRAME -90 ; 39
+ FRAME -89 ; 40
+ FRAME -88 ; 41
+ FRAME -87 ; 42
+ FRAME -86 ; 43
+ FRAME -85 ; 44
+ FRAME -84 ; 45
+ FRAME -83 ; 46
+ FRAME -82 ; 47
+ FRAME -81 ; 48
+ FRAME -80 ; 49
+ FRAME -79 ; 50
+ FRAME -78 ; 51
+ FRAME -77 ; 52
+ FRAME -76 ; 53
+ FRAME -75 ; 54
+ FRAME -74 ; 55
+ FRAME -73 ; 56
+ FRAME -72 ; 57
+ FRAME -71 ; 58
+ FRAME -70 ; 59
+ FRAME -69 ; 60
+ FRAME -68 ; 61
+ FRAME -67 ; 62
+ FRAME -66 ; 63
+ FRAME -65 ; 64
+ FRAME -64 ; 65
+ FRAME -63 ; 66
+ FRAME -62 ; 67
+ FRAME -61 ; 68
+ FRAME -60 ; 69
+ FRAME -59 ; 70
+ FRAME -58 ; 71
+ FRAME -57 ; 72
+ FRAME -56 ; 73
+ FRAME -55 ; 74
+ FRAME -54 ; 75
+ FRAME -53 ; 76
+ FRAME -52 ; 77
+ FRAME -51 ; 78
+ FRAME -50 ; 79
+ FRAME -49 ; 80
+ FRAME -48 ; 81
+ FRAME -47 ; 82
+ FRAME -46 ; 83
+ FRAME -45 ; 84
+ FRAME -44 ; 85
+ FRAME -43 ; 86
+ FRAME -42 ; 87
+ FRAME -41 ; 88
+ FRAME -40 ; 89
+ FRAME -39 ; 90
+ FRAME -38 ; 91
+ FRAME -37 ; 92
+ FRAME -36 ; 93
+ FRAME -35 ; 94
+ FRAME -34 ; 95
+ FRAME -33 ; 96
+ FRAME -32 ; 97
+ FRAME -31 ; 98
+ FRAME -30 ; 99
+ FRAME -29 ; 100
+ FRAME -28 ; 101
+ FRAME -27 ; 102
+ FRAME -26 ; 103
+ FRAME -25 ; 104
+ FRAME -24 ; 105
+ FRAME -23 ; 106
+ FRAME -22 ; 107
+ FRAME -21 ; 108
+ FRAME -20 ; 109
+ FRAME -19 ; 110
+ FRAME -18 ; 111
+ FRAME -17 ; 112
+ FRAME -16 ; 113
+ FRAME -15 ; 114
+ FRAME -14 ; 115
+ FRAME -13 ; 116
+ FRAME -12 ; 117
+ FRAME -11 ; 118
+ FRAME -10 ; 119
+ FRAME -9 ; 120
+ FRAME -8 ; 121
+ FRAME -7 ; 122
+ FRAME -6 ; 123
+ FRAME -5 ; 124
+ FRAME -4 ; 125
+ FRAME -3 ; 126
+ FRAME -2 ; 127
+ FRAME -1 ; 128
+ FRAME 0 ; 129
+ FRAME 1 ; 130
+ FRAME 2 ; 131
+ FRAME 3 ; 132
+ FRAME 4 ; 133
+ FRAME 5 ; 134
+ FRAME 6 ; 135
+ FRAME 7 ; 136
+ FRAME 8 ; 137
+ FRAME 9 ; 138
+ FRAME 10 ; 139
+ FRAME 11 ; 140
+ FRAME 12 ; 141
+ FRAME 13 ; 142
+ FRAME 14 ; 143
+ FRAME 15 ; 144
+ FRAME 16 ; 145
+ FRAME 17 ; 146
+ FRAME 18 ; 147
+ FRAME 19 ; 148
+ FRAME 20 ; 149
+ FRAME 21 ; 150
+ FRAME 22 ; 151
+ FRAME 23 ; 152
+ FRAME 24 ; 153
+ FRAME 25 ; 154
+ FRAME 26 ; 155
+ FRAME 27 ; 156
+ FRAME 28 ; 157
+ FRAME 29 ; 158
+ FRAME 30 ; 159
+ FRAME 31 ; 160
+ FRAME 32 ; 161
+ FRAME 33 ; 162
+ FRAME 34 ; 163
+ FRAME 35 ; 164
+ FRAME 36 ; 165
+ FRAME 37 ; 166
+ FRAME 38 ; 167
+ FRAME 39 ; 168
+ FRAME 40 ; 169
+ FRAME 41 ; 170
+ FRAME 42 ; 171
+ FRAME 43 ; 172
+ FRAME 44 ; 173
+ FRAME 45 ; 174
+ FRAME 46 ; 175
+ FRAME 47 ; 176
+ FRAME 48 ; 177
+ FRAME 49 ; 178
+ FRAME 50 ; 179
+ FRAME 51 ; 180
+ FRAME 52 ; 181
+ FRAME 53 ; 182
+ FRAME 54 ; 183
+ FRAME 55 ; 184
+ FRAME 56 ; 185
+ FRAME 57 ; 186
+ FRAME 58 ; 187
+ FRAME 59 ; 188
+ FRAME 60 ; 189
+ FRAME 61 ; 190
+ FRAME 62 ; 191
+ FRAME 63 ; 192
+ FRAME 64 ; 193
+ FRAME 65 ; 194
+ FRAME 66 ; 195
+ FRAME 67 ; 196
+ FRAME 68 ; 197
+ FRAME 69 ; 198
+ FRAME 70 ; 199
+ FRAME 71 ; 200
+ FRAME 72 ; 201
+ FRAME 73 ; 202
+ FRAME 74 ; 203
+ FRAME 75 ; 204
+ FRAME 76 ; 205
+ FRAME 77 ; 206
+ FRAME 78 ; 207
+ FRAME 79 ; 208
+ FRAME 80 ; 209
+ FRAME 81 ; 210
+ FRAME 82 ; 211
+ FRAME 83 ; 212
+ FRAME 84 ; 213
+ FRAME 85 ; 214
+ FRAME 86 ; 215
+ FRAME 87 ; 216
+ FRAME 88 ; 217
+ FRAME 89 ; 218
+ FRAME 90 ; 219
+ FRAME 91 ; 220
+ FRAME 92 ; 221
+ FRAME 93 ; 222
+ FRAME 94 ; 223
+ FRAME 95 ; 224
+ FRAME 96 ; 225
+ FRAME 97 ; 226
+ FRAME 98 ; 227
+ FRAME 99 ; 228
+ FRAME 100 ; 229
+ FRAME 101 ; 230
+ FRAME 102 ; 231
+ FRAME 103 ; 232
+ FRAME 104 ; 233
+ FRAME 105 ; 234
+ FRAME 106 ; 235
+ FRAME 107 ; 236
+ FRAME 108 ; 237
+ FRAME 109 ; 238
+ FRAME 110 ; 239
+ FRAME 111 ; 240
+ FRAME 112 ; 241
+ FRAME 113 ; 242
+ FRAME 114 ; 243
+ FRAME 115 ; 244
+ FRAME 116 ; 245
+ FRAME 117 ; 246
+ FRAME 118 ; 247
+ FRAME 119 ; 248
+ FRAME 120 ; 249
+ FRAME 121 ; 250
+ FRAME 122 ; 251
+ FRAME 123 ; 252
+ FRAME 124 ; 253
+ FRAME 125 ; 254
+ FRAME 126 ; 255
+ FRAME 127 ; 256
+ .if $isdefed("__allow_far")
+ ; 084: FRET : 1 ; 1
+ FRET
+ ; 085: FRETD : 1
+ FRETD ; 1
+ NOP
+ NOP
+ ; 086: FRETE : 1
+ FRETE ; 1
+ ; 087: FRETED : 1
+ FRETED ; 1
+ NOP
+ NOP
+ .endif
+ ; IDLE : IDLE Kidle : 3
+ IDLE 1 ; 1
+ IDLE 2 ; 2
+ IDLE 3 ; 3
+ ; INTR : INTR Kintr : 32
+ INTR 0 ; 1
+ INTR 1 ; 2
+ INTR 2 ; 3
+ INTR 3 ; 4
+ INTR 4 ; 5
+ INTR 5 ; 6
+ INTR 6 ; 7
+ INTR 7 ; 8
+ INTR 8 ; 9
+ INTR 9 ; 10
+ INTR 10 ; 11
+ INTR 11 ; 12
+ INTR 12 ; 13
+ INTR 13 ; 14
+ INTR 14 ; 15
+ INTR 15 ; 16
+ INTR 16 ; 17
+ INTR 17 ; 18
+ INTR 18 ; 19
+ INTR 19 ; 20
+ INTR 20 ; 21
+ INTR 21 ; 22
+ INTR 22 ; 23
+ INTR 23 ; 24
+ INTR 24 ; 25
+ INTR 25 ; 26
+ INTR 26 ; 27
+ INTR 27 ; 28
+ INTR 28 ; 29
+ INTR 29 ; 30
+ INTR 30 ; 31
+ INTR 31 ; 32
+ ; LD16lk : LD lk, 16, AB : 2
+ LD #0213Ch, 16, A ; 1
+ LD #0213Dh, 16, B ; 2
+ ; LD16smem : LD Smem, 16, AB : 2
+ LD 72h, 16, A ; 1
+ LD 73h, 16, B ; 2
+ ; LD16smem_I : LD Smem_I, 16, AB : 240
+ LD *AR0, 16, A ; 1
+ LD *AR0, 16, B ; 2
+ LD *AR0-, 16, A ; 3
+ LD *AR0-, 16, B ; 4
+ LD *AR0+, 16, A ; 5
+ LD *AR0+, 16, B ; 6
+ LD *AR0-0B, 16, A ; 7
+ LD *AR0-0B, 16, B ; 8
+ LD *AR0-0, 16, A ; 9
+ LD *AR0-0, 16, B ; 10
+ LD *AR0+0, 16, A ; 11
+ LD *AR0+0, 16, B ; 12
+ LD *AR0+0B, 16, A ; 13
+ LD *AR0+0B, 16, B ; 14
+ LD *AR0-%, 16, A ; 15
+ LD *AR0-%, 16, B ; 16
+ LD *AR0-0%, 16, A ; 17
+ LD *AR0-0%, 16, B ; 18
+ LD *AR0+%, 16, A ; 19
+ LD *AR0+%, 16, B ; 20
+ LD *AR0+0%, 16, A ; 21
+ LD *AR0+0%, 16, B ; 22
+ LD *AR0(0213Eh), 16, A ; 23
+ LD *AR0(0213Fh), 16, B ; 24
+ LD *+AR0(02140h), 16, A ; 25
+ LD *+AR0(02141h), 16, B ; 26
+ LD *+AR0(02142h)%, 16, A ; 27
+ LD *+AR0(02143h)%, 16, B ; 28
+ LD *(02144h), 16, A ; 29
+ LD *(02145h), 16, B ; 30
+ LD *AR1, 16, A ; 31
+ LD *AR1, 16, B ; 32
+ LD *AR1-, 16, A ; 33
+ LD *AR1-, 16, B ; 34
+ LD *AR1+, 16, A ; 35
+ LD *AR1+, 16, B ; 36
+ LD *AR1-0B, 16, A ; 37
+ LD *AR1-0B, 16, B ; 38
+ LD *AR1-0, 16, A ; 39
+ LD *AR1-0, 16, B ; 40
+ LD *AR1+0, 16, A ; 41
+ LD *AR1+0, 16, B ; 42
+ LD *AR1+0B, 16, A ; 43
+ LD *AR1+0B, 16, B ; 44
+ LD *AR1-%, 16, A ; 45
+ LD *AR1-%, 16, B ; 46
+ LD *AR1-0%, 16, A ; 47
+ LD *AR1-0%, 16, B ; 48
+ LD *AR1+%, 16, A ; 49
+ LD *AR1+%, 16, B ; 50
+ LD *AR1+0%, 16, A ; 51
+ LD *AR1+0%, 16, B ; 52
+ LD *AR1(02146h), 16, A ; 53
+ LD *AR1(02147h), 16, B ; 54
+ LD *+AR1(02148h), 16, A ; 55
+ LD *+AR1(02149h), 16, B ; 56
+ LD *+AR1(0214Ah)%, 16, A ; 57
+ LD *+AR1(0214Bh)%, 16, B ; 58
+ LD *(0214Ch), 16, A ; 59
+ LD *(0214Dh), 16, B ; 60
+ LD *AR2, 16, A ; 61
+ LD *AR2, 16, B ; 62
+ LD *AR2-, 16, A ; 63
+ LD *AR2-, 16, B ; 64
+ LD *AR2+, 16, A ; 65
+ LD *AR2+, 16, B ; 66
+ LD *AR2-0B, 16, A ; 67
+ LD *AR2-0B, 16, B ; 68
+ LD *AR2-0, 16, A ; 69
+ LD *AR2-0, 16, B ; 70
+ LD *AR2+0, 16, A ; 71
+ LD *AR2+0, 16, B ; 72
+ LD *AR2+0B, 16, A ; 73
+ LD *AR2+0B, 16, B ; 74
+ LD *AR2-%, 16, A ; 75
+ LD *AR2-%, 16, B ; 76
+ LD *AR2-0%, 16, A ; 77
+ LD *AR2-0%, 16, B ; 78
+ LD *AR2+%, 16, A ; 79
+ LD *AR2+%, 16, B ; 80
+ LD *AR2+0%, 16, A ; 81
+ LD *AR2+0%, 16, B ; 82
+ LD *AR2(0214Eh), 16, A ; 83
+ LD *AR2(0214Fh), 16, B ; 84
+ LD *+AR2(02150h), 16, A ; 85
+ LD *+AR2(02151h), 16, B ; 86
+ LD *+AR2(02152h)%, 16, A ; 87
+ LD *+AR2(02153h)%, 16, B ; 88
+ LD *(02154h), 16, A ; 89
+ LD *(02155h), 16, B ; 90
+ LD *AR3, 16, A ; 91
+ LD *AR3, 16, B ; 92
+ LD *AR3-, 16, A ; 93
+ LD *AR3-, 16, B ; 94
+ LD *AR3+, 16, A ; 95
+ LD *AR3+, 16, B ; 96
+ LD *AR3-0B, 16, A ; 97
+ LD *AR3-0B, 16, B ; 98
+ LD *AR3-0, 16, A ; 99
+ LD *AR3-0, 16, B ; 100
+ LD *AR3+0, 16, A ; 101
+ LD *AR3+0, 16, B ; 102
+ LD *AR3+0B, 16, A ; 103
+ LD *AR3+0B, 16, B ; 104
+ LD *AR3-%, 16, A ; 105
+ LD *AR3-%, 16, B ; 106
+ LD *AR3-0%, 16, A ; 107
+ LD *AR3-0%, 16, B ; 108
+ LD *AR3+%, 16, A ; 109
+ LD *AR3+%, 16, B ; 110
+ LD *AR3+0%, 16, A ; 111
+ LD *AR3+0%, 16, B ; 112
+ LD *AR3(02156h), 16, A ; 113
+ LD *AR3(02157h), 16, B ; 114
+ LD *+AR3(02158h), 16, A ; 115
+ LD *+AR3(02159h), 16, B ; 116
+ LD *+AR3(0215Ah)%, 16, A ; 117
+ LD *+AR3(0215Bh)%, 16, B ; 118
+ LD *(0215Ch), 16, A ; 119
+ LD *(0215Dh), 16, B ; 120
+ LD *AR4, 16, A ; 121
+ LD *AR4, 16, B ; 122
+ LD *AR4-, 16, A ; 123
+ LD *AR4-, 16, B ; 124
+ LD *AR4+, 16, A ; 125
+ LD *AR4+, 16, B ; 126
+ LD *AR4-0B, 16, A ; 127
+ LD *AR4-0B, 16, B ; 128
+ LD *AR4-0, 16, A ; 129
+ LD *AR4-0, 16, B ; 130
+ LD *AR4+0, 16, A ; 131
+ LD *AR4+0, 16, B ; 132
+ LD *AR4+0B, 16, A ; 133
+ LD *AR4+0B, 16, B ; 134
+ LD *AR4-%, 16, A ; 135
+ LD *AR4-%, 16, B ; 136
+ LD *AR4-0%, 16, A ; 137
+ LD *AR4-0%, 16, B ; 138
+ LD *AR4+%, 16, A ; 139
+ LD *AR4+%, 16, B ; 140
+ LD *AR4+0%, 16, A ; 141
+ LD *AR4+0%, 16, B ; 142
+ LD *AR4(0215Eh), 16, A ; 143
+ LD *AR4(0215Fh), 16, B ; 144
+ LD *+AR4(02160h), 16, A ; 145
+ LD *+AR4(02161h), 16, B ; 146
+ LD *+AR4(02162h)%, 16, A ; 147
+ LD *+AR4(02163h)%, 16, B ; 148
+ LD *(02164h), 16, A ; 149
+ LD *(02165h), 16, B ; 150
+ LD *AR5, 16, A ; 151
+ LD *AR5, 16, B ; 152
+ LD *AR5-, 16, A ; 153
+ LD *AR5-, 16, B ; 154
+ LD *AR5+, 16, A ; 155
+ LD *AR5+, 16, B ; 156
+ LD *AR5-0B, 16, A ; 157
+ LD *AR5-0B, 16, B ; 158
+ LD *AR5-0, 16, A ; 159
+ LD *AR5-0, 16, B ; 160
+ LD *AR5+0, 16, A ; 161
+ LD *AR5+0, 16, B ; 162
+ LD *AR5+0B, 16, A ; 163
+ LD *AR5+0B, 16, B ; 164
+ LD *AR5-%, 16, A ; 165
+ LD *AR5-%, 16, B ; 166
+ LD *AR5-0%, 16, A ; 167
+ LD *AR5-0%, 16, B ; 168
+ LD *AR5+%, 16, A ; 169
+ LD *AR5+%, 16, B ; 170
+ LD *AR5+0%, 16, A ; 171
+ LD *AR5+0%, 16, B ; 172
+ LD *AR5(02166h), 16, A ; 173
+ LD *AR5(02167h), 16, B ; 174
+ LD *+AR5(02168h), 16, A ; 175
+ LD *+AR5(02169h), 16, B ; 176
+ LD *+AR5(0216Ah)%, 16, A ; 177
+ LD *+AR5(0216Bh)%, 16, B ; 178
+ LD *(0216Ch), 16, A ; 179
+ LD *(0216Dh), 16, B ; 180
+ LD *AR6, 16, A ; 181
+ LD *AR6, 16, B ; 182
+ LD *AR6-, 16, A ; 183
+ LD *AR6-, 16, B ; 184
+ LD *AR6+, 16, A ; 185
+ LD *AR6+, 16, B ; 186
+ LD *AR6-0B, 16, A ; 187
+ LD *AR6-0B, 16, B ; 188
+ LD *AR6-0, 16, A ; 189
+ LD *AR6-0, 16, B ; 190
+ LD *AR6+0, 16, A ; 191
+ LD *AR6+0, 16, B ; 192
+ LD *AR6+0B, 16, A ; 193
+ LD *AR6+0B, 16, B ; 194
+ LD *AR6-%, 16, A ; 195
+ LD *AR6-%, 16, B ; 196
+ LD *AR6-0%, 16, A ; 197
+ LD *AR6-0%, 16, B ; 198
+ LD *AR6+%, 16, A ; 199
+ LD *AR6+%, 16, B ; 200
+ LD *AR6+0%, 16, A ; 201
+ LD *AR6+0%, 16, B ; 202
+ LD *AR6(0216Eh), 16, A ; 203
+ LD *AR6(0216Fh), 16, B ; 204
+ LD *+AR6(02170h), 16, A ; 205
+ LD *+AR6(02171h), 16, B ; 206
+ LD *+AR6(02172h)%, 16, A ; 207
+ LD *+AR6(02173h)%, 16, B ; 208
+ LD *(02174h), 16, A ; 209
+ LD *(02175h), 16, B ; 210
+ LD *AR7, 16, A ; 211
+ LD *AR7, 16, B ; 212
+ LD *AR7-, 16, A ; 213
+ LD *AR7-, 16, B ; 214
+ LD *AR7+, 16, A ; 215
+ LD *AR7+, 16, B ; 216
+ LD *AR7-0B, 16, A ; 217
+ LD *AR7-0B, 16, B ; 218
+ LD *AR7-0, 16, A ; 219
+ LD *AR7-0, 16, B ; 220
+ LD *AR7+0, 16, A ; 221
+ LD *AR7+0, 16, B ; 222
+ LD *AR7+0B, 16, A ; 223
+ LD *AR7+0B, 16, B ; 224
+ LD *AR7-%, 16, A ; 225
+ LD *AR7-%, 16, B ; 226
+ LD *AR7-0%, 16, A ; 227
+ LD *AR7-0%, 16, B ; 228
+ LD *AR7+%, 16, A ; 229
+ LD *AR7+%, 16, B ; 230
+ LD *AR7+0%, 16, A ; 231
+ LD *AR7+0%, 16, B ; 232
+ LD *AR7(02176h), 16, A ; 233
+ LD *AR7(02177h), 16, B ; 234
+ LD *+AR7(02178h), 16, A ; 235
+ LD *+AR7(02179h), 16, B ; 236
+ LD *+AR7(0217Ah)%, 16, A ; 237
+ LD *+AR7(0217Bh)%, 16, B ; 238
+ LD *(0217Ch), 16, A ; 239
+ LD *(0217Dh), 16, B ; 240
+ ; LDM : LDM MMR, AB : 2
+ LDM 74h, A ; 1
+ LDM 75h, B ; 2
+ ; LDM_I : LDM MMR_I, AB : 176
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ LDM *AR0, A ; 1
+ LDM *AR0, B ; 2
+ LDM *AR0-, A ; 3
+ LDM *AR0-, B ; 4
+ LDM *AR0+, A ; 5
+ LDM *AR0+, B ; 6
+ LDM *AR0-0B, A ; 7
+ LDM *AR0-0B, B ; 8
+ LDM *AR0-0, A ; 9
+ LDM *AR0-0, B ; 10
+ LDM *AR0+0, A ; 11
+ LDM *AR0+0, B ; 12
+ LDM *AR0+0B, A ; 13
+ LDM *AR0+0B, B ; 14
+ LDM *AR0-%, A ; 15
+ LDM *AR0-%, B ; 16
+ LDM *AR0-0%, A ; 17
+ LDM *AR0-0%, B ; 18
+ LDM *AR0+%, A ; 19
+ LDM *AR0+%, B ; 20
+ LDM *AR0+0%, A ; 21
+ LDM *AR0+0%, B ; 22
+ LDM *AR1, A ; 23
+ LDM *AR1, B ; 24
+ LDM *AR1-, A ; 25
+ LDM *AR1-, B ; 26
+ LDM *AR1+, A ; 27
+ LDM *AR1+, B ; 28
+ LDM *AR1-0B, A ; 29
+ LDM *AR1-0B, B ; 30
+ LDM *AR1-0, A ; 31
+ LDM *AR1-0, B ; 32
+ LDM *AR1+0, A ; 33
+ LDM *AR1+0, B ; 34
+ LDM *AR1+0B, A ; 35
+ LDM *AR1+0B, B ; 36
+ LDM *AR1-%, A ; 37
+ LDM *AR1-%, B ; 38
+ LDM *AR1-0%, A ; 39
+ LDM *AR1-0%, B ; 40
+ LDM *AR1+%, A ; 41
+ LDM *AR1+%, B ; 42
+ LDM *AR1+0%, A ; 43
+ LDM *AR1+0%, B ; 44
+ LDM *AR2, A ; 45
+ LDM *AR2, B ; 46
+ LDM *AR2-, A ; 47
+ LDM *AR2-, B ; 48
+ LDM *AR2+, A ; 49
+ LDM *AR2+, B ; 50
+ LDM *AR2-0B, A ; 51
+ LDM *AR2-0B, B ; 52
+ LDM *AR2-0, A ; 53
+ LDM *AR2-0, B ; 54
+ LDM *AR2+0, A ; 55
+ LDM *AR2+0, B ; 56
+ LDM *AR2+0B, A ; 57
+ LDM *AR2+0B, B ; 58
+ LDM *AR2-%, A ; 59
+ LDM *AR2-%, B ; 60
+ LDM *AR2-0%, A ; 61
+ LDM *AR2-0%, B ; 62
+ LDM *AR2+%, A ; 63
+ LDM *AR2+%, B ; 64
+ LDM *AR2+0%, A ; 65
+ LDM *AR2+0%, B ; 66
+ LDM *AR3, A ; 67
+ LDM *AR3, B ; 68
+ LDM *AR3-, A ; 69
+ LDM *AR3-, B ; 70
+ LDM *AR3+, A ; 71
+ LDM *AR3+, B ; 72
+ LDM *AR3-0B, A ; 73
+ LDM *AR3-0B, B ; 74
+ LDM *AR3-0, A ; 75
+ LDM *AR3-0, B ; 76
+ LDM *AR3+0, A ; 77
+ LDM *AR3+0, B ; 78
+ LDM *AR3+0B, A ; 79
+ LDM *AR3+0B, B ; 80
+ LDM *AR3-%, A ; 81
+ LDM *AR3-%, B ; 82
+ LDM *AR3-0%, A ; 83
+ LDM *AR3-0%, B ; 84
+ LDM *AR3+%, A ; 85
+ LDM *AR3+%, B ; 86
+ LDM *AR3+0%, A ; 87
+ LDM *AR3+0%, B ; 88
+ LDM *AR4, A ; 89
+ LDM *AR4, B ; 90
+ LDM *AR4-, A ; 91
+ LDM *AR4-, B ; 92
+ LDM *AR4+, A ; 93
+ LDM *AR4+, B ; 94
+ LDM *AR4-0B, A ; 95
+ LDM *AR4-0B, B ; 96
+ LDM *AR4-0, A ; 97
+ LDM *AR4-0, B ; 98
+ LDM *AR4+0, A ; 99
+ LDM *AR4+0, B ; 100
+ LDM *AR4+0B, A ; 101
+ LDM *AR4+0B, B ; 102
+ LDM *AR4-%, A ; 103
+ LDM *AR4-%, B ; 104
+ LDM *AR4-0%, A ; 105
+ LDM *AR4-0%, B ; 106
+ LDM *AR4+%, A ; 107
+ LDM *AR4+%, B ; 108
+ LDM *AR4+0%, A ; 109
+ LDM *AR4+0%, B ; 110
+ LDM *AR5, A ; 111
+ LDM *AR5, B ; 112
+ LDM *AR5-, A ; 113
+ LDM *AR5-, B ; 114
+ LDM *AR5+, A ; 115
+ LDM *AR5+, B ; 116
+ LDM *AR5-0B, A ; 117
+ LDM *AR5-0B, B ; 118
+ LDM *AR5-0, A ; 119
+ LDM *AR5-0, B ; 120
+ LDM *AR5+0, A ; 121
+ LDM *AR5+0, B ; 122
+ LDM *AR5+0B, A ; 123
+ LDM *AR5+0B, B ; 124
+ LDM *AR5-%, A ; 125
+ LDM *AR5-%, B ; 126
+ LDM *AR5-0%, A ; 127
+ LDM *AR5-0%, B ; 128
+ LDM *AR5+%, A ; 129
+ LDM *AR5+%, B ; 130
+ LDM *AR5+0%, A ; 131
+ LDM *AR5+0%, B ; 132
+ LDM *AR6, A ; 133
+ LDM *AR6, B ; 134
+ LDM *AR6-, A ; 135
+ LDM *AR6-, B ; 136
+ LDM *AR6+, A ; 137
+ LDM *AR6+, B ; 138
+ LDM *AR6-0B, A ; 139
+ LDM *AR6-0B, B ; 140
+ LDM *AR6-0, A ; 141
+ LDM *AR6-0, B ; 142
+ LDM *AR6+0, A ; 143
+ LDM *AR6+0, B ; 144
+ LDM *AR6+0B, A ; 145
+ LDM *AR6+0B, B ; 146
+ LDM *AR6-%, A ; 147
+ LDM *AR6-%, B ; 148
+ LDM *AR6-0%, A ; 149
+ LDM *AR6-0%, B ; 150
+ LDM *AR6+%, A ; 151
+ LDM *AR6+%, B ; 152
+ LDM *AR6+0%, A ; 153
+ LDM *AR6+0%, B ; 154
+ LDM *AR7, A ; 155
+ LDM *AR7, B ; 156
+ LDM *AR7-, A ; 157
+ LDM *AR7-, B ; 158
+ LDM *AR7+, A ; 159
+ LDM *AR7+, B ; 160
+ LDM *AR7-0B, A ; 161
+ LDM *AR7-0B, B ; 162
+ LDM *AR7-0, A ; 163
+ LDM *AR7-0, B ; 164
+ LDM *AR7+0, A ; 165
+ LDM *AR7+0, B ; 166
+ LDM *AR7+0B, A ; 167
+ LDM *AR7+0B, B ; 168
+ LDM *AR7-%, A ; 169
+ LDM *AR7-%, B ; 170
+ LDM *AR7-0%, A ; 171
+ LDM *AR7-0%, B ; 172
+ LDM *AR7+%, A ; 173
+ LDM *AR7+%, B ; 174
+ LDM *AR7+0%, A ; 175
+ LDM *AR7+0%, B ; 176
+ ; LDR : LDR Smem, AB : 2
+ LDR 76h, A ; 1
+ LDR 77h, B ; 2
+ ; LDR_I : LDR Smem_I, AB : 240
+ LDR *AR0, A ; 1
+ LDR *AR0, B ; 2
+ LDR *AR0-, A ; 3
+ LDR *AR0-, B ; 4
+ LDR *AR0+, A ; 5
+ LDR *AR0+, B ; 6
+ LDR *AR0-0B, A ; 7
+ LDR *AR0-0B, B ; 8
+ LDR *AR0-0, A ; 9
+ LDR *AR0-0, B ; 10
+ LDR *AR0+0, A ; 11
+ LDR *AR0+0, B ; 12
+ LDR *AR0+0B, A ; 13
+ LDR *AR0+0B, B ; 14
+ LDR *AR0-%, A ; 15
+ LDR *AR0-%, B ; 16
+ LDR *AR0-0%, A ; 17
+ LDR *AR0-0%, B ; 18
+ LDR *AR0+%, A ; 19
+ LDR *AR0+%, B ; 20
+ LDR *AR0+0%, A ; 21
+ LDR *AR0+0%, B ; 22
+ LDR *AR0(0217Eh), A ; 23
+ LDR *AR0(0217Fh), B ; 24
+ LDR *+AR0(02180h), A ; 25
+ LDR *+AR0(02181h), B ; 26
+ LDR *+AR0(02182h)%, A ; 27
+ LDR *+AR0(02183h)%, B ; 28
+ LDR *(02184h), A ; 29
+ LDR *(02185h), B ; 30
+ LDR *AR1, A ; 31
+ LDR *AR1, B ; 32
+ LDR *AR1-, A ; 33
+ LDR *AR1-, B ; 34
+ LDR *AR1+, A ; 35
+ LDR *AR1+, B ; 36
+ LDR *AR1-0B, A ; 37
+ LDR *AR1-0B, B ; 38
+ LDR *AR1-0, A ; 39
+ LDR *AR1-0, B ; 40
+ LDR *AR1+0, A ; 41
+ LDR *AR1+0, B ; 42
+ LDR *AR1+0B, A ; 43
+ LDR *AR1+0B, B ; 44
+ LDR *AR1-%, A ; 45
+ LDR *AR1-%, B ; 46
+ LDR *AR1-0%, A ; 47
+ LDR *AR1-0%, B ; 48
+ LDR *AR1+%, A ; 49
+ LDR *AR1+%, B ; 50
+ LDR *AR1+0%, A ; 51
+ LDR *AR1+0%, B ; 52
+ LDR *AR1(02186h), A ; 53
+ LDR *AR1(02187h), B ; 54
+ LDR *+AR1(02188h), A ; 55
+ LDR *+AR1(02189h), B ; 56
+ LDR *+AR1(0218Ah)%, A ; 57
+ LDR *+AR1(0218Bh)%, B ; 58
+ LDR *(0218Ch), A ; 59
+ LDR *(0218Dh), B ; 60
+ LDR *AR2, A ; 61
+ LDR *AR2, B ; 62
+ LDR *AR2-, A ; 63
+ LDR *AR2-, B ; 64
+ LDR *AR2+, A ; 65
+ LDR *AR2+, B ; 66
+ LDR *AR2-0B, A ; 67
+ LDR *AR2-0B, B ; 68
+ LDR *AR2-0, A ; 69
+ LDR *AR2-0, B ; 70
+ LDR *AR2+0, A ; 71
+ LDR *AR2+0, B ; 72
+ LDR *AR2+0B, A ; 73
+ LDR *AR2+0B, B ; 74
+ LDR *AR2-%, A ; 75
+ LDR *AR2-%, B ; 76
+ LDR *AR2-0%, A ; 77
+ LDR *AR2-0%, B ; 78
+ LDR *AR2+%, A ; 79
+ LDR *AR2+%, B ; 80
+ LDR *AR2+0%, A ; 81
+ LDR *AR2+0%, B ; 82
+ LDR *AR2(0218Eh), A ; 83
+ LDR *AR2(0218Fh), B ; 84
+ LDR *+AR2(02190h), A ; 85
+ LDR *+AR2(02191h), B ; 86
+ LDR *+AR2(02192h)%, A ; 87
+ LDR *+AR2(02193h)%, B ; 88
+ LDR *(02194h), A ; 89
+ LDR *(02195h), B ; 90
+ LDR *AR3, A ; 91
+ LDR *AR3, B ; 92
+ LDR *AR3-, A ; 93
+ LDR *AR3-, B ; 94
+ LDR *AR3+, A ; 95
+ LDR *AR3+, B ; 96
+ LDR *AR3-0B, A ; 97
+ LDR *AR3-0B, B ; 98
+ LDR *AR3-0, A ; 99
+ LDR *AR3-0, B ; 100
+ LDR *AR3+0, A ; 101
+ LDR *AR3+0, B ; 102
+ LDR *AR3+0B, A ; 103
+ LDR *AR3+0B, B ; 104
+ LDR *AR3-%, A ; 105
+ LDR *AR3-%, B ; 106
+ LDR *AR3-0%, A ; 107
+ LDR *AR3-0%, B ; 108
+ LDR *AR3+%, A ; 109
+ LDR *AR3+%, B ; 110
+ LDR *AR3+0%, A ; 111
+ LDR *AR3+0%, B ; 112
+ LDR *AR3(02196h), A ; 113
+ LDR *AR3(02197h), B ; 114
+ LDR *+AR3(02198h), A ; 115
+ LDR *+AR3(02199h), B ; 116
+ LDR *+AR3(0219Ah)%, A ; 117
+ LDR *+AR3(0219Bh)%, B ; 118
+ LDR *(0219Ch), A ; 119
+ LDR *(0219Dh), B ; 120
+ LDR *AR4, A ; 121
+ LDR *AR4, B ; 122
+ LDR *AR4-, A ; 123
+ LDR *AR4-, B ; 124
+ LDR *AR4+, A ; 125
+ LDR *AR4+, B ; 126
+ LDR *AR4-0B, A ; 127
+ LDR *AR4-0B, B ; 128
+ LDR *AR4-0, A ; 129
+ LDR *AR4-0, B ; 130
+ LDR *AR4+0, A ; 131
+ LDR *AR4+0, B ; 132
+ LDR *AR4+0B, A ; 133
+ LDR *AR4+0B, B ; 134
+ LDR *AR4-%, A ; 135
+ LDR *AR4-%, B ; 136
+ LDR *AR4-0%, A ; 137
+ LDR *AR4-0%, B ; 138
+ LDR *AR4+%, A ; 139
+ LDR *AR4+%, B ; 140
+ LDR *AR4+0%, A ; 141
+ LDR *AR4+0%, B ; 142
+ LDR *AR4(0219Eh), A ; 143
+ LDR *AR4(0219Fh), B ; 144
+ LDR *+AR4(021A0h), A ; 145
+ LDR *+AR4(021A1h), B ; 146
+ LDR *+AR4(021A2h)%, A ; 147
+ LDR *+AR4(021A3h)%, B ; 148
+ LDR *(021A4h), A ; 149
+ LDR *(021A5h), B ; 150
+ LDR *AR5, A ; 151
+ LDR *AR5, B ; 152
+ LDR *AR5-, A ; 153
+ LDR *AR5-, B ; 154
+ LDR *AR5+, A ; 155
+ LDR *AR5+, B ; 156
+ LDR *AR5-0B, A ; 157
+ LDR *AR5-0B, B ; 158
+ LDR *AR5-0, A ; 159
+ LDR *AR5-0, B ; 160
+ LDR *AR5+0, A ; 161
+ LDR *AR5+0, B ; 162
+ LDR *AR5+0B, A ; 163
+ LDR *AR5+0B, B ; 164
+ LDR *AR5-%, A ; 165
+ LDR *AR5-%, B ; 166
+ LDR *AR5-0%, A ; 167
+ LDR *AR5-0%, B ; 168
+ LDR *AR5+%, A ; 169
+ LDR *AR5+%, B ; 170
+ LDR *AR5+0%, A ; 171
+ LDR *AR5+0%, B ; 172
+ LDR *AR5(021A6h), A ; 173
+ LDR *AR5(021A7h), B ; 174
+ LDR *+AR5(021A8h), A ; 175
+ LDR *+AR5(021A9h), B ; 176
+ LDR *+AR5(021AAh)%, A ; 177
+ LDR *+AR5(021ABh)%, B ; 178
+ LDR *(021ACh), A ; 179
+ LDR *(021ADh), B ; 180
+ LDR *AR6, A ; 181
+ LDR *AR6, B ; 182
+ LDR *AR6-, A ; 183
+ LDR *AR6-, B ; 184
+ LDR *AR6+, A ; 185
+ LDR *AR6+, B ; 186
+ LDR *AR6-0B, A ; 187
+ LDR *AR6-0B, B ; 188
+ LDR *AR6-0, A ; 189
+ LDR *AR6-0, B ; 190
+ LDR *AR6+0, A ; 191
+ LDR *AR6+0, B ; 192
+ LDR *AR6+0B, A ; 193
+ LDR *AR6+0B, B ; 194
+ LDR *AR6-%, A ; 195
+ LDR *AR6-%, B ; 196
+ LDR *AR6-0%, A ; 197
+ LDR *AR6-0%, B ; 198
+ LDR *AR6+%, A ; 199
+ LDR *AR6+%, B ; 200
+ LDR *AR6+0%, A ; 201
+ LDR *AR6+0%, B ; 202
+ LDR *AR6(021AEh), A ; 203
+ LDR *AR6(021AFh), B ; 204
+ LDR *+AR6(021B0h), A ; 205
+ LDR *+AR6(021B1h), B ; 206
+ LDR *+AR6(021B2h)%, A ; 207
+ LDR *+AR6(021B3h)%, B ; 208
+ LDR *(021B4h), A ; 209
+ LDR *(021B5h), B ; 210
+ LDR *AR7, A ; 211
+ LDR *AR7, B ; 212
+ LDR *AR7-, A ; 213
+ LDR *AR7-, B ; 214
+ LDR *AR7+, A ; 215
+ LDR *AR7+, B ; 216
+ LDR *AR7-0B, A ; 217
+ LDR *AR7-0B, B ; 218
+ LDR *AR7-0, A ; 219
+ LDR *AR7-0, B ; 220
+ LDR *AR7+0, A ; 221
+ LDR *AR7+0, B ; 222
+ LDR *AR7+0B, A ; 223
+ LDR *AR7+0B, B ; 224
+ LDR *AR7-%, A ; 225
+ LDR *AR7-%, B ; 226
+ LDR *AR7-0%, A ; 227
+ LDR *AR7-0%, B ; 228
+ LDR *AR7+%, A ; 229
+ LDR *AR7+%, B ; 230
+ LDR *AR7+0%, A ; 231
+ LDR *AR7+0%, B ; 232
+ LDR *AR7(021B6h), A ; 233
+ LDR *AR7(021B7h), B ; 234
+ LDR *+AR7(021B8h), A ; 235
+ LDR *+AR7(021B9h), B ; 236
+ LDR *+AR7(021BAh)%, A ; 237
+ LDR *+AR7(021BBh)%, B ; 238
+ LDR *(021BCh), A ; 239
+ LDR *(021BDh), B ; 240
+ ; LDU : LDU Smem, AB : 2
+ LDU 78h, A ; 1
+ LDU 79h, B ; 2
+ ; LDU_I : LDU Smem_I, AB : 240
+ LDU *AR0, A ; 1
+ LDU *AR0, B ; 2
+ LDU *AR0-, A ; 3
+ LDU *AR0-, B ; 4
+ LDU *AR0+, A ; 5
+ LDU *AR0+, B ; 6
+ LDU *AR0-0B, A ; 7
+ LDU *AR0-0B, B ; 8
+ LDU *AR0-0, A ; 9
+ LDU *AR0-0, B ; 10
+ LDU *AR0+0, A ; 11
+ LDU *AR0+0, B ; 12
+ LDU *AR0+0B, A ; 13
+ LDU *AR0+0B, B ; 14
+ LDU *AR0-%, A ; 15
+ LDU *AR0-%, B ; 16
+ LDU *AR0-0%, A ; 17
+ LDU *AR0-0%, B ; 18
+ LDU *AR0+%, A ; 19
+ LDU *AR0+%, B ; 20
+ LDU *AR0+0%, A ; 21
+ LDU *AR0+0%, B ; 22
+ LDU *AR0(021BEh), A ; 23
+ LDU *AR0(021BFh), B ; 24
+ LDU *+AR0(021C0h), A ; 25
+ LDU *+AR0(021C1h), B ; 26
+ LDU *+AR0(021C2h)%, A ; 27
+ LDU *+AR0(021C3h)%, B ; 28
+ LDU *(021C4h), A ; 29
+ LDU *(021C5h), B ; 30
+ LDU *AR1, A ; 31
+ LDU *AR1, B ; 32
+ LDU *AR1-, A ; 33
+ LDU *AR1-, B ; 34
+ LDU *AR1+, A ; 35
+ LDU *AR1+, B ; 36
+ LDU *AR1-0B, A ; 37
+ LDU *AR1-0B, B ; 38
+ LDU *AR1-0, A ; 39
+ LDU *AR1-0, B ; 40
+ LDU *AR1+0, A ; 41
+ LDU *AR1+0, B ; 42
+ LDU *AR1+0B, A ; 43
+ LDU *AR1+0B, B ; 44
+ LDU *AR1-%, A ; 45
+ LDU *AR1-%, B ; 46
+ LDU *AR1-0%, A ; 47
+ LDU *AR1-0%, B ; 48
+ LDU *AR1+%, A ; 49
+ LDU *AR1+%, B ; 50
+ LDU *AR1+0%, A ; 51
+ LDU *AR1+0%, B ; 52
+ LDU *AR1(021C6h), A ; 53
+ LDU *AR1(021C7h), B ; 54
+ LDU *+AR1(021C8h), A ; 55
+ LDU *+AR1(021C9h), B ; 56
+ LDU *+AR1(021CAh)%, A ; 57
+ LDU *+AR1(021CBh)%, B ; 58
+ LDU *(021CCh), A ; 59
+ LDU *(021CDh), B ; 60
+ LDU *AR2, A ; 61
+ LDU *AR2, B ; 62
+ LDU *AR2-, A ; 63
+ LDU *AR2-, B ; 64
+ LDU *AR2+, A ; 65
+ LDU *AR2+, B ; 66
+ LDU *AR2-0B, A ; 67
+ LDU *AR2-0B, B ; 68
+ LDU *AR2-0, A ; 69
+ LDU *AR2-0, B ; 70
+ LDU *AR2+0, A ; 71
+ LDU *AR2+0, B ; 72
+ LDU *AR2+0B, A ; 73
+ LDU *AR2+0B, B ; 74
+ LDU *AR2-%, A ; 75
+ LDU *AR2-%, B ; 76
+ LDU *AR2-0%, A ; 77
+ LDU *AR2-0%, B ; 78
+ LDU *AR2+%, A ; 79
+ LDU *AR2+%, B ; 80
+ LDU *AR2+0%, A ; 81
+ LDU *AR2+0%, B ; 82
+ LDU *AR2(021CEh), A ; 83
+ LDU *AR2(021CFh), B ; 84
+ LDU *+AR2(021D0h), A ; 85
+ LDU *+AR2(021D1h), B ; 86
+ LDU *+AR2(021D2h)%, A ; 87
+ LDU *+AR2(021D3h)%, B ; 88
+ LDU *(021D4h), A ; 89
+ LDU *(021D5h), B ; 90
+ LDU *AR3, A ; 91
+ LDU *AR3, B ; 92
+ LDU *AR3-, A ; 93
+ LDU *AR3-, B ; 94
+ LDU *AR3+, A ; 95
+ LDU *AR3+, B ; 96
+ LDU *AR3-0B, A ; 97
+ LDU *AR3-0B, B ; 98
+ LDU *AR3-0, A ; 99
+ LDU *AR3-0, B ; 100
+ LDU *AR3+0, A ; 101
+ LDU *AR3+0, B ; 102
+ LDU *AR3+0B, A ; 103
+ LDU *AR3+0B, B ; 104
+ LDU *AR3-%, A ; 105
+ LDU *AR3-%, B ; 106
+ LDU *AR3-0%, A ; 107
+ LDU *AR3-0%, B ; 108
+ LDU *AR3+%, A ; 109
+ LDU *AR3+%, B ; 110
+ LDU *AR3+0%, A ; 111
+ LDU *AR3+0%, B ; 112
+ LDU *AR3(021D6h), A ; 113
+ LDU *AR3(021D7h), B ; 114
+ LDU *+AR3(021D8h), A ; 115
+ LDU *+AR3(021D9h), B ; 116
+ LDU *+AR3(021DAh)%, A ; 117
+ LDU *+AR3(021DBh)%, B ; 118
+ LDU *(021DCh), A ; 119
+ LDU *(021DDh), B ; 120
+ LDU *AR4, A ; 121
+ LDU *AR4, B ; 122
+ LDU *AR4-, A ; 123
+ LDU *AR4-, B ; 124
+ LDU *AR4+, A ; 125
+ LDU *AR4+, B ; 126
+ LDU *AR4-0B, A ; 127
+ LDU *AR4-0B, B ; 128
+ LDU *AR4-0, A ; 129
+ LDU *AR4-0, B ; 130
+ LDU *AR4+0, A ; 131
+ LDU *AR4+0, B ; 132
+ LDU *AR4+0B, A ; 133
+ LDU *AR4+0B, B ; 134
+ LDU *AR4-%, A ; 135
+ LDU *AR4-%, B ; 136
+ LDU *AR4-0%, A ; 137
+ LDU *AR4-0%, B ; 138
+ LDU *AR4+%, A ; 139
+ LDU *AR4+%, B ; 140
+ LDU *AR4+0%, A ; 141
+ LDU *AR4+0%, B ; 142
+ LDU *AR4(021DEh), A ; 143
+ LDU *AR4(021DFh), B ; 144
+ LDU *+AR4(021E0h), A ; 145
+ LDU *+AR4(021E1h), B ; 146
+ LDU *+AR4(021E2h)%, A ; 147
+ LDU *+AR4(021E3h)%, B ; 148
+ LDU *(021E4h), A ; 149
+ LDU *(021E5h), B ; 150
+ LDU *AR5, A ; 151
+ LDU *AR5, B ; 152
+ LDU *AR5-, A ; 153
+ LDU *AR5-, B ; 154
+ LDU *AR5+, A ; 155
+ LDU *AR5+, B ; 156
+ LDU *AR5-0B, A ; 157
+ LDU *AR5-0B, B ; 158
+ LDU *AR5-0, A ; 159
+ LDU *AR5-0, B ; 160
+ LDU *AR5+0, A ; 161
+ LDU *AR5+0, B ; 162
+ LDU *AR5+0B, A ; 163
+ LDU *AR5+0B, B ; 164
+ LDU *AR5-%, A ; 165
+ LDU *AR5-%, B ; 166
+ LDU *AR5-0%, A ; 167
+ LDU *AR5-0%, B ; 168
+ LDU *AR5+%, A ; 169
+ LDU *AR5+%, B ; 170
+ LDU *AR5+0%, A ; 171
+ LDU *AR5+0%, B ; 172
+ LDU *AR5(021E6h), A ; 173
+ LDU *AR5(021E7h), B ; 174
+ LDU *+AR5(021E8h), A ; 175
+ LDU *+AR5(021E9h), B ; 176
+ LDU *+AR5(021EAh)%, A ; 177
+ LDU *+AR5(021EBh)%, B ; 178
+ LDU *(021ECh), A ; 179
+ LDU *(021EDh), B ; 180
+ LDU *AR6, A ; 181
+ LDU *AR6, B ; 182
+ LDU *AR6-, A ; 183
+ LDU *AR6-, B ; 184
+ LDU *AR6+, A ; 185
+ LDU *AR6+, B ; 186
+ LDU *AR6-0B, A ; 187
+ LDU *AR6-0B, B ; 188
+ LDU *AR6-0, A ; 189
+ LDU *AR6-0, B ; 190
+ LDU *AR6+0, A ; 191
+ LDU *AR6+0, B ; 192
+ LDU *AR6+0B, A ; 193
+ LDU *AR6+0B, B ; 194
+ LDU *AR6-%, A ; 195
+ LDU *AR6-%, B ; 196
+ LDU *AR6-0%, A ; 197
+ LDU *AR6-0%, B ; 198
+ LDU *AR6+%, A ; 199
+ LDU *AR6+%, B ; 200
+ LDU *AR6+0%, A ; 201
+ LDU *AR6+0%, B ; 202
+ LDU *AR6(021EEh), A ; 203
+ LDU *AR6(021EFh), B ; 204
+ LDU *+AR6(021F0h), A ; 205
+ LDU *+AR6(021F1h), B ; 206
+ LDU *+AR6(021F2h)%, A ; 207
+ LDU *+AR6(021F3h)%, B ; 208
+ LDU *(021F4h), A ; 209
+ LDU *(021F5h), B ; 210
+ LDU *AR7, A ; 211
+ LDU *AR7, B ; 212
+ LDU *AR7-, A ; 213
+ LDU *AR7-, B ; 214
+ LDU *AR7+, A ; 215
+ LDU *AR7+, B ; 216
+ LDU *AR7-0B, A ; 217
+ LDU *AR7-0B, B ; 218
+ LDU *AR7-0, A ; 219
+ LDU *AR7-0, B ; 220
+ LDU *AR7+0, A ; 221
+ LDU *AR7+0, B ; 222
+ LDU *AR7+0B, A ; 223
+ LDU *AR7+0B, B ; 224
+ LDU *AR7-%, A ; 225
+ LDU *AR7-%, B ; 226
+ LDU *AR7-0%, A ; 227
+ LDU *AR7-0%, B ; 228
+ LDU *AR7+%, A ; 229
+ LDU *AR7+%, B ; 230
+ LDU *AR7+0%, A ; 231
+ LDU *AR7+0%, B ; 232
+ LDU *AR7(021F6h), A ; 233
+ LDU *AR7(021F7h), B ; 234
+ LDU *+AR7(021F8h), A ; 235
+ LDU *+AR7(021F9h), B ; 236
+ LDU *+AR7(021FAh)%, A ; 237
+ LDU *+AR7(021FBh)%, B ; 238
+ LDU *(021FCh), A ; 239
+ LDU *(021FDh), B ; 240
+ ; LDandMAC : LD Xmem, AB || MAC Ymem, BA : 1024
+ LD *AR2, A || MAC *AR2, B ; 1
+ LD *AR2, A || MAC *AR2 ; 2
+ LD *AR2, A || MAC *AR2-, B ; 3
+ LD *AR2, A || MAC *AR2- ; 4
+ LD *AR2, A || MAC *AR2+, B ; 5
+ LD *AR2, A || MAC *AR2+ ; 6
+ LD *AR2, A || MAC *AR2+0%, B ; 7
+ LD *AR2, A || MAC *AR2+0% ; 8
+ LD *AR2, A || MAC *AR3, B ; 9
+ LD *AR2, A || MAC *AR3 ; 10
+ LD *AR2, A || MAC *AR3-, B ; 11
+ LD *AR2, A || MAC *AR3- ; 12
+ LD *AR2, A || MAC *AR3+, B ; 13
+ LD *AR2, A || MAC *AR3+ ; 14
+ LD *AR2, A || MAC *AR3+0%, B ; 15
+ LD *AR2, A || MAC *AR3+0% ; 16
+ LD *AR2, A || MAC *AR4, B ; 17
+ LD *AR2, A || MAC *AR4 ; 18
+ LD *AR2, A || MAC *AR4-, B ; 19
+ LD *AR2, A || MAC *AR4- ; 20
+ LD *AR2, A || MAC *AR4+, B ; 21
+ LD *AR2, A || MAC *AR4+ ; 22
+ LD *AR2, A || MAC *AR4+0%, B ; 23
+ LD *AR2, A || MAC *AR4+0% ; 24
+ LD *AR2, A || MAC *AR5, B ; 25
+ LD *AR2, A || MAC *AR5 ; 26
+ LD *AR2, A || MAC *AR5-, B ; 27
+ LD *AR2, A || MAC *AR5- ; 28
+ LD *AR2, A || MAC *AR5+, B ; 29
+ LD *AR2, A || MAC *AR5+ ; 30
+ LD *AR2, A || MAC *AR5+0%, B ; 31
+ LD *AR2, A || MAC *AR5+0% ; 32
+ LD *AR2, B || MAC *AR2, A ; 33
+ LD *AR2, B || MAC *AR2 ; 34
+ LD *AR2, B || MAC *AR2-, A ; 35
+ LD *AR2, B || MAC *AR2- ; 36
+ LD *AR2, B || MAC *AR2+, A ; 37
+ LD *AR2, B || MAC *AR2+ ; 38
+ LD *AR2, B || MAC *AR2+0%, A ; 39
+ LD *AR2, B || MAC *AR2+0% ; 40
+ LD *AR2, B || MAC *AR3, A ; 41
+ LD *AR2, B || MAC *AR3 ; 42
+ LD *AR2, B || MAC *AR3-, A ; 43
+ LD *AR2, B || MAC *AR3- ; 44
+ LD *AR2, B || MAC *AR3+, A ; 45
+ LD *AR2, B || MAC *AR3+ ; 46
+ LD *AR2, B || MAC *AR3+0%, A ; 47
+ LD *AR2, B || MAC *AR3+0% ; 48
+ LD *AR2, B || MAC *AR4, A ; 49
+ LD *AR2, B || MAC *AR4 ; 50
+ LD *AR2, B || MAC *AR4-, A ; 51
+ LD *AR2, B || MAC *AR4- ; 52
+ LD *AR2, B || MAC *AR4+, A ; 53
+ LD *AR2, B || MAC *AR4+ ; 54
+ LD *AR2, B || MAC *AR4+0%, A ; 55
+ LD *AR2, B || MAC *AR4+0% ; 56
+ LD *AR2, B || MAC *AR5, A ; 57
+ LD *AR2, B || MAC *AR5 ; 58
+ LD *AR2, B || MAC *AR5-, A ; 59
+ LD *AR2, B || MAC *AR5- ; 60
+ LD *AR2, B || MAC *AR5+, A ; 61
+ LD *AR2, B || MAC *AR5+ ; 62
+ LD *AR2, B || MAC *AR5+0%, A ; 63
+ LD *AR2, B || MAC *AR5+0% ; 64
+ LD *AR2-, A || MAC *AR2, B ; 65
+ LD *AR2-, A || MAC *AR2 ; 66
+ LD *AR2-, A || MAC *AR2-, B ; 67
+ LD *AR2-, A || MAC *AR2- ; 68
+ LD *AR2-, A || MAC *AR2+, B ; 69
+ LD *AR2-, A || MAC *AR2+ ; 70
+ LD *AR2-, A || MAC *AR2+0%, B ; 71
+ LD *AR2-, A || MAC *AR2+0% ; 72
+ LD *AR2-, A || MAC *AR3, B ; 73
+ LD *AR2-, A || MAC *AR3 ; 74
+ LD *AR2-, A || MAC *AR3-, B ; 75
+ LD *AR2-, A || MAC *AR3- ; 76
+ LD *AR2-, A || MAC *AR3+, B ; 77
+ LD *AR2-, A || MAC *AR3+ ; 78
+ LD *AR2-, A || MAC *AR3+0%, B ; 79
+ LD *AR2-, A || MAC *AR3+0% ; 80
+ LD *AR2-, A || MAC *AR4, B ; 81
+ LD *AR2-, A || MAC *AR4 ; 82
+ LD *AR2-, A || MAC *AR4-, B ; 83
+ LD *AR2-, A || MAC *AR4- ; 84
+ LD *AR2-, A || MAC *AR4+, B ; 85
+ LD *AR2-, A || MAC *AR4+ ; 86
+ LD *AR2-, A || MAC *AR4+0%, B ; 87
+ LD *AR2-, A || MAC *AR4+0% ; 88
+ LD *AR2-, A || MAC *AR5, B ; 89
+ LD *AR2-, A || MAC *AR5 ; 90
+ LD *AR2-, A || MAC *AR5-, B ; 91
+ LD *AR2-, A || MAC *AR5- ; 92
+ LD *AR2-, A || MAC *AR5+, B ; 93
+ LD *AR2-, A || MAC *AR5+ ; 94
+ LD *AR2-, A || MAC *AR5+0%, B ; 95
+ LD *AR2-, A || MAC *AR5+0% ; 96
+ LD *AR2-, B || MAC *AR2, A ; 97
+ LD *AR2-, B || MAC *AR2 ; 98
+ LD *AR2-, B || MAC *AR2-, A ; 99
+ LD *AR2-, B || MAC *AR2- ; 100
+ LD *AR2-, B || MAC *AR2+, A ; 101
+ LD *AR2-, B || MAC *AR2+ ; 102
+ LD *AR2-, B || MAC *AR2+0%, A ; 103
+ LD *AR2-, B || MAC *AR2+0% ; 104
+ LD *AR2-, B || MAC *AR3, A ; 105
+ LD *AR2-, B || MAC *AR3 ; 106
+ LD *AR2-, B || MAC *AR3-, A ; 107
+ LD *AR2-, B || MAC *AR3- ; 108
+ LD *AR2-, B || MAC *AR3+, A ; 109
+ LD *AR2-, B || MAC *AR3+ ; 110
+ LD *AR2-, B || MAC *AR3+0%, A ; 111
+ LD *AR2-, B || MAC *AR3+0% ; 112
+ LD *AR2-, B || MAC *AR4, A ; 113
+ LD *AR2-, B || MAC *AR4 ; 114
+ LD *AR2-, B || MAC *AR4-, A ; 115
+ LD *AR2-, B || MAC *AR4- ; 116
+ LD *AR2-, B || MAC *AR4+, A ; 117
+ LD *AR2-, B || MAC *AR4+ ; 118
+ LD *AR2-, B || MAC *AR4+0%, A ; 119
+ LD *AR2-, B || MAC *AR4+0% ; 120
+ LD *AR2-, B || MAC *AR5, A ; 121
+ LD *AR2-, B || MAC *AR5 ; 122
+ LD *AR2-, B || MAC *AR5-, A ; 123
+ LD *AR2-, B || MAC *AR5- ; 124
+ LD *AR2-, B || MAC *AR5+, A ; 125
+ LD *AR2-, B || MAC *AR5+ ; 126
+ LD *AR2-, B || MAC *AR5+0%, A ; 127
+ LD *AR2-, B || MAC *AR5+0% ; 128
+ LD *AR2+, A || MAC *AR2, B ; 129
+ LD *AR2+, A || MAC *AR2 ; 130
+ LD *AR2+, A || MAC *AR2-, B ; 131
+ LD *AR2+, A || MAC *AR2- ; 132
+ LD *AR2+, A || MAC *AR2+, B ; 133
+ LD *AR2+, A || MAC *AR2+ ; 134
+ LD *AR2+, A || MAC *AR2+0%, B ; 135
+ LD *AR2+, A || MAC *AR2+0% ; 136
+ LD *AR2+, A || MAC *AR3, B ; 137
+ LD *AR2+, A || MAC *AR3 ; 138
+ LD *AR2+, A || MAC *AR3-, B ; 139
+ LD *AR2+, A || MAC *AR3- ; 140
+ LD *AR2+, A || MAC *AR3+, B ; 141
+ LD *AR2+, A || MAC *AR3+ ; 142
+ LD *AR2+, A || MAC *AR3+0%, B ; 143
+ LD *AR2+, A || MAC *AR3+0% ; 144
+ LD *AR2+, A || MAC *AR4, B ; 145
+ LD *AR2+, A || MAC *AR4 ; 146
+ LD *AR2+, A || MAC *AR4-, B ; 147
+ LD *AR2+, A || MAC *AR4- ; 148
+ LD *AR2+, A || MAC *AR4+, B ; 149
+ LD *AR2+, A || MAC *AR4+ ; 150
+ LD *AR2+, A || MAC *AR4+0%, B ; 151
+ LD *AR2+, A || MAC *AR4+0% ; 152
+ LD *AR2+, A || MAC *AR5, B ; 153
+ LD *AR2+, A || MAC *AR5 ; 154
+ LD *AR2+, A || MAC *AR5-, B ; 155
+ LD *AR2+, A || MAC *AR5- ; 156
+ LD *AR2+, A || MAC *AR5+, B ; 157
+ LD *AR2+, A || MAC *AR5+ ; 158
+ LD *AR2+, A || MAC *AR5+0%, B ; 159
+ LD *AR2+, A || MAC *AR5+0% ; 160
+ LD *AR2+, B || MAC *AR2, A ; 161
+ LD *AR2+, B || MAC *AR2 ; 162
+ LD *AR2+, B || MAC *AR2-, A ; 163
+ LD *AR2+, B || MAC *AR2- ; 164
+ LD *AR2+, B || MAC *AR2+, A ; 165
+ LD *AR2+, B || MAC *AR2+ ; 166
+ LD *AR2+, B || MAC *AR2+0%, A ; 167
+ LD *AR2+, B || MAC *AR2+0% ; 168
+ LD *AR2+, B || MAC *AR3, A ; 169
+ LD *AR2+, B || MAC *AR3 ; 170
+ LD *AR2+, B || MAC *AR3-, A ; 171
+ LD *AR2+, B || MAC *AR3- ; 172
+ LD *AR2+, B || MAC *AR3+, A ; 173
+ LD *AR2+, B || MAC *AR3+ ; 174
+ LD *AR2+, B || MAC *AR3+0%, A ; 175
+ LD *AR2+, B || MAC *AR3+0% ; 176
+ LD *AR2+, B || MAC *AR4, A ; 177
+ LD *AR2+, B || MAC *AR4 ; 178
+ LD *AR2+, B || MAC *AR4-, A ; 179
+ LD *AR2+, B || MAC *AR4- ; 180
+ LD *AR2+, B || MAC *AR4+, A ; 181
+ LD *AR2+, B || MAC *AR4+ ; 182
+ LD *AR2+, B || MAC *AR4+0%, A ; 183
+ LD *AR2+, B || MAC *AR4+0% ; 184
+ LD *AR2+, B || MAC *AR5, A ; 185
+ LD *AR2+, B || MAC *AR5 ; 186
+ LD *AR2+, B || MAC *AR5-, A ; 187
+ LD *AR2+, B || MAC *AR5- ; 188
+ LD *AR2+, B || MAC *AR5+, A ; 189
+ LD *AR2+, B || MAC *AR5+ ; 190
+ LD *AR2+, B || MAC *AR5+0%, A ; 191
+ LD *AR2+, B || MAC *AR5+0% ; 192
+ LD *AR2+0%, A || MAC *AR2, B ; 193
+ LD *AR2+0%, A || MAC *AR2 ; 194
+ LD *AR2+0%, A || MAC *AR2-, B ; 195
+ LD *AR2+0%, A || MAC *AR2- ; 196
+ LD *AR2+0%, A || MAC *AR2+, B ; 197
+ LD *AR2+0%, A || MAC *AR2+ ; 198
+ LD *AR2+0%, A || MAC *AR2+0%, B ; 199
+ LD *AR2+0%, A || MAC *AR2+0% ; 200
+ LD *AR2+0%, A || MAC *AR3, B ; 201
+ LD *AR2+0%, A || MAC *AR3 ; 202
+ LD *AR2+0%, A || MAC *AR3-, B ; 203
+ LD *AR2+0%, A || MAC *AR3- ; 204
+ LD *AR2+0%, A || MAC *AR3+, B ; 205
+ LD *AR2+0%, A || MAC *AR3+ ; 206
+ LD *AR2+0%, A || MAC *AR3+0%, B ; 207
+ LD *AR2+0%, A || MAC *AR3+0% ; 208
+ LD *AR2+0%, A || MAC *AR4, B ; 209
+ LD *AR2+0%, A || MAC *AR4 ; 210
+ LD *AR2+0%, A || MAC *AR4-, B ; 211
+ LD *AR2+0%, A || MAC *AR4- ; 212
+ LD *AR2+0%, A || MAC *AR4+, B ; 213
+ LD *AR2+0%, A || MAC *AR4+ ; 214
+ LD *AR2+0%, A || MAC *AR4+0%, B ; 215
+ LD *AR2+0%, A || MAC *AR4+0% ; 216
+ LD *AR2+0%, A || MAC *AR5, B ; 217
+ LD *AR2+0%, A || MAC *AR5 ; 218
+ LD *AR2+0%, A || MAC *AR5-, B ; 219
+ LD *AR2+0%, A || MAC *AR5- ; 220
+ LD *AR2+0%, A || MAC *AR5+, B ; 221
+ LD *AR2+0%, A || MAC *AR5+ ; 222
+ LD *AR2+0%, A || MAC *AR5+0%, B ; 223
+ LD *AR2+0%, A || MAC *AR5+0% ; 224
+ LD *AR2+0%, B || MAC *AR2, A ; 225
+ LD *AR2+0%, B || MAC *AR2 ; 226
+ LD *AR2+0%, B || MAC *AR2-, A ; 227
+ LD *AR2+0%, B || MAC *AR2- ; 228
+ LD *AR2+0%, B || MAC *AR2+, A ; 229
+ LD *AR2+0%, B || MAC *AR2+ ; 230
+ LD *AR2+0%, B || MAC *AR2+0%, A ; 231
+ LD *AR2+0%, B || MAC *AR2+0% ; 232
+ LD *AR2+0%, B || MAC *AR3, A ; 233
+ LD *AR2+0%, B || MAC *AR3 ; 234
+ LD *AR2+0%, B || MAC *AR3-, A ; 235
+ LD *AR2+0%, B || MAC *AR3- ; 236
+ LD *AR2+0%, B || MAC *AR3+, A ; 237
+ LD *AR2+0%, B || MAC *AR3+ ; 238
+ LD *AR2+0%, B || MAC *AR3+0%, A ; 239
+ LD *AR2+0%, B || MAC *AR3+0% ; 240
+ LD *AR2+0%, B || MAC *AR4, A ; 241
+ LD *AR2+0%, B || MAC *AR4 ; 242
+ LD *AR2+0%, B || MAC *AR4-, A ; 243
+ LD *AR2+0%, B || MAC *AR4- ; 244
+ LD *AR2+0%, B || MAC *AR4+, A ; 245
+ LD *AR2+0%, B || MAC *AR4+ ; 246
+ LD *AR2+0%, B || MAC *AR4+0%, A ; 247
+ LD *AR2+0%, B || MAC *AR4+0% ; 248
+ LD *AR2+0%, B || MAC *AR5, A ; 249
+ LD *AR2+0%, B || MAC *AR5 ; 250
+ LD *AR2+0%, B || MAC *AR5-, A ; 251
+ LD *AR2+0%, B || MAC *AR5- ; 252
+ LD *AR2+0%, B || MAC *AR5+, A ; 253
+ LD *AR2+0%, B || MAC *AR5+ ; 254
+ LD *AR2+0%, B || MAC *AR5+0%, A ; 255
+ LD *AR2+0%, B || MAC *AR5+0% ; 256
+ LD *AR3, A || MAC *AR2, B ; 257
+ LD *AR3, A || MAC *AR2 ; 258
+ LD *AR3, A || MAC *AR2-, B ; 259
+ LD *AR3, A || MAC *AR2- ; 260
+ LD *AR3, A || MAC *AR2+, B ; 261
+ LD *AR3, A || MAC *AR2+ ; 262
+ LD *AR3, A || MAC *AR2+0%, B ; 263
+ LD *AR3, A || MAC *AR2+0% ; 264
+ LD *AR3, A || MAC *AR3, B ; 265
+ LD *AR3, A || MAC *AR3 ; 266
+ LD *AR3, A || MAC *AR3-, B ; 267
+ LD *AR3, A || MAC *AR3- ; 268
+ LD *AR3, A || MAC *AR3+, B ; 269
+ LD *AR3, A || MAC *AR3+ ; 270
+ LD *AR3, A || MAC *AR3+0%, B ; 271
+ LD *AR3, A || MAC *AR3+0% ; 272
+ LD *AR3, A || MAC *AR4, B ; 273
+ LD *AR3, A || MAC *AR4 ; 274
+ LD *AR3, A || MAC *AR4-, B ; 275
+ LD *AR3, A || MAC *AR4- ; 276
+ LD *AR3, A || MAC *AR4+, B ; 277
+ LD *AR3, A || MAC *AR4+ ; 278
+ LD *AR3, A || MAC *AR4+0%, B ; 279
+ LD *AR3, A || MAC *AR4+0% ; 280
+ LD *AR3, A || MAC *AR5, B ; 281
+ LD *AR3, A || MAC *AR5 ; 282
+ LD *AR3, A || MAC *AR5-, B ; 283
+ LD *AR3, A || MAC *AR5- ; 284
+ LD *AR3, A || MAC *AR5+, B ; 285
+ LD *AR3, A || MAC *AR5+ ; 286
+ LD *AR3, A || MAC *AR5+0%, B ; 287
+ LD *AR3, A || MAC *AR5+0% ; 288
+ LD *AR3, B || MAC *AR2, A ; 289
+ LD *AR3, B || MAC *AR2 ; 290
+ LD *AR3, B || MAC *AR2-, A ; 291
+ LD *AR3, B || MAC *AR2- ; 292
+ LD *AR3, B || MAC *AR2+, A ; 293
+ LD *AR3, B || MAC *AR2+ ; 294
+ LD *AR3, B || MAC *AR2+0%, A ; 295
+ LD *AR3, B || MAC *AR2+0% ; 296
+ LD *AR3, B || MAC *AR3, A ; 297
+ LD *AR3, B || MAC *AR3 ; 298
+ LD *AR3, B || MAC *AR3-, A ; 299
+ LD *AR3, B || MAC *AR3- ; 300
+ LD *AR3, B || MAC *AR3+, A ; 301
+ LD *AR3, B || MAC *AR3+ ; 302
+ LD *AR3, B || MAC *AR3+0%, A ; 303
+ LD *AR3, B || MAC *AR3+0% ; 304
+ LD *AR3, B || MAC *AR4, A ; 305
+ LD *AR3, B || MAC *AR4 ; 306
+ LD *AR3, B || MAC *AR4-, A ; 307
+ LD *AR3, B || MAC *AR4- ; 308
+ LD *AR3, B || MAC *AR4+, A ; 309
+ LD *AR3, B || MAC *AR4+ ; 310
+ LD *AR3, B || MAC *AR4+0%, A ; 311
+ LD *AR3, B || MAC *AR4+0% ; 312
+ LD *AR3, B || MAC *AR5, A ; 313
+ LD *AR3, B || MAC *AR5 ; 314
+ LD *AR3, B || MAC *AR5-, A ; 315
+ LD *AR3, B || MAC *AR5- ; 316
+ LD *AR3, B || MAC *AR5+, A ; 317
+ LD *AR3, B || MAC *AR5+ ; 318
+ LD *AR3, B || MAC *AR5+0%, A ; 319
+ LD *AR3, B || MAC *AR5+0% ; 320
+ LD *AR3-, A || MAC *AR2, B ; 321
+ LD *AR3-, A || MAC *AR2 ; 322
+ LD *AR3-, A || MAC *AR2-, B ; 323
+ LD *AR3-, A || MAC *AR2- ; 324
+ LD *AR3-, A || MAC *AR2+, B ; 325
+ LD *AR3-, A || MAC *AR2+ ; 326
+ LD *AR3-, A || MAC *AR2+0%, B ; 327
+ LD *AR3-, A || MAC *AR2+0% ; 328
+ LD *AR3-, A || MAC *AR3, B ; 329
+ LD *AR3-, A || MAC *AR3 ; 330
+ LD *AR3-, A || MAC *AR3-, B ; 331
+ LD *AR3-, A || MAC *AR3- ; 332
+ LD *AR3-, A || MAC *AR3+, B ; 333
+ LD *AR3-, A || MAC *AR3+ ; 334
+ LD *AR3-, A || MAC *AR3+0%, B ; 335
+ LD *AR3-, A || MAC *AR3+0% ; 336
+ LD *AR3-, A || MAC *AR4, B ; 337
+ LD *AR3-, A || MAC *AR4 ; 338
+ LD *AR3-, A || MAC *AR4-, B ; 339
+ LD *AR3-, A || MAC *AR4- ; 340
+ LD *AR3-, A || MAC *AR4+, B ; 341
+ LD *AR3-, A || MAC *AR4+ ; 342
+ LD *AR3-, A || MAC *AR4+0%, B ; 343
+ LD *AR3-, A || MAC *AR4+0% ; 344
+ LD *AR3-, A || MAC *AR5, B ; 345
+ LD *AR3-, A || MAC *AR5 ; 346
+ LD *AR3-, A || MAC *AR5-, B ; 347
+ LD *AR3-, A || MAC *AR5- ; 348
+ LD *AR3-, A || MAC *AR5+, B ; 349
+ LD *AR3-, A || MAC *AR5+ ; 350
+ LD *AR3-, A || MAC *AR5+0%, B ; 351
+ LD *AR3-, A || MAC *AR5+0% ; 352
+ LD *AR3-, B || MAC *AR2, A ; 353
+ LD *AR3-, B || MAC *AR2 ; 354
+ LD *AR3-, B || MAC *AR2-, A ; 355
+ LD *AR3-, B || MAC *AR2- ; 356
+ LD *AR3-, B || MAC *AR2+, A ; 357
+ LD *AR3-, B || MAC *AR2+ ; 358
+ LD *AR3-, B || MAC *AR2+0%, A ; 359
+ LD *AR3-, B || MAC *AR2+0% ; 360
+ LD *AR3-, B || MAC *AR3, A ; 361
+ LD *AR3-, B || MAC *AR3 ; 362
+ LD *AR3-, B || MAC *AR3-, A ; 363
+ LD *AR3-, B || MAC *AR3- ; 364
+ LD *AR3-, B || MAC *AR3+, A ; 365
+ LD *AR3-, B || MAC *AR3+ ; 366
+ LD *AR3-, B || MAC *AR3+0%, A ; 367
+ LD *AR3-, B || MAC *AR3+0% ; 368
+ LD *AR3-, B || MAC *AR4, A ; 369
+ LD *AR3-, B || MAC *AR4 ; 370
+ LD *AR3-, B || MAC *AR4-, A ; 371
+ LD *AR3-, B || MAC *AR4- ; 372
+ LD *AR3-, B || MAC *AR4+, A ; 373
+ LD *AR3-, B || MAC *AR4+ ; 374
+ LD *AR3-, B || MAC *AR4+0%, A ; 375
+ LD *AR3-, B || MAC *AR4+0% ; 376
+ LD *AR3-, B || MAC *AR5, A ; 377
+ LD *AR3-, B || MAC *AR5 ; 378
+ LD *AR3-, B || MAC *AR5-, A ; 379
+ LD *AR3-, B || MAC *AR5- ; 380
+ LD *AR3-, B || MAC *AR5+, A ; 381
+ LD *AR3-, B || MAC *AR5+ ; 382
+ LD *AR3-, B || MAC *AR5+0%, A ; 383
+ LD *AR3-, B || MAC *AR5+0% ; 384
+ LD *AR3+, A || MAC *AR2, B ; 385
+ LD *AR3+, A || MAC *AR2 ; 386
+ LD *AR3+, A || MAC *AR2-, B ; 387
+ LD *AR3+, A || MAC *AR2- ; 388
+ LD *AR3+, A || MAC *AR2+, B ; 389
+ LD *AR3+, A || MAC *AR2+ ; 390
+ LD *AR3+, A || MAC *AR2+0%, B ; 391
+ LD *AR3+, A || MAC *AR2+0% ; 392
+ LD *AR3+, A || MAC *AR3, B ; 393
+ LD *AR3+, A || MAC *AR3 ; 394
+ LD *AR3+, A || MAC *AR3-, B ; 395
+ LD *AR3+, A || MAC *AR3- ; 396
+ LD *AR3+, A || MAC *AR3+, B ; 397
+ LD *AR3+, A || MAC *AR3+ ; 398
+ LD *AR3+, A || MAC *AR3+0%, B ; 399
+ LD *AR3+, A || MAC *AR3+0% ; 400
+ LD *AR3+, A || MAC *AR4, B ; 401
+ LD *AR3+, A || MAC *AR4 ; 402
+ LD *AR3+, A || MAC *AR4-, B ; 403
+ LD *AR3+, A || MAC *AR4- ; 404
+ LD *AR3+, A || MAC *AR4+, B ; 405
+ LD *AR3+, A || MAC *AR4+ ; 406
+ LD *AR3+, A || MAC *AR4+0%, B ; 407
+ LD *AR3+, A || MAC *AR4+0% ; 408
+ LD *AR3+, A || MAC *AR5, B ; 409
+ LD *AR3+, A || MAC *AR5 ; 410
+ LD *AR3+, A || MAC *AR5-, B ; 411
+ LD *AR3+, A || MAC *AR5- ; 412
+ LD *AR3+, A || MAC *AR5+, B ; 413
+ LD *AR3+, A || MAC *AR5+ ; 414
+ LD *AR3+, A || MAC *AR5+0%, B ; 415
+ LD *AR3+, A || MAC *AR5+0% ; 416
+ LD *AR3+, B || MAC *AR2, A ; 417
+ LD *AR3+, B || MAC *AR2 ; 418
+ LD *AR3+, B || MAC *AR2-, A ; 419
+ LD *AR3+, B || MAC *AR2- ; 420
+ LD *AR3+, B || MAC *AR2+, A ; 421
+ LD *AR3+, B || MAC *AR2+ ; 422
+ LD *AR3+, B || MAC *AR2+0%, A ; 423
+ LD *AR3+, B || MAC *AR2+0% ; 424
+ LD *AR3+, B || MAC *AR3, A ; 425
+ LD *AR3+, B || MAC *AR3 ; 426
+ LD *AR3+, B || MAC *AR3-, A ; 427
+ LD *AR3+, B || MAC *AR3- ; 428
+ LD *AR3+, B || MAC *AR3+, A ; 429
+ LD *AR3+, B || MAC *AR3+ ; 430
+ LD *AR3+, B || MAC *AR3+0%, A ; 431
+ LD *AR3+, B || MAC *AR3+0% ; 432
+ LD *AR3+, B || MAC *AR4, A ; 433
+ LD *AR3+, B || MAC *AR4 ; 434
+ LD *AR3+, B || MAC *AR4-, A ; 435
+ LD *AR3+, B || MAC *AR4- ; 436
+ LD *AR3+, B || MAC *AR4+, A ; 437
+ LD *AR3+, B || MAC *AR4+ ; 438
+ LD *AR3+, B || MAC *AR4+0%, A ; 439
+ LD *AR3+, B || MAC *AR4+0% ; 440
+ LD *AR3+, B || MAC *AR5, A ; 441
+ LD *AR3+, B || MAC *AR5 ; 442
+ LD *AR3+, B || MAC *AR5-, A ; 443
+ LD *AR3+, B || MAC *AR5- ; 444
+ LD *AR3+, B || MAC *AR5+, A ; 445
+ LD *AR3+, B || MAC *AR5+ ; 446
+ LD *AR3+, B || MAC *AR5+0%, A ; 447
+ LD *AR3+, B || MAC *AR5+0% ; 448
+ LD *AR3+0%, A || MAC *AR2, B ; 449
+ LD *AR3+0%, A || MAC *AR2 ; 450
+ LD *AR3+0%, A || MAC *AR2-, B ; 451
+ LD *AR3+0%, A || MAC *AR2- ; 452
+ LD *AR3+0%, A || MAC *AR2+, B ; 453
+ LD *AR3+0%, A || MAC *AR2+ ; 454
+ LD *AR3+0%, A || MAC *AR2+0%, B ; 455
+ LD *AR3+0%, A || MAC *AR2+0% ; 456
+ LD *AR3+0%, A || MAC *AR3, B ; 457
+ LD *AR3+0%, A || MAC *AR3 ; 458
+ LD *AR3+0%, A || MAC *AR3-, B ; 459
+ LD *AR3+0%, A || MAC *AR3- ; 460
+ LD *AR3+0%, A || MAC *AR3+, B ; 461
+ LD *AR3+0%, A || MAC *AR3+ ; 462
+ LD *AR3+0%, A || MAC *AR3+0%, B ; 463
+ LD *AR3+0%, A || MAC *AR3+0% ; 464
+ LD *AR3+0%, A || MAC *AR4, B ; 465
+ LD *AR3+0%, A || MAC *AR4 ; 466
+ LD *AR3+0%, A || MAC *AR4-, B ; 467
+ LD *AR3+0%, A || MAC *AR4- ; 468
+ LD *AR3+0%, A || MAC *AR4+, B ; 469
+ LD *AR3+0%, A || MAC *AR4+ ; 470
+ LD *AR3+0%, A || MAC *AR4+0%, B ; 471
+ LD *AR3+0%, A || MAC *AR4+0% ; 472
+ LD *AR3+0%, A || MAC *AR5, B ; 473
+ LD *AR3+0%, A || MAC *AR5 ; 474
+ LD *AR3+0%, A || MAC *AR5-, B ; 475
+ LD *AR3+0%, A || MAC *AR5- ; 476
+ LD *AR3+0%, A || MAC *AR5+, B ; 477
+ LD *AR3+0%, A || MAC *AR5+ ; 478
+ LD *AR3+0%, A || MAC *AR5+0%, B ; 479
+ LD *AR3+0%, A || MAC *AR5+0% ; 480
+ LD *AR3+0%, B || MAC *AR2, A ; 481
+ LD *AR3+0%, B || MAC *AR2 ; 482
+ LD *AR3+0%, B || MAC *AR2-, A ; 483
+ LD *AR3+0%, B || MAC *AR2- ; 484
+ LD *AR3+0%, B || MAC *AR2+, A ; 485
+ LD *AR3+0%, B || MAC *AR2+ ; 486
+ LD *AR3+0%, B || MAC *AR2+0%, A ; 487
+ LD *AR3+0%, B || MAC *AR2+0% ; 488
+ LD *AR3+0%, B || MAC *AR3, A ; 489
+ LD *AR3+0%, B || MAC *AR3 ; 490
+ LD *AR3+0%, B || MAC *AR3-, A ; 491
+ LD *AR3+0%, B || MAC *AR3- ; 492
+ LD *AR3+0%, B || MAC *AR3+, A ; 493
+ LD *AR3+0%, B || MAC *AR3+ ; 494
+ LD *AR3+0%, B || MAC *AR3+0%, A ; 495
+ LD *AR3+0%, B || MAC *AR3+0% ; 496
+ LD *AR3+0%, B || MAC *AR4, A ; 497
+ LD *AR3+0%, B || MAC *AR4 ; 498
+ LD *AR3+0%, B || MAC *AR4-, A ; 499
+ LD *AR3+0%, B || MAC *AR4- ; 500
+ LD *AR3+0%, B || MAC *AR4+, A ; 501
+ LD *AR3+0%, B || MAC *AR4+ ; 502
+ LD *AR3+0%, B || MAC *AR4+0%, A ; 503
+ LD *AR3+0%, B || MAC *AR4+0% ; 504
+ LD *AR3+0%, B || MAC *AR5, A ; 505
+ LD *AR3+0%, B || MAC *AR5 ; 506
+ LD *AR3+0%, B || MAC *AR5-, A ; 507
+ LD *AR3+0%, B || MAC *AR5- ; 508
+ LD *AR3+0%, B || MAC *AR5+, A ; 509
+ LD *AR3+0%, B || MAC *AR5+ ; 510
+ LD *AR3+0%, B || MAC *AR5+0%, A ; 511
+ LD *AR3+0%, B || MAC *AR5+0% ; 512
+ LD *AR4, A || MAC *AR2, B ; 513
+ LD *AR4, A || MAC *AR2 ; 514
+ LD *AR4, A || MAC *AR2-, B ; 515
+ LD *AR4, A || MAC *AR2- ; 516
+ LD *AR4, A || MAC *AR2+, B ; 517
+ LD *AR4, A || MAC *AR2+ ; 518
+ LD *AR4, A || MAC *AR2+0%, B ; 519
+ LD *AR4, A || MAC *AR2+0% ; 520
+ LD *AR4, A || MAC *AR3, B ; 521
+ LD *AR4, A || MAC *AR3 ; 522
+ LD *AR4, A || MAC *AR3-, B ; 523
+ LD *AR4, A || MAC *AR3- ; 524
+ LD *AR4, A || MAC *AR3+, B ; 525
+ LD *AR4, A || MAC *AR3+ ; 526
+ LD *AR4, A || MAC *AR3+0%, B ; 527
+ LD *AR4, A || MAC *AR3+0% ; 528
+ LD *AR4, A || MAC *AR4, B ; 529
+ LD *AR4, A || MAC *AR4 ; 530
+ LD *AR4, A || MAC *AR4-, B ; 531
+ LD *AR4, A || MAC *AR4- ; 532
+ LD *AR4, A || MAC *AR4+, B ; 533
+ LD *AR4, A || MAC *AR4+ ; 534
+ LD *AR4, A || MAC *AR4+0%, B ; 535
+ LD *AR4, A || MAC *AR4+0% ; 536
+ LD *AR4, A || MAC *AR5, B ; 537
+ LD *AR4, A || MAC *AR5 ; 538
+ LD *AR4, A || MAC *AR5-, B ; 539
+ LD *AR4, A || MAC *AR5- ; 540
+ LD *AR4, A || MAC *AR5+, B ; 541
+ LD *AR4, A || MAC *AR5+ ; 542
+ LD *AR4, A || MAC *AR5+0%, B ; 543
+ LD *AR4, A || MAC *AR5+0% ; 544
+ LD *AR4, B || MAC *AR2, A ; 545
+ LD *AR4, B || MAC *AR2 ; 546
+ LD *AR4, B || MAC *AR2-, A ; 547
+ LD *AR4, B || MAC *AR2- ; 548
+ LD *AR4, B || MAC *AR2+, A ; 549
+ LD *AR4, B || MAC *AR2+ ; 550
+ LD *AR4, B || MAC *AR2+0%, A ; 551
+ LD *AR4, B || MAC *AR2+0% ; 552
+ LD *AR4, B || MAC *AR3, A ; 553
+ LD *AR4, B || MAC *AR3 ; 554
+ LD *AR4, B || MAC *AR3-, A ; 555
+ LD *AR4, B || MAC *AR3- ; 556
+ LD *AR4, B || MAC *AR3+, A ; 557
+ LD *AR4, B || MAC *AR3+ ; 558
+ LD *AR4, B || MAC *AR3+0%, A ; 559
+ LD *AR4, B || MAC *AR3+0% ; 560
+ LD *AR4, B || MAC *AR4, A ; 561
+ LD *AR4, B || MAC *AR4 ; 562
+ LD *AR4, B || MAC *AR4-, A ; 563
+ LD *AR4, B || MAC *AR4- ; 564
+ LD *AR4, B || MAC *AR4+, A ; 565
+ LD *AR4, B || MAC *AR4+ ; 566
+ LD *AR4, B || MAC *AR4+0%, A ; 567
+ LD *AR4, B || MAC *AR4+0% ; 568
+ LD *AR4, B || MAC *AR5, A ; 569
+ LD *AR4, B || MAC *AR5 ; 570
+ LD *AR4, B || MAC *AR5-, A ; 571
+ LD *AR4, B || MAC *AR5- ; 572
+ LD *AR4, B || MAC *AR5+, A ; 573
+ LD *AR4, B || MAC *AR5+ ; 574
+ LD *AR4, B || MAC *AR5+0%, A ; 575
+ LD *AR4, B || MAC *AR5+0% ; 576
+ LD *AR4-, A || MAC *AR2, B ; 577
+ LD *AR4-, A || MAC *AR2 ; 578
+ LD *AR4-, A || MAC *AR2-, B ; 579
+ LD *AR4-, A || MAC *AR2- ; 580
+ LD *AR4-, A || MAC *AR2+, B ; 581
+ LD *AR4-, A || MAC *AR2+ ; 582
+ LD *AR4-, A || MAC *AR2+0%, B ; 583
+ LD *AR4-, A || MAC *AR2+0% ; 584
+ LD *AR4-, A || MAC *AR3, B ; 585
+ LD *AR4-, A || MAC *AR3 ; 586
+ LD *AR4-, A || MAC *AR3-, B ; 587
+ LD *AR4-, A || MAC *AR3- ; 588
+ LD *AR4-, A || MAC *AR3+, B ; 589
+ LD *AR4-, A || MAC *AR3+ ; 590
+ LD *AR4-, A || MAC *AR3+0%, B ; 591
+ LD *AR4-, A || MAC *AR3+0% ; 592
+ LD *AR4-, A || MAC *AR4, B ; 593
+ LD *AR4-, A || MAC *AR4 ; 594
+ LD *AR4-, A || MAC *AR4-, B ; 595
+ LD *AR4-, A || MAC *AR4- ; 596
+ LD *AR4-, A || MAC *AR4+, B ; 597
+ LD *AR4-, A || MAC *AR4+ ; 598
+ LD *AR4-, A || MAC *AR4+0%, B ; 599
+ LD *AR4-, A || MAC *AR4+0% ; 600
+ LD *AR4-, A || MAC *AR5, B ; 601
+ LD *AR4-, A || MAC *AR5 ; 602
+ LD *AR4-, A || MAC *AR5-, B ; 603
+ LD *AR4-, A || MAC *AR5- ; 604
+ LD *AR4-, A || MAC *AR5+, B ; 605
+ LD *AR4-, A || MAC *AR5+ ; 606
+ LD *AR4-, A || MAC *AR5+0%, B ; 607
+ LD *AR4-, A || MAC *AR5+0% ; 608
+ LD *AR4-, B || MAC *AR2, A ; 609
+ LD *AR4-, B || MAC *AR2 ; 610
+ LD *AR4-, B || MAC *AR2-, A ; 611
+ LD *AR4-, B || MAC *AR2- ; 612
+ LD *AR4-, B || MAC *AR2+, A ; 613
+ LD *AR4-, B || MAC *AR2+ ; 614
+ LD *AR4-, B || MAC *AR2+0%, A ; 615
+ LD *AR4-, B || MAC *AR2+0% ; 616
+ LD *AR4-, B || MAC *AR3, A ; 617
+ LD *AR4-, B || MAC *AR3 ; 618
+ LD *AR4-, B || MAC *AR3-, A ; 619
+ LD *AR4-, B || MAC *AR3- ; 620
+ LD *AR4-, B || MAC *AR3+, A ; 621
+ LD *AR4-, B || MAC *AR3+ ; 622
+ LD *AR4-, B || MAC *AR3+0%, A ; 623
+ LD *AR4-, B || MAC *AR3+0% ; 624
+ LD *AR4-, B || MAC *AR4, A ; 625
+ LD *AR4-, B || MAC *AR4 ; 626
+ LD *AR4-, B || MAC *AR4-, A ; 627
+ LD *AR4-, B || MAC *AR4- ; 628
+ LD *AR4-, B || MAC *AR4+, A ; 629
+ LD *AR4-, B || MAC *AR4+ ; 630
+ LD *AR4-, B || MAC *AR4+0%, A ; 631
+ LD *AR4-, B || MAC *AR4+0% ; 632
+ LD *AR4-, B || MAC *AR5, A ; 633
+ LD *AR4-, B || MAC *AR5 ; 634
+ LD *AR4-, B || MAC *AR5-, A ; 635
+ LD *AR4-, B || MAC *AR5- ; 636
+ LD *AR4-, B || MAC *AR5+, A ; 637
+ LD *AR4-, B || MAC *AR5+ ; 638
+ LD *AR4-, B || MAC *AR5+0%, A ; 639
+ LD *AR4-, B || MAC *AR5+0% ; 640
+ LD *AR4+, A || MAC *AR2, B ; 641
+ LD *AR4+, A || MAC *AR2 ; 642
+ LD *AR4+, A || MAC *AR2-, B ; 643
+ LD *AR4+, A || MAC *AR2- ; 644
+ LD *AR4+, A || MAC *AR2+, B ; 645
+ LD *AR4+, A || MAC *AR2+ ; 646
+ LD *AR4+, A || MAC *AR2+0%, B ; 647
+ LD *AR4+, A || MAC *AR2+0% ; 648
+ LD *AR4+, A || MAC *AR3, B ; 649
+ LD *AR4+, A || MAC *AR3 ; 650
+ LD *AR4+, A || MAC *AR3-, B ; 651
+ LD *AR4+, A || MAC *AR3- ; 652
+ LD *AR4+, A || MAC *AR3+, B ; 653
+ LD *AR4+, A || MAC *AR3+ ; 654
+ LD *AR4+, A || MAC *AR3+0%, B ; 655
+ LD *AR4+, A || MAC *AR3+0% ; 656
+ LD *AR4+, A || MAC *AR4, B ; 657
+ LD *AR4+, A || MAC *AR4 ; 658
+ LD *AR4+, A || MAC *AR4-, B ; 659
+ LD *AR4+, A || MAC *AR4- ; 660
+ LD *AR4+, A || MAC *AR4+, B ; 661
+ LD *AR4+, A || MAC *AR4+ ; 662
+ LD *AR4+, A || MAC *AR4+0%, B ; 663
+ LD *AR4+, A || MAC *AR4+0% ; 664
+ LD *AR4+, A || MAC *AR5, B ; 665
+ LD *AR4+, A || MAC *AR5 ; 666
+ LD *AR4+, A || MAC *AR5-, B ; 667
+ LD *AR4+, A || MAC *AR5- ; 668
+ LD *AR4+, A || MAC *AR5+, B ; 669
+ LD *AR4+, A || MAC *AR5+ ; 670
+ LD *AR4+, A || MAC *AR5+0%, B ; 671
+ LD *AR4+, A || MAC *AR5+0% ; 672
+ LD *AR4+, B || MAC *AR2, A ; 673
+ LD *AR4+, B || MAC *AR2 ; 674
+ LD *AR4+, B || MAC *AR2-, A ; 675
+ LD *AR4+, B || MAC *AR2- ; 676
+ LD *AR4+, B || MAC *AR2+, A ; 677
+ LD *AR4+, B || MAC *AR2+ ; 678
+ LD *AR4+, B || MAC *AR2+0%, A ; 679
+ LD *AR4+, B || MAC *AR2+0% ; 680
+ LD *AR4+, B || MAC *AR3, A ; 681
+ LD *AR4+, B || MAC *AR3 ; 682
+ LD *AR4+, B || MAC *AR3-, A ; 683
+ LD *AR4+, B || MAC *AR3- ; 684
+ LD *AR4+, B || MAC *AR3+, A ; 685
+ LD *AR4+, B || MAC *AR3+ ; 686
+ LD *AR4+, B || MAC *AR3+0%, A ; 687
+ LD *AR4+, B || MAC *AR3+0% ; 688
+ LD *AR4+, B || MAC *AR4, A ; 689
+ LD *AR4+, B || MAC *AR4 ; 690
+ LD *AR4+, B || MAC *AR4-, A ; 691
+ LD *AR4+, B || MAC *AR4- ; 692
+ LD *AR4+, B || MAC *AR4+, A ; 693
+ LD *AR4+, B || MAC *AR4+ ; 694
+ LD *AR4+, B || MAC *AR4+0%, A ; 695
+ LD *AR4+, B || MAC *AR4+0% ; 696
+ LD *AR4+, B || MAC *AR5, A ; 697
+ LD *AR4+, B || MAC *AR5 ; 698
+ LD *AR4+, B || MAC *AR5-, A ; 699
+ LD *AR4+, B || MAC *AR5- ; 700
+ LD *AR4+, B || MAC *AR5+, A ; 701
+ LD *AR4+, B || MAC *AR5+ ; 702
+ LD *AR4+, B || MAC *AR5+0%, A ; 703
+ LD *AR4+, B || MAC *AR5+0% ; 704
+ LD *AR4+0%, A || MAC *AR2, B ; 705
+ LD *AR4+0%, A || MAC *AR2 ; 706
+ LD *AR4+0%, A || MAC *AR2-, B ; 707
+ LD *AR4+0%, A || MAC *AR2- ; 708
+ LD *AR4+0%, A || MAC *AR2+, B ; 709
+ LD *AR4+0%, A || MAC *AR2+ ; 710
+ LD *AR4+0%, A || MAC *AR2+0%, B ; 711
+ LD *AR4+0%, A || MAC *AR2+0% ; 712
+ LD *AR4+0%, A || MAC *AR3, B ; 713
+ LD *AR4+0%, A || MAC *AR3 ; 714
+ LD *AR4+0%, A || MAC *AR3-, B ; 715
+ LD *AR4+0%, A || MAC *AR3- ; 716
+ LD *AR4+0%, A || MAC *AR3+, B ; 717
+ LD *AR4+0%, A || MAC *AR3+ ; 718
+ LD *AR4+0%, A || MAC *AR3+0%, B ; 719
+ LD *AR4+0%, A || MAC *AR3+0% ; 720
+ LD *AR4+0%, A || MAC *AR4, B ; 721
+ LD *AR4+0%, A || MAC *AR4 ; 722
+ LD *AR4+0%, A || MAC *AR4-, B ; 723
+ LD *AR4+0%, A || MAC *AR4- ; 724
+ LD *AR4+0%, A || MAC *AR4+, B ; 725
+ LD *AR4+0%, A || MAC *AR4+ ; 726
+ LD *AR4+0%, A || MAC *AR4+0%, B ; 727
+ LD *AR4+0%, A || MAC *AR4+0% ; 728
+ LD *AR4+0%, A || MAC *AR5, B ; 729
+ LD *AR4+0%, A || MAC *AR5 ; 730
+ LD *AR4+0%, A || MAC *AR5-, B ; 731
+ LD *AR4+0%, A || MAC *AR5- ; 732
+ LD *AR4+0%, A || MAC *AR5+, B ; 733
+ LD *AR4+0%, A || MAC *AR5+ ; 734
+ LD *AR4+0%, A || MAC *AR5+0%, B ; 735
+ LD *AR4+0%, A || MAC *AR5+0% ; 736
+ LD *AR4+0%, B || MAC *AR2, A ; 737
+ LD *AR4+0%, B || MAC *AR2 ; 738
+ LD *AR4+0%, B || MAC *AR2-, A ; 739
+ LD *AR4+0%, B || MAC *AR2- ; 740
+ LD *AR4+0%, B || MAC *AR2+, A ; 741
+ LD *AR4+0%, B || MAC *AR2+ ; 742
+ LD *AR4+0%, B || MAC *AR2+0%, A ; 743
+ LD *AR4+0%, B || MAC *AR2+0% ; 744
+ LD *AR4+0%, B || MAC *AR3, A ; 745
+ LD *AR4+0%, B || MAC *AR3 ; 746
+ LD *AR4+0%, B || MAC *AR3-, A ; 747
+ LD *AR4+0%, B || MAC *AR3- ; 748
+ LD *AR4+0%, B || MAC *AR3+, A ; 749
+ LD *AR4+0%, B || MAC *AR3+ ; 750
+ LD *AR4+0%, B || MAC *AR3+0%, A ; 751
+ LD *AR4+0%, B || MAC *AR3+0% ; 752
+ LD *AR4+0%, B || MAC *AR4, A ; 753
+ LD *AR4+0%, B || MAC *AR4 ; 754
+ LD *AR4+0%, B || MAC *AR4-, A ; 755
+ LD *AR4+0%, B || MAC *AR4- ; 756
+ LD *AR4+0%, B || MAC *AR4+, A ; 757
+ LD *AR4+0%, B || MAC *AR4+ ; 758
+ LD *AR4+0%, B || MAC *AR4+0%, A ; 759
+ LD *AR4+0%, B || MAC *AR4+0% ; 760
+ LD *AR4+0%, B || MAC *AR5, A ; 761
+ LD *AR4+0%, B || MAC *AR5 ; 762
+ LD *AR4+0%, B || MAC *AR5-, A ; 763
+ LD *AR4+0%, B || MAC *AR5- ; 764
+ LD *AR4+0%, B || MAC *AR5+, A ; 765
+ LD *AR4+0%, B || MAC *AR5+ ; 766
+ LD *AR4+0%, B || MAC *AR5+0%, A ; 767
+ LD *AR4+0%, B || MAC *AR5+0% ; 768
+ LD *AR5, A || MAC *AR2, B ; 769
+ LD *AR5, A || MAC *AR2 ; 770
+ LD *AR5, A || MAC *AR2-, B ; 771
+ LD *AR5, A || MAC *AR2- ; 772
+ LD *AR5, A || MAC *AR2+, B ; 773
+ LD *AR5, A || MAC *AR2+ ; 774
+ LD *AR5, A || MAC *AR2+0%, B ; 775
+ LD *AR5, A || MAC *AR2+0% ; 776
+ LD *AR5, A || MAC *AR3, B ; 777
+ LD *AR5, A || MAC *AR3 ; 778
+ LD *AR5, A || MAC *AR3-, B ; 779
+ LD *AR5, A || MAC *AR3- ; 780
+ LD *AR5, A || MAC *AR3+, B ; 781
+ LD *AR5, A || MAC *AR3+ ; 782
+ LD *AR5, A || MAC *AR3+0%, B ; 783
+ LD *AR5, A || MAC *AR3+0% ; 784
+ LD *AR5, A || MAC *AR4, B ; 785
+ LD *AR5, A || MAC *AR4 ; 786
+ LD *AR5, A || MAC *AR4-, B ; 787
+ LD *AR5, A || MAC *AR4- ; 788
+ LD *AR5, A || MAC *AR4+, B ; 789
+ LD *AR5, A || MAC *AR4+ ; 790
+ LD *AR5, A || MAC *AR4+0%, B ; 791
+ LD *AR5, A || MAC *AR4+0% ; 792
+ LD *AR5, A || MAC *AR5, B ; 793
+ LD *AR5, A || MAC *AR5 ; 794
+ LD *AR5, A || MAC *AR5-, B ; 795
+ LD *AR5, A || MAC *AR5- ; 796
+ LD *AR5, A || MAC *AR5+, B ; 797
+ LD *AR5, A || MAC *AR5+ ; 798
+ LD *AR5, A || MAC *AR5+0%, B ; 799
+ LD *AR5, A || MAC *AR5+0% ; 800
+ LD *AR5, B || MAC *AR2, A ; 801
+ LD *AR5, B || MAC *AR2 ; 802
+ LD *AR5, B || MAC *AR2-, A ; 803
+ LD *AR5, B || MAC *AR2- ; 804
+ LD *AR5, B || MAC *AR2+, A ; 805
+ LD *AR5, B || MAC *AR2+ ; 806
+ LD *AR5, B || MAC *AR2+0%, A ; 807
+ LD *AR5, B || MAC *AR2+0% ; 808
+ LD *AR5, B || MAC *AR3, A ; 809
+ LD *AR5, B || MAC *AR3 ; 810
+ LD *AR5, B || MAC *AR3-, A ; 811
+ LD *AR5, B || MAC *AR3- ; 812
+ LD *AR5, B || MAC *AR3+, A ; 813
+ LD *AR5, B || MAC *AR3+ ; 814
+ LD *AR5, B || MAC *AR3+0%, A ; 815
+ LD *AR5, B || MAC *AR3+0% ; 816
+ LD *AR5, B || MAC *AR4, A ; 817
+ LD *AR5, B || MAC *AR4 ; 818
+ LD *AR5, B || MAC *AR4-, A ; 819
+ LD *AR5, B || MAC *AR4- ; 820
+ LD *AR5, B || MAC *AR4+, A ; 821
+ LD *AR5, B || MAC *AR4+ ; 822
+ LD *AR5, B || MAC *AR4+0%, A ; 823
+ LD *AR5, B || MAC *AR4+0% ; 824
+ LD *AR5, B || MAC *AR5, A ; 825
+ LD *AR5, B || MAC *AR5 ; 826
+ LD *AR5, B || MAC *AR5-, A ; 827
+ LD *AR5, B || MAC *AR5- ; 828
+ LD *AR5, B || MAC *AR5+, A ; 829
+ LD *AR5, B || MAC *AR5+ ; 830
+ LD *AR5, B || MAC *AR5+0%, A ; 831
+ LD *AR5, B || MAC *AR5+0% ; 832
+ LD *AR5-, A || MAC *AR2, B ; 833
+ LD *AR5-, A || MAC *AR2 ; 834
+ LD *AR5-, A || MAC *AR2-, B ; 835
+ LD *AR5-, A || MAC *AR2- ; 836
+ LD *AR5-, A || MAC *AR2+, B ; 837
+ LD *AR5-, A || MAC *AR2+ ; 838
+ LD *AR5-, A || MAC *AR2+0%, B ; 839
+ LD *AR5-, A || MAC *AR2+0% ; 840
+ LD *AR5-, A || MAC *AR3, B ; 841
+ LD *AR5-, A || MAC *AR3 ; 842
+ LD *AR5-, A || MAC *AR3-, B ; 843
+ LD *AR5-, A || MAC *AR3- ; 844
+ LD *AR5-, A || MAC *AR3+, B ; 845
+ LD *AR5-, A || MAC *AR3+ ; 846
+ LD *AR5-, A || MAC *AR3+0%, B ; 847
+ LD *AR5-, A || MAC *AR3+0% ; 848
+ LD *AR5-, A || MAC *AR4, B ; 849
+ LD *AR5-, A || MAC *AR4 ; 850
+ LD *AR5-, A || MAC *AR4-, B ; 851
+ LD *AR5-, A || MAC *AR4- ; 852
+ LD *AR5-, A || MAC *AR4+, B ; 853
+ LD *AR5-, A || MAC *AR4+ ; 854
+ LD *AR5-, A || MAC *AR4+0%, B ; 855
+ LD *AR5-, A || MAC *AR4+0% ; 856
+ LD *AR5-, A || MAC *AR5, B ; 857
+ LD *AR5-, A || MAC *AR5 ; 858
+ LD *AR5-, A || MAC *AR5-, B ; 859
+ LD *AR5-, A || MAC *AR5- ; 860
+ LD *AR5-, A || MAC *AR5+, B ; 861
+ LD *AR5-, A || MAC *AR5+ ; 862
+ LD *AR5-, A || MAC *AR5+0%, B ; 863
+ LD *AR5-, A || MAC *AR5+0% ; 864
+ LD *AR5-, B || MAC *AR2, A ; 865
+ LD *AR5-, B || MAC *AR2 ; 866
+ LD *AR5-, B || MAC *AR2-, A ; 867
+ LD *AR5-, B || MAC *AR2- ; 868
+ LD *AR5-, B || MAC *AR2+, A ; 869
+ LD *AR5-, B || MAC *AR2+ ; 870
+ LD *AR5-, B || MAC *AR2+0%, A ; 871
+ LD *AR5-, B || MAC *AR2+0% ; 872
+ LD *AR5-, B || MAC *AR3, A ; 873
+ LD *AR5-, B || MAC *AR3 ; 874
+ LD *AR5-, B || MAC *AR3-, A ; 875
+ LD *AR5-, B || MAC *AR3- ; 876
+ LD *AR5-, B || MAC *AR3+, A ; 877
+ LD *AR5-, B || MAC *AR3+ ; 878
+ LD *AR5-, B || MAC *AR3+0%, A ; 879
+ LD *AR5-, B || MAC *AR3+0% ; 880
+ LD *AR5-, B || MAC *AR4, A ; 881
+ LD *AR5-, B || MAC *AR4 ; 882
+ LD *AR5-, B || MAC *AR4-, A ; 883
+ LD *AR5-, B || MAC *AR4- ; 884
+ LD *AR5-, B || MAC *AR4+, A ; 885
+ LD *AR5-, B || MAC *AR4+ ; 886
+ LD *AR5-, B || MAC *AR4+0%, A ; 887
+ LD *AR5-, B || MAC *AR4+0% ; 888
+ LD *AR5-, B || MAC *AR5, A ; 889
+ LD *AR5-, B || MAC *AR5 ; 890
+ LD *AR5-, B || MAC *AR5-, A ; 891
+ LD *AR5-, B || MAC *AR5- ; 892
+ LD *AR5-, B || MAC *AR5+, A ; 893
+ LD *AR5-, B || MAC *AR5+ ; 894
+ LD *AR5-, B || MAC *AR5+0%, A ; 895
+ LD *AR5-, B || MAC *AR5+0% ; 896
+ LD *AR5+, A || MAC *AR2, B ; 897
+ LD *AR5+, A || MAC *AR2 ; 898
+ LD *AR5+, A || MAC *AR2-, B ; 899
+ LD *AR5+, A || MAC *AR2- ; 900
+ LD *AR5+, A || MAC *AR2+, B ; 901
+ LD *AR5+, A || MAC *AR2+ ; 902
+ LD *AR5+, A || MAC *AR2+0%, B ; 903
+ LD *AR5+, A || MAC *AR2+0% ; 904
+ LD *AR5+, A || MAC *AR3, B ; 905
+ LD *AR5+, A || MAC *AR3 ; 906
+ LD *AR5+, A || MAC *AR3-, B ; 907
+ LD *AR5+, A || MAC *AR3- ; 908
+ LD *AR5+, A || MAC *AR3+, B ; 909
+ LD *AR5+, A || MAC *AR3+ ; 910
+ LD *AR5+, A || MAC *AR3+0%, B ; 911
+ LD *AR5+, A || MAC *AR3+0% ; 912
+ LD *AR5+, A || MAC *AR4, B ; 913
+ LD *AR5+, A || MAC *AR4 ; 914
+ LD *AR5+, A || MAC *AR4-, B ; 915
+ LD *AR5+, A || MAC *AR4- ; 916
+ LD *AR5+, A || MAC *AR4+, B ; 917
+ LD *AR5+, A || MAC *AR4+ ; 918
+ LD *AR5+, A || MAC *AR4+0%, B ; 919
+ LD *AR5+, A || MAC *AR4+0% ; 920
+ LD *AR5+, A || MAC *AR5, B ; 921
+ LD *AR5+, A || MAC *AR5 ; 922
+ LD *AR5+, A || MAC *AR5-, B ; 923
+ LD *AR5+, A || MAC *AR5- ; 924
+ LD *AR5+, A || MAC *AR5+, B ; 925
+ LD *AR5+, A || MAC *AR5+ ; 926
+ LD *AR5+, A || MAC *AR5+0%, B ; 927
+ LD *AR5+, A || MAC *AR5+0% ; 928
+ LD *AR5+, B || MAC *AR2, A ; 929
+ LD *AR5+, B || MAC *AR2 ; 930
+ LD *AR5+, B || MAC *AR2-, A ; 931
+ LD *AR5+, B || MAC *AR2- ; 932
+ LD *AR5+, B || MAC *AR2+, A ; 933
+ LD *AR5+, B || MAC *AR2+ ; 934
+ LD *AR5+, B || MAC *AR2+0%, A ; 935
+ LD *AR5+, B || MAC *AR2+0% ; 936
+ LD *AR5+, B || MAC *AR3, A ; 937
+ LD *AR5+, B || MAC *AR3 ; 938
+ LD *AR5+, B || MAC *AR3-, A ; 939
+ LD *AR5+, B || MAC *AR3- ; 940
+ LD *AR5+, B || MAC *AR3+, A ; 941
+ LD *AR5+, B || MAC *AR3+ ; 942
+ LD *AR5+, B || MAC *AR3+0%, A ; 943
+ LD *AR5+, B || MAC *AR3+0% ; 944
+ LD *AR5+, B || MAC *AR4, A ; 945
+ LD *AR5+, B || MAC *AR4 ; 946
+ LD *AR5+, B || MAC *AR4-, A ; 947
+ LD *AR5+, B || MAC *AR4- ; 948
+ LD *AR5+, B || MAC *AR4+, A ; 949
+ LD *AR5+, B || MAC *AR4+ ; 950
+ LD *AR5+, B || MAC *AR4+0%, A ; 951
+ LD *AR5+, B || MAC *AR4+0% ; 952
+ LD *AR5+, B || MAC *AR5, A ; 953
+ LD *AR5+, B || MAC *AR5 ; 954
+ LD *AR5+, B || MAC *AR5-, A ; 955
+ LD *AR5+, B || MAC *AR5- ; 956
+ LD *AR5+, B || MAC *AR5+, A ; 957
+ LD *AR5+, B || MAC *AR5+ ; 958
+ LD *AR5+, B || MAC *AR5+0%, A ; 959
+ LD *AR5+, B || MAC *AR5+0% ; 960
+ LD *AR5+0%, A || MAC *AR2, B ; 961
+ LD *AR5+0%, A || MAC *AR2 ; 962
+ LD *AR5+0%, A || MAC *AR2-, B ; 963
+ LD *AR5+0%, A || MAC *AR2- ; 964
+ LD *AR5+0%, A || MAC *AR2+, B ; 965
+ LD *AR5+0%, A || MAC *AR2+ ; 966
+ LD *AR5+0%, A || MAC *AR2+0%, B ; 967
+ LD *AR5+0%, A || MAC *AR2+0% ; 968
+ LD *AR5+0%, A || MAC *AR3, B ; 969
+ LD *AR5+0%, A || MAC *AR3 ; 970
+ LD *AR5+0%, A || MAC *AR3-, B ; 971
+ LD *AR5+0%, A || MAC *AR3- ; 972
+ LD *AR5+0%, A || MAC *AR3+, B ; 973
+ LD *AR5+0%, A || MAC *AR3+ ; 974
+ LD *AR5+0%, A || MAC *AR3+0%, B ; 975
+ LD *AR5+0%, A || MAC *AR3+0% ; 976
+ LD *AR5+0%, A || MAC *AR4, B ; 977
+ LD *AR5+0%, A || MAC *AR4 ; 978
+ LD *AR5+0%, A || MAC *AR4-, B ; 979
+ LD *AR5+0%, A || MAC *AR4- ; 980
+ LD *AR5+0%, A || MAC *AR4+, B ; 981
+ LD *AR5+0%, A || MAC *AR4+ ; 982
+ LD *AR5+0%, A || MAC *AR4+0%, B ; 983
+ LD *AR5+0%, A || MAC *AR4+0% ; 984
+ LD *AR5+0%, A || MAC *AR5, B ; 985
+ LD *AR5+0%, A || MAC *AR5 ; 986
+ LD *AR5+0%, A || MAC *AR5-, B ; 987
+ LD *AR5+0%, A || MAC *AR5- ; 988
+ LD *AR5+0%, A || MAC *AR5+, B ; 989
+ LD *AR5+0%, A || MAC *AR5+ ; 990
+ LD *AR5+0%, A || MAC *AR5+0%, B ; 991
+ LD *AR5+0%, A || MAC *AR5+0% ; 992
+ LD *AR5+0%, B || MAC *AR2, A ; 993
+ LD *AR5+0%, B || MAC *AR2 ; 994
+ LD *AR5+0%, B || MAC *AR2-, A ; 995
+ LD *AR5+0%, B || MAC *AR2- ; 996
+ LD *AR5+0%, B || MAC *AR2+, A ; 997
+ LD *AR5+0%, B || MAC *AR2+ ; 998
+ LD *AR5+0%, B || MAC *AR2+0%, A ; 999
+ LD *AR5+0%, B || MAC *AR2+0% ; 1000
+ LD *AR5+0%, B || MAC *AR3, A ; 1001
+ LD *AR5+0%, B || MAC *AR3 ; 1002
+ LD *AR5+0%, B || MAC *AR3-, A ; 1003
+ LD *AR5+0%, B || MAC *AR3- ; 1004
+ LD *AR5+0%, B || MAC *AR3+, A ; 1005
+ LD *AR5+0%, B || MAC *AR3+ ; 1006
+ LD *AR5+0%, B || MAC *AR3+0%, A ; 1007
+ LD *AR5+0%, B || MAC *AR3+0% ; 1008
+ LD *AR5+0%, B || MAC *AR4, A ; 1009
+ LD *AR5+0%, B || MAC *AR4 ; 1010
+ LD *AR5+0%, B || MAC *AR4-, A ; 1011
+ LD *AR5+0%, B || MAC *AR4- ; 1012
+ LD *AR5+0%, B || MAC *AR4+, A ; 1013
+ LD *AR5+0%, B || MAC *AR4+ ; 1014
+ LD *AR5+0%, B || MAC *AR4+0%, A ; 1015
+ LD *AR5+0%, B || MAC *AR4+0% ; 1016
+ LD *AR5+0%, B || MAC *AR5, A ; 1017
+ LD *AR5+0%, B || MAC *AR5 ; 1018
+ LD *AR5+0%, B || MAC *AR5-, A ; 1019
+ LD *AR5+0%, B || MAC *AR5- ; 1020
+ LD *AR5+0%, B || MAC *AR5+, A ; 1021
+ LD *AR5+0%, B || MAC *AR5+ ; 1022
+ LD *AR5+0%, B || MAC *AR5+0%, A ; 1023
+ LD *AR5+0%, B || MAC *AR5+0% ; 1024
+ ; LDandMACR : LD Xmem, AB || MACR Ymem, BA : 1024
+ LD *AR2, A || MACR *AR2, B ; 1
+ LD *AR2, A || MACR *AR2 ; 2
+ LD *AR2, A || MACR *AR2-, B ; 3
+ LD *AR2, A || MACR *AR2- ; 4
+ LD *AR2, A || MACR *AR2+, B ; 5
+ LD *AR2, A || MACR *AR2+ ; 6
+ LD *AR2, A || MACR *AR2+0%, B ; 7
+ LD *AR2, A || MACR *AR2+0% ; 8
+ LD *AR2, A || MACR *AR3, B ; 9
+ LD *AR2, A || MACR *AR3 ; 10
+ LD *AR2, A || MACR *AR3-, B ; 11
+ LD *AR2, A || MACR *AR3- ; 12
+ LD *AR2, A || MACR *AR3+, B ; 13
+ LD *AR2, A || MACR *AR3+ ; 14
+ LD *AR2, A || MACR *AR3+0%, B ; 15
+ LD *AR2, A || MACR *AR3+0% ; 16
+ LD *AR2, A || MACR *AR4, B ; 17
+ LD *AR2, A || MACR *AR4 ; 18
+ LD *AR2, A || MACR *AR4-, B ; 19
+ LD *AR2, A || MACR *AR4- ; 20
+ LD *AR2, A || MACR *AR4+, B ; 21
+ LD *AR2, A || MACR *AR4+ ; 22
+ LD *AR2, A || MACR *AR4+0%, B ; 23
+ LD *AR2, A || MACR *AR4+0% ; 24
+ LD *AR2, A || MACR *AR5, B ; 25
+ LD *AR2, A || MACR *AR5 ; 26
+ LD *AR2, A || MACR *AR5-, B ; 27
+ LD *AR2, A || MACR *AR5- ; 28
+ LD *AR2, A || MACR *AR5+, B ; 29
+ LD *AR2, A || MACR *AR5+ ; 30
+ LD *AR2, A || MACR *AR5+0%, B ; 31
+ LD *AR2, A || MACR *AR5+0% ; 32
+ LD *AR2, B || MACR *AR2, A ; 33
+ LD *AR2, B || MACR *AR2 ; 34
+ LD *AR2, B || MACR *AR2-, A ; 35
+ LD *AR2, B || MACR *AR2- ; 36
+ LD *AR2, B || MACR *AR2+, A ; 37
+ LD *AR2, B || MACR *AR2+ ; 38
+ LD *AR2, B || MACR *AR2+0%, A ; 39
+ LD *AR2, B || MACR *AR2+0% ; 40
+ LD *AR2, B || MACR *AR3, A ; 41
+ LD *AR2, B || MACR *AR3 ; 42
+ LD *AR2, B || MACR *AR3-, A ; 43
+ LD *AR2, B || MACR *AR3- ; 44
+ LD *AR2, B || MACR *AR3+, A ; 45
+ LD *AR2, B || MACR *AR3+ ; 46
+ LD *AR2, B || MACR *AR3+0%, A ; 47
+ LD *AR2, B || MACR *AR3+0% ; 48
+ LD *AR2, B || MACR *AR4, A ; 49
+ LD *AR2, B || MACR *AR4 ; 50
+ LD *AR2, B || MACR *AR4-, A ; 51
+ LD *AR2, B || MACR *AR4- ; 52
+ LD *AR2, B || MACR *AR4+, A ; 53
+ LD *AR2, B || MACR *AR4+ ; 54
+ LD *AR2, B || MACR *AR4+0%, A ; 55
+ LD *AR2, B || MACR *AR4+0% ; 56
+ LD *AR2, B || MACR *AR5, A ; 57
+ LD *AR2, B || MACR *AR5 ; 58
+ LD *AR2, B || MACR *AR5-, A ; 59
+ LD *AR2, B || MACR *AR5- ; 60
+ LD *AR2, B || MACR *AR5+, A ; 61
+ LD *AR2, B || MACR *AR5+ ; 62
+ LD *AR2, B || MACR *AR5+0%, A ; 63
+ LD *AR2, B || MACR *AR5+0% ; 64
+ LD *AR2-, A || MACR *AR2, B ; 65
+ LD *AR2-, A || MACR *AR2 ; 66
+ LD *AR2-, A || MACR *AR2-, B ; 67
+ LD *AR2-, A || MACR *AR2- ; 68
+ LD *AR2-, A || MACR *AR2+, B ; 69
+ LD *AR2-, A || MACR *AR2+ ; 70
+ LD *AR2-, A || MACR *AR2+0%, B ; 71
+ LD *AR2-, A || MACR *AR2+0% ; 72
+ LD *AR2-, A || MACR *AR3, B ; 73
+ LD *AR2-, A || MACR *AR3 ; 74
+ LD *AR2-, A || MACR *AR3-, B ; 75
+ LD *AR2-, A || MACR *AR3- ; 76
+ LD *AR2-, A || MACR *AR3+, B ; 77
+ LD *AR2-, A || MACR *AR3+ ; 78
+ LD *AR2-, A || MACR *AR3+0%, B ; 79
+ LD *AR2-, A || MACR *AR3+0% ; 80
+ LD *AR2-, A || MACR *AR4, B ; 81
+ LD *AR2-, A || MACR *AR4 ; 82
+ LD *AR2-, A || MACR *AR4-, B ; 83
+ LD *AR2-, A || MACR *AR4- ; 84
+ LD *AR2-, A || MACR *AR4+, B ; 85
+ LD *AR2-, A || MACR *AR4+ ; 86
+ LD *AR2-, A || MACR *AR4+0%, B ; 87
+ LD *AR2-, A || MACR *AR4+0% ; 88
+ LD *AR2-, A || MACR *AR5, B ; 89
+ LD *AR2-, A || MACR *AR5 ; 90
+ LD *AR2-, A || MACR *AR5-, B ; 91
+ LD *AR2-, A || MACR *AR5- ; 92
+ LD *AR2-, A || MACR *AR5+, B ; 93
+ LD *AR2-, A || MACR *AR5+ ; 94
+ LD *AR2-, A || MACR *AR5+0%, B ; 95
+ LD *AR2-, A || MACR *AR5+0% ; 96
+ LD *AR2-, B || MACR *AR2, A ; 97
+ LD *AR2-, B || MACR *AR2 ; 98
+ LD *AR2-, B || MACR *AR2-, A ; 99
+ LD *AR2-, B || MACR *AR2- ; 100
+ LD *AR2-, B || MACR *AR2+, A ; 101
+ LD *AR2-, B || MACR *AR2+ ; 102
+ LD *AR2-, B || MACR *AR2+0%, A ; 103
+ LD *AR2-, B || MACR *AR2+0% ; 104
+ LD *AR2-, B || MACR *AR3, A ; 105
+ LD *AR2-, B || MACR *AR3 ; 106
+ LD *AR2-, B || MACR *AR3-, A ; 107
+ LD *AR2-, B || MACR *AR3- ; 108
+ LD *AR2-, B || MACR *AR3+, A ; 109
+ LD *AR2-, B || MACR *AR3+ ; 110
+ LD *AR2-, B || MACR *AR3+0%, A ; 111
+ LD *AR2-, B || MACR *AR3+0% ; 112
+ LD *AR2-, B || MACR *AR4, A ; 113
+ LD *AR2-, B || MACR *AR4 ; 114
+ LD *AR2-, B || MACR *AR4-, A ; 115
+ LD *AR2-, B || MACR *AR4- ; 116
+ LD *AR2-, B || MACR *AR4+, A ; 117
+ LD *AR2-, B || MACR *AR4+ ; 118
+ LD *AR2-, B || MACR *AR4+0%, A ; 119
+ LD *AR2-, B || MACR *AR4+0% ; 120
+ LD *AR2-, B || MACR *AR5, A ; 121
+ LD *AR2-, B || MACR *AR5 ; 122
+ LD *AR2-, B || MACR *AR5-, A ; 123
+ LD *AR2-, B || MACR *AR5- ; 124
+ LD *AR2-, B || MACR *AR5+, A ; 125
+ LD *AR2-, B || MACR *AR5+ ; 126
+ LD *AR2-, B || MACR *AR5+0%, A ; 127
+ LD *AR2-, B || MACR *AR5+0% ; 128
+ LD *AR2+, A || MACR *AR2, B ; 129
+ LD *AR2+, A || MACR *AR2 ; 130
+ LD *AR2+, A || MACR *AR2-, B ; 131
+ LD *AR2+, A || MACR *AR2- ; 132
+ LD *AR2+, A || MACR *AR2+, B ; 133
+ LD *AR2+, A || MACR *AR2+ ; 134
+ LD *AR2+, A || MACR *AR2+0%, B ; 135
+ LD *AR2+, A || MACR *AR2+0% ; 136
+ LD *AR2+, A || MACR *AR3, B ; 137
+ LD *AR2+, A || MACR *AR3 ; 138
+ LD *AR2+, A || MACR *AR3-, B ; 139
+ LD *AR2+, A || MACR *AR3- ; 140
+ LD *AR2+, A || MACR *AR3+, B ; 141
+ LD *AR2+, A || MACR *AR3+ ; 142
+ LD *AR2+, A || MACR *AR3+0%, B ; 143
+ LD *AR2+, A || MACR *AR3+0% ; 144
+ LD *AR2+, A || MACR *AR4, B ; 145
+ LD *AR2+, A || MACR *AR4 ; 146
+ LD *AR2+, A || MACR *AR4-, B ; 147
+ LD *AR2+, A || MACR *AR4- ; 148
+ LD *AR2+, A || MACR *AR4+, B ; 149
+ LD *AR2+, A || MACR *AR4+ ; 150
+ LD *AR2+, A || MACR *AR4+0%, B ; 151
+ LD *AR2+, A || MACR *AR4+0% ; 152
+ LD *AR2+, A || MACR *AR5, B ; 153
+ LD *AR2+, A || MACR *AR5 ; 154
+ LD *AR2+, A || MACR *AR5-, B ; 155
+ LD *AR2+, A || MACR *AR5- ; 156
+ LD *AR2+, A || MACR *AR5+, B ; 157
+ LD *AR2+, A || MACR *AR5+ ; 158
+ LD *AR2+, A || MACR *AR5+0%, B ; 159
+ LD *AR2+, A || MACR *AR5+0% ; 160
+ LD *AR2+, B || MACR *AR2, A ; 161
+ LD *AR2+, B || MACR *AR2 ; 162
+ LD *AR2+, B || MACR *AR2-, A ; 163
+ LD *AR2+, B || MACR *AR2- ; 164
+ LD *AR2+, B || MACR *AR2+, A ; 165
+ LD *AR2+, B || MACR *AR2+ ; 166
+ LD *AR2+, B || MACR *AR2+0%, A ; 167
+ LD *AR2+, B || MACR *AR2+0% ; 168
+ LD *AR2+, B || MACR *AR3, A ; 169
+ LD *AR2+, B || MACR *AR3 ; 170
+ LD *AR2+, B || MACR *AR3-, A ; 171
+ LD *AR2+, B || MACR *AR3- ; 172
+ LD *AR2+, B || MACR *AR3+, A ; 173
+ LD *AR2+, B || MACR *AR3+ ; 174
+ LD *AR2+, B || MACR *AR3+0%, A ; 175
+ LD *AR2+, B || MACR *AR3+0% ; 176
+ LD *AR2+, B || MACR *AR4, A ; 177
+ LD *AR2+, B || MACR *AR4 ; 178
+ LD *AR2+, B || MACR *AR4-, A ; 179
+ LD *AR2+, B || MACR *AR4- ; 180
+ LD *AR2+, B || MACR *AR4+, A ; 181
+ LD *AR2+, B || MACR *AR4+ ; 182
+ LD *AR2+, B || MACR *AR4+0%, A ; 183
+ LD *AR2+, B || MACR *AR4+0% ; 184
+ LD *AR2+, B || MACR *AR5, A ; 185
+ LD *AR2+, B || MACR *AR5 ; 186
+ LD *AR2+, B || MACR *AR5-, A ; 187
+ LD *AR2+, B || MACR *AR5- ; 188
+ LD *AR2+, B || MACR *AR5+, A ; 189
+ LD *AR2+, B || MACR *AR5+ ; 190
+ LD *AR2+, B || MACR *AR5+0%, A ; 191
+ LD *AR2+, B || MACR *AR5+0% ; 192
+ LD *AR2+0%, A || MACR *AR2, B ; 193
+ LD *AR2+0%, A || MACR *AR2 ; 194
+ LD *AR2+0%, A || MACR *AR2-, B ; 195
+ LD *AR2+0%, A || MACR *AR2- ; 196
+ LD *AR2+0%, A || MACR *AR2+, B ; 197
+ LD *AR2+0%, A || MACR *AR2+ ; 198
+ LD *AR2+0%, A || MACR *AR2+0%, B ; 199
+ LD *AR2+0%, A || MACR *AR2+0% ; 200
+ LD *AR2+0%, A || MACR *AR3, B ; 201
+ LD *AR2+0%, A || MACR *AR3 ; 202
+ LD *AR2+0%, A || MACR *AR3-, B ; 203
+ LD *AR2+0%, A || MACR *AR3- ; 204
+ LD *AR2+0%, A || MACR *AR3+, B ; 205
+ LD *AR2+0%, A || MACR *AR3+ ; 206
+ LD *AR2+0%, A || MACR *AR3+0%, B ; 207
+ LD *AR2+0%, A || MACR *AR3+0% ; 208
+ LD *AR2+0%, A || MACR *AR4, B ; 209
+ LD *AR2+0%, A || MACR *AR4 ; 210
+ LD *AR2+0%, A || MACR *AR4-, B ; 211
+ LD *AR2+0%, A || MACR *AR4- ; 212
+ LD *AR2+0%, A || MACR *AR4+, B ; 213
+ LD *AR2+0%, A || MACR *AR4+ ; 214
+ LD *AR2+0%, A || MACR *AR4+0%, B ; 215
+ LD *AR2+0%, A || MACR *AR4+0% ; 216
+ LD *AR2+0%, A || MACR *AR5, B ; 217
+ LD *AR2+0%, A || MACR *AR5 ; 218
+ LD *AR2+0%, A || MACR *AR5-, B ; 219
+ LD *AR2+0%, A || MACR *AR5- ; 220
+ LD *AR2+0%, A || MACR *AR5+, B ; 221
+ LD *AR2+0%, A || MACR *AR5+ ; 222
+ LD *AR2+0%, A || MACR *AR5+0%, B ; 223
+ LD *AR2+0%, A || MACR *AR5+0% ; 224
+ LD *AR2+0%, B || MACR *AR2, A ; 225
+ LD *AR2+0%, B || MACR *AR2 ; 226
+ LD *AR2+0%, B || MACR *AR2-, A ; 227
+ LD *AR2+0%, B || MACR *AR2- ; 228
+ LD *AR2+0%, B || MACR *AR2+, A ; 229
+ LD *AR2+0%, B || MACR *AR2+ ; 230
+ LD *AR2+0%, B || MACR *AR2+0%, A ; 231
+ LD *AR2+0%, B || MACR *AR2+0% ; 232
+ LD *AR2+0%, B || MACR *AR3, A ; 233
+ LD *AR2+0%, B || MACR *AR3 ; 234
+ LD *AR2+0%, B || MACR *AR3-, A ; 235
+ LD *AR2+0%, B || MACR *AR3- ; 236
+ LD *AR2+0%, B || MACR *AR3+, A ; 237
+ LD *AR2+0%, B || MACR *AR3+ ; 238
+ LD *AR2+0%, B || MACR *AR3+0%, A ; 239
+ LD *AR2+0%, B || MACR *AR3+0% ; 240
+ LD *AR2+0%, B || MACR *AR4, A ; 241
+ LD *AR2+0%, B || MACR *AR4 ; 242
+ LD *AR2+0%, B || MACR *AR4-, A ; 243
+ LD *AR2+0%, B || MACR *AR4- ; 244
+ LD *AR2+0%, B || MACR *AR4+, A ; 245
+ LD *AR2+0%, B || MACR *AR4+ ; 246
+ LD *AR2+0%, B || MACR *AR4+0%, A ; 247
+ LD *AR2+0%, B || MACR *AR4+0% ; 248
+ LD *AR2+0%, B || MACR *AR5, A ; 249
+ LD *AR2+0%, B || MACR *AR5 ; 250
+ LD *AR2+0%, B || MACR *AR5-, A ; 251
+ LD *AR2+0%, B || MACR *AR5- ; 252
+ LD *AR2+0%, B || MACR *AR5+, A ; 253
+ LD *AR2+0%, B || MACR *AR5+ ; 254
+ LD *AR2+0%, B || MACR *AR5+0%, A ; 255
+ LD *AR2+0%, B || MACR *AR5+0% ; 256
+ LD *AR3, A || MACR *AR2, B ; 257
+ LD *AR3, A || MACR *AR2 ; 258
+ LD *AR3, A || MACR *AR2-, B ; 259
+ LD *AR3, A || MACR *AR2- ; 260
+ LD *AR3, A || MACR *AR2+, B ; 261
+ LD *AR3, A || MACR *AR2+ ; 262
+ LD *AR3, A || MACR *AR2+0%, B ; 263
+ LD *AR3, A || MACR *AR2+0% ; 264
+ LD *AR3, A || MACR *AR3, B ; 265
+ LD *AR3, A || MACR *AR3 ; 266
+ LD *AR3, A || MACR *AR3-, B ; 267
+ LD *AR3, A || MACR *AR3- ; 268
+ LD *AR3, A || MACR *AR3+, B ; 269
+ LD *AR3, A || MACR *AR3+ ; 270
+ LD *AR3, A || MACR *AR3+0%, B ; 271
+ LD *AR3, A || MACR *AR3+0% ; 272
+ LD *AR3, A || MACR *AR4, B ; 273
+ LD *AR3, A || MACR *AR4 ; 274
+ LD *AR3, A || MACR *AR4-, B ; 275
+ LD *AR3, A || MACR *AR4- ; 276
+ LD *AR3, A || MACR *AR4+, B ; 277
+ LD *AR3, A || MACR *AR4+ ; 278
+ LD *AR3, A || MACR *AR4+0%, B ; 279
+ LD *AR3, A || MACR *AR4+0% ; 280
+ LD *AR3, A || MACR *AR5, B ; 281
+ LD *AR3, A || MACR *AR5 ; 282
+ LD *AR3, A || MACR *AR5-, B ; 283
+ LD *AR3, A || MACR *AR5- ; 284
+ LD *AR3, A || MACR *AR5+, B ; 285
+ LD *AR3, A || MACR *AR5+ ; 286
+ LD *AR3, A || MACR *AR5+0%, B ; 287
+ LD *AR3, A || MACR *AR5+0% ; 288
+ LD *AR3, B || MACR *AR2, A ; 289
+ LD *AR3, B || MACR *AR2 ; 290
+ LD *AR3, B || MACR *AR2-, A ; 291
+ LD *AR3, B || MACR *AR2- ; 292
+ LD *AR3, B || MACR *AR2+, A ; 293
+ LD *AR3, B || MACR *AR2+ ; 294
+ LD *AR3, B || MACR *AR2+0%, A ; 295
+ LD *AR3, B || MACR *AR2+0% ; 296
+ LD *AR3, B || MACR *AR3, A ; 297
+ LD *AR3, B || MACR *AR3 ; 298
+ LD *AR3, B || MACR *AR3-, A ; 299
+ LD *AR3, B || MACR *AR3- ; 300
+ LD *AR3, B || MACR *AR3+, A ; 301
+ LD *AR3, B || MACR *AR3+ ; 302
+ LD *AR3, B || MACR *AR3+0%, A ; 303
+ LD *AR3, B || MACR *AR3+0% ; 304
+ LD *AR3, B || MACR *AR4, A ; 305
+ LD *AR3, B || MACR *AR4 ; 306
+ LD *AR3, B || MACR *AR4-, A ; 307
+ LD *AR3, B || MACR *AR4- ; 308
+ LD *AR3, B || MACR *AR4+, A ; 309
+ LD *AR3, B || MACR *AR4+ ; 310
+ LD *AR3, B || MACR *AR4+0%, A ; 311
+ LD *AR3, B || MACR *AR4+0% ; 312
+ LD *AR3, B || MACR *AR5, A ; 313
+ LD *AR3, B || MACR *AR5 ; 314
+ LD *AR3, B || MACR *AR5-, A ; 315
+ LD *AR3, B || MACR *AR5- ; 316
+ LD *AR3, B || MACR *AR5+, A ; 317
+ LD *AR3, B || MACR *AR5+ ; 318
+ LD *AR3, B || MACR *AR5+0%, A ; 319
+ LD *AR3, B || MACR *AR5+0% ; 320
+ LD *AR3-, A || MACR *AR2, B ; 321
+ LD *AR3-, A || MACR *AR2 ; 322
+ LD *AR3-, A || MACR *AR2-, B ; 323
+ LD *AR3-, A || MACR *AR2- ; 324
+ LD *AR3-, A || MACR *AR2+, B ; 325
+ LD *AR3-, A || MACR *AR2+ ; 326
+ LD *AR3-, A || MACR *AR2+0%, B ; 327
+ LD *AR3-, A || MACR *AR2+0% ; 328
+ LD *AR3-, A || MACR *AR3, B ; 329
+ LD *AR3-, A || MACR *AR3 ; 330
+ LD *AR3-, A || MACR *AR3-, B ; 331
+ LD *AR3-, A || MACR *AR3- ; 332
+ LD *AR3-, A || MACR *AR3+, B ; 333
+ LD *AR3-, A || MACR *AR3+ ; 334
+ LD *AR3-, A || MACR *AR3+0%, B ; 335
+ LD *AR3-, A || MACR *AR3+0% ; 336
+ LD *AR3-, A || MACR *AR4, B ; 337
+ LD *AR3-, A || MACR *AR4 ; 338
+ LD *AR3-, A || MACR *AR4-, B ; 339
+ LD *AR3-, A || MACR *AR4- ; 340
+ LD *AR3-, A || MACR *AR4+, B ; 341
+ LD *AR3-, A || MACR *AR4+ ; 342
+ LD *AR3-, A || MACR *AR4+0%, B ; 343
+ LD *AR3-, A || MACR *AR4+0% ; 344
+ LD *AR3-, A || MACR *AR5, B ; 345
+ LD *AR3-, A || MACR *AR5 ; 346
+ LD *AR3-, A || MACR *AR5-, B ; 347
+ LD *AR3-, A || MACR *AR5- ; 348
+ LD *AR3-, A || MACR *AR5+, B ; 349
+ LD *AR3-, A || MACR *AR5+ ; 350
+ LD *AR3-, A || MACR *AR5+0%, B ; 351
+ LD *AR3-, A || MACR *AR5+0% ; 352
+ LD *AR3-, B || MACR *AR2, A ; 353
+ LD *AR3-, B || MACR *AR2 ; 354
+ LD *AR3-, B || MACR *AR2-, A ; 355
+ LD *AR3-, B || MACR *AR2- ; 356
+ LD *AR3-, B || MACR *AR2+, A ; 357
+ LD *AR3-, B || MACR *AR2+ ; 358
+ LD *AR3-, B || MACR *AR2+0%, A ; 359
+ LD *AR3-, B || MACR *AR2+0% ; 360
+ LD *AR3-, B || MACR *AR3, A ; 361
+ LD *AR3-, B || MACR *AR3 ; 362
+ LD *AR3-, B || MACR *AR3-, A ; 363
+ LD *AR3-, B || MACR *AR3- ; 364
+ LD *AR3-, B || MACR *AR3+, A ; 365
+ LD *AR3-, B || MACR *AR3+ ; 366
+ LD *AR3-, B || MACR *AR3+0%, A ; 367
+ LD *AR3-, B || MACR *AR3+0% ; 368
+ LD *AR3-, B || MACR *AR4, A ; 369
+ LD *AR3-, B || MACR *AR4 ; 370
+ LD *AR3-, B || MACR *AR4-, A ; 371
+ LD *AR3-, B || MACR *AR4- ; 372
+ LD *AR3-, B || MACR *AR4+, A ; 373
+ LD *AR3-, B || MACR *AR4+ ; 374
+ LD *AR3-, B || MACR *AR4+0%, A ; 375
+ LD *AR3-, B || MACR *AR4+0% ; 376
+ LD *AR3-, B || MACR *AR5, A ; 377
+ LD *AR3-, B || MACR *AR5 ; 378
+ LD *AR3-, B || MACR *AR5-, A ; 379
+ LD *AR3-, B || MACR *AR5- ; 380
+ LD *AR3-, B || MACR *AR5+, A ; 381
+ LD *AR3-, B || MACR *AR5+ ; 382
+ LD *AR3-, B || MACR *AR5+0%, A ; 383
+ LD *AR3-, B || MACR *AR5+0% ; 384
+ LD *AR3+, A || MACR *AR2, B ; 385
+ LD *AR3+, A || MACR *AR2 ; 386
+ LD *AR3+, A || MACR *AR2-, B ; 387
+ LD *AR3+, A || MACR *AR2- ; 388
+ LD *AR3+, A || MACR *AR2+, B ; 389
+ LD *AR3+, A || MACR *AR2+ ; 390
+ LD *AR3+, A || MACR *AR2+0%, B ; 391
+ LD *AR3+, A || MACR *AR2+0% ; 392
+ LD *AR3+, A || MACR *AR3, B ; 393
+ LD *AR3+, A || MACR *AR3 ; 394
+ LD *AR3+, A || MACR *AR3-, B ; 395
+ LD *AR3+, A || MACR *AR3- ; 396
+ LD *AR3+, A || MACR *AR3+, B ; 397
+ LD *AR3+, A || MACR *AR3+ ; 398
+ LD *AR3+, A || MACR *AR3+0%, B ; 399
+ LD *AR3+, A || MACR *AR3+0% ; 400
+ LD *AR3+, A || MACR *AR4, B ; 401
+ LD *AR3+, A || MACR *AR4 ; 402
+ LD *AR3+, A || MACR *AR4-, B ; 403
+ LD *AR3+, A || MACR *AR4- ; 404
+ LD *AR3+, A || MACR *AR4+, B ; 405
+ LD *AR3+, A || MACR *AR4+ ; 406
+ LD *AR3+, A || MACR *AR4+0%, B ; 407
+ LD *AR3+, A || MACR *AR4+0% ; 408
+ LD *AR3+, A || MACR *AR5, B ; 409
+ LD *AR3+, A || MACR *AR5 ; 410
+ LD *AR3+, A || MACR *AR5-, B ; 411
+ LD *AR3+, A || MACR *AR5- ; 412
+ LD *AR3+, A || MACR *AR5+, B ; 413
+ LD *AR3+, A || MACR *AR5+ ; 414
+ LD *AR3+, A || MACR *AR5+0%, B ; 415
+ LD *AR3+, A || MACR *AR5+0% ; 416
+ LD *AR3+, B || MACR *AR2, A ; 417
+ LD *AR3+, B || MACR *AR2 ; 418
+ LD *AR3+, B || MACR *AR2-, A ; 419
+ LD *AR3+, B || MACR *AR2- ; 420
+ LD *AR3+, B || MACR *AR2+, A ; 421
+ LD *AR3+, B || MACR *AR2+ ; 422
+ LD *AR3+, B || MACR *AR2+0%, A ; 423
+ LD *AR3+, B || MACR *AR2+0% ; 424
+ LD *AR3+, B || MACR *AR3, A ; 425
+ LD *AR3+, B || MACR *AR3 ; 426
+ LD *AR3+, B || MACR *AR3-, A ; 427
+ LD *AR3+, B || MACR *AR3- ; 428
+ LD *AR3+, B || MACR *AR3+, A ; 429
+ LD *AR3+, B || MACR *AR3+ ; 430
+ LD *AR3+, B || MACR *AR3+0%, A ; 431
+ LD *AR3+, B || MACR *AR3+0% ; 432
+ LD *AR3+, B || MACR *AR4, A ; 433
+ LD *AR3+, B || MACR *AR4 ; 434
+ LD *AR3+, B || MACR *AR4-, A ; 435
+ LD *AR3+, B || MACR *AR4- ; 436
+ LD *AR3+, B || MACR *AR4+, A ; 437
+ LD *AR3+, B || MACR *AR4+ ; 438
+ LD *AR3+, B || MACR *AR4+0%, A ; 439
+ LD *AR3+, B || MACR *AR4+0% ; 440
+ LD *AR3+, B || MACR *AR5, A ; 441
+ LD *AR3+, B || MACR *AR5 ; 442
+ LD *AR3+, B || MACR *AR5-, A ; 443
+ LD *AR3+, B || MACR *AR5- ; 444
+ LD *AR3+, B || MACR *AR5+, A ; 445
+ LD *AR3+, B || MACR *AR5+ ; 446
+ LD *AR3+, B || MACR *AR5+0%, A ; 447
+ LD *AR3+, B || MACR *AR5+0% ; 448
+ LD *AR3+0%, A || MACR *AR2, B ; 449
+ LD *AR3+0%, A || MACR *AR2 ; 450
+ LD *AR3+0%, A || MACR *AR2-, B ; 451
+ LD *AR3+0%, A || MACR *AR2- ; 452
+ LD *AR3+0%, A || MACR *AR2+, B ; 453
+ LD *AR3+0%, A || MACR *AR2+ ; 454
+ LD *AR3+0%, A || MACR *AR2+0%, B ; 455
+ LD *AR3+0%, A || MACR *AR2+0% ; 456
+ LD *AR3+0%, A || MACR *AR3, B ; 457
+ LD *AR3+0%, A || MACR *AR3 ; 458
+ LD *AR3+0%, A || MACR *AR3-, B ; 459
+ LD *AR3+0%, A || MACR *AR3- ; 460
+ LD *AR3+0%, A || MACR *AR3+, B ; 461
+ LD *AR3+0%, A || MACR *AR3+ ; 462
+ LD *AR3+0%, A || MACR *AR3+0%, B ; 463
+ LD *AR3+0%, A || MACR *AR3+0% ; 464
+ LD *AR3+0%, A || MACR *AR4, B ; 465
+ LD *AR3+0%, A || MACR *AR4 ; 466
+ LD *AR3+0%, A || MACR *AR4-, B ; 467
+ LD *AR3+0%, A || MACR *AR4- ; 468
+ LD *AR3+0%, A || MACR *AR4+, B ; 469
+ LD *AR3+0%, A || MACR *AR4+ ; 470
+ LD *AR3+0%, A || MACR *AR4+0%, B ; 471
+ LD *AR3+0%, A || MACR *AR4+0% ; 472
+ LD *AR3+0%, A || MACR *AR5, B ; 473
+ LD *AR3+0%, A || MACR *AR5 ; 474
+ LD *AR3+0%, A || MACR *AR5-, B ; 475
+ LD *AR3+0%, A || MACR *AR5- ; 476
+ LD *AR3+0%, A || MACR *AR5+, B ; 477
+ LD *AR3+0%, A || MACR *AR5+ ; 478
+ LD *AR3+0%, A || MACR *AR5+0%, B ; 479
+ LD *AR3+0%, A || MACR *AR5+0% ; 480
+ LD *AR3+0%, B || MACR *AR2, A ; 481
+ LD *AR3+0%, B || MACR *AR2 ; 482
+ LD *AR3+0%, B || MACR *AR2-, A ; 483
+ LD *AR3+0%, B || MACR *AR2- ; 484
+ LD *AR3+0%, B || MACR *AR2+, A ; 485
+ LD *AR3+0%, B || MACR *AR2+ ; 486
+ LD *AR3+0%, B || MACR *AR2+0%, A ; 487
+ LD *AR3+0%, B || MACR *AR2+0% ; 488
+ LD *AR3+0%, B || MACR *AR3, A ; 489
+ LD *AR3+0%, B || MACR *AR3 ; 490
+ LD *AR3+0%, B || MACR *AR3-, A ; 491
+ LD *AR3+0%, B || MACR *AR3- ; 492
+ LD *AR3+0%, B || MACR *AR3+, A ; 493
+ LD *AR3+0%, B || MACR *AR3+ ; 494
+ LD *AR3+0%, B || MACR *AR3+0%, A ; 495
+ LD *AR3+0%, B || MACR *AR3+0% ; 496
+ LD *AR3+0%, B || MACR *AR4, A ; 497
+ LD *AR3+0%, B || MACR *AR4 ; 498
+ LD *AR3+0%, B || MACR *AR4-, A ; 499
+ LD *AR3+0%, B || MACR *AR4- ; 500
+ LD *AR3+0%, B || MACR *AR4+, A ; 501
+ LD *AR3+0%, B || MACR *AR4+ ; 502
+ LD *AR3+0%, B || MACR *AR4+0%, A ; 503
+ LD *AR3+0%, B || MACR *AR4+0% ; 504
+ LD *AR3+0%, B || MACR *AR5, A ; 505
+ LD *AR3+0%, B || MACR *AR5 ; 506
+ LD *AR3+0%, B || MACR *AR5-, A ; 507
+ LD *AR3+0%, B || MACR *AR5- ; 508
+ LD *AR3+0%, B || MACR *AR5+, A ; 509
+ LD *AR3+0%, B || MACR *AR5+ ; 510
+ LD *AR3+0%, B || MACR *AR5+0%, A ; 511
+ LD *AR3+0%, B || MACR *AR5+0% ; 512
+ LD *AR4, A || MACR *AR2, B ; 513
+ LD *AR4, A || MACR *AR2 ; 514
+ LD *AR4, A || MACR *AR2-, B ; 515
+ LD *AR4, A || MACR *AR2- ; 516
+ LD *AR4, A || MACR *AR2+, B ; 517
+ LD *AR4, A || MACR *AR2+ ; 518
+ LD *AR4, A || MACR *AR2+0%, B ; 519
+ LD *AR4, A || MACR *AR2+0% ; 520
+ LD *AR4, A || MACR *AR3, B ; 521
+ LD *AR4, A || MACR *AR3 ; 522
+ LD *AR4, A || MACR *AR3-, B ; 523
+ LD *AR4, A || MACR *AR3- ; 524
+ LD *AR4, A || MACR *AR3+, B ; 525
+ LD *AR4, A || MACR *AR3+ ; 526
+ LD *AR4, A || MACR *AR3+0%, B ; 527
+ LD *AR4, A || MACR *AR3+0% ; 528
+ LD *AR4, A || MACR *AR4, B ; 529
+ LD *AR4, A || MACR *AR4 ; 530
+ LD *AR4, A || MACR *AR4-, B ; 531
+ LD *AR4, A || MACR *AR4- ; 532
+ LD *AR4, A || MACR *AR4+, B ; 533
+ LD *AR4, A || MACR *AR4+ ; 534
+ LD *AR4, A || MACR *AR4+0%, B ; 535
+ LD *AR4, A || MACR *AR4+0% ; 536
+ LD *AR4, A || MACR *AR5, B ; 537
+ LD *AR4, A || MACR *AR5 ; 538
+ LD *AR4, A || MACR *AR5-, B ; 539
+ LD *AR4, A || MACR *AR5- ; 540
+ LD *AR4, A || MACR *AR5+, B ; 541
+ LD *AR4, A || MACR *AR5+ ; 542
+ LD *AR4, A || MACR *AR5+0%, B ; 543
+ LD *AR4, A || MACR *AR5+0% ; 544
+ LD *AR4, B || MACR *AR2, A ; 545
+ LD *AR4, B || MACR *AR2 ; 546
+ LD *AR4, B || MACR *AR2-, A ; 547
+ LD *AR4, B || MACR *AR2- ; 548
+ LD *AR4, B || MACR *AR2+, A ; 549
+ LD *AR4, B || MACR *AR2+ ; 550
+ LD *AR4, B || MACR *AR2+0%, A ; 551
+ LD *AR4, B || MACR *AR2+0% ; 552
+ LD *AR4, B || MACR *AR3, A ; 553
+ LD *AR4, B || MACR *AR3 ; 554
+ LD *AR4, B || MACR *AR3-, A ; 555
+ LD *AR4, B || MACR *AR3- ; 556
+ LD *AR4, B || MACR *AR3+, A ; 557
+ LD *AR4, B || MACR *AR3+ ; 558
+ LD *AR4, B || MACR *AR3+0%, A ; 559
+ LD *AR4, B || MACR *AR3+0% ; 560
+ LD *AR4, B || MACR *AR4, A ; 561
+ LD *AR4, B || MACR *AR4 ; 562
+ LD *AR4, B || MACR *AR4-, A ; 563
+ LD *AR4, B || MACR *AR4- ; 564
+ LD *AR4, B || MACR *AR4+, A ; 565
+ LD *AR4, B || MACR *AR4+ ; 566
+ LD *AR4, B || MACR *AR4+0%, A ; 567
+ LD *AR4, B || MACR *AR4+0% ; 568
+ LD *AR4, B || MACR *AR5, A ; 569
+ LD *AR4, B || MACR *AR5 ; 570
+ LD *AR4, B || MACR *AR5-, A ; 571
+ LD *AR4, B || MACR *AR5- ; 572
+ LD *AR4, B || MACR *AR5+, A ; 573
+ LD *AR4, B || MACR *AR5+ ; 574
+ LD *AR4, B || MACR *AR5+0%, A ; 575
+ LD *AR4, B || MACR *AR5+0% ; 576
+ LD *AR4-, A || MACR *AR2, B ; 577
+ LD *AR4-, A || MACR *AR2 ; 578
+ LD *AR4-, A || MACR *AR2-, B ; 579
+ LD *AR4-, A || MACR *AR2- ; 580
+ LD *AR4-, A || MACR *AR2+, B ; 581
+ LD *AR4-, A || MACR *AR2+ ; 582
+ LD *AR4-, A || MACR *AR2+0%, B ; 583
+ LD *AR4-, A || MACR *AR2+0% ; 584
+ LD *AR4-, A || MACR *AR3, B ; 585
+ LD *AR4-, A || MACR *AR3 ; 586
+ LD *AR4-, A || MACR *AR3-, B ; 587
+ LD *AR4-, A || MACR *AR3- ; 588
+ LD *AR4-, A || MACR *AR3+, B ; 589
+ LD *AR4-, A || MACR *AR3+ ; 590
+ LD *AR4-, A || MACR *AR3+0%, B ; 591
+ LD *AR4-, A || MACR *AR3+0% ; 592
+ LD *AR4-, A || MACR *AR4, B ; 593
+ LD *AR4-, A || MACR *AR4 ; 594
+ LD *AR4-, A || MACR *AR4-, B ; 595
+ LD *AR4-, A || MACR *AR4- ; 596
+ LD *AR4-, A || MACR *AR4+, B ; 597
+ LD *AR4-, A || MACR *AR4+ ; 598
+ LD *AR4-, A || MACR *AR4+0%, B ; 599
+ LD *AR4-, A || MACR *AR4+0% ; 600
+ LD *AR4-, A || MACR *AR5, B ; 601
+ LD *AR4-, A || MACR *AR5 ; 602
+ LD *AR4-, A || MACR *AR5-, B ; 603
+ LD *AR4-, A || MACR *AR5- ; 604
+ LD *AR4-, A || MACR *AR5+, B ; 605
+ LD *AR4-, A || MACR *AR5+ ; 606
+ LD *AR4-, A || MACR *AR5+0%, B ; 607
+ LD *AR4-, A || MACR *AR5+0% ; 608
+ LD *AR4-, B || MACR *AR2, A ; 609
+ LD *AR4-, B || MACR *AR2 ; 610
+ LD *AR4-, B || MACR *AR2-, A ; 611
+ LD *AR4-, B || MACR *AR2- ; 612
+ LD *AR4-, B || MACR *AR2+, A ; 613
+ LD *AR4-, B || MACR *AR2+ ; 614
+ LD *AR4-, B || MACR *AR2+0%, A ; 615
+ LD *AR4-, B || MACR *AR2+0% ; 616
+ LD *AR4-, B || MACR *AR3, A ; 617
+ LD *AR4-, B || MACR *AR3 ; 618
+ LD *AR4-, B || MACR *AR3-, A ; 619
+ LD *AR4-, B || MACR *AR3- ; 620
+ LD *AR4-, B || MACR *AR3+, A ; 621
+ LD *AR4-, B || MACR *AR3+ ; 622
+ LD *AR4-, B || MACR *AR3+0%, A ; 623
+ LD *AR4-, B || MACR *AR3+0% ; 624
+ LD *AR4-, B || MACR *AR4, A ; 625
+ LD *AR4-, B || MACR *AR4 ; 626
+ LD *AR4-, B || MACR *AR4-, A ; 627
+ LD *AR4-, B || MACR *AR4- ; 628
+ LD *AR4-, B || MACR *AR4+, A ; 629
+ LD *AR4-, B || MACR *AR4+ ; 630
+ LD *AR4-, B || MACR *AR4+0%, A ; 631
+ LD *AR4-, B || MACR *AR4+0% ; 632
+ LD *AR4-, B || MACR *AR5, A ; 633
+ LD *AR4-, B || MACR *AR5 ; 634
+ LD *AR4-, B || MACR *AR5-, A ; 635
+ LD *AR4-, B || MACR *AR5- ; 636
+ LD *AR4-, B || MACR *AR5+, A ; 637
+ LD *AR4-, B || MACR *AR5+ ; 638
+ LD *AR4-, B || MACR *AR5+0%, A ; 639
+ LD *AR4-, B || MACR *AR5+0% ; 640
+ LD *AR4+, A || MACR *AR2, B ; 641
+ LD *AR4+, A || MACR *AR2 ; 642
+ LD *AR4+, A || MACR *AR2-, B ; 643
+ LD *AR4+, A || MACR *AR2- ; 644
+ LD *AR4+, A || MACR *AR2+, B ; 645
+ LD *AR4+, A || MACR *AR2+ ; 646
+ LD *AR4+, A || MACR *AR2+0%, B ; 647
+ LD *AR4+, A || MACR *AR2+0% ; 648
+ LD *AR4+, A || MACR *AR3, B ; 649
+ LD *AR4+, A || MACR *AR3 ; 650
+ LD *AR4+, A || MACR *AR3-, B ; 651
+ LD *AR4+, A || MACR *AR3- ; 652
+ LD *AR4+, A || MACR *AR3+, B ; 653
+ LD *AR4+, A || MACR *AR3+ ; 654
+ LD *AR4+, A || MACR *AR3+0%, B ; 655
+ LD *AR4+, A || MACR *AR3+0% ; 656
+ LD *AR4+, A || MACR *AR4, B ; 657
+ LD *AR4+, A || MACR *AR4 ; 658
+ LD *AR4+, A || MACR *AR4-, B ; 659
+ LD *AR4+, A || MACR *AR4- ; 660
+ LD *AR4+, A || MACR *AR4+, B ; 661
+ LD *AR4+, A || MACR *AR4+ ; 662
+ LD *AR4+, A || MACR *AR4+0%, B ; 663
+ LD *AR4+, A || MACR *AR4+0% ; 664
+ LD *AR4+, A || MACR *AR5, B ; 665
+ LD *AR4+, A || MACR *AR5 ; 666
+ LD *AR4+, A || MACR *AR5-, B ; 667
+ LD *AR4+, A || MACR *AR5- ; 668
+ LD *AR4+, A || MACR *AR5+, B ; 669
+ LD *AR4+, A || MACR *AR5+ ; 670
+ LD *AR4+, A || MACR *AR5+0%, B ; 671
+ LD *AR4+, A || MACR *AR5+0% ; 672
+ LD *AR4+, B || MACR *AR2, A ; 673
+ LD *AR4+, B || MACR *AR2 ; 674
+ LD *AR4+, B || MACR *AR2-, A ; 675
+ LD *AR4+, B || MACR *AR2- ; 676
+ LD *AR4+, B || MACR *AR2+, A ; 677
+ LD *AR4+, B || MACR *AR2+ ; 678
+ LD *AR4+, B || MACR *AR2+0%, A ; 679
+ LD *AR4+, B || MACR *AR2+0% ; 680
+ LD *AR4+, B || MACR *AR3, A ; 681
+ LD *AR4+, B || MACR *AR3 ; 682
+ LD *AR4+, B || MACR *AR3-, A ; 683
+ LD *AR4+, B || MACR *AR3- ; 684
+ LD *AR4+, B || MACR *AR3+, A ; 685
+ LD *AR4+, B || MACR *AR3+ ; 686
+ LD *AR4+, B || MACR *AR3+0%, A ; 687
+ LD *AR4+, B || MACR *AR3+0% ; 688
+ LD *AR4+, B || MACR *AR4, A ; 689
+ LD *AR4+, B || MACR *AR4 ; 690
+ LD *AR4+, B || MACR *AR4-, A ; 691
+ LD *AR4+, B || MACR *AR4- ; 692
+ LD *AR4+, B || MACR *AR4+, A ; 693
+ LD *AR4+, B || MACR *AR4+ ; 694
+ LD *AR4+, B || MACR *AR4+0%, A ; 695
+ LD *AR4+, B || MACR *AR4+0% ; 696
+ LD *AR4+, B || MACR *AR5, A ; 697
+ LD *AR4+, B || MACR *AR5 ; 698
+ LD *AR4+, B || MACR *AR5-, A ; 699
+ LD *AR4+, B || MACR *AR5- ; 700
+ LD *AR4+, B || MACR *AR5+, A ; 701
+ LD *AR4+, B || MACR *AR5+ ; 702
+ LD *AR4+, B || MACR *AR5+0%, A ; 703
+ LD *AR4+, B || MACR *AR5+0% ; 704
+ LD *AR4+0%, A || MACR *AR2, B ; 705
+ LD *AR4+0%, A || MACR *AR2 ; 706
+ LD *AR4+0%, A || MACR *AR2-, B ; 707
+ LD *AR4+0%, A || MACR *AR2- ; 708
+ LD *AR4+0%, A || MACR *AR2+, B ; 709
+ LD *AR4+0%, A || MACR *AR2+ ; 710
+ LD *AR4+0%, A || MACR *AR2+0%, B ; 711
+ LD *AR4+0%, A || MACR *AR2+0% ; 712
+ LD *AR4+0%, A || MACR *AR3, B ; 713
+ LD *AR4+0%, A || MACR *AR3 ; 714
+ LD *AR4+0%, A || MACR *AR3-, B ; 715
+ LD *AR4+0%, A || MACR *AR3- ; 716
+ LD *AR4+0%, A || MACR *AR3+, B ; 717
+ LD *AR4+0%, A || MACR *AR3+ ; 718
+ LD *AR4+0%, A || MACR *AR3+0%, B ; 719
+ LD *AR4+0%, A || MACR *AR3+0% ; 720
+ LD *AR4+0%, A || MACR *AR4, B ; 721
+ LD *AR4+0%, A || MACR *AR4 ; 722
+ LD *AR4+0%, A || MACR *AR4-, B ; 723
+ LD *AR4+0%, A || MACR *AR4- ; 724
+ LD *AR4+0%, A || MACR *AR4+, B ; 725
+ LD *AR4+0%, A || MACR *AR4+ ; 726
+ LD *AR4+0%, A || MACR *AR4+0%, B ; 727
+ LD *AR4+0%, A || MACR *AR4+0% ; 728
+ LD *AR4+0%, A || MACR *AR5, B ; 729
+ LD *AR4+0%, A || MACR *AR5 ; 730
+ LD *AR4+0%, A || MACR *AR5-, B ; 731
+ LD *AR4+0%, A || MACR *AR5- ; 732
+ LD *AR4+0%, A || MACR *AR5+, B ; 733
+ LD *AR4+0%, A || MACR *AR5+ ; 734
+ LD *AR4+0%, A || MACR *AR5+0%, B ; 735
+ LD *AR4+0%, A || MACR *AR5+0% ; 736
+ LD *AR4+0%, B || MACR *AR2, A ; 737
+ LD *AR4+0%, B || MACR *AR2 ; 738
+ LD *AR4+0%, B || MACR *AR2-, A ; 739
+ LD *AR4+0%, B || MACR *AR2- ; 740
+ LD *AR4+0%, B || MACR *AR2+, A ; 741
+ LD *AR4+0%, B || MACR *AR2+ ; 742
+ LD *AR4+0%, B || MACR *AR2+0%, A ; 743
+ LD *AR4+0%, B || MACR *AR2+0% ; 744
+ LD *AR4+0%, B || MACR *AR3, A ; 745
+ LD *AR4+0%, B || MACR *AR3 ; 746
+ LD *AR4+0%, B || MACR *AR3-, A ; 747
+ LD *AR4+0%, B || MACR *AR3- ; 748
+ LD *AR4+0%, B || MACR *AR3+, A ; 749
+ LD *AR4+0%, B || MACR *AR3+ ; 750
+ LD *AR4+0%, B || MACR *AR3+0%, A ; 751
+ LD *AR4+0%, B || MACR *AR3+0% ; 752
+ LD *AR4+0%, B || MACR *AR4, A ; 753
+ LD *AR4+0%, B || MACR *AR4 ; 754
+ LD *AR4+0%, B || MACR *AR4-, A ; 755
+ LD *AR4+0%, B || MACR *AR4- ; 756
+ LD *AR4+0%, B || MACR *AR4+, A ; 757
+ LD *AR4+0%, B || MACR *AR4+ ; 758
+ LD *AR4+0%, B || MACR *AR4+0%, A ; 759
+ LD *AR4+0%, B || MACR *AR4+0% ; 760
+ LD *AR4+0%, B || MACR *AR5, A ; 761
+ LD *AR4+0%, B || MACR *AR5 ; 762
+ LD *AR4+0%, B || MACR *AR5-, A ; 763
+ LD *AR4+0%, B || MACR *AR5- ; 764
+ LD *AR4+0%, B || MACR *AR5+, A ; 765
+ LD *AR4+0%, B || MACR *AR5+ ; 766
+ LD *AR4+0%, B || MACR *AR5+0%, A ; 767
+ LD *AR4+0%, B || MACR *AR5+0% ; 768
+ LD *AR5, A || MACR *AR2, B ; 769
+ LD *AR5, A || MACR *AR2 ; 770
+ LD *AR5, A || MACR *AR2-, B ; 771
+ LD *AR5, A || MACR *AR2- ; 772
+ LD *AR5, A || MACR *AR2+, B ; 773
+ LD *AR5, A || MACR *AR2+ ; 774
+ LD *AR5, A || MACR *AR2+0%, B ; 775
+ LD *AR5, A || MACR *AR2+0% ; 776
+ LD *AR5, A || MACR *AR3, B ; 777
+ LD *AR5, A || MACR *AR3 ; 778
+ LD *AR5, A || MACR *AR3-, B ; 779
+ LD *AR5, A || MACR *AR3- ; 780
+ LD *AR5, A || MACR *AR3+, B ; 781
+ LD *AR5, A || MACR *AR3+ ; 782
+ LD *AR5, A || MACR *AR3+0%, B ; 783
+ LD *AR5, A || MACR *AR3+0% ; 784
+ LD *AR5, A || MACR *AR4, B ; 785
+ LD *AR5, A || MACR *AR4 ; 786
+ LD *AR5, A || MACR *AR4-, B ; 787
+ LD *AR5, A || MACR *AR4- ; 788
+ LD *AR5, A || MACR *AR4+, B ; 789
+ LD *AR5, A || MACR *AR4+ ; 790
+ LD *AR5, A || MACR *AR4+0%, B ; 791
+ LD *AR5, A || MACR *AR4+0% ; 792
+ LD *AR5, A || MACR *AR5, B ; 793
+ LD *AR5, A || MACR *AR5 ; 794
+ LD *AR5, A || MACR *AR5-, B ; 795
+ LD *AR5, A || MACR *AR5- ; 796
+ LD *AR5, A || MACR *AR5+, B ; 797
+ LD *AR5, A || MACR *AR5+ ; 798
+ LD *AR5, A || MACR *AR5+0%, B ; 799
+ LD *AR5, A || MACR *AR5+0% ; 800
+ LD *AR5, B || MACR *AR2, A ; 801
+ LD *AR5, B || MACR *AR2 ; 802
+ LD *AR5, B || MACR *AR2-, A ; 803
+ LD *AR5, B || MACR *AR2- ; 804
+ LD *AR5, B || MACR *AR2+, A ; 805
+ LD *AR5, B || MACR *AR2+ ; 806
+ LD *AR5, B || MACR *AR2+0%, A ; 807
+ LD *AR5, B || MACR *AR2+0% ; 808
+ LD *AR5, B || MACR *AR3, A ; 809
+ LD *AR5, B || MACR *AR3 ; 810
+ LD *AR5, B || MACR *AR3-, A ; 811
+ LD *AR5, B || MACR *AR3- ; 812
+ LD *AR5, B || MACR *AR3+, A ; 813
+ LD *AR5, B || MACR *AR3+ ; 814
+ LD *AR5, B || MACR *AR3+0%, A ; 815
+ LD *AR5, B || MACR *AR3+0% ; 816
+ LD *AR5, B || MACR *AR4, A ; 817
+ LD *AR5, B || MACR *AR4 ; 818
+ LD *AR5, B || MACR *AR4-, A ; 819
+ LD *AR5, B || MACR *AR4- ; 820
+ LD *AR5, B || MACR *AR4+, A ; 821
+ LD *AR5, B || MACR *AR4+ ; 822
+ LD *AR5, B || MACR *AR4+0%, A ; 823
+ LD *AR5, B || MACR *AR4+0% ; 824
+ LD *AR5, B || MACR *AR5, A ; 825
+ LD *AR5, B || MACR *AR5 ; 826
+ LD *AR5, B || MACR *AR5-, A ; 827
+ LD *AR5, B || MACR *AR5- ; 828
+ LD *AR5, B || MACR *AR5+, A ; 829
+ LD *AR5, B || MACR *AR5+ ; 830
+ LD *AR5, B || MACR *AR5+0%, A ; 831
+ LD *AR5, B || MACR *AR5+0% ; 832
+ LD *AR5-, A || MACR *AR2, B ; 833
+ LD *AR5-, A || MACR *AR2 ; 834
+ LD *AR5-, A || MACR *AR2-, B ; 835
+ LD *AR5-, A || MACR *AR2- ; 836
+ LD *AR5-, A || MACR *AR2+, B ; 837
+ LD *AR5-, A || MACR *AR2+ ; 838
+ LD *AR5-, A || MACR *AR2+0%, B ; 839
+ LD *AR5-, A || MACR *AR2+0% ; 840
+ LD *AR5-, A || MACR *AR3, B ; 841
+ LD *AR5-, A || MACR *AR3 ; 842
+ LD *AR5-, A || MACR *AR3-, B ; 843
+ LD *AR5-, A || MACR *AR3- ; 844
+ LD *AR5-, A || MACR *AR3+, B ; 845
+ LD *AR5-, A || MACR *AR3+ ; 846
+ LD *AR5-, A || MACR *AR3+0%, B ; 847
+ LD *AR5-, A || MACR *AR3+0% ; 848
+ LD *AR5-, A || MACR *AR4, B ; 849
+ LD *AR5-, A || MACR *AR4 ; 850
+ LD *AR5-, A || MACR *AR4-, B ; 851
+ LD *AR5-, A || MACR *AR4- ; 852
+ LD *AR5-, A || MACR *AR4+, B ; 853
+ LD *AR5-, A || MACR *AR4+ ; 854
+ LD *AR5-, A || MACR *AR4+0%, B ; 855
+ LD *AR5-, A || MACR *AR4+0% ; 856
+ LD *AR5-, A || MACR *AR5, B ; 857
+ LD *AR5-, A || MACR *AR5 ; 858
+ LD *AR5-, A || MACR *AR5-, B ; 859
+ LD *AR5-, A || MACR *AR5- ; 860
+ LD *AR5-, A || MACR *AR5+, B ; 861
+ LD *AR5-, A || MACR *AR5+ ; 862
+ LD *AR5-, A || MACR *AR5+0%, B ; 863
+ LD *AR5-, A || MACR *AR5+0% ; 864
+ LD *AR5-, B || MACR *AR2, A ; 865
+ LD *AR5-, B || MACR *AR2 ; 866
+ LD *AR5-, B || MACR *AR2-, A ; 867
+ LD *AR5-, B || MACR *AR2- ; 868
+ LD *AR5-, B || MACR *AR2+, A ; 869
+ LD *AR5-, B || MACR *AR2+ ; 870
+ LD *AR5-, B || MACR *AR2+0%, A ; 871
+ LD *AR5-, B || MACR *AR2+0% ; 872
+ LD *AR5-, B || MACR *AR3, A ; 873
+ LD *AR5-, B || MACR *AR3 ; 874
+ LD *AR5-, B || MACR *AR3-, A ; 875
+ LD *AR5-, B || MACR *AR3- ; 876
+ LD *AR5-, B || MACR *AR3+, A ; 877
+ LD *AR5-, B || MACR *AR3+ ; 878
+ LD *AR5-, B || MACR *AR3+0%, A ; 879
+ LD *AR5-, B || MACR *AR3+0% ; 880
+ LD *AR5-, B || MACR *AR4, A ; 881
+ LD *AR5-, B || MACR *AR4 ; 882
+ LD *AR5-, B || MACR *AR4-, A ; 883
+ LD *AR5-, B || MACR *AR4- ; 884
+ LD *AR5-, B || MACR *AR4+, A ; 885
+ LD *AR5-, B || MACR *AR4+ ; 886
+ LD *AR5-, B || MACR *AR4+0%, A ; 887
+ LD *AR5-, B || MACR *AR4+0% ; 888
+ LD *AR5-, B || MACR *AR5, A ; 889
+ LD *AR5-, B || MACR *AR5 ; 890
+ LD *AR5-, B || MACR *AR5-, A ; 891
+ LD *AR5-, B || MACR *AR5- ; 892
+ LD *AR5-, B || MACR *AR5+, A ; 893
+ LD *AR5-, B || MACR *AR5+ ; 894
+ LD *AR5-, B || MACR *AR5+0%, A ; 895
+ LD *AR5-, B || MACR *AR5+0% ; 896
+ LD *AR5+, A || MACR *AR2, B ; 897
+ LD *AR5+, A || MACR *AR2 ; 898
+ LD *AR5+, A || MACR *AR2-, B ; 899
+ LD *AR5+, A || MACR *AR2- ; 900
+ LD *AR5+, A || MACR *AR2+, B ; 901
+ LD *AR5+, A || MACR *AR2+ ; 902
+ LD *AR5+, A || MACR *AR2+0%, B ; 903
+ LD *AR5+, A || MACR *AR2+0% ; 904
+ LD *AR5+, A || MACR *AR3, B ; 905
+ LD *AR5+, A || MACR *AR3 ; 906
+ LD *AR5+, A || MACR *AR3-, B ; 907
+ LD *AR5+, A || MACR *AR3- ; 908
+ LD *AR5+, A || MACR *AR3+, B ; 909
+ LD *AR5+, A || MACR *AR3+ ; 910
+ LD *AR5+, A || MACR *AR3+0%, B ; 911
+ LD *AR5+, A || MACR *AR3+0% ; 912
+ LD *AR5+, A || MACR *AR4, B ; 913
+ LD *AR5+, A || MACR *AR4 ; 914
+ LD *AR5+, A || MACR *AR4-, B ; 915
+ LD *AR5+, A || MACR *AR4- ; 916
+ LD *AR5+, A || MACR *AR4+, B ; 917
+ LD *AR5+, A || MACR *AR4+ ; 918
+ LD *AR5+, A || MACR *AR4+0%, B ; 919
+ LD *AR5+, A || MACR *AR4+0% ; 920
+ LD *AR5+, A || MACR *AR5, B ; 921
+ LD *AR5+, A || MACR *AR5 ; 922
+ LD *AR5+, A || MACR *AR5-, B ; 923
+ LD *AR5+, A || MACR *AR5- ; 924
+ LD *AR5+, A || MACR *AR5+, B ; 925
+ LD *AR5+, A || MACR *AR5+ ; 926
+ LD *AR5+, A || MACR *AR5+0%, B ; 927
+ LD *AR5+, A || MACR *AR5+0% ; 928
+ LD *AR5+, B || MACR *AR2, A ; 929
+ LD *AR5+, B || MACR *AR2 ; 930
+ LD *AR5+, B || MACR *AR2-, A ; 931
+ LD *AR5+, B || MACR *AR2- ; 932
+ LD *AR5+, B || MACR *AR2+, A ; 933
+ LD *AR5+, B || MACR *AR2+ ; 934
+ LD *AR5+, B || MACR *AR2+0%, A ; 935
+ LD *AR5+, B || MACR *AR2+0% ; 936
+ LD *AR5+, B || MACR *AR3, A ; 937
+ LD *AR5+, B || MACR *AR3 ; 938
+ LD *AR5+, B || MACR *AR3-, A ; 939
+ LD *AR5+, B || MACR *AR3- ; 940
+ LD *AR5+, B || MACR *AR3+, A ; 941
+ LD *AR5+, B || MACR *AR3+ ; 942
+ LD *AR5+, B || MACR *AR3+0%, A ; 943
+ LD *AR5+, B || MACR *AR3+0% ; 944
+ LD *AR5+, B || MACR *AR4, A ; 945
+ LD *AR5+, B || MACR *AR4 ; 946
+ LD *AR5+, B || MACR *AR4-, A ; 947
+ LD *AR5+, B || MACR *AR4- ; 948
+ LD *AR5+, B || MACR *AR4+, A ; 949
+ LD *AR5+, B || MACR *AR4+ ; 950
+ LD *AR5+, B || MACR *AR4+0%, A ; 951
+ LD *AR5+, B || MACR *AR4+0% ; 952
+ LD *AR5+, B || MACR *AR5, A ; 953
+ LD *AR5+, B || MACR *AR5 ; 954
+ LD *AR5+, B || MACR *AR5-, A ; 955
+ LD *AR5+, B || MACR *AR5- ; 956
+ LD *AR5+, B || MACR *AR5+, A ; 957
+ LD *AR5+, B || MACR *AR5+ ; 958
+ LD *AR5+, B || MACR *AR5+0%, A ; 959
+ LD *AR5+, B || MACR *AR5+0% ; 960
+ LD *AR5+0%, A || MACR *AR2, B ; 961
+ LD *AR5+0%, A || MACR *AR2 ; 962
+ LD *AR5+0%, A || MACR *AR2-, B ; 963
+ LD *AR5+0%, A || MACR *AR2- ; 964
+ LD *AR5+0%, A || MACR *AR2+, B ; 965
+ LD *AR5+0%, A || MACR *AR2+ ; 966
+ LD *AR5+0%, A || MACR *AR2+0%, B ; 967
+ LD *AR5+0%, A || MACR *AR2+0% ; 968
+ LD *AR5+0%, A || MACR *AR3, B ; 969
+ LD *AR5+0%, A || MACR *AR3 ; 970
+ LD *AR5+0%, A || MACR *AR3-, B ; 971
+ LD *AR5+0%, A || MACR *AR3- ; 972
+ LD *AR5+0%, A || MACR *AR3+, B ; 973
+ LD *AR5+0%, A || MACR *AR3+ ; 974
+ LD *AR5+0%, A || MACR *AR3+0%, B ; 975
+ LD *AR5+0%, A || MACR *AR3+0% ; 976
+ LD *AR5+0%, A || MACR *AR4, B ; 977
+ LD *AR5+0%, A || MACR *AR4 ; 978
+ LD *AR5+0%, A || MACR *AR4-, B ; 979
+ LD *AR5+0%, A || MACR *AR4- ; 980
+ LD *AR5+0%, A || MACR *AR4+, B ; 981
+ LD *AR5+0%, A || MACR *AR4+ ; 982
+ LD *AR5+0%, A || MACR *AR4+0%, B ; 983
+ LD *AR5+0%, A || MACR *AR4+0% ; 984
+ LD *AR5+0%, A || MACR *AR5, B ; 985
+ LD *AR5+0%, A || MACR *AR5 ; 986
+ LD *AR5+0%, A || MACR *AR5-, B ; 987
+ LD *AR5+0%, A || MACR *AR5- ; 988
+ LD *AR5+0%, A || MACR *AR5+, B ; 989
+ LD *AR5+0%, A || MACR *AR5+ ; 990
+ LD *AR5+0%, A || MACR *AR5+0%, B ; 991
+ LD *AR5+0%, A || MACR *AR5+0% ; 992
+ LD *AR5+0%, B || MACR *AR2, A ; 993
+ LD *AR5+0%, B || MACR *AR2 ; 994
+ LD *AR5+0%, B || MACR *AR2-, A ; 995
+ LD *AR5+0%, B || MACR *AR2- ; 996
+ LD *AR5+0%, B || MACR *AR2+, A ; 997
+ LD *AR5+0%, B || MACR *AR2+ ; 998
+ LD *AR5+0%, B || MACR *AR2+0%, A ; 999
+ LD *AR5+0%, B || MACR *AR2+0% ; 1000
+ LD *AR5+0%, B || MACR *AR3, A ; 1001
+ LD *AR5+0%, B || MACR *AR3 ; 1002
+ LD *AR5+0%, B || MACR *AR3-, A ; 1003
+ LD *AR5+0%, B || MACR *AR3- ; 1004
+ LD *AR5+0%, B || MACR *AR3+, A ; 1005
+ LD *AR5+0%, B || MACR *AR3+ ; 1006
+ LD *AR5+0%, B || MACR *AR3+0%, A ; 1007
+ LD *AR5+0%, B || MACR *AR3+0% ; 1008
+ LD *AR5+0%, B || MACR *AR4, A ; 1009
+ LD *AR5+0%, B || MACR *AR4 ; 1010
+ LD *AR5+0%, B || MACR *AR4-, A ; 1011
+ LD *AR5+0%, B || MACR *AR4- ; 1012
+ LD *AR5+0%, B || MACR *AR4+, A ; 1013
+ LD *AR5+0%, B || MACR *AR4+ ; 1014
+ LD *AR5+0%, B || MACR *AR4+0%, A ; 1015
+ LD *AR5+0%, B || MACR *AR4+0% ; 1016
+ LD *AR5+0%, B || MACR *AR5, A ; 1017
+ LD *AR5+0%, B || MACR *AR5 ; 1018
+ LD *AR5+0%, B || MACR *AR5-, A ; 1019
+ LD *AR5+0%, B || MACR *AR5- ; 1020
+ LD *AR5+0%, B || MACR *AR5+, A ; 1021
+ LD *AR5+0%, B || MACR *AR5+ ; 1022
+ LD *AR5+0%, B || MACR *AR5+0%, A ; 1023
+ LD *AR5+0%, B || MACR *AR5+0% ; 1024
+ ; LDandMAS : LD Xmem, AB || MAS Ymem, BA : 1024
+ LD *AR2, A || MAS *AR2, B ; 1
+ LD *AR2, A || MAS *AR2 ; 2
+ LD *AR2, A || MAS *AR2-, B ; 3
+ LD *AR2, A || MAS *AR2- ; 4
+ LD *AR2, A || MAS *AR2+, B ; 5
+ LD *AR2, A || MAS *AR2+ ; 6
+ LD *AR2, A || MAS *AR2+0%, B ; 7
+ LD *AR2, A || MAS *AR2+0% ; 8
+ LD *AR2, A || MAS *AR3, B ; 9
+ LD *AR2, A || MAS *AR3 ; 10
+ LD *AR2, A || MAS *AR3-, B ; 11
+ LD *AR2, A || MAS *AR3- ; 12
+ LD *AR2, A || MAS *AR3+, B ; 13
+ LD *AR2, A || MAS *AR3+ ; 14
+ LD *AR2, A || MAS *AR3+0%, B ; 15
+ LD *AR2, A || MAS *AR3+0% ; 16
+ LD *AR2, A || MAS *AR4, B ; 17
+ LD *AR2, A || MAS *AR4 ; 18
+ LD *AR2, A || MAS *AR4-, B ; 19
+ LD *AR2, A || MAS *AR4- ; 20
+ LD *AR2, A || MAS *AR4+, B ; 21
+ LD *AR2, A || MAS *AR4+ ; 22
+ LD *AR2, A || MAS *AR4+0%, B ; 23
+ LD *AR2, A || MAS *AR4+0% ; 24
+ LD *AR2, A || MAS *AR5, B ; 25
+ LD *AR2, A || MAS *AR5 ; 26
+ LD *AR2, A || MAS *AR5-, B ; 27
+ LD *AR2, A || MAS *AR5- ; 28
+ LD *AR2, A || MAS *AR5+, B ; 29
+ LD *AR2, A || MAS *AR5+ ; 30
+ LD *AR2, A || MAS *AR5+0%, B ; 31
+ LD *AR2, A || MAS *AR5+0% ; 32
+ LD *AR2, B || MAS *AR2, A ; 33
+ LD *AR2, B || MAS *AR2 ; 34
+ LD *AR2, B || MAS *AR2-, A ; 35
+ LD *AR2, B || MAS *AR2- ; 36
+ LD *AR2, B || MAS *AR2+, A ; 37
+ LD *AR2, B || MAS *AR2+ ; 38
+ LD *AR2, B || MAS *AR2+0%, A ; 39
+ LD *AR2, B || MAS *AR2+0% ; 40
+ LD *AR2, B || MAS *AR3, A ; 41
+ LD *AR2, B || MAS *AR3 ; 42
+ LD *AR2, B || MAS *AR3-, A ; 43
+ LD *AR2, B || MAS *AR3- ; 44
+ LD *AR2, B || MAS *AR3+, A ; 45
+ LD *AR2, B || MAS *AR3+ ; 46
+ LD *AR2, B || MAS *AR3+0%, A ; 47
+ LD *AR2, B || MAS *AR3+0% ; 48
+ LD *AR2, B || MAS *AR4, A ; 49
+ LD *AR2, B || MAS *AR4 ; 50
+ LD *AR2, B || MAS *AR4-, A ; 51
+ LD *AR2, B || MAS *AR4- ; 52
+ LD *AR2, B || MAS *AR4+, A ; 53
+ LD *AR2, B || MAS *AR4+ ; 54
+ LD *AR2, B || MAS *AR4+0%, A ; 55
+ LD *AR2, B || MAS *AR4+0% ; 56
+ LD *AR2, B || MAS *AR5, A ; 57
+ LD *AR2, B || MAS *AR5 ; 58
+ LD *AR2, B || MAS *AR5-, A ; 59
+ LD *AR2, B || MAS *AR5- ; 60
+ LD *AR2, B || MAS *AR5+, A ; 61
+ LD *AR2, B || MAS *AR5+ ; 62
+ LD *AR2, B || MAS *AR5+0%, A ; 63
+ LD *AR2, B || MAS *AR5+0% ; 64
+ LD *AR2-, A || MAS *AR2, B ; 65
+ LD *AR2-, A || MAS *AR2 ; 66
+ LD *AR2-, A || MAS *AR2-, B ; 67
+ LD *AR2-, A || MAS *AR2- ; 68
+ LD *AR2-, A || MAS *AR2+, B ; 69
+ LD *AR2-, A || MAS *AR2+ ; 70
+ LD *AR2-, A || MAS *AR2+0%, B ; 71
+ LD *AR2-, A || MAS *AR2+0% ; 72
+ LD *AR2-, A || MAS *AR3, B ; 73
+ LD *AR2-, A || MAS *AR3 ; 74
+ LD *AR2-, A || MAS *AR3-, B ; 75
+ LD *AR2-, A || MAS *AR3- ; 76
+ LD *AR2-, A || MAS *AR3+, B ; 77
+ LD *AR2-, A || MAS *AR3+ ; 78
+ LD *AR2-, A || MAS *AR3+0%, B ; 79
+ LD *AR2-, A || MAS *AR3+0% ; 80
+ LD *AR2-, A || MAS *AR4, B ; 81
+ LD *AR2-, A || MAS *AR4 ; 82
+ LD *AR2-, A || MAS *AR4-, B ; 83
+ LD *AR2-, A || MAS *AR4- ; 84
+ LD *AR2-, A || MAS *AR4+, B ; 85
+ LD *AR2-, A || MAS *AR4+ ; 86
+ LD *AR2-, A || MAS *AR4+0%, B ; 87
+ LD *AR2-, A || MAS *AR4+0% ; 88
+ LD *AR2-, A || MAS *AR5, B ; 89
+ LD *AR2-, A || MAS *AR5 ; 90
+ LD *AR2-, A || MAS *AR5-, B ; 91
+ LD *AR2-, A || MAS *AR5- ; 92
+ LD *AR2-, A || MAS *AR5+, B ; 93
+ LD *AR2-, A || MAS *AR5+ ; 94
+ LD *AR2-, A || MAS *AR5+0%, B ; 95
+ LD *AR2-, A || MAS *AR5+0% ; 96
+ LD *AR2-, B || MAS *AR2, A ; 97
+ LD *AR2-, B || MAS *AR2 ; 98
+ LD *AR2-, B || MAS *AR2-, A ; 99
+ LD *AR2-, B || MAS *AR2- ; 100
+ LD *AR2-, B || MAS *AR2+, A ; 101
+ LD *AR2-, B || MAS *AR2+ ; 102
+ LD *AR2-, B || MAS *AR2+0%, A ; 103
+ LD *AR2-, B || MAS *AR2+0% ; 104
+ LD *AR2-, B || MAS *AR3, A ; 105
+ LD *AR2-, B || MAS *AR3 ; 106
+ LD *AR2-, B || MAS *AR3-, A ; 107
+ LD *AR2-, B || MAS *AR3- ; 108
+ LD *AR2-, B || MAS *AR3+, A ; 109
+ LD *AR2-, B || MAS *AR3+ ; 110
+ LD *AR2-, B || MAS *AR3+0%, A ; 111
+ LD *AR2-, B || MAS *AR3+0% ; 112
+ LD *AR2-, B || MAS *AR4, A ; 113
+ LD *AR2-, B || MAS *AR4 ; 114
+ LD *AR2-, B || MAS *AR4-, A ; 115
+ LD *AR2-, B || MAS *AR4- ; 116
+ LD *AR2-, B || MAS *AR4+, A ; 117
+ LD *AR2-, B || MAS *AR4+ ; 118
+ LD *AR2-, B || MAS *AR4+0%, A ; 119
+ LD *AR2-, B || MAS *AR4+0% ; 120
+ LD *AR2-, B || MAS *AR5, A ; 121
+ LD *AR2-, B || MAS *AR5 ; 122
+ LD *AR2-, B || MAS *AR5-, A ; 123
+ LD *AR2-, B || MAS *AR5- ; 124
+ LD *AR2-, B || MAS *AR5+, A ; 125
+ LD *AR2-, B || MAS *AR5+ ; 126
+ LD *AR2-, B || MAS *AR5+0%, A ; 127
+ LD *AR2-, B || MAS *AR5+0% ; 128
+ LD *AR2+, A || MAS *AR2, B ; 129
+ LD *AR2+, A || MAS *AR2 ; 130
+ LD *AR2+, A || MAS *AR2-, B ; 131
+ LD *AR2+, A || MAS *AR2- ; 132
+ LD *AR2+, A || MAS *AR2+, B ; 133
+ LD *AR2+, A || MAS *AR2+ ; 134
+ LD *AR2+, A || MAS *AR2+0%, B ; 135
+ LD *AR2+, A || MAS *AR2+0% ; 136
+ LD *AR2+, A || MAS *AR3, B ; 137
+ LD *AR2+, A || MAS *AR3 ; 138
+ LD *AR2+, A || MAS *AR3-, B ; 139
+ LD *AR2+, A || MAS *AR3- ; 140
+ LD *AR2+, A || MAS *AR3+, B ; 141
+ LD *AR2+, A || MAS *AR3+ ; 142
+ LD *AR2+, A || MAS *AR3+0%, B ; 143
+ LD *AR2+, A || MAS *AR3+0% ; 144
+ LD *AR2+, A || MAS *AR4, B ; 145
+ LD *AR2+, A || MAS *AR4 ; 146
+ LD *AR2+, A || MAS *AR4-, B ; 147
+ LD *AR2+, A || MAS *AR4- ; 148
+ LD *AR2+, A || MAS *AR4+, B ; 149
+ LD *AR2+, A || MAS *AR4+ ; 150
+ LD *AR2+, A || MAS *AR4+0%, B ; 151
+ LD *AR2+, A || MAS *AR4+0% ; 152
+ LD *AR2+, A || MAS *AR5, B ; 153
+ LD *AR2+, A || MAS *AR5 ; 154
+ LD *AR2+, A || MAS *AR5-, B ; 155
+ LD *AR2+, A || MAS *AR5- ; 156
+ LD *AR2+, A || MAS *AR5+, B ; 157
+ LD *AR2+, A || MAS *AR5+ ; 158
+ LD *AR2+, A || MAS *AR5+0%, B ; 159
+ LD *AR2+, A || MAS *AR5+0% ; 160
+ LD *AR2+, B || MAS *AR2, A ; 161
+ LD *AR2+, B || MAS *AR2 ; 162
+ LD *AR2+, B || MAS *AR2-, A ; 163
+ LD *AR2+, B || MAS *AR2- ; 164
+ LD *AR2+, B || MAS *AR2+, A ; 165
+ LD *AR2+, B || MAS *AR2+ ; 166
+ LD *AR2+, B || MAS *AR2+0%, A ; 167
+ LD *AR2+, B || MAS *AR2+0% ; 168
+ LD *AR2+, B || MAS *AR3, A ; 169
+ LD *AR2+, B || MAS *AR3 ; 170
+ LD *AR2+, B || MAS *AR3-, A ; 171
+ LD *AR2+, B || MAS *AR3- ; 172
+ LD *AR2+, B || MAS *AR3+, A ; 173
+ LD *AR2+, B || MAS *AR3+ ; 174
+ LD *AR2+, B || MAS *AR3+0%, A ; 175
+ LD *AR2+, B || MAS *AR3+0% ; 176
+ LD *AR2+, B || MAS *AR4, A ; 177
+ LD *AR2+, B || MAS *AR4 ; 178
+ LD *AR2+, B || MAS *AR4-, A ; 179
+ LD *AR2+, B || MAS *AR4- ; 180
+ LD *AR2+, B || MAS *AR4+, A ; 181
+ LD *AR2+, B || MAS *AR4+ ; 182
+ LD *AR2+, B || MAS *AR4+0%, A ; 183
+ LD *AR2+, B || MAS *AR4+0% ; 184
+ LD *AR2+, B || MAS *AR5, A ; 185
+ LD *AR2+, B || MAS *AR5 ; 186
+ LD *AR2+, B || MAS *AR5-, A ; 187
+ LD *AR2+, B || MAS *AR5- ; 188
+ LD *AR2+, B || MAS *AR5+, A ; 189
+ LD *AR2+, B || MAS *AR5+ ; 190
+ LD *AR2+, B || MAS *AR5+0%, A ; 191
+ LD *AR2+, B || MAS *AR5+0% ; 192
+ LD *AR2+0%, A || MAS *AR2, B ; 193
+ LD *AR2+0%, A || MAS *AR2 ; 194
+ LD *AR2+0%, A || MAS *AR2-, B ; 195
+ LD *AR2+0%, A || MAS *AR2- ; 196
+ LD *AR2+0%, A || MAS *AR2+, B ; 197
+ LD *AR2+0%, A || MAS *AR2+ ; 198
+ LD *AR2+0%, A || MAS *AR2+0%, B ; 199
+ LD *AR2+0%, A || MAS *AR2+0% ; 200
+ LD *AR2+0%, A || MAS *AR3, B ; 201
+ LD *AR2+0%, A || MAS *AR3 ; 202
+ LD *AR2+0%, A || MAS *AR3-, B ; 203
+ LD *AR2+0%, A || MAS *AR3- ; 204
+ LD *AR2+0%, A || MAS *AR3+, B ; 205
+ LD *AR2+0%, A || MAS *AR3+ ; 206
+ LD *AR2+0%, A || MAS *AR3+0%, B ; 207
+ LD *AR2+0%, A || MAS *AR3+0% ; 208
+ LD *AR2+0%, A || MAS *AR4, B ; 209
+ LD *AR2+0%, A || MAS *AR4 ; 210
+ LD *AR2+0%, A || MAS *AR4-, B ; 211
+ LD *AR2+0%, A || MAS *AR4- ; 212
+ LD *AR2+0%, A || MAS *AR4+, B ; 213
+ LD *AR2+0%, A || MAS *AR4+ ; 214
+ LD *AR2+0%, A || MAS *AR4+0%, B ; 215
+ LD *AR2+0%, A || MAS *AR4+0% ; 216
+ LD *AR2+0%, A || MAS *AR5, B ; 217
+ LD *AR2+0%, A || MAS *AR5 ; 218
+ LD *AR2+0%, A || MAS *AR5-, B ; 219
+ LD *AR2+0%, A || MAS *AR5- ; 220
+ LD *AR2+0%, A || MAS *AR5+, B ; 221
+ LD *AR2+0%, A || MAS *AR5+ ; 222
+ LD *AR2+0%, A || MAS *AR5+0%, B ; 223
+ LD *AR2+0%, A || MAS *AR5+0% ; 224
+ LD *AR2+0%, B || MAS *AR2, A ; 225
+ LD *AR2+0%, B || MAS *AR2 ; 226
+ LD *AR2+0%, B || MAS *AR2-, A ; 227
+ LD *AR2+0%, B || MAS *AR2- ; 228
+ LD *AR2+0%, B || MAS *AR2+, A ; 229
+ LD *AR2+0%, B || MAS *AR2+ ; 230
+ LD *AR2+0%, B || MAS *AR2+0%, A ; 231
+ LD *AR2+0%, B || MAS *AR2+0% ; 232
+ LD *AR2+0%, B || MAS *AR3, A ; 233
+ LD *AR2+0%, B || MAS *AR3 ; 234
+ LD *AR2+0%, B || MAS *AR3-, A ; 235
+ LD *AR2+0%, B || MAS *AR3- ; 236
+ LD *AR2+0%, B || MAS *AR3+, A ; 237
+ LD *AR2+0%, B || MAS *AR3+ ; 238
+ LD *AR2+0%, B || MAS *AR3+0%, A ; 239
+ LD *AR2+0%, B || MAS *AR3+0% ; 240
+ LD *AR2+0%, B || MAS *AR4, A ; 241
+ LD *AR2+0%, B || MAS *AR4 ; 242
+ LD *AR2+0%, B || MAS *AR4-, A ; 243
+ LD *AR2+0%, B || MAS *AR4- ; 244
+ LD *AR2+0%, B || MAS *AR4+, A ; 245
+ LD *AR2+0%, B || MAS *AR4+ ; 246
+ LD *AR2+0%, B || MAS *AR4+0%, A ; 247
+ LD *AR2+0%, B || MAS *AR4+0% ; 248
+ LD *AR2+0%, B || MAS *AR5, A ; 249
+ LD *AR2+0%, B || MAS *AR5 ; 250
+ LD *AR2+0%, B || MAS *AR5-, A ; 251
+ LD *AR2+0%, B || MAS *AR5- ; 252
+ LD *AR2+0%, B || MAS *AR5+, A ; 253
+ LD *AR2+0%, B || MAS *AR5+ ; 254
+ LD *AR2+0%, B || MAS *AR5+0%, A ; 255
+ LD *AR2+0%, B || MAS *AR5+0% ; 256
+ LD *AR3, A || MAS *AR2, B ; 257
+ LD *AR3, A || MAS *AR2 ; 258
+ LD *AR3, A || MAS *AR2-, B ; 259
+ LD *AR3, A || MAS *AR2- ; 260
+ LD *AR3, A || MAS *AR2+, B ; 261
+ LD *AR3, A || MAS *AR2+ ; 262
+ LD *AR3, A || MAS *AR2+0%, B ; 263
+ LD *AR3, A || MAS *AR2+0% ; 264
+ LD *AR3, A || MAS *AR3, B ; 265
+ LD *AR3, A || MAS *AR3 ; 266
+ LD *AR3, A || MAS *AR3-, B ; 267
+ LD *AR3, A || MAS *AR3- ; 268
+ LD *AR3, A || MAS *AR3+, B ; 269
+ LD *AR3, A || MAS *AR3+ ; 270
+ LD *AR3, A || MAS *AR3+0%, B ; 271
+ LD *AR3, A || MAS *AR3+0% ; 272
+ LD *AR3, A || MAS *AR4, B ; 273
+ LD *AR3, A || MAS *AR4 ; 274
+ LD *AR3, A || MAS *AR4-, B ; 275
+ LD *AR3, A || MAS *AR4- ; 276
+ LD *AR3, A || MAS *AR4+, B ; 277
+ LD *AR3, A || MAS *AR4+ ; 278
+ LD *AR3, A || MAS *AR4+0%, B ; 279
+ LD *AR3, A || MAS *AR4+0% ; 280
+ LD *AR3, A || MAS *AR5, B ; 281
+ LD *AR3, A || MAS *AR5 ; 282
+ LD *AR3, A || MAS *AR5-, B ; 283
+ LD *AR3, A || MAS *AR5- ; 284
+ LD *AR3, A || MAS *AR5+, B ; 285
+ LD *AR3, A || MAS *AR5+ ; 286
+ LD *AR3, A || MAS *AR5+0%, B ; 287
+ LD *AR3, A || MAS *AR5+0% ; 288
+ LD *AR3, B || MAS *AR2, A ; 289
+ LD *AR3, B || MAS *AR2 ; 290
+ LD *AR3, B || MAS *AR2-, A ; 291
+ LD *AR3, B || MAS *AR2- ; 292
+ LD *AR3, B || MAS *AR2+, A ; 293
+ LD *AR3, B || MAS *AR2+ ; 294
+ LD *AR3, B || MAS *AR2+0%, A ; 295
+ LD *AR3, B || MAS *AR2+0% ; 296
+ LD *AR3, B || MAS *AR3, A ; 297
+ LD *AR3, B || MAS *AR3 ; 298
+ LD *AR3, B || MAS *AR3-, A ; 299
+ LD *AR3, B || MAS *AR3- ; 300
+ LD *AR3, B || MAS *AR3+, A ; 301
+ LD *AR3, B || MAS *AR3+ ; 302
+ LD *AR3, B || MAS *AR3+0%, A ; 303
+ LD *AR3, B || MAS *AR3+0% ; 304
+ LD *AR3, B || MAS *AR4, A ; 305
+ LD *AR3, B || MAS *AR4 ; 306
+ LD *AR3, B || MAS *AR4-, A ; 307
+ LD *AR3, B || MAS *AR4- ; 308
+ LD *AR3, B || MAS *AR4+, A ; 309
+ LD *AR3, B || MAS *AR4+ ; 310
+ LD *AR3, B || MAS *AR4+0%, A ; 311
+ LD *AR3, B || MAS *AR4+0% ; 312
+ LD *AR3, B || MAS *AR5, A ; 313
+ LD *AR3, B || MAS *AR5 ; 314
+ LD *AR3, B || MAS *AR5-, A ; 315
+ LD *AR3, B || MAS *AR5- ; 316
+ LD *AR3, B || MAS *AR5+, A ; 317
+ LD *AR3, B || MAS *AR5+ ; 318
+ LD *AR3, B || MAS *AR5+0%, A ; 319
+ LD *AR3, B || MAS *AR5+0% ; 320
+ LD *AR3-, A || MAS *AR2, B ; 321
+ LD *AR3-, A || MAS *AR2 ; 322
+ LD *AR3-, A || MAS *AR2-, B ; 323
+ LD *AR3-, A || MAS *AR2- ; 324
+ LD *AR3-, A || MAS *AR2+, B ; 325
+ LD *AR3-, A || MAS *AR2+ ; 326
+ LD *AR3-, A || MAS *AR2+0%, B ; 327
+ LD *AR3-, A || MAS *AR2+0% ; 328
+ LD *AR3-, A || MAS *AR3, B ; 329
+ LD *AR3-, A || MAS *AR3 ; 330
+ LD *AR3-, A || MAS *AR3-, B ; 331
+ LD *AR3-, A || MAS *AR3- ; 332
+ LD *AR3-, A || MAS *AR3+, B ; 333
+ LD *AR3-, A || MAS *AR3+ ; 334
+ LD *AR3-, A || MAS *AR3+0%, B ; 335
+ LD *AR3-, A || MAS *AR3+0% ; 336
+ LD *AR3-, A || MAS *AR4, B ; 337
+ LD *AR3-, A || MAS *AR4 ; 338
+ LD *AR3-, A || MAS *AR4-, B ; 339
+ LD *AR3-, A || MAS *AR4- ; 340
+ LD *AR3-, A || MAS *AR4+, B ; 341
+ LD *AR3-, A || MAS *AR4+ ; 342
+ LD *AR3-, A || MAS *AR4+0%, B ; 343
+ LD *AR3-, A || MAS *AR4+0% ; 344
+ LD *AR3-, A || MAS *AR5, B ; 345
+ LD *AR3-, A || MAS *AR5 ; 346
+ LD *AR3-, A || MAS *AR5-, B ; 347
+ LD *AR3-, A || MAS *AR5- ; 348
+ LD *AR3-, A || MAS *AR5+, B ; 349
+ LD *AR3-, A || MAS *AR5+ ; 350
+ LD *AR3-, A || MAS *AR5+0%, B ; 351
+ LD *AR3-, A || MAS *AR5+0% ; 352
+ LD *AR3-, B || MAS *AR2, A ; 353
+ LD *AR3-, B || MAS *AR2 ; 354
+ LD *AR3-, B || MAS *AR2-, A ; 355
+ LD *AR3-, B || MAS *AR2- ; 356
+ LD *AR3-, B || MAS *AR2+, A ; 357
+ LD *AR3-, B || MAS *AR2+ ; 358
+ LD *AR3-, B || MAS *AR2+0%, A ; 359
+ LD *AR3-, B || MAS *AR2+0% ; 360
+ LD *AR3-, B || MAS *AR3, A ; 361
+ LD *AR3-, B || MAS *AR3 ; 362
+ LD *AR3-, B || MAS *AR3-, A ; 363
+ LD *AR3-, B || MAS *AR3- ; 364
+ LD *AR3-, B || MAS *AR3+, A ; 365
+ LD *AR3-, B || MAS *AR3+ ; 366
+ LD *AR3-, B || MAS *AR3+0%, A ; 367
+ LD *AR3-, B || MAS *AR3+0% ; 368
+ LD *AR3-, B || MAS *AR4, A ; 369
+ LD *AR3-, B || MAS *AR4 ; 370
+ LD *AR3-, B || MAS *AR4-, A ; 371
+ LD *AR3-, B || MAS *AR4- ; 372
+ LD *AR3-, B || MAS *AR4+, A ; 373
+ LD *AR3-, B || MAS *AR4+ ; 374
+ LD *AR3-, B || MAS *AR4+0%, A ; 375
+ LD *AR3-, B || MAS *AR4+0% ; 376
+ LD *AR3-, B || MAS *AR5, A ; 377
+ LD *AR3-, B || MAS *AR5 ; 378
+ LD *AR3-, B || MAS *AR5-, A ; 379
+ LD *AR3-, B || MAS *AR5- ; 380
+ LD *AR3-, B || MAS *AR5+, A ; 381
+ LD *AR3-, B || MAS *AR5+ ; 382
+ LD *AR3-, B || MAS *AR5+0%, A ; 383
+ LD *AR3-, B || MAS *AR5+0% ; 384
+ LD *AR3+, A || MAS *AR2, B ; 385
+ LD *AR3+, A || MAS *AR2 ; 386
+ LD *AR3+, A || MAS *AR2-, B ; 387
+ LD *AR3+, A || MAS *AR2- ; 388
+ LD *AR3+, A || MAS *AR2+, B ; 389
+ LD *AR3+, A || MAS *AR2+ ; 390
+ LD *AR3+, A || MAS *AR2+0%, B ; 391
+ LD *AR3+, A || MAS *AR2+0% ; 392
+ LD *AR3+, A || MAS *AR3, B ; 393
+ LD *AR3+, A || MAS *AR3 ; 394
+ LD *AR3+, A || MAS *AR3-, B ; 395
+ LD *AR3+, A || MAS *AR3- ; 396
+ LD *AR3+, A || MAS *AR3+, B ; 397
+ LD *AR3+, A || MAS *AR3+ ; 398
+ LD *AR3+, A || MAS *AR3+0%, B ; 399
+ LD *AR3+, A || MAS *AR3+0% ; 400
+ LD *AR3+, A || MAS *AR4, B ; 401
+ LD *AR3+, A || MAS *AR4 ; 402
+ LD *AR3+, A || MAS *AR4-, B ; 403
+ LD *AR3+, A || MAS *AR4- ; 404
+ LD *AR3+, A || MAS *AR4+, B ; 405
+ LD *AR3+, A || MAS *AR4+ ; 406
+ LD *AR3+, A || MAS *AR4+0%, B ; 407
+ LD *AR3+, A || MAS *AR4+0% ; 408
+ LD *AR3+, A || MAS *AR5, B ; 409
+ LD *AR3+, A || MAS *AR5 ; 410
+ LD *AR3+, A || MAS *AR5-, B ; 411
+ LD *AR3+, A || MAS *AR5- ; 412
+ LD *AR3+, A || MAS *AR5+, B ; 413
+ LD *AR3+, A || MAS *AR5+ ; 414
+ LD *AR3+, A || MAS *AR5+0%, B ; 415
+ LD *AR3+, A || MAS *AR5+0% ; 416
+ LD *AR3+, B || MAS *AR2, A ; 417
+ LD *AR3+, B || MAS *AR2 ; 418
+ LD *AR3+, B || MAS *AR2-, A ; 419
+ LD *AR3+, B || MAS *AR2- ; 420
+ LD *AR3+, B || MAS *AR2+, A ; 421
+ LD *AR3+, B || MAS *AR2+ ; 422
+ LD *AR3+, B || MAS *AR2+0%, A ; 423
+ LD *AR3+, B || MAS *AR2+0% ; 424
+ LD *AR3+, B || MAS *AR3, A ; 425
+ LD *AR3+, B || MAS *AR3 ; 426
+ LD *AR3+, B || MAS *AR3-, A ; 427
+ LD *AR3+, B || MAS *AR3- ; 428
+ LD *AR3+, B || MAS *AR3+, A ; 429
+ LD *AR3+, B || MAS *AR3+ ; 430
+ LD *AR3+, B || MAS *AR3+0%, A ; 431
+ LD *AR3+, B || MAS *AR3+0% ; 432
+ LD *AR3+, B || MAS *AR4, A ; 433
+ LD *AR3+, B || MAS *AR4 ; 434
+ LD *AR3+, B || MAS *AR4-, A ; 435
+ LD *AR3+, B || MAS *AR4- ; 436
+ LD *AR3+, B || MAS *AR4+, A ; 437
+ LD *AR3+, B || MAS *AR4+ ; 438
+ LD *AR3+, B || MAS *AR4+0%, A ; 439
+ LD *AR3+, B || MAS *AR4+0% ; 440
+ LD *AR3+, B || MAS *AR5, A ; 441
+ LD *AR3+, B || MAS *AR5 ; 442
+ LD *AR3+, B || MAS *AR5-, A ; 443
+ LD *AR3+, B || MAS *AR5- ; 444
+ LD *AR3+, B || MAS *AR5+, A ; 445
+ LD *AR3+, B || MAS *AR5+ ; 446
+ LD *AR3+, B || MAS *AR5+0%, A ; 447
+ LD *AR3+, B || MAS *AR5+0% ; 448
+ LD *AR3+0%, A || MAS *AR2, B ; 449
+ LD *AR3+0%, A || MAS *AR2 ; 450
+ LD *AR3+0%, A || MAS *AR2-, B ; 451
+ LD *AR3+0%, A || MAS *AR2- ; 452
+ LD *AR3+0%, A || MAS *AR2+, B ; 453
+ LD *AR3+0%, A || MAS *AR2+ ; 454
+ LD *AR3+0%, A || MAS *AR2+0%, B ; 455
+ LD *AR3+0%, A || MAS *AR2+0% ; 456
+ LD *AR3+0%, A || MAS *AR3, B ; 457
+ LD *AR3+0%, A || MAS *AR3 ; 458
+ LD *AR3+0%, A || MAS *AR3-, B ; 459
+ LD *AR3+0%, A || MAS *AR3- ; 460
+ LD *AR3+0%, A || MAS *AR3+, B ; 461
+ LD *AR3+0%, A || MAS *AR3+ ; 462
+ LD *AR3+0%, A || MAS *AR3+0%, B ; 463
+ LD *AR3+0%, A || MAS *AR3+0% ; 464
+ LD *AR3+0%, A || MAS *AR4, B ; 465
+ LD *AR3+0%, A || MAS *AR4 ; 466
+ LD *AR3+0%, A || MAS *AR4-, B ; 467
+ LD *AR3+0%, A || MAS *AR4- ; 468
+ LD *AR3+0%, A || MAS *AR4+, B ; 469
+ LD *AR3+0%, A || MAS *AR4+ ; 470
+ LD *AR3+0%, A || MAS *AR4+0%, B ; 471
+ LD *AR3+0%, A || MAS *AR4+0% ; 472
+ LD *AR3+0%, A || MAS *AR5, B ; 473
+ LD *AR3+0%, A || MAS *AR5 ; 474
+ LD *AR3+0%, A || MAS *AR5-, B ; 475
+ LD *AR3+0%, A || MAS *AR5- ; 476
+ LD *AR3+0%, A || MAS *AR5+, B ; 477
+ LD *AR3+0%, A || MAS *AR5+ ; 478
+ LD *AR3+0%, A || MAS *AR5+0%, B ; 479
+ LD *AR3+0%, A || MAS *AR5+0% ; 480
+ LD *AR3+0%, B || MAS *AR2, A ; 481
+ LD *AR3+0%, B || MAS *AR2 ; 482
+ LD *AR3+0%, B || MAS *AR2-, A ; 483
+ LD *AR3+0%, B || MAS *AR2- ; 484
+ LD *AR3+0%, B || MAS *AR2+, A ; 485
+ LD *AR3+0%, B || MAS *AR2+ ; 486
+ LD *AR3+0%, B || MAS *AR2+0%, A ; 487
+ LD *AR3+0%, B || MAS *AR2+0% ; 488
+ LD *AR3+0%, B || MAS *AR3, A ; 489
+ LD *AR3+0%, B || MAS *AR3 ; 490
+ LD *AR3+0%, B || MAS *AR3-, A ; 491
+ LD *AR3+0%, B || MAS *AR3- ; 492
+ LD *AR3+0%, B || MAS *AR3+, A ; 493
+ LD *AR3+0%, B || MAS *AR3+ ; 494
+ LD *AR3+0%, B || MAS *AR3+0%, A ; 495
+ LD *AR3+0%, B || MAS *AR3+0% ; 496
+ LD *AR3+0%, B || MAS *AR4, A ; 497
+ LD *AR3+0%, B || MAS *AR4 ; 498
+ LD *AR3+0%, B || MAS *AR4-, A ; 499
+ LD *AR3+0%, B || MAS *AR4- ; 500
+ LD *AR3+0%, B || MAS *AR4+, A ; 501
+ LD *AR3+0%, B || MAS *AR4+ ; 502
+ LD *AR3+0%, B || MAS *AR4+0%, A ; 503
+ LD *AR3+0%, B || MAS *AR4+0% ; 504
+ LD *AR3+0%, B || MAS *AR5, A ; 505
+ LD *AR3+0%, B || MAS *AR5 ; 506
+ LD *AR3+0%, B || MAS *AR5-, A ; 507
+ LD *AR3+0%, B || MAS *AR5- ; 508
+ LD *AR3+0%, B || MAS *AR5+, A ; 509
+ LD *AR3+0%, B || MAS *AR5+ ; 510
+ LD *AR3+0%, B || MAS *AR5+0%, A ; 511
+ LD *AR3+0%, B || MAS *AR5+0% ; 512
+ LD *AR4, A || MAS *AR2, B ; 513
+ LD *AR4, A || MAS *AR2 ; 514
+ LD *AR4, A || MAS *AR2-, B ; 515
+ LD *AR4, A || MAS *AR2- ; 516
+ LD *AR4, A || MAS *AR2+, B ; 517
+ LD *AR4, A || MAS *AR2+ ; 518
+ LD *AR4, A || MAS *AR2+0%, B ; 519
+ LD *AR4, A || MAS *AR2+0% ; 520
+ LD *AR4, A || MAS *AR3, B ; 521
+ LD *AR4, A || MAS *AR3 ; 522
+ LD *AR4, A || MAS *AR3-, B ; 523
+ LD *AR4, A || MAS *AR3- ; 524
+ LD *AR4, A || MAS *AR3+, B ; 525
+ LD *AR4, A || MAS *AR3+ ; 526
+ LD *AR4, A || MAS *AR3+0%, B ; 527
+ LD *AR4, A || MAS *AR3+0% ; 528
+ LD *AR4, A || MAS *AR4, B ; 529
+ LD *AR4, A || MAS *AR4 ; 530
+ LD *AR4, A || MAS *AR4-, B ; 531
+ LD *AR4, A || MAS *AR4- ; 532
+ LD *AR4, A || MAS *AR4+, B ; 533
+ LD *AR4, A || MAS *AR4+ ; 534
+ LD *AR4, A || MAS *AR4+0%, B ; 535
+ LD *AR4, A || MAS *AR4+0% ; 536
+ LD *AR4, A || MAS *AR5, B ; 537
+ LD *AR4, A || MAS *AR5 ; 538
+ LD *AR4, A || MAS *AR5-, B ; 539
+ LD *AR4, A || MAS *AR5- ; 540
+ LD *AR4, A || MAS *AR5+, B ; 541
+ LD *AR4, A || MAS *AR5+ ; 542
+ LD *AR4, A || MAS *AR5+0%, B ; 543
+ LD *AR4, A || MAS *AR5+0% ; 544
+ LD *AR4, B || MAS *AR2, A ; 545
+ LD *AR4, B || MAS *AR2 ; 546
+ LD *AR4, B || MAS *AR2-, A ; 547
+ LD *AR4, B || MAS *AR2- ; 548
+ LD *AR4, B || MAS *AR2+, A ; 549
+ LD *AR4, B || MAS *AR2+ ; 550
+ LD *AR4, B || MAS *AR2+0%, A ; 551
+ LD *AR4, B || MAS *AR2+0% ; 552
+ LD *AR4, B || MAS *AR3, A ; 553
+ LD *AR4, B || MAS *AR3 ; 554
+ LD *AR4, B || MAS *AR3-, A ; 555
+ LD *AR4, B || MAS *AR3- ; 556
+ LD *AR4, B || MAS *AR3+, A ; 557
+ LD *AR4, B || MAS *AR3+ ; 558
+ LD *AR4, B || MAS *AR3+0%, A ; 559
+ LD *AR4, B || MAS *AR3+0% ; 560
+ LD *AR4, B || MAS *AR4, A ; 561
+ LD *AR4, B || MAS *AR4 ; 562
+ LD *AR4, B || MAS *AR4-, A ; 563
+ LD *AR4, B || MAS *AR4- ; 564
+ LD *AR4, B || MAS *AR4+, A ; 565
+ LD *AR4, B || MAS *AR4+ ; 566
+ LD *AR4, B || MAS *AR4+0%, A ; 567
+ LD *AR4, B || MAS *AR4+0% ; 568
+ LD *AR4, B || MAS *AR5, A ; 569
+ LD *AR4, B || MAS *AR5 ; 570
+ LD *AR4, B || MAS *AR5-, A ; 571
+ LD *AR4, B || MAS *AR5- ; 572
+ LD *AR4, B || MAS *AR5+, A ; 573
+ LD *AR4, B || MAS *AR5+ ; 574
+ LD *AR4, B || MAS *AR5+0%, A ; 575
+ LD *AR4, B || MAS *AR5+0% ; 576
+ LD *AR4-, A || MAS *AR2, B ; 577
+ LD *AR4-, A || MAS *AR2 ; 578
+ LD *AR4-, A || MAS *AR2-, B ; 579
+ LD *AR4-, A || MAS *AR2- ; 580
+ LD *AR4-, A || MAS *AR2+, B ; 581
+ LD *AR4-, A || MAS *AR2+ ; 582
+ LD *AR4-, A || MAS *AR2+0%, B ; 583
+ LD *AR4-, A || MAS *AR2+0% ; 584
+ LD *AR4-, A || MAS *AR3, B ; 585
+ LD *AR4-, A || MAS *AR3 ; 586
+ LD *AR4-, A || MAS *AR3-, B ; 587
+ LD *AR4-, A || MAS *AR3- ; 588
+ LD *AR4-, A || MAS *AR3+, B ; 589
+ LD *AR4-, A || MAS *AR3+ ; 590
+ LD *AR4-, A || MAS *AR3+0%, B ; 591
+ LD *AR4-, A || MAS *AR3+0% ; 592
+ LD *AR4-, A || MAS *AR4, B ; 593
+ LD *AR4-, A || MAS *AR4 ; 594
+ LD *AR4-, A || MAS *AR4-, B ; 595
+ LD *AR4-, A || MAS *AR4- ; 596
+ LD *AR4-, A || MAS *AR4+, B ; 597
+ LD *AR4-, A || MAS *AR4+ ; 598
+ LD *AR4-, A || MAS *AR4+0%, B ; 599
+ LD *AR4-, A || MAS *AR4+0% ; 600
+ LD *AR4-, A || MAS *AR5, B ; 601
+ LD *AR4-, A || MAS *AR5 ; 602
+ LD *AR4-, A || MAS *AR5-, B ; 603
+ LD *AR4-, A || MAS *AR5- ; 604
+ LD *AR4-, A || MAS *AR5+, B ; 605
+ LD *AR4-, A || MAS *AR5+ ; 606
+ LD *AR4-, A || MAS *AR5+0%, B ; 607
+ LD *AR4-, A || MAS *AR5+0% ; 608
+ LD *AR4-, B || MAS *AR2, A ; 609
+ LD *AR4-, B || MAS *AR2 ; 610
+ LD *AR4-, B || MAS *AR2-, A ; 611
+ LD *AR4-, B || MAS *AR2- ; 612
+ LD *AR4-, B || MAS *AR2+, A ; 613
+ LD *AR4-, B || MAS *AR2+ ; 614
+ LD *AR4-, B || MAS *AR2+0%, A ; 615
+ LD *AR4-, B || MAS *AR2+0% ; 616
+ LD *AR4-, B || MAS *AR3, A ; 617
+ LD *AR4-, B || MAS *AR3 ; 618
+ LD *AR4-, B || MAS *AR3-, A ; 619
+ LD *AR4-, B || MAS *AR3- ; 620
+ LD *AR4-, B || MAS *AR3+, A ; 621
+ LD *AR4-, B || MAS *AR3+ ; 622
+ LD *AR4-, B || MAS *AR3+0%, A ; 623
+ LD *AR4-, B || MAS *AR3+0% ; 624
+ LD *AR4-, B || MAS *AR4, A ; 625
+ LD *AR4-, B || MAS *AR4 ; 626
+ LD *AR4-, B || MAS *AR4-, A ; 627
+ LD *AR4-, B || MAS *AR4- ; 628
+ LD *AR4-, B || MAS *AR4+, A ; 629
+ LD *AR4-, B || MAS *AR4+ ; 630
+ LD *AR4-, B || MAS *AR4+0%, A ; 631
+ LD *AR4-, B || MAS *AR4+0% ; 632
+ LD *AR4-, B || MAS *AR5, A ; 633
+ LD *AR4-, B || MAS *AR5 ; 634
+ LD *AR4-, B || MAS *AR5-, A ; 635
+ LD *AR4-, B || MAS *AR5- ; 636
+ LD *AR4-, B || MAS *AR5+, A ; 637
+ LD *AR4-, B || MAS *AR5+ ; 638
+ LD *AR4-, B || MAS *AR5+0%, A ; 639
+ LD *AR4-, B || MAS *AR5+0% ; 640
+ LD *AR4+, A || MAS *AR2, B ; 641
+ LD *AR4+, A || MAS *AR2 ; 642
+ LD *AR4+, A || MAS *AR2-, B ; 643
+ LD *AR4+, A || MAS *AR2- ; 644
+ LD *AR4+, A || MAS *AR2+, B ; 645
+ LD *AR4+, A || MAS *AR2+ ; 646
+ LD *AR4+, A || MAS *AR2+0%, B ; 647
+ LD *AR4+, A || MAS *AR2+0% ; 648
+ LD *AR4+, A || MAS *AR3, B ; 649
+ LD *AR4+, A || MAS *AR3 ; 650
+ LD *AR4+, A || MAS *AR3-, B ; 651
+ LD *AR4+, A || MAS *AR3- ; 652
+ LD *AR4+, A || MAS *AR3+, B ; 653
+ LD *AR4+, A || MAS *AR3+ ; 654
+ LD *AR4+, A || MAS *AR3+0%, B ; 655
+ LD *AR4+, A || MAS *AR3+0% ; 656
+ LD *AR4+, A || MAS *AR4, B ; 657
+ LD *AR4+, A || MAS *AR4 ; 658
+ LD *AR4+, A || MAS *AR4-, B ; 659
+ LD *AR4+, A || MAS *AR4- ; 660
+ LD *AR4+, A || MAS *AR4+, B ; 661
+ LD *AR4+, A || MAS *AR4+ ; 662
+ LD *AR4+, A || MAS *AR4+0%, B ; 663
+ LD *AR4+, A || MAS *AR4+0% ; 664
+ LD *AR4+, A || MAS *AR5, B ; 665
+ LD *AR4+, A || MAS *AR5 ; 666
+ LD *AR4+, A || MAS *AR5-, B ; 667
+ LD *AR4+, A || MAS *AR5- ; 668
+ LD *AR4+, A || MAS *AR5+, B ; 669
+ LD *AR4+, A || MAS *AR5+ ; 670
+ LD *AR4+, A || MAS *AR5+0%, B ; 671
+ LD *AR4+, A || MAS *AR5+0% ; 672
+ LD *AR4+, B || MAS *AR2, A ; 673
+ LD *AR4+, B || MAS *AR2 ; 674
+ LD *AR4+, B || MAS *AR2-, A ; 675
+ LD *AR4+, B || MAS *AR2- ; 676
+ LD *AR4+, B || MAS *AR2+, A ; 677
+ LD *AR4+, B || MAS *AR2+ ; 678
+ LD *AR4+, B || MAS *AR2+0%, A ; 679
+ LD *AR4+, B || MAS *AR2+0% ; 680
+ LD *AR4+, B || MAS *AR3, A ; 681
+ LD *AR4+, B || MAS *AR3 ; 682
+ LD *AR4+, B || MAS *AR3-, A ; 683
+ LD *AR4+, B || MAS *AR3- ; 684
+ LD *AR4+, B || MAS *AR3+, A ; 685
+ LD *AR4+, B || MAS *AR3+ ; 686
+ LD *AR4+, B || MAS *AR3+0%, A ; 687
+ LD *AR4+, B || MAS *AR3+0% ; 688
+ LD *AR4+, B || MAS *AR4, A ; 689
+ LD *AR4+, B || MAS *AR4 ; 690
+ LD *AR4+, B || MAS *AR4-, A ; 691
+ LD *AR4+, B || MAS *AR4- ; 692
+ LD *AR4+, B || MAS *AR4+, A ; 693
+ LD *AR4+, B || MAS *AR4+ ; 694
+ LD *AR4+, B || MAS *AR4+0%, A ; 695
+ LD *AR4+, B || MAS *AR4+0% ; 696
+ LD *AR4+, B || MAS *AR5, A ; 697
+ LD *AR4+, B || MAS *AR5 ; 698
+ LD *AR4+, B || MAS *AR5-, A ; 699
+ LD *AR4+, B || MAS *AR5- ; 700
+ LD *AR4+, B || MAS *AR5+, A ; 701
+ LD *AR4+, B || MAS *AR5+ ; 702
+ LD *AR4+, B || MAS *AR5+0%, A ; 703
+ LD *AR4+, B || MAS *AR5+0% ; 704
+ LD *AR4+0%, A || MAS *AR2, B ; 705
+ LD *AR4+0%, A || MAS *AR2 ; 706
+ LD *AR4+0%, A || MAS *AR2-, B ; 707
+ LD *AR4+0%, A || MAS *AR2- ; 708
+ LD *AR4+0%, A || MAS *AR2+, B ; 709
+ LD *AR4+0%, A || MAS *AR2+ ; 710
+ LD *AR4+0%, A || MAS *AR2+0%, B ; 711
+ LD *AR4+0%, A || MAS *AR2+0% ; 712
+ LD *AR4+0%, A || MAS *AR3, B ; 713
+ LD *AR4+0%, A || MAS *AR3 ; 714
+ LD *AR4+0%, A || MAS *AR3-, B ; 715
+ LD *AR4+0%, A || MAS *AR3- ; 716
+ LD *AR4+0%, A || MAS *AR3+, B ; 717
+ LD *AR4+0%, A || MAS *AR3+ ; 718
+ LD *AR4+0%, A || MAS *AR3+0%, B ; 719
+ LD *AR4+0%, A || MAS *AR3+0% ; 720
+ LD *AR4+0%, A || MAS *AR4, B ; 721
+ LD *AR4+0%, A || MAS *AR4 ; 722
+ LD *AR4+0%, A || MAS *AR4-, B ; 723
+ LD *AR4+0%, A || MAS *AR4- ; 724
+ LD *AR4+0%, A || MAS *AR4+, B ; 725
+ LD *AR4+0%, A || MAS *AR4+ ; 726
+ LD *AR4+0%, A || MAS *AR4+0%, B ; 727
+ LD *AR4+0%, A || MAS *AR4+0% ; 728
+ LD *AR4+0%, A || MAS *AR5, B ; 729
+ LD *AR4+0%, A || MAS *AR5 ; 730
+ LD *AR4+0%, A || MAS *AR5-, B ; 731
+ LD *AR4+0%, A || MAS *AR5- ; 732
+ LD *AR4+0%, A || MAS *AR5+, B ; 733
+ LD *AR4+0%, A || MAS *AR5+ ; 734
+ LD *AR4+0%, A || MAS *AR5+0%, B ; 735
+ LD *AR4+0%, A || MAS *AR5+0% ; 736
+ LD *AR4+0%, B || MAS *AR2, A ; 737
+ LD *AR4+0%, B || MAS *AR2 ; 738
+ LD *AR4+0%, B || MAS *AR2-, A ; 739
+ LD *AR4+0%, B || MAS *AR2- ; 740
+ LD *AR4+0%, B || MAS *AR2+, A ; 741
+ LD *AR4+0%, B || MAS *AR2+ ; 742
+ LD *AR4+0%, B || MAS *AR2+0%, A ; 743
+ LD *AR4+0%, B || MAS *AR2+0% ; 744
+ LD *AR4+0%, B || MAS *AR3, A ; 745
+ LD *AR4+0%, B || MAS *AR3 ; 746
+ LD *AR4+0%, B || MAS *AR3-, A ; 747
+ LD *AR4+0%, B || MAS *AR3- ; 748
+ LD *AR4+0%, B || MAS *AR3+, A ; 749
+ LD *AR4+0%, B || MAS *AR3+ ; 750
+ LD *AR4+0%, B || MAS *AR3+0%, A ; 751
+ LD *AR4+0%, B || MAS *AR3+0% ; 752
+ LD *AR4+0%, B || MAS *AR4, A ; 753
+ LD *AR4+0%, B || MAS *AR4 ; 754
+ LD *AR4+0%, B || MAS *AR4-, A ; 755
+ LD *AR4+0%, B || MAS *AR4- ; 756
+ LD *AR4+0%, B || MAS *AR4+, A ; 757
+ LD *AR4+0%, B || MAS *AR4+ ; 758
+ LD *AR4+0%, B || MAS *AR4+0%, A ; 759
+ LD *AR4+0%, B || MAS *AR4+0% ; 760
+ LD *AR4+0%, B || MAS *AR5, A ; 761
+ LD *AR4+0%, B || MAS *AR5 ; 762
+ LD *AR4+0%, B || MAS *AR5-, A ; 763
+ LD *AR4+0%, B || MAS *AR5- ; 764
+ LD *AR4+0%, B || MAS *AR5+, A ; 765
+ LD *AR4+0%, B || MAS *AR5+ ; 766
+ LD *AR4+0%, B || MAS *AR5+0%, A ; 767
+ LD *AR4+0%, B || MAS *AR5+0% ; 768
+ LD *AR5, A || MAS *AR2, B ; 769
+ LD *AR5, A || MAS *AR2 ; 770
+ LD *AR5, A || MAS *AR2-, B ; 771
+ LD *AR5, A || MAS *AR2- ; 772
+ LD *AR5, A || MAS *AR2+, B ; 773
+ LD *AR5, A || MAS *AR2+ ; 774
+ LD *AR5, A || MAS *AR2+0%, B ; 775
+ LD *AR5, A || MAS *AR2+0% ; 776
+ LD *AR5, A || MAS *AR3, B ; 777
+ LD *AR5, A || MAS *AR3 ; 778
+ LD *AR5, A || MAS *AR3-, B ; 779
+ LD *AR5, A || MAS *AR3- ; 780
+ LD *AR5, A || MAS *AR3+, B ; 781
+ LD *AR5, A || MAS *AR3+ ; 782
+ LD *AR5, A || MAS *AR3+0%, B ; 783
+ LD *AR5, A || MAS *AR3+0% ; 784
+ LD *AR5, A || MAS *AR4, B ; 785
+ LD *AR5, A || MAS *AR4 ; 786
+ LD *AR5, A || MAS *AR4-, B ; 787
+ LD *AR5, A || MAS *AR4- ; 788
+ LD *AR5, A || MAS *AR4+, B ; 789
+ LD *AR5, A || MAS *AR4+ ; 790
+ LD *AR5, A || MAS *AR4+0%, B ; 791
+ LD *AR5, A || MAS *AR4+0% ; 792
+ LD *AR5, A || MAS *AR5, B ; 793
+ LD *AR5, A || MAS *AR5 ; 794
+ LD *AR5, A || MAS *AR5-, B ; 795
+ LD *AR5, A || MAS *AR5- ; 796
+ LD *AR5, A || MAS *AR5+, B ; 797
+ LD *AR5, A || MAS *AR5+ ; 798
+ LD *AR5, A || MAS *AR5+0%, B ; 799
+ LD *AR5, A || MAS *AR5+0% ; 800
+ LD *AR5, B || MAS *AR2, A ; 801
+ LD *AR5, B || MAS *AR2 ; 802
+ LD *AR5, B || MAS *AR2-, A ; 803
+ LD *AR5, B || MAS *AR2- ; 804
+ LD *AR5, B || MAS *AR2+, A ; 805
+ LD *AR5, B || MAS *AR2+ ; 806
+ LD *AR5, B || MAS *AR2+0%, A ; 807
+ LD *AR5, B || MAS *AR2+0% ; 808
+ LD *AR5, B || MAS *AR3, A ; 809
+ LD *AR5, B || MAS *AR3 ; 810
+ LD *AR5, B || MAS *AR3-, A ; 811
+ LD *AR5, B || MAS *AR3- ; 812
+ LD *AR5, B || MAS *AR3+, A ; 813
+ LD *AR5, B || MAS *AR3+ ; 814
+ LD *AR5, B || MAS *AR3+0%, A ; 815
+ LD *AR5, B || MAS *AR3+0% ; 816
+ LD *AR5, B || MAS *AR4, A ; 817
+ LD *AR5, B || MAS *AR4 ; 818
+ LD *AR5, B || MAS *AR4-, A ; 819
+ LD *AR5, B || MAS *AR4- ; 820
+ LD *AR5, B || MAS *AR4+, A ; 821
+ LD *AR5, B || MAS *AR4+ ; 822
+ LD *AR5, B || MAS *AR4+0%, A ; 823
+ LD *AR5, B || MAS *AR4+0% ; 824
+ LD *AR5, B || MAS *AR5, A ; 825
+ LD *AR5, B || MAS *AR5 ; 826
+ LD *AR5, B || MAS *AR5-, A ; 827
+ LD *AR5, B || MAS *AR5- ; 828
+ LD *AR5, B || MAS *AR5+, A ; 829
+ LD *AR5, B || MAS *AR5+ ; 830
+ LD *AR5, B || MAS *AR5+0%, A ; 831
+ LD *AR5, B || MAS *AR5+0% ; 832
+ LD *AR5-, A || MAS *AR2, B ; 833
+ LD *AR5-, A || MAS *AR2 ; 834
+ LD *AR5-, A || MAS *AR2-, B ; 835
+ LD *AR5-, A || MAS *AR2- ; 836
+ LD *AR5-, A || MAS *AR2+, B ; 837
+ LD *AR5-, A || MAS *AR2+ ; 838
+ LD *AR5-, A || MAS *AR2+0%, B ; 839
+ LD *AR5-, A || MAS *AR2+0% ; 840
+ LD *AR5-, A || MAS *AR3, B ; 841
+ LD *AR5-, A || MAS *AR3 ; 842
+ LD *AR5-, A || MAS *AR3-, B ; 843
+ LD *AR5-, A || MAS *AR3- ; 844
+ LD *AR5-, A || MAS *AR3+, B ; 845
+ LD *AR5-, A || MAS *AR3+ ; 846
+ LD *AR5-, A || MAS *AR3+0%, B ; 847
+ LD *AR5-, A || MAS *AR3+0% ; 848
+ LD *AR5-, A || MAS *AR4, B ; 849
+ LD *AR5-, A || MAS *AR4 ; 850
+ LD *AR5-, A || MAS *AR4-, B ; 851
+ LD *AR5-, A || MAS *AR4- ; 852
+ LD *AR5-, A || MAS *AR4+, B ; 853
+ LD *AR5-, A || MAS *AR4+ ; 854
+ LD *AR5-, A || MAS *AR4+0%, B ; 855
+ LD *AR5-, A || MAS *AR4+0% ; 856
+ LD *AR5-, A || MAS *AR5, B ; 857
+ LD *AR5-, A || MAS *AR5 ; 858
+ LD *AR5-, A || MAS *AR5-, B ; 859
+ LD *AR5-, A || MAS *AR5- ; 860
+ LD *AR5-, A || MAS *AR5+, B ; 861
+ LD *AR5-, A || MAS *AR5+ ; 862
+ LD *AR5-, A || MAS *AR5+0%, B ; 863
+ LD *AR5-, A || MAS *AR5+0% ; 864
+ LD *AR5-, B || MAS *AR2, A ; 865
+ LD *AR5-, B || MAS *AR2 ; 866
+ LD *AR5-, B || MAS *AR2-, A ; 867
+ LD *AR5-, B || MAS *AR2- ; 868
+ LD *AR5-, B || MAS *AR2+, A ; 869
+ LD *AR5-, B || MAS *AR2+ ; 870
+ LD *AR5-, B || MAS *AR2+0%, A ; 871
+ LD *AR5-, B || MAS *AR2+0% ; 872
+ LD *AR5-, B || MAS *AR3, A ; 873
+ LD *AR5-, B || MAS *AR3 ; 874
+ LD *AR5-, B || MAS *AR3-, A ; 875
+ LD *AR5-, B || MAS *AR3- ; 876
+ LD *AR5-, B || MAS *AR3+, A ; 877
+ LD *AR5-, B || MAS *AR3+ ; 878
+ LD *AR5-, B || MAS *AR3+0%, A ; 879
+ LD *AR5-, B || MAS *AR3+0% ; 880
+ LD *AR5-, B || MAS *AR4, A ; 881
+ LD *AR5-, B || MAS *AR4 ; 882
+ LD *AR5-, B || MAS *AR4-, A ; 883
+ LD *AR5-, B || MAS *AR4- ; 884
+ LD *AR5-, B || MAS *AR4+, A ; 885
+ LD *AR5-, B || MAS *AR4+ ; 886
+ LD *AR5-, B || MAS *AR4+0%, A ; 887
+ LD *AR5-, B || MAS *AR4+0% ; 888
+ LD *AR5-, B || MAS *AR5, A ; 889
+ LD *AR5-, B || MAS *AR5 ; 890
+ LD *AR5-, B || MAS *AR5-, A ; 891
+ LD *AR5-, B || MAS *AR5- ; 892
+ LD *AR5-, B || MAS *AR5+, A ; 893
+ LD *AR5-, B || MAS *AR5+ ; 894
+ LD *AR5-, B || MAS *AR5+0%, A ; 895
+ LD *AR5-, B || MAS *AR5+0% ; 896
+ LD *AR5+, A || MAS *AR2, B ; 897
+ LD *AR5+, A || MAS *AR2 ; 898
+ LD *AR5+, A || MAS *AR2-, B ; 899
+ LD *AR5+, A || MAS *AR2- ; 900
+ LD *AR5+, A || MAS *AR2+, B ; 901
+ LD *AR5+, A || MAS *AR2+ ; 902
+ LD *AR5+, A || MAS *AR2+0%, B ; 903
+ LD *AR5+, A || MAS *AR2+0% ; 904
+ LD *AR5+, A || MAS *AR3, B ; 905
+ LD *AR5+, A || MAS *AR3 ; 906
+ LD *AR5+, A || MAS *AR3-, B ; 907
+ LD *AR5+, A || MAS *AR3- ; 908
+ LD *AR5+, A || MAS *AR3+, B ; 909
+ LD *AR5+, A || MAS *AR3+ ; 910
+ LD *AR5+, A || MAS *AR3+0%, B ; 911
+ LD *AR5+, A || MAS *AR3+0% ; 912
+ LD *AR5+, A || MAS *AR4, B ; 913
+ LD *AR5+, A || MAS *AR4 ; 914
+ LD *AR5+, A || MAS *AR4-, B ; 915
+ LD *AR5+, A || MAS *AR4- ; 916
+ LD *AR5+, A || MAS *AR4+, B ; 917
+ LD *AR5+, A || MAS *AR4+ ; 918
+ LD *AR5+, A || MAS *AR4+0%, B ; 919
+ LD *AR5+, A || MAS *AR4+0% ; 920
+ LD *AR5+, A || MAS *AR5, B ; 921
+ LD *AR5+, A || MAS *AR5 ; 922
+ LD *AR5+, A || MAS *AR5-, B ; 923
+ LD *AR5+, A || MAS *AR5- ; 924
+ LD *AR5+, A || MAS *AR5+, B ; 925
+ LD *AR5+, A || MAS *AR5+ ; 926
+ LD *AR5+, A || MAS *AR5+0%, B ; 927
+ LD *AR5+, A || MAS *AR5+0% ; 928
+ LD *AR5+, B || MAS *AR2, A ; 929
+ LD *AR5+, B || MAS *AR2 ; 930
+ LD *AR5+, B || MAS *AR2-, A ; 931
+ LD *AR5+, B || MAS *AR2- ; 932
+ LD *AR5+, B || MAS *AR2+, A ; 933
+ LD *AR5+, B || MAS *AR2+ ; 934
+ LD *AR5+, B || MAS *AR2+0%, A ; 935
+ LD *AR5+, B || MAS *AR2+0% ; 936
+ LD *AR5+, B || MAS *AR3, A ; 937
+ LD *AR5+, B || MAS *AR3 ; 938
+ LD *AR5+, B || MAS *AR3-, A ; 939
+ LD *AR5+, B || MAS *AR3- ; 940
+ LD *AR5+, B || MAS *AR3+, A ; 941
+ LD *AR5+, B || MAS *AR3+ ; 942
+ LD *AR5+, B || MAS *AR3+0%, A ; 943
+ LD *AR5+, B || MAS *AR3+0% ; 944
+ LD *AR5+, B || MAS *AR4, A ; 945
+ LD *AR5+, B || MAS *AR4 ; 946
+ LD *AR5+, B || MAS *AR4-, A ; 947
+ LD *AR5+, B || MAS *AR4- ; 948
+ LD *AR5+, B || MAS *AR4+, A ; 949
+ LD *AR5+, B || MAS *AR4+ ; 950
+ LD *AR5+, B || MAS *AR4+0%, A ; 951
+ LD *AR5+, B || MAS *AR4+0% ; 952
+ LD *AR5+, B || MAS *AR5, A ; 953
+ LD *AR5+, B || MAS *AR5 ; 954
+ LD *AR5+, B || MAS *AR5-, A ; 955
+ LD *AR5+, B || MAS *AR5- ; 956
+ LD *AR5+, B || MAS *AR5+, A ; 957
+ LD *AR5+, B || MAS *AR5+ ; 958
+ LD *AR5+, B || MAS *AR5+0%, A ; 959
+ LD *AR5+, B || MAS *AR5+0% ; 960
+ LD *AR5+0%, A || MAS *AR2, B ; 961
+ LD *AR5+0%, A || MAS *AR2 ; 962
+ LD *AR5+0%, A || MAS *AR2-, B ; 963
+ LD *AR5+0%, A || MAS *AR2- ; 964
+ LD *AR5+0%, A || MAS *AR2+, B ; 965
+ LD *AR5+0%, A || MAS *AR2+ ; 966
+ LD *AR5+0%, A || MAS *AR2+0%, B ; 967
+ LD *AR5+0%, A || MAS *AR2+0% ; 968
+ LD *AR5+0%, A || MAS *AR3, B ; 969
+ LD *AR5+0%, A || MAS *AR3 ; 970
+ LD *AR5+0%, A || MAS *AR3-, B ; 971
+ LD *AR5+0%, A || MAS *AR3- ; 972
+ LD *AR5+0%, A || MAS *AR3+, B ; 973
+ LD *AR5+0%, A || MAS *AR3+ ; 974
+ LD *AR5+0%, A || MAS *AR3+0%, B ; 975
+ LD *AR5+0%, A || MAS *AR3+0% ; 976
+ LD *AR5+0%, A || MAS *AR4, B ; 977
+ LD *AR5+0%, A || MAS *AR4 ; 978
+ LD *AR5+0%, A || MAS *AR4-, B ; 979
+ LD *AR5+0%, A || MAS *AR4- ; 980
+ LD *AR5+0%, A || MAS *AR4+, B ; 981
+ LD *AR5+0%, A || MAS *AR4+ ; 982
+ LD *AR5+0%, A || MAS *AR4+0%, B ; 983
+ LD *AR5+0%, A || MAS *AR4+0% ; 984
+ LD *AR5+0%, A || MAS *AR5, B ; 985
+ LD *AR5+0%, A || MAS *AR5 ; 986
+ LD *AR5+0%, A || MAS *AR5-, B ; 987
+ LD *AR5+0%, A || MAS *AR5- ; 988
+ LD *AR5+0%, A || MAS *AR5+, B ; 989
+ LD *AR5+0%, A || MAS *AR5+ ; 990
+ LD *AR5+0%, A || MAS *AR5+0%, B ; 991
+ LD *AR5+0%, A || MAS *AR5+0% ; 992
+ LD *AR5+0%, B || MAS *AR2, A ; 993
+ LD *AR5+0%, B || MAS *AR2 ; 994
+ LD *AR5+0%, B || MAS *AR2-, A ; 995
+ LD *AR5+0%, B || MAS *AR2- ; 996
+ LD *AR5+0%, B || MAS *AR2+, A ; 997
+ LD *AR5+0%, B || MAS *AR2+ ; 998
+ LD *AR5+0%, B || MAS *AR2+0%, A ; 999
+ LD *AR5+0%, B || MAS *AR2+0% ; 1000
+ LD *AR5+0%, B || MAS *AR3, A ; 1001
+ LD *AR5+0%, B || MAS *AR3 ; 1002
+ LD *AR5+0%, B || MAS *AR3-, A ; 1003
+ LD *AR5+0%, B || MAS *AR3- ; 1004
+ LD *AR5+0%, B || MAS *AR3+, A ; 1005
+ LD *AR5+0%, B || MAS *AR3+ ; 1006
+ LD *AR5+0%, B || MAS *AR3+0%, A ; 1007
+ LD *AR5+0%, B || MAS *AR3+0% ; 1008
+ LD *AR5+0%, B || MAS *AR4, A ; 1009
+ LD *AR5+0%, B || MAS *AR4 ; 1010
+ LD *AR5+0%, B || MAS *AR4-, A ; 1011
+ LD *AR5+0%, B || MAS *AR4- ; 1012
+ LD *AR5+0%, B || MAS *AR4+, A ; 1013
+ LD *AR5+0%, B || MAS *AR4+ ; 1014
+ LD *AR5+0%, B || MAS *AR4+0%, A ; 1015
+ LD *AR5+0%, B || MAS *AR4+0% ; 1016
+ LD *AR5+0%, B || MAS *AR5, A ; 1017
+ LD *AR5+0%, B || MAS *AR5 ; 1018
+ LD *AR5+0%, B || MAS *AR5-, A ; 1019
+ LD *AR5+0%, B || MAS *AR5- ; 1020
+ LD *AR5+0%, B || MAS *AR5+, A ; 1021
+ LD *AR5+0%, B || MAS *AR5+ ; 1022
+ LD *AR5+0%, B || MAS *AR5+0%, A ; 1023
+ LD *AR5+0%, B || MAS *AR5+0% ; 1024
+ ; LDandMASR : LD Xmem, AB || MASR Ymem, BA : 1024
+ LD *AR2, A || MASR *AR2, B ; 1
+ LD *AR2, A || MASR *AR2 ; 2
+ LD *AR2, A || MASR *AR2-, B ; 3
+ LD *AR2, A || MASR *AR2- ; 4
+ LD *AR2, A || MASR *AR2+, B ; 5
+ LD *AR2, A || MASR *AR2+ ; 6
+ LD *AR2, A || MASR *AR2+0%, B ; 7
+ LD *AR2, A || MASR *AR2+0% ; 8
+ LD *AR2, A || MASR *AR3, B ; 9
+ LD *AR2, A || MASR *AR3 ; 10
+ LD *AR2, A || MASR *AR3-, B ; 11
+ LD *AR2, A || MASR *AR3- ; 12
+ LD *AR2, A || MASR *AR3+, B ; 13
+ LD *AR2, A || MASR *AR3+ ; 14
+ LD *AR2, A || MASR *AR3+0%, B ; 15
+ LD *AR2, A || MASR *AR3+0% ; 16
+ LD *AR2, A || MASR *AR4, B ; 17
+ LD *AR2, A || MASR *AR4 ; 18
+ LD *AR2, A || MASR *AR4-, B ; 19
+ LD *AR2, A || MASR *AR4- ; 20
+ LD *AR2, A || MASR *AR4+, B ; 21
+ LD *AR2, A || MASR *AR4+ ; 22
+ LD *AR2, A || MASR *AR4+0%, B ; 23
+ LD *AR2, A || MASR *AR4+0% ; 24
+ LD *AR2, A || MASR *AR5, B ; 25
+ LD *AR2, A || MASR *AR5 ; 26
+ LD *AR2, A || MASR *AR5-, B ; 27
+ LD *AR2, A || MASR *AR5- ; 28
+ LD *AR2, A || MASR *AR5+, B ; 29
+ LD *AR2, A || MASR *AR5+ ; 30
+ LD *AR2, A || MASR *AR5+0%, B ; 31
+ LD *AR2, A || MASR *AR5+0% ; 32
+ LD *AR2, B || MASR *AR2, A ; 33
+ LD *AR2, B || MASR *AR2 ; 34
+ LD *AR2, B || MASR *AR2-, A ; 35
+ LD *AR2, B || MASR *AR2- ; 36
+ LD *AR2, B || MASR *AR2+, A ; 37
+ LD *AR2, B || MASR *AR2+ ; 38
+ LD *AR2, B || MASR *AR2+0%, A ; 39
+ LD *AR2, B || MASR *AR2+0% ; 40
+ LD *AR2, B || MASR *AR3, A ; 41
+ LD *AR2, B || MASR *AR3 ; 42
+ LD *AR2, B || MASR *AR3-, A ; 43
+ LD *AR2, B || MASR *AR3- ; 44
+ LD *AR2, B || MASR *AR3+, A ; 45
+ LD *AR2, B || MASR *AR3+ ; 46
+ LD *AR2, B || MASR *AR3+0%, A ; 47
+ LD *AR2, B || MASR *AR3+0% ; 48
+ LD *AR2, B || MASR *AR4, A ; 49
+ LD *AR2, B || MASR *AR4 ; 50
+ LD *AR2, B || MASR *AR4-, A ; 51
+ LD *AR2, B || MASR *AR4- ; 52
+ LD *AR2, B || MASR *AR4+, A ; 53
+ LD *AR2, B || MASR *AR4+ ; 54
+ LD *AR2, B || MASR *AR4+0%, A ; 55
+ LD *AR2, B || MASR *AR4+0% ; 56
+ LD *AR2, B || MASR *AR5, A ; 57
+ LD *AR2, B || MASR *AR5 ; 58
+ LD *AR2, B || MASR *AR5-, A ; 59
+ LD *AR2, B || MASR *AR5- ; 60
+ LD *AR2, B || MASR *AR5+, A ; 61
+ LD *AR2, B || MASR *AR5+ ; 62
+ LD *AR2, B || MASR *AR5+0%, A ; 63
+ LD *AR2, B || MASR *AR5+0% ; 64
+ LD *AR2-, A || MASR *AR2, B ; 65
+ LD *AR2-, A || MASR *AR2 ; 66
+ LD *AR2-, A || MASR *AR2-, B ; 67
+ LD *AR2-, A || MASR *AR2- ; 68
+ LD *AR2-, A || MASR *AR2+, B ; 69
+ LD *AR2-, A || MASR *AR2+ ; 70
+ LD *AR2-, A || MASR *AR2+0%, B ; 71
+ LD *AR2-, A || MASR *AR2+0% ; 72
+ LD *AR2-, A || MASR *AR3, B ; 73
+ LD *AR2-, A || MASR *AR3 ; 74
+ LD *AR2-, A || MASR *AR3-, B ; 75
+ LD *AR2-, A || MASR *AR3- ; 76
+ LD *AR2-, A || MASR *AR3+, B ; 77
+ LD *AR2-, A || MASR *AR3+ ; 78
+ LD *AR2-, A || MASR *AR3+0%, B ; 79
+ LD *AR2-, A || MASR *AR3+0% ; 80
+ LD *AR2-, A || MASR *AR4, B ; 81
+ LD *AR2-, A || MASR *AR4 ; 82
+ LD *AR2-, A || MASR *AR4-, B ; 83
+ LD *AR2-, A || MASR *AR4- ; 84
+ LD *AR2-, A || MASR *AR4+, B ; 85
+ LD *AR2-, A || MASR *AR4+ ; 86
+ LD *AR2-, A || MASR *AR4+0%, B ; 87
+ LD *AR2-, A || MASR *AR4+0% ; 88
+ LD *AR2-, A || MASR *AR5, B ; 89
+ LD *AR2-, A || MASR *AR5 ; 90
+ LD *AR2-, A || MASR *AR5-, B ; 91
+ LD *AR2-, A || MASR *AR5- ; 92
+ LD *AR2-, A || MASR *AR5+, B ; 93
+ LD *AR2-, A || MASR *AR5+ ; 94
+ LD *AR2-, A || MASR *AR5+0%, B ; 95
+ LD *AR2-, A || MASR *AR5+0% ; 96
+ LD *AR2-, B || MASR *AR2, A ; 97
+ LD *AR2-, B || MASR *AR2 ; 98
+ LD *AR2-, B || MASR *AR2-, A ; 99
+ LD *AR2-, B || MASR *AR2- ; 100
+ LD *AR2-, B || MASR *AR2+, A ; 101
+ LD *AR2-, B || MASR *AR2+ ; 102
+ LD *AR2-, B || MASR *AR2+0%, A ; 103
+ LD *AR2-, B || MASR *AR2+0% ; 104
+ LD *AR2-, B || MASR *AR3, A ; 105
+ LD *AR2-, B || MASR *AR3 ; 106
+ LD *AR2-, B || MASR *AR3-, A ; 107
+ LD *AR2-, B || MASR *AR3- ; 108
+ LD *AR2-, B || MASR *AR3+, A ; 109
+ LD *AR2-, B || MASR *AR3+ ; 110
+ LD *AR2-, B || MASR *AR3+0%, A ; 111
+ LD *AR2-, B || MASR *AR3+0% ; 112
+ LD *AR2-, B || MASR *AR4, A ; 113
+ LD *AR2-, B || MASR *AR4 ; 114
+ LD *AR2-, B || MASR *AR4-, A ; 115
+ LD *AR2-, B || MASR *AR4- ; 116
+ LD *AR2-, B || MASR *AR4+, A ; 117
+ LD *AR2-, B || MASR *AR4+ ; 118
+ LD *AR2-, B || MASR *AR4+0%, A ; 119
+ LD *AR2-, B || MASR *AR4+0% ; 120
+ LD *AR2-, B || MASR *AR5, A ; 121
+ LD *AR2-, B || MASR *AR5 ; 122
+ LD *AR2-, B || MASR *AR5-, A ; 123
+ LD *AR2-, B || MASR *AR5- ; 124
+ LD *AR2-, B || MASR *AR5+, A ; 125
+ LD *AR2-, B || MASR *AR5+ ; 126
+ LD *AR2-, B || MASR *AR5+0%, A ; 127
+ LD *AR2-, B || MASR *AR5+0% ; 128
+ LD *AR2+, A || MASR *AR2, B ; 129
+ LD *AR2+, A || MASR *AR2 ; 130
+ LD *AR2+, A || MASR *AR2-, B ; 131
+ LD *AR2+, A || MASR *AR2- ; 132
+ LD *AR2+, A || MASR *AR2+, B ; 133
+ LD *AR2+, A || MASR *AR2+ ; 134
+ LD *AR2+, A || MASR *AR2+0%, B ; 135
+ LD *AR2+, A || MASR *AR2+0% ; 136
+ LD *AR2+, A || MASR *AR3, B ; 137
+ LD *AR2+, A || MASR *AR3 ; 138
+ LD *AR2+, A || MASR *AR3-, B ; 139
+ LD *AR2+, A || MASR *AR3- ; 140
+ LD *AR2+, A || MASR *AR3+, B ; 141
+ LD *AR2+, A || MASR *AR3+ ; 142
+ LD *AR2+, A || MASR *AR3+0%, B ; 143
+ LD *AR2+, A || MASR *AR3+0% ; 144
+ LD *AR2+, A || MASR *AR4, B ; 145
+ LD *AR2+, A || MASR *AR4 ; 146
+ LD *AR2+, A || MASR *AR4-, B ; 147
+ LD *AR2+, A || MASR *AR4- ; 148
+ LD *AR2+, A || MASR *AR4+, B ; 149
+ LD *AR2+, A || MASR *AR4+ ; 150
+ LD *AR2+, A || MASR *AR4+0%, B ; 151
+ LD *AR2+, A || MASR *AR4+0% ; 152
+ LD *AR2+, A || MASR *AR5, B ; 153
+ LD *AR2+, A || MASR *AR5 ; 154
+ LD *AR2+, A || MASR *AR5-, B ; 155
+ LD *AR2+, A || MASR *AR5- ; 156
+ LD *AR2+, A || MASR *AR5+, B ; 157
+ LD *AR2+, A || MASR *AR5+ ; 158
+ LD *AR2+, A || MASR *AR5+0%, B ; 159
+ LD *AR2+, A || MASR *AR5+0% ; 160
+ LD *AR2+, B || MASR *AR2, A ; 161
+ LD *AR2+, B || MASR *AR2 ; 162
+ LD *AR2+, B || MASR *AR2-, A ; 163
+ LD *AR2+, B || MASR *AR2- ; 164
+ LD *AR2+, B || MASR *AR2+, A ; 165
+ LD *AR2+, B || MASR *AR2+ ; 166
+ LD *AR2+, B || MASR *AR2+0%, A ; 167
+ LD *AR2+, B || MASR *AR2+0% ; 168
+ LD *AR2+, B || MASR *AR3, A ; 169
+ LD *AR2+, B || MASR *AR3 ; 170
+ LD *AR2+, B || MASR *AR3-, A ; 171
+ LD *AR2+, B || MASR *AR3- ; 172
+ LD *AR2+, B || MASR *AR3+, A ; 173
+ LD *AR2+, B || MASR *AR3+ ; 174
+ LD *AR2+, B || MASR *AR3+0%, A ; 175
+ LD *AR2+, B || MASR *AR3+0% ; 176
+ LD *AR2+, B || MASR *AR4, A ; 177
+ LD *AR2+, B || MASR *AR4 ; 178
+ LD *AR2+, B || MASR *AR4-, A ; 179
+ LD *AR2+, B || MASR *AR4- ; 180
+ LD *AR2+, B || MASR *AR4+, A ; 181
+ LD *AR2+, B || MASR *AR4+ ; 182
+ LD *AR2+, B || MASR *AR4+0%, A ; 183
+ LD *AR2+, B || MASR *AR4+0% ; 184
+ LD *AR2+, B || MASR *AR5, A ; 185
+ LD *AR2+, B || MASR *AR5 ; 186
+ LD *AR2+, B || MASR *AR5-, A ; 187
+ LD *AR2+, B || MASR *AR5- ; 188
+ LD *AR2+, B || MASR *AR5+, A ; 189
+ LD *AR2+, B || MASR *AR5+ ; 190
+ LD *AR2+, B || MASR *AR5+0%, A ; 191
+ LD *AR2+, B || MASR *AR5+0% ; 192
+ LD *AR2+0%, A || MASR *AR2, B ; 193
+ LD *AR2+0%, A || MASR *AR2 ; 194
+ LD *AR2+0%, A || MASR *AR2-, B ; 195
+ LD *AR2+0%, A || MASR *AR2- ; 196
+ LD *AR2+0%, A || MASR *AR2+, B ; 197
+ LD *AR2+0%, A || MASR *AR2+ ; 198
+ LD *AR2+0%, A || MASR *AR2+0%, B ; 199
+ LD *AR2+0%, A || MASR *AR2+0% ; 200
+ LD *AR2+0%, A || MASR *AR3, B ; 201
+ LD *AR2+0%, A || MASR *AR3 ; 202
+ LD *AR2+0%, A || MASR *AR3-, B ; 203
+ LD *AR2+0%, A || MASR *AR3- ; 204
+ LD *AR2+0%, A || MASR *AR3+, B ; 205
+ LD *AR2+0%, A || MASR *AR3+ ; 206
+ LD *AR2+0%, A || MASR *AR3+0%, B ; 207
+ LD *AR2+0%, A || MASR *AR3+0% ; 208
+ LD *AR2+0%, A || MASR *AR4, B ; 209
+ LD *AR2+0%, A || MASR *AR4 ; 210
+ LD *AR2+0%, A || MASR *AR4-, B ; 211
+ LD *AR2+0%, A || MASR *AR4- ; 212
+ LD *AR2+0%, A || MASR *AR4+, B ; 213
+ LD *AR2+0%, A || MASR *AR4+ ; 214
+ LD *AR2+0%, A || MASR *AR4+0%, B ; 215
+ LD *AR2+0%, A || MASR *AR4+0% ; 216
+ LD *AR2+0%, A || MASR *AR5, B ; 217
+ LD *AR2+0%, A || MASR *AR5 ; 218
+ LD *AR2+0%, A || MASR *AR5-, B ; 219
+ LD *AR2+0%, A || MASR *AR5- ; 220
+ LD *AR2+0%, A || MASR *AR5+, B ; 221
+ LD *AR2+0%, A || MASR *AR5+ ; 222
+ LD *AR2+0%, A || MASR *AR5+0%, B ; 223
+ LD *AR2+0%, A || MASR *AR5+0% ; 224
+ LD *AR2+0%, B || MASR *AR2, A ; 225
+ LD *AR2+0%, B || MASR *AR2 ; 226
+ LD *AR2+0%, B || MASR *AR2-, A ; 227
+ LD *AR2+0%, B || MASR *AR2- ; 228
+ LD *AR2+0%, B || MASR *AR2+, A ; 229
+ LD *AR2+0%, B || MASR *AR2+ ; 230
+ LD *AR2+0%, B || MASR *AR2+0%, A ; 231
+ LD *AR2+0%, B || MASR *AR2+0% ; 232
+ LD *AR2+0%, B || MASR *AR3, A ; 233
+ LD *AR2+0%, B || MASR *AR3 ; 234
+ LD *AR2+0%, B || MASR *AR3-, A ; 235
+ LD *AR2+0%, B || MASR *AR3- ; 236
+ LD *AR2+0%, B || MASR *AR3+, A ; 237
+ LD *AR2+0%, B || MASR *AR3+ ; 238
+ LD *AR2+0%, B || MASR *AR3+0%, A ; 239
+ LD *AR2+0%, B || MASR *AR3+0% ; 240
+ LD *AR2+0%, B || MASR *AR4, A ; 241
+ LD *AR2+0%, B || MASR *AR4 ; 242
+ LD *AR2+0%, B || MASR *AR4-, A ; 243
+ LD *AR2+0%, B || MASR *AR4- ; 244
+ LD *AR2+0%, B || MASR *AR4+, A ; 245
+ LD *AR2+0%, B || MASR *AR4+ ; 246
+ LD *AR2+0%, B || MASR *AR4+0%, A ; 247
+ LD *AR2+0%, B || MASR *AR4+0% ; 248
+ LD *AR2+0%, B || MASR *AR5, A ; 249
+ LD *AR2+0%, B || MASR *AR5 ; 250
+ LD *AR2+0%, B || MASR *AR5-, A ; 251
+ LD *AR2+0%, B || MASR *AR5- ; 252
+ LD *AR2+0%, B || MASR *AR5+, A ; 253
+ LD *AR2+0%, B || MASR *AR5+ ; 254
+ LD *AR2+0%, B || MASR *AR5+0%, A ; 255
+ LD *AR2+0%, B || MASR *AR5+0% ; 256
+ LD *AR3, A || MASR *AR2, B ; 257
+ LD *AR3, A || MASR *AR2 ; 258
+ LD *AR3, A || MASR *AR2-, B ; 259
+ LD *AR3, A || MASR *AR2- ; 260
+ LD *AR3, A || MASR *AR2+, B ; 261
+ LD *AR3, A || MASR *AR2+ ; 262
+ LD *AR3, A || MASR *AR2+0%, B ; 263
+ LD *AR3, A || MASR *AR2+0% ; 264
+ LD *AR3, A || MASR *AR3, B ; 265
+ LD *AR3, A || MASR *AR3 ; 266
+ LD *AR3, A || MASR *AR3-, B ; 267
+ LD *AR3, A || MASR *AR3- ; 268
+ LD *AR3, A || MASR *AR3+, B ; 269
+ LD *AR3, A || MASR *AR3+ ; 270
+ LD *AR3, A || MASR *AR3+0%, B ; 271
+ LD *AR3, A || MASR *AR3+0% ; 272
+ LD *AR3, A || MASR *AR4, B ; 273
+ LD *AR3, A || MASR *AR4 ; 274
+ LD *AR3, A || MASR *AR4-, B ; 275
+ LD *AR3, A || MASR *AR4- ; 276
+ LD *AR3, A || MASR *AR4+, B ; 277
+ LD *AR3, A || MASR *AR4+ ; 278
+ LD *AR3, A || MASR *AR4+0%, B ; 279
+ LD *AR3, A || MASR *AR4+0% ; 280
+ LD *AR3, A || MASR *AR5, B ; 281
+ LD *AR3, A || MASR *AR5 ; 282
+ LD *AR3, A || MASR *AR5-, B ; 283
+ LD *AR3, A || MASR *AR5- ; 284
+ LD *AR3, A || MASR *AR5+, B ; 285
+ LD *AR3, A || MASR *AR5+ ; 286
+ LD *AR3, A || MASR *AR5+0%, B ; 287
+ LD *AR3, A || MASR *AR5+0% ; 288
+ LD *AR3, B || MASR *AR2, A ; 289
+ LD *AR3, B || MASR *AR2 ; 290
+ LD *AR3, B || MASR *AR2-, A ; 291
+ LD *AR3, B || MASR *AR2- ; 292
+ LD *AR3, B || MASR *AR2+, A ; 293
+ LD *AR3, B || MASR *AR2+ ; 294
+ LD *AR3, B || MASR *AR2+0%, A ; 295
+ LD *AR3, B || MASR *AR2+0% ; 296
+ LD *AR3, B || MASR *AR3, A ; 297
+ LD *AR3, B || MASR *AR3 ; 298
+ LD *AR3, B || MASR *AR3-, A ; 299
+ LD *AR3, B || MASR *AR3- ; 300
+ LD *AR3, B || MASR *AR3+, A ; 301
+ LD *AR3, B || MASR *AR3+ ; 302
+ LD *AR3, B || MASR *AR3+0%, A ; 303
+ LD *AR3, B || MASR *AR3+0% ; 304
+ LD *AR3, B || MASR *AR4, A ; 305
+ LD *AR3, B || MASR *AR4 ; 306
+ LD *AR3, B || MASR *AR4-, A ; 307
+ LD *AR3, B || MASR *AR4- ; 308
+ LD *AR3, B || MASR *AR4+, A ; 309
+ LD *AR3, B || MASR *AR4+ ; 310
+ LD *AR3, B || MASR *AR4+0%, A ; 311
+ LD *AR3, B || MASR *AR4+0% ; 312
+ LD *AR3, B || MASR *AR5, A ; 313
+ LD *AR3, B || MASR *AR5 ; 314
+ LD *AR3, B || MASR *AR5-, A ; 315
+ LD *AR3, B || MASR *AR5- ; 316
+ LD *AR3, B || MASR *AR5+, A ; 317
+ LD *AR3, B || MASR *AR5+ ; 318
+ LD *AR3, B || MASR *AR5+0%, A ; 319
+ LD *AR3, B || MASR *AR5+0% ; 320
+ LD *AR3-, A || MASR *AR2, B ; 321
+ LD *AR3-, A || MASR *AR2 ; 322
+ LD *AR3-, A || MASR *AR2-, B ; 323
+ LD *AR3-, A || MASR *AR2- ; 324
+ LD *AR3-, A || MASR *AR2+, B ; 325
+ LD *AR3-, A || MASR *AR2+ ; 326
+ LD *AR3-, A || MASR *AR2+0%, B ; 327
+ LD *AR3-, A || MASR *AR2+0% ; 328
+ LD *AR3-, A || MASR *AR3, B ; 329
+ LD *AR3-, A || MASR *AR3 ; 330
+ LD *AR3-, A || MASR *AR3-, B ; 331
+ LD *AR3-, A || MASR *AR3- ; 332
+ LD *AR3-, A || MASR *AR3+, B ; 333
+ LD *AR3-, A || MASR *AR3+ ; 334
+ LD *AR3-, A || MASR *AR3+0%, B ; 335
+ LD *AR3-, A || MASR *AR3+0% ; 336
+ LD *AR3-, A || MASR *AR4, B ; 337
+ LD *AR3-, A || MASR *AR4 ; 338
+ LD *AR3-, A || MASR *AR4-, B ; 339
+ LD *AR3-, A || MASR *AR4- ; 340
+ LD *AR3-, A || MASR *AR4+, B ; 341
+ LD *AR3-, A || MASR *AR4+ ; 342
+ LD *AR3-, A || MASR *AR4+0%, B ; 343
+ LD *AR3-, A || MASR *AR4+0% ; 344
+ LD *AR3-, A || MASR *AR5, B ; 345
+ LD *AR3-, A || MASR *AR5 ; 346
+ LD *AR3-, A || MASR *AR5-, B ; 347
+ LD *AR3-, A || MASR *AR5- ; 348
+ LD *AR3-, A || MASR *AR5+, B ; 349
+ LD *AR3-, A || MASR *AR5+ ; 350
+ LD *AR3-, A || MASR *AR5+0%, B ; 351
+ LD *AR3-, A || MASR *AR5+0% ; 352
+ LD *AR3-, B || MASR *AR2, A ; 353
+ LD *AR3-, B || MASR *AR2 ; 354
+ LD *AR3-, B || MASR *AR2-, A ; 355
+ LD *AR3-, B || MASR *AR2- ; 356
+ LD *AR3-, B || MASR *AR2+, A ; 357
+ LD *AR3-, B || MASR *AR2+ ; 358
+ LD *AR3-, B || MASR *AR2+0%, A ; 359
+ LD *AR3-, B || MASR *AR2+0% ; 360
+ LD *AR3-, B || MASR *AR3, A ; 361
+ LD *AR3-, B || MASR *AR3 ; 362
+ LD *AR3-, B || MASR *AR3-, A ; 363
+ LD *AR3-, B || MASR *AR3- ; 364
+ LD *AR3-, B || MASR *AR3+, A ; 365
+ LD *AR3-, B || MASR *AR3+ ; 366
+ LD *AR3-, B || MASR *AR3+0%, A ; 367
+ LD *AR3-, B || MASR *AR3+0% ; 368
+ LD *AR3-, B || MASR *AR4, A ; 369
+ LD *AR3-, B || MASR *AR4 ; 370
+ LD *AR3-, B || MASR *AR4-, A ; 371
+ LD *AR3-, B || MASR *AR4- ; 372
+ LD *AR3-, B || MASR *AR4+, A ; 373
+ LD *AR3-, B || MASR *AR4+ ; 374
+ LD *AR3-, B || MASR *AR4+0%, A ; 375
+ LD *AR3-, B || MASR *AR4+0% ; 376
+ LD *AR3-, B || MASR *AR5, A ; 377
+ LD *AR3-, B || MASR *AR5 ; 378
+ LD *AR3-, B || MASR *AR5-, A ; 379
+ LD *AR3-, B || MASR *AR5- ; 380
+ LD *AR3-, B || MASR *AR5+, A ; 381
+ LD *AR3-, B || MASR *AR5+ ; 382
+ LD *AR3-, B || MASR *AR5+0%, A ; 383
+ LD *AR3-, B || MASR *AR5+0% ; 384
+ LD *AR3+, A || MASR *AR2, B ; 385
+ LD *AR3+, A || MASR *AR2 ; 386
+ LD *AR3+, A || MASR *AR2-, B ; 387
+ LD *AR3+, A || MASR *AR2- ; 388
+ LD *AR3+, A || MASR *AR2+, B ; 389
+ LD *AR3+, A || MASR *AR2+ ; 390
+ LD *AR3+, A || MASR *AR2+0%, B ; 391
+ LD *AR3+, A || MASR *AR2+0% ; 392
+ LD *AR3+, A || MASR *AR3, B ; 393
+ LD *AR3+, A || MASR *AR3 ; 394
+ LD *AR3+, A || MASR *AR3-, B ; 395
+ LD *AR3+, A || MASR *AR3- ; 396
+ LD *AR3+, A || MASR *AR3+, B ; 397
+ LD *AR3+, A || MASR *AR3+ ; 398
+ LD *AR3+, A || MASR *AR3+0%, B ; 399
+ LD *AR3+, A || MASR *AR3+0% ; 400
+ LD *AR3+, A || MASR *AR4, B ; 401
+ LD *AR3+, A || MASR *AR4 ; 402
+ LD *AR3+, A || MASR *AR4-, B ; 403
+ LD *AR3+, A || MASR *AR4- ; 404
+ LD *AR3+, A || MASR *AR4+, B ; 405
+ LD *AR3+, A || MASR *AR4+ ; 406
+ LD *AR3+, A || MASR *AR4+0%, B ; 407
+ LD *AR3+, A || MASR *AR4+0% ; 408
+ LD *AR3+, A || MASR *AR5, B ; 409
+ LD *AR3+, A || MASR *AR5 ; 410
+ LD *AR3+, A || MASR *AR5-, B ; 411
+ LD *AR3+, A || MASR *AR5- ; 412
+ LD *AR3+, A || MASR *AR5+, B ; 413
+ LD *AR3+, A || MASR *AR5+ ; 414
+ LD *AR3+, A || MASR *AR5+0%, B ; 415
+ LD *AR3+, A || MASR *AR5+0% ; 416
+ LD *AR3+, B || MASR *AR2, A ; 417
+ LD *AR3+, B || MASR *AR2 ; 418
+ LD *AR3+, B || MASR *AR2-, A ; 419
+ LD *AR3+, B || MASR *AR2- ; 420
+ LD *AR3+, B || MASR *AR2+, A ; 421
+ LD *AR3+, B || MASR *AR2+ ; 422
+ LD *AR3+, B || MASR *AR2+0%, A ; 423
+ LD *AR3+, B || MASR *AR2+0% ; 424
+ LD *AR3+, B || MASR *AR3, A ; 425
+ LD *AR3+, B || MASR *AR3 ; 426
+ LD *AR3+, B || MASR *AR3-, A ; 427
+ LD *AR3+, B || MASR *AR3- ; 428
+ LD *AR3+, B || MASR *AR3+, A ; 429
+ LD *AR3+, B || MASR *AR3+ ; 430
+ LD *AR3+, B || MASR *AR3+0%, A ; 431
+ LD *AR3+, B || MASR *AR3+0% ; 432
+ LD *AR3+, B || MASR *AR4, A ; 433
+ LD *AR3+, B || MASR *AR4 ; 434
+ LD *AR3+, B || MASR *AR4-, A ; 435
+ LD *AR3+, B || MASR *AR4- ; 436
+ LD *AR3+, B || MASR *AR4+, A ; 437
+ LD *AR3+, B || MASR *AR4+ ; 438
+ LD *AR3+, B || MASR *AR4+0%, A ; 439
+ LD *AR3+, B || MASR *AR4+0% ; 440
+ LD *AR3+, B || MASR *AR5, A ; 441
+ LD *AR3+, B || MASR *AR5 ; 442
+ LD *AR3+, B || MASR *AR5-, A ; 443
+ LD *AR3+, B || MASR *AR5- ; 444
+ LD *AR3+, B || MASR *AR5+, A ; 445
+ LD *AR3+, B || MASR *AR5+ ; 446
+ LD *AR3+, B || MASR *AR5+0%, A ; 447
+ LD *AR3+, B || MASR *AR5+0% ; 448
+ LD *AR3+0%, A || MASR *AR2, B ; 449
+ LD *AR3+0%, A || MASR *AR2 ; 450
+ LD *AR3+0%, A || MASR *AR2-, B ; 451
+ LD *AR3+0%, A || MASR *AR2- ; 452
+ LD *AR3+0%, A || MASR *AR2+, B ; 453
+ LD *AR3+0%, A || MASR *AR2+ ; 454
+ LD *AR3+0%, A || MASR *AR2+0%, B ; 455
+ LD *AR3+0%, A || MASR *AR2+0% ; 456
+ LD *AR3+0%, A || MASR *AR3, B ; 457
+ LD *AR3+0%, A || MASR *AR3 ; 458
+ LD *AR3+0%, A || MASR *AR3-, B ; 459
+ LD *AR3+0%, A || MASR *AR3- ; 460
+ LD *AR3+0%, A || MASR *AR3+, B ; 461
+ LD *AR3+0%, A || MASR *AR3+ ; 462
+ LD *AR3+0%, A || MASR *AR3+0%, B ; 463
+ LD *AR3+0%, A || MASR *AR3+0% ; 464
+ LD *AR3+0%, A || MASR *AR4, B ; 465
+ LD *AR3+0%, A || MASR *AR4 ; 466
+ LD *AR3+0%, A || MASR *AR4-, B ; 467
+ LD *AR3+0%, A || MASR *AR4- ; 468
+ LD *AR3+0%, A || MASR *AR4+, B ; 469
+ LD *AR3+0%, A || MASR *AR4+ ; 470
+ LD *AR3+0%, A || MASR *AR4+0%, B ; 471
+ LD *AR3+0%, A || MASR *AR4+0% ; 472
+ LD *AR3+0%, A || MASR *AR5, B ; 473
+ LD *AR3+0%, A || MASR *AR5 ; 474
+ LD *AR3+0%, A || MASR *AR5-, B ; 475
+ LD *AR3+0%, A || MASR *AR5- ; 476
+ LD *AR3+0%, A || MASR *AR5+, B ; 477
+ LD *AR3+0%, A || MASR *AR5+ ; 478
+ LD *AR3+0%, A || MASR *AR5+0%, B ; 479
+ LD *AR3+0%, A || MASR *AR5+0% ; 480
+ LD *AR3+0%, B || MASR *AR2, A ; 481
+ LD *AR3+0%, B || MASR *AR2 ; 482
+ LD *AR3+0%, B || MASR *AR2-, A ; 483
+ LD *AR3+0%, B || MASR *AR2- ; 484
+ LD *AR3+0%, B || MASR *AR2+, A ; 485
+ LD *AR3+0%, B || MASR *AR2+ ; 486
+ LD *AR3+0%, B || MASR *AR2+0%, A ; 487
+ LD *AR3+0%, B || MASR *AR2+0% ; 488
+ LD *AR3+0%, B || MASR *AR3, A ; 489
+ LD *AR3+0%, B || MASR *AR3 ; 490
+ LD *AR3+0%, B || MASR *AR3-, A ; 491
+ LD *AR3+0%, B || MASR *AR3- ; 492
+ LD *AR3+0%, B || MASR *AR3+, A ; 493
+ LD *AR3+0%, B || MASR *AR3+ ; 494
+ LD *AR3+0%, B || MASR *AR3+0%, A ; 495
+ LD *AR3+0%, B || MASR *AR3+0% ; 496
+ LD *AR3+0%, B || MASR *AR4, A ; 497
+ LD *AR3+0%, B || MASR *AR4 ; 498
+ LD *AR3+0%, B || MASR *AR4-, A ; 499
+ LD *AR3+0%, B || MASR *AR4- ; 500
+ LD *AR3+0%, B || MASR *AR4+, A ; 501
+ LD *AR3+0%, B || MASR *AR4+ ; 502
+ LD *AR3+0%, B || MASR *AR4+0%, A ; 503
+ LD *AR3+0%, B || MASR *AR4+0% ; 504
+ LD *AR3+0%, B || MASR *AR5, A ; 505
+ LD *AR3+0%, B || MASR *AR5 ; 506
+ LD *AR3+0%, B || MASR *AR5-, A ; 507
+ LD *AR3+0%, B || MASR *AR5- ; 508
+ LD *AR3+0%, B || MASR *AR5+, A ; 509
+ LD *AR3+0%, B || MASR *AR5+ ; 510
+ LD *AR3+0%, B || MASR *AR5+0%, A ; 511
+ LD *AR3+0%, B || MASR *AR5+0% ; 512
+ LD *AR4, A || MASR *AR2, B ; 513
+ LD *AR4, A || MASR *AR2 ; 514
+ LD *AR4, A || MASR *AR2-, B ; 515
+ LD *AR4, A || MASR *AR2- ; 516
+ LD *AR4, A || MASR *AR2+, B ; 517
+ LD *AR4, A || MASR *AR2+ ; 518
+ LD *AR4, A || MASR *AR2+0%, B ; 519
+ LD *AR4, A || MASR *AR2+0% ; 520
+ LD *AR4, A || MASR *AR3, B ; 521
+ LD *AR4, A || MASR *AR3 ; 522
+ LD *AR4, A || MASR *AR3-, B ; 523
+ LD *AR4, A || MASR *AR3- ; 524
+ LD *AR4, A || MASR *AR3+, B ; 525
+ LD *AR4, A || MASR *AR3+ ; 526
+ LD *AR4, A || MASR *AR3+0%, B ; 527
+ LD *AR4, A || MASR *AR3+0% ; 528
+ LD *AR4, A || MASR *AR4, B ; 529
+ LD *AR4, A || MASR *AR4 ; 530
+ LD *AR4, A || MASR *AR4-, B ; 531
+ LD *AR4, A || MASR *AR4- ; 532
+ LD *AR4, A || MASR *AR4+, B ; 533
+ LD *AR4, A || MASR *AR4+ ; 534
+ LD *AR4, A || MASR *AR4+0%, B ; 535
+ LD *AR4, A || MASR *AR4+0% ; 536
+ LD *AR4, A || MASR *AR5, B ; 537
+ LD *AR4, A || MASR *AR5 ; 538
+ LD *AR4, A || MASR *AR5-, B ; 539
+ LD *AR4, A || MASR *AR5- ; 540
+ LD *AR4, A || MASR *AR5+, B ; 541
+ LD *AR4, A || MASR *AR5+ ; 542
+ LD *AR4, A || MASR *AR5+0%, B ; 543
+ LD *AR4, A || MASR *AR5+0% ; 544
+ LD *AR4, B || MASR *AR2, A ; 545
+ LD *AR4, B || MASR *AR2 ; 546
+ LD *AR4, B || MASR *AR2-, A ; 547
+ LD *AR4, B || MASR *AR2- ; 548
+ LD *AR4, B || MASR *AR2+, A ; 549
+ LD *AR4, B || MASR *AR2+ ; 550
+ LD *AR4, B || MASR *AR2+0%, A ; 551
+ LD *AR4, B || MASR *AR2+0% ; 552
+ LD *AR4, B || MASR *AR3, A ; 553
+ LD *AR4, B || MASR *AR3 ; 554
+ LD *AR4, B || MASR *AR3-, A ; 555
+ LD *AR4, B || MASR *AR3- ; 556
+ LD *AR4, B || MASR *AR3+, A ; 557
+ LD *AR4, B || MASR *AR3+ ; 558
+ LD *AR4, B || MASR *AR3+0%, A ; 559
+ LD *AR4, B || MASR *AR3+0% ; 560
+ LD *AR4, B || MASR *AR4, A ; 561
+ LD *AR4, B || MASR *AR4 ; 562
+ LD *AR4, B || MASR *AR4-, A ; 563
+ LD *AR4, B || MASR *AR4- ; 564
+ LD *AR4, B || MASR *AR4+, A ; 565
+ LD *AR4, B || MASR *AR4+ ; 566
+ LD *AR4, B || MASR *AR4+0%, A ; 567
+ LD *AR4, B || MASR *AR4+0% ; 568
+ LD *AR4, B || MASR *AR5, A ; 569
+ LD *AR4, B || MASR *AR5 ; 570
+ LD *AR4, B || MASR *AR5-, A ; 571
+ LD *AR4, B || MASR *AR5- ; 572
+ LD *AR4, B || MASR *AR5+, A ; 573
+ LD *AR4, B || MASR *AR5+ ; 574
+ LD *AR4, B || MASR *AR5+0%, A ; 575
+ LD *AR4, B || MASR *AR5+0% ; 576
+ LD *AR4-, A || MASR *AR2, B ; 577
+ LD *AR4-, A || MASR *AR2 ; 578
+ LD *AR4-, A || MASR *AR2-, B ; 579
+ LD *AR4-, A || MASR *AR2- ; 580
+ LD *AR4-, A || MASR *AR2+, B ; 581
+ LD *AR4-, A || MASR *AR2+ ; 582
+ LD *AR4-, A || MASR *AR2+0%, B ; 583
+ LD *AR4-, A || MASR *AR2+0% ; 584
+ LD *AR4-, A || MASR *AR3, B ; 585
+ LD *AR4-, A || MASR *AR3 ; 586
+ LD *AR4-, A || MASR *AR3-, B ; 587
+ LD *AR4-, A || MASR *AR3- ; 588
+ LD *AR4-, A || MASR *AR3+, B ; 589
+ LD *AR4-, A || MASR *AR3+ ; 590
+ LD *AR4-, A || MASR *AR3+0%, B ; 591
+ LD *AR4-, A || MASR *AR3+0% ; 592
+ LD *AR4-, A || MASR *AR4, B ; 593
+ LD *AR4-, A || MASR *AR4 ; 594
+ LD *AR4-, A || MASR *AR4-, B ; 595
+ LD *AR4-, A || MASR *AR4- ; 596
+ LD *AR4-, A || MASR *AR4+, B ; 597
+ LD *AR4-, A || MASR *AR4+ ; 598
+ LD *AR4-, A || MASR *AR4+0%, B ; 599
+ LD *AR4-, A || MASR *AR4+0% ; 600
+ LD *AR4-, A || MASR *AR5, B ; 601
+ LD *AR4-, A || MASR *AR5 ; 602
+ LD *AR4-, A || MASR *AR5-, B ; 603
+ LD *AR4-, A || MASR *AR5- ; 604
+ LD *AR4-, A || MASR *AR5+, B ; 605
+ LD *AR4-, A || MASR *AR5+ ; 606
+ LD *AR4-, A || MASR *AR5+0%, B ; 607
+ LD *AR4-, A || MASR *AR5+0% ; 608
+ LD *AR4-, B || MASR *AR2, A ; 609
+ LD *AR4-, B || MASR *AR2 ; 610
+ LD *AR4-, B || MASR *AR2-, A ; 611
+ LD *AR4-, B || MASR *AR2- ; 612
+ LD *AR4-, B || MASR *AR2+, A ; 613
+ LD *AR4-, B || MASR *AR2+ ; 614
+ LD *AR4-, B || MASR *AR2+0%, A ; 615
+ LD *AR4-, B || MASR *AR2+0% ; 616
+ LD *AR4-, B || MASR *AR3, A ; 617
+ LD *AR4-, B || MASR *AR3 ; 618
+ LD *AR4-, B || MASR *AR3-, A ; 619
+ LD *AR4-, B || MASR *AR3- ; 620
+ LD *AR4-, B || MASR *AR3+, A ; 621
+ LD *AR4-, B || MASR *AR3+ ; 622
+ LD *AR4-, B || MASR *AR3+0%, A ; 623
+ LD *AR4-, B || MASR *AR3+0% ; 624
+ LD *AR4-, B || MASR *AR4, A ; 625
+ LD *AR4-, B || MASR *AR4 ; 626
+ LD *AR4-, B || MASR *AR4-, A ; 627
+ LD *AR4-, B || MASR *AR4- ; 628
+ LD *AR4-, B || MASR *AR4+, A ; 629
+ LD *AR4-, B || MASR *AR4+ ; 630
+ LD *AR4-, B || MASR *AR4+0%, A ; 631
+ LD *AR4-, B || MASR *AR4+0% ; 632
+ LD *AR4-, B || MASR *AR5, A ; 633
+ LD *AR4-, B || MASR *AR5 ; 634
+ LD *AR4-, B || MASR *AR5-, A ; 635
+ LD *AR4-, B || MASR *AR5- ; 636
+ LD *AR4-, B || MASR *AR5+, A ; 637
+ LD *AR4-, B || MASR *AR5+ ; 638
+ LD *AR4-, B || MASR *AR5+0%, A ; 639
+ LD *AR4-, B || MASR *AR5+0% ; 640
+ LD *AR4+, A || MASR *AR2, B ; 641
+ LD *AR4+, A || MASR *AR2 ; 642
+ LD *AR4+, A || MASR *AR2-, B ; 643
+ LD *AR4+, A || MASR *AR2- ; 644
+ LD *AR4+, A || MASR *AR2+, B ; 645
+ LD *AR4+, A || MASR *AR2+ ; 646
+ LD *AR4+, A || MASR *AR2+0%, B ; 647
+ LD *AR4+, A || MASR *AR2+0% ; 648
+ LD *AR4+, A || MASR *AR3, B ; 649
+ LD *AR4+, A || MASR *AR3 ; 650
+ LD *AR4+, A || MASR *AR3-, B ; 651
+ LD *AR4+, A || MASR *AR3- ; 652
+ LD *AR4+, A || MASR *AR3+, B ; 653
+ LD *AR4+, A || MASR *AR3+ ; 654
+ LD *AR4+, A || MASR *AR3+0%, B ; 655
+ LD *AR4+, A || MASR *AR3+0% ; 656
+ LD *AR4+, A || MASR *AR4, B ; 657
+ LD *AR4+, A || MASR *AR4 ; 658
+ LD *AR4+, A || MASR *AR4-, B ; 659
+ LD *AR4+, A || MASR *AR4- ; 660
+ LD *AR4+, A || MASR *AR4+, B ; 661
+ LD *AR4+, A || MASR *AR4+ ; 662
+ LD *AR4+, A || MASR *AR4+0%, B ; 663
+ LD *AR4+, A || MASR *AR4+0% ; 664
+ LD *AR4+, A || MASR *AR5, B ; 665
+ LD *AR4+, A || MASR *AR5 ; 666
+ LD *AR4+, A || MASR *AR5-, B ; 667
+ LD *AR4+, A || MASR *AR5- ; 668
+ LD *AR4+, A || MASR *AR5+, B ; 669
+ LD *AR4+, A || MASR *AR5+ ; 670
+ LD *AR4+, A || MASR *AR5+0%, B ; 671
+ LD *AR4+, A || MASR *AR5+0% ; 672
+ LD *AR4+, B || MASR *AR2, A ; 673
+ LD *AR4+, B || MASR *AR2 ; 674
+ LD *AR4+, B || MASR *AR2-, A ; 675
+ LD *AR4+, B || MASR *AR2- ; 676
+ LD *AR4+, B || MASR *AR2+, A ; 677
+ LD *AR4+, B || MASR *AR2+ ; 678
+ LD *AR4+, B || MASR *AR2+0%, A ; 679
+ LD *AR4+, B || MASR *AR2+0% ; 680
+ LD *AR4+, B || MASR *AR3, A ; 681
+ LD *AR4+, B || MASR *AR3 ; 682
+ LD *AR4+, B || MASR *AR3-, A ; 683
+ LD *AR4+, B || MASR *AR3- ; 684
+ LD *AR4+, B || MASR *AR3+, A ; 685
+ LD *AR4+, B || MASR *AR3+ ; 686
+ LD *AR4+, B || MASR *AR3+0%, A ; 687
+ LD *AR4+, B || MASR *AR3+0% ; 688
+ LD *AR4+, B || MASR *AR4, A ; 689
+ LD *AR4+, B || MASR *AR4 ; 690
+ LD *AR4+, B || MASR *AR4-, A ; 691
+ LD *AR4+, B || MASR *AR4- ; 692
+ LD *AR4+, B || MASR *AR4+, A ; 693
+ LD *AR4+, B || MASR *AR4+ ; 694
+ LD *AR4+, B || MASR *AR4+0%, A ; 695
+ LD *AR4+, B || MASR *AR4+0% ; 696
+ LD *AR4+, B || MASR *AR5, A ; 697
+ LD *AR4+, B || MASR *AR5 ; 698
+ LD *AR4+, B || MASR *AR5-, A ; 699
+ LD *AR4+, B || MASR *AR5- ; 700
+ LD *AR4+, B || MASR *AR5+, A ; 701
+ LD *AR4+, B || MASR *AR5+ ; 702
+ LD *AR4+, B || MASR *AR5+0%, A ; 703
+ LD *AR4+, B || MASR *AR5+0% ; 704
+ LD *AR4+0%, A || MASR *AR2, B ; 705
+ LD *AR4+0%, A || MASR *AR2 ; 706
+ LD *AR4+0%, A || MASR *AR2-, B ; 707
+ LD *AR4+0%, A || MASR *AR2- ; 708
+ LD *AR4+0%, A || MASR *AR2+, B ; 709
+ LD *AR4+0%, A || MASR *AR2+ ; 710
+ LD *AR4+0%, A || MASR *AR2+0%, B ; 711
+ LD *AR4+0%, A || MASR *AR2+0% ; 712
+ LD *AR4+0%, A || MASR *AR3, B ; 713
+ LD *AR4+0%, A || MASR *AR3 ; 714
+ LD *AR4+0%, A || MASR *AR3-, B ; 715
+ LD *AR4+0%, A || MASR *AR3- ; 716
+ LD *AR4+0%, A || MASR *AR3+, B ; 717
+ LD *AR4+0%, A || MASR *AR3+ ; 718
+ LD *AR4+0%, A || MASR *AR3+0%, B ; 719
+ LD *AR4+0%, A || MASR *AR3+0% ; 720
+ LD *AR4+0%, A || MASR *AR4, B ; 721
+ LD *AR4+0%, A || MASR *AR4 ; 722
+ LD *AR4+0%, A || MASR *AR4-, B ; 723
+ LD *AR4+0%, A || MASR *AR4- ; 724
+ LD *AR4+0%, A || MASR *AR4+, B ; 725
+ LD *AR4+0%, A || MASR *AR4+ ; 726
+ LD *AR4+0%, A || MASR *AR4+0%, B ; 727
+ LD *AR4+0%, A || MASR *AR4+0% ; 728
+ LD *AR4+0%, A || MASR *AR5, B ; 729
+ LD *AR4+0%, A || MASR *AR5 ; 730
+ LD *AR4+0%, A || MASR *AR5-, B ; 731
+ LD *AR4+0%, A || MASR *AR5- ; 732
+ LD *AR4+0%, A || MASR *AR5+, B ; 733
+ LD *AR4+0%, A || MASR *AR5+ ; 734
+ LD *AR4+0%, A || MASR *AR5+0%, B ; 735
+ LD *AR4+0%, A || MASR *AR5+0% ; 736
+ LD *AR4+0%, B || MASR *AR2, A ; 737
+ LD *AR4+0%, B || MASR *AR2 ; 738
+ LD *AR4+0%, B || MASR *AR2-, A ; 739
+ LD *AR4+0%, B || MASR *AR2- ; 740
+ LD *AR4+0%, B || MASR *AR2+, A ; 741
+ LD *AR4+0%, B || MASR *AR2+ ; 742
+ LD *AR4+0%, B || MASR *AR2+0%, A ; 743
+ LD *AR4+0%, B || MASR *AR2+0% ; 744
+ LD *AR4+0%, B || MASR *AR3, A ; 745
+ LD *AR4+0%, B || MASR *AR3 ; 746
+ LD *AR4+0%, B || MASR *AR3-, A ; 747
+ LD *AR4+0%, B || MASR *AR3- ; 748
+ LD *AR4+0%, B || MASR *AR3+, A ; 749
+ LD *AR4+0%, B || MASR *AR3+ ; 750
+ LD *AR4+0%, B || MASR *AR3+0%, A ; 751
+ LD *AR4+0%, B || MASR *AR3+0% ; 752
+ LD *AR4+0%, B || MASR *AR4, A ; 753
+ LD *AR4+0%, B || MASR *AR4 ; 754
+ LD *AR4+0%, B || MASR *AR4-, A ; 755
+ LD *AR4+0%, B || MASR *AR4- ; 756
+ LD *AR4+0%, B || MASR *AR4+, A ; 757
+ LD *AR4+0%, B || MASR *AR4+ ; 758
+ LD *AR4+0%, B || MASR *AR4+0%, A ; 759
+ LD *AR4+0%, B || MASR *AR4+0% ; 760
+ LD *AR4+0%, B || MASR *AR5, A ; 761
+ LD *AR4+0%, B || MASR *AR5 ; 762
+ LD *AR4+0%, B || MASR *AR5-, A ; 763
+ LD *AR4+0%, B || MASR *AR5- ; 764
+ LD *AR4+0%, B || MASR *AR5+, A ; 765
+ LD *AR4+0%, B || MASR *AR5+ ; 766
+ LD *AR4+0%, B || MASR *AR5+0%, A ; 767
+ LD *AR4+0%, B || MASR *AR5+0% ; 768
+ LD *AR5, A || MASR *AR2, B ; 769
+ LD *AR5, A || MASR *AR2 ; 770
+ LD *AR5, A || MASR *AR2-, B ; 771
+ LD *AR5, A || MASR *AR2- ; 772
+ LD *AR5, A || MASR *AR2+, B ; 773
+ LD *AR5, A || MASR *AR2+ ; 774
+ LD *AR5, A || MASR *AR2+0%, B ; 775
+ LD *AR5, A || MASR *AR2+0% ; 776
+ LD *AR5, A || MASR *AR3, B ; 777
+ LD *AR5, A || MASR *AR3 ; 778
+ LD *AR5, A || MASR *AR3-, B ; 779
+ LD *AR5, A || MASR *AR3- ; 780
+ LD *AR5, A || MASR *AR3+, B ; 781
+ LD *AR5, A || MASR *AR3+ ; 782
+ LD *AR5, A || MASR *AR3+0%, B ; 783
+ LD *AR5, A || MASR *AR3+0% ; 784
+ LD *AR5, A || MASR *AR4, B ; 785
+ LD *AR5, A || MASR *AR4 ; 786
+ LD *AR5, A || MASR *AR4-, B ; 787
+ LD *AR5, A || MASR *AR4- ; 788
+ LD *AR5, A || MASR *AR4+, B ; 789
+ LD *AR5, A || MASR *AR4+ ; 790
+ LD *AR5, A || MASR *AR4+0%, B ; 791
+ LD *AR5, A || MASR *AR4+0% ; 792
+ LD *AR5, A || MASR *AR5, B ; 793
+ LD *AR5, A || MASR *AR5 ; 794
+ LD *AR5, A || MASR *AR5-, B ; 795
+ LD *AR5, A || MASR *AR5- ; 796
+ LD *AR5, A || MASR *AR5+, B ; 797
+ LD *AR5, A || MASR *AR5+ ; 798
+ LD *AR5, A || MASR *AR5+0%, B ; 799
+ LD *AR5, A || MASR *AR5+0% ; 800
+ LD *AR5, B || MASR *AR2, A ; 801
+ LD *AR5, B || MASR *AR2 ; 802
+ LD *AR5, B || MASR *AR2-, A ; 803
+ LD *AR5, B || MASR *AR2- ; 804
+ LD *AR5, B || MASR *AR2+, A ; 805
+ LD *AR5, B || MASR *AR2+ ; 806
+ LD *AR5, B || MASR *AR2+0%, A ; 807
+ LD *AR5, B || MASR *AR2+0% ; 808
+ LD *AR5, B || MASR *AR3, A ; 809
+ LD *AR5, B || MASR *AR3 ; 810
+ LD *AR5, B || MASR *AR3-, A ; 811
+ LD *AR5, B || MASR *AR3- ; 812
+ LD *AR5, B || MASR *AR3+, A ; 813
+ LD *AR5, B || MASR *AR3+ ; 814
+ LD *AR5, B || MASR *AR3+0%, A ; 815
+ LD *AR5, B || MASR *AR3+0% ; 816
+ LD *AR5, B || MASR *AR4, A ; 817
+ LD *AR5, B || MASR *AR4 ; 818
+ LD *AR5, B || MASR *AR4-, A ; 819
+ LD *AR5, B || MASR *AR4- ; 820
+ LD *AR5, B || MASR *AR4+, A ; 821
+ LD *AR5, B || MASR *AR4+ ; 822
+ LD *AR5, B || MASR *AR4+0%, A ; 823
+ LD *AR5, B || MASR *AR4+0% ; 824
+ LD *AR5, B || MASR *AR5, A ; 825
+ LD *AR5, B || MASR *AR5 ; 826
+ LD *AR5, B || MASR *AR5-, A ; 827
+ LD *AR5, B || MASR *AR5- ; 828
+ LD *AR5, B || MASR *AR5+, A ; 829
+ LD *AR5, B || MASR *AR5+ ; 830
+ LD *AR5, B || MASR *AR5+0%, A ; 831
+ LD *AR5, B || MASR *AR5+0% ; 832
+ LD *AR5-, A || MASR *AR2, B ; 833
+ LD *AR5-, A || MASR *AR2 ; 834
+ LD *AR5-, A || MASR *AR2-, B ; 835
+ LD *AR5-, A || MASR *AR2- ; 836
+ LD *AR5-, A || MASR *AR2+, B ; 837
+ LD *AR5-, A || MASR *AR2+ ; 838
+ LD *AR5-, A || MASR *AR2+0%, B ; 839
+ LD *AR5-, A || MASR *AR2+0% ; 840
+ LD *AR5-, A || MASR *AR3, B ; 841
+ LD *AR5-, A || MASR *AR3 ; 842
+ LD *AR5-, A || MASR *AR3-, B ; 843
+ LD *AR5-, A || MASR *AR3- ; 844
+ LD *AR5-, A || MASR *AR3+, B ; 845
+ LD *AR5-, A || MASR *AR3+ ; 846
+ LD *AR5-, A || MASR *AR3+0%, B ; 847
+ LD *AR5-, A || MASR *AR3+0% ; 848
+ LD *AR5-, A || MASR *AR4, B ; 849
+ LD *AR5-, A || MASR *AR4 ; 850
+ LD *AR5-, A || MASR *AR4-, B ; 851
+ LD *AR5-, A || MASR *AR4- ; 852
+ LD *AR5-, A || MASR *AR4+, B ; 853
+ LD *AR5-, A || MASR *AR4+ ; 854
+ LD *AR5-, A || MASR *AR4+0%, B ; 855
+ LD *AR5-, A || MASR *AR4+0% ; 856
+ LD *AR5-, A || MASR *AR5, B ; 857
+ LD *AR5-, A || MASR *AR5 ; 858
+ LD *AR5-, A || MASR *AR5-, B ; 859
+ LD *AR5-, A || MASR *AR5- ; 860
+ LD *AR5-, A || MASR *AR5+, B ; 861
+ LD *AR5-, A || MASR *AR5+ ; 862
+ LD *AR5-, A || MASR *AR5+0%, B ; 863
+ LD *AR5-, A || MASR *AR5+0% ; 864
+ LD *AR5-, B || MASR *AR2, A ; 865
+ LD *AR5-, B || MASR *AR2 ; 866
+ LD *AR5-, B || MASR *AR2-, A ; 867
+ LD *AR5-, B || MASR *AR2- ; 868
+ LD *AR5-, B || MASR *AR2+, A ; 869
+ LD *AR5-, B || MASR *AR2+ ; 870
+ LD *AR5-, B || MASR *AR2+0%, A ; 871
+ LD *AR5-, B || MASR *AR2+0% ; 872
+ LD *AR5-, B || MASR *AR3, A ; 873
+ LD *AR5-, B || MASR *AR3 ; 874
+ LD *AR5-, B || MASR *AR3-, A ; 875
+ LD *AR5-, B || MASR *AR3- ; 876
+ LD *AR5-, B || MASR *AR3+, A ; 877
+ LD *AR5-, B || MASR *AR3+ ; 878
+ LD *AR5-, B || MASR *AR3+0%, A ; 879
+ LD *AR5-, B || MASR *AR3+0% ; 880
+ LD *AR5-, B || MASR *AR4, A ; 881
+ LD *AR5-, B || MASR *AR4 ; 882
+ LD *AR5-, B || MASR *AR4-, A ; 883
+ LD *AR5-, B || MASR *AR4- ; 884
+ LD *AR5-, B || MASR *AR4+, A ; 885
+ LD *AR5-, B || MASR *AR4+ ; 886
+ LD *AR5-, B || MASR *AR4+0%, A ; 887
+ LD *AR5-, B || MASR *AR4+0% ; 888
+ LD *AR5-, B || MASR *AR5, A ; 889
+ LD *AR5-, B || MASR *AR5 ; 890
+ LD *AR5-, B || MASR *AR5-, A ; 891
+ LD *AR5-, B || MASR *AR5- ; 892
+ LD *AR5-, B || MASR *AR5+, A ; 893
+ LD *AR5-, B || MASR *AR5+ ; 894
+ LD *AR5-, B || MASR *AR5+0%, A ; 895
+ LD *AR5-, B || MASR *AR5+0% ; 896
+ LD *AR5+, A || MASR *AR2, B ; 897
+ LD *AR5+, A || MASR *AR2 ; 898
+ LD *AR5+, A || MASR *AR2-, B ; 899
+ LD *AR5+, A || MASR *AR2- ; 900
+ LD *AR5+, A || MASR *AR2+, B ; 901
+ LD *AR5+, A || MASR *AR2+ ; 902
+ LD *AR5+, A || MASR *AR2+0%, B ; 903
+ LD *AR5+, A || MASR *AR2+0% ; 904
+ LD *AR5+, A || MASR *AR3, B ; 905
+ LD *AR5+, A || MASR *AR3 ; 906
+ LD *AR5+, A || MASR *AR3-, B ; 907
+ LD *AR5+, A || MASR *AR3- ; 908
+ LD *AR5+, A || MASR *AR3+, B ; 909
+ LD *AR5+, A || MASR *AR3+ ; 910
+ LD *AR5+, A || MASR *AR3+0%, B ; 911
+ LD *AR5+, A || MASR *AR3+0% ; 912
+ LD *AR5+, A || MASR *AR4, B ; 913
+ LD *AR5+, A || MASR *AR4 ; 914
+ LD *AR5+, A || MASR *AR4-, B ; 915
+ LD *AR5+, A || MASR *AR4- ; 916
+ LD *AR5+, A || MASR *AR4+, B ; 917
+ LD *AR5+, A || MASR *AR4+ ; 918
+ LD *AR5+, A || MASR *AR4+0%, B ; 919
+ LD *AR5+, A || MASR *AR4+0% ; 920
+ LD *AR5+, A || MASR *AR5, B ; 921
+ LD *AR5+, A || MASR *AR5 ; 922
+ LD *AR5+, A || MASR *AR5-, B ; 923
+ LD *AR5+, A || MASR *AR5- ; 924
+ LD *AR5+, A || MASR *AR5+, B ; 925
+ LD *AR5+, A || MASR *AR5+ ; 926
+ LD *AR5+, A || MASR *AR5+0%, B ; 927
+ LD *AR5+, A || MASR *AR5+0% ; 928
+ LD *AR5+, B || MASR *AR2, A ; 929
+ LD *AR5+, B || MASR *AR2 ; 930
+ LD *AR5+, B || MASR *AR2-, A ; 931
+ LD *AR5+, B || MASR *AR2- ; 932
+ LD *AR5+, B || MASR *AR2+, A ; 933
+ LD *AR5+, B || MASR *AR2+ ; 934
+ LD *AR5+, B || MASR *AR2+0%, A ; 935
+ LD *AR5+, B || MASR *AR2+0% ; 936
+ LD *AR5+, B || MASR *AR3, A ; 937
+ LD *AR5+, B || MASR *AR3 ; 938
+ LD *AR5+, B || MASR *AR3-, A ; 939
+ LD *AR5+, B || MASR *AR3- ; 940
+ LD *AR5+, B || MASR *AR3+, A ; 941
+ LD *AR5+, B || MASR *AR3+ ; 942
+ LD *AR5+, B || MASR *AR3+0%, A ; 943
+ LD *AR5+, B || MASR *AR3+0% ; 944
+ LD *AR5+, B || MASR *AR4, A ; 945
+ LD *AR5+, B || MASR *AR4 ; 946
+ LD *AR5+, B || MASR *AR4-, A ; 947
+ LD *AR5+, B || MASR *AR4- ; 948
+ LD *AR5+, B || MASR *AR4+, A ; 949
+ LD *AR5+, B || MASR *AR4+ ; 950
+ LD *AR5+, B || MASR *AR4+0%, A ; 951
+ LD *AR5+, B || MASR *AR4+0% ; 952
+ LD *AR5+, B || MASR *AR5, A ; 953
+ LD *AR5+, B || MASR *AR5 ; 954
+ LD *AR5+, B || MASR *AR5-, A ; 955
+ LD *AR5+, B || MASR *AR5- ; 956
+ LD *AR5+, B || MASR *AR5+, A ; 957
+ LD *AR5+, B || MASR *AR5+ ; 958
+ LD *AR5+, B || MASR *AR5+0%, A ; 959
+ LD *AR5+, B || MASR *AR5+0% ; 960
+ LD *AR5+0%, A || MASR *AR2, B ; 961
+ LD *AR5+0%, A || MASR *AR2 ; 962
+ LD *AR5+0%, A || MASR *AR2-, B ; 963
+ LD *AR5+0%, A || MASR *AR2- ; 964
+ LD *AR5+0%, A || MASR *AR2+, B ; 965
+ LD *AR5+0%, A || MASR *AR2+ ; 966
+ LD *AR5+0%, A || MASR *AR2+0%, B ; 967
+ LD *AR5+0%, A || MASR *AR2+0% ; 968
+ LD *AR5+0%, A || MASR *AR3, B ; 969
+ LD *AR5+0%, A || MASR *AR3 ; 970
+ LD *AR5+0%, A || MASR *AR3-, B ; 971
+ LD *AR5+0%, A || MASR *AR3- ; 972
+ LD *AR5+0%, A || MASR *AR3+, B ; 973
+ LD *AR5+0%, A || MASR *AR3+ ; 974
+ LD *AR5+0%, A || MASR *AR3+0%, B ; 975
+ LD *AR5+0%, A || MASR *AR3+0% ; 976
+ LD *AR5+0%, A || MASR *AR4, B ; 977
+ LD *AR5+0%, A || MASR *AR4 ; 978
+ LD *AR5+0%, A || MASR *AR4-, B ; 979
+ LD *AR5+0%, A || MASR *AR4- ; 980
+ LD *AR5+0%, A || MASR *AR4+, B ; 981
+ LD *AR5+0%, A || MASR *AR4+ ; 982
+ LD *AR5+0%, A || MASR *AR4+0%, B ; 983
+ LD *AR5+0%, A || MASR *AR4+0% ; 984
+ LD *AR5+0%, A || MASR *AR5, B ; 985
+ LD *AR5+0%, A || MASR *AR5 ; 986
+ LD *AR5+0%, A || MASR *AR5-, B ; 987
+ LD *AR5+0%, A || MASR *AR5- ; 988
+ LD *AR5+0%, A || MASR *AR5+, B ; 989
+ LD *AR5+0%, A || MASR *AR5+ ; 990
+ LD *AR5+0%, A || MASR *AR5+0%, B ; 991
+ LD *AR5+0%, A || MASR *AR5+0% ; 992
+ LD *AR5+0%, B || MASR *AR2, A ; 993
+ LD *AR5+0%, B || MASR *AR2 ; 994
+ LD *AR5+0%, B || MASR *AR2-, A ; 995
+ LD *AR5+0%, B || MASR *AR2- ; 996
+ LD *AR5+0%, B || MASR *AR2+, A ; 997
+ LD *AR5+0%, B || MASR *AR2+ ; 998
+ LD *AR5+0%, B || MASR *AR2+0%, A ; 999
+ LD *AR5+0%, B || MASR *AR2+0% ; 1000
+ LD *AR5+0%, B || MASR *AR3, A ; 1001
+ LD *AR5+0%, B || MASR *AR3 ; 1002
+ LD *AR5+0%, B || MASR *AR3-, A ; 1003
+ LD *AR5+0%, B || MASR *AR3- ; 1004
+ LD *AR5+0%, B || MASR *AR3+, A ; 1005
+ LD *AR5+0%, B || MASR *AR3+ ; 1006
+ LD *AR5+0%, B || MASR *AR3+0%, A ; 1007
+ LD *AR5+0%, B || MASR *AR3+0% ; 1008
+ LD *AR5+0%, B || MASR *AR4, A ; 1009
+ LD *AR5+0%, B || MASR *AR4 ; 1010
+ LD *AR5+0%, B || MASR *AR4-, A ; 1011
+ LD *AR5+0%, B || MASR *AR4- ; 1012
+ LD *AR5+0%, B || MASR *AR4+, A ; 1013
+ LD *AR5+0%, B || MASR *AR4+ ; 1014
+ LD *AR5+0%, B || MASR *AR4+0%, A ; 1015
+ LD *AR5+0%, B || MASR *AR4+0% ; 1016
+ LD *AR5+0%, B || MASR *AR5, A ; 1017
+ LD *AR5+0%, B || MASR *AR5 ; 1018
+ LD *AR5+0%, B || MASR *AR5-, A ; 1019
+ LD *AR5+0%, B || MASR *AR5- ; 1020
+ LD *AR5+0%, B || MASR *AR5+, A ; 1021
+ LD *AR5+0%, B || MASR *AR5+ ; 1022
+ LD *AR5+0%, B || MASR *AR5+0%, A ; 1023
+ LD *AR5+0%, B || MASR *AR5+0% ; 1024
+ ; LDarp : LD K3, ARP : 8
+ LD #0, ARP ; 1
+ LD #1, ARP ; 2
+ LD #2, ARP ; 3
+ LD #3, ARP ; 4
+ LD #4, ARP ; 5
+ LD #5, ARP ; 6
+ LD #6, ARP ; 7
+ LD #7, ARP ; 8
+ ; LDasm : LD Smem, ASM : 1
+ LD 7Ah, ASM ; 1
+ ; LDasmK5 : LD K5, ASM : 32
+ LD #-16, ASM ; 1
+ LD #-15, ASM ; 2
+ LD #-14, ASM ; 3
+ LD #-13, ASM ; 4
+ LD #-12, ASM ; 5
+ LD #-11, ASM ; 6
+ LD #-10, ASM ; 7
+ LD #-9, ASM ; 8
+ LD #-8, ASM ; 9
+ LD #-7, ASM ; 10
+ LD #-6, ASM ; 11
+ LD #-5, ASM ; 12
+ LD #-4, ASM ; 13
+ LD #-3, ASM ; 14
+ LD #-2, ASM ; 15
+ LD #-1, ASM ; 16
+ LD #0, ASM ; 17
+ LD #1, ASM ; 18
+ LD #2, ASM ; 19
+ LD #3, ASM ; 20
+ LD #4, ASM ; 21
+ LD #5, ASM ; 22
+ LD #6, ASM ; 23
+ LD #7, ASM ; 24
+ LD #8, ASM ; 25
+ LD #9, ASM ; 26
+ LD #10, ASM ; 27
+ LD #11, ASM ; 28
+ LD #12, ASM ; 29
+ LD #13, ASM ; 30
+ LD #14, ASM ; 31
+ LD #15, ASM ; 32
+ ; LDasmSD : LD AB, ASM, AB2 : 6
+ LD A, ASM, A ; 1
+ LD A, ASM, B ; 2
+ LD A, ASM ; 3
+ LD B, ASM, A ; 4
+ LD B, ASM, B ; 5
+ LD B, ASM ; 6
+ ; LDasm_I : LD Smem_I, ASM : 120
+ LD *AR0, ASM ; 1
+ LD *AR0-, ASM ; 2
+ LD *AR0+, ASM ; 3
+ LD *AR0-0B, ASM ; 4
+ LD *AR0-0, ASM ; 5
+ LD *AR0+0, ASM ; 6
+ LD *AR0+0B, ASM ; 7
+ LD *AR0-%, ASM ; 8
+ LD *AR0-0%, ASM ; 9
+ LD *AR0+%, ASM ; 10
+ LD *AR0+0%, ASM ; 11
+ LD *AR0(021FEh), ASM ; 12
+ LD *+AR0(021FFh), ASM ; 13
+ LD *+AR0(02200h)%, ASM ; 14
+ LD *(02201h), ASM ; 15
+ LD *AR1, ASM ; 16
+ LD *AR1-, ASM ; 17
+ LD *AR1+, ASM ; 18
+ LD *AR1-0B, ASM ; 19
+ LD *AR1-0, ASM ; 20
+ LD *AR1+0, ASM ; 21
+ LD *AR1+0B, ASM ; 22
+ LD *AR1-%, ASM ; 23
+ LD *AR1-0%, ASM ; 24
+ LD *AR1+%, ASM ; 25
+ LD *AR1+0%, ASM ; 26
+ LD *AR1(02202h), ASM ; 27
+ LD *+AR1(02203h), ASM ; 28
+ LD *+AR1(02204h)%, ASM ; 29
+ LD *(02205h), ASM ; 30
+ LD *AR2, ASM ; 31
+ LD *AR2-, ASM ; 32
+ LD *AR2+, ASM ; 33
+ LD *AR2-0B, ASM ; 34
+ LD *AR2-0, ASM ; 35
+ LD *AR2+0, ASM ; 36
+ LD *AR2+0B, ASM ; 37
+ LD *AR2-%, ASM ; 38
+ LD *AR2-0%, ASM ; 39
+ LD *AR2+%, ASM ; 40
+ LD *AR2+0%, ASM ; 41
+ LD *AR2(02206h), ASM ; 42
+ LD *+AR2(02207h), ASM ; 43
+ LD *+AR2(02208h)%, ASM ; 44
+ LD *(02209h), ASM ; 45
+ LD *AR3, ASM ; 46
+ LD *AR3-, ASM ; 47
+ LD *AR3+, ASM ; 48
+ LD *AR3-0B, ASM ; 49
+ LD *AR3-0, ASM ; 50
+ LD *AR3+0, ASM ; 51
+ LD *AR3+0B, ASM ; 52
+ LD *AR3-%, ASM ; 53
+ LD *AR3-0%, ASM ; 54
+ LD *AR3+%, ASM ; 55
+ LD *AR3+0%, ASM ; 56
+ LD *AR3(0220Ah), ASM ; 57
+ LD *+AR3(0220Bh), ASM ; 58
+ LD *+AR3(0220Ch)%, ASM ; 59
+ LD *(0220Dh), ASM ; 60
+ LD *AR4, ASM ; 61
+ LD *AR4-, ASM ; 62
+ LD *AR4+, ASM ; 63
+ LD *AR4-0B, ASM ; 64
+ LD *AR4-0, ASM ; 65
+ LD *AR4+0, ASM ; 66
+ LD *AR4+0B, ASM ; 67
+ LD *AR4-%, ASM ; 68
+ LD *AR4-0%, ASM ; 69
+ LD *AR4+%, ASM ; 70
+ LD *AR4+0%, ASM ; 71
+ LD *AR4(0220Eh), ASM ; 72
+ LD *+AR4(0220Fh), ASM ; 73
+ LD *+AR4(02210h)%, ASM ; 74
+ LD *(02211h), ASM ; 75
+ LD *AR5, ASM ; 76
+ LD *AR5-, ASM ; 77
+ LD *AR5+, ASM ; 78
+ LD *AR5-0B, ASM ; 79
+ LD *AR5-0, ASM ; 80
+ LD *AR5+0, ASM ; 81
+ LD *AR5+0B, ASM ; 82
+ LD *AR5-%, ASM ; 83
+ LD *AR5-0%, ASM ; 84
+ LD *AR5+%, ASM ; 85
+ LD *AR5+0%, ASM ; 86
+ LD *AR5(02212h), ASM ; 87
+ LD *+AR5(02213h), ASM ; 88
+ LD *+AR5(02214h)%, ASM ; 89
+ LD *(02215h), ASM ; 90
+ LD *AR6, ASM ; 91
+ LD *AR6-, ASM ; 92
+ LD *AR6+, ASM ; 93
+ LD *AR6-0B, ASM ; 94
+ LD *AR6-0, ASM ; 95
+ LD *AR6+0, ASM ; 96
+ LD *AR6+0B, ASM ; 97
+ LD *AR6-%, ASM ; 98
+ LD *AR6-0%, ASM ; 99
+ LD *AR6+%, ASM ; 100
+ LD *AR6+0%, ASM ; 101
+ LD *AR6(02216h), ASM ; 102
+ LD *+AR6(02217h), ASM ; 103
+ LD *+AR6(02218h)%, ASM ; 104
+ LD *(02219h), ASM ; 105
+ LD *AR7, ASM ; 106
+ LD *AR7-, ASM ; 107
+ LD *AR7+, ASM ; 108
+ LD *AR7-0B, ASM ; 109
+ LD *AR7-0, ASM ; 110
+ LD *AR7+0, ASM ; 111
+ LD *AR7+0B, ASM ; 112
+ LD *AR7-%, ASM ; 113
+ LD *AR7-0%, ASM ; 114
+ LD *AR7+%, ASM ; 115
+ LD *AR7+0%, ASM ; 116
+ LD *AR7(0221Ah), ASM ; 117
+ LD *+AR7(0221Bh), ASM ; 118
+ LD *+AR7(0221Ch)%, ASM ; 119
+ LD *(0221Dh), ASM ; 120
+ ; LDdp : LD Smem, DP : 1
+ LD 7Bh, DP ; 1
+ ; LDdp_I : LD Smem_I, DP : 120
+ LD *AR0, DP ; 1
+ LD *AR0-, DP ; 2
+ LD *AR0+, DP ; 3
+ LD *AR0-0B, DP ; 4
+ LD *AR0-0, DP ; 5
+ LD *AR0+0, DP ; 6
+ LD *AR0+0B, DP ; 7
+ LD *AR0-%, DP ; 8
+ LD *AR0-0%, DP ; 9
+ LD *AR0+%, DP ; 10
+ LD *AR0+0%, DP ; 11
+ LD *AR0(0221Eh), DP ; 12
+ LD *+AR0(0221Fh), DP ; 13
+ LD *+AR0(02220h)%, DP ; 14
+ LD *(02221h), DP ; 15
+ LD *AR1, DP ; 16
+ LD *AR1-, DP ; 17
+ LD *AR1+, DP ; 18
+ LD *AR1-0B, DP ; 19
+ LD *AR1-0, DP ; 20
+ LD *AR1+0, DP ; 21
+ LD *AR1+0B, DP ; 22
+ LD *AR1-%, DP ; 23
+ LD *AR1-0%, DP ; 24
+ LD *AR1+%, DP ; 25
+ LD *AR1+0%, DP ; 26
+ LD *AR1(02222h), DP ; 27
+ LD *+AR1(02223h), DP ; 28
+ LD *+AR1(02224h)%, DP ; 29
+ LD *(02225h), DP ; 30
+ LD *AR2, DP ; 31
+ LD *AR2-, DP ; 32
+ LD *AR2+, DP ; 33
+ LD *AR2-0B, DP ; 34
+ LD *AR2-0, DP ; 35
+ LD *AR2+0, DP ; 36
+ LD *AR2+0B, DP ; 37
+ LD *AR2-%, DP ; 38
+ LD *AR2-0%, DP ; 39
+ LD *AR2+%, DP ; 40
+ LD *AR2+0%, DP ; 41
+ LD *AR2(02226h), DP ; 42
+ LD *+AR2(02227h), DP ; 43
+ LD *+AR2(02228h)%, DP ; 44
+ LD *(02229h), DP ; 45
+ LD *AR3, DP ; 46
+ LD *AR3-, DP ; 47
+ LD *AR3+, DP ; 48
+ LD *AR3-0B, DP ; 49
+ LD *AR3-0, DP ; 50
+ LD *AR3+0, DP ; 51
+ LD *AR3+0B, DP ; 52
+ LD *AR3-%, DP ; 53
+ LD *AR3-0%, DP ; 54
+ LD *AR3+%, DP ; 55
+ LD *AR3+0%, DP ; 56
+ LD *AR3(0222Ah), DP ; 57
+ LD *+AR3(0222Bh), DP ; 58
+ LD *+AR3(0222Ch)%, DP ; 59
+ LD *(0222Dh), DP ; 60
+ LD *AR4, DP ; 61
+ LD *AR4-, DP ; 62
+ LD *AR4+, DP ; 63
+ LD *AR4-0B, DP ; 64
+ LD *AR4-0, DP ; 65
+ LD *AR4+0, DP ; 66
+ LD *AR4+0B, DP ; 67
+ LD *AR4-%, DP ; 68
+ LD *AR4-0%, DP ; 69
+ LD *AR4+%, DP ; 70
+ LD *AR4+0%, DP ; 71
+ LD *AR4(0222Eh), DP ; 72
+ LD *+AR4(0222Fh), DP ; 73
+ LD *+AR4(02230h)%, DP ; 74
+ LD *(02231h), DP ; 75
+ LD *AR5, DP ; 76
+ LD *AR5-, DP ; 77
+ LD *AR5+, DP ; 78
+ LD *AR5-0B, DP ; 79
+ LD *AR5-0, DP ; 80
+ LD *AR5+0, DP ; 81
+ LD *AR5+0B, DP ; 82
+ LD *AR5-%, DP ; 83
+ LD *AR5-0%, DP ; 84
+ LD *AR5+%, DP ; 85
+ LD *AR5+0%, DP ; 86
+ LD *AR5(02232h), DP ; 87
+ LD *+AR5(02233h), DP ; 88
+ LD *+AR5(02234h)%, DP ; 89
+ LD *(02235h), DP ; 90
+ LD *AR6, DP ; 91
+ LD *AR6-, DP ; 92
+ LD *AR6+, DP ; 93
+ LD *AR6-0B, DP ; 94
+ LD *AR6-0, DP ; 95
+ LD *AR6+0, DP ; 96
+ LD *AR6+0B, DP ; 97
+ LD *AR6-%, DP ; 98
+ LD *AR6-0%, DP ; 99
+ LD *AR6+%, DP ; 100
+ LD *AR6+0%, DP ; 101
+ LD *AR6(02236h), DP ; 102
+ LD *+AR6(02237h), DP ; 103
+ LD *+AR6(02238h)%, DP ; 104
+ LD *(02239h), DP ; 105
+ LD *AR7, DP ; 106
+ LD *AR7-, DP ; 107
+ LD *AR7+, DP ; 108
+ LD *AR7-0B, DP ; 109
+ LD *AR7-0, DP ; 110
+ LD *AR7+0, DP ; 111
+ LD *AR7+0B, DP ; 112
+ LD *AR7-%, DP ; 113
+ LD *AR7-0%, DP ; 114
+ LD *AR7+%, DP ; 115
+ LD *AR7+0%, DP ; 116
+ LD *AR7(0223Ah), DP ; 117
+ LD *+AR7(0223Bh), DP ; 118
+ LD *+AR7(0223Ch)%, DP ; 119
+ LD *(0223Dh), DP ; 120
+ ; LDdpk9 : LD K9, DP : 512
+ LD #0, DP ; 1
+ LD #1, DP ; 2
+ LD #2, DP ; 3
+ LD #3, DP ; 4
+ LD #4, DP ; 5
+ LD #5, DP ; 6
+ LD #6, DP ; 7
+ LD #7, DP ; 8
+ LD #8, DP ; 9
+ LD #9, DP ; 10
+ LD #10, DP ; 11
+ LD #11, DP ; 12
+ LD #12, DP ; 13
+ LD #13, DP ; 14
+ LD #14, DP ; 15
+ LD #15, DP ; 16
+ LD #16, DP ; 17
+ LD #17, DP ; 18
+ LD #18, DP ; 19
+ LD #19, DP ; 20
+ LD #20, DP ; 21
+ LD #21, DP ; 22
+ LD #22, DP ; 23
+ LD #23, DP ; 24
+ LD #24, DP ; 25
+ LD #25, DP ; 26
+ LD #26, DP ; 27
+ LD #27, DP ; 28
+ LD #28, DP ; 29
+ LD #29, DP ; 30
+ LD #30, DP ; 31
+ LD #31, DP ; 32
+ LD #32, DP ; 33
+ LD #33, DP ; 34
+ LD #34, DP ; 35
+ LD #35, DP ; 36
+ LD #36, DP ; 37
+ LD #37, DP ; 38
+ LD #38, DP ; 39
+ LD #39, DP ; 40
+ LD #40, DP ; 41
+ LD #41, DP ; 42
+ LD #42, DP ; 43
+ LD #43, DP ; 44
+ LD #44, DP ; 45
+ LD #45, DP ; 46
+ LD #46, DP ; 47
+ LD #47, DP ; 48
+ LD #48, DP ; 49
+ LD #49, DP ; 50
+ LD #50, DP ; 51
+ LD #51, DP ; 52
+ LD #52, DP ; 53
+ LD #53, DP ; 54
+ LD #54, DP ; 55
+ LD #55, DP ; 56
+ LD #56, DP ; 57
+ LD #57, DP ; 58
+ LD #58, DP ; 59
+ LD #59, DP ; 60
+ LD #60, DP ; 61
+ LD #61, DP ; 62
+ LD #62, DP ; 63
+ LD #63, DP ; 64
+ LD #64, DP ; 65
+ LD #65, DP ; 66
+ LD #66, DP ; 67
+ LD #67, DP ; 68
+ LD #68, DP ; 69
+ LD #69, DP ; 70
+ LD #70, DP ; 71
+ LD #71, DP ; 72
+ LD #72, DP ; 73
+ LD #73, DP ; 74
+ LD #74, DP ; 75
+ LD #75, DP ; 76
+ LD #76, DP ; 77
+ LD #77, DP ; 78
+ LD #78, DP ; 79
+ LD #79, DP ; 80
+ LD #80, DP ; 81
+ LD #81, DP ; 82
+ LD #82, DP ; 83
+ LD #83, DP ; 84
+ LD #84, DP ; 85
+ LD #85, DP ; 86
+ LD #86, DP ; 87
+ LD #87, DP ; 88
+ LD #88, DP ; 89
+ LD #89, DP ; 90
+ LD #90, DP ; 91
+ LD #91, DP ; 92
+ LD #92, DP ; 93
+ LD #93, DP ; 94
+ LD #94, DP ; 95
+ LD #95, DP ; 96
+ LD #96, DP ; 97
+ LD #97, DP ; 98
+ LD #98, DP ; 99
+ LD #99, DP ; 100
+ LD #100, DP ; 101
+ LD #101, DP ; 102
+ LD #102, DP ; 103
+ LD #103, DP ; 104
+ LD #104, DP ; 105
+ LD #105, DP ; 106
+ LD #106, DP ; 107
+ LD #107, DP ; 108
+ LD #108, DP ; 109
+ LD #109, DP ; 110
+ LD #110, DP ; 111
+ LD #111, DP ; 112
+ LD #112, DP ; 113
+ LD #113, DP ; 114
+ LD #114, DP ; 115
+ LD #115, DP ; 116
+ LD #116, DP ; 117
+ LD #117, DP ; 118
+ LD #118, DP ; 119
+ LD #119, DP ; 120
+ LD #120, DP ; 121
+ LD #121, DP ; 122
+ LD #122, DP ; 123
+ LD #123, DP ; 124
+ LD #124, DP ; 125
+ LD #125, DP ; 126
+ LD #126, DP ; 127
+ LD #127, DP ; 128
+ LD #128, DP ; 129
+ LD #129, DP ; 130
+ LD #130, DP ; 131
+ LD #131, DP ; 132
+ LD #132, DP ; 133
+ LD #133, DP ; 134
+ LD #134, DP ; 135
+ LD #135, DP ; 136
+ LD #136, DP ; 137
+ LD #137, DP ; 138
+ LD #138, DP ; 139
+ LD #139, DP ; 140
+ LD #140, DP ; 141
+ LD #141, DP ; 142
+ LD #142, DP ; 143
+ LD #143, DP ; 144
+ LD #144, DP ; 145
+ LD #145, DP ; 146
+ LD #146, DP ; 147
+ LD #147, DP ; 148
+ LD #148, DP ; 149
+ LD #149, DP ; 150
+ LD #150, DP ; 151
+ LD #151, DP ; 152
+ LD #152, DP ; 153
+ LD #153, DP ; 154
+ LD #154, DP ; 155
+ LD #155, DP ; 156
+ LD #156, DP ; 157
+ LD #157, DP ; 158
+ LD #158, DP ; 159
+ LD #159, DP ; 160
+ LD #160, DP ; 161
+ LD #161, DP ; 162
+ LD #162, DP ; 163
+ LD #163, DP ; 164
+ LD #164, DP ; 165
+ LD #165, DP ; 166
+ LD #166, DP ; 167
+ LD #167, DP ; 168
+ LD #168, DP ; 169
+ LD #169, DP ; 170
+ LD #170, DP ; 171
+ LD #171, DP ; 172
+ LD #172, DP ; 173
+ LD #173, DP ; 174
+ LD #174, DP ; 175
+ LD #175, DP ; 176
+ LD #176, DP ; 177
+ LD #177, DP ; 178
+ LD #178, DP ; 179
+ LD #179, DP ; 180
+ LD #180, DP ; 181
+ LD #181, DP ; 182
+ LD #182, DP ; 183
+ LD #183, DP ; 184
+ LD #184, DP ; 185
+ LD #185, DP ; 186
+ LD #186, DP ; 187
+ LD #187, DP ; 188
+ LD #188, DP ; 189
+ LD #189, DP ; 190
+ LD #190, DP ; 191
+ LD #191, DP ; 192
+ LD #192, DP ; 193
+ LD #193, DP ; 194
+ LD #194, DP ; 195
+ LD #195, DP ; 196
+ LD #196, DP ; 197
+ LD #197, DP ; 198
+ LD #198, DP ; 199
+ LD #199, DP ; 200
+ LD #200, DP ; 201
+ LD #201, DP ; 202
+ LD #202, DP ; 203
+ LD #203, DP ; 204
+ LD #204, DP ; 205
+ LD #205, DP ; 206
+ LD #206, DP ; 207
+ LD #207, DP ; 208
+ LD #208, DP ; 209
+ LD #209, DP ; 210
+ LD #210, DP ; 211
+ LD #211, DP ; 212
+ LD #212, DP ; 213
+ LD #213, DP ; 214
+ LD #214, DP ; 215
+ LD #215, DP ; 216
+ LD #216, DP ; 217
+ LD #217, DP ; 218
+ LD #218, DP ; 219
+ LD #219, DP ; 220
+ LD #220, DP ; 221
+ LD #221, DP ; 222
+ LD #222, DP ; 223
+ LD #223, DP ; 224
+ LD #224, DP ; 225
+ LD #225, DP ; 226
+ LD #226, DP ; 227
+ LD #227, DP ; 228
+ LD #228, DP ; 229
+ LD #229, DP ; 230
+ LD #230, DP ; 231
+ LD #231, DP ; 232
+ LD #232, DP ; 233
+ LD #233, DP ; 234
+ LD #234, DP ; 235
+ LD #235, DP ; 236
+ LD #236, DP ; 237
+ LD #237, DP ; 238
+ LD #238, DP ; 239
+ LD #239, DP ; 240
+ LD #240, DP ; 241
+ LD #241, DP ; 242
+ LD #242, DP ; 243
+ LD #243, DP ; 244
+ LD #244, DP ; 245
+ LD #245, DP ; 246
+ LD #246, DP ; 247
+ LD #247, DP ; 248
+ LD #248, DP ; 249
+ LD #249, DP ; 250
+ LD #250, DP ; 251
+ LD #251, DP ; 252
+ LD #252, DP ; 253
+ LD #253, DP ; 254
+ LD #254, DP ; 255
+ LD #255, DP ; 256
+ LD #256, DP ; 257
+ LD #257, DP ; 258
+ LD #258, DP ; 259
+ LD #259, DP ; 260
+ LD #260, DP ; 261
+ LD #261, DP ; 262
+ LD #262, DP ; 263
+ LD #263, DP ; 264
+ LD #264, DP ; 265
+ LD #265, DP ; 266
+ LD #266, DP ; 267
+ LD #267, DP ; 268
+ LD #268, DP ; 269
+ LD #269, DP ; 270
+ LD #270, DP ; 271
+ LD #271, DP ; 272
+ LD #272, DP ; 273
+ LD #273, DP ; 274
+ LD #274, DP ; 275
+ LD #275, DP ; 276
+ LD #276, DP ; 277
+ LD #277, DP ; 278
+ LD #278, DP ; 279
+ LD #279, DP ; 280
+ LD #280, DP ; 281
+ LD #281, DP ; 282
+ LD #282, DP ; 283
+ LD #283, DP ; 284
+ LD #284, DP ; 285
+ LD #285, DP ; 286
+ LD #286, DP ; 287
+ LD #287, DP ; 288
+ LD #288, DP ; 289
+ LD #289, DP ; 290
+ LD #290, DP ; 291
+ LD #291, DP ; 292
+ LD #292, DP ; 293
+ LD #293, DP ; 294
+ LD #294, DP ; 295
+ LD #295, DP ; 296
+ LD #296, DP ; 297
+ LD #297, DP ; 298
+ LD #298, DP ; 299
+ LD #299, DP ; 300
+ LD #300, DP ; 301
+ LD #301, DP ; 302
+ LD #302, DP ; 303
+ LD #303, DP ; 304
+ LD #304, DP ; 305
+ LD #305, DP ; 306
+ LD #306, DP ; 307
+ LD #307, DP ; 308
+ LD #308, DP ; 309
+ LD #309, DP ; 310
+ LD #310, DP ; 311
+ LD #311, DP ; 312
+ LD #312, DP ; 313
+ LD #313, DP ; 314
+ LD #314, DP ; 315
+ LD #315, DP ; 316
+ LD #316, DP ; 317
+ LD #317, DP ; 318
+ LD #318, DP ; 319
+ LD #319, DP ; 320
+ LD #320, DP ; 321
+ LD #321, DP ; 322
+ LD #322, DP ; 323
+ LD #323, DP ; 324
+ LD #324, DP ; 325
+ LD #325, DP ; 326
+ LD #326, DP ; 327
+ LD #327, DP ; 328
+ LD #328, DP ; 329
+ LD #329, DP ; 330
+ LD #330, DP ; 331
+ LD #331, DP ; 332
+ LD #332, DP ; 333
+ LD #333, DP ; 334
+ LD #334, DP ; 335
+ LD #335, DP ; 336
+ LD #336, DP ; 337
+ LD #337, DP ; 338
+ LD #338, DP ; 339
+ LD #339, DP ; 340
+ LD #340, DP ; 341
+ LD #341, DP ; 342
+ LD #342, DP ; 343
+ LD #343, DP ; 344
+ LD #344, DP ; 345
+ LD #345, DP ; 346
+ LD #346, DP ; 347
+ LD #347, DP ; 348
+ LD #348, DP ; 349
+ LD #349, DP ; 350
+ LD #350, DP ; 351
+ LD #351, DP ; 352
+ LD #352, DP ; 353
+ LD #353, DP ; 354
+ LD #354, DP ; 355
+ LD #355, DP ; 356
+ LD #356, DP ; 357
+ LD #357, DP ; 358
+ LD #358, DP ; 359
+ LD #359, DP ; 360
+ LD #360, DP ; 361
+ LD #361, DP ; 362
+ LD #362, DP ; 363
+ LD #363, DP ; 364
+ LD #364, DP ; 365
+ LD #365, DP ; 366
+ LD #366, DP ; 367
+ LD #367, DP ; 368
+ LD #368, DP ; 369
+ LD #369, DP ; 370
+ LD #370, DP ; 371
+ LD #371, DP ; 372
+ LD #372, DP ; 373
+ LD #373, DP ; 374
+ LD #374, DP ; 375
+ LD #375, DP ; 376
+ LD #376, DP ; 377
+ LD #377, DP ; 378
+ LD #378, DP ; 379
+ LD #379, DP ; 380
+ LD #380, DP ; 381
+ LD #381, DP ; 382
+ LD #382, DP ; 383
+ LD #383, DP ; 384
+ LD #384, DP ; 385
+ LD #385, DP ; 386
+ LD #386, DP ; 387
+ LD #387, DP ; 388
+ LD #388, DP ; 389
+ LD #389, DP ; 390
+ LD #390, DP ; 391
+ LD #391, DP ; 392
+ LD #392, DP ; 393
+ LD #393, DP ; 394
+ LD #394, DP ; 395
+ LD #395, DP ; 396
+ LD #396, DP ; 397
+ LD #397, DP ; 398
+ LD #398, DP ; 399
+ LD #399, DP ; 400
+ LD #400, DP ; 401
+ LD #401, DP ; 402
+ LD #402, DP ; 403
+ LD #403, DP ; 404
+ LD #404, DP ; 405
+ LD #405, DP ; 406
+ LD #406, DP ; 407
+ LD #407, DP ; 408
+ LD #408, DP ; 409
+ LD #409, DP ; 410
+ LD #410, DP ; 411
+ LD #411, DP ; 412
+ LD #412, DP ; 413
+ LD #413, DP ; 414
+ LD #414, DP ; 415
+ LD #415, DP ; 416
+ LD #416, DP ; 417
+ LD #417, DP ; 418
+ LD #418, DP ; 419
+ LD #419, DP ; 420
+ LD #420, DP ; 421
+ LD #421, DP ; 422
+ LD #422, DP ; 423
+ LD #423, DP ; 424
+ LD #424, DP ; 425
+ LD #425, DP ; 426
+ LD #426, DP ; 427
+ LD #427, DP ; 428
+ LD #428, DP ; 429
+ LD #429, DP ; 430
+ LD #430, DP ; 431
+ LD #431, DP ; 432
+ LD #432, DP ; 433
+ LD #433, DP ; 434
+ LD #434, DP ; 435
+ LD #435, DP ; 436
+ LD #436, DP ; 437
+ LD #437, DP ; 438
+ LD #438, DP ; 439
+ LD #439, DP ; 440
+ LD #440, DP ; 441
+ LD #441, DP ; 442
+ LD #442, DP ; 443
+ LD #443, DP ; 444
+ LD #444, DP ; 445
+ LD #445, DP ; 446
+ LD #446, DP ; 447
+ LD #447, DP ; 448
+ LD #448, DP ; 449
+ LD #449, DP ; 450
+ LD #450, DP ; 451
+ LD #451, DP ; 452
+ LD #452, DP ; 453
+ LD #453, DP ; 454
+ LD #454, DP ; 455
+ LD #455, DP ; 456
+ LD #456, DP ; 457
+ LD #457, DP ; 458
+ LD #458, DP ; 459
+ LD #459, DP ; 460
+ LD #460, DP ; 461
+ LD #461, DP ; 462
+ LD #462, DP ; 463
+ LD #463, DP ; 464
+ LD #464, DP ; 465
+ LD #465, DP ; 466
+ LD #466, DP ; 467
+ LD #467, DP ; 468
+ LD #468, DP ; 469
+ LD #469, DP ; 470
+ LD #470, DP ; 471
+ LD #471, DP ; 472
+ LD #472, DP ; 473
+ LD #473, DP ; 474
+ LD #474, DP ; 475
+ LD #475, DP ; 476
+ LD #476, DP ; 477
+ LD #477, DP ; 478
+ LD #478, DP ; 479
+ LD #479, DP ; 480
+ LD #480, DP ; 481
+ LD #481, DP ; 482
+ LD #482, DP ; 483
+ LD #483, DP ; 484
+ LD #484, DP ; 485
+ LD #485, DP ; 486
+ LD #486, DP ; 487
+ LD #487, DP ; 488
+ LD #488, DP ; 489
+ LD #489, DP ; 490
+ LD #490, DP ; 491
+ LD #491, DP ; 492
+ LD #492, DP ; 493
+ LD #493, DP ; 494
+ LD #494, DP ; 495
+ LD #495, DP ; 496
+ LD #496, DP ; 497
+ LD #497, DP ; 498
+ LD #498, DP ; 499
+ LD #499, DP ; 500
+ LD #500, DP ; 501
+ LD #501, DP ; 502
+ LD #502, DP ; 503
+ LD #503, DP ; 504
+ LD #504, DP ; 505
+ LD #505, DP ; 506
+ LD #506, DP ; 507
+ LD #507, DP ; 508
+ LD #508, DP ; 509
+ LD #509, DP ; 510
+ LD #510, DP ; 511
+ LD #511, DP ; 512
+ ; LDk8 : LD K8, AB : 512
+ LD #0, A ; 1
+ LD #0, B ; 2
+ LD #1, A ; 3
+ LD #1, B ; 4
+ LD #2, A ; 5
+ LD #2, B ; 6
+ LD #3, A ; 7
+ LD #3, B ; 8
+ LD #4, A ; 9
+ LD #4, B ; 10
+ LD #5, A ; 11
+ LD #5, B ; 12
+ LD #6, A ; 13
+ LD #6, B ; 14
+ LD #7, A ; 15
+ LD #7, B ; 16
+ LD #8, A ; 17
+ LD #8, B ; 18
+ LD #9, A ; 19
+ LD #9, B ; 20
+ LD #10, A ; 21
+ LD #10, B ; 22
+ LD #11, A ; 23
+ LD #11, B ; 24
+ LD #12, A ; 25
+ LD #12, B ; 26
+ LD #13, A ; 27
+ LD #13, B ; 28
+ LD #14, A ; 29
+ LD #14, B ; 30
+ LD #15, A ; 31
+ LD #15, B ; 32
+ LD #16, A ; 33
+ LD #16, B ; 34
+ LD #17, A ; 35
+ LD #17, B ; 36
+ LD #18, A ; 37
+ LD #18, B ; 38
+ LD #19, A ; 39
+ LD #19, B ; 40
+ LD #20, A ; 41
+ LD #20, B ; 42
+ LD #21, A ; 43
+ LD #21, B ; 44
+ LD #22, A ; 45
+ LD #22, B ; 46
+ LD #23, A ; 47
+ LD #23, B ; 48
+ LD #24, A ; 49
+ LD #24, B ; 50
+ LD #25, A ; 51
+ LD #25, B ; 52
+ LD #26, A ; 53
+ LD #26, B ; 54
+ LD #27, A ; 55
+ LD #27, B ; 56
+ LD #28, A ; 57
+ LD #28, B ; 58
+ LD #29, A ; 59
+ LD #29, B ; 60
+ LD #30, A ; 61
+ LD #30, B ; 62
+ LD #31, A ; 63
+ LD #31, B ; 64
+ LD #32, A ; 65
+ LD #32, B ; 66
+ LD #33, A ; 67
+ LD #33, B ; 68
+ LD #34, A ; 69
+ LD #34, B ; 70
+ LD #35, A ; 71
+ LD #35, B ; 72
+ LD #36, A ; 73
+ LD #36, B ; 74
+ LD #37, A ; 75
+ LD #37, B ; 76
+ LD #38, A ; 77
+ LD #38, B ; 78
+ LD #39, A ; 79
+ LD #39, B ; 80
+ LD #40, A ; 81
+ LD #40, B ; 82
+ LD #41, A ; 83
+ LD #41, B ; 84
+ LD #42, A ; 85
+ LD #42, B ; 86
+ LD #43, A ; 87
+ LD #43, B ; 88
+ LD #44, A ; 89
+ LD #44, B ; 90
+ LD #45, A ; 91
+ LD #45, B ; 92
+ LD #46, A ; 93
+ LD #46, B ; 94
+ LD #47, A ; 95
+ LD #47, B ; 96
+ LD #48, A ; 97
+ LD #48, B ; 98
+ LD #49, A ; 99
+ LD #49, B ; 100
+ LD #50, A ; 101
+ LD #50, B ; 102
+ LD #51, A ; 103
+ LD #51, B ; 104
+ LD #52, A ; 105
+ LD #52, B ; 106
+ LD #53, A ; 107
+ LD #53, B ; 108
+ LD #54, A ; 109
+ LD #54, B ; 110
+ LD #55, A ; 111
+ LD #55, B ; 112
+ LD #56, A ; 113
+ LD #56, B ; 114
+ LD #57, A ; 115
+ LD #57, B ; 116
+ LD #58, A ; 117
+ LD #58, B ; 118
+ LD #59, A ; 119
+ LD #59, B ; 120
+ LD #60, A ; 121
+ LD #60, B ; 122
+ LD #61, A ; 123
+ LD #61, B ; 124
+ LD #62, A ; 125
+ LD #62, B ; 126
+ LD #63, A ; 127
+ LD #63, B ; 128
+ LD #64, A ; 129
+ LD #64, B ; 130
+ LD #65, A ; 131
+ LD #65, B ; 132
+ LD #66, A ; 133
+ LD #66, B ; 134
+ LD #67, A ; 135
+ LD #67, B ; 136
+ LD #68, A ; 137
+ LD #68, B ; 138
+ LD #69, A ; 139
+ LD #69, B ; 140
+ LD #70, A ; 141
+ LD #70, B ; 142
+ LD #71, A ; 143
+ LD #71, B ; 144
+ LD #72, A ; 145
+ LD #72, B ; 146
+ LD #73, A ; 147
+ LD #73, B ; 148
+ LD #74, A ; 149
+ LD #74, B ; 150
+ LD #75, A ; 151
+ LD #75, B ; 152
+ LD #76, A ; 153
+ LD #76, B ; 154
+ LD #77, A ; 155
+ LD #77, B ; 156
+ LD #78, A ; 157
+ LD #78, B ; 158
+ LD #79, A ; 159
+ LD #79, B ; 160
+ LD #80, A ; 161
+ LD #80, B ; 162
+ LD #81, A ; 163
+ LD #81, B ; 164
+ LD #82, A ; 165
+ LD #82, B ; 166
+ LD #83, A ; 167
+ LD #83, B ; 168
+ LD #84, A ; 169
+ LD #84, B ; 170
+ LD #85, A ; 171
+ LD #85, B ; 172
+ LD #86, A ; 173
+ LD #86, B ; 174
+ LD #87, A ; 175
+ LD #87, B ; 176
+ LD #88, A ; 177
+ LD #88, B ; 178
+ LD #89, A ; 179
+ LD #89, B ; 180
+ LD #90, A ; 181
+ LD #90, B ; 182
+ LD #91, A ; 183
+ LD #91, B ; 184
+ LD #92, A ; 185
+ LD #92, B ; 186
+ LD #93, A ; 187
+ LD #93, B ; 188
+ LD #94, A ; 189
+ LD #94, B ; 190
+ LD #95, A ; 191
+ LD #95, B ; 192
+ LD #96, A ; 193
+ LD #96, B ; 194
+ LD #97, A ; 195
+ LD #97, B ; 196
+ LD #98, A ; 197
+ LD #98, B ; 198
+ LD #99, A ; 199
+ LD #99, B ; 200
+ LD #100, A ; 201
+ LD #100, B ; 202
+ LD #101, A ; 203
+ LD #101, B ; 204
+ LD #102, A ; 205
+ LD #102, B ; 206
+ LD #103, A ; 207
+ LD #103, B ; 208
+ LD #104, A ; 209
+ LD #104, B ; 210
+ LD #105, A ; 211
+ LD #105, B ; 212
+ LD #106, A ; 213
+ LD #106, B ; 214
+ LD #107, A ; 215
+ LD #107, B ; 216
+ LD #108, A ; 217
+ LD #108, B ; 218
+ LD #109, A ; 219
+ LD #109, B ; 220
+ LD #110, A ; 221
+ LD #110, B ; 222
+ LD #111, A ; 223
+ LD #111, B ; 224
+ LD #112, A ; 225
+ LD #112, B ; 226
+ LD #113, A ; 227
+ LD #113, B ; 228
+ LD #114, A ; 229
+ LD #114, B ; 230
+ LD #115, A ; 231
+ LD #115, B ; 232
+ LD #116, A ; 233
+ LD #116, B ; 234
+ LD #117, A ; 235
+ LD #117, B ; 236
+ LD #118, A ; 237
+ LD #118, B ; 238
+ LD #119, A ; 239
+ LD #119, B ; 240
+ LD #120, A ; 241
+ LD #120, B ; 242
+ LD #121, A ; 243
+ LD #121, B ; 244
+ LD #122, A ; 245
+ LD #122, B ; 246
+ LD #123, A ; 247
+ LD #123, B ; 248
+ LD #124, A ; 249
+ LD #124, B ; 250
+ LD #125, A ; 251
+ LD #125, B ; 252
+ LD #126, A ; 253
+ LD #126, B ; 254
+ LD #127, A ; 255
+ LD #127, B ; 256
+ LD #128, A ; 257
+ LD #128, B ; 258
+ LD #129, A ; 259
+ LD #129, B ; 260
+ LD #130, A ; 261
+ LD #130, B ; 262
+ LD #131, A ; 263
+ LD #131, B ; 264
+ LD #132, A ; 265
+ LD #132, B ; 266
+ LD #133, A ; 267
+ LD #133, B ; 268
+ LD #134, A ; 269
+ LD #134, B ; 270
+ LD #135, A ; 271
+ LD #135, B ; 272
+ LD #136, A ; 273
+ LD #136, B ; 274
+ LD #137, A ; 275
+ LD #137, B ; 276
+ LD #138, A ; 277
+ LD #138, B ; 278
+ LD #139, A ; 279
+ LD #139, B ; 280
+ LD #140, A ; 281
+ LD #140, B ; 282
+ LD #141, A ; 283
+ LD #141, B ; 284
+ LD #142, A ; 285
+ LD #142, B ; 286
+ LD #143, A ; 287
+ LD #143, B ; 288
+ LD #144, A ; 289
+ LD #144, B ; 290
+ LD #145, A ; 291
+ LD #145, B ; 292
+ LD #146, A ; 293
+ LD #146, B ; 294
+ LD #147, A ; 295
+ LD #147, B ; 296
+ LD #148, A ; 297
+ LD #148, B ; 298
+ LD #149, A ; 299
+ LD #149, B ; 300
+ LD #150, A ; 301
+ LD #150, B ; 302
+ LD #151, A ; 303
+ LD #151, B ; 304
+ LD #152, A ; 305
+ LD #152, B ; 306
+ LD #153, A ; 307
+ LD #153, B ; 308
+ LD #154, A ; 309
+ LD #154, B ; 310
+ LD #155, A ; 311
+ LD #155, B ; 312
+ LD #156, A ; 313
+ LD #156, B ; 314
+ LD #157, A ; 315
+ LD #157, B ; 316
+ LD #158, A ; 317
+ LD #158, B ; 318
+ LD #159, A ; 319
+ LD #159, B ; 320
+ LD #160, A ; 321
+ LD #160, B ; 322
+ LD #161, A ; 323
+ LD #161, B ; 324
+ LD #162, A ; 325
+ LD #162, B ; 326
+ LD #163, A ; 327
+ LD #163, B ; 328
+ LD #164, A ; 329
+ LD #164, B ; 330
+ LD #165, A ; 331
+ LD #165, B ; 332
+ LD #166, A ; 333
+ LD #166, B ; 334
+ LD #167, A ; 335
+ LD #167, B ; 336
+ LD #168, A ; 337
+ LD #168, B ; 338
+ LD #169, A ; 339
+ LD #169, B ; 340
+ LD #170, A ; 341
+ LD #170, B ; 342
+ LD #171, A ; 343
+ LD #171, B ; 344
+ LD #172, A ; 345
+ LD #172, B ; 346
+ LD #173, A ; 347
+ LD #173, B ; 348
+ LD #174, A ; 349
+ LD #174, B ; 350
+ LD #175, A ; 351
+ LD #175, B ; 352
+ LD #176, A ; 353
+ LD #176, B ; 354
+ LD #177, A ; 355
+ LD #177, B ; 356
+ LD #178, A ; 357
+ LD #178, B ; 358
+ LD #179, A ; 359
+ LD #179, B ; 360
+ LD #180, A ; 361
+ LD #180, B ; 362
+ LD #181, A ; 363
+ LD #181, B ; 364
+ LD #182, A ; 365
+ LD #182, B ; 366
+ LD #183, A ; 367
+ LD #183, B ; 368
+ LD #184, A ; 369
+ LD #184, B ; 370
+ LD #185, A ; 371
+ LD #185, B ; 372
+ LD #186, A ; 373
+ LD #186, B ; 374
+ LD #187, A ; 375
+ LD #187, B ; 376
+ LD #188, A ; 377
+ LD #188, B ; 378
+ LD #189, A ; 379
+ LD #189, B ; 380
+ LD #190, A ; 381
+ LD #190, B ; 382
+ LD #191, A ; 383
+ LD #191, B ; 384
+ LD #192, A ; 385
+ LD #192, B ; 386
+ LD #193, A ; 387
+ LD #193, B ; 388
+ LD #194, A ; 389
+ LD #194, B ; 390
+ LD #195, A ; 391
+ LD #195, B ; 392
+ LD #196, A ; 393
+ LD #196, B ; 394
+ LD #197, A ; 395
+ LD #197, B ; 396
+ LD #198, A ; 397
+ LD #198, B ; 398
+ LD #199, A ; 399
+ LD #199, B ; 400
+ LD #200, A ; 401
+ LD #200, B ; 402
+ LD #201, A ; 403
+ LD #201, B ; 404
+ LD #202, A ; 405
+ LD #202, B ; 406
+ LD #203, A ; 407
+ LD #203, B ; 408
+ LD #204, A ; 409
+ LD #204, B ; 410
+ LD #205, A ; 411
+ LD #205, B ; 412
+ LD #206, A ; 413
+ LD #206, B ; 414
+ LD #207, A ; 415
+ LD #207, B ; 416
+ LD #208, A ; 417
+ LD #208, B ; 418
+ LD #209, A ; 419
+ LD #209, B ; 420
+ LD #210, A ; 421
+ LD #210, B ; 422
+ LD #211, A ; 423
+ LD #211, B ; 424
+ LD #212, A ; 425
+ LD #212, B ; 426
+ LD #213, A ; 427
+ LD #213, B ; 428
+ LD #214, A ; 429
+ LD #214, B ; 430
+ LD #215, A ; 431
+ LD #215, B ; 432
+ LD #216, A ; 433
+ LD #216, B ; 434
+ LD #217, A ; 435
+ LD #217, B ; 436
+ LD #218, A ; 437
+ LD #218, B ; 438
+ LD #219, A ; 439
+ LD #219, B ; 440
+ LD #220, A ; 441
+ LD #220, B ; 442
+ LD #221, A ; 443
+ LD #221, B ; 444
+ LD #222, A ; 445
+ LD #222, B ; 446
+ LD #223, A ; 447
+ LD #223, B ; 448
+ LD #224, A ; 449
+ LD #224, B ; 450
+ LD #225, A ; 451
+ LD #225, B ; 452
+ LD #226, A ; 453
+ LD #226, B ; 454
+ LD #227, A ; 455
+ LD #227, B ; 456
+ LD #228, A ; 457
+ LD #228, B ; 458
+ LD #229, A ; 459
+ LD #229, B ; 460
+ LD #230, A ; 461
+ LD #230, B ; 462
+ LD #231, A ; 463
+ LD #231, B ; 464
+ LD #232, A ; 465
+ LD #232, B ; 466
+ LD #233, A ; 467
+ LD #233, B ; 468
+ LD #234, A ; 469
+ LD #234, B ; 470
+ LD #235, A ; 471
+ LD #235, B ; 472
+ LD #236, A ; 473
+ LD #236, B ; 474
+ LD #237, A ; 475
+ LD #237, B ; 476
+ LD #238, A ; 477
+ LD #238, B ; 478
+ LD #239, A ; 479
+ LD #239, B ; 480
+ LD #240, A ; 481
+ LD #240, B ; 482
+ LD #241, A ; 483
+ LD #241, B ; 484
+ LD #242, A ; 485
+ LD #242, B ; 486
+ LD #243, A ; 487
+ LD #243, B ; 488
+ LD #244, A ; 489
+ LD #244, B ; 490
+ LD #245, A ; 491
+ LD #245, B ; 492
+ LD #246, A ; 493
+ LD #246, B ; 494
+ LD #247, A ; 495
+ LD #247, B ; 496
+ LD #248, A ; 497
+ LD #248, B ; 498
+ LD #249, A ; 499
+ LD #249, B ; 500
+ LD #250, A ; 501
+ LD #250, B ; 502
+ LD #251, A ; 503
+ LD #251, B ; 504
+ LD #252, A ; 505
+ LD #252, B ; 506
+ LD #253, A ; 507
+ LD #253, B ; 508
+ LD #254, A ; 509
+ LD #254, B ; 510
+ LD #255, A ; 511
+ LD #255, B ; 512
+ ; LDlkShift : LD lk, SHFT2, AB : 34
+ LD #0223Eh, A ; 1
+ LD #0223Fh, B ; 2
+ LD #02240h, 0, A ; 3
+ LD #02241h, 0, B ; 4
+ LD #02242h, 1, A ; 5
+ LD #02243h, 1, B ; 6
+ LD #02244h, 2, A ; 7
+ LD #02245h, 2, B ; 8
+ LD #02246h, 3, A ; 9
+ LD #02247h, 3, B ; 10
+ LD #02248h, 4, A ; 11
+ LD #02249h, 4, B ; 12
+ LD #0224Ah, 5, A ; 13
+ LD #0224Bh, 5, B ; 14
+ LD #0224Ch, 6, A ; 15
+ LD #0224Dh, 6, B ; 16
+ LD #0224Eh, 7, A ; 17
+ LD #0224Fh, 7, B ; 18
+ LD #02250h, 8, A ; 19
+ LD #02251h, 8, B ; 20
+ LD #02252h, 9, A ; 21
+ LD #02253h, 9, B ; 22
+ LD #02254h, 10, A ; 23
+ LD #02255h, 10, B ; 24
+ LD #02256h, 11, A ; 25
+ LD #02257h, 11, B ; 26
+ LD #02258h, 12, A ; 27
+ LD #02259h, 12, B ; 28
+ LD #0225Ah, 13, A ; 29
+ LD #0225Bh, 13, B ; 30
+ LD #0225Ch, 14, A ; 31
+ LD #0225Dh, 14, B ; 32
+ LD #0225Eh, 15, A ; 33
+ LD #0225Fh, 15, B ; 34
+ ; LDsdShift : LD AB, SHIFT, AB2 : 196
+ LD A, A ; 1
+ LD A, B ; 2
+ LD A, -16, A ; 3
+ LD A, -16, B ; 4
+ LD A, -16 ; 5
+ LD A, -15, A ; 6
+ LD A, -15, B ; 7
+ LD A, -15 ; 8
+ LD A, -14, A ; 9
+ LD A, -14, B ; 10
+ LD A, -14 ; 11
+ LD A, -13, A ; 12
+ LD A, -13, B ; 13
+ LD A, -13 ; 14
+ LD A, -12, A ; 15
+ LD A, -12, B ; 16
+ LD A, -12 ; 17
+ LD A, -11, A ; 18
+ LD A, -11, B ; 19
+ LD A, -11 ; 20
+ LD A, -10, A ; 21
+ LD A, -10, B ; 22
+ LD A, -10 ; 23
+ LD A, -9, A ; 24
+ LD A, -9, B ; 25
+ LD A, -9 ; 26
+ LD A, -8, A ; 27
+ LD A, -8, B ; 28
+ LD A, -8 ; 29
+ LD A, -7, A ; 30
+ LD A, -7, B ; 31
+ LD A, -7 ; 32
+ LD A, -6, A ; 33
+ LD A, -6, B ; 34
+ LD A, -6 ; 35
+ LD A, -5, A ; 36
+ LD A, -5, B ; 37
+ LD A, -5 ; 38
+ LD A, -4, A ; 39
+ LD A, -4, B ; 40
+ LD A, -4 ; 41
+ LD A, -3, A ; 42
+ LD A, -3, B ; 43
+ LD A, -3 ; 44
+ LD A, -2, A ; 45
+ LD A, -2, B ; 46
+ LD A, -2 ; 47
+ LD A, -1, A ; 48
+ LD A, -1, B ; 49
+ LD A, -1 ; 50
+ LD A, 0, A ; 51
+ LD A, 0, B ; 52
+ LD A, 0 ; 53
+ LD A, 1, A ; 54
+ LD A, 1, B ; 55
+ LD A, 1 ; 56
+ LD A, 2, A ; 57
+ LD A, 2, B ; 58
+ LD A, 2 ; 59
+ LD A, 3, A ; 60
+ LD A, 3, B ; 61
+ LD A, 3 ; 62
+ LD A, 4, A ; 63
+ LD A, 4, B ; 64
+ LD A, 4 ; 65
+ LD A, 5, A ; 66
+ LD A, 5, B ; 67
+ LD A, 5 ; 68
+ LD A, 6, A ; 69
+ LD A, 6, B ; 70
+ LD A, 6 ; 71
+ LD A, 7, A ; 72
+ LD A, 7, B ; 73
+ LD A, 7 ; 74
+ LD A, 8, A ; 75
+ LD A, 8, B ; 76
+ LD A, 8 ; 77
+ LD A, 9, A ; 78
+ LD A, 9, B ; 79
+ LD A, 9 ; 80
+ LD A, 10, A ; 81
+ LD A, 10, B ; 82
+ LD A, 10 ; 83
+ LD A, 11, A ; 84
+ LD A, 11, B ; 85
+ LD A, 11 ; 86
+ LD A, 12, A ; 87
+ LD A, 12, B ; 88
+ LD A, 12 ; 89
+ LD A, 13, A ; 90
+ LD A, 13, B ; 91
+ LD A, 13 ; 92
+ LD A, 14, A ; 93
+ LD A, 14, B ; 94
+ LD A, 14 ; 95
+ LD A, 15, A ; 96
+ LD A, 15, B ; 97
+ LD A, 15 ; 98
+ LD B, A ; 99
+ LD B, B ; 100
+ LD B, -16, A ; 101
+ LD B, -16, B ; 102
+ LD B, -16 ; 103
+ LD B, -15, A ; 104
+ LD B, -15, B ; 105
+ LD B, -15 ; 106
+ LD B, -14, A ; 107
+ LD B, -14, B ; 108
+ LD B, -14 ; 109
+ LD B, -13, A ; 110
+ LD B, -13, B ; 111
+ LD B, -13 ; 112
+ LD B, -12, A ; 113
+ LD B, -12, B ; 114
+ LD B, -12 ; 115
+ LD B, -11, A ; 116
+ LD B, -11, B ; 117
+ LD B, -11 ; 118
+ LD B, -10, A ; 119
+ LD B, -10, B ; 120
+ LD B, -10 ; 121
+ LD B, -9, A ; 122
+ LD B, -9, B ; 123
+ LD B, -9 ; 124
+ LD B, -8, A ; 125
+ LD B, -8, B ; 126
+ LD B, -8 ; 127
+ LD B, -7, A ; 128
+ LD B, -7, B ; 129
+ LD B, -7 ; 130
+ LD B, -6, A ; 131
+ LD B, -6, B ; 132
+ LD B, -6 ; 133
+ LD B, -5, A ; 134
+ LD B, -5, B ; 135
+ LD B, -5 ; 136
+ LD B, -4, A ; 137
+ LD B, -4, B ; 138
+ LD B, -4 ; 139
+ LD B, -3, A ; 140
+ LD B, -3, B ; 141
+ LD B, -3 ; 142
+ LD B, -2, A ; 143
+ LD B, -2, B ; 144
+ LD B, -2 ; 145
+ LD B, -1, A ; 146
+ LD B, -1, B ; 147
+ LD B, -1 ; 148
+ LD B, 0, A ; 149
+ LD B, 0, B ; 150
+ LD B, 0 ; 151
+ LD B, 1, A ; 152
+ LD B, 1, B ; 153
+ LD B, 1 ; 154
+ LD B, 2, A ; 155
+ LD B, 2, B ; 156
+ LD B, 2 ; 157
+ LD B, 3, A ; 158
+ LD B, 3, B ; 159
+ LD B, 3 ; 160
+ LD B, 4, A ; 161
+ LD B, 4, B ; 162
+ LD B, 4 ; 163
+ LD B, 5, A ; 164
+ LD B, 5, B ; 165
+ LD B, 5 ; 166
+ LD B, 6, A ; 167
+ LD B, 6, B ; 168
+ LD B, 6 ; 169
+ LD B, 7, A ; 170
+ LD B, 7, B ; 171
+ LD B, 7 ; 172
+ LD B, 8, A ; 173
+ LD B, 8, B ; 174
+ LD B, 8 ; 175
+ LD B, 9, A ; 176
+ LD B, 9, B ; 177
+ LD B, 9 ; 178
+ LD B, 10, A ; 179
+ LD B, 10, B ; 180
+ LD B, 10 ; 181
+ LD B, 11, A ; 182
+ LD B, 11, B ; 183
+ LD B, 11 ; 184
+ LD B, 12, A ; 185
+ LD B, 12, B ; 186
+ LD B, 12 ; 187
+ LD B, 13, A ; 188
+ LD B, 13, B ; 189
+ LD B, 13 ; 190
+ LD B, 14, A ; 191
+ LD B, 14, B ; 192
+ LD B, 14 ; 193
+ LD B, 15, A ; 194
+ LD B, 15, B ; 195
+ LD B, 15 ; 196
+ ; LDsmem : LD Smem, AB : 2
+ LD 7Ch, A ; 1
+ LD 7Dh, B ; 2
+ ; LDsmemShift : LD Smem, SHIFT, AB : 66
+ LD 7Eh, A ; 1
+ LD 7Fh, B ; 2
+ LD 00h, -16, A ; 3
+ LD 01h, -16, B ; 4
+ LD 02h, -15, A ; 5
+ LD 03h, -15, B ; 6
+ LD 04h, -14, A ; 7
+ LD 05h, -14, B ; 8
+ LD 06h, -13, A ; 9
+ LD 07h, -13, B ; 10
+ LD 08h, -12, A ; 11
+ LD 09h, -12, B ; 12
+ LD 0Ah, -11, A ; 13
+ LD 0Bh, -11, B ; 14
+ LD 0Ch, -10, A ; 15
+ LD 0Dh, -10, B ; 16
+ LD 0Eh, -9, A ; 17
+ LD 0Fh, -9, B ; 18
+ LD 10h, -8, A ; 19
+ LD 11h, -8, B ; 20
+ LD 12h, -7, A ; 21
+ LD 13h, -7, B ; 22
+ LD 14h, -6, A ; 23
+ LD 15h, -6, B ; 24
+ LD 16h, -5, A ; 25
+ LD 17h, -5, B ; 26
+ LD 18h, -4, A ; 27
+ LD 19h, -4, B ; 28
+ LD 1Ah, -3, A ; 29
+ LD 1Bh, -3, B ; 30
+ LD 1Ch, -2, A ; 31
+ LD 1Dh, -2, B ; 32
+ LD 1Eh, -1, A ; 33
+ LD 1Fh, -1, B ; 34
+ LD 20h, 0, A ; 35
+ LD 21h, 0, B ; 36
+ LD 22h, 1, A ; 37
+ LD 23h, 1, B ; 38
+ LD 24h, 2, A ; 39
+ LD 25h, 2, B ; 40
+ LD 26h, 3, A ; 41
+ LD 27h, 3, B ; 42
+ LD 28h, 4, A ; 43
+ LD 29h, 4, B ; 44
+ LD 2Ah, 5, A ; 45
+ LD 2Bh, 5, B ; 46
+ LD 2Ch, 6, A ; 47
+ LD 2Dh, 6, B ; 48
+ LD 2Eh, 7, A ; 49
+ LD 2Fh, 7, B ; 50
+ LD 30h, 8, A ; 51
+ LD 31h, 8, B ; 52
+ LD 32h, 9, A ; 53
+ LD 33h, 9, B ; 54
+ LD 34h, 10, A ; 55
+ LD 35h, 10, B ; 56
+ LD 36h, 11, A ; 57
+ LD 37h, 11, B ; 58
+ LD 38h, 12, A ; 59
+ LD 39h, 12, B ; 60
+ LD 3Ah, 13, A ; 61
+ LD 3Bh, 13, B ; 62
+ LD 3Ch, 14, A ; 63
+ LD 3Dh, 14, B ; 64
+ LD 3Eh, 15, A ; 65
+ LD 3Fh, 15, B ; 66
+ ; LDsmemShift_I : LD Smem_I, SHIFT, AB : 7920
+ LD *AR0, A ; 1
+ LD *AR0, B ; 2
+ LD *AR0, -16, A ; 3
+ LD *AR0, -16, B ; 4
+ LD *AR0, -15, A ; 5
+ LD *AR0, -15, B ; 6
+ LD *AR0, -14, A ; 7
+ LD *AR0, -14, B ; 8
+ LD *AR0, -13, A ; 9
+ LD *AR0, -13, B ; 10
+ LD *AR0, -12, A ; 11
+ LD *AR0, -12, B ; 12
+ LD *AR0, -11, A ; 13
+ LD *AR0, -11, B ; 14
+ LD *AR0, -10, A ; 15
+ LD *AR0, -10, B ; 16
+ LD *AR0, -9, A ; 17
+ LD *AR0, -9, B ; 18
+ LD *AR0, -8, A ; 19
+ LD *AR0, -8, B ; 20
+ LD *AR0, -7, A ; 21
+ LD *AR0, -7, B ; 22
+ LD *AR0, -6, A ; 23
+ LD *AR0, -6, B ; 24
+ LD *AR0, -5, A ; 25
+ LD *AR0, -5, B ; 26
+ LD *AR0, -4, A ; 27
+ LD *AR0, -4, B ; 28
+ LD *AR0, -3, A ; 29
+ LD *AR0, -3, B ; 30
+ LD *AR0, -2, A ; 31
+ LD *AR0, -2, B ; 32
+ LD *AR0, -1, A ; 33
+ LD *AR0, -1, B ; 34
+ LD *AR0, 0, A ; 35
+ LD *AR0, 0, B ; 36
+ LD *AR0, 1, A ; 37
+ LD *AR0, 1, B ; 38
+ LD *AR0, 2, A ; 39
+ LD *AR0, 2, B ; 40
+ LD *AR0, 3, A ; 41
+ LD *AR0, 3, B ; 42
+ LD *AR0, 4, A ; 43
+ LD *AR0, 4, B ; 44
+ LD *AR0, 5, A ; 45
+ LD *AR0, 5, B ; 46
+ LD *AR0, 6, A ; 47
+ LD *AR0, 6, B ; 48
+ LD *AR0, 7, A ; 49
+ LD *AR0, 7, B ; 50
+ LD *AR0, 8, A ; 51
+ LD *AR0, 8, B ; 52
+ LD *AR0, 9, A ; 53
+ LD *AR0, 9, B ; 54
+ LD *AR0, 10, A ; 55
+ LD *AR0, 10, B ; 56
+ LD *AR0, 11, A ; 57
+ LD *AR0, 11, B ; 58
+ LD *AR0, 12, A ; 59
+ LD *AR0, 12, B ; 60
+ LD *AR0, 13, A ; 61
+ LD *AR0, 13, B ; 62
+ LD *AR0, 14, A ; 63
+ LD *AR0, 14, B ; 64
+ LD *AR0, 15, A ; 65
+ LD *AR0, 15, B ; 66
+ LD *AR0-, A ; 67
+ LD *AR0-, B ; 68
+ LD *AR0-, -16, A ; 69
+ LD *AR0-, -16, B ; 70
+ LD *AR0-, -15, A ; 71
+ LD *AR0-, -15, B ; 72
+ LD *AR0-, -14, A ; 73
+ LD *AR0-, -14, B ; 74
+ LD *AR0-, -13, A ; 75
+ LD *AR0-, -13, B ; 76
+ LD *AR0-, -12, A ; 77
+ LD *AR0-, -12, B ; 78
+ LD *AR0-, -11, A ; 79
+ LD *AR0-, -11, B ; 80
+ LD *AR0-, -10, A ; 81
+ LD *AR0-, -10, B ; 82
+ LD *AR0-, -9, A ; 83
+ LD *AR0-, -9, B ; 84
+ LD *AR0-, -8, A ; 85
+ LD *AR0-, -8, B ; 86
+ LD *AR0-, -7, A ; 87
+ LD *AR0-, -7, B ; 88
+ LD *AR0-, -6, A ; 89
+ LD *AR0-, -6, B ; 90
+ LD *AR0-, -5, A ; 91
+ LD *AR0-, -5, B ; 92
+ LD *AR0-, -4, A ; 93
+ LD *AR0-, -4, B ; 94
+ LD *AR0-, -3, A ; 95
+ LD *AR0-, -3, B ; 96
+ LD *AR0-, -2, A ; 97
+ LD *AR0-, -2, B ; 98
+ LD *AR0-, -1, A ; 99
+ LD *AR0-, -1, B ; 100
+ LD *AR0-, 0, A ; 101
+ LD *AR0-, 0, B ; 102
+ LD *AR0-, 1, A ; 103
+ LD *AR0-, 1, B ; 104
+ LD *AR0-, 2, A ; 105
+ LD *AR0-, 2, B ; 106
+ LD *AR0-, 3, A ; 107
+ LD *AR0-, 3, B ; 108
+ LD *AR0-, 4, A ; 109
+ LD *AR0-, 4, B ; 110
+ LD *AR0-, 5, A ; 111
+ LD *AR0-, 5, B ; 112
+ LD *AR0-, 6, A ; 113
+ LD *AR0-, 6, B ; 114
+ LD *AR0-, 7, A ; 115
+ LD *AR0-, 7, B ; 116
+ LD *AR0-, 8, A ; 117
+ LD *AR0-, 8, B ; 118
+ LD *AR0-, 9, A ; 119
+ LD *AR0-, 9, B ; 120
+ LD *AR0-, 10, A ; 121
+ LD *AR0-, 10, B ; 122
+ LD *AR0-, 11, A ; 123
+ LD *AR0-, 11, B ; 124
+ LD *AR0-, 12, A ; 125
+ LD *AR0-, 12, B ; 126
+ LD *AR0-, 13, A ; 127
+ LD *AR0-, 13, B ; 128
+ LD *AR0-, 14, A ; 129
+ LD *AR0-, 14, B ; 130
+ LD *AR0-, 15, A ; 131
+ LD *AR0-, 15, B ; 132
+ LD *AR0+, A ; 133
+ LD *AR0+, B ; 134
+ LD *AR0+, -16, A ; 135
+ LD *AR0+, -16, B ; 136
+ LD *AR0+, -15, A ; 137
+ LD *AR0+, -15, B ; 138
+ LD *AR0+, -14, A ; 139
+ LD *AR0+, -14, B ; 140
+ LD *AR0+, -13, A ; 141
+ LD *AR0+, -13, B ; 142
+ LD *AR0+, -12, A ; 143
+ LD *AR0+, -12, B ; 144
+ LD *AR0+, -11, A ; 145
+ LD *AR0+, -11, B ; 146
+ LD *AR0+, -10, A ; 147
+ LD *AR0+, -10, B ; 148
+ LD *AR0+, -9, A ; 149
+ LD *AR0+, -9, B ; 150
+ LD *AR0+, -8, A ; 151
+ LD *AR0+, -8, B ; 152
+ LD *AR0+, -7, A ; 153
+ LD *AR0+, -7, B ; 154
+ LD *AR0+, -6, A ; 155
+ LD *AR0+, -6, B ; 156
+ LD *AR0+, -5, A ; 157
+ LD *AR0+, -5, B ; 158
+ LD *AR0+, -4, A ; 159
+ LD *AR0+, -4, B ; 160
+ LD *AR0+, -3, A ; 161
+ LD *AR0+, -3, B ; 162
+ LD *AR0+, -2, A ; 163
+ LD *AR0+, -2, B ; 164
+ LD *AR0+, -1, A ; 165
+ LD *AR0+, -1, B ; 166
+ LD *AR0+, 0, A ; 167
+ LD *AR0+, 0, B ; 168
+ LD *AR0+, 1, A ; 169
+ LD *AR0+, 1, B ; 170
+ LD *AR0+, 2, A ; 171
+ LD *AR0+, 2, B ; 172
+ LD *AR0+, 3, A ; 173
+ LD *AR0+, 3, B ; 174
+ LD *AR0+, 4, A ; 175
+ LD *AR0+, 4, B ; 176
+ LD *AR0+, 5, A ; 177
+ LD *AR0+, 5, B ; 178
+ LD *AR0+, 6, A ; 179
+ LD *AR0+, 6, B ; 180
+ LD *AR0+, 7, A ; 181
+ LD *AR0+, 7, B ; 182
+ LD *AR0+, 8, A ; 183
+ LD *AR0+, 8, B ; 184
+ LD *AR0+, 9, A ; 185
+ LD *AR0+, 9, B ; 186
+ LD *AR0+, 10, A ; 187
+ LD *AR0+, 10, B ; 188
+ LD *AR0+, 11, A ; 189
+ LD *AR0+, 11, B ; 190
+ LD *AR0+, 12, A ; 191
+ LD *AR0+, 12, B ; 192
+ LD *AR0+, 13, A ; 193
+ LD *AR0+, 13, B ; 194
+ LD *AR0+, 14, A ; 195
+ LD *AR0+, 14, B ; 196
+ LD *AR0+, 15, A ; 197
+ LD *AR0+, 15, B ; 198
+ LD *AR0-0B, A ; 199
+ LD *AR0-0B, B ; 200
+ LD *AR0-0B, -16, A ; 201
+ LD *AR0-0B, -16, B ; 202
+ LD *AR0-0B, -15, A ; 203
+ LD *AR0-0B, -15, B ; 204
+ LD *AR0-0B, -14, A ; 205
+ LD *AR0-0B, -14, B ; 206
+ LD *AR0-0B, -13, A ; 207
+ LD *AR0-0B, -13, B ; 208
+ LD *AR0-0B, -12, A ; 209
+ LD *AR0-0B, -12, B ; 210
+ LD *AR0-0B, -11, A ; 211
+ LD *AR0-0B, -11, B ; 212
+ LD *AR0-0B, -10, A ; 213
+ LD *AR0-0B, -10, B ; 214
+ LD *AR0-0B, -9, A ; 215
+ LD *AR0-0B, -9, B ; 216
+ LD *AR0-0B, -8, A ; 217
+ LD *AR0-0B, -8, B ; 218
+ LD *AR0-0B, -7, A ; 219
+ LD *AR0-0B, -7, B ; 220
+ LD *AR0-0B, -6, A ; 221
+ LD *AR0-0B, -6, B ; 222
+ LD *AR0-0B, -5, A ; 223
+ LD *AR0-0B, -5, B ; 224
+ LD *AR0-0B, -4, A ; 225
+ LD *AR0-0B, -4, B ; 226
+ LD *AR0-0B, -3, A ; 227
+ LD *AR0-0B, -3, B ; 228
+ LD *AR0-0B, -2, A ; 229
+ LD *AR0-0B, -2, B ; 230
+ LD *AR0-0B, -1, A ; 231
+ LD *AR0-0B, -1, B ; 232
+ LD *AR0-0B, 0, A ; 233
+ LD *AR0-0B, 0, B ; 234
+ LD *AR0-0B, 1, A ; 235
+ LD *AR0-0B, 1, B ; 236
+ LD *AR0-0B, 2, A ; 237
+ LD *AR0-0B, 2, B ; 238
+ LD *AR0-0B, 3, A ; 239
+ LD *AR0-0B, 3, B ; 240
+ LD *AR0-0B, 4, A ; 241
+ LD *AR0-0B, 4, B ; 242
+ LD *AR0-0B, 5, A ; 243
+ LD *AR0-0B, 5, B ; 244
+ LD *AR0-0B, 6, A ; 245
+ LD *AR0-0B, 6, B ; 246
+ LD *AR0-0B, 7, A ; 247
+ LD *AR0-0B, 7, B ; 248
+ LD *AR0-0B, 8, A ; 249
+ LD *AR0-0B, 8, B ; 250
+ LD *AR0-0B, 9, A ; 251
+ LD *AR0-0B, 9, B ; 252
+ LD *AR0-0B, 10, A ; 253
+ LD *AR0-0B, 10, B ; 254
+ LD *AR0-0B, 11, A ; 255
+ LD *AR0-0B, 11, B ; 256
+ LD *AR0-0B, 12, A ; 257
+ LD *AR0-0B, 12, B ; 258
+ LD *AR0-0B, 13, A ; 259
+ LD *AR0-0B, 13, B ; 260
+ LD *AR0-0B, 14, A ; 261
+ LD *AR0-0B, 14, B ; 262
+ LD *AR0-0B, 15, A ; 263
+ LD *AR0-0B, 15, B ; 264
+ LD *AR0-0, A ; 265
+ LD *AR0-0, B ; 266
+ LD *AR0-0, -16, A ; 267
+ LD *AR0-0, -16, B ; 268
+ LD *AR0-0, -15, A ; 269
+ LD *AR0-0, -15, B ; 270
+ LD *AR0-0, -14, A ; 271
+ LD *AR0-0, -14, B ; 272
+ LD *AR0-0, -13, A ; 273
+ LD *AR0-0, -13, B ; 274
+ LD *AR0-0, -12, A ; 275
+ LD *AR0-0, -12, B ; 276
+ LD *AR0-0, -11, A ; 277
+ LD *AR0-0, -11, B ; 278
+ LD *AR0-0, -10, A ; 279
+ LD *AR0-0, -10, B ; 280
+ LD *AR0-0, -9, A ; 281
+ LD *AR0-0, -9, B ; 282
+ LD *AR0-0, -8, A ; 283
+ LD *AR0-0, -8, B ; 284
+ LD *AR0-0, -7, A ; 285
+ LD *AR0-0, -7, B ; 286
+ LD *AR0-0, -6, A ; 287
+ LD *AR0-0, -6, B ; 288
+ LD *AR0-0, -5, A ; 289
+ LD *AR0-0, -5, B ; 290
+ LD *AR0-0, -4, A ; 291
+ LD *AR0-0, -4, B ; 292
+ LD *AR0-0, -3, A ; 293
+ LD *AR0-0, -3, B ; 294
+ LD *AR0-0, -2, A ; 295
+ LD *AR0-0, -2, B ; 296
+ LD *AR0-0, -1, A ; 297
+ LD *AR0-0, -1, B ; 298
+ LD *AR0-0, 0, A ; 299
+ LD *AR0-0, 0, B ; 300
+ LD *AR0-0, 1, A ; 301
+ LD *AR0-0, 1, B ; 302
+ LD *AR0-0, 2, A ; 303
+ LD *AR0-0, 2, B ; 304
+ LD *AR0-0, 3, A ; 305
+ LD *AR0-0, 3, B ; 306
+ LD *AR0-0, 4, A ; 307
+ LD *AR0-0, 4, B ; 308
+ LD *AR0-0, 5, A ; 309
+ LD *AR0-0, 5, B ; 310
+ LD *AR0-0, 6, A ; 311
+ LD *AR0-0, 6, B ; 312
+ LD *AR0-0, 7, A ; 313
+ LD *AR0-0, 7, B ; 314
+ LD *AR0-0, 8, A ; 315
+ LD *AR0-0, 8, B ; 316
+ LD *AR0-0, 9, A ; 317
+ LD *AR0-0, 9, B ; 318
+ LD *AR0-0, 10, A ; 319
+ LD *AR0-0, 10, B ; 320
+ LD *AR0-0, 11, A ; 321
+ LD *AR0-0, 11, B ; 322
+ LD *AR0-0, 12, A ; 323
+ LD *AR0-0, 12, B ; 324
+ LD *AR0-0, 13, A ; 325
+ LD *AR0-0, 13, B ; 326
+ LD *AR0-0, 14, A ; 327
+ LD *AR0-0, 14, B ; 328
+ LD *AR0-0, 15, A ; 329
+ LD *AR0-0, 15, B ; 330
+ LD *AR0+0, A ; 331
+ LD *AR0+0, B ; 332
+ LD *AR0+0, -16, A ; 333
+ LD *AR0+0, -16, B ; 334
+ LD *AR0+0, -15, A ; 335
+ LD *AR0+0, -15, B ; 336
+ LD *AR0+0, -14, A ; 337
+ LD *AR0+0, -14, B ; 338
+ LD *AR0+0, -13, A ; 339
+ LD *AR0+0, -13, B ; 340
+ LD *AR0+0, -12, A ; 341
+ LD *AR0+0, -12, B ; 342
+ LD *AR0+0, -11, A ; 343
+ LD *AR0+0, -11, B ; 344
+ LD *AR0+0, -10, A ; 345
+ LD *AR0+0, -10, B ; 346
+ LD *AR0+0, -9, A ; 347
+ LD *AR0+0, -9, B ; 348
+ LD *AR0+0, -8, A ; 349
+ LD *AR0+0, -8, B ; 350
+ LD *AR0+0, -7, A ; 351
+ LD *AR0+0, -7, B ; 352
+ LD *AR0+0, -6, A ; 353
+ LD *AR0+0, -6, B ; 354
+ LD *AR0+0, -5, A ; 355
+ LD *AR0+0, -5, B ; 356
+ LD *AR0+0, -4, A ; 357
+ LD *AR0+0, -4, B ; 358
+ LD *AR0+0, -3, A ; 359
+ LD *AR0+0, -3, B ; 360
+ LD *AR0+0, -2, A ; 361
+ LD *AR0+0, -2, B ; 362
+ LD *AR0+0, -1, A ; 363
+ LD *AR0+0, -1, B ; 364
+ LD *AR0+0, 0, A ; 365
+ LD *AR0+0, 0, B ; 366
+ LD *AR0+0, 1, A ; 367
+ LD *AR0+0, 1, B ; 368
+ LD *AR0+0, 2, A ; 369
+ LD *AR0+0, 2, B ; 370
+ LD *AR0+0, 3, A ; 371
+ LD *AR0+0, 3, B ; 372
+ LD *AR0+0, 4, A ; 373
+ LD *AR0+0, 4, B ; 374
+ LD *AR0+0, 5, A ; 375
+ LD *AR0+0, 5, B ; 376
+ LD *AR0+0, 6, A ; 377
+ LD *AR0+0, 6, B ; 378
+ LD *AR0+0, 7, A ; 379
+ LD *AR0+0, 7, B ; 380
+ LD *AR0+0, 8, A ; 381
+ LD *AR0+0, 8, B ; 382
+ LD *AR0+0, 9, A ; 383
+ LD *AR0+0, 9, B ; 384
+ LD *AR0+0, 10, A ; 385
+ LD *AR0+0, 10, B ; 386
+ LD *AR0+0, 11, A ; 387
+ LD *AR0+0, 11, B ; 388
+ LD *AR0+0, 12, A ; 389
+ LD *AR0+0, 12, B ; 390
+ LD *AR0+0, 13, A ; 391
+ LD *AR0+0, 13, B ; 392
+ LD *AR0+0, 14, A ; 393
+ LD *AR0+0, 14, B ; 394
+ LD *AR0+0, 15, A ; 395
+ LD *AR0+0, 15, B ; 396
+ LD *AR0+0B, A ; 397
+ LD *AR0+0B, B ; 398
+ LD *AR0+0B, -16, A ; 399
+ LD *AR0+0B, -16, B ; 400
+ LD *AR0+0B, -15, A ; 401
+ LD *AR0+0B, -15, B ; 402
+ LD *AR0+0B, -14, A ; 403
+ LD *AR0+0B, -14, B ; 404
+ LD *AR0+0B, -13, A ; 405
+ LD *AR0+0B, -13, B ; 406
+ LD *AR0+0B, -12, A ; 407
+ LD *AR0+0B, -12, B ; 408
+ LD *AR0+0B, -11, A ; 409
+ LD *AR0+0B, -11, B ; 410
+ LD *AR0+0B, -10, A ; 411
+ LD *AR0+0B, -10, B ; 412
+ LD *AR0+0B, -9, A ; 413
+ LD *AR0+0B, -9, B ; 414
+ LD *AR0+0B, -8, A ; 415
+ LD *AR0+0B, -8, B ; 416
+ LD *AR0+0B, -7, A ; 417
+ LD *AR0+0B, -7, B ; 418
+ LD *AR0+0B, -6, A ; 419
+ LD *AR0+0B, -6, B ; 420
+ LD *AR0+0B, -5, A ; 421
+ LD *AR0+0B, -5, B ; 422
+ LD *AR0+0B, -4, A ; 423
+ LD *AR0+0B, -4, B ; 424
+ LD *AR0+0B, -3, A ; 425
+ LD *AR0+0B, -3, B ; 426
+ LD *AR0+0B, -2, A ; 427
+ LD *AR0+0B, -2, B ; 428
+ LD *AR0+0B, -1, A ; 429
+ LD *AR0+0B, -1, B ; 430
+ LD *AR0+0B, 0, A ; 431
+ LD *AR0+0B, 0, B ; 432
+ LD *AR0+0B, 1, A ; 433
+ LD *AR0+0B, 1, B ; 434
+ LD *AR0+0B, 2, A ; 435
+ LD *AR0+0B, 2, B ; 436
+ LD *AR0+0B, 3, A ; 437
+ LD *AR0+0B, 3, B ; 438
+ LD *AR0+0B, 4, A ; 439
+ LD *AR0+0B, 4, B ; 440
+ LD *AR0+0B, 5, A ; 441
+ LD *AR0+0B, 5, B ; 442
+ LD *AR0+0B, 6, A ; 443
+ LD *AR0+0B, 6, B ; 444
+ LD *AR0+0B, 7, A ; 445
+ LD *AR0+0B, 7, B ; 446
+ LD *AR0+0B, 8, A ; 447
+ LD *AR0+0B, 8, B ; 448
+ LD *AR0+0B, 9, A ; 449
+ LD *AR0+0B, 9, B ; 450
+ LD *AR0+0B, 10, A ; 451
+ LD *AR0+0B, 10, B ; 452
+ LD *AR0+0B, 11, A ; 453
+ LD *AR0+0B, 11, B ; 454
+ LD *AR0+0B, 12, A ; 455
+ LD *AR0+0B, 12, B ; 456
+ LD *AR0+0B, 13, A ; 457
+ LD *AR0+0B, 13, B ; 458
+ LD *AR0+0B, 14, A ; 459
+ LD *AR0+0B, 14, B ; 460
+ LD *AR0+0B, 15, A ; 461
+ LD *AR0+0B, 15, B ; 462
+ LD *AR0-%, A ; 463
+ LD *AR0-%, B ; 464
+ LD *AR0-%, -16, A ; 465
+ LD *AR0-%, -16, B ; 466
+ LD *AR0-%, -15, A ; 467
+ LD *AR0-%, -15, B ; 468
+ LD *AR0-%, -14, A ; 469
+ LD *AR0-%, -14, B ; 470
+ LD *AR0-%, -13, A ; 471
+ LD *AR0-%, -13, B ; 472
+ LD *AR0-%, -12, A ; 473
+ LD *AR0-%, -12, B ; 474
+ LD *AR0-%, -11, A ; 475
+ LD *AR0-%, -11, B ; 476
+ LD *AR0-%, -10, A ; 477
+ LD *AR0-%, -10, B ; 478
+ LD *AR0-%, -9, A ; 479
+ LD *AR0-%, -9, B ; 480
+ LD *AR0-%, -8, A ; 481
+ LD *AR0-%, -8, B ; 482
+ LD *AR0-%, -7, A ; 483
+ LD *AR0-%, -7, B ; 484
+ LD *AR0-%, -6, A ; 485
+ LD *AR0-%, -6, B ; 486
+ LD *AR0-%, -5, A ; 487
+ LD *AR0-%, -5, B ; 488
+ LD *AR0-%, -4, A ; 489
+ LD *AR0-%, -4, B ; 490
+ LD *AR0-%, -3, A ; 491
+ LD *AR0-%, -3, B ; 492
+ LD *AR0-%, -2, A ; 493
+ LD *AR0-%, -2, B ; 494
+ LD *AR0-%, -1, A ; 495
+ LD *AR0-%, -1, B ; 496
+ LD *AR0-%, 0, A ; 497
+ LD *AR0-%, 0, B ; 498
+ LD *AR0-%, 1, A ; 499
+ LD *AR0-%, 1, B ; 500
+ LD *AR0-%, 2, A ; 501
+ LD *AR0-%, 2, B ; 502
+ LD *AR0-%, 3, A ; 503
+ LD *AR0-%, 3, B ; 504
+ LD *AR0-%, 4, A ; 505
+ LD *AR0-%, 4, B ; 506
+ LD *AR0-%, 5, A ; 507
+ LD *AR0-%, 5, B ; 508
+ LD *AR0-%, 6, A ; 509
+ LD *AR0-%, 6, B ; 510
+ LD *AR0-%, 7, A ; 511
+ LD *AR0-%, 7, B ; 512
+ LD *AR0-%, 8, A ; 513
+ LD *AR0-%, 8, B ; 514
+ LD *AR0-%, 9, A ; 515
+ LD *AR0-%, 9, B ; 516
+ LD *AR0-%, 10, A ; 517
+ LD *AR0-%, 10, B ; 518
+ LD *AR0-%, 11, A ; 519
+ LD *AR0-%, 11, B ; 520
+ LD *AR0-%, 12, A ; 521
+ LD *AR0-%, 12, B ; 522
+ LD *AR0-%, 13, A ; 523
+ LD *AR0-%, 13, B ; 524
+ LD *AR0-%, 14, A ; 525
+ LD *AR0-%, 14, B ; 526
+ LD *AR0-%, 15, A ; 527
+ LD *AR0-%, 15, B ; 528
+ LD *AR0-0%, A ; 529
+ LD *AR0-0%, B ; 530
+ LD *AR0-0%, -16, A ; 531
+ LD *AR0-0%, -16, B ; 532
+ LD *AR0-0%, -15, A ; 533
+ LD *AR0-0%, -15, B ; 534
+ LD *AR0-0%, -14, A ; 535
+ LD *AR0-0%, -14, B ; 536
+ LD *AR0-0%, -13, A ; 537
+ LD *AR0-0%, -13, B ; 538
+ LD *AR0-0%, -12, A ; 539
+ LD *AR0-0%, -12, B ; 540
+ LD *AR0-0%, -11, A ; 541
+ LD *AR0-0%, -11, B ; 542
+ LD *AR0-0%, -10, A ; 543
+ LD *AR0-0%, -10, B ; 544
+ LD *AR0-0%, -9, A ; 545
+ LD *AR0-0%, -9, B ; 546
+ LD *AR0-0%, -8, A ; 547
+ LD *AR0-0%, -8, B ; 548
+ LD *AR0-0%, -7, A ; 549
+ LD *AR0-0%, -7, B ; 550
+ LD *AR0-0%, -6, A ; 551
+ LD *AR0-0%, -6, B ; 552
+ LD *AR0-0%, -5, A ; 553
+ LD *AR0-0%, -5, B ; 554
+ LD *AR0-0%, -4, A ; 555
+ LD *AR0-0%, -4, B ; 556
+ LD *AR0-0%, -3, A ; 557
+ LD *AR0-0%, -3, B ; 558
+ LD *AR0-0%, -2, A ; 559
+ LD *AR0-0%, -2, B ; 560
+ LD *AR0-0%, -1, A ; 561
+ LD *AR0-0%, -1, B ; 562
+ LD *AR0-0%, 0, A ; 563
+ LD *AR0-0%, 0, B ; 564
+ LD *AR0-0%, 1, A ; 565
+ LD *AR0-0%, 1, B ; 566
+ LD *AR0-0%, 2, A ; 567
+ LD *AR0-0%, 2, B ; 568
+ LD *AR0-0%, 3, A ; 569
+ LD *AR0-0%, 3, B ; 570
+ LD *AR0-0%, 4, A ; 571
+ LD *AR0-0%, 4, B ; 572
+ LD *AR0-0%, 5, A ; 573
+ LD *AR0-0%, 5, B ; 574
+ LD *AR0-0%, 6, A ; 575
+ LD *AR0-0%, 6, B ; 576
+ LD *AR0-0%, 7, A ; 577
+ LD *AR0-0%, 7, B ; 578
+ LD *AR0-0%, 8, A ; 579
+ LD *AR0-0%, 8, B ; 580
+ LD *AR0-0%, 9, A ; 581
+ LD *AR0-0%, 9, B ; 582
+ LD *AR0-0%, 10, A ; 583
+ LD *AR0-0%, 10, B ; 584
+ LD *AR0-0%, 11, A ; 585
+ LD *AR0-0%, 11, B ; 586
+ LD *AR0-0%, 12, A ; 587
+ LD *AR0-0%, 12, B ; 588
+ LD *AR0-0%, 13, A ; 589
+ LD *AR0-0%, 13, B ; 590
+ LD *AR0-0%, 14, A ; 591
+ LD *AR0-0%, 14, B ; 592
+ LD *AR0-0%, 15, A ; 593
+ LD *AR0-0%, 15, B ; 594
+ LD *AR0+%, A ; 595
+ LD *AR0+%, B ; 596
+ LD *AR0+%, -16, A ; 597
+ LD *AR0+%, -16, B ; 598
+ LD *AR0+%, -15, A ; 599
+ LD *AR0+%, -15, B ; 600
+ LD *AR0+%, -14, A ; 601
+ LD *AR0+%, -14, B ; 602
+ LD *AR0+%, -13, A ; 603
+ LD *AR0+%, -13, B ; 604
+ LD *AR0+%, -12, A ; 605
+ LD *AR0+%, -12, B ; 606
+ LD *AR0+%, -11, A ; 607
+ LD *AR0+%, -11, B ; 608
+ LD *AR0+%, -10, A ; 609
+ LD *AR0+%, -10, B ; 610
+ LD *AR0+%, -9, A ; 611
+ LD *AR0+%, -9, B ; 612
+ LD *AR0+%, -8, A ; 613
+ LD *AR0+%, -8, B ; 614
+ LD *AR0+%, -7, A ; 615
+ LD *AR0+%, -7, B ; 616
+ LD *AR0+%, -6, A ; 617
+ LD *AR0+%, -6, B ; 618
+ LD *AR0+%, -5, A ; 619
+ LD *AR0+%, -5, B ; 620
+ LD *AR0+%, -4, A ; 621
+ LD *AR0+%, -4, B ; 622
+ LD *AR0+%, -3, A ; 623
+ LD *AR0+%, -3, B ; 624
+ LD *AR0+%, -2, A ; 625
+ LD *AR0+%, -2, B ; 626
+ LD *AR0+%, -1, A ; 627
+ LD *AR0+%, -1, B ; 628
+ LD *AR0+%, 0, A ; 629
+ LD *AR0+%, 0, B ; 630
+ LD *AR0+%, 1, A ; 631
+ LD *AR0+%, 1, B ; 632
+ LD *AR0+%, 2, A ; 633
+ LD *AR0+%, 2, B ; 634
+ LD *AR0+%, 3, A ; 635
+ LD *AR0+%, 3, B ; 636
+ LD *AR0+%, 4, A ; 637
+ LD *AR0+%, 4, B ; 638
+ LD *AR0+%, 5, A ; 639
+ LD *AR0+%, 5, B ; 640
+ LD *AR0+%, 6, A ; 641
+ LD *AR0+%, 6, B ; 642
+ LD *AR0+%, 7, A ; 643
+ LD *AR0+%, 7, B ; 644
+ LD *AR0+%, 8, A ; 645
+ LD *AR0+%, 8, B ; 646
+ LD *AR0+%, 9, A ; 647
+ LD *AR0+%, 9, B ; 648
+ LD *AR0+%, 10, A ; 649
+ LD *AR0+%, 10, B ; 650
+ LD *AR0+%, 11, A ; 651
+ LD *AR0+%, 11, B ; 652
+ LD *AR0+%, 12, A ; 653
+ LD *AR0+%, 12, B ; 654
+ LD *AR0+%, 13, A ; 655
+ LD *AR0+%, 13, B ; 656
+ LD *AR0+%, 14, A ; 657
+ LD *AR0+%, 14, B ; 658
+ LD *AR0+%, 15, A ; 659
+ LD *AR0+%, 15, B ; 660
+ LD *AR0+0%, A ; 661
+ LD *AR0+0%, B ; 662
+ LD *AR0+0%, -16, A ; 663
+ LD *AR0+0%, -16, B ; 664
+ LD *AR0+0%, -15, A ; 665
+ LD *AR0+0%, -15, B ; 666
+ LD *AR0+0%, -14, A ; 667
+ LD *AR0+0%, -14, B ; 668
+ LD *AR0+0%, -13, A ; 669
+ LD *AR0+0%, -13, B ; 670
+ LD *AR0+0%, -12, A ; 671
+ LD *AR0+0%, -12, B ; 672
+ LD *AR0+0%, -11, A ; 673
+ LD *AR0+0%, -11, B ; 674
+ LD *AR0+0%, -10, A ; 675
+ LD *AR0+0%, -10, B ; 676
+ LD *AR0+0%, -9, A ; 677
+ LD *AR0+0%, -9, B ; 678
+ LD *AR0+0%, -8, A ; 679
+ LD *AR0+0%, -8, B ; 680
+ LD *AR0+0%, -7, A ; 681
+ LD *AR0+0%, -7, B ; 682
+ LD *AR0+0%, -6, A ; 683
+ LD *AR0+0%, -6, B ; 684
+ LD *AR0+0%, -5, A ; 685
+ LD *AR0+0%, -5, B ; 686
+ LD *AR0+0%, -4, A ; 687
+ LD *AR0+0%, -4, B ; 688
+ LD *AR0+0%, -3, A ; 689
+ LD *AR0+0%, -3, B ; 690
+ LD *AR0+0%, -2, A ; 691
+ LD *AR0+0%, -2, B ; 692
+ LD *AR0+0%, -1, A ; 693
+ LD *AR0+0%, -1, B ; 694
+ LD *AR0+0%, 0, A ; 695
+ LD *AR0+0%, 0, B ; 696
+ LD *AR0+0%, 1, A ; 697
+ LD *AR0+0%, 1, B ; 698
+ LD *AR0+0%, 2, A ; 699
+ LD *AR0+0%, 2, B ; 700
+ LD *AR0+0%, 3, A ; 701
+ LD *AR0+0%, 3, B ; 702
+ LD *AR0+0%, 4, A ; 703
+ LD *AR0+0%, 4, B ; 704
+ LD *AR0+0%, 5, A ; 705
+ LD *AR0+0%, 5, B ; 706
+ LD *AR0+0%, 6, A ; 707
+ LD *AR0+0%, 6, B ; 708
+ LD *AR0+0%, 7, A ; 709
+ LD *AR0+0%, 7, B ; 710
+ LD *AR0+0%, 8, A ; 711
+ LD *AR0+0%, 8, B ; 712
+ LD *AR0+0%, 9, A ; 713
+ LD *AR0+0%, 9, B ; 714
+ LD *AR0+0%, 10, A ; 715
+ LD *AR0+0%, 10, B ; 716
+ LD *AR0+0%, 11, A ; 717
+ LD *AR0+0%, 11, B ; 718
+ LD *AR0+0%, 12, A ; 719
+ LD *AR0+0%, 12, B ; 720
+ LD *AR0+0%, 13, A ; 721
+ LD *AR0+0%, 13, B ; 722
+ LD *AR0+0%, 14, A ; 723
+ LD *AR0+0%, 14, B ; 724
+ LD *AR0+0%, 15, A ; 725
+ LD *AR0+0%, 15, B ; 726
+ LD *AR0(02260h), A ; 727
+ LD *AR0(02261h), B ; 728
+ LD *AR0(02262h), -16, A ; 729
+ LD *AR0(02263h), -16, B ; 730
+ LD *AR0(02264h), -15, A ; 731
+ LD *AR0(02265h), -15, B ; 732
+ LD *AR0(02266h), -14, A ; 733
+ LD *AR0(02267h), -14, B ; 734
+ LD *AR0(02268h), -13, A ; 735
+ LD *AR0(02269h), -13, B ; 736
+ LD *AR0(0226Ah), -12, A ; 737
+ LD *AR0(0226Bh), -12, B ; 738
+ LD *AR0(0226Ch), -11, A ; 739
+ LD *AR0(0226Dh), -11, B ; 740
+ LD *AR0(0226Eh), -10, A ; 741
+ LD *AR0(0226Fh), -10, B ; 742
+ LD *AR0(02270h), -9, A ; 743
+ LD *AR0(02271h), -9, B ; 744
+ LD *AR0(02272h), -8, A ; 745
+ LD *AR0(02273h), -8, B ; 746
+ LD *AR0(02274h), -7, A ; 747
+ LD *AR0(02275h), -7, B ; 748
+ LD *AR0(02276h), -6, A ; 749
+ LD *AR0(02277h), -6, B ; 750
+ LD *AR0(02278h), -5, A ; 751
+ LD *AR0(02279h), -5, B ; 752
+ LD *AR0(0227Ah), -4, A ; 753
+ LD *AR0(0227Bh), -4, B ; 754
+ LD *AR0(0227Ch), -3, A ; 755
+ LD *AR0(0227Dh), -3, B ; 756
+ LD *AR0(0227Eh), -2, A ; 757
+ LD *AR0(0227Fh), -2, B ; 758
+ LD *AR0(02280h), -1, A ; 759
+ LD *AR0(02281h), -1, B ; 760
+ LD *AR0(02282h), 0, A ; 761
+ LD *AR0(02283h), 0, B ; 762
+ LD *AR0(02284h), 1, A ; 763
+ LD *AR0(02285h), 1, B ; 764
+ LD *AR0(02286h), 2, A ; 765
+ LD *AR0(02287h), 2, B ; 766
+ LD *AR0(02288h), 3, A ; 767
+ LD *AR0(02289h), 3, B ; 768
+ LD *AR0(0228Ah), 4, A ; 769
+ LD *AR0(0228Bh), 4, B ; 770
+ LD *AR0(0228Ch), 5, A ; 771
+ LD *AR0(0228Dh), 5, B ; 772
+ LD *AR0(0228Eh), 6, A ; 773
+ LD *AR0(0228Fh), 6, B ; 774
+ LD *AR0(02290h), 7, A ; 775
+ LD *AR0(02291h), 7, B ; 776
+ LD *AR0(02292h), 8, A ; 777
+ LD *AR0(02293h), 8, B ; 778
+ LD *AR0(02294h), 9, A ; 779
+ LD *AR0(02295h), 9, B ; 780
+ LD *AR0(02296h), 10, A ; 781
+ LD *AR0(02297h), 10, B ; 782
+ LD *AR0(02298h), 11, A ; 783
+ LD *AR0(02299h), 11, B ; 784
+ LD *AR0(0229Ah), 12, A ; 785
+ LD *AR0(0229Bh), 12, B ; 786
+ LD *AR0(0229Ch), 13, A ; 787
+ LD *AR0(0229Dh), 13, B ; 788
+ LD *AR0(0229Eh), 14, A ; 789
+ LD *AR0(0229Fh), 14, B ; 790
+ LD *AR0(022A0h), 15, A ; 791
+ LD *AR0(022A1h), 15, B ; 792
+ LD *+AR0(022A2h), A ; 793
+ LD *+AR0(022A3h), B ; 794
+ LD *+AR0(022A4h), -16, A ; 795
+ LD *+AR0(022A5h), -16, B ; 796
+ LD *+AR0(022A6h), -15, A ; 797
+ LD *+AR0(022A7h), -15, B ; 798
+ LD *+AR0(022A8h), -14, A ; 799
+ LD *+AR0(022A9h), -14, B ; 800
+ LD *+AR0(022AAh), -13, A ; 801
+ LD *+AR0(022ABh), -13, B ; 802
+ LD *+AR0(022ACh), -12, A ; 803
+ LD *+AR0(022ADh), -12, B ; 804
+ LD *+AR0(022AEh), -11, A ; 805
+ LD *+AR0(022AFh), -11, B ; 806
+ LD *+AR0(022B0h), -10, A ; 807
+ LD *+AR0(022B1h), -10, B ; 808
+ LD *+AR0(022B2h), -9, A ; 809
+ LD *+AR0(022B3h), -9, B ; 810
+ LD *+AR0(022B4h), -8, A ; 811
+ LD *+AR0(022B5h), -8, B ; 812
+ LD *+AR0(022B6h), -7, A ; 813
+ LD *+AR0(022B7h), -7, B ; 814
+ LD *+AR0(022B8h), -6, A ; 815
+ LD *+AR0(022B9h), -6, B ; 816
+ LD *+AR0(022BAh), -5, A ; 817
+ LD *+AR0(022BBh), -5, B ; 818
+ LD *+AR0(022BCh), -4, A ; 819
+ LD *+AR0(022BDh), -4, B ; 820
+ LD *+AR0(022BEh), -3, A ; 821
+ LD *+AR0(022BFh), -3, B ; 822
+ LD *+AR0(022C0h), -2, A ; 823
+ LD *+AR0(022C1h), -2, B ; 824
+ LD *+AR0(022C2h), -1, A ; 825
+ LD *+AR0(022C3h), -1, B ; 826
+ LD *+AR0(022C4h), 0, A ; 827
+ LD *+AR0(022C5h), 0, B ; 828
+ LD *+AR0(022C6h), 1, A ; 829
+ LD *+AR0(022C7h), 1, B ; 830
+ LD *+AR0(022C8h), 2, A ; 831
+ LD *+AR0(022C9h), 2, B ; 832
+ LD *+AR0(022CAh), 3, A ; 833
+ LD *+AR0(022CBh), 3, B ; 834
+ LD *+AR0(022CCh), 4, A ; 835
+ LD *+AR0(022CDh), 4, B ; 836
+ LD *+AR0(022CEh), 5, A ; 837
+ LD *+AR0(022CFh), 5, B ; 838
+ LD *+AR0(022D0h), 6, A ; 839
+ LD *+AR0(022D1h), 6, B ; 840
+ LD *+AR0(022D2h), 7, A ; 841
+ LD *+AR0(022D3h), 7, B ; 842
+ LD *+AR0(022D4h), 8, A ; 843
+ LD *+AR0(022D5h), 8, B ; 844
+ LD *+AR0(022D6h), 9, A ; 845
+ LD *+AR0(022D7h), 9, B ; 846
+ LD *+AR0(022D8h), 10, A ; 847
+ LD *+AR0(022D9h), 10, B ; 848
+ LD *+AR0(022DAh), 11, A ; 849
+ LD *+AR0(022DBh), 11, B ; 850
+ LD *+AR0(022DCh), 12, A ; 851
+ LD *+AR0(022DDh), 12, B ; 852
+ LD *+AR0(022DEh), 13, A ; 853
+ LD *+AR0(022DFh), 13, B ; 854
+ LD *+AR0(022E0h), 14, A ; 855
+ LD *+AR0(022E1h), 14, B ; 856
+ LD *+AR0(022E2h), 15, A ; 857
+ LD *+AR0(022E3h), 15, B ; 858
+ LD *+AR0(022E4h)%, A ; 859
+ LD *+AR0(022E5h)%, B ; 860
+ LD *+AR0(022E6h)%, -16, A ; 861
+ LD *+AR0(022E7h)%, -16, B ; 862
+ LD *+AR0(022E8h)%, -15, A ; 863
+ LD *+AR0(022E9h)%, -15, B ; 864
+ LD *+AR0(022EAh)%, -14, A ; 865
+ LD *+AR0(022EBh)%, -14, B ; 866
+ LD *+AR0(022ECh)%, -13, A ; 867
+ LD *+AR0(022EDh)%, -13, B ; 868
+ LD *+AR0(022EEh)%, -12, A ; 869
+ LD *+AR0(022EFh)%, -12, B ; 870
+ LD *+AR0(022F0h)%, -11, A ; 871
+ LD *+AR0(022F1h)%, -11, B ; 872
+ LD *+AR0(022F2h)%, -10, A ; 873
+ LD *+AR0(022F3h)%, -10, B ; 874
+ LD *+AR0(022F4h)%, -9, A ; 875
+ LD *+AR0(022F5h)%, -9, B ; 876
+ LD *+AR0(022F6h)%, -8, A ; 877
+ LD *+AR0(022F7h)%, -8, B ; 878
+ LD *+AR0(022F8h)%, -7, A ; 879
+ LD *+AR0(022F9h)%, -7, B ; 880
+ LD *+AR0(022FAh)%, -6, A ; 881
+ LD *+AR0(022FBh)%, -6, B ; 882
+ LD *+AR0(022FCh)%, -5, A ; 883
+ LD *+AR0(022FDh)%, -5, B ; 884
+ LD *+AR0(022FEh)%, -4, A ; 885
+ LD *+AR0(022FFh)%, -4, B ; 886
+ LD *+AR0(02300h)%, -3, A ; 887
+ LD *+AR0(02301h)%, -3, B ; 888
+ LD *+AR0(02302h)%, -2, A ; 889
+ LD *+AR0(02303h)%, -2, B ; 890
+ LD *+AR0(02304h)%, -1, A ; 891
+ LD *+AR0(02305h)%, -1, B ; 892
+ LD *+AR0(02306h)%, 0, A ; 893
+ LD *+AR0(02307h)%, 0, B ; 894
+ LD *+AR0(02308h)%, 1, A ; 895
+ LD *+AR0(02309h)%, 1, B ; 896
+ LD *+AR0(0230Ah)%, 2, A ; 897
+ LD *+AR0(0230Bh)%, 2, B ; 898
+ LD *+AR0(0230Ch)%, 3, A ; 899
+ LD *+AR0(0230Dh)%, 3, B ; 900
+ LD *+AR0(0230Eh)%, 4, A ; 901
+ LD *+AR0(0230Fh)%, 4, B ; 902
+ LD *+AR0(02310h)%, 5, A ; 903
+ LD *+AR0(02311h)%, 5, B ; 904
+ LD *+AR0(02312h)%, 6, A ; 905
+ LD *+AR0(02313h)%, 6, B ; 906
+ LD *+AR0(02314h)%, 7, A ; 907
+ LD *+AR0(02315h)%, 7, B ; 908
+ LD *+AR0(02316h)%, 8, A ; 909
+ LD *+AR0(02317h)%, 8, B ; 910
+ LD *+AR0(02318h)%, 9, A ; 911
+ LD *+AR0(02319h)%, 9, B ; 912
+ LD *+AR0(0231Ah)%, 10, A ; 913
+ LD *+AR0(0231Bh)%, 10, B ; 914
+ LD *+AR0(0231Ch)%, 11, A ; 915
+ LD *+AR0(0231Dh)%, 11, B ; 916
+ LD *+AR0(0231Eh)%, 12, A ; 917
+ LD *+AR0(0231Fh)%, 12, B ; 918
+ LD *+AR0(02320h)%, 13, A ; 919
+ LD *+AR0(02321h)%, 13, B ; 920
+ LD *+AR0(02322h)%, 14, A ; 921
+ LD *+AR0(02323h)%, 14, B ; 922
+ LD *+AR0(02324h)%, 15, A ; 923
+ LD *+AR0(02325h)%, 15, B ; 924
+ LD *(02326h), A ; 925
+ LD *(02327h), B ; 926
+ LD *(02328h), -16, A ; 927
+ LD *(02329h), -16, B ; 928
+ LD *(0232Ah), -15, A ; 929
+ LD *(0232Bh), -15, B ; 930
+ LD *(0232Ch), -14, A ; 931
+ LD *(0232Dh), -14, B ; 932
+ LD *(0232Eh), -13, A ; 933
+ LD *(0232Fh), -13, B ; 934
+ LD *(02330h), -12, A ; 935
+ LD *(02331h), -12, B ; 936
+ LD *(02332h), -11, A ; 937
+ LD *(02333h), -11, B ; 938
+ LD *(02334h), -10, A ; 939
+ LD *(02335h), -10, B ; 940
+ LD *(02336h), -9, A ; 941
+ LD *(02337h), -9, B ; 942
+ LD *(02338h), -8, A ; 943
+ LD *(02339h), -8, B ; 944
+ LD *(0233Ah), -7, A ; 945
+ LD *(0233Bh), -7, B ; 946
+ LD *(0233Ch), -6, A ; 947
+ LD *(0233Dh), -6, B ; 948
+ LD *(0233Eh), -5, A ; 949
+ LD *(0233Fh), -5, B ; 950
+ LD *(02340h), -4, A ; 951
+ LD *(02341h), -4, B ; 952
+ LD *(02342h), -3, A ; 953
+ LD *(02343h), -3, B ; 954
+ LD *(02344h), -2, A ; 955
+ LD *(02345h), -2, B ; 956
+ LD *(02346h), -1, A ; 957
+ LD *(02347h), -1, B ; 958
+ LD *(02348h), 0, A ; 959
+ LD *(02349h), 0, B ; 960
+ LD *(0234Ah), 1, A ; 961
+ LD *(0234Bh), 1, B ; 962
+ LD *(0234Ch), 2, A ; 963
+ LD *(0234Dh), 2, B ; 964
+ LD *(0234Eh), 3, A ; 965
+ LD *(0234Fh), 3, B ; 966
+ LD *(02350h), 4, A ; 967
+ LD *(02351h), 4, B ; 968
+ LD *(02352h), 5, A ; 969
+ LD *(02353h), 5, B ; 970
+ LD *(02354h), 6, A ; 971
+ LD *(02355h), 6, B ; 972
+ LD *(02356h), 7, A ; 973
+ LD *(02357h), 7, B ; 974
+ LD *(02358h), 8, A ; 975
+ LD *(02359h), 8, B ; 976
+ LD *(0235Ah), 9, A ; 977
+ LD *(0235Bh), 9, B ; 978
+ LD *(0235Ch), 10, A ; 979
+ LD *(0235Dh), 10, B ; 980
+ LD *(0235Eh), 11, A ; 981
+ LD *(0235Fh), 11, B ; 982
+ LD *(02360h), 12, A ; 983
+ LD *(02361h), 12, B ; 984
+ LD *(02362h), 13, A ; 985
+ LD *(02363h), 13, B ; 986
+ LD *(02364h), 14, A ; 987
+ LD *(02365h), 14, B ; 988
+ LD *(02366h), 15, A ; 989
+ LD *(02367h), 15, B ; 990
+ LD *AR1, A ; 991
+ LD *AR1, B ; 992
+ LD *AR1, -16, A ; 993
+ LD *AR1, -16, B ; 994
+ LD *AR1, -15, A ; 995
+ LD *AR1, -15, B ; 996
+ LD *AR1, -14, A ; 997
+ LD *AR1, -14, B ; 998
+ LD *AR1, -13, A ; 999
+ LD *AR1, -13, B ; 1000
+ LD *AR1, -12, A ; 1001
+ LD *AR1, -12, B ; 1002
+ LD *AR1, -11, A ; 1003
+ LD *AR1, -11, B ; 1004
+ LD *AR1, -10, A ; 1005
+ LD *AR1, -10, B ; 1006
+ LD *AR1, -9, A ; 1007
+ LD *AR1, -9, B ; 1008
+ LD *AR1, -8, A ; 1009
+ LD *AR1, -8, B ; 1010
+ LD *AR1, -7, A ; 1011
+ LD *AR1, -7, B ; 1012
+ LD *AR1, -6, A ; 1013
+ LD *AR1, -6, B ; 1014
+ LD *AR1, -5, A ; 1015
+ LD *AR1, -5, B ; 1016
+ LD *AR1, -4, A ; 1017
+ LD *AR1, -4, B ; 1018
+ LD *AR1, -3, A ; 1019
+ LD *AR1, -3, B ; 1020
+ LD *AR1, -2, A ; 1021
+ LD *AR1, -2, B ; 1022
+ LD *AR1, -1, A ; 1023
+ LD *AR1, -1, B ; 1024
+ LD *AR1, 0, A ; 1025
+ LD *AR1, 0, B ; 1026
+ LD *AR1, 1, A ; 1027
+ LD *AR1, 1, B ; 1028
+ LD *AR1, 2, A ; 1029
+ LD *AR1, 2, B ; 1030
+ LD *AR1, 3, A ; 1031
+ LD *AR1, 3, B ; 1032
+ LD *AR1, 4, A ; 1033
+ LD *AR1, 4, B ; 1034
+ LD *AR1, 5, A ; 1035
+ LD *AR1, 5, B ; 1036
+ LD *AR1, 6, A ; 1037
+ LD *AR1, 6, B ; 1038
+ LD *AR1, 7, A ; 1039
+ LD *AR1, 7, B ; 1040
+ LD *AR1, 8, A ; 1041
+ LD *AR1, 8, B ; 1042
+ LD *AR1, 9, A ; 1043
+ LD *AR1, 9, B ; 1044
+ LD *AR1, 10, A ; 1045
+ LD *AR1, 10, B ; 1046
+ LD *AR1, 11, A ; 1047
+ LD *AR1, 11, B ; 1048
+ LD *AR1, 12, A ; 1049
+ LD *AR1, 12, B ; 1050
+ LD *AR1, 13, A ; 1051
+ LD *AR1, 13, B ; 1052
+ LD *AR1, 14, A ; 1053
+ LD *AR1, 14, B ; 1054
+ LD *AR1, 15, A ; 1055
+ LD *AR1, 15, B ; 1056
+ LD *AR1-, A ; 1057
+ LD *AR1-, B ; 1058
+ LD *AR1-, -16, A ; 1059
+ LD *AR1-, -16, B ; 1060
+ LD *AR1-, -15, A ; 1061
+ LD *AR1-, -15, B ; 1062
+ LD *AR1-, -14, A ; 1063
+ LD *AR1-, -14, B ; 1064
+ LD *AR1-, -13, A ; 1065
+ LD *AR1-, -13, B ; 1066
+ LD *AR1-, -12, A ; 1067
+ LD *AR1-, -12, B ; 1068
+ LD *AR1-, -11, A ; 1069
+ LD *AR1-, -11, B ; 1070
+ LD *AR1-, -10, A ; 1071
+ LD *AR1-, -10, B ; 1072
+ LD *AR1-, -9, A ; 1073
+ LD *AR1-, -9, B ; 1074
+ LD *AR1-, -8, A ; 1075
+ LD *AR1-, -8, B ; 1076
+ LD *AR1-, -7, A ; 1077
+ LD *AR1-, -7, B ; 1078
+ LD *AR1-, -6, A ; 1079
+ LD *AR1-, -6, B ; 1080
+ LD *AR1-, -5, A ; 1081
+ LD *AR1-, -5, B ; 1082
+ LD *AR1-, -4, A ; 1083
+ LD *AR1-, -4, B ; 1084
+ LD *AR1-, -3, A ; 1085
+ LD *AR1-, -3, B ; 1086
+ LD *AR1-, -2, A ; 1087
+ LD *AR1-, -2, B ; 1088
+ LD *AR1-, -1, A ; 1089
+ LD *AR1-, -1, B ; 1090
+ LD *AR1-, 0, A ; 1091
+ LD *AR1-, 0, B ; 1092
+ LD *AR1-, 1, A ; 1093
+ LD *AR1-, 1, B ; 1094
+ LD *AR1-, 2, A ; 1095
+ LD *AR1-, 2, B ; 1096
+ LD *AR1-, 3, A ; 1097
+ LD *AR1-, 3, B ; 1098
+ LD *AR1-, 4, A ; 1099
+ LD *AR1-, 4, B ; 1100
+ LD *AR1-, 5, A ; 1101
+ LD *AR1-, 5, B ; 1102
+ LD *AR1-, 6, A ; 1103
+ LD *AR1-, 6, B ; 1104
+ LD *AR1-, 7, A ; 1105
+ LD *AR1-, 7, B ; 1106
+ LD *AR1-, 8, A ; 1107
+ LD *AR1-, 8, B ; 1108
+ LD *AR1-, 9, A ; 1109
+ LD *AR1-, 9, B ; 1110
+ LD *AR1-, 10, A ; 1111
+ LD *AR1-, 10, B ; 1112
+ LD *AR1-, 11, A ; 1113
+ LD *AR1-, 11, B ; 1114
+ LD *AR1-, 12, A ; 1115
+ LD *AR1-, 12, B ; 1116
+ LD *AR1-, 13, A ; 1117
+ LD *AR1-, 13, B ; 1118
+ LD *AR1-, 14, A ; 1119
+ LD *AR1-, 14, B ; 1120
+ LD *AR1-, 15, A ; 1121
+ LD *AR1-, 15, B ; 1122
+ LD *AR1+, A ; 1123
+ LD *AR1+, B ; 1124
+ LD *AR1+, -16, A ; 1125
+ LD *AR1+, -16, B ; 1126
+ LD *AR1+, -15, A ; 1127
+ LD *AR1+, -15, B ; 1128
+ LD *AR1+, -14, A ; 1129
+ LD *AR1+, -14, B ; 1130
+ LD *AR1+, -13, A ; 1131
+ LD *AR1+, -13, B ; 1132
+ LD *AR1+, -12, A ; 1133
+ LD *AR1+, -12, B ; 1134
+ LD *AR1+, -11, A ; 1135
+ LD *AR1+, -11, B ; 1136
+ LD *AR1+, -10, A ; 1137
+ LD *AR1+, -10, B ; 1138
+ LD *AR1+, -9, A ; 1139
+ LD *AR1+, -9, B ; 1140
+ LD *AR1+, -8, A ; 1141
+ LD *AR1+, -8, B ; 1142
+ LD *AR1+, -7, A ; 1143
+ LD *AR1+, -7, B ; 1144
+ LD *AR1+, -6, A ; 1145
+ LD *AR1+, -6, B ; 1146
+ LD *AR1+, -5, A ; 1147
+ LD *AR1+, -5, B ; 1148
+ LD *AR1+, -4, A ; 1149
+ LD *AR1+, -4, B ; 1150
+ LD *AR1+, -3, A ; 1151
+ LD *AR1+, -3, B ; 1152
+ LD *AR1+, -2, A ; 1153
+ LD *AR1+, -2, B ; 1154
+ LD *AR1+, -1, A ; 1155
+ LD *AR1+, -1, B ; 1156
+ LD *AR1+, 0, A ; 1157
+ LD *AR1+, 0, B ; 1158
+ LD *AR1+, 1, A ; 1159
+ LD *AR1+, 1, B ; 1160
+ LD *AR1+, 2, A ; 1161
+ LD *AR1+, 2, B ; 1162
+ LD *AR1+, 3, A ; 1163
+ LD *AR1+, 3, B ; 1164
+ LD *AR1+, 4, A ; 1165
+ LD *AR1+, 4, B ; 1166
+ LD *AR1+, 5, A ; 1167
+ LD *AR1+, 5, B ; 1168
+ LD *AR1+, 6, A ; 1169
+ LD *AR1+, 6, B ; 1170
+ LD *AR1+, 7, A ; 1171
+ LD *AR1+, 7, B ; 1172
+ LD *AR1+, 8, A ; 1173
+ LD *AR1+, 8, B ; 1174
+ LD *AR1+, 9, A ; 1175
+ LD *AR1+, 9, B ; 1176
+ LD *AR1+, 10, A ; 1177
+ LD *AR1+, 10, B ; 1178
+ LD *AR1+, 11, A ; 1179
+ LD *AR1+, 11, B ; 1180
+ LD *AR1+, 12, A ; 1181
+ LD *AR1+, 12, B ; 1182
+ LD *AR1+, 13, A ; 1183
+ LD *AR1+, 13, B ; 1184
+ LD *AR1+, 14, A ; 1185
+ LD *AR1+, 14, B ; 1186
+ LD *AR1+, 15, A ; 1187
+ LD *AR1+, 15, B ; 1188
+ LD *AR1-0B, A ; 1189
+ LD *AR1-0B, B ; 1190
+ LD *AR1-0B, -16, A ; 1191
+ LD *AR1-0B, -16, B ; 1192
+ LD *AR1-0B, -15, A ; 1193
+ LD *AR1-0B, -15, B ; 1194
+ LD *AR1-0B, -14, A ; 1195
+ LD *AR1-0B, -14, B ; 1196
+ LD *AR1-0B, -13, A ; 1197
+ LD *AR1-0B, -13, B ; 1198
+ LD *AR1-0B, -12, A ; 1199
+ LD *AR1-0B, -12, B ; 1200
+ LD *AR1-0B, -11, A ; 1201
+ LD *AR1-0B, -11, B ; 1202
+ LD *AR1-0B, -10, A ; 1203
+ LD *AR1-0B, -10, B ; 1204
+ LD *AR1-0B, -9, A ; 1205
+ LD *AR1-0B, -9, B ; 1206
+ LD *AR1-0B, -8, A ; 1207
+ LD *AR1-0B, -8, B ; 1208
+ LD *AR1-0B, -7, A ; 1209
+ LD *AR1-0B, -7, B ; 1210
+ LD *AR1-0B, -6, A ; 1211
+ LD *AR1-0B, -6, B ; 1212
+ LD *AR1-0B, -5, A ; 1213
+ LD *AR1-0B, -5, B ; 1214
+ LD *AR1-0B, -4, A ; 1215
+ LD *AR1-0B, -4, B ; 1216
+ LD *AR1-0B, -3, A ; 1217
+ LD *AR1-0B, -3, B ; 1218
+ LD *AR1-0B, -2, A ; 1219
+ LD *AR1-0B, -2, B ; 1220
+ LD *AR1-0B, -1, A ; 1221
+ LD *AR1-0B, -1, B ; 1222
+ LD *AR1-0B, 0, A ; 1223
+ LD *AR1-0B, 0, B ; 1224
+ LD *AR1-0B, 1, A ; 1225
+ LD *AR1-0B, 1, B ; 1226
+ LD *AR1-0B, 2, A ; 1227
+ LD *AR1-0B, 2, B ; 1228
+ LD *AR1-0B, 3, A ; 1229
+ LD *AR1-0B, 3, B ; 1230
+ LD *AR1-0B, 4, A ; 1231
+ LD *AR1-0B, 4, B ; 1232
+ LD *AR1-0B, 5, A ; 1233
+ LD *AR1-0B, 5, B ; 1234
+ LD *AR1-0B, 6, A ; 1235
+ LD *AR1-0B, 6, B ; 1236
+ LD *AR1-0B, 7, A ; 1237
+ LD *AR1-0B, 7, B ; 1238
+ LD *AR1-0B, 8, A ; 1239
+ LD *AR1-0B, 8, B ; 1240
+ LD *AR1-0B, 9, A ; 1241
+ LD *AR1-0B, 9, B ; 1242
+ LD *AR1-0B, 10, A ; 1243
+ LD *AR1-0B, 10, B ; 1244
+ LD *AR1-0B, 11, A ; 1245
+ LD *AR1-0B, 11, B ; 1246
+ LD *AR1-0B, 12, A ; 1247
+ LD *AR1-0B, 12, B ; 1248
+ LD *AR1-0B, 13, A ; 1249
+ LD *AR1-0B, 13, B ; 1250
+ LD *AR1-0B, 14, A ; 1251
+ LD *AR1-0B, 14, B ; 1252
+ LD *AR1-0B, 15, A ; 1253
+ LD *AR1-0B, 15, B ; 1254
+ LD *AR1-0, A ; 1255
+ LD *AR1-0, B ; 1256
+ LD *AR1-0, -16, A ; 1257
+ LD *AR1-0, -16, B ; 1258
+ LD *AR1-0, -15, A ; 1259
+ LD *AR1-0, -15, B ; 1260
+ LD *AR1-0, -14, A ; 1261
+ LD *AR1-0, -14, B ; 1262
+ LD *AR1-0, -13, A ; 1263
+ LD *AR1-0, -13, B ; 1264
+ LD *AR1-0, -12, A ; 1265
+ LD *AR1-0, -12, B ; 1266
+ LD *AR1-0, -11, A ; 1267
+ LD *AR1-0, -11, B ; 1268
+ LD *AR1-0, -10, A ; 1269
+ LD *AR1-0, -10, B ; 1270
+ LD *AR1-0, -9, A ; 1271
+ LD *AR1-0, -9, B ; 1272
+ LD *AR1-0, -8, A ; 1273
+ LD *AR1-0, -8, B ; 1274
+ LD *AR1-0, -7, A ; 1275
+ LD *AR1-0, -7, B ; 1276
+ LD *AR1-0, -6, A ; 1277
+ LD *AR1-0, -6, B ; 1278
+ LD *AR1-0, -5, A ; 1279
+ LD *AR1-0, -5, B ; 1280
+ LD *AR1-0, -4, A ; 1281
+ LD *AR1-0, -4, B ; 1282
+ LD *AR1-0, -3, A ; 1283
+ LD *AR1-0, -3, B ; 1284
+ LD *AR1-0, -2, A ; 1285
+ LD *AR1-0, -2, B ; 1286
+ LD *AR1-0, -1, A ; 1287
+ LD *AR1-0, -1, B ; 1288
+ LD *AR1-0, 0, A ; 1289
+ LD *AR1-0, 0, B ; 1290
+ LD *AR1-0, 1, A ; 1291
+ LD *AR1-0, 1, B ; 1292
+ LD *AR1-0, 2, A ; 1293
+ LD *AR1-0, 2, B ; 1294
+ LD *AR1-0, 3, A ; 1295
+ LD *AR1-0, 3, B ; 1296
+ LD *AR1-0, 4, A ; 1297
+ LD *AR1-0, 4, B ; 1298
+ LD *AR1-0, 5, A ; 1299
+ LD *AR1-0, 5, B ; 1300
+ LD *AR1-0, 6, A ; 1301
+ LD *AR1-0, 6, B ; 1302
+ LD *AR1-0, 7, A ; 1303
+ LD *AR1-0, 7, B ; 1304
+ LD *AR1-0, 8, A ; 1305
+ LD *AR1-0, 8, B ; 1306
+ LD *AR1-0, 9, A ; 1307
+ LD *AR1-0, 9, B ; 1308
+ LD *AR1-0, 10, A ; 1309
+ LD *AR1-0, 10, B ; 1310
+ LD *AR1-0, 11, A ; 1311
+ LD *AR1-0, 11, B ; 1312
+ LD *AR1-0, 12, A ; 1313
+ LD *AR1-0, 12, B ; 1314
+ LD *AR1-0, 13, A ; 1315
+ LD *AR1-0, 13, B ; 1316
+ LD *AR1-0, 14, A ; 1317
+ LD *AR1-0, 14, B ; 1318
+ LD *AR1-0, 15, A ; 1319
+ LD *AR1-0, 15, B ; 1320
+ LD *AR1+0, A ; 1321
+ LD *AR1+0, B ; 1322
+ LD *AR1+0, -16, A ; 1323
+ LD *AR1+0, -16, B ; 1324
+ LD *AR1+0, -15, A ; 1325
+ LD *AR1+0, -15, B ; 1326
+ LD *AR1+0, -14, A ; 1327
+ LD *AR1+0, -14, B ; 1328
+ LD *AR1+0, -13, A ; 1329
+ LD *AR1+0, -13, B ; 1330
+ LD *AR1+0, -12, A ; 1331
+ LD *AR1+0, -12, B ; 1332
+ LD *AR1+0, -11, A ; 1333
+ LD *AR1+0, -11, B ; 1334
+ LD *AR1+0, -10, A ; 1335
+ LD *AR1+0, -10, B ; 1336
+ LD *AR1+0, -9, A ; 1337
+ LD *AR1+0, -9, B ; 1338
+ LD *AR1+0, -8, A ; 1339
+ LD *AR1+0, -8, B ; 1340
+ LD *AR1+0, -7, A ; 1341
+ LD *AR1+0, -7, B ; 1342
+ LD *AR1+0, -6, A ; 1343
+ LD *AR1+0, -6, B ; 1344
+ LD *AR1+0, -5, A ; 1345
+ LD *AR1+0, -5, B ; 1346
+ LD *AR1+0, -4, A ; 1347
+ LD *AR1+0, -4, B ; 1348
+ LD *AR1+0, -3, A ; 1349
+ LD *AR1+0, -3, B ; 1350
+ LD *AR1+0, -2, A ; 1351
+ LD *AR1+0, -2, B ; 1352
+ LD *AR1+0, -1, A ; 1353
+ LD *AR1+0, -1, B ; 1354
+ LD *AR1+0, 0, A ; 1355
+ LD *AR1+0, 0, B ; 1356
+ LD *AR1+0, 1, A ; 1357
+ LD *AR1+0, 1, B ; 1358
+ LD *AR1+0, 2, A ; 1359
+ LD *AR1+0, 2, B ; 1360
+ LD *AR1+0, 3, A ; 1361
+ LD *AR1+0, 3, B ; 1362
+ LD *AR1+0, 4, A ; 1363
+ LD *AR1+0, 4, B ; 1364
+ LD *AR1+0, 5, A ; 1365
+ LD *AR1+0, 5, B ; 1366
+ LD *AR1+0, 6, A ; 1367
+ LD *AR1+0, 6, B ; 1368
+ LD *AR1+0, 7, A ; 1369
+ LD *AR1+0, 7, B ; 1370
+ LD *AR1+0, 8, A ; 1371
+ LD *AR1+0, 8, B ; 1372
+ LD *AR1+0, 9, A ; 1373
+ LD *AR1+0, 9, B ; 1374
+ LD *AR1+0, 10, A ; 1375
+ LD *AR1+0, 10, B ; 1376
+ LD *AR1+0, 11, A ; 1377
+ LD *AR1+0, 11, B ; 1378
+ LD *AR1+0, 12, A ; 1379
+ LD *AR1+0, 12, B ; 1380
+ LD *AR1+0, 13, A ; 1381
+ LD *AR1+0, 13, B ; 1382
+ LD *AR1+0, 14, A ; 1383
+ LD *AR1+0, 14, B ; 1384
+ LD *AR1+0, 15, A ; 1385
+ LD *AR1+0, 15, B ; 1386
+ LD *AR1+0B, A ; 1387
+ LD *AR1+0B, B ; 1388
+ LD *AR1+0B, -16, A ; 1389
+ LD *AR1+0B, -16, B ; 1390
+ LD *AR1+0B, -15, A ; 1391
+ LD *AR1+0B, -15, B ; 1392
+ LD *AR1+0B, -14, A ; 1393
+ LD *AR1+0B, -14, B ; 1394
+ LD *AR1+0B, -13, A ; 1395
+ LD *AR1+0B, -13, B ; 1396
+ LD *AR1+0B, -12, A ; 1397
+ LD *AR1+0B, -12, B ; 1398
+ LD *AR1+0B, -11, A ; 1399
+ LD *AR1+0B, -11, B ; 1400
+ LD *AR1+0B, -10, A ; 1401
+ LD *AR1+0B, -10, B ; 1402
+ LD *AR1+0B, -9, A ; 1403
+ LD *AR1+0B, -9, B ; 1404
+ LD *AR1+0B, -8, A ; 1405
+ LD *AR1+0B, -8, B ; 1406
+ LD *AR1+0B, -7, A ; 1407
+ LD *AR1+0B, -7, B ; 1408
+ LD *AR1+0B, -6, A ; 1409
+ LD *AR1+0B, -6, B ; 1410
+ LD *AR1+0B, -5, A ; 1411
+ LD *AR1+0B, -5, B ; 1412
+ LD *AR1+0B, -4, A ; 1413
+ LD *AR1+0B, -4, B ; 1414
+ LD *AR1+0B, -3, A ; 1415
+ LD *AR1+0B, -3, B ; 1416
+ LD *AR1+0B, -2, A ; 1417
+ LD *AR1+0B, -2, B ; 1418
+ LD *AR1+0B, -1, A ; 1419
+ LD *AR1+0B, -1, B ; 1420
+ LD *AR1+0B, 0, A ; 1421
+ LD *AR1+0B, 0, B ; 1422
+ LD *AR1+0B, 1, A ; 1423
+ LD *AR1+0B, 1, B ; 1424
+ LD *AR1+0B, 2, A ; 1425
+ LD *AR1+0B, 2, B ; 1426
+ LD *AR1+0B, 3, A ; 1427
+ LD *AR1+0B, 3, B ; 1428
+ LD *AR1+0B, 4, A ; 1429
+ LD *AR1+0B, 4, B ; 1430
+ LD *AR1+0B, 5, A ; 1431
+ LD *AR1+0B, 5, B ; 1432
+ LD *AR1+0B, 6, A ; 1433
+ LD *AR1+0B, 6, B ; 1434
+ LD *AR1+0B, 7, A ; 1435
+ LD *AR1+0B, 7, B ; 1436
+ LD *AR1+0B, 8, A ; 1437
+ LD *AR1+0B, 8, B ; 1438
+ LD *AR1+0B, 9, A ; 1439
+ LD *AR1+0B, 9, B ; 1440
+ LD *AR1+0B, 10, A ; 1441
+ LD *AR1+0B, 10, B ; 1442
+ LD *AR1+0B, 11, A ; 1443
+ LD *AR1+0B, 11, B ; 1444
+ LD *AR1+0B, 12, A ; 1445
+ LD *AR1+0B, 12, B ; 1446
+ LD *AR1+0B, 13, A ; 1447
+ LD *AR1+0B, 13, B ; 1448
+ LD *AR1+0B, 14, A ; 1449
+ LD *AR1+0B, 14, B ; 1450
+ LD *AR1+0B, 15, A ; 1451
+ LD *AR1+0B, 15, B ; 1452
+ LD *AR1-%, A ; 1453
+ LD *AR1-%, B ; 1454
+ LD *AR1-%, -16, A ; 1455
+ LD *AR1-%, -16, B ; 1456
+ LD *AR1-%, -15, A ; 1457
+ LD *AR1-%, -15, B ; 1458
+ LD *AR1-%, -14, A ; 1459
+ LD *AR1-%, -14, B ; 1460
+ LD *AR1-%, -13, A ; 1461
+ LD *AR1-%, -13, B ; 1462
+ LD *AR1-%, -12, A ; 1463
+ LD *AR1-%, -12, B ; 1464
+ LD *AR1-%, -11, A ; 1465
+ LD *AR1-%, -11, B ; 1466
+ LD *AR1-%, -10, A ; 1467
+ LD *AR1-%, -10, B ; 1468
+ LD *AR1-%, -9, A ; 1469
+ LD *AR1-%, -9, B ; 1470
+ LD *AR1-%, -8, A ; 1471
+ LD *AR1-%, -8, B ; 1472
+ LD *AR1-%, -7, A ; 1473
+ LD *AR1-%, -7, B ; 1474
+ LD *AR1-%, -6, A ; 1475
+ LD *AR1-%, -6, B ; 1476
+ LD *AR1-%, -5, A ; 1477
+ LD *AR1-%, -5, B ; 1478
+ LD *AR1-%, -4, A ; 1479
+ LD *AR1-%, -4, B ; 1480
+ LD *AR1-%, -3, A ; 1481
+ LD *AR1-%, -3, B ; 1482
+ LD *AR1-%, -2, A ; 1483
+ LD *AR1-%, -2, B ; 1484
+ LD *AR1-%, -1, A ; 1485
+ LD *AR1-%, -1, B ; 1486
+ LD *AR1-%, 0, A ; 1487
+ LD *AR1-%, 0, B ; 1488
+ LD *AR1-%, 1, A ; 1489
+ LD *AR1-%, 1, B ; 1490
+ LD *AR1-%, 2, A ; 1491
+ LD *AR1-%, 2, B ; 1492
+ LD *AR1-%, 3, A ; 1493
+ LD *AR1-%, 3, B ; 1494
+ LD *AR1-%, 4, A ; 1495
+ LD *AR1-%, 4, B ; 1496
+ LD *AR1-%, 5, A ; 1497
+ LD *AR1-%, 5, B ; 1498
+ LD *AR1-%, 6, A ; 1499
+ LD *AR1-%, 6, B ; 1500
+ LD *AR1-%, 7, A ; 1501
+ LD *AR1-%, 7, B ; 1502
+ LD *AR1-%, 8, A ; 1503
+ LD *AR1-%, 8, B ; 1504
+ LD *AR1-%, 9, A ; 1505
+ LD *AR1-%, 9, B ; 1506
+ LD *AR1-%, 10, A ; 1507
+ LD *AR1-%, 10, B ; 1508
+ LD *AR1-%, 11, A ; 1509
+ LD *AR1-%, 11, B ; 1510
+ LD *AR1-%, 12, A ; 1511
+ LD *AR1-%, 12, B ; 1512
+ LD *AR1-%, 13, A ; 1513
+ LD *AR1-%, 13, B ; 1514
+ LD *AR1-%, 14, A ; 1515
+ LD *AR1-%, 14, B ; 1516
+ LD *AR1-%, 15, A ; 1517
+ LD *AR1-%, 15, B ; 1518
+ LD *AR1-0%, A ; 1519
+ LD *AR1-0%, B ; 1520
+ LD *AR1-0%, -16, A ; 1521
+ LD *AR1-0%, -16, B ; 1522
+ LD *AR1-0%, -15, A ; 1523
+ LD *AR1-0%, -15, B ; 1524
+ LD *AR1-0%, -14, A ; 1525
+ LD *AR1-0%, -14, B ; 1526
+ LD *AR1-0%, -13, A ; 1527
+ LD *AR1-0%, -13, B ; 1528
+ LD *AR1-0%, -12, A ; 1529
+ LD *AR1-0%, -12, B ; 1530
+ LD *AR1-0%, -11, A ; 1531
+ LD *AR1-0%, -11, B ; 1532
+ LD *AR1-0%, -10, A ; 1533
+ LD *AR1-0%, -10, B ; 1534
+ LD *AR1-0%, -9, A ; 1535
+ LD *AR1-0%, -9, B ; 1536
+ LD *AR1-0%, -8, A ; 1537
+ LD *AR1-0%, -8, B ; 1538
+ LD *AR1-0%, -7, A ; 1539
+ LD *AR1-0%, -7, B ; 1540
+ LD *AR1-0%, -6, A ; 1541
+ LD *AR1-0%, -6, B ; 1542
+ LD *AR1-0%, -5, A ; 1543
+ LD *AR1-0%, -5, B ; 1544
+ LD *AR1-0%, -4, A ; 1545
+ LD *AR1-0%, -4, B ; 1546
+ LD *AR1-0%, -3, A ; 1547
+ LD *AR1-0%, -3, B ; 1548
+ LD *AR1-0%, -2, A ; 1549
+ LD *AR1-0%, -2, B ; 1550
+ LD *AR1-0%, -1, A ; 1551
+ LD *AR1-0%, -1, B ; 1552
+ LD *AR1-0%, 0, A ; 1553
+ LD *AR1-0%, 0, B ; 1554
+ LD *AR1-0%, 1, A ; 1555
+ LD *AR1-0%, 1, B ; 1556
+ LD *AR1-0%, 2, A ; 1557
+ LD *AR1-0%, 2, B ; 1558
+ LD *AR1-0%, 3, A ; 1559
+ LD *AR1-0%, 3, B ; 1560
+ LD *AR1-0%, 4, A ; 1561
+ LD *AR1-0%, 4, B ; 1562
+ LD *AR1-0%, 5, A ; 1563
+ LD *AR1-0%, 5, B ; 1564
+ LD *AR1-0%, 6, A ; 1565
+ LD *AR1-0%, 6, B ; 1566
+ LD *AR1-0%, 7, A ; 1567
+ LD *AR1-0%, 7, B ; 1568
+ LD *AR1-0%, 8, A ; 1569
+ LD *AR1-0%, 8, B ; 1570
+ LD *AR1-0%, 9, A ; 1571
+ LD *AR1-0%, 9, B ; 1572
+ LD *AR1-0%, 10, A ; 1573
+ LD *AR1-0%, 10, B ; 1574
+ LD *AR1-0%, 11, A ; 1575
+ LD *AR1-0%, 11, B ; 1576
+ LD *AR1-0%, 12, A ; 1577
+ LD *AR1-0%, 12, B ; 1578
+ LD *AR1-0%, 13, A ; 1579
+ LD *AR1-0%, 13, B ; 1580
+ LD *AR1-0%, 14, A ; 1581
+ LD *AR1-0%, 14, B ; 1582
+ LD *AR1-0%, 15, A ; 1583
+ LD *AR1-0%, 15, B ; 1584
+ LD *AR1+%, A ; 1585
+ LD *AR1+%, B ; 1586
+ LD *AR1+%, -16, A ; 1587
+ LD *AR1+%, -16, B ; 1588
+ LD *AR1+%, -15, A ; 1589
+ LD *AR1+%, -15, B ; 1590
+ LD *AR1+%, -14, A ; 1591
+ LD *AR1+%, -14, B ; 1592
+ LD *AR1+%, -13, A ; 1593
+ LD *AR1+%, -13, B ; 1594
+ LD *AR1+%, -12, A ; 1595
+ LD *AR1+%, -12, B ; 1596
+ LD *AR1+%, -11, A ; 1597
+ LD *AR1+%, -11, B ; 1598
+ LD *AR1+%, -10, A ; 1599
+ LD *AR1+%, -10, B ; 1600
+ LD *AR1+%, -9, A ; 1601
+ LD *AR1+%, -9, B ; 1602
+ LD *AR1+%, -8, A ; 1603
+ LD *AR1+%, -8, B ; 1604
+ LD *AR1+%, -7, A ; 1605
+ LD *AR1+%, -7, B ; 1606
+ LD *AR1+%, -6, A ; 1607
+ LD *AR1+%, -6, B ; 1608
+ LD *AR1+%, -5, A ; 1609
+ LD *AR1+%, -5, B ; 1610
+ LD *AR1+%, -4, A ; 1611
+ LD *AR1+%, -4, B ; 1612
+ LD *AR1+%, -3, A ; 1613
+ LD *AR1+%, -3, B ; 1614
+ LD *AR1+%, -2, A ; 1615
+ LD *AR1+%, -2, B ; 1616
+ LD *AR1+%, -1, A ; 1617
+ LD *AR1+%, -1, B ; 1618
+ LD *AR1+%, 0, A ; 1619
+ LD *AR1+%, 0, B ; 1620
+ LD *AR1+%, 1, A ; 1621
+ LD *AR1+%, 1, B ; 1622
+ LD *AR1+%, 2, A ; 1623
+ LD *AR1+%, 2, B ; 1624
+ LD *AR1+%, 3, A ; 1625
+ LD *AR1+%, 3, B ; 1626
+ LD *AR1+%, 4, A ; 1627
+ LD *AR1+%, 4, B ; 1628
+ LD *AR1+%, 5, A ; 1629
+ LD *AR1+%, 5, B ; 1630
+ LD *AR1+%, 6, A ; 1631
+ LD *AR1+%, 6, B ; 1632
+ LD *AR1+%, 7, A ; 1633
+ LD *AR1+%, 7, B ; 1634
+ LD *AR1+%, 8, A ; 1635
+ LD *AR1+%, 8, B ; 1636
+ LD *AR1+%, 9, A ; 1637
+ LD *AR1+%, 9, B ; 1638
+ LD *AR1+%, 10, A ; 1639
+ LD *AR1+%, 10, B ; 1640
+ LD *AR1+%, 11, A ; 1641
+ LD *AR1+%, 11, B ; 1642
+ LD *AR1+%, 12, A ; 1643
+ LD *AR1+%, 12, B ; 1644
+ LD *AR1+%, 13, A ; 1645
+ LD *AR1+%, 13, B ; 1646
+ LD *AR1+%, 14, A ; 1647
+ LD *AR1+%, 14, B ; 1648
+ LD *AR1+%, 15, A ; 1649
+ LD *AR1+%, 15, B ; 1650
+ LD *AR1+0%, A ; 1651
+ LD *AR1+0%, B ; 1652
+ LD *AR1+0%, -16, A ; 1653
+ LD *AR1+0%, -16, B ; 1654
+ LD *AR1+0%, -15, A ; 1655
+ LD *AR1+0%, -15, B ; 1656
+ LD *AR1+0%, -14, A ; 1657
+ LD *AR1+0%, -14, B ; 1658
+ LD *AR1+0%, -13, A ; 1659
+ LD *AR1+0%, -13, B ; 1660
+ LD *AR1+0%, -12, A ; 1661
+ LD *AR1+0%, -12, B ; 1662
+ LD *AR1+0%, -11, A ; 1663
+ LD *AR1+0%, -11, B ; 1664
+ LD *AR1+0%, -10, A ; 1665
+ LD *AR1+0%, -10, B ; 1666
+ LD *AR1+0%, -9, A ; 1667
+ LD *AR1+0%, -9, B ; 1668
+ LD *AR1+0%, -8, A ; 1669
+ LD *AR1+0%, -8, B ; 1670
+ LD *AR1+0%, -7, A ; 1671
+ LD *AR1+0%, -7, B ; 1672
+ LD *AR1+0%, -6, A ; 1673
+ LD *AR1+0%, -6, B ; 1674
+ LD *AR1+0%, -5, A ; 1675
+ LD *AR1+0%, -5, B ; 1676
+ LD *AR1+0%, -4, A ; 1677
+ LD *AR1+0%, -4, B ; 1678
+ LD *AR1+0%, -3, A ; 1679
+ LD *AR1+0%, -3, B ; 1680
+ LD *AR1+0%, -2, A ; 1681
+ LD *AR1+0%, -2, B ; 1682
+ LD *AR1+0%, -1, A ; 1683
+ LD *AR1+0%, -1, B ; 1684
+ LD *AR1+0%, 0, A ; 1685
+ LD *AR1+0%, 0, B ; 1686
+ LD *AR1+0%, 1, A ; 1687
+ LD *AR1+0%, 1, B ; 1688
+ LD *AR1+0%, 2, A ; 1689
+ LD *AR1+0%, 2, B ; 1690
+ LD *AR1+0%, 3, A ; 1691
+ LD *AR1+0%, 3, B ; 1692
+ LD *AR1+0%, 4, A ; 1693
+ LD *AR1+0%, 4, B ; 1694
+ LD *AR1+0%, 5, A ; 1695
+ LD *AR1+0%, 5, B ; 1696
+ LD *AR1+0%, 6, A ; 1697
+ LD *AR1+0%, 6, B ; 1698
+ LD *AR1+0%, 7, A ; 1699
+ LD *AR1+0%, 7, B ; 1700
+ LD *AR1+0%, 8, A ; 1701
+ LD *AR1+0%, 8, B ; 1702
+ LD *AR1+0%, 9, A ; 1703
+ LD *AR1+0%, 9, B ; 1704
+ LD *AR1+0%, 10, A ; 1705
+ LD *AR1+0%, 10, B ; 1706
+ LD *AR1+0%, 11, A ; 1707
+ LD *AR1+0%, 11, B ; 1708
+ LD *AR1+0%, 12, A ; 1709
+ LD *AR1+0%, 12, B ; 1710
+ LD *AR1+0%, 13, A ; 1711
+ LD *AR1+0%, 13, B ; 1712
+ LD *AR1+0%, 14, A ; 1713
+ LD *AR1+0%, 14, B ; 1714
+ LD *AR1+0%, 15, A ; 1715
+ LD *AR1+0%, 15, B ; 1716
+ LD *AR1(02368h), A ; 1717
+ LD *AR1(02369h), B ; 1718
+ LD *AR1(0236Ah), -16, A ; 1719
+ LD *AR1(0236Bh), -16, B ; 1720
+ LD *AR1(0236Ch), -15, A ; 1721
+ LD *AR1(0236Dh), -15, B ; 1722
+ LD *AR1(0236Eh), -14, A ; 1723
+ LD *AR1(0236Fh), -14, B ; 1724
+ LD *AR1(02370h), -13, A ; 1725
+ LD *AR1(02371h), -13, B ; 1726
+ LD *AR1(02372h), -12, A ; 1727
+ LD *AR1(02373h), -12, B ; 1728
+ LD *AR1(02374h), -11, A ; 1729
+ LD *AR1(02375h), -11, B ; 1730
+ LD *AR1(02376h), -10, A ; 1731
+ LD *AR1(02377h), -10, B ; 1732
+ LD *AR1(02378h), -9, A ; 1733
+ LD *AR1(02379h), -9, B ; 1734
+ LD *AR1(0237Ah), -8, A ; 1735
+ LD *AR1(0237Bh), -8, B ; 1736
+ LD *AR1(0237Ch), -7, A ; 1737
+ LD *AR1(0237Dh), -7, B ; 1738
+ LD *AR1(0237Eh), -6, A ; 1739
+ LD *AR1(0237Fh), -6, B ; 1740
+ LD *AR1(02380h), -5, A ; 1741
+ LD *AR1(02381h), -5, B ; 1742
+ LD *AR1(02382h), -4, A ; 1743
+ LD *AR1(02383h), -4, B ; 1744
+ LD *AR1(02384h), -3, A ; 1745
+ LD *AR1(02385h), -3, B ; 1746
+ LD *AR1(02386h), -2, A ; 1747
+ LD *AR1(02387h), -2, B ; 1748
+ LD *AR1(02388h), -1, A ; 1749
+ LD *AR1(02389h), -1, B ; 1750
+ LD *AR1(0238Ah), 0, A ; 1751
+ LD *AR1(0238Bh), 0, B ; 1752
+ LD *AR1(0238Ch), 1, A ; 1753
+ LD *AR1(0238Dh), 1, B ; 1754
+ LD *AR1(0238Eh), 2, A ; 1755
+ LD *AR1(0238Fh), 2, B ; 1756
+ LD *AR1(02390h), 3, A ; 1757
+ LD *AR1(02391h), 3, B ; 1758
+ LD *AR1(02392h), 4, A ; 1759
+ LD *AR1(02393h), 4, B ; 1760
+ LD *AR1(02394h), 5, A ; 1761
+ LD *AR1(02395h), 5, B ; 1762
+ LD *AR1(02396h), 6, A ; 1763
+ LD *AR1(02397h), 6, B ; 1764
+ LD *AR1(02398h), 7, A ; 1765
+ LD *AR1(02399h), 7, B ; 1766
+ LD *AR1(0239Ah), 8, A ; 1767
+ LD *AR1(0239Bh), 8, B ; 1768
+ LD *AR1(0239Ch), 9, A ; 1769
+ LD *AR1(0239Dh), 9, B ; 1770
+ LD *AR1(0239Eh), 10, A ; 1771
+ LD *AR1(0239Fh), 10, B ; 1772
+ LD *AR1(023A0h), 11, A ; 1773
+ LD *AR1(023A1h), 11, B ; 1774
+ LD *AR1(023A2h), 12, A ; 1775
+ LD *AR1(023A3h), 12, B ; 1776
+ LD *AR1(023A4h), 13, A ; 1777
+ LD *AR1(023A5h), 13, B ; 1778
+ LD *AR1(023A6h), 14, A ; 1779
+ LD *AR1(023A7h), 14, B ; 1780
+ LD *AR1(023A8h), 15, A ; 1781
+ LD *AR1(023A9h), 15, B ; 1782
+ LD *+AR1(023AAh), A ; 1783
+ LD *+AR1(023ABh), B ; 1784
+ LD *+AR1(023ACh), -16, A ; 1785
+ LD *+AR1(023ADh), -16, B ; 1786
+ LD *+AR1(023AEh), -15, A ; 1787
+ LD *+AR1(023AFh), -15, B ; 1788
+ LD *+AR1(023B0h), -14, A ; 1789
+ LD *+AR1(023B1h), -14, B ; 1790
+ LD *+AR1(023B2h), -13, A ; 1791
+ LD *+AR1(023B3h), -13, B ; 1792
+ LD *+AR1(023B4h), -12, A ; 1793
+ LD *+AR1(023B5h), -12, B ; 1794
+ LD *+AR1(023B6h), -11, A ; 1795
+ LD *+AR1(023B7h), -11, B ; 1796
+ LD *+AR1(023B8h), -10, A ; 1797
+ LD *+AR1(023B9h), -10, B ; 1798
+ LD *+AR1(023BAh), -9, A ; 1799
+ LD *+AR1(023BBh), -9, B ; 1800
+ LD *+AR1(023BCh), -8, A ; 1801
+ LD *+AR1(023BDh), -8, B ; 1802
+ LD *+AR1(023BEh), -7, A ; 1803
+ LD *+AR1(023BFh), -7, B ; 1804
+ LD *+AR1(023C0h), -6, A ; 1805
+ LD *+AR1(023C1h), -6, B ; 1806
+ LD *+AR1(023C2h), -5, A ; 1807
+ LD *+AR1(023C3h), -5, B ; 1808
+ LD *+AR1(023C4h), -4, A ; 1809
+ LD *+AR1(023C5h), -4, B ; 1810
+ LD *+AR1(023C6h), -3, A ; 1811
+ LD *+AR1(023C7h), -3, B ; 1812
+ LD *+AR1(023C8h), -2, A ; 1813
+ LD *+AR1(023C9h), -2, B ; 1814
+ LD *+AR1(023CAh), -1, A ; 1815
+ LD *+AR1(023CBh), -1, B ; 1816
+ LD *+AR1(023CCh), 0, A ; 1817
+ LD *+AR1(023CDh), 0, B ; 1818
+ LD *+AR1(023CEh), 1, A ; 1819
+ LD *+AR1(023CFh), 1, B ; 1820
+ LD *+AR1(023D0h), 2, A ; 1821
+ LD *+AR1(023D1h), 2, B ; 1822
+ LD *+AR1(023D2h), 3, A ; 1823
+ LD *+AR1(023D3h), 3, B ; 1824
+ LD *+AR1(023D4h), 4, A ; 1825
+ LD *+AR1(023D5h), 4, B ; 1826
+ LD *+AR1(023D6h), 5, A ; 1827
+ LD *+AR1(023D7h), 5, B ; 1828
+ LD *+AR1(023D8h), 6, A ; 1829
+ LD *+AR1(023D9h), 6, B ; 1830
+ LD *+AR1(023DAh), 7, A ; 1831
+ LD *+AR1(023DBh), 7, B ; 1832
+ LD *+AR1(023DCh), 8, A ; 1833
+ LD *+AR1(023DDh), 8, B ; 1834
+ LD *+AR1(023DEh), 9, A ; 1835
+ LD *+AR1(023DFh), 9, B ; 1836
+ LD *+AR1(023E0h), 10, A ; 1837
+ LD *+AR1(023E1h), 10, B ; 1838
+ LD *+AR1(023E2h), 11, A ; 1839
+ LD *+AR1(023E3h), 11, B ; 1840
+ LD *+AR1(023E4h), 12, A ; 1841
+ LD *+AR1(023E5h), 12, B ; 1842
+ LD *+AR1(023E6h), 13, A ; 1843
+ LD *+AR1(023E7h), 13, B ; 1844
+ LD *+AR1(023E8h), 14, A ; 1845
+ LD *+AR1(023E9h), 14, B ; 1846
+ LD *+AR1(023EAh), 15, A ; 1847
+ LD *+AR1(023EBh), 15, B ; 1848
+ LD *+AR1(023ECh)%, A ; 1849
+ LD *+AR1(023EDh)%, B ; 1850
+ LD *+AR1(023EEh)%, -16, A ; 1851
+ LD *+AR1(023EFh)%, -16, B ; 1852
+ LD *+AR1(023F0h)%, -15, A ; 1853
+ LD *+AR1(023F1h)%, -15, B ; 1854
+ LD *+AR1(023F2h)%, -14, A ; 1855
+ LD *+AR1(023F3h)%, -14, B ; 1856
+ LD *+AR1(023F4h)%, -13, A ; 1857
+ LD *+AR1(023F5h)%, -13, B ; 1858
+ LD *+AR1(023F6h)%, -12, A ; 1859
+ LD *+AR1(023F7h)%, -12, B ; 1860
+ LD *+AR1(023F8h)%, -11, A ; 1861
+ LD *+AR1(023F9h)%, -11, B ; 1862
+ LD *+AR1(023FAh)%, -10, A ; 1863
+ LD *+AR1(023FBh)%, -10, B ; 1864
+ LD *+AR1(023FCh)%, -9, A ; 1865
+ LD *+AR1(023FDh)%, -9, B ; 1866
+ LD *+AR1(023FEh)%, -8, A ; 1867
+ LD *+AR1(023FFh)%, -8, B ; 1868
+ LD *+AR1(02400h)%, -7, A ; 1869
+ LD *+AR1(02401h)%, -7, B ; 1870
+ LD *+AR1(02402h)%, -6, A ; 1871
+ LD *+AR1(02403h)%, -6, B ; 1872
+ LD *+AR1(02404h)%, -5, A ; 1873
+ LD *+AR1(02405h)%, -5, B ; 1874
+ LD *+AR1(02406h)%, -4, A ; 1875
+ LD *+AR1(02407h)%, -4, B ; 1876
+ LD *+AR1(02408h)%, -3, A ; 1877
+ LD *+AR1(02409h)%, -3, B ; 1878
+ LD *+AR1(0240Ah)%, -2, A ; 1879
+ LD *+AR1(0240Bh)%, -2, B ; 1880
+ LD *+AR1(0240Ch)%, -1, A ; 1881
+ LD *+AR1(0240Dh)%, -1, B ; 1882
+ LD *+AR1(0240Eh)%, 0, A ; 1883
+ LD *+AR1(0240Fh)%, 0, B ; 1884
+ LD *+AR1(02410h)%, 1, A ; 1885
+ LD *+AR1(02411h)%, 1, B ; 1886
+ LD *+AR1(02412h)%, 2, A ; 1887
+ LD *+AR1(02413h)%, 2, B ; 1888
+ LD *+AR1(02414h)%, 3, A ; 1889
+ LD *+AR1(02415h)%, 3, B ; 1890
+ LD *+AR1(02416h)%, 4, A ; 1891
+ LD *+AR1(02417h)%, 4, B ; 1892
+ LD *+AR1(02418h)%, 5, A ; 1893
+ LD *+AR1(02419h)%, 5, B ; 1894
+ LD *+AR1(0241Ah)%, 6, A ; 1895
+ LD *+AR1(0241Bh)%, 6, B ; 1896
+ LD *+AR1(0241Ch)%, 7, A ; 1897
+ LD *+AR1(0241Dh)%, 7, B ; 1898
+ LD *+AR1(0241Eh)%, 8, A ; 1899
+ LD *+AR1(0241Fh)%, 8, B ; 1900
+ LD *+AR1(02420h)%, 9, A ; 1901
+ LD *+AR1(02421h)%, 9, B ; 1902
+ LD *+AR1(02422h)%, 10, A ; 1903
+ LD *+AR1(02423h)%, 10, B ; 1904
+ LD *+AR1(02424h)%, 11, A ; 1905
+ LD *+AR1(02425h)%, 11, B ; 1906
+ LD *+AR1(02426h)%, 12, A ; 1907
+ LD *+AR1(02427h)%, 12, B ; 1908
+ LD *+AR1(02428h)%, 13, A ; 1909
+ LD *+AR1(02429h)%, 13, B ; 1910
+ LD *+AR1(0242Ah)%, 14, A ; 1911
+ LD *+AR1(0242Bh)%, 14, B ; 1912
+ LD *+AR1(0242Ch)%, 15, A ; 1913
+ LD *+AR1(0242Dh)%, 15, B ; 1914
+ LD *(0242Eh), A ; 1915
+ LD *(0242Fh), B ; 1916
+ LD *(02430h), -16, A ; 1917
+ LD *(02431h), -16, B ; 1918
+ LD *(02432h), -15, A ; 1919
+ LD *(02433h), -15, B ; 1920
+ LD *(02434h), -14, A ; 1921
+ LD *(02435h), -14, B ; 1922
+ LD *(02436h), -13, A ; 1923
+ LD *(02437h), -13, B ; 1924
+ LD *(02438h), -12, A ; 1925
+ LD *(02439h), -12, B ; 1926
+ LD *(0243Ah), -11, A ; 1927
+ LD *(0243Bh), -11, B ; 1928
+ LD *(0243Ch), -10, A ; 1929
+ LD *(0243Dh), -10, B ; 1930
+ LD *(0243Eh), -9, A ; 1931
+ LD *(0243Fh), -9, B ; 1932
+ LD *(02440h), -8, A ; 1933
+ LD *(02441h), -8, B ; 1934
+ LD *(02442h), -7, A ; 1935
+ LD *(02443h), -7, B ; 1936
+ LD *(02444h), -6, A ; 1937
+ LD *(02445h), -6, B ; 1938
+ LD *(02446h), -5, A ; 1939
+ LD *(02447h), -5, B ; 1940
+ LD *(02448h), -4, A ; 1941
+ LD *(02449h), -4, B ; 1942
+ LD *(0244Ah), -3, A ; 1943
+ LD *(0244Bh), -3, B ; 1944
+ LD *(0244Ch), -2, A ; 1945
+ LD *(0244Dh), -2, B ; 1946
+ LD *(0244Eh), -1, A ; 1947
+ LD *(0244Fh), -1, B ; 1948
+ LD *(02450h), 0, A ; 1949
+ LD *(02451h), 0, B ; 1950
+ LD *(02452h), 1, A ; 1951
+ LD *(02453h), 1, B ; 1952
+ LD *(02454h), 2, A ; 1953
+ LD *(02455h), 2, B ; 1954
+ LD *(02456h), 3, A ; 1955
+ LD *(02457h), 3, B ; 1956
+ LD *(02458h), 4, A ; 1957
+ LD *(02459h), 4, B ; 1958
+ LD *(0245Ah), 5, A ; 1959
+ LD *(0245Bh), 5, B ; 1960
+ LD *(0245Ch), 6, A ; 1961
+ LD *(0245Dh), 6, B ; 1962
+ LD *(0245Eh), 7, A ; 1963
+ LD *(0245Fh), 7, B ; 1964
+ LD *(02460h), 8, A ; 1965
+ LD *(02461h), 8, B ; 1966
+ LD *(02462h), 9, A ; 1967
+ LD *(02463h), 9, B ; 1968
+ LD *(02464h), 10, A ; 1969
+ LD *(02465h), 10, B ; 1970
+ LD *(02466h), 11, A ; 1971
+ LD *(02467h), 11, B ; 1972
+ LD *(02468h), 12, A ; 1973
+ LD *(02469h), 12, B ; 1974
+ LD *(0246Ah), 13, A ; 1975
+ LD *(0246Bh), 13, B ; 1976
+ LD *(0246Ch), 14, A ; 1977
+ LD *(0246Dh), 14, B ; 1978
+ LD *(0246Eh), 15, A ; 1979
+ LD *(0246Fh), 15, B ; 1980
+ LD *AR2, A ; 1981
+ LD *AR2, B ; 1982
+ LD *AR2, -16, A ; 1983
+ LD *AR2, -16, B ; 1984
+ LD *AR2, -15, A ; 1985
+ LD *AR2, -15, B ; 1986
+ LD *AR2, -14, A ; 1987
+ LD *AR2, -14, B ; 1988
+ LD *AR2, -13, A ; 1989
+ LD *AR2, -13, B ; 1990
+ LD *AR2, -12, A ; 1991
+ LD *AR2, -12, B ; 1992
+ LD *AR2, -11, A ; 1993
+ LD *AR2, -11, B ; 1994
+ LD *AR2, -10, A ; 1995
+ LD *AR2, -10, B ; 1996
+ LD *AR2, -9, A ; 1997
+ LD *AR2, -9, B ; 1998
+ LD *AR2, -8, A ; 1999
+ LD *AR2, -8, B ; 2000
+ LD *AR2, -7, A ; 2001
+ LD *AR2, -7, B ; 2002
+ LD *AR2, -6, A ; 2003
+ LD *AR2, -6, B ; 2004
+ LD *AR2, -5, A ; 2005
+ LD *AR2, -5, B ; 2006
+ LD *AR2, -4, A ; 2007
+ LD *AR2, -4, B ; 2008
+ LD *AR2, -3, A ; 2009
+ LD *AR2, -3, B ; 2010
+ LD *AR2, -2, A ; 2011
+ LD *AR2, -2, B ; 2012
+ LD *AR2, -1, A ; 2013
+ LD *AR2, -1, B ; 2014
+ LD *AR2, 0, A ; 2015
+ LD *AR2, 0, B ; 2016
+ LD *AR2, 1, A ; 2017
+ LD *AR2, 1, B ; 2018
+ LD *AR2, 2, A ; 2019
+ LD *AR2, 2, B ; 2020
+ LD *AR2, 3, A ; 2021
+ LD *AR2, 3, B ; 2022
+ LD *AR2, 4, A ; 2023
+ LD *AR2, 4, B ; 2024
+ LD *AR2, 5, A ; 2025
+ LD *AR2, 5, B ; 2026
+ LD *AR2, 6, A ; 2027
+ LD *AR2, 6, B ; 2028
+ LD *AR2, 7, A ; 2029
+ LD *AR2, 7, B ; 2030
+ LD *AR2, 8, A ; 2031
+ LD *AR2, 8, B ; 2032
+ LD *AR2, 9, A ; 2033
+ LD *AR2, 9, B ; 2034
+ LD *AR2, 10, A ; 2035
+ LD *AR2, 10, B ; 2036
+ LD *AR2, 11, A ; 2037
+ LD *AR2, 11, B ; 2038
+ LD *AR2, 12, A ; 2039
+ LD *AR2, 12, B ; 2040
+ LD *AR2, 13, A ; 2041
+ LD *AR2, 13, B ; 2042
+ LD *AR2, 14, A ; 2043
+ LD *AR2, 14, B ; 2044
+ LD *AR2, 15, A ; 2045
+ LD *AR2, 15, B ; 2046
+ LD *AR2-, A ; 2047
+ LD *AR2-, B ; 2048
+ LD *AR2-, -16, A ; 2049
+ LD *AR2-, -16, B ; 2050
+ LD *AR2-, -15, A ; 2051
+ LD *AR2-, -15, B ; 2052
+ LD *AR2-, -14, A ; 2053
+ LD *AR2-, -14, B ; 2054
+ LD *AR2-, -13, A ; 2055
+ LD *AR2-, -13, B ; 2056
+ LD *AR2-, -12, A ; 2057
+ LD *AR2-, -12, B ; 2058
+ LD *AR2-, -11, A ; 2059
+ LD *AR2-, -11, B ; 2060
+ LD *AR2-, -10, A ; 2061
+ LD *AR2-, -10, B ; 2062
+ LD *AR2-, -9, A ; 2063
+ LD *AR2-, -9, B ; 2064
+ LD *AR2-, -8, A ; 2065
+ LD *AR2-, -8, B ; 2066
+ LD *AR2-, -7, A ; 2067
+ LD *AR2-, -7, B ; 2068
+ LD *AR2-, -6, A ; 2069
+ LD *AR2-, -6, B ; 2070
+ LD *AR2-, -5, A ; 2071
+ LD *AR2-, -5, B ; 2072
+ LD *AR2-, -4, A ; 2073
+ LD *AR2-, -4, B ; 2074
+ LD *AR2-, -3, A ; 2075
+ LD *AR2-, -3, B ; 2076
+ LD *AR2-, -2, A ; 2077
+ LD *AR2-, -2, B ; 2078
+ LD *AR2-, -1, A ; 2079
+ LD *AR2-, -1, B ; 2080
+ LD *AR2-, 0, A ; 2081
+ LD *AR2-, 0, B ; 2082
+ LD *AR2-, 1, A ; 2083
+ LD *AR2-, 1, B ; 2084
+ LD *AR2-, 2, A ; 2085
+ LD *AR2-, 2, B ; 2086
+ LD *AR2-, 3, A ; 2087
+ LD *AR2-, 3, B ; 2088
+ LD *AR2-, 4, A ; 2089
+ LD *AR2-, 4, B ; 2090
+ LD *AR2-, 5, A ; 2091
+ LD *AR2-, 5, B ; 2092
+ LD *AR2-, 6, A ; 2093
+ LD *AR2-, 6, B ; 2094
+ LD *AR2-, 7, A ; 2095
+ LD *AR2-, 7, B ; 2096
+ LD *AR2-, 8, A ; 2097
+ LD *AR2-, 8, B ; 2098
+ LD *AR2-, 9, A ; 2099
+ LD *AR2-, 9, B ; 2100
+ LD *AR2-, 10, A ; 2101
+ LD *AR2-, 10, B ; 2102
+ LD *AR2-, 11, A ; 2103
+ LD *AR2-, 11, B ; 2104
+ LD *AR2-, 12, A ; 2105
+ LD *AR2-, 12, B ; 2106
+ LD *AR2-, 13, A ; 2107
+ LD *AR2-, 13, B ; 2108
+ LD *AR2-, 14, A ; 2109
+ LD *AR2-, 14, B ; 2110
+ LD *AR2-, 15, A ; 2111
+ LD *AR2-, 15, B ; 2112
+ LD *AR2+, A ; 2113
+ LD *AR2+, B ; 2114
+ LD *AR2+, -16, A ; 2115
+ LD *AR2+, -16, B ; 2116
+ LD *AR2+, -15, A ; 2117
+ LD *AR2+, -15, B ; 2118
+ LD *AR2+, -14, A ; 2119
+ LD *AR2+, -14, B ; 2120
+ LD *AR2+, -13, A ; 2121
+ LD *AR2+, -13, B ; 2122
+ LD *AR2+, -12, A ; 2123
+ LD *AR2+, -12, B ; 2124
+ LD *AR2+, -11, A ; 2125
+ LD *AR2+, -11, B ; 2126
+ LD *AR2+, -10, A ; 2127
+ LD *AR2+, -10, B ; 2128
+ LD *AR2+, -9, A ; 2129
+ LD *AR2+, -9, B ; 2130
+ LD *AR2+, -8, A ; 2131
+ LD *AR2+, -8, B ; 2132
+ LD *AR2+, -7, A ; 2133
+ LD *AR2+, -7, B ; 2134
+ LD *AR2+, -6, A ; 2135
+ LD *AR2+, -6, B ; 2136
+ LD *AR2+, -5, A ; 2137
+ LD *AR2+, -5, B ; 2138
+ LD *AR2+, -4, A ; 2139
+ LD *AR2+, -4, B ; 2140
+ LD *AR2+, -3, A ; 2141
+ LD *AR2+, -3, B ; 2142
+ LD *AR2+, -2, A ; 2143
+ LD *AR2+, -2, B ; 2144
+ LD *AR2+, -1, A ; 2145
+ LD *AR2+, -1, B ; 2146
+ LD *AR2+, 0, A ; 2147
+ LD *AR2+, 0, B ; 2148
+ LD *AR2+, 1, A ; 2149
+ LD *AR2+, 1, B ; 2150
+ LD *AR2+, 2, A ; 2151
+ LD *AR2+, 2, B ; 2152
+ LD *AR2+, 3, A ; 2153
+ LD *AR2+, 3, B ; 2154
+ LD *AR2+, 4, A ; 2155
+ LD *AR2+, 4, B ; 2156
+ LD *AR2+, 5, A ; 2157
+ LD *AR2+, 5, B ; 2158
+ LD *AR2+, 6, A ; 2159
+ LD *AR2+, 6, B ; 2160
+ LD *AR2+, 7, A ; 2161
+ LD *AR2+, 7, B ; 2162
+ LD *AR2+, 8, A ; 2163
+ LD *AR2+, 8, B ; 2164
+ LD *AR2+, 9, A ; 2165
+ LD *AR2+, 9, B ; 2166
+ LD *AR2+, 10, A ; 2167
+ LD *AR2+, 10, B ; 2168
+ LD *AR2+, 11, A ; 2169
+ LD *AR2+, 11, B ; 2170
+ LD *AR2+, 12, A ; 2171
+ LD *AR2+, 12, B ; 2172
+ LD *AR2+, 13, A ; 2173
+ LD *AR2+, 13, B ; 2174
+ LD *AR2+, 14, A ; 2175
+ LD *AR2+, 14, B ; 2176
+ LD *AR2+, 15, A ; 2177
+ LD *AR2+, 15, B ; 2178
+ LD *AR2-0B, A ; 2179
+ LD *AR2-0B, B ; 2180
+ LD *AR2-0B, -16, A ; 2181
+ LD *AR2-0B, -16, B ; 2182
+ LD *AR2-0B, -15, A ; 2183
+ LD *AR2-0B, -15, B ; 2184
+ LD *AR2-0B, -14, A ; 2185
+ LD *AR2-0B, -14, B ; 2186
+ LD *AR2-0B, -13, A ; 2187
+ LD *AR2-0B, -13, B ; 2188
+ LD *AR2-0B, -12, A ; 2189
+ LD *AR2-0B, -12, B ; 2190
+ LD *AR2-0B, -11, A ; 2191
+ LD *AR2-0B, -11, B ; 2192
+ LD *AR2-0B, -10, A ; 2193
+ LD *AR2-0B, -10, B ; 2194
+ LD *AR2-0B, -9, A ; 2195
+ LD *AR2-0B, -9, B ; 2196
+ LD *AR2-0B, -8, A ; 2197
+ LD *AR2-0B, -8, B ; 2198
+ LD *AR2-0B, -7, A ; 2199
+ LD *AR2-0B, -7, B ; 2200
+ LD *AR2-0B, -6, A ; 2201
+ LD *AR2-0B, -6, B ; 2202
+ LD *AR2-0B, -5, A ; 2203
+ LD *AR2-0B, -5, B ; 2204
+ LD *AR2-0B, -4, A ; 2205
+ LD *AR2-0B, -4, B ; 2206
+ LD *AR2-0B, -3, A ; 2207
+ LD *AR2-0B, -3, B ; 2208
+ LD *AR2-0B, -2, A ; 2209
+ LD *AR2-0B, -2, B ; 2210
+ LD *AR2-0B, -1, A ; 2211
+ LD *AR2-0B, -1, B ; 2212
+ LD *AR2-0B, 0, A ; 2213
+ LD *AR2-0B, 0, B ; 2214
+ LD *AR2-0B, 1, A ; 2215
+ LD *AR2-0B, 1, B ; 2216
+ LD *AR2-0B, 2, A ; 2217
+ LD *AR2-0B, 2, B ; 2218
+ LD *AR2-0B, 3, A ; 2219
+ LD *AR2-0B, 3, B ; 2220
+ LD *AR2-0B, 4, A ; 2221
+ LD *AR2-0B, 4, B ; 2222
+ LD *AR2-0B, 5, A ; 2223
+ LD *AR2-0B, 5, B ; 2224
+ LD *AR2-0B, 6, A ; 2225
+ LD *AR2-0B, 6, B ; 2226
+ LD *AR2-0B, 7, A ; 2227
+ LD *AR2-0B, 7, B ; 2228
+ LD *AR2-0B, 8, A ; 2229
+ LD *AR2-0B, 8, B ; 2230
+ LD *AR2-0B, 9, A ; 2231
+ LD *AR2-0B, 9, B ; 2232
+ LD *AR2-0B, 10, A ; 2233
+ LD *AR2-0B, 10, B ; 2234
+ LD *AR2-0B, 11, A ; 2235
+ LD *AR2-0B, 11, B ; 2236
+ LD *AR2-0B, 12, A ; 2237
+ LD *AR2-0B, 12, B ; 2238
+ LD *AR2-0B, 13, A ; 2239
+ LD *AR2-0B, 13, B ; 2240
+ LD *AR2-0B, 14, A ; 2241
+ LD *AR2-0B, 14, B ; 2242
+ LD *AR2-0B, 15, A ; 2243
+ LD *AR2-0B, 15, B ; 2244
+ LD *AR2-0, A ; 2245
+ LD *AR2-0, B ; 2246
+ LD *AR2-0, -16, A ; 2247
+ LD *AR2-0, -16, B ; 2248
+ LD *AR2-0, -15, A ; 2249
+ LD *AR2-0, -15, B ; 2250
+ LD *AR2-0, -14, A ; 2251
+ LD *AR2-0, -14, B ; 2252
+ LD *AR2-0, -13, A ; 2253
+ LD *AR2-0, -13, B ; 2254
+ LD *AR2-0, -12, A ; 2255
+ LD *AR2-0, -12, B ; 2256
+ LD *AR2-0, -11, A ; 2257
+ LD *AR2-0, -11, B ; 2258
+ LD *AR2-0, -10, A ; 2259
+ LD *AR2-0, -10, B ; 2260
+ LD *AR2-0, -9, A ; 2261
+ LD *AR2-0, -9, B ; 2262
+ LD *AR2-0, -8, A ; 2263
+ LD *AR2-0, -8, B ; 2264
+ LD *AR2-0, -7, A ; 2265
+ LD *AR2-0, -7, B ; 2266
+ LD *AR2-0, -6, A ; 2267
+ LD *AR2-0, -6, B ; 2268
+ LD *AR2-0, -5, A ; 2269
+ LD *AR2-0, -5, B ; 2270
+ LD *AR2-0, -4, A ; 2271
+ LD *AR2-0, -4, B ; 2272
+ LD *AR2-0, -3, A ; 2273
+ LD *AR2-0, -3, B ; 2274
+ LD *AR2-0, -2, A ; 2275
+ LD *AR2-0, -2, B ; 2276
+ LD *AR2-0, -1, A ; 2277
+ LD *AR2-0, -1, B ; 2278
+ LD *AR2-0, 0, A ; 2279
+ LD *AR2-0, 0, B ; 2280
+ LD *AR2-0, 1, A ; 2281
+ LD *AR2-0, 1, B ; 2282
+ LD *AR2-0, 2, A ; 2283
+ LD *AR2-0, 2, B ; 2284
+ LD *AR2-0, 3, A ; 2285
+ LD *AR2-0, 3, B ; 2286
+ LD *AR2-0, 4, A ; 2287
+ LD *AR2-0, 4, B ; 2288
+ LD *AR2-0, 5, A ; 2289
+ LD *AR2-0, 5, B ; 2290
+ LD *AR2-0, 6, A ; 2291
+ LD *AR2-0, 6, B ; 2292
+ LD *AR2-0, 7, A ; 2293
+ LD *AR2-0, 7, B ; 2294
+ LD *AR2-0, 8, A ; 2295
+ LD *AR2-0, 8, B ; 2296
+ LD *AR2-0, 9, A ; 2297
+ LD *AR2-0, 9, B ; 2298
+ LD *AR2-0, 10, A ; 2299
+ LD *AR2-0, 10, B ; 2300
+ LD *AR2-0, 11, A ; 2301
+ LD *AR2-0, 11, B ; 2302
+ LD *AR2-0, 12, A ; 2303
+ LD *AR2-0, 12, B ; 2304
+ LD *AR2-0, 13, A ; 2305
+ LD *AR2-0, 13, B ; 2306
+ LD *AR2-0, 14, A ; 2307
+ LD *AR2-0, 14, B ; 2308
+ LD *AR2-0, 15, A ; 2309
+ LD *AR2-0, 15, B ; 2310
+ LD *AR2+0, A ; 2311
+ LD *AR2+0, B ; 2312
+ LD *AR2+0, -16, A ; 2313
+ LD *AR2+0, -16, B ; 2314
+ LD *AR2+0, -15, A ; 2315
+ LD *AR2+0, -15, B ; 2316
+ LD *AR2+0, -14, A ; 2317
+ LD *AR2+0, -14, B ; 2318
+ LD *AR2+0, -13, A ; 2319
+ LD *AR2+0, -13, B ; 2320
+ LD *AR2+0, -12, A ; 2321
+ LD *AR2+0, -12, B ; 2322
+ LD *AR2+0, -11, A ; 2323
+ LD *AR2+0, -11, B ; 2324
+ LD *AR2+0, -10, A ; 2325
+ LD *AR2+0, -10, B ; 2326
+ LD *AR2+0, -9, A ; 2327
+ LD *AR2+0, -9, B ; 2328
+ LD *AR2+0, -8, A ; 2329
+ LD *AR2+0, -8, B ; 2330
+ LD *AR2+0, -7, A ; 2331
+ LD *AR2+0, -7, B ; 2332
+ LD *AR2+0, -6, A ; 2333
+ LD *AR2+0, -6, B ; 2334
+ LD *AR2+0, -5, A ; 2335
+ LD *AR2+0, -5, B ; 2336
+ LD *AR2+0, -4, A ; 2337
+ LD *AR2+0, -4, B ; 2338
+ LD *AR2+0, -3, A ; 2339
+ LD *AR2+0, -3, B ; 2340
+ LD *AR2+0, -2, A ; 2341
+ LD *AR2+0, -2, B ; 2342
+ LD *AR2+0, -1, A ; 2343
+ LD *AR2+0, -1, B ; 2344
+ LD *AR2+0, 0, A ; 2345
+ LD *AR2+0, 0, B ; 2346
+ LD *AR2+0, 1, A ; 2347
+ LD *AR2+0, 1, B ; 2348
+ LD *AR2+0, 2, A ; 2349
+ LD *AR2+0, 2, B ; 2350
+ LD *AR2+0, 3, A ; 2351
+ LD *AR2+0, 3, B ; 2352
+ LD *AR2+0, 4, A ; 2353
+ LD *AR2+0, 4, B ; 2354
+ LD *AR2+0, 5, A ; 2355
+ LD *AR2+0, 5, B ; 2356
+ LD *AR2+0, 6, A ; 2357
+ LD *AR2+0, 6, B ; 2358
+ LD *AR2+0, 7, A ; 2359
+ LD *AR2+0, 7, B ; 2360
+ LD *AR2+0, 8, A ; 2361
+ LD *AR2+0, 8, B ; 2362
+ LD *AR2+0, 9, A ; 2363
+ LD *AR2+0, 9, B ; 2364
+ LD *AR2+0, 10, A ; 2365
+ LD *AR2+0, 10, B ; 2366
+ LD *AR2+0, 11, A ; 2367
+ LD *AR2+0, 11, B ; 2368
+ LD *AR2+0, 12, A ; 2369
+ LD *AR2+0, 12, B ; 2370
+ LD *AR2+0, 13, A ; 2371
+ LD *AR2+0, 13, B ; 2372
+ LD *AR2+0, 14, A ; 2373
+ LD *AR2+0, 14, B ; 2374
+ LD *AR2+0, 15, A ; 2375
+ LD *AR2+0, 15, B ; 2376
+ LD *AR2+0B, A ; 2377
+ LD *AR2+0B, B ; 2378
+ LD *AR2+0B, -16, A ; 2379
+ LD *AR2+0B, -16, B ; 2380
+ LD *AR2+0B, -15, A ; 2381
+ LD *AR2+0B, -15, B ; 2382
+ LD *AR2+0B, -14, A ; 2383
+ LD *AR2+0B, -14, B ; 2384
+ LD *AR2+0B, -13, A ; 2385
+ LD *AR2+0B, -13, B ; 2386
+ LD *AR2+0B, -12, A ; 2387
+ LD *AR2+0B, -12, B ; 2388
+ LD *AR2+0B, -11, A ; 2389
+ LD *AR2+0B, -11, B ; 2390
+ LD *AR2+0B, -10, A ; 2391
+ LD *AR2+0B, -10, B ; 2392
+ LD *AR2+0B, -9, A ; 2393
+ LD *AR2+0B, -9, B ; 2394
+ LD *AR2+0B, -8, A ; 2395
+ LD *AR2+0B, -8, B ; 2396
+ LD *AR2+0B, -7, A ; 2397
+ LD *AR2+0B, -7, B ; 2398
+ LD *AR2+0B, -6, A ; 2399
+ LD *AR2+0B, -6, B ; 2400
+ LD *AR2+0B, -5, A ; 2401
+ LD *AR2+0B, -5, B ; 2402
+ LD *AR2+0B, -4, A ; 2403
+ LD *AR2+0B, -4, B ; 2404
+ LD *AR2+0B, -3, A ; 2405
+ LD *AR2+0B, -3, B ; 2406
+ LD *AR2+0B, -2, A ; 2407
+ LD *AR2+0B, -2, B ; 2408
+ LD *AR2+0B, -1, A ; 2409
+ LD *AR2+0B, -1, B ; 2410
+ LD *AR2+0B, 0, A ; 2411
+ LD *AR2+0B, 0, B ; 2412
+ LD *AR2+0B, 1, A ; 2413
+ LD *AR2+0B, 1, B ; 2414
+ LD *AR2+0B, 2, A ; 2415
+ LD *AR2+0B, 2, B ; 2416
+ LD *AR2+0B, 3, A ; 2417
+ LD *AR2+0B, 3, B ; 2418
+ LD *AR2+0B, 4, A ; 2419
+ LD *AR2+0B, 4, B ; 2420
+ LD *AR2+0B, 5, A ; 2421
+ LD *AR2+0B, 5, B ; 2422
+ LD *AR2+0B, 6, A ; 2423
+ LD *AR2+0B, 6, B ; 2424
+ LD *AR2+0B, 7, A ; 2425
+ LD *AR2+0B, 7, B ; 2426
+ LD *AR2+0B, 8, A ; 2427
+ LD *AR2+0B, 8, B ; 2428
+ LD *AR2+0B, 9, A ; 2429
+ LD *AR2+0B, 9, B ; 2430
+ LD *AR2+0B, 10, A ; 2431
+ LD *AR2+0B, 10, B ; 2432
+ LD *AR2+0B, 11, A ; 2433
+ LD *AR2+0B, 11, B ; 2434
+ LD *AR2+0B, 12, A ; 2435
+ LD *AR2+0B, 12, B ; 2436
+ LD *AR2+0B, 13, A ; 2437
+ LD *AR2+0B, 13, B ; 2438
+ LD *AR2+0B, 14, A ; 2439
+ LD *AR2+0B, 14, B ; 2440
+ LD *AR2+0B, 15, A ; 2441
+ LD *AR2+0B, 15, B ; 2442
+ LD *AR2-%, A ; 2443
+ LD *AR2-%, B ; 2444
+ LD *AR2-%, -16, A ; 2445
+ LD *AR2-%, -16, B ; 2446
+ LD *AR2-%, -15, A ; 2447
+ LD *AR2-%, -15, B ; 2448
+ LD *AR2-%, -14, A ; 2449
+ LD *AR2-%, -14, B ; 2450
+ LD *AR2-%, -13, A ; 2451
+ LD *AR2-%, -13, B ; 2452
+ LD *AR2-%, -12, A ; 2453
+ LD *AR2-%, -12, B ; 2454
+ LD *AR2-%, -11, A ; 2455
+ LD *AR2-%, -11, B ; 2456
+ LD *AR2-%, -10, A ; 2457
+ LD *AR2-%, -10, B ; 2458
+ LD *AR2-%, -9, A ; 2459
+ LD *AR2-%, -9, B ; 2460
+ LD *AR2-%, -8, A ; 2461
+ LD *AR2-%, -8, B ; 2462
+ LD *AR2-%, -7, A ; 2463
+ LD *AR2-%, -7, B ; 2464
+ LD *AR2-%, -6, A ; 2465
+ LD *AR2-%, -6, B ; 2466
+ LD *AR2-%, -5, A ; 2467
+ LD *AR2-%, -5, B ; 2468
+ LD *AR2-%, -4, A ; 2469
+ LD *AR2-%, -4, B ; 2470
+ LD *AR2-%, -3, A ; 2471
+ LD *AR2-%, -3, B ; 2472
+ LD *AR2-%, -2, A ; 2473
+ LD *AR2-%, -2, B ; 2474
+ LD *AR2-%, -1, A ; 2475
+ LD *AR2-%, -1, B ; 2476
+ LD *AR2-%, 0, A ; 2477
+ LD *AR2-%, 0, B ; 2478
+ LD *AR2-%, 1, A ; 2479
+ LD *AR2-%, 1, B ; 2480
+ LD *AR2-%, 2, A ; 2481
+ LD *AR2-%, 2, B ; 2482
+ LD *AR2-%, 3, A ; 2483
+ LD *AR2-%, 3, B ; 2484
+ LD *AR2-%, 4, A ; 2485
+ LD *AR2-%, 4, B ; 2486
+ LD *AR2-%, 5, A ; 2487
+ LD *AR2-%, 5, B ; 2488
+ LD *AR2-%, 6, A ; 2489
+ LD *AR2-%, 6, B ; 2490
+ LD *AR2-%, 7, A ; 2491
+ LD *AR2-%, 7, B ; 2492
+ LD *AR2-%, 8, A ; 2493
+ LD *AR2-%, 8, B ; 2494
+ LD *AR2-%, 9, A ; 2495
+ LD *AR2-%, 9, B ; 2496
+ LD *AR2-%, 10, A ; 2497
+ LD *AR2-%, 10, B ; 2498
+ LD *AR2-%, 11, A ; 2499
+ LD *AR2-%, 11, B ; 2500
+ LD *AR2-%, 12, A ; 2501
+ LD *AR2-%, 12, B ; 2502
+ LD *AR2-%, 13, A ; 2503
+ LD *AR2-%, 13, B ; 2504
+ LD *AR2-%, 14, A ; 2505
+ LD *AR2-%, 14, B ; 2506
+ LD *AR2-%, 15, A ; 2507
+ LD *AR2-%, 15, B ; 2508
+ LD *AR2-0%, A ; 2509
+ LD *AR2-0%, B ; 2510
+ LD *AR2-0%, -16, A ; 2511
+ LD *AR2-0%, -16, B ; 2512
+ LD *AR2-0%, -15, A ; 2513
+ LD *AR2-0%, -15, B ; 2514
+ LD *AR2-0%, -14, A ; 2515
+ LD *AR2-0%, -14, B ; 2516
+ LD *AR2-0%, -13, A ; 2517
+ LD *AR2-0%, -13, B ; 2518
+ LD *AR2-0%, -12, A ; 2519
+ LD *AR2-0%, -12, B ; 2520
+ LD *AR2-0%, -11, A ; 2521
+ LD *AR2-0%, -11, B ; 2522
+ LD *AR2-0%, -10, A ; 2523
+ LD *AR2-0%, -10, B ; 2524
+ LD *AR2-0%, -9, A ; 2525
+ LD *AR2-0%, -9, B ; 2526
+ LD *AR2-0%, -8, A ; 2527
+ LD *AR2-0%, -8, B ; 2528
+ LD *AR2-0%, -7, A ; 2529
+ LD *AR2-0%, -7, B ; 2530
+ LD *AR2-0%, -6, A ; 2531
+ LD *AR2-0%, -6, B ; 2532
+ LD *AR2-0%, -5, A ; 2533
+ LD *AR2-0%, -5, B ; 2534
+ LD *AR2-0%, -4, A ; 2535
+ LD *AR2-0%, -4, B ; 2536
+ LD *AR2-0%, -3, A ; 2537
+ LD *AR2-0%, -3, B ; 2538
+ LD *AR2-0%, -2, A ; 2539
+ LD *AR2-0%, -2, B ; 2540
+ LD *AR2-0%, -1, A ; 2541
+ LD *AR2-0%, -1, B ; 2542
+ LD *AR2-0%, 0, A ; 2543
+ LD *AR2-0%, 0, B ; 2544
+ LD *AR2-0%, 1, A ; 2545
+ LD *AR2-0%, 1, B ; 2546
+ LD *AR2-0%, 2, A ; 2547
+ LD *AR2-0%, 2, B ; 2548
+ LD *AR2-0%, 3, A ; 2549
+ LD *AR2-0%, 3, B ; 2550
+ LD *AR2-0%, 4, A ; 2551
+ LD *AR2-0%, 4, B ; 2552
+ LD *AR2-0%, 5, A ; 2553
+ LD *AR2-0%, 5, B ; 2554
+ LD *AR2-0%, 6, A ; 2555
+ LD *AR2-0%, 6, B ; 2556
+ LD *AR2-0%, 7, A ; 2557
+ LD *AR2-0%, 7, B ; 2558
+ LD *AR2-0%, 8, A ; 2559
+ LD *AR2-0%, 8, B ; 2560
+ LD *AR2-0%, 9, A ; 2561
+ LD *AR2-0%, 9, B ; 2562
+ LD *AR2-0%, 10, A ; 2563
+ LD *AR2-0%, 10, B ; 2564
+ LD *AR2-0%, 11, A ; 2565
+ LD *AR2-0%, 11, B ; 2566
+ LD *AR2-0%, 12, A ; 2567
+ LD *AR2-0%, 12, B ; 2568
+ LD *AR2-0%, 13, A ; 2569
+ LD *AR2-0%, 13, B ; 2570
+ LD *AR2-0%, 14, A ; 2571
+ LD *AR2-0%, 14, B ; 2572
+ LD *AR2-0%, 15, A ; 2573
+ LD *AR2-0%, 15, B ; 2574
+ LD *AR2+%, A ; 2575
+ LD *AR2+%, B ; 2576
+ LD *AR2+%, -16, A ; 2577
+ LD *AR2+%, -16, B ; 2578
+ LD *AR2+%, -15, A ; 2579
+ LD *AR2+%, -15, B ; 2580
+ LD *AR2+%, -14, A ; 2581
+ LD *AR2+%, -14, B ; 2582
+ LD *AR2+%, -13, A ; 2583
+ LD *AR2+%, -13, B ; 2584
+ LD *AR2+%, -12, A ; 2585
+ LD *AR2+%, -12, B ; 2586
+ LD *AR2+%, -11, A ; 2587
+ LD *AR2+%, -11, B ; 2588
+ LD *AR2+%, -10, A ; 2589
+ LD *AR2+%, -10, B ; 2590
+ LD *AR2+%, -9, A ; 2591
+ LD *AR2+%, -9, B ; 2592
+ LD *AR2+%, -8, A ; 2593
+ LD *AR2+%, -8, B ; 2594
+ LD *AR2+%, -7, A ; 2595
+ LD *AR2+%, -7, B ; 2596
+ LD *AR2+%, -6, A ; 2597
+ LD *AR2+%, -6, B ; 2598
+ LD *AR2+%, -5, A ; 2599
+ LD *AR2+%, -5, B ; 2600
+ LD *AR2+%, -4, A ; 2601
+ LD *AR2+%, -4, B ; 2602
+ LD *AR2+%, -3, A ; 2603
+ LD *AR2+%, -3, B ; 2604
+ LD *AR2+%, -2, A ; 2605
+ LD *AR2+%, -2, B ; 2606
+ LD *AR2+%, -1, A ; 2607
+ LD *AR2+%, -1, B ; 2608
+ LD *AR2+%, 0, A ; 2609
+ LD *AR2+%, 0, B ; 2610
+ LD *AR2+%, 1, A ; 2611
+ LD *AR2+%, 1, B ; 2612
+ LD *AR2+%, 2, A ; 2613
+ LD *AR2+%, 2, B ; 2614
+ LD *AR2+%, 3, A ; 2615
+ LD *AR2+%, 3, B ; 2616
+ LD *AR2+%, 4, A ; 2617
+ LD *AR2+%, 4, B ; 2618
+ LD *AR2+%, 5, A ; 2619
+ LD *AR2+%, 5, B ; 2620
+ LD *AR2+%, 6, A ; 2621
+ LD *AR2+%, 6, B ; 2622
+ LD *AR2+%, 7, A ; 2623
+ LD *AR2+%, 7, B ; 2624
+ LD *AR2+%, 8, A ; 2625
+ LD *AR2+%, 8, B ; 2626
+ LD *AR2+%, 9, A ; 2627
+ LD *AR2+%, 9, B ; 2628
+ LD *AR2+%, 10, A ; 2629
+ LD *AR2+%, 10, B ; 2630
+ LD *AR2+%, 11, A ; 2631
+ LD *AR2+%, 11, B ; 2632
+ LD *AR2+%, 12, A ; 2633
+ LD *AR2+%, 12, B ; 2634
+ LD *AR2+%, 13, A ; 2635
+ LD *AR2+%, 13, B ; 2636
+ LD *AR2+%, 14, A ; 2637
+ LD *AR2+%, 14, B ; 2638
+ LD *AR2+%, 15, A ; 2639
+ LD *AR2+%, 15, B ; 2640
+ LD *AR2+0%, A ; 2641
+ LD *AR2+0%, B ; 2642
+ LD *AR2+0%, -16, A ; 2643
+ LD *AR2+0%, -16, B ; 2644
+ LD *AR2+0%, -15, A ; 2645
+ LD *AR2+0%, -15, B ; 2646
+ LD *AR2+0%, -14, A ; 2647
+ LD *AR2+0%, -14, B ; 2648
+ LD *AR2+0%, -13, A ; 2649
+ LD *AR2+0%, -13, B ; 2650
+ LD *AR2+0%, -12, A ; 2651
+ LD *AR2+0%, -12, B ; 2652
+ LD *AR2+0%, -11, A ; 2653
+ LD *AR2+0%, -11, B ; 2654
+ LD *AR2+0%, -10, A ; 2655
+ LD *AR2+0%, -10, B ; 2656
+ LD *AR2+0%, -9, A ; 2657
+ LD *AR2+0%, -9, B ; 2658
+ LD *AR2+0%, -8, A ; 2659
+ LD *AR2+0%, -8, B ; 2660
+ LD *AR2+0%, -7, A ; 2661
+ LD *AR2+0%, -7, B ; 2662
+ LD *AR2+0%, -6, A ; 2663
+ LD *AR2+0%, -6, B ; 2664
+ LD *AR2+0%, -5, A ; 2665
+ LD *AR2+0%, -5, B ; 2666
+ LD *AR2+0%, -4, A ; 2667
+ LD *AR2+0%, -4, B ; 2668
+ LD *AR2+0%, -3, A ; 2669
+ LD *AR2+0%, -3, B ; 2670
+ LD *AR2+0%, -2, A ; 2671
+ LD *AR2+0%, -2, B ; 2672
+ LD *AR2+0%, -1, A ; 2673
+ LD *AR2+0%, -1, B ; 2674
+ LD *AR2+0%, 0, A ; 2675
+ LD *AR2+0%, 0, B ; 2676
+ LD *AR2+0%, 1, A ; 2677
+ LD *AR2+0%, 1, B ; 2678
+ LD *AR2+0%, 2, A ; 2679
+ LD *AR2+0%, 2, B ; 2680
+ LD *AR2+0%, 3, A ; 2681
+ LD *AR2+0%, 3, B ; 2682
+ LD *AR2+0%, 4, A ; 2683
+ LD *AR2+0%, 4, B ; 2684
+ LD *AR2+0%, 5, A ; 2685
+ LD *AR2+0%, 5, B ; 2686
+ LD *AR2+0%, 6, A ; 2687
+ LD *AR2+0%, 6, B ; 2688
+ LD *AR2+0%, 7, A ; 2689
+ LD *AR2+0%, 7, B ; 2690
+ LD *AR2+0%, 8, A ; 2691
+ LD *AR2+0%, 8, B ; 2692
+ LD *AR2+0%, 9, A ; 2693
+ LD *AR2+0%, 9, B ; 2694
+ LD *AR2+0%, 10, A ; 2695
+ LD *AR2+0%, 10, B ; 2696
+ LD *AR2+0%, 11, A ; 2697
+ LD *AR2+0%, 11, B ; 2698
+ LD *AR2+0%, 12, A ; 2699
+ LD *AR2+0%, 12, B ; 2700
+ LD *AR2+0%, 13, A ; 2701
+ LD *AR2+0%, 13, B ; 2702
+ LD *AR2+0%, 14, A ; 2703
+ LD *AR2+0%, 14, B ; 2704
+ LD *AR2+0%, 15, A ; 2705
+ LD *AR2+0%, 15, B ; 2706
+ LD *AR2(02470h), A ; 2707
+ LD *AR2(02471h), B ; 2708
+ LD *AR2(02472h), -16, A ; 2709
+ LD *AR2(02473h), -16, B ; 2710
+ LD *AR2(02474h), -15, A ; 2711
+ LD *AR2(02475h), -15, B ; 2712
+ LD *AR2(02476h), -14, A ; 2713
+ LD *AR2(02477h), -14, B ; 2714
+ LD *AR2(02478h), -13, A ; 2715
+ LD *AR2(02479h), -13, B ; 2716
+ LD *AR2(0247Ah), -12, A ; 2717
+ LD *AR2(0247Bh), -12, B ; 2718
+ LD *AR2(0247Ch), -11, A ; 2719
+ LD *AR2(0247Dh), -11, B ; 2720
+ LD *AR2(0247Eh), -10, A ; 2721
+ LD *AR2(0247Fh), -10, B ; 2722
+ LD *AR2(02480h), -9, A ; 2723
+ LD *AR2(02481h), -9, B ; 2724
+ LD *AR2(02482h), -8, A ; 2725
+ LD *AR2(02483h), -8, B ; 2726
+ LD *AR2(02484h), -7, A ; 2727
+ LD *AR2(02485h), -7, B ; 2728
+ LD *AR2(02486h), -6, A ; 2729
+ LD *AR2(02487h), -6, B ; 2730
+ LD *AR2(02488h), -5, A ; 2731
+ LD *AR2(02489h), -5, B ; 2732
+ LD *AR2(0248Ah), -4, A ; 2733
+ LD *AR2(0248Bh), -4, B ; 2734
+ LD *AR2(0248Ch), -3, A ; 2735
+ LD *AR2(0248Dh), -3, B ; 2736
+ LD *AR2(0248Eh), -2, A ; 2737
+ LD *AR2(0248Fh), -2, B ; 2738
+ LD *AR2(02490h), -1, A ; 2739
+ LD *AR2(02491h), -1, B ; 2740
+ LD *AR2(02492h), 0, A ; 2741
+ LD *AR2(02493h), 0, B ; 2742
+ LD *AR2(02494h), 1, A ; 2743
+ LD *AR2(02495h), 1, B ; 2744
+ LD *AR2(02496h), 2, A ; 2745
+ LD *AR2(02497h), 2, B ; 2746
+ LD *AR2(02498h), 3, A ; 2747
+ LD *AR2(02499h), 3, B ; 2748
+ LD *AR2(0249Ah), 4, A ; 2749
+ LD *AR2(0249Bh), 4, B ; 2750
+ LD *AR2(0249Ch), 5, A ; 2751
+ LD *AR2(0249Dh), 5, B ; 2752
+ LD *AR2(0249Eh), 6, A ; 2753
+ LD *AR2(0249Fh), 6, B ; 2754
+ LD *AR2(024A0h), 7, A ; 2755
+ LD *AR2(024A1h), 7, B ; 2756
+ LD *AR2(024A2h), 8, A ; 2757
+ LD *AR2(024A3h), 8, B ; 2758
+ LD *AR2(024A4h), 9, A ; 2759
+ LD *AR2(024A5h), 9, B ; 2760
+ LD *AR2(024A6h), 10, A ; 2761
+ LD *AR2(024A7h), 10, B ; 2762
+ LD *AR2(024A8h), 11, A ; 2763
+ LD *AR2(024A9h), 11, B ; 2764
+ LD *AR2(024AAh), 12, A ; 2765
+ LD *AR2(024ABh), 12, B ; 2766
+ LD *AR2(024ACh), 13, A ; 2767
+ LD *AR2(024ADh), 13, B ; 2768
+ LD *AR2(024AEh), 14, A ; 2769
+ LD *AR2(024AFh), 14, B ; 2770
+ LD *AR2(024B0h), 15, A ; 2771
+ LD *AR2(024B1h), 15, B ; 2772
+ LD *+AR2(024B2h), A ; 2773
+ LD *+AR2(024B3h), B ; 2774
+ LD *+AR2(024B4h), -16, A ; 2775
+ LD *+AR2(024B5h), -16, B ; 2776
+ LD *+AR2(024B6h), -15, A ; 2777
+ LD *+AR2(024B7h), -15, B ; 2778
+ LD *+AR2(024B8h), -14, A ; 2779
+ LD *+AR2(024B9h), -14, B ; 2780
+ LD *+AR2(024BAh), -13, A ; 2781
+ LD *+AR2(024BBh), -13, B ; 2782
+ LD *+AR2(024BCh), -12, A ; 2783
+ LD *+AR2(024BDh), -12, B ; 2784
+ LD *+AR2(024BEh), -11, A ; 2785
+ LD *+AR2(024BFh), -11, B ; 2786
+ LD *+AR2(024C0h), -10, A ; 2787
+ LD *+AR2(024C1h), -10, B ; 2788
+ LD *+AR2(024C2h), -9, A ; 2789
+ LD *+AR2(024C3h), -9, B ; 2790
+ LD *+AR2(024C4h), -8, A ; 2791
+ LD *+AR2(024C5h), -8, B ; 2792
+ LD *+AR2(024C6h), -7, A ; 2793
+ LD *+AR2(024C7h), -7, B ; 2794
+ LD *+AR2(024C8h), -6, A ; 2795
+ LD *+AR2(024C9h), -6, B ; 2796
+ LD *+AR2(024CAh), -5, A ; 2797
+ LD *+AR2(024CBh), -5, B ; 2798
+ LD *+AR2(024CCh), -4, A ; 2799
+ LD *+AR2(024CDh), -4, B ; 2800
+ LD *+AR2(024CEh), -3, A ; 2801
+ LD *+AR2(024CFh), -3, B ; 2802
+ LD *+AR2(024D0h), -2, A ; 2803
+ LD *+AR2(024D1h), -2, B ; 2804
+ LD *+AR2(024D2h), -1, A ; 2805
+ LD *+AR2(024D3h), -1, B ; 2806
+ LD *+AR2(024D4h), 0, A ; 2807
+ LD *+AR2(024D5h), 0, B ; 2808
+ LD *+AR2(024D6h), 1, A ; 2809
+ LD *+AR2(024D7h), 1, B ; 2810
+ LD *+AR2(024D8h), 2, A ; 2811
+ LD *+AR2(024D9h), 2, B ; 2812
+ LD *+AR2(024DAh), 3, A ; 2813
+ LD *+AR2(024DBh), 3, B ; 2814
+ LD *+AR2(024DCh), 4, A ; 2815
+ LD *+AR2(024DDh), 4, B ; 2816
+ LD *+AR2(024DEh), 5, A ; 2817
+ LD *+AR2(024DFh), 5, B ; 2818
+ LD *+AR2(024E0h), 6, A ; 2819
+ LD *+AR2(024E1h), 6, B ; 2820
+ LD *+AR2(024E2h), 7, A ; 2821
+ LD *+AR2(024E3h), 7, B ; 2822
+ LD *+AR2(024E4h), 8, A ; 2823
+ LD *+AR2(024E5h), 8, B ; 2824
+ LD *+AR2(024E6h), 9, A ; 2825
+ LD *+AR2(024E7h), 9, B ; 2826
+ LD *+AR2(024E8h), 10, A ; 2827
+ LD *+AR2(024E9h), 10, B ; 2828
+ LD *+AR2(024EAh), 11, A ; 2829
+ LD *+AR2(024EBh), 11, B ; 2830
+ LD *+AR2(024ECh), 12, A ; 2831
+ LD *+AR2(024EDh), 12, B ; 2832
+ LD *+AR2(024EEh), 13, A ; 2833
+ LD *+AR2(024EFh), 13, B ; 2834
+ LD *+AR2(024F0h), 14, A ; 2835
+ LD *+AR2(024F1h), 14, B ; 2836
+ LD *+AR2(024F2h), 15, A ; 2837
+ LD *+AR2(024F3h), 15, B ; 2838
+ LD *+AR2(024F4h)%, A ; 2839
+ LD *+AR2(024F5h)%, B ; 2840
+ LD *+AR2(024F6h)%, -16, A ; 2841
+ LD *+AR2(024F7h)%, -16, B ; 2842
+ LD *+AR2(024F8h)%, -15, A ; 2843
+ LD *+AR2(024F9h)%, -15, B ; 2844
+ LD *+AR2(024FAh)%, -14, A ; 2845
+ LD *+AR2(024FBh)%, -14, B ; 2846
+ LD *+AR2(024FCh)%, -13, A ; 2847
+ LD *+AR2(024FDh)%, -13, B ; 2848
+ LD *+AR2(024FEh)%, -12, A ; 2849
+ LD *+AR2(024FFh)%, -12, B ; 2850
+ LD *+AR2(02500h)%, -11, A ; 2851
+ LD *+AR2(02501h)%, -11, B ; 2852
+ LD *+AR2(02502h)%, -10, A ; 2853
+ LD *+AR2(02503h)%, -10, B ; 2854
+ LD *+AR2(02504h)%, -9, A ; 2855
+ LD *+AR2(02505h)%, -9, B ; 2856
+ LD *+AR2(02506h)%, -8, A ; 2857
+ LD *+AR2(02507h)%, -8, B ; 2858
+ LD *+AR2(02508h)%, -7, A ; 2859
+ LD *+AR2(02509h)%, -7, B ; 2860
+ LD *+AR2(0250Ah)%, -6, A ; 2861
+ LD *+AR2(0250Bh)%, -6, B ; 2862
+ LD *+AR2(0250Ch)%, -5, A ; 2863
+ LD *+AR2(0250Dh)%, -5, B ; 2864
+ LD *+AR2(0250Eh)%, -4, A ; 2865
+ LD *+AR2(0250Fh)%, -4, B ; 2866
+ LD *+AR2(02510h)%, -3, A ; 2867
+ LD *+AR2(02511h)%, -3, B ; 2868
+ LD *+AR2(02512h)%, -2, A ; 2869
+ LD *+AR2(02513h)%, -2, B ; 2870
+ LD *+AR2(02514h)%, -1, A ; 2871
+ LD *+AR2(02515h)%, -1, B ; 2872
+ LD *+AR2(02516h)%, 0, A ; 2873
+ LD *+AR2(02517h)%, 0, B ; 2874
+ LD *+AR2(02518h)%, 1, A ; 2875
+ LD *+AR2(02519h)%, 1, B ; 2876
+ LD *+AR2(0251Ah)%, 2, A ; 2877
+ LD *+AR2(0251Bh)%, 2, B ; 2878
+ LD *+AR2(0251Ch)%, 3, A ; 2879
+ LD *+AR2(0251Dh)%, 3, B ; 2880
+ LD *+AR2(0251Eh)%, 4, A ; 2881
+ LD *+AR2(0251Fh)%, 4, B ; 2882
+ LD *+AR2(02520h)%, 5, A ; 2883
+ LD *+AR2(02521h)%, 5, B ; 2884
+ LD *+AR2(02522h)%, 6, A ; 2885
+ LD *+AR2(02523h)%, 6, B ; 2886
+ LD *+AR2(02524h)%, 7, A ; 2887
+ LD *+AR2(02525h)%, 7, B ; 2888
+ LD *+AR2(02526h)%, 8, A ; 2889
+ LD *+AR2(02527h)%, 8, B ; 2890
+ LD *+AR2(02528h)%, 9, A ; 2891
+ LD *+AR2(02529h)%, 9, B ; 2892
+ LD *+AR2(0252Ah)%, 10, A ; 2893
+ LD *+AR2(0252Bh)%, 10, B ; 2894
+ LD *+AR2(0252Ch)%, 11, A ; 2895
+ LD *+AR2(0252Dh)%, 11, B ; 2896
+ LD *+AR2(0252Eh)%, 12, A ; 2897
+ LD *+AR2(0252Fh)%, 12, B ; 2898
+ LD *+AR2(02530h)%, 13, A ; 2899
+ LD *+AR2(02531h)%, 13, B ; 2900
+ LD *+AR2(02532h)%, 14, A ; 2901
+ LD *+AR2(02533h)%, 14, B ; 2902
+ LD *+AR2(02534h)%, 15, A ; 2903
+ LD *+AR2(02535h)%, 15, B ; 2904
+ LD *(02536h), A ; 2905
+ LD *(02537h), B ; 2906
+ LD *(02538h), -16, A ; 2907
+ LD *(02539h), -16, B ; 2908
+ LD *(0253Ah), -15, A ; 2909
+ LD *(0253Bh), -15, B ; 2910
+ LD *(0253Ch), -14, A ; 2911
+ LD *(0253Dh), -14, B ; 2912
+ LD *(0253Eh), -13, A ; 2913
+ LD *(0253Fh), -13, B ; 2914
+ LD *(02540h), -12, A ; 2915
+ LD *(02541h), -12, B ; 2916
+ LD *(02542h), -11, A ; 2917
+ LD *(02543h), -11, B ; 2918
+ LD *(02544h), -10, A ; 2919
+ LD *(02545h), -10, B ; 2920
+ LD *(02546h), -9, A ; 2921
+ LD *(02547h), -9, B ; 2922
+ LD *(02548h), -8, A ; 2923
+ LD *(02549h), -8, B ; 2924
+ LD *(0254Ah), -7, A ; 2925
+ LD *(0254Bh), -7, B ; 2926
+ LD *(0254Ch), -6, A ; 2927
+ LD *(0254Dh), -6, B ; 2928
+ LD *(0254Eh), -5, A ; 2929
+ LD *(0254Fh), -5, B ; 2930
+ LD *(02550h), -4, A ; 2931
+ LD *(02551h), -4, B ; 2932
+ LD *(02552h), -3, A ; 2933
+ LD *(02553h), -3, B ; 2934
+ LD *(02554h), -2, A ; 2935
+ LD *(02555h), -2, B ; 2936
+ LD *(02556h), -1, A ; 2937
+ LD *(02557h), -1, B ; 2938
+ LD *(02558h), 0, A ; 2939
+ LD *(02559h), 0, B ; 2940
+ LD *(0255Ah), 1, A ; 2941
+ LD *(0255Bh), 1, B ; 2942
+ LD *(0255Ch), 2, A ; 2943
+ LD *(0255Dh), 2, B ; 2944
+ LD *(0255Eh), 3, A ; 2945
+ LD *(0255Fh), 3, B ; 2946
+ LD *(02560h), 4, A ; 2947
+ LD *(02561h), 4, B ; 2948
+ LD *(02562h), 5, A ; 2949
+ LD *(02563h), 5, B ; 2950
+ LD *(02564h), 6, A ; 2951
+ LD *(02565h), 6, B ; 2952
+ LD *(02566h), 7, A ; 2953
+ LD *(02567h), 7, B ; 2954
+ LD *(02568h), 8, A ; 2955
+ LD *(02569h), 8, B ; 2956
+ LD *(0256Ah), 9, A ; 2957
+ LD *(0256Bh), 9, B ; 2958
+ LD *(0256Ch), 10, A ; 2959
+ LD *(0256Dh), 10, B ; 2960
+ LD *(0256Eh), 11, A ; 2961
+ LD *(0256Fh), 11, B ; 2962
+ LD *(02570h), 12, A ; 2963
+ LD *(02571h), 12, B ; 2964
+ LD *(02572h), 13, A ; 2965
+ LD *(02573h), 13, B ; 2966
+ LD *(02574h), 14, A ; 2967
+ LD *(02575h), 14, B ; 2968
+ LD *(02576h), 15, A ; 2969
+ LD *(02577h), 15, B ; 2970
+ LD *AR3, A ; 2971
+ LD *AR3, B ; 2972
+ LD *AR3, -16, A ; 2973
+ LD *AR3, -16, B ; 2974
+ LD *AR3, -15, A ; 2975
+ LD *AR3, -15, B ; 2976
+ LD *AR3, -14, A ; 2977
+ LD *AR3, -14, B ; 2978
+ LD *AR3, -13, A ; 2979
+ LD *AR3, -13, B ; 2980
+ LD *AR3, -12, A ; 2981
+ LD *AR3, -12, B ; 2982
+ LD *AR3, -11, A ; 2983
+ LD *AR3, -11, B ; 2984
+ LD *AR3, -10, A ; 2985
+ LD *AR3, -10, B ; 2986
+ LD *AR3, -9, A ; 2987
+ LD *AR3, -9, B ; 2988
+ LD *AR3, -8, A ; 2989
+ LD *AR3, -8, B ; 2990
+ LD *AR3, -7, A ; 2991
+ LD *AR3, -7, B ; 2992
+ LD *AR3, -6, A ; 2993
+ LD *AR3, -6, B ; 2994
+ LD *AR3, -5, A ; 2995
+ LD *AR3, -5, B ; 2996
+ LD *AR3, -4, A ; 2997
+ LD *AR3, -4, B ; 2998
+ LD *AR3, -3, A ; 2999
+ LD *AR3, -3, B ; 3000
+ LD *AR3, -2, A ; 3001
+ LD *AR3, -2, B ; 3002
+ LD *AR3, -1, A ; 3003
+ LD *AR3, -1, B ; 3004
+ LD *AR3, 0, A ; 3005
+ LD *AR3, 0, B ; 3006
+ LD *AR3, 1, A ; 3007
+ LD *AR3, 1, B ; 3008
+ LD *AR3, 2, A ; 3009
+ LD *AR3, 2, B ; 3010
+ LD *AR3, 3, A ; 3011
+ LD *AR3, 3, B ; 3012
+ LD *AR3, 4, A ; 3013
+ LD *AR3, 4, B ; 3014
+ LD *AR3, 5, A ; 3015
+ LD *AR3, 5, B ; 3016
+ LD *AR3, 6, A ; 3017
+ LD *AR3, 6, B ; 3018
+ LD *AR3, 7, A ; 3019
+ LD *AR3, 7, B ; 3020
+ LD *AR3, 8, A ; 3021
+ LD *AR3, 8, B ; 3022
+ LD *AR3, 9, A ; 3023
+ LD *AR3, 9, B ; 3024
+ LD *AR3, 10, A ; 3025
+ LD *AR3, 10, B ; 3026
+ LD *AR3, 11, A ; 3027
+ LD *AR3, 11, B ; 3028
+ LD *AR3, 12, A ; 3029
+ LD *AR3, 12, B ; 3030
+ LD *AR3, 13, A ; 3031
+ LD *AR3, 13, B ; 3032
+ LD *AR3, 14, A ; 3033
+ LD *AR3, 14, B ; 3034
+ LD *AR3, 15, A ; 3035
+ LD *AR3, 15, B ; 3036
+ LD *AR3-, A ; 3037
+ LD *AR3-, B ; 3038
+ LD *AR3-, -16, A ; 3039
+ LD *AR3-, -16, B ; 3040
+ LD *AR3-, -15, A ; 3041
+ LD *AR3-, -15, B ; 3042
+ LD *AR3-, -14, A ; 3043
+ LD *AR3-, -14, B ; 3044
+ LD *AR3-, -13, A ; 3045
+ LD *AR3-, -13, B ; 3046
+ LD *AR3-, -12, A ; 3047
+ LD *AR3-, -12, B ; 3048
+ LD *AR3-, -11, A ; 3049
+ LD *AR3-, -11, B ; 3050
+ LD *AR3-, -10, A ; 3051
+ LD *AR3-, -10, B ; 3052
+ LD *AR3-, -9, A ; 3053
+ LD *AR3-, -9, B ; 3054
+ LD *AR3-, -8, A ; 3055
+ LD *AR3-, -8, B ; 3056
+ LD *AR3-, -7, A ; 3057
+ LD *AR3-, -7, B ; 3058
+ LD *AR3-, -6, A ; 3059
+ LD *AR3-, -6, B ; 3060
+ LD *AR3-, -5, A ; 3061
+ LD *AR3-, -5, B ; 3062
+ LD *AR3-, -4, A ; 3063
+ LD *AR3-, -4, B ; 3064
+ LD *AR3-, -3, A ; 3065
+ LD *AR3-, -3, B ; 3066
+ LD *AR3-, -2, A ; 3067
+ LD *AR3-, -2, B ; 3068
+ LD *AR3-, -1, A ; 3069
+ LD *AR3-, -1, B ; 3070
+ LD *AR3-, 0, A ; 3071
+ LD *AR3-, 0, B ; 3072
+ LD *AR3-, 1, A ; 3073
+ LD *AR3-, 1, B ; 3074
+ LD *AR3-, 2, A ; 3075
+ LD *AR3-, 2, B ; 3076
+ LD *AR3-, 3, A ; 3077
+ LD *AR3-, 3, B ; 3078
+ LD *AR3-, 4, A ; 3079
+ LD *AR3-, 4, B ; 3080
+ LD *AR3-, 5, A ; 3081
+ LD *AR3-, 5, B ; 3082
+ LD *AR3-, 6, A ; 3083
+ LD *AR3-, 6, B ; 3084
+ LD *AR3-, 7, A ; 3085
+ LD *AR3-, 7, B ; 3086
+ LD *AR3-, 8, A ; 3087
+ LD *AR3-, 8, B ; 3088
+ LD *AR3-, 9, A ; 3089
+ LD *AR3-, 9, B ; 3090
+ LD *AR3-, 10, A ; 3091
+ LD *AR3-, 10, B ; 3092
+ LD *AR3-, 11, A ; 3093
+ LD *AR3-, 11, B ; 3094
+ LD *AR3-, 12, A ; 3095
+ LD *AR3-, 12, B ; 3096
+ LD *AR3-, 13, A ; 3097
+ LD *AR3-, 13, B ; 3098
+ LD *AR3-, 14, A ; 3099
+ LD *AR3-, 14, B ; 3100
+ LD *AR3-, 15, A ; 3101
+ LD *AR3-, 15, B ; 3102
+ LD *AR3+, A ; 3103
+ LD *AR3+, B ; 3104
+ LD *AR3+, -16, A ; 3105
+ LD *AR3+, -16, B ; 3106
+ LD *AR3+, -15, A ; 3107
+ LD *AR3+, -15, B ; 3108
+ LD *AR3+, -14, A ; 3109
+ LD *AR3+, -14, B ; 3110
+ LD *AR3+, -13, A ; 3111
+ LD *AR3+, -13, B ; 3112
+ LD *AR3+, -12, A ; 3113
+ LD *AR3+, -12, B ; 3114
+ LD *AR3+, -11, A ; 3115
+ LD *AR3+, -11, B ; 3116
+ LD *AR3+, -10, A ; 3117
+ LD *AR3+, -10, B ; 3118
+ LD *AR3+, -9, A ; 3119
+ LD *AR3+, -9, B ; 3120
+ LD *AR3+, -8, A ; 3121
+ LD *AR3+, -8, B ; 3122
+ LD *AR3+, -7, A ; 3123
+ LD *AR3+, -7, B ; 3124
+ LD *AR3+, -6, A ; 3125
+ LD *AR3+, -6, B ; 3126
+ LD *AR3+, -5, A ; 3127
+ LD *AR3+, -5, B ; 3128
+ LD *AR3+, -4, A ; 3129
+ LD *AR3+, -4, B ; 3130
+ LD *AR3+, -3, A ; 3131
+ LD *AR3+, -3, B ; 3132
+ LD *AR3+, -2, A ; 3133
+ LD *AR3+, -2, B ; 3134
+ LD *AR3+, -1, A ; 3135
+ LD *AR3+, -1, B ; 3136
+ LD *AR3+, 0, A ; 3137
+ LD *AR3+, 0, B ; 3138
+ LD *AR3+, 1, A ; 3139
+ LD *AR3+, 1, B ; 3140
+ LD *AR3+, 2, A ; 3141
+ LD *AR3+, 2, B ; 3142
+ LD *AR3+, 3, A ; 3143
+ LD *AR3+, 3, B ; 3144
+ LD *AR3+, 4, A ; 3145
+ LD *AR3+, 4, B ; 3146
+ LD *AR3+, 5, A ; 3147
+ LD *AR3+, 5, B ; 3148
+ LD *AR3+, 6, A ; 3149
+ LD *AR3+, 6, B ; 3150
+ LD *AR3+, 7, A ; 3151
+ LD *AR3+, 7, B ; 3152
+ LD *AR3+, 8, A ; 3153
+ LD *AR3+, 8, B ; 3154
+ LD *AR3+, 9, A ; 3155
+ LD *AR3+, 9, B ; 3156
+ LD *AR3+, 10, A ; 3157
+ LD *AR3+, 10, B ; 3158
+ LD *AR3+, 11, A ; 3159
+ LD *AR3+, 11, B ; 3160
+ LD *AR3+, 12, A ; 3161
+ LD *AR3+, 12, B ; 3162
+ LD *AR3+, 13, A ; 3163
+ LD *AR3+, 13, B ; 3164
+ LD *AR3+, 14, A ; 3165
+ LD *AR3+, 14, B ; 3166
+ LD *AR3+, 15, A ; 3167
+ LD *AR3+, 15, B ; 3168
+ LD *AR3-0B, A ; 3169
+ LD *AR3-0B, B ; 3170
+ LD *AR3-0B, -16, A ; 3171
+ LD *AR3-0B, -16, B ; 3172
+ LD *AR3-0B, -15, A ; 3173
+ LD *AR3-0B, -15, B ; 3174
+ LD *AR3-0B, -14, A ; 3175
+ LD *AR3-0B, -14, B ; 3176
+ LD *AR3-0B, -13, A ; 3177
+ LD *AR3-0B, -13, B ; 3178
+ LD *AR3-0B, -12, A ; 3179
+ LD *AR3-0B, -12, B ; 3180
+ LD *AR3-0B, -11, A ; 3181
+ LD *AR3-0B, -11, B ; 3182
+ LD *AR3-0B, -10, A ; 3183
+ LD *AR3-0B, -10, B ; 3184
+ LD *AR3-0B, -9, A ; 3185
+ LD *AR3-0B, -9, B ; 3186
+ LD *AR3-0B, -8, A ; 3187
+ LD *AR3-0B, -8, B ; 3188
+ LD *AR3-0B, -7, A ; 3189
+ LD *AR3-0B, -7, B ; 3190
+ LD *AR3-0B, -6, A ; 3191
+ LD *AR3-0B, -6, B ; 3192
+ LD *AR3-0B, -5, A ; 3193
+ LD *AR3-0B, -5, B ; 3194
+ LD *AR3-0B, -4, A ; 3195
+ LD *AR3-0B, -4, B ; 3196
+ LD *AR3-0B, -3, A ; 3197
+ LD *AR3-0B, -3, B ; 3198
+ LD *AR3-0B, -2, A ; 3199
+ LD *AR3-0B, -2, B ; 3200
+ LD *AR3-0B, -1, A ; 3201
+ LD *AR3-0B, -1, B ; 3202
+ LD *AR3-0B, 0, A ; 3203
+ LD *AR3-0B, 0, B ; 3204
+ LD *AR3-0B, 1, A ; 3205
+ LD *AR3-0B, 1, B ; 3206
+ LD *AR3-0B, 2, A ; 3207
+ LD *AR3-0B, 2, B ; 3208
+ LD *AR3-0B, 3, A ; 3209
+ LD *AR3-0B, 3, B ; 3210
+ LD *AR3-0B, 4, A ; 3211
+ LD *AR3-0B, 4, B ; 3212
+ LD *AR3-0B, 5, A ; 3213
+ LD *AR3-0B, 5, B ; 3214
+ LD *AR3-0B, 6, A ; 3215
+ LD *AR3-0B, 6, B ; 3216
+ LD *AR3-0B, 7, A ; 3217
+ LD *AR3-0B, 7, B ; 3218
+ LD *AR3-0B, 8, A ; 3219
+ LD *AR3-0B, 8, B ; 3220
+ LD *AR3-0B, 9, A ; 3221
+ LD *AR3-0B, 9, B ; 3222
+ LD *AR3-0B, 10, A ; 3223
+ LD *AR3-0B, 10, B ; 3224
+ LD *AR3-0B, 11, A ; 3225
+ LD *AR3-0B, 11, B ; 3226
+ LD *AR3-0B, 12, A ; 3227
+ LD *AR3-0B, 12, B ; 3228
+ LD *AR3-0B, 13, A ; 3229
+ LD *AR3-0B, 13, B ; 3230
+ LD *AR3-0B, 14, A ; 3231
+ LD *AR3-0B, 14, B ; 3232
+ LD *AR3-0B, 15, A ; 3233
+ LD *AR3-0B, 15, B ; 3234
+ LD *AR3-0, A ; 3235
+ LD *AR3-0, B ; 3236
+ LD *AR3-0, -16, A ; 3237
+ LD *AR3-0, -16, B ; 3238
+ LD *AR3-0, -15, A ; 3239
+ LD *AR3-0, -15, B ; 3240
+ LD *AR3-0, -14, A ; 3241
+ LD *AR3-0, -14, B ; 3242
+ LD *AR3-0, -13, A ; 3243
+ LD *AR3-0, -13, B ; 3244
+ LD *AR3-0, -12, A ; 3245
+ LD *AR3-0, -12, B ; 3246
+ LD *AR3-0, -11, A ; 3247
+ LD *AR3-0, -11, B ; 3248
+ LD *AR3-0, -10, A ; 3249
+ LD *AR3-0, -10, B ; 3250
+ LD *AR3-0, -9, A ; 3251
+ LD *AR3-0, -9, B ; 3252
+ LD *AR3-0, -8, A ; 3253
+ LD *AR3-0, -8, B ; 3254
+ LD *AR3-0, -7, A ; 3255
+ LD *AR3-0, -7, B ; 3256
+ LD *AR3-0, -6, A ; 3257
+ LD *AR3-0, -6, B ; 3258
+ LD *AR3-0, -5, A ; 3259
+ LD *AR3-0, -5, B ; 3260
+ LD *AR3-0, -4, A ; 3261
+ LD *AR3-0, -4, B ; 3262
+ LD *AR3-0, -3, A ; 3263
+ LD *AR3-0, -3, B ; 3264
+ LD *AR3-0, -2, A ; 3265
+ LD *AR3-0, -2, B ; 3266
+ LD *AR3-0, -1, A ; 3267
+ LD *AR3-0, -1, B ; 3268
+ LD *AR3-0, 0, A ; 3269
+ LD *AR3-0, 0, B ; 3270
+ LD *AR3-0, 1, A ; 3271
+ LD *AR3-0, 1, B ; 3272
+ LD *AR3-0, 2, A ; 3273
+ LD *AR3-0, 2, B ; 3274
+ LD *AR3-0, 3, A ; 3275
+ LD *AR3-0, 3, B ; 3276
+ LD *AR3-0, 4, A ; 3277
+ LD *AR3-0, 4, B ; 3278
+ LD *AR3-0, 5, A ; 3279
+ LD *AR3-0, 5, B ; 3280
+ LD *AR3-0, 6, A ; 3281
+ LD *AR3-0, 6, B ; 3282
+ LD *AR3-0, 7, A ; 3283
+ LD *AR3-0, 7, B ; 3284
+ LD *AR3-0, 8, A ; 3285
+ LD *AR3-0, 8, B ; 3286
+ LD *AR3-0, 9, A ; 3287
+ LD *AR3-0, 9, B ; 3288
+ LD *AR3-0, 10, A ; 3289
+ LD *AR3-0, 10, B ; 3290
+ LD *AR3-0, 11, A ; 3291
+ LD *AR3-0, 11, B ; 3292
+ LD *AR3-0, 12, A ; 3293
+ LD *AR3-0, 12, B ; 3294
+ LD *AR3-0, 13, A ; 3295
+ LD *AR3-0, 13, B ; 3296
+ LD *AR3-0, 14, A ; 3297
+ LD *AR3-0, 14, B ; 3298
+ LD *AR3-0, 15, A ; 3299
+ LD *AR3-0, 15, B ; 3300
+ LD *AR3+0, A ; 3301
+ LD *AR3+0, B ; 3302
+ LD *AR3+0, -16, A ; 3303
+ LD *AR3+0, -16, B ; 3304
+ LD *AR3+0, -15, A ; 3305
+ LD *AR3+0, -15, B ; 3306
+ LD *AR3+0, -14, A ; 3307
+ LD *AR3+0, -14, B ; 3308
+ LD *AR3+0, -13, A ; 3309
+ LD *AR3+0, -13, B ; 3310
+ LD *AR3+0, -12, A ; 3311
+ LD *AR3+0, -12, B ; 3312
+ LD *AR3+0, -11, A ; 3313
+ LD *AR3+0, -11, B ; 3314
+ LD *AR3+0, -10, A ; 3315
+ LD *AR3+0, -10, B ; 3316
+ LD *AR3+0, -9, A ; 3317
+ LD *AR3+0, -9, B ; 3318
+ LD *AR3+0, -8, A ; 3319
+ LD *AR3+0, -8, B ; 3320
+ LD *AR3+0, -7, A ; 3321
+ LD *AR3+0, -7, B ; 3322
+ LD *AR3+0, -6, A ; 3323
+ LD *AR3+0, -6, B ; 3324
+ LD *AR3+0, -5, A ; 3325
+ LD *AR3+0, -5, B ; 3326
+ LD *AR3+0, -4, A ; 3327
+ LD *AR3+0, -4, B ; 3328
+ LD *AR3+0, -3, A ; 3329
+ LD *AR3+0, -3, B ; 3330
+ LD *AR3+0, -2, A ; 3331
+ LD *AR3+0, -2, B ; 3332
+ LD *AR3+0, -1, A ; 3333
+ LD *AR3+0, -1, B ; 3334
+ LD *AR3+0, 0, A ; 3335
+ LD *AR3+0, 0, B ; 3336
+ LD *AR3+0, 1, A ; 3337
+ LD *AR3+0, 1, B ; 3338
+ LD *AR3+0, 2, A ; 3339
+ LD *AR3+0, 2, B ; 3340
+ LD *AR3+0, 3, A ; 3341
+ LD *AR3+0, 3, B ; 3342
+ LD *AR3+0, 4, A ; 3343
+ LD *AR3+0, 4, B ; 3344
+ LD *AR3+0, 5, A ; 3345
+ LD *AR3+0, 5, B ; 3346
+ LD *AR3+0, 6, A ; 3347
+ LD *AR3+0, 6, B ; 3348
+ LD *AR3+0, 7, A ; 3349
+ LD *AR3+0, 7, B ; 3350
+ LD *AR3+0, 8, A ; 3351
+ LD *AR3+0, 8, B ; 3352
+ LD *AR3+0, 9, A ; 3353
+ LD *AR3+0, 9, B ; 3354
+ LD *AR3+0, 10, A ; 3355
+ LD *AR3+0, 10, B ; 3356
+ LD *AR3+0, 11, A ; 3357
+ LD *AR3+0, 11, B ; 3358
+ LD *AR3+0, 12, A ; 3359
+ LD *AR3+0, 12, B ; 3360
+ LD *AR3+0, 13, A ; 3361
+ LD *AR3+0, 13, B ; 3362
+ LD *AR3+0, 14, A ; 3363
+ LD *AR3+0, 14, B ; 3364
+ LD *AR3+0, 15, A ; 3365
+ LD *AR3+0, 15, B ; 3366
+ LD *AR3+0B, A ; 3367
+ LD *AR3+0B, B ; 3368
+ LD *AR3+0B, -16, A ; 3369
+ LD *AR3+0B, -16, B ; 3370
+ LD *AR3+0B, -15, A ; 3371
+ LD *AR3+0B, -15, B ; 3372
+ LD *AR3+0B, -14, A ; 3373
+ LD *AR3+0B, -14, B ; 3374
+ LD *AR3+0B, -13, A ; 3375
+ LD *AR3+0B, -13, B ; 3376
+ LD *AR3+0B, -12, A ; 3377
+ LD *AR3+0B, -12, B ; 3378
+ LD *AR3+0B, -11, A ; 3379
+ LD *AR3+0B, -11, B ; 3380
+ LD *AR3+0B, -10, A ; 3381
+ LD *AR3+0B, -10, B ; 3382
+ LD *AR3+0B, -9, A ; 3383
+ LD *AR3+0B, -9, B ; 3384
+ LD *AR3+0B, -8, A ; 3385
+ LD *AR3+0B, -8, B ; 3386
+ LD *AR3+0B, -7, A ; 3387
+ LD *AR3+0B, -7, B ; 3388
+ LD *AR3+0B, -6, A ; 3389
+ LD *AR3+0B, -6, B ; 3390
+ LD *AR3+0B, -5, A ; 3391
+ LD *AR3+0B, -5, B ; 3392
+ LD *AR3+0B, -4, A ; 3393
+ LD *AR3+0B, -4, B ; 3394
+ LD *AR3+0B, -3, A ; 3395
+ LD *AR3+0B, -3, B ; 3396
+ LD *AR3+0B, -2, A ; 3397
+ LD *AR3+0B, -2, B ; 3398
+ LD *AR3+0B, -1, A ; 3399
+ LD *AR3+0B, -1, B ; 3400
+ LD *AR3+0B, 0, A ; 3401
+ LD *AR3+0B, 0, B ; 3402
+ LD *AR3+0B, 1, A ; 3403
+ LD *AR3+0B, 1, B ; 3404
+ LD *AR3+0B, 2, A ; 3405
+ LD *AR3+0B, 2, B ; 3406
+ LD *AR3+0B, 3, A ; 3407
+ LD *AR3+0B, 3, B ; 3408
+ LD *AR3+0B, 4, A ; 3409
+ LD *AR3+0B, 4, B ; 3410
+ LD *AR3+0B, 5, A ; 3411
+ LD *AR3+0B, 5, B ; 3412
+ LD *AR3+0B, 6, A ; 3413
+ LD *AR3+0B, 6, B ; 3414
+ LD *AR3+0B, 7, A ; 3415
+ LD *AR3+0B, 7, B ; 3416
+ LD *AR3+0B, 8, A ; 3417
+ LD *AR3+0B, 8, B ; 3418
+ LD *AR3+0B, 9, A ; 3419
+ LD *AR3+0B, 9, B ; 3420
+ LD *AR3+0B, 10, A ; 3421
+ LD *AR3+0B, 10, B ; 3422
+ LD *AR3+0B, 11, A ; 3423
+ LD *AR3+0B, 11, B ; 3424
+ LD *AR3+0B, 12, A ; 3425
+ LD *AR3+0B, 12, B ; 3426
+ LD *AR3+0B, 13, A ; 3427
+ LD *AR3+0B, 13, B ; 3428
+ LD *AR3+0B, 14, A ; 3429
+ LD *AR3+0B, 14, B ; 3430
+ LD *AR3+0B, 15, A ; 3431
+ LD *AR3+0B, 15, B ; 3432
+ LD *AR3-%, A ; 3433
+ LD *AR3-%, B ; 3434
+ LD *AR3-%, -16, A ; 3435
+ LD *AR3-%, -16, B ; 3436
+ LD *AR3-%, -15, A ; 3437
+ LD *AR3-%, -15, B ; 3438
+ LD *AR3-%, -14, A ; 3439
+ LD *AR3-%, -14, B ; 3440
+ LD *AR3-%, -13, A ; 3441
+ LD *AR3-%, -13, B ; 3442
+ LD *AR3-%, -12, A ; 3443
+ LD *AR3-%, -12, B ; 3444
+ LD *AR3-%, -11, A ; 3445
+ LD *AR3-%, -11, B ; 3446
+ LD *AR3-%, -10, A ; 3447
+ LD *AR3-%, -10, B ; 3448
+ LD *AR3-%, -9, A ; 3449
+ LD *AR3-%, -9, B ; 3450
+ LD *AR3-%, -8, A ; 3451
+ LD *AR3-%, -8, B ; 3452
+ LD *AR3-%, -7, A ; 3453
+ LD *AR3-%, -7, B ; 3454
+ LD *AR3-%, -6, A ; 3455
+ LD *AR3-%, -6, B ; 3456
+ LD *AR3-%, -5, A ; 3457
+ LD *AR3-%, -5, B ; 3458
+ LD *AR3-%, -4, A ; 3459
+ LD *AR3-%, -4, B ; 3460
+ LD *AR3-%, -3, A ; 3461
+ LD *AR3-%, -3, B ; 3462
+ LD *AR3-%, -2, A ; 3463
+ LD *AR3-%, -2, B ; 3464
+ LD *AR3-%, -1, A ; 3465
+ LD *AR3-%, -1, B ; 3466
+ LD *AR3-%, 0, A ; 3467
+ LD *AR3-%, 0, B ; 3468
+ LD *AR3-%, 1, A ; 3469
+ LD *AR3-%, 1, B ; 3470
+ LD *AR3-%, 2, A ; 3471
+ LD *AR3-%, 2, B ; 3472
+ LD *AR3-%, 3, A ; 3473
+ LD *AR3-%, 3, B ; 3474
+ LD *AR3-%, 4, A ; 3475
+ LD *AR3-%, 4, B ; 3476
+ LD *AR3-%, 5, A ; 3477
+ LD *AR3-%, 5, B ; 3478
+ LD *AR3-%, 6, A ; 3479
+ LD *AR3-%, 6, B ; 3480
+ LD *AR3-%, 7, A ; 3481
+ LD *AR3-%, 7, B ; 3482
+ LD *AR3-%, 8, A ; 3483
+ LD *AR3-%, 8, B ; 3484
+ LD *AR3-%, 9, A ; 3485
+ LD *AR3-%, 9, B ; 3486
+ LD *AR3-%, 10, A ; 3487
+ LD *AR3-%, 10, B ; 3488
+ LD *AR3-%, 11, A ; 3489
+ LD *AR3-%, 11, B ; 3490
+ LD *AR3-%, 12, A ; 3491
+ LD *AR3-%, 12, B ; 3492
+ LD *AR3-%, 13, A ; 3493
+ LD *AR3-%, 13, B ; 3494
+ LD *AR3-%, 14, A ; 3495
+ LD *AR3-%, 14, B ; 3496
+ LD *AR3-%, 15, A ; 3497
+ LD *AR3-%, 15, B ; 3498
+ LD *AR3-0%, A ; 3499
+ LD *AR3-0%, B ; 3500
+ LD *AR3-0%, -16, A ; 3501
+ LD *AR3-0%, -16, B ; 3502
+ LD *AR3-0%, -15, A ; 3503
+ LD *AR3-0%, -15, B ; 3504
+ LD *AR3-0%, -14, A ; 3505
+ LD *AR3-0%, -14, B ; 3506
+ LD *AR3-0%, -13, A ; 3507
+ LD *AR3-0%, -13, B ; 3508
+ LD *AR3-0%, -12, A ; 3509
+ LD *AR3-0%, -12, B ; 3510
+ LD *AR3-0%, -11, A ; 3511
+ LD *AR3-0%, -11, B ; 3512
+ LD *AR3-0%, -10, A ; 3513
+ LD *AR3-0%, -10, B ; 3514
+ LD *AR3-0%, -9, A ; 3515
+ LD *AR3-0%, -9, B ; 3516
+ LD *AR3-0%, -8, A ; 3517
+ LD *AR3-0%, -8, B ; 3518
+ LD *AR3-0%, -7, A ; 3519
+ LD *AR3-0%, -7, B ; 3520
+ LD *AR3-0%, -6, A ; 3521
+ LD *AR3-0%, -6, B ; 3522
+ LD *AR3-0%, -5, A ; 3523
+ LD *AR3-0%, -5, B ; 3524
+ LD *AR3-0%, -4, A ; 3525
+ LD *AR3-0%, -4, B ; 3526
+ LD *AR3-0%, -3, A ; 3527
+ LD *AR3-0%, -3, B ; 3528
+ LD *AR3-0%, -2, A ; 3529
+ LD *AR3-0%, -2, B ; 3530
+ LD *AR3-0%, -1, A ; 3531
+ LD *AR3-0%, -1, B ; 3532
+ LD *AR3-0%, 0, A ; 3533
+ LD *AR3-0%, 0, B ; 3534
+ LD *AR3-0%, 1, A ; 3535
+ LD *AR3-0%, 1, B ; 3536
+ LD *AR3-0%, 2, A ; 3537
+ LD *AR3-0%, 2, B ; 3538
+ LD *AR3-0%, 3, A ; 3539
+ LD *AR3-0%, 3, B ; 3540
+ LD *AR3-0%, 4, A ; 3541
+ LD *AR3-0%, 4, B ; 3542
+ LD *AR3-0%, 5, A ; 3543
+ LD *AR3-0%, 5, B ; 3544
+ LD *AR3-0%, 6, A ; 3545
+ LD *AR3-0%, 6, B ; 3546
+ LD *AR3-0%, 7, A ; 3547
+ LD *AR3-0%, 7, B ; 3548
+ LD *AR3-0%, 8, A ; 3549
+ LD *AR3-0%, 8, B ; 3550
+ LD *AR3-0%, 9, A ; 3551
+ LD *AR3-0%, 9, B ; 3552
+ LD *AR3-0%, 10, A ; 3553
+ LD *AR3-0%, 10, B ; 3554
+ LD *AR3-0%, 11, A ; 3555
+ LD *AR3-0%, 11, B ; 3556
+ LD *AR3-0%, 12, A ; 3557
+ LD *AR3-0%, 12, B ; 3558
+ LD *AR3-0%, 13, A ; 3559
+ LD *AR3-0%, 13, B ; 3560
+ LD *AR3-0%, 14, A ; 3561
+ LD *AR3-0%, 14, B ; 3562
+ LD *AR3-0%, 15, A ; 3563
+ LD *AR3-0%, 15, B ; 3564
+ LD *AR3+%, A ; 3565
+ LD *AR3+%, B ; 3566
+ LD *AR3+%, -16, A ; 3567
+ LD *AR3+%, -16, B ; 3568
+ LD *AR3+%, -15, A ; 3569
+ LD *AR3+%, -15, B ; 3570
+ LD *AR3+%, -14, A ; 3571
+ LD *AR3+%, -14, B ; 3572
+ LD *AR3+%, -13, A ; 3573
+ LD *AR3+%, -13, B ; 3574
+ LD *AR3+%, -12, A ; 3575
+ LD *AR3+%, -12, B ; 3576
+ LD *AR3+%, -11, A ; 3577
+ LD *AR3+%, -11, B ; 3578
+ LD *AR3+%, -10, A ; 3579
+ LD *AR3+%, -10, B ; 3580
+ LD *AR3+%, -9, A ; 3581
+ LD *AR3+%, -9, B ; 3582
+ LD *AR3+%, -8, A ; 3583
+ LD *AR3+%, -8, B ; 3584
+ LD *AR3+%, -7, A ; 3585
+ LD *AR3+%, -7, B ; 3586
+ LD *AR3+%, -6, A ; 3587
+ LD *AR3+%, -6, B ; 3588
+ LD *AR3+%, -5, A ; 3589
+ LD *AR3+%, -5, B ; 3590
+ LD *AR3+%, -4, A ; 3591
+ LD *AR3+%, -4, B ; 3592
+ LD *AR3+%, -3, A ; 3593
+ LD *AR3+%, -3, B ; 3594
+ LD *AR3+%, -2, A ; 3595
+ LD *AR3+%, -2, B ; 3596
+ LD *AR3+%, -1, A ; 3597
+ LD *AR3+%, -1, B ; 3598
+ LD *AR3+%, 0, A ; 3599
+ LD *AR3+%, 0, B ; 3600
+ LD *AR3+%, 1, A ; 3601
+ LD *AR3+%, 1, B ; 3602
+ LD *AR3+%, 2, A ; 3603
+ LD *AR3+%, 2, B ; 3604
+ LD *AR3+%, 3, A ; 3605
+ LD *AR3+%, 3, B ; 3606
+ LD *AR3+%, 4, A ; 3607
+ LD *AR3+%, 4, B ; 3608
+ LD *AR3+%, 5, A ; 3609
+ LD *AR3+%, 5, B ; 3610
+ LD *AR3+%, 6, A ; 3611
+ LD *AR3+%, 6, B ; 3612
+ LD *AR3+%, 7, A ; 3613
+ LD *AR3+%, 7, B ; 3614
+ LD *AR3+%, 8, A ; 3615
+ LD *AR3+%, 8, B ; 3616
+ LD *AR3+%, 9, A ; 3617
+ LD *AR3+%, 9, B ; 3618
+ LD *AR3+%, 10, A ; 3619
+ LD *AR3+%, 10, B ; 3620
+ LD *AR3+%, 11, A ; 3621
+ LD *AR3+%, 11, B ; 3622
+ LD *AR3+%, 12, A ; 3623
+ LD *AR3+%, 12, B ; 3624
+ LD *AR3+%, 13, A ; 3625
+ LD *AR3+%, 13, B ; 3626
+ LD *AR3+%, 14, A ; 3627
+ LD *AR3+%, 14, B ; 3628
+ LD *AR3+%, 15, A ; 3629
+ LD *AR3+%, 15, B ; 3630
+ LD *AR3+0%, A ; 3631
+ LD *AR3+0%, B ; 3632
+ LD *AR3+0%, -16, A ; 3633
+ LD *AR3+0%, -16, B ; 3634
+ LD *AR3+0%, -15, A ; 3635
+ LD *AR3+0%, -15, B ; 3636
+ LD *AR3+0%, -14, A ; 3637
+ LD *AR3+0%, -14, B ; 3638
+ LD *AR3+0%, -13, A ; 3639
+ LD *AR3+0%, -13, B ; 3640
+ LD *AR3+0%, -12, A ; 3641
+ LD *AR3+0%, -12, B ; 3642
+ LD *AR3+0%, -11, A ; 3643
+ LD *AR3+0%, -11, B ; 3644
+ LD *AR3+0%, -10, A ; 3645
+ LD *AR3+0%, -10, B ; 3646
+ LD *AR3+0%, -9, A ; 3647
+ LD *AR3+0%, -9, B ; 3648
+ LD *AR3+0%, -8, A ; 3649
+ LD *AR3+0%, -8, B ; 3650
+ LD *AR3+0%, -7, A ; 3651
+ LD *AR3+0%, -7, B ; 3652
+ LD *AR3+0%, -6, A ; 3653
+ LD *AR3+0%, -6, B ; 3654
+ LD *AR3+0%, -5, A ; 3655
+ LD *AR3+0%, -5, B ; 3656
+ LD *AR3+0%, -4, A ; 3657
+ LD *AR3+0%, -4, B ; 3658
+ LD *AR3+0%, -3, A ; 3659
+ LD *AR3+0%, -3, B ; 3660
+ LD *AR3+0%, -2, A ; 3661
+ LD *AR3+0%, -2, B ; 3662
+ LD *AR3+0%, -1, A ; 3663
+ LD *AR3+0%, -1, B ; 3664
+ LD *AR3+0%, 0, A ; 3665
+ LD *AR3+0%, 0, B ; 3666
+ LD *AR3+0%, 1, A ; 3667
+ LD *AR3+0%, 1, B ; 3668
+ LD *AR3+0%, 2, A ; 3669
+ LD *AR3+0%, 2, B ; 3670
+ LD *AR3+0%, 3, A ; 3671
+ LD *AR3+0%, 3, B ; 3672
+ LD *AR3+0%, 4, A ; 3673
+ LD *AR3+0%, 4, B ; 3674
+ LD *AR3+0%, 5, A ; 3675
+ LD *AR3+0%, 5, B ; 3676
+ LD *AR3+0%, 6, A ; 3677
+ LD *AR3+0%, 6, B ; 3678
+ LD *AR3+0%, 7, A ; 3679
+ LD *AR3+0%, 7, B ; 3680
+ LD *AR3+0%, 8, A ; 3681
+ LD *AR3+0%, 8, B ; 3682
+ LD *AR3+0%, 9, A ; 3683
+ LD *AR3+0%, 9, B ; 3684
+ LD *AR3+0%, 10, A ; 3685
+ LD *AR3+0%, 10, B ; 3686
+ LD *AR3+0%, 11, A ; 3687
+ LD *AR3+0%, 11, B ; 3688
+ LD *AR3+0%, 12, A ; 3689
+ LD *AR3+0%, 12, B ; 3690
+ LD *AR3+0%, 13, A ; 3691
+ LD *AR3+0%, 13, B ; 3692
+ LD *AR3+0%, 14, A ; 3693
+ LD *AR3+0%, 14, B ; 3694
+ LD *AR3+0%, 15, A ; 3695
+ LD *AR3+0%, 15, B ; 3696
+ LD *AR3(02578h), A ; 3697
+ LD *AR3(02579h), B ; 3698
+ LD *AR3(0257Ah), -16, A ; 3699
+ LD *AR3(0257Bh), -16, B ; 3700
+ LD *AR3(0257Ch), -15, A ; 3701
+ LD *AR3(0257Dh), -15, B ; 3702
+ LD *AR3(0257Eh), -14, A ; 3703
+ LD *AR3(0257Fh), -14, B ; 3704
+ LD *AR3(02580h), -13, A ; 3705
+ LD *AR3(02581h), -13, B ; 3706
+ LD *AR3(02582h), -12, A ; 3707
+ LD *AR3(02583h), -12, B ; 3708
+ LD *AR3(02584h), -11, A ; 3709
+ LD *AR3(02585h), -11, B ; 3710
+ LD *AR3(02586h), -10, A ; 3711
+ LD *AR3(02587h), -10, B ; 3712
+ LD *AR3(02588h), -9, A ; 3713
+ LD *AR3(02589h), -9, B ; 3714
+ LD *AR3(0258Ah), -8, A ; 3715
+ LD *AR3(0258Bh), -8, B ; 3716
+ LD *AR3(0258Ch), -7, A ; 3717
+ LD *AR3(0258Dh), -7, B ; 3718
+ LD *AR3(0258Eh), -6, A ; 3719
+ LD *AR3(0258Fh), -6, B ; 3720
+ LD *AR3(02590h), -5, A ; 3721
+ LD *AR3(02591h), -5, B ; 3722
+ LD *AR3(02592h), -4, A ; 3723
+ LD *AR3(02593h), -4, B ; 3724
+ LD *AR3(02594h), -3, A ; 3725
+ LD *AR3(02595h), -3, B ; 3726
+ LD *AR3(02596h), -2, A ; 3727
+ LD *AR3(02597h), -2, B ; 3728
+ LD *AR3(02598h), -1, A ; 3729
+ LD *AR3(02599h), -1, B ; 3730
+ LD *AR3(0259Ah), 0, A ; 3731
+ LD *AR3(0259Bh), 0, B ; 3732
+ LD *AR3(0259Ch), 1, A ; 3733
+ LD *AR3(0259Dh), 1, B ; 3734
+ LD *AR3(0259Eh), 2, A ; 3735
+ LD *AR3(0259Fh), 2, B ; 3736
+ LD *AR3(025A0h), 3, A ; 3737
+ LD *AR3(025A1h), 3, B ; 3738
+ LD *AR3(025A2h), 4, A ; 3739
+ LD *AR3(025A3h), 4, B ; 3740
+ LD *AR3(025A4h), 5, A ; 3741
+ LD *AR3(025A5h), 5, B ; 3742
+ LD *AR3(025A6h), 6, A ; 3743
+ LD *AR3(025A7h), 6, B ; 3744
+ LD *AR3(025A8h), 7, A ; 3745
+ LD *AR3(025A9h), 7, B ; 3746
+ LD *AR3(025AAh), 8, A ; 3747
+ LD *AR3(025ABh), 8, B ; 3748
+ LD *AR3(025ACh), 9, A ; 3749
+ LD *AR3(025ADh), 9, B ; 3750
+ LD *AR3(025AEh), 10, A ; 3751
+ LD *AR3(025AFh), 10, B ; 3752
+ LD *AR3(025B0h), 11, A ; 3753
+ LD *AR3(025B1h), 11, B ; 3754
+ LD *AR3(025B2h), 12, A ; 3755
+ LD *AR3(025B3h), 12, B ; 3756
+ LD *AR3(025B4h), 13, A ; 3757
+ LD *AR3(025B5h), 13, B ; 3758
+ LD *AR3(025B6h), 14, A ; 3759
+ LD *AR3(025B7h), 14, B ; 3760
+ LD *AR3(025B8h), 15, A ; 3761
+ LD *AR3(025B9h), 15, B ; 3762
+ LD *+AR3(025BAh), A ; 3763
+ LD *+AR3(025BBh), B ; 3764
+ LD *+AR3(025BCh), -16, A ; 3765
+ LD *+AR3(025BDh), -16, B ; 3766
+ LD *+AR3(025BEh), -15, A ; 3767
+ LD *+AR3(025BFh), -15, B ; 3768
+ LD *+AR3(025C0h), -14, A ; 3769
+ LD *+AR3(025C1h), -14, B ; 3770
+ LD *+AR3(025C2h), -13, A ; 3771
+ LD *+AR3(025C3h), -13, B ; 3772
+ LD *+AR3(025C4h), -12, A ; 3773
+ LD *+AR3(025C5h), -12, B ; 3774
+ LD *+AR3(025C6h), -11, A ; 3775
+ LD *+AR3(025C7h), -11, B ; 3776
+ LD *+AR3(025C8h), -10, A ; 3777
+ LD *+AR3(025C9h), -10, B ; 3778
+ LD *+AR3(025CAh), -9, A ; 3779
+ LD *+AR3(025CBh), -9, B ; 3780
+ LD *+AR3(025CCh), -8, A ; 3781
+ LD *+AR3(025CDh), -8, B ; 3782
+ LD *+AR3(025CEh), -7, A ; 3783
+ LD *+AR3(025CFh), -7, B ; 3784
+ LD *+AR3(025D0h), -6, A ; 3785
+ LD *+AR3(025D1h), -6, B ; 3786
+ LD *+AR3(025D2h), -5, A ; 3787
+ LD *+AR3(025D3h), -5, B ; 3788
+ LD *+AR3(025D4h), -4, A ; 3789
+ LD *+AR3(025D5h), -4, B ; 3790
+ LD *+AR3(025D6h), -3, A ; 3791
+ LD *+AR3(025D7h), -3, B ; 3792
+ LD *+AR3(025D8h), -2, A ; 3793
+ LD *+AR3(025D9h), -2, B ; 3794
+ LD *+AR3(025DAh), -1, A ; 3795
+ LD *+AR3(025DBh), -1, B ; 3796
+ LD *+AR3(025DCh), 0, A ; 3797
+ LD *+AR3(025DDh), 0, B ; 3798
+ LD *+AR3(025DEh), 1, A ; 3799
+ LD *+AR3(025DFh), 1, B ; 3800
+ LD *+AR3(025E0h), 2, A ; 3801
+ LD *+AR3(025E1h), 2, B ; 3802
+ LD *+AR3(025E2h), 3, A ; 3803
+ LD *+AR3(025E3h), 3, B ; 3804
+ LD *+AR3(025E4h), 4, A ; 3805
+ LD *+AR3(025E5h), 4, B ; 3806
+ LD *+AR3(025E6h), 5, A ; 3807
+ LD *+AR3(025E7h), 5, B ; 3808
+ LD *+AR3(025E8h), 6, A ; 3809
+ LD *+AR3(025E9h), 6, B ; 3810
+ LD *+AR3(025EAh), 7, A ; 3811
+ LD *+AR3(025EBh), 7, B ; 3812
+ LD *+AR3(025ECh), 8, A ; 3813
+ LD *+AR3(025EDh), 8, B ; 3814
+ LD *+AR3(025EEh), 9, A ; 3815
+ LD *+AR3(025EFh), 9, B ; 3816
+ LD *+AR3(025F0h), 10, A ; 3817
+ LD *+AR3(025F1h), 10, B ; 3818
+ LD *+AR3(025F2h), 11, A ; 3819
+ LD *+AR3(025F3h), 11, B ; 3820
+ LD *+AR3(025F4h), 12, A ; 3821
+ LD *+AR3(025F5h), 12, B ; 3822
+ LD *+AR3(025F6h), 13, A ; 3823
+ LD *+AR3(025F7h), 13, B ; 3824
+ LD *+AR3(025F8h), 14, A ; 3825
+ LD *+AR3(025F9h), 14, B ; 3826
+ LD *+AR3(025FAh), 15, A ; 3827
+ LD *+AR3(025FBh), 15, B ; 3828
+ LD *+AR3(025FCh)%, A ; 3829
+ LD *+AR3(025FDh)%, B ; 3830
+ LD *+AR3(025FEh)%, -16, A ; 3831
+ LD *+AR3(025FFh)%, -16, B ; 3832
+ LD *+AR3(02600h)%, -15, A ; 3833
+ LD *+AR3(02601h)%, -15, B ; 3834
+ LD *+AR3(02602h)%, -14, A ; 3835
+ LD *+AR3(02603h)%, -14, B ; 3836
+ LD *+AR3(02604h)%, -13, A ; 3837
+ LD *+AR3(02605h)%, -13, B ; 3838
+ LD *+AR3(02606h)%, -12, A ; 3839
+ LD *+AR3(02607h)%, -12, B ; 3840
+ LD *+AR3(02608h)%, -11, A ; 3841
+ LD *+AR3(02609h)%, -11, B ; 3842
+ LD *+AR3(0260Ah)%, -10, A ; 3843
+ LD *+AR3(0260Bh)%, -10, B ; 3844
+ LD *+AR3(0260Ch)%, -9, A ; 3845
+ LD *+AR3(0260Dh)%, -9, B ; 3846
+ LD *+AR3(0260Eh)%, -8, A ; 3847
+ LD *+AR3(0260Fh)%, -8, B ; 3848
+ LD *+AR3(02610h)%, -7, A ; 3849
+ LD *+AR3(02611h)%, -7, B ; 3850
+ LD *+AR3(02612h)%, -6, A ; 3851
+ LD *+AR3(02613h)%, -6, B ; 3852
+ LD *+AR3(02614h)%, -5, A ; 3853
+ LD *+AR3(02615h)%, -5, B ; 3854
+ LD *+AR3(02616h)%, -4, A ; 3855
+ LD *+AR3(02617h)%, -4, B ; 3856
+ LD *+AR3(02618h)%, -3, A ; 3857
+ LD *+AR3(02619h)%, -3, B ; 3858
+ LD *+AR3(0261Ah)%, -2, A ; 3859
+ LD *+AR3(0261Bh)%, -2, B ; 3860
+ LD *+AR3(0261Ch)%, -1, A ; 3861
+ LD *+AR3(0261Dh)%, -1, B ; 3862
+ LD *+AR3(0261Eh)%, 0, A ; 3863
+ LD *+AR3(0261Fh)%, 0, B ; 3864
+ LD *+AR3(02620h)%, 1, A ; 3865
+ LD *+AR3(02621h)%, 1, B ; 3866
+ LD *+AR3(02622h)%, 2, A ; 3867
+ LD *+AR3(02623h)%, 2, B ; 3868
+ LD *+AR3(02624h)%, 3, A ; 3869
+ LD *+AR3(02625h)%, 3, B ; 3870
+ LD *+AR3(02626h)%, 4, A ; 3871
+ LD *+AR3(02627h)%, 4, B ; 3872
+ LD *+AR3(02628h)%, 5, A ; 3873
+ LD *+AR3(02629h)%, 5, B ; 3874
+ LD *+AR3(0262Ah)%, 6, A ; 3875
+ LD *+AR3(0262Bh)%, 6, B ; 3876
+ LD *+AR3(0262Ch)%, 7, A ; 3877
+ LD *+AR3(0262Dh)%, 7, B ; 3878
+ LD *+AR3(0262Eh)%, 8, A ; 3879
+ LD *+AR3(0262Fh)%, 8, B ; 3880
+ LD *+AR3(02630h)%, 9, A ; 3881
+ LD *+AR3(02631h)%, 9, B ; 3882
+ LD *+AR3(02632h)%, 10, A ; 3883
+ LD *+AR3(02633h)%, 10, B ; 3884
+ LD *+AR3(02634h)%, 11, A ; 3885
+ LD *+AR3(02635h)%, 11, B ; 3886
+ LD *+AR3(02636h)%, 12, A ; 3887
+ LD *+AR3(02637h)%, 12, B ; 3888
+ LD *+AR3(02638h)%, 13, A ; 3889
+ LD *+AR3(02639h)%, 13, B ; 3890
+ LD *+AR3(0263Ah)%, 14, A ; 3891
+ LD *+AR3(0263Bh)%, 14, B ; 3892
+ LD *+AR3(0263Ch)%, 15, A ; 3893
+ LD *+AR3(0263Dh)%, 15, B ; 3894
+ LD *(0263Eh), A ; 3895
+ LD *(0263Fh), B ; 3896
+ LD *(02640h), -16, A ; 3897
+ LD *(02641h), -16, B ; 3898
+ LD *(02642h), -15, A ; 3899
+ LD *(02643h), -15, B ; 3900
+ LD *(02644h), -14, A ; 3901
+ LD *(02645h), -14, B ; 3902
+ LD *(02646h), -13, A ; 3903
+ LD *(02647h), -13, B ; 3904
+ LD *(02648h), -12, A ; 3905
+ LD *(02649h), -12, B ; 3906
+ LD *(0264Ah), -11, A ; 3907
+ LD *(0264Bh), -11, B ; 3908
+ LD *(0264Ch), -10, A ; 3909
+ LD *(0264Dh), -10, B ; 3910
+ LD *(0264Eh), -9, A ; 3911
+ LD *(0264Fh), -9, B ; 3912
+ LD *(02650h), -8, A ; 3913
+ LD *(02651h), -8, B ; 3914
+ LD *(02652h), -7, A ; 3915
+ LD *(02653h), -7, B ; 3916
+ LD *(02654h), -6, A ; 3917
+ LD *(02655h), -6, B ; 3918
+ LD *(02656h), -5, A ; 3919
+ LD *(02657h), -5, B ; 3920
+ LD *(02658h), -4, A ; 3921
+ LD *(02659h), -4, B ; 3922
+ LD *(0265Ah), -3, A ; 3923
+ LD *(0265Bh), -3, B ; 3924
+ LD *(0265Ch), -2, A ; 3925
+ LD *(0265Dh), -2, B ; 3926
+ LD *(0265Eh), -1, A ; 3927
+ LD *(0265Fh), -1, B ; 3928
+ LD *(02660h), 0, A ; 3929
+ LD *(02661h), 0, B ; 3930
+ LD *(02662h), 1, A ; 3931
+ LD *(02663h), 1, B ; 3932
+ LD *(02664h), 2, A ; 3933
+ LD *(02665h), 2, B ; 3934
+ LD *(02666h), 3, A ; 3935
+ LD *(02667h), 3, B ; 3936
+ LD *(02668h), 4, A ; 3937
+ LD *(02669h), 4, B ; 3938
+ LD *(0266Ah), 5, A ; 3939
+ LD *(0266Bh), 5, B ; 3940
+ LD *(0266Ch), 6, A ; 3941
+ LD *(0266Dh), 6, B ; 3942
+ LD *(0266Eh), 7, A ; 3943
+ LD *(0266Fh), 7, B ; 3944
+ LD *(02670h), 8, A ; 3945
+ LD *(02671h), 8, B ; 3946
+ LD *(02672h), 9, A ; 3947
+ LD *(02673h), 9, B ; 3948
+ LD *(02674h), 10, A ; 3949
+ LD *(02675h), 10, B ; 3950
+ LD *(02676h), 11, A ; 3951
+ LD *(02677h), 11, B ; 3952
+ LD *(02678h), 12, A ; 3953
+ LD *(02679h), 12, B ; 3954
+ LD *(0267Ah), 13, A ; 3955
+ LD *(0267Bh), 13, B ; 3956
+ LD *(0267Ch), 14, A ; 3957
+ LD *(0267Dh), 14, B ; 3958
+ LD *(0267Eh), 15, A ; 3959
+ LD *(0267Fh), 15, B ; 3960
+ LD *AR4, A ; 3961
+ LD *AR4, B ; 3962
+ LD *AR4, -16, A ; 3963
+ LD *AR4, -16, B ; 3964
+ LD *AR4, -15, A ; 3965
+ LD *AR4, -15, B ; 3966
+ LD *AR4, -14, A ; 3967
+ LD *AR4, -14, B ; 3968
+ LD *AR4, -13, A ; 3969
+ LD *AR4, -13, B ; 3970
+ LD *AR4, -12, A ; 3971
+ LD *AR4, -12, B ; 3972
+ LD *AR4, -11, A ; 3973
+ LD *AR4, -11, B ; 3974
+ LD *AR4, -10, A ; 3975
+ LD *AR4, -10, B ; 3976
+ LD *AR4, -9, A ; 3977
+ LD *AR4, -9, B ; 3978
+ LD *AR4, -8, A ; 3979
+ LD *AR4, -8, B ; 3980
+ LD *AR4, -7, A ; 3981
+ LD *AR4, -7, B ; 3982
+ LD *AR4, -6, A ; 3983
+ LD *AR4, -6, B ; 3984
+ LD *AR4, -5, A ; 3985
+ LD *AR4, -5, B ; 3986
+ LD *AR4, -4, A ; 3987
+ LD *AR4, -4, B ; 3988
+ LD *AR4, -3, A ; 3989
+ LD *AR4, -3, B ; 3990
+ LD *AR4, -2, A ; 3991
+ LD *AR4, -2, B ; 3992
+ LD *AR4, -1, A ; 3993
+ LD *AR4, -1, B ; 3994
+ LD *AR4, 0, A ; 3995
+ LD *AR4, 0, B ; 3996
+ LD *AR4, 1, A ; 3997
+ LD *AR4, 1, B ; 3998
+ LD *AR4, 2, A ; 3999
+ LD *AR4, 2, B ; 4000
+ LD *AR4, 3, A ; 4001
+ LD *AR4, 3, B ; 4002
+ LD *AR4, 4, A ; 4003
+ LD *AR4, 4, B ; 4004
+ LD *AR4, 5, A ; 4005
+ LD *AR4, 5, B ; 4006
+ LD *AR4, 6, A ; 4007
+ LD *AR4, 6, B ; 4008
+ LD *AR4, 7, A ; 4009
+ LD *AR4, 7, B ; 4010
+ LD *AR4, 8, A ; 4011
+ LD *AR4, 8, B ; 4012
+ LD *AR4, 9, A ; 4013
+ LD *AR4, 9, B ; 4014
+ LD *AR4, 10, A ; 4015
+ LD *AR4, 10, B ; 4016
+ LD *AR4, 11, A ; 4017
+ LD *AR4, 11, B ; 4018
+ LD *AR4, 12, A ; 4019
+ LD *AR4, 12, B ; 4020
+ LD *AR4, 13, A ; 4021
+ LD *AR4, 13, B ; 4022
+ LD *AR4, 14, A ; 4023
+ LD *AR4, 14, B ; 4024
+ LD *AR4, 15, A ; 4025
+ LD *AR4, 15, B ; 4026
+ LD *AR4-, A ; 4027
+ LD *AR4-, B ; 4028
+ LD *AR4-, -16, A ; 4029
+ LD *AR4-, -16, B ; 4030
+ LD *AR4-, -15, A ; 4031
+ LD *AR4-, -15, B ; 4032
+ LD *AR4-, -14, A ; 4033
+ LD *AR4-, -14, B ; 4034
+ LD *AR4-, -13, A ; 4035
+ LD *AR4-, -13, B ; 4036
+ LD *AR4-, -12, A ; 4037
+ LD *AR4-, -12, B ; 4038
+ LD *AR4-, -11, A ; 4039
+ LD *AR4-, -11, B ; 4040
+ LD *AR4-, -10, A ; 4041
+ LD *AR4-, -10, B ; 4042
+ LD *AR4-, -9, A ; 4043
+ LD *AR4-, -9, B ; 4044
+ LD *AR4-, -8, A ; 4045
+ LD *AR4-, -8, B ; 4046
+ LD *AR4-, -7, A ; 4047
+ LD *AR4-, -7, B ; 4048
+ LD *AR4-, -6, A ; 4049
+ LD *AR4-, -6, B ; 4050
+ LD *AR4-, -5, A ; 4051
+ LD *AR4-, -5, B ; 4052
+ LD *AR4-, -4, A ; 4053
+ LD *AR4-, -4, B ; 4054
+ LD *AR4-, -3, A ; 4055
+ LD *AR4-, -3, B ; 4056
+ LD *AR4-, -2, A ; 4057
+ LD *AR4-, -2, B ; 4058
+ LD *AR4-, -1, A ; 4059
+ LD *AR4-, -1, B ; 4060
+ LD *AR4-, 0, A ; 4061
+ LD *AR4-, 0, B ; 4062
+ LD *AR4-, 1, A ; 4063
+ LD *AR4-, 1, B ; 4064
+ LD *AR4-, 2, A ; 4065
+ LD *AR4-, 2, B ; 4066
+ LD *AR4-, 3, A ; 4067
+ LD *AR4-, 3, B ; 4068
+ LD *AR4-, 4, A ; 4069
+ LD *AR4-, 4, B ; 4070
+ LD *AR4-, 5, A ; 4071
+ LD *AR4-, 5, B ; 4072
+ LD *AR4-, 6, A ; 4073
+ LD *AR4-, 6, B ; 4074
+ LD *AR4-, 7, A ; 4075
+ LD *AR4-, 7, B ; 4076
+ LD *AR4-, 8, A ; 4077
+ LD *AR4-, 8, B ; 4078
+ LD *AR4-, 9, A ; 4079
+ LD *AR4-, 9, B ; 4080
+ LD *AR4-, 10, A ; 4081
+ LD *AR4-, 10, B ; 4082
+ LD *AR4-, 11, A ; 4083
+ LD *AR4-, 11, B ; 4084
+ LD *AR4-, 12, A ; 4085
+ LD *AR4-, 12, B ; 4086
+ LD *AR4-, 13, A ; 4087
+ LD *AR4-, 13, B ; 4088
+ LD *AR4-, 14, A ; 4089
+ LD *AR4-, 14, B ; 4090
+ LD *AR4-, 15, A ; 4091
+ LD *AR4-, 15, B ; 4092
+ LD *AR4+, A ; 4093
+ LD *AR4+, B ; 4094
+ LD *AR4+, -16, A ; 4095
+ LD *AR4+, -16, B ; 4096
+ LD *AR4+, -15, A ; 4097
+ LD *AR4+, -15, B ; 4098
+ LD *AR4+, -14, A ; 4099
+ LD *AR4+, -14, B ; 4100
+ LD *AR4+, -13, A ; 4101
+ LD *AR4+, -13, B ; 4102
+ LD *AR4+, -12, A ; 4103
+ LD *AR4+, -12, B ; 4104
+ LD *AR4+, -11, A ; 4105
+ LD *AR4+, -11, B ; 4106
+ LD *AR4+, -10, A ; 4107
+ LD *AR4+, -10, B ; 4108
+ LD *AR4+, -9, A ; 4109
+ LD *AR4+, -9, B ; 4110
+ LD *AR4+, -8, A ; 4111
+ LD *AR4+, -8, B ; 4112
+ LD *AR4+, -7, A ; 4113
+ LD *AR4+, -7, B ; 4114
+ LD *AR4+, -6, A ; 4115
+ LD *AR4+, -6, B ; 4116
+ LD *AR4+, -5, A ; 4117
+ LD *AR4+, -5, B ; 4118
+ LD *AR4+, -4, A ; 4119
+ LD *AR4+, -4, B ; 4120
+ LD *AR4+, -3, A ; 4121
+ LD *AR4+, -3, B ; 4122
+ LD *AR4+, -2, A ; 4123
+ LD *AR4+, -2, B ; 4124
+ LD *AR4+, -1, A ; 4125
+ LD *AR4+, -1, B ; 4126
+ LD *AR4+, 0, A ; 4127
+ LD *AR4+, 0, B ; 4128
+ LD *AR4+, 1, A ; 4129
+ LD *AR4+, 1, B ; 4130
+ LD *AR4+, 2, A ; 4131
+ LD *AR4+, 2, B ; 4132
+ LD *AR4+, 3, A ; 4133
+ LD *AR4+, 3, B ; 4134
+ LD *AR4+, 4, A ; 4135
+ LD *AR4+, 4, B ; 4136
+ LD *AR4+, 5, A ; 4137
+ LD *AR4+, 5, B ; 4138
+ LD *AR4+, 6, A ; 4139
+ LD *AR4+, 6, B ; 4140
+ LD *AR4+, 7, A ; 4141
+ LD *AR4+, 7, B ; 4142
+ LD *AR4+, 8, A ; 4143
+ LD *AR4+, 8, B ; 4144
+ LD *AR4+, 9, A ; 4145
+ LD *AR4+, 9, B ; 4146
+ LD *AR4+, 10, A ; 4147
+ LD *AR4+, 10, B ; 4148
+ LD *AR4+, 11, A ; 4149
+ LD *AR4+, 11, B ; 4150
+ LD *AR4+, 12, A ; 4151
+ LD *AR4+, 12, B ; 4152
+ LD *AR4+, 13, A ; 4153
+ LD *AR4+, 13, B ; 4154
+ LD *AR4+, 14, A ; 4155
+ LD *AR4+, 14, B ; 4156
+ LD *AR4+, 15, A ; 4157
+ LD *AR4+, 15, B ; 4158
+ LD *AR4-0B, A ; 4159
+ LD *AR4-0B, B ; 4160
+ LD *AR4-0B, -16, A ; 4161
+ LD *AR4-0B, -16, B ; 4162
+ LD *AR4-0B, -15, A ; 4163
+ LD *AR4-0B, -15, B ; 4164
+ LD *AR4-0B, -14, A ; 4165
+ LD *AR4-0B, -14, B ; 4166
+ LD *AR4-0B, -13, A ; 4167
+ LD *AR4-0B, -13, B ; 4168
+ LD *AR4-0B, -12, A ; 4169
+ LD *AR4-0B, -12, B ; 4170
+ LD *AR4-0B, -11, A ; 4171
+ LD *AR4-0B, -11, B ; 4172
+ LD *AR4-0B, -10, A ; 4173
+ LD *AR4-0B, -10, B ; 4174
+ LD *AR4-0B, -9, A ; 4175
+ LD *AR4-0B, -9, B ; 4176
+ LD *AR4-0B, -8, A ; 4177
+ LD *AR4-0B, -8, B ; 4178
+ LD *AR4-0B, -7, A ; 4179
+ LD *AR4-0B, -7, B ; 4180
+ LD *AR4-0B, -6, A ; 4181
+ LD *AR4-0B, -6, B ; 4182
+ LD *AR4-0B, -5, A ; 4183
+ LD *AR4-0B, -5, B ; 4184
+ LD *AR4-0B, -4, A ; 4185
+ LD *AR4-0B, -4, B ; 4186
+ LD *AR4-0B, -3, A ; 4187
+ LD *AR4-0B, -3, B ; 4188
+ LD *AR4-0B, -2, A ; 4189
+ LD *AR4-0B, -2, B ; 4190
+ LD *AR4-0B, -1, A ; 4191
+ LD *AR4-0B, -1, B ; 4192
+ LD *AR4-0B, 0, A ; 4193
+ LD *AR4-0B, 0, B ; 4194
+ LD *AR4-0B, 1, A ; 4195
+ LD *AR4-0B, 1, B ; 4196
+ LD *AR4-0B, 2, A ; 4197
+ LD *AR4-0B, 2, B ; 4198
+ LD *AR4-0B, 3, A ; 4199
+ LD *AR4-0B, 3, B ; 4200
+ LD *AR4-0B, 4, A ; 4201
+ LD *AR4-0B, 4, B ; 4202
+ LD *AR4-0B, 5, A ; 4203
+ LD *AR4-0B, 5, B ; 4204
+ LD *AR4-0B, 6, A ; 4205
+ LD *AR4-0B, 6, B ; 4206
+ LD *AR4-0B, 7, A ; 4207
+ LD *AR4-0B, 7, B ; 4208
+ LD *AR4-0B, 8, A ; 4209
+ LD *AR4-0B, 8, B ; 4210
+ LD *AR4-0B, 9, A ; 4211
+ LD *AR4-0B, 9, B ; 4212
+ LD *AR4-0B, 10, A ; 4213
+ LD *AR4-0B, 10, B ; 4214
+ LD *AR4-0B, 11, A ; 4215
+ LD *AR4-0B, 11, B ; 4216
+ LD *AR4-0B, 12, A ; 4217
+ LD *AR4-0B, 12, B ; 4218
+ LD *AR4-0B, 13, A ; 4219
+ LD *AR4-0B, 13, B ; 4220
+ LD *AR4-0B, 14, A ; 4221
+ LD *AR4-0B, 14, B ; 4222
+ LD *AR4-0B, 15, A ; 4223
+ LD *AR4-0B, 15, B ; 4224
+ LD *AR4-0, A ; 4225
+ LD *AR4-0, B ; 4226
+ LD *AR4-0, -16, A ; 4227
+ LD *AR4-0, -16, B ; 4228
+ LD *AR4-0, -15, A ; 4229
+ LD *AR4-0, -15, B ; 4230
+ LD *AR4-0, -14, A ; 4231
+ LD *AR4-0, -14, B ; 4232
+ LD *AR4-0, -13, A ; 4233
+ LD *AR4-0, -13, B ; 4234
+ LD *AR4-0, -12, A ; 4235
+ LD *AR4-0, -12, B ; 4236
+ LD *AR4-0, -11, A ; 4237
+ LD *AR4-0, -11, B ; 4238
+ LD *AR4-0, -10, A ; 4239
+ LD *AR4-0, -10, B ; 4240
+ LD *AR4-0, -9, A ; 4241
+ LD *AR4-0, -9, B ; 4242
+ LD *AR4-0, -8, A ; 4243
+ LD *AR4-0, -8, B ; 4244
+ LD *AR4-0, -7, A ; 4245
+ LD *AR4-0, -7, B ; 4246
+ LD *AR4-0, -6, A ; 4247
+ LD *AR4-0, -6, B ; 4248
+ LD *AR4-0, -5, A ; 4249
+ LD *AR4-0, -5, B ; 4250
+ LD *AR4-0, -4, A ; 4251
+ LD *AR4-0, -4, B ; 4252
+ LD *AR4-0, -3, A ; 4253
+ LD *AR4-0, -3, B ; 4254
+ LD *AR4-0, -2, A ; 4255
+ LD *AR4-0, -2, B ; 4256
+ LD *AR4-0, -1, A ; 4257
+ LD *AR4-0, -1, B ; 4258
+ LD *AR4-0, 0, A ; 4259
+ LD *AR4-0, 0, B ; 4260
+ LD *AR4-0, 1, A ; 4261
+ LD *AR4-0, 1, B ; 4262
+ LD *AR4-0, 2, A ; 4263
+ LD *AR4-0, 2, B ; 4264
+ LD *AR4-0, 3, A ; 4265
+ LD *AR4-0, 3, B ; 4266
+ LD *AR4-0, 4, A ; 4267
+ LD *AR4-0, 4, B ; 4268
+ LD *AR4-0, 5, A ; 4269
+ LD *AR4-0, 5, B ; 4270
+ LD *AR4-0, 6, A ; 4271
+ LD *AR4-0, 6, B ; 4272
+ LD *AR4-0, 7, A ; 4273
+ LD *AR4-0, 7, B ; 4274
+ LD *AR4-0, 8, A ; 4275
+ LD *AR4-0, 8, B ; 4276
+ LD *AR4-0, 9, A ; 4277
+ LD *AR4-0, 9, B ; 4278
+ LD *AR4-0, 10, A ; 4279
+ LD *AR4-0, 10, B ; 4280
+ LD *AR4-0, 11, A ; 4281
+ LD *AR4-0, 11, B ; 4282
+ LD *AR4-0, 12, A ; 4283
+ LD *AR4-0, 12, B ; 4284
+ LD *AR4-0, 13, A ; 4285
+ LD *AR4-0, 13, B ; 4286
+ LD *AR4-0, 14, A ; 4287
+ LD *AR4-0, 14, B ; 4288
+ LD *AR4-0, 15, A ; 4289
+ LD *AR4-0, 15, B ; 4290
+ LD *AR4+0, A ; 4291
+ LD *AR4+0, B ; 4292
+ LD *AR4+0, -16, A ; 4293
+ LD *AR4+0, -16, B ; 4294
+ LD *AR4+0, -15, A ; 4295
+ LD *AR4+0, -15, B ; 4296
+ LD *AR4+0, -14, A ; 4297
+ LD *AR4+0, -14, B ; 4298
+ LD *AR4+0, -13, A ; 4299
+ LD *AR4+0, -13, B ; 4300
+ LD *AR4+0, -12, A ; 4301
+ LD *AR4+0, -12, B ; 4302
+ LD *AR4+0, -11, A ; 4303
+ LD *AR4+0, -11, B ; 4304
+ LD *AR4+0, -10, A ; 4305
+ LD *AR4+0, -10, B ; 4306
+ LD *AR4+0, -9, A ; 4307
+ LD *AR4+0, -9, B ; 4308
+ LD *AR4+0, -8, A ; 4309
+ LD *AR4+0, -8, B ; 4310
+ LD *AR4+0, -7, A ; 4311
+ LD *AR4+0, -7, B ; 4312
+ LD *AR4+0, -6, A ; 4313
+ LD *AR4+0, -6, B ; 4314
+ LD *AR4+0, -5, A ; 4315
+ LD *AR4+0, -5, B ; 4316
+ LD *AR4+0, -4, A ; 4317
+ LD *AR4+0, -4, B ; 4318
+ LD *AR4+0, -3, A ; 4319
+ LD *AR4+0, -3, B ; 4320
+ LD *AR4+0, -2, A ; 4321
+ LD *AR4+0, -2, B ; 4322
+ LD *AR4+0, -1, A ; 4323
+ LD *AR4+0, -1, B ; 4324
+ LD *AR4+0, 0, A ; 4325
+ LD *AR4+0, 0, B ; 4326
+ LD *AR4+0, 1, A ; 4327
+ LD *AR4+0, 1, B ; 4328
+ LD *AR4+0, 2, A ; 4329
+ LD *AR4+0, 2, B ; 4330
+ LD *AR4+0, 3, A ; 4331
+ LD *AR4+0, 3, B ; 4332
+ LD *AR4+0, 4, A ; 4333
+ LD *AR4+0, 4, B ; 4334
+ LD *AR4+0, 5, A ; 4335
+ LD *AR4+0, 5, B ; 4336
+ LD *AR4+0, 6, A ; 4337
+ LD *AR4+0, 6, B ; 4338
+ LD *AR4+0, 7, A ; 4339
+ LD *AR4+0, 7, B ; 4340
+ LD *AR4+0, 8, A ; 4341
+ LD *AR4+0, 8, B ; 4342
+ LD *AR4+0, 9, A ; 4343
+ LD *AR4+0, 9, B ; 4344
+ LD *AR4+0, 10, A ; 4345
+ LD *AR4+0, 10, B ; 4346
+ LD *AR4+0, 11, A ; 4347
+ LD *AR4+0, 11, B ; 4348
+ LD *AR4+0, 12, A ; 4349
+ LD *AR4+0, 12, B ; 4350
+ LD *AR4+0, 13, A ; 4351
+ LD *AR4+0, 13, B ; 4352
+ LD *AR4+0, 14, A ; 4353
+ LD *AR4+0, 14, B ; 4354
+ LD *AR4+0, 15, A ; 4355
+ LD *AR4+0, 15, B ; 4356
+ LD *AR4+0B, A ; 4357
+ LD *AR4+0B, B ; 4358
+ LD *AR4+0B, -16, A ; 4359
+ LD *AR4+0B, -16, B ; 4360
+ LD *AR4+0B, -15, A ; 4361
+ LD *AR4+0B, -15, B ; 4362
+ LD *AR4+0B, -14, A ; 4363
+ LD *AR4+0B, -14, B ; 4364
+ LD *AR4+0B, -13, A ; 4365
+ LD *AR4+0B, -13, B ; 4366
+ LD *AR4+0B, -12, A ; 4367
+ LD *AR4+0B, -12, B ; 4368
+ LD *AR4+0B, -11, A ; 4369
+ LD *AR4+0B, -11, B ; 4370
+ LD *AR4+0B, -10, A ; 4371
+ LD *AR4+0B, -10, B ; 4372
+ LD *AR4+0B, -9, A ; 4373
+ LD *AR4+0B, -9, B ; 4374
+ LD *AR4+0B, -8, A ; 4375
+ LD *AR4+0B, -8, B ; 4376
+ LD *AR4+0B, -7, A ; 4377
+ LD *AR4+0B, -7, B ; 4378
+ LD *AR4+0B, -6, A ; 4379
+ LD *AR4+0B, -6, B ; 4380
+ LD *AR4+0B, -5, A ; 4381
+ LD *AR4+0B, -5, B ; 4382
+ LD *AR4+0B, -4, A ; 4383
+ LD *AR4+0B, -4, B ; 4384
+ LD *AR4+0B, -3, A ; 4385
+ LD *AR4+0B, -3, B ; 4386
+ LD *AR4+0B, -2, A ; 4387
+ LD *AR4+0B, -2, B ; 4388
+ LD *AR4+0B, -1, A ; 4389
+ LD *AR4+0B, -1, B ; 4390
+ LD *AR4+0B, 0, A ; 4391
+ LD *AR4+0B, 0, B ; 4392
+ LD *AR4+0B, 1, A ; 4393
+ LD *AR4+0B, 1, B ; 4394
+ LD *AR4+0B, 2, A ; 4395
+ LD *AR4+0B, 2, B ; 4396
+ LD *AR4+0B, 3, A ; 4397
+ LD *AR4+0B, 3, B ; 4398
+ LD *AR4+0B, 4, A ; 4399
+ LD *AR4+0B, 4, B ; 4400
+ LD *AR4+0B, 5, A ; 4401
+ LD *AR4+0B, 5, B ; 4402
+ LD *AR4+0B, 6, A ; 4403
+ LD *AR4+0B, 6, B ; 4404
+ LD *AR4+0B, 7, A ; 4405
+ LD *AR4+0B, 7, B ; 4406
+ LD *AR4+0B, 8, A ; 4407
+ LD *AR4+0B, 8, B ; 4408
+ LD *AR4+0B, 9, A ; 4409
+ LD *AR4+0B, 9, B ; 4410
+ LD *AR4+0B, 10, A ; 4411
+ LD *AR4+0B, 10, B ; 4412
+ LD *AR4+0B, 11, A ; 4413
+ LD *AR4+0B, 11, B ; 4414
+ LD *AR4+0B, 12, A ; 4415
+ LD *AR4+0B, 12, B ; 4416
+ LD *AR4+0B, 13, A ; 4417
+ LD *AR4+0B, 13, B ; 4418
+ LD *AR4+0B, 14, A ; 4419
+ LD *AR4+0B, 14, B ; 4420
+ LD *AR4+0B, 15, A ; 4421
+ LD *AR4+0B, 15, B ; 4422
+ LD *AR4-%, A ; 4423
+ LD *AR4-%, B ; 4424
+ LD *AR4-%, -16, A ; 4425
+ LD *AR4-%, -16, B ; 4426
+ LD *AR4-%, -15, A ; 4427
+ LD *AR4-%, -15, B ; 4428
+ LD *AR4-%, -14, A ; 4429
+ LD *AR4-%, -14, B ; 4430
+ LD *AR4-%, -13, A ; 4431
+ LD *AR4-%, -13, B ; 4432
+ LD *AR4-%, -12, A ; 4433
+ LD *AR4-%, -12, B ; 4434
+ LD *AR4-%, -11, A ; 4435
+ LD *AR4-%, -11, B ; 4436
+ LD *AR4-%, -10, A ; 4437
+ LD *AR4-%, -10, B ; 4438
+ LD *AR4-%, -9, A ; 4439
+ LD *AR4-%, -9, B ; 4440
+ LD *AR4-%, -8, A ; 4441
+ LD *AR4-%, -8, B ; 4442
+ LD *AR4-%, -7, A ; 4443
+ LD *AR4-%, -7, B ; 4444
+ LD *AR4-%, -6, A ; 4445
+ LD *AR4-%, -6, B ; 4446
+ LD *AR4-%, -5, A ; 4447
+ LD *AR4-%, -5, B ; 4448
+ LD *AR4-%, -4, A ; 4449
+ LD *AR4-%, -4, B ; 4450
+ LD *AR4-%, -3, A ; 4451
+ LD *AR4-%, -3, B ; 4452
+ LD *AR4-%, -2, A ; 4453
+ LD *AR4-%, -2, B ; 4454
+ LD *AR4-%, -1, A ; 4455
+ LD *AR4-%, -1, B ; 4456
+ LD *AR4-%, 0, A ; 4457
+ LD *AR4-%, 0, B ; 4458
+ LD *AR4-%, 1, A ; 4459
+ LD *AR4-%, 1, B ; 4460
+ LD *AR4-%, 2, A ; 4461
+ LD *AR4-%, 2, B ; 4462
+ LD *AR4-%, 3, A ; 4463
+ LD *AR4-%, 3, B ; 4464
+ LD *AR4-%, 4, A ; 4465
+ LD *AR4-%, 4, B ; 4466
+ LD *AR4-%, 5, A ; 4467
+ LD *AR4-%, 5, B ; 4468
+ LD *AR4-%, 6, A ; 4469
+ LD *AR4-%, 6, B ; 4470
+ LD *AR4-%, 7, A ; 4471
+ LD *AR4-%, 7, B ; 4472
+ LD *AR4-%, 8, A ; 4473
+ LD *AR4-%, 8, B ; 4474
+ LD *AR4-%, 9, A ; 4475
+ LD *AR4-%, 9, B ; 4476
+ LD *AR4-%, 10, A ; 4477
+ LD *AR4-%, 10, B ; 4478
+ LD *AR4-%, 11, A ; 4479
+ LD *AR4-%, 11, B ; 4480
+ LD *AR4-%, 12, A ; 4481
+ LD *AR4-%, 12, B ; 4482
+ LD *AR4-%, 13, A ; 4483
+ LD *AR4-%, 13, B ; 4484
+ LD *AR4-%, 14, A ; 4485
+ LD *AR4-%, 14, B ; 4486
+ LD *AR4-%, 15, A ; 4487
+ LD *AR4-%, 15, B ; 4488
+ LD *AR4-0%, A ; 4489
+ LD *AR4-0%, B ; 4490
+ LD *AR4-0%, -16, A ; 4491
+ LD *AR4-0%, -16, B ; 4492
+ LD *AR4-0%, -15, A ; 4493
+ LD *AR4-0%, -15, B ; 4494
+ LD *AR4-0%, -14, A ; 4495
+ LD *AR4-0%, -14, B ; 4496
+ LD *AR4-0%, -13, A ; 4497
+ LD *AR4-0%, -13, B ; 4498
+ LD *AR4-0%, -12, A ; 4499
+ LD *AR4-0%, -12, B ; 4500
+ LD *AR4-0%, -11, A ; 4501
+ LD *AR4-0%, -11, B ; 4502
+ LD *AR4-0%, -10, A ; 4503
+ LD *AR4-0%, -10, B ; 4504
+ LD *AR4-0%, -9, A ; 4505
+ LD *AR4-0%, -9, B ; 4506
+ LD *AR4-0%, -8, A ; 4507
+ LD *AR4-0%, -8, B ; 4508
+ LD *AR4-0%, -7, A ; 4509
+ LD *AR4-0%, -7, B ; 4510
+ LD *AR4-0%, -6, A ; 4511
+ LD *AR4-0%, -6, B ; 4512
+ LD *AR4-0%, -5, A ; 4513
+ LD *AR4-0%, -5, B ; 4514
+ LD *AR4-0%, -4, A ; 4515
+ LD *AR4-0%, -4, B ; 4516
+ LD *AR4-0%, -3, A ; 4517
+ LD *AR4-0%, -3, B ; 4518
+ LD *AR4-0%, -2, A ; 4519
+ LD *AR4-0%, -2, B ; 4520
+ LD *AR4-0%, -1, A ; 4521
+ LD *AR4-0%, -1, B ; 4522
+ LD *AR4-0%, 0, A ; 4523
+ LD *AR4-0%, 0, B ; 4524
+ LD *AR4-0%, 1, A ; 4525
+ LD *AR4-0%, 1, B ; 4526
+ LD *AR4-0%, 2, A ; 4527
+ LD *AR4-0%, 2, B ; 4528
+ LD *AR4-0%, 3, A ; 4529
+ LD *AR4-0%, 3, B ; 4530
+ LD *AR4-0%, 4, A ; 4531
+ LD *AR4-0%, 4, B ; 4532
+ LD *AR4-0%, 5, A ; 4533
+ LD *AR4-0%, 5, B ; 4534
+ LD *AR4-0%, 6, A ; 4535
+ LD *AR4-0%, 6, B ; 4536
+ LD *AR4-0%, 7, A ; 4537
+ LD *AR4-0%, 7, B ; 4538
+ LD *AR4-0%, 8, A ; 4539
+ LD *AR4-0%, 8, B ; 4540
+ LD *AR4-0%, 9, A ; 4541
+ LD *AR4-0%, 9, B ; 4542
+ LD *AR4-0%, 10, A ; 4543
+ LD *AR4-0%, 10, B ; 4544
+ LD *AR4-0%, 11, A ; 4545
+ LD *AR4-0%, 11, B ; 4546
+ LD *AR4-0%, 12, A ; 4547
+ LD *AR4-0%, 12, B ; 4548
+ LD *AR4-0%, 13, A ; 4549
+ LD *AR4-0%, 13, B ; 4550
+ LD *AR4-0%, 14, A ; 4551
+ LD *AR4-0%, 14, B ; 4552
+ LD *AR4-0%, 15, A ; 4553
+ LD *AR4-0%, 15, B ; 4554
+ LD *AR4+%, A ; 4555
+ LD *AR4+%, B ; 4556
+ LD *AR4+%, -16, A ; 4557
+ LD *AR4+%, -16, B ; 4558
+ LD *AR4+%, -15, A ; 4559
+ LD *AR4+%, -15, B ; 4560
+ LD *AR4+%, -14, A ; 4561
+ LD *AR4+%, -14, B ; 4562
+ LD *AR4+%, -13, A ; 4563
+ LD *AR4+%, -13, B ; 4564
+ LD *AR4+%, -12, A ; 4565
+ LD *AR4+%, -12, B ; 4566
+ LD *AR4+%, -11, A ; 4567
+ LD *AR4+%, -11, B ; 4568
+ LD *AR4+%, -10, A ; 4569
+ LD *AR4+%, -10, B ; 4570
+ LD *AR4+%, -9, A ; 4571
+ LD *AR4+%, -9, B ; 4572
+ LD *AR4+%, -8, A ; 4573
+ LD *AR4+%, -8, B ; 4574
+ LD *AR4+%, -7, A ; 4575
+ LD *AR4+%, -7, B ; 4576
+ LD *AR4+%, -6, A ; 4577
+ LD *AR4+%, -6, B ; 4578
+ LD *AR4+%, -5, A ; 4579
+ LD *AR4+%, -5, B ; 4580
+ LD *AR4+%, -4, A ; 4581
+ LD *AR4+%, -4, B ; 4582
+ LD *AR4+%, -3, A ; 4583
+ LD *AR4+%, -3, B ; 4584
+ LD *AR4+%, -2, A ; 4585
+ LD *AR4+%, -2, B ; 4586
+ LD *AR4+%, -1, A ; 4587
+ LD *AR4+%, -1, B ; 4588
+ LD *AR4+%, 0, A ; 4589
+ LD *AR4+%, 0, B ; 4590
+ LD *AR4+%, 1, A ; 4591
+ LD *AR4+%, 1, B ; 4592
+ LD *AR4+%, 2, A ; 4593
+ LD *AR4+%, 2, B ; 4594
+ LD *AR4+%, 3, A ; 4595
+ LD *AR4+%, 3, B ; 4596
+ LD *AR4+%, 4, A ; 4597
+ LD *AR4+%, 4, B ; 4598
+ LD *AR4+%, 5, A ; 4599
+ LD *AR4+%, 5, B ; 4600
+ LD *AR4+%, 6, A ; 4601
+ LD *AR4+%, 6, B ; 4602
+ LD *AR4+%, 7, A ; 4603
+ LD *AR4+%, 7, B ; 4604
+ LD *AR4+%, 8, A ; 4605
+ LD *AR4+%, 8, B ; 4606
+ LD *AR4+%, 9, A ; 4607
+ LD *AR4+%, 9, B ; 4608
+ LD *AR4+%, 10, A ; 4609
+ LD *AR4+%, 10, B ; 4610
+ LD *AR4+%, 11, A ; 4611
+ LD *AR4+%, 11, B ; 4612
+ LD *AR4+%, 12, A ; 4613
+ LD *AR4+%, 12, B ; 4614
+ LD *AR4+%, 13, A ; 4615
+ LD *AR4+%, 13, B ; 4616
+ LD *AR4+%, 14, A ; 4617
+ LD *AR4+%, 14, B ; 4618
+ LD *AR4+%, 15, A ; 4619
+ LD *AR4+%, 15, B ; 4620
+ LD *AR4+0%, A ; 4621
+ LD *AR4+0%, B ; 4622
+ LD *AR4+0%, -16, A ; 4623
+ LD *AR4+0%, -16, B ; 4624
+ LD *AR4+0%, -15, A ; 4625
+ LD *AR4+0%, -15, B ; 4626
+ LD *AR4+0%, -14, A ; 4627
+ LD *AR4+0%, -14, B ; 4628
+ LD *AR4+0%, -13, A ; 4629
+ LD *AR4+0%, -13, B ; 4630
+ LD *AR4+0%, -12, A ; 4631
+ LD *AR4+0%, -12, B ; 4632
+ LD *AR4+0%, -11, A ; 4633
+ LD *AR4+0%, -11, B ; 4634
+ LD *AR4+0%, -10, A ; 4635
+ LD *AR4+0%, -10, B ; 4636
+ LD *AR4+0%, -9, A ; 4637
+ LD *AR4+0%, -9, B ; 4638
+ LD *AR4+0%, -8, A ; 4639
+ LD *AR4+0%, -8, B ; 4640
+ LD *AR4+0%, -7, A ; 4641
+ LD *AR4+0%, -7, B ; 4642
+ LD *AR4+0%, -6, A ; 4643
+ LD *AR4+0%, -6, B ; 4644
+ LD *AR4+0%, -5, A ; 4645
+ LD *AR4+0%, -5, B ; 4646
+ LD *AR4+0%, -4, A ; 4647
+ LD *AR4+0%, -4, B ; 4648
+ LD *AR4+0%, -3, A ; 4649
+ LD *AR4+0%, -3, B ; 4650
+ LD *AR4+0%, -2, A ; 4651
+ LD *AR4+0%, -2, B ; 4652
+ LD *AR4+0%, -1, A ; 4653
+ LD *AR4+0%, -1, B ; 4654
+ LD *AR4+0%, 0, A ; 4655
+ LD *AR4+0%, 0, B ; 4656
+ LD *AR4+0%, 1, A ; 4657
+ LD *AR4+0%, 1, B ; 4658
+ LD *AR4+0%, 2, A ; 4659
+ LD *AR4+0%, 2, B ; 4660
+ LD *AR4+0%, 3, A ; 4661
+ LD *AR4+0%, 3, B ; 4662
+ LD *AR4+0%, 4, A ; 4663
+ LD *AR4+0%, 4, B ; 4664
+ LD *AR4+0%, 5, A ; 4665
+ LD *AR4+0%, 5, B ; 4666
+ LD *AR4+0%, 6, A ; 4667
+ LD *AR4+0%, 6, B ; 4668
+ LD *AR4+0%, 7, A ; 4669
+ LD *AR4+0%, 7, B ; 4670
+ LD *AR4+0%, 8, A ; 4671
+ LD *AR4+0%, 8, B ; 4672
+ LD *AR4+0%, 9, A ; 4673
+ LD *AR4+0%, 9, B ; 4674
+ LD *AR4+0%, 10, A ; 4675
+ LD *AR4+0%, 10, B ; 4676
+ LD *AR4+0%, 11, A ; 4677
+ LD *AR4+0%, 11, B ; 4678
+ LD *AR4+0%, 12, A ; 4679
+ LD *AR4+0%, 12, B ; 4680
+ LD *AR4+0%, 13, A ; 4681
+ LD *AR4+0%, 13, B ; 4682
+ LD *AR4+0%, 14, A ; 4683
+ LD *AR4+0%, 14, B ; 4684
+ LD *AR4+0%, 15, A ; 4685
+ LD *AR4+0%, 15, B ; 4686
+ LD *AR4(02680h), A ; 4687
+ LD *AR4(02681h), B ; 4688
+ LD *AR4(02682h), -16, A ; 4689
+ LD *AR4(02683h), -16, B ; 4690
+ LD *AR4(02684h), -15, A ; 4691
+ LD *AR4(02685h), -15, B ; 4692
+ LD *AR4(02686h), -14, A ; 4693
+ LD *AR4(02687h), -14, B ; 4694
+ LD *AR4(02688h), -13, A ; 4695
+ LD *AR4(02689h), -13, B ; 4696
+ LD *AR4(0268Ah), -12, A ; 4697
+ LD *AR4(0268Bh), -12, B ; 4698
+ LD *AR4(0268Ch), -11, A ; 4699
+ LD *AR4(0268Dh), -11, B ; 4700
+ LD *AR4(0268Eh), -10, A ; 4701
+ LD *AR4(0268Fh), -10, B ; 4702
+ LD *AR4(02690h), -9, A ; 4703
+ LD *AR4(02691h), -9, B ; 4704
+ LD *AR4(02692h), -8, A ; 4705
+ LD *AR4(02693h), -8, B ; 4706
+ LD *AR4(02694h), -7, A ; 4707
+ LD *AR4(02695h), -7, B ; 4708
+ LD *AR4(02696h), -6, A ; 4709
+ LD *AR4(02697h), -6, B ; 4710
+ LD *AR4(02698h), -5, A ; 4711
+ LD *AR4(02699h), -5, B ; 4712
+ LD *AR4(0269Ah), -4, A ; 4713
+ LD *AR4(0269Bh), -4, B ; 4714
+ LD *AR4(0269Ch), -3, A ; 4715
+ LD *AR4(0269Dh), -3, B ; 4716
+ LD *AR4(0269Eh), -2, A ; 4717
+ LD *AR4(0269Fh), -2, B ; 4718
+ LD *AR4(026A0h), -1, A ; 4719
+ LD *AR4(026A1h), -1, B ; 4720
+ LD *AR4(026A2h), 0, A ; 4721
+ LD *AR4(026A3h), 0, B ; 4722
+ LD *AR4(026A4h), 1, A ; 4723
+ LD *AR4(026A5h), 1, B ; 4724
+ LD *AR4(026A6h), 2, A ; 4725
+ LD *AR4(026A7h), 2, B ; 4726
+ LD *AR4(026A8h), 3, A ; 4727
+ LD *AR4(026A9h), 3, B ; 4728
+ LD *AR4(026AAh), 4, A ; 4729
+ LD *AR4(026ABh), 4, B ; 4730
+ LD *AR4(026ACh), 5, A ; 4731
+ LD *AR4(026ADh), 5, B ; 4732
+ LD *AR4(026AEh), 6, A ; 4733
+ LD *AR4(026AFh), 6, B ; 4734
+ LD *AR4(026B0h), 7, A ; 4735
+ LD *AR4(026B1h), 7, B ; 4736
+ LD *AR4(026B2h), 8, A ; 4737
+ LD *AR4(026B3h), 8, B ; 4738
+ LD *AR4(026B4h), 9, A ; 4739
+ LD *AR4(026B5h), 9, B ; 4740
+ LD *AR4(026B6h), 10, A ; 4741
+ LD *AR4(026B7h), 10, B ; 4742
+ LD *AR4(026B8h), 11, A ; 4743
+ LD *AR4(026B9h), 11, B ; 4744
+ LD *AR4(026BAh), 12, A ; 4745
+ LD *AR4(026BBh), 12, B ; 4746
+ LD *AR4(026BCh), 13, A ; 4747
+ LD *AR4(026BDh), 13, B ; 4748
+ LD *AR4(026BEh), 14, A ; 4749
+ LD *AR4(026BFh), 14, B ; 4750
+ LD *AR4(026C0h), 15, A ; 4751
+ LD *AR4(026C1h), 15, B ; 4752
+ LD *+AR4(026C2h), A ; 4753
+ LD *+AR4(026C3h), B ; 4754
+ LD *+AR4(026C4h), -16, A ; 4755
+ LD *+AR4(026C5h), -16, B ; 4756
+ LD *+AR4(026C6h), -15, A ; 4757
+ LD *+AR4(026C7h), -15, B ; 4758
+ LD *+AR4(026C8h), -14, A ; 4759
+ LD *+AR4(026C9h), -14, B ; 4760
+ LD *+AR4(026CAh), -13, A ; 4761
+ LD *+AR4(026CBh), -13, B ; 4762
+ LD *+AR4(026CCh), -12, A ; 4763
+ LD *+AR4(026CDh), -12, B ; 4764
+ LD *+AR4(026CEh), -11, A ; 4765
+ LD *+AR4(026CFh), -11, B ; 4766
+ LD *+AR4(026D0h), -10, A ; 4767
+ LD *+AR4(026D1h), -10, B ; 4768
+ LD *+AR4(026D2h), -9, A ; 4769
+ LD *+AR4(026D3h), -9, B ; 4770
+ LD *+AR4(026D4h), -8, A ; 4771
+ LD *+AR4(026D5h), -8, B ; 4772
+ LD *+AR4(026D6h), -7, A ; 4773
+ LD *+AR4(026D7h), -7, B ; 4774
+ LD *+AR4(026D8h), -6, A ; 4775
+ LD *+AR4(026D9h), -6, B ; 4776
+ LD *+AR4(026DAh), -5, A ; 4777
+ LD *+AR4(026DBh), -5, B ; 4778
+ LD *+AR4(026DCh), -4, A ; 4779
+ LD *+AR4(026DDh), -4, B ; 4780
+ LD *+AR4(026DEh), -3, A ; 4781
+ LD *+AR4(026DFh), -3, B ; 4782
+ LD *+AR4(026E0h), -2, A ; 4783
+ LD *+AR4(026E1h), -2, B ; 4784
+ LD *+AR4(026E2h), -1, A ; 4785
+ LD *+AR4(026E3h), -1, B ; 4786
+ LD *+AR4(026E4h), 0, A ; 4787
+ LD *+AR4(026E5h), 0, B ; 4788
+ LD *+AR4(026E6h), 1, A ; 4789
+ LD *+AR4(026E7h), 1, B ; 4790
+ LD *+AR4(026E8h), 2, A ; 4791
+ LD *+AR4(026E9h), 2, B ; 4792
+ LD *+AR4(026EAh), 3, A ; 4793
+ LD *+AR4(026EBh), 3, B ; 4794
+ LD *+AR4(026ECh), 4, A ; 4795
+ LD *+AR4(026EDh), 4, B ; 4796
+ LD *+AR4(026EEh), 5, A ; 4797
+ LD *+AR4(026EFh), 5, B ; 4798
+ LD *+AR4(026F0h), 6, A ; 4799
+ LD *+AR4(026F1h), 6, B ; 4800
+ LD *+AR4(026F2h), 7, A ; 4801
+ LD *+AR4(026F3h), 7, B ; 4802
+ LD *+AR4(026F4h), 8, A ; 4803
+ LD *+AR4(026F5h), 8, B ; 4804
+ LD *+AR4(026F6h), 9, A ; 4805
+ LD *+AR4(026F7h), 9, B ; 4806
+ LD *+AR4(026F8h), 10, A ; 4807
+ LD *+AR4(026F9h), 10, B ; 4808
+ LD *+AR4(026FAh), 11, A ; 4809
+ LD *+AR4(026FBh), 11, B ; 4810
+ LD *+AR4(026FCh), 12, A ; 4811
+ LD *+AR4(026FDh), 12, B ; 4812
+ LD *+AR4(026FEh), 13, A ; 4813
+ LD *+AR4(026FFh), 13, B ; 4814
+ LD *+AR4(02700h), 14, A ; 4815
+ LD *+AR4(02701h), 14, B ; 4816
+ LD *+AR4(02702h), 15, A ; 4817
+ LD *+AR4(02703h), 15, B ; 4818
+ LD *+AR4(02704h)%, A ; 4819
+ LD *+AR4(02705h)%, B ; 4820
+ LD *+AR4(02706h)%, -16, A ; 4821
+ LD *+AR4(02707h)%, -16, B ; 4822
+ LD *+AR4(02708h)%, -15, A ; 4823
+ LD *+AR4(02709h)%, -15, B ; 4824
+ LD *+AR4(0270Ah)%, -14, A ; 4825
+ LD *+AR4(0270Bh)%, -14, B ; 4826
+ LD *+AR4(0270Ch)%, -13, A ; 4827
+ LD *+AR4(0270Dh)%, -13, B ; 4828
+ LD *+AR4(0270Eh)%, -12, A ; 4829
+ LD *+AR4(0270Fh)%, -12, B ; 4830
+ LD *+AR4(02710h)%, -11, A ; 4831
+ LD *+AR4(02711h)%, -11, B ; 4832
+ LD *+AR4(02712h)%, -10, A ; 4833
+ LD *+AR4(02713h)%, -10, B ; 4834
+ LD *+AR4(02714h)%, -9, A ; 4835
+ LD *+AR4(02715h)%, -9, B ; 4836
+ LD *+AR4(02716h)%, -8, A ; 4837
+ LD *+AR4(02717h)%, -8, B ; 4838
+ LD *+AR4(02718h)%, -7, A ; 4839
+ LD *+AR4(02719h)%, -7, B ; 4840
+ LD *+AR4(0271Ah)%, -6, A ; 4841
+ LD *+AR4(0271Bh)%, -6, B ; 4842
+ LD *+AR4(0271Ch)%, -5, A ; 4843
+ LD *+AR4(0271Dh)%, -5, B ; 4844
+ LD *+AR4(0271Eh)%, -4, A ; 4845
+ LD *+AR4(0271Fh)%, -4, B ; 4846
+ LD *+AR4(02720h)%, -3, A ; 4847
+ LD *+AR4(02721h)%, -3, B ; 4848
+ LD *+AR4(02722h)%, -2, A ; 4849
+ LD *+AR4(02723h)%, -2, B ; 4850
+ LD *+AR4(02724h)%, -1, A ; 4851
+ LD *+AR4(02725h)%, -1, B ; 4852
+ LD *+AR4(02726h)%, 0, A ; 4853
+ LD *+AR4(02727h)%, 0, B ; 4854
+ LD *+AR4(02728h)%, 1, A ; 4855
+ LD *+AR4(02729h)%, 1, B ; 4856
+ LD *+AR4(0272Ah)%, 2, A ; 4857
+ LD *+AR4(0272Bh)%, 2, B ; 4858
+ LD *+AR4(0272Ch)%, 3, A ; 4859
+ LD *+AR4(0272Dh)%, 3, B ; 4860
+ LD *+AR4(0272Eh)%, 4, A ; 4861
+ LD *+AR4(0272Fh)%, 4, B ; 4862
+ LD *+AR4(02730h)%, 5, A ; 4863
+ LD *+AR4(02731h)%, 5, B ; 4864
+ LD *+AR4(02732h)%, 6, A ; 4865
+ LD *+AR4(02733h)%, 6, B ; 4866
+ LD *+AR4(02734h)%, 7, A ; 4867
+ LD *+AR4(02735h)%, 7, B ; 4868
+ LD *+AR4(02736h)%, 8, A ; 4869
+ LD *+AR4(02737h)%, 8, B ; 4870
+ LD *+AR4(02738h)%, 9, A ; 4871
+ LD *+AR4(02739h)%, 9, B ; 4872
+ LD *+AR4(0273Ah)%, 10, A ; 4873
+ LD *+AR4(0273Bh)%, 10, B ; 4874
+ LD *+AR4(0273Ch)%, 11, A ; 4875
+ LD *+AR4(0273Dh)%, 11, B ; 4876
+ LD *+AR4(0273Eh)%, 12, A ; 4877
+ LD *+AR4(0273Fh)%, 12, B ; 4878
+ LD *+AR4(02740h)%, 13, A ; 4879
+ LD *+AR4(02741h)%, 13, B ; 4880
+ LD *+AR4(02742h)%, 14, A ; 4881
+ LD *+AR4(02743h)%, 14, B ; 4882
+ LD *+AR4(02744h)%, 15, A ; 4883
+ LD *+AR4(02745h)%, 15, B ; 4884
+ LD *(02746h), A ; 4885
+ LD *(02747h), B ; 4886
+ LD *(02748h), -16, A ; 4887
+ LD *(02749h), -16, B ; 4888
+ LD *(0274Ah), -15, A ; 4889
+ LD *(0274Bh), -15, B ; 4890
+ LD *(0274Ch), -14, A ; 4891
+ LD *(0274Dh), -14, B ; 4892
+ LD *(0274Eh), -13, A ; 4893
+ LD *(0274Fh), -13, B ; 4894
+ LD *(02750h), -12, A ; 4895
+ LD *(02751h), -12, B ; 4896
+ LD *(02752h), -11, A ; 4897
+ LD *(02753h), -11, B ; 4898
+ LD *(02754h), -10, A ; 4899
+ LD *(02755h), -10, B ; 4900
+ LD *(02756h), -9, A ; 4901
+ LD *(02757h), -9, B ; 4902
+ LD *(02758h), -8, A ; 4903
+ LD *(02759h), -8, B ; 4904
+ LD *(0275Ah), -7, A ; 4905
+ LD *(0275Bh), -7, B ; 4906
+ LD *(0275Ch), -6, A ; 4907
+ LD *(0275Dh), -6, B ; 4908
+ LD *(0275Eh), -5, A ; 4909
+ LD *(0275Fh), -5, B ; 4910
+ LD *(02760h), -4, A ; 4911
+ LD *(02761h), -4, B ; 4912
+ LD *(02762h), -3, A ; 4913
+ LD *(02763h), -3, B ; 4914
+ LD *(02764h), -2, A ; 4915
+ LD *(02765h), -2, B ; 4916
+ LD *(02766h), -1, A ; 4917
+ LD *(02767h), -1, B ; 4918
+ LD *(02768h), 0, A ; 4919
+ LD *(02769h), 0, B ; 4920
+ LD *(0276Ah), 1, A ; 4921
+ LD *(0276Bh), 1, B ; 4922
+ LD *(0276Ch), 2, A ; 4923
+ LD *(0276Dh), 2, B ; 4924
+ LD *(0276Eh), 3, A ; 4925
+ LD *(0276Fh), 3, B ; 4926
+ LD *(02770h), 4, A ; 4927
+ LD *(02771h), 4, B ; 4928
+ LD *(02772h), 5, A ; 4929
+ LD *(02773h), 5, B ; 4930
+ LD *(02774h), 6, A ; 4931
+ LD *(02775h), 6, B ; 4932
+ LD *(02776h), 7, A ; 4933
+ LD *(02777h), 7, B ; 4934
+ LD *(02778h), 8, A ; 4935
+ LD *(02779h), 8, B ; 4936
+ LD *(0277Ah), 9, A ; 4937
+ LD *(0277Bh), 9, B ; 4938
+ LD *(0277Ch), 10, A ; 4939
+ LD *(0277Dh), 10, B ; 4940
+ LD *(0277Eh), 11, A ; 4941
+ LD *(0277Fh), 11, B ; 4942
+ LD *(02780h), 12, A ; 4943
+ LD *(02781h), 12, B ; 4944
+ LD *(02782h), 13, A ; 4945
+ LD *(02783h), 13, B ; 4946
+ LD *(02784h), 14, A ; 4947
+ LD *(02785h), 14, B ; 4948
+ LD *(02786h), 15, A ; 4949
+ LD *(02787h), 15, B ; 4950
+ LD *AR5, A ; 4951
+ LD *AR5, B ; 4952
+ LD *AR5, -16, A ; 4953
+ LD *AR5, -16, B ; 4954
+ LD *AR5, -15, A ; 4955
+ LD *AR5, -15, B ; 4956
+ LD *AR5, -14, A ; 4957
+ LD *AR5, -14, B ; 4958
+ LD *AR5, -13, A ; 4959
+ LD *AR5, -13, B ; 4960
+ LD *AR5, -12, A ; 4961
+ LD *AR5, -12, B ; 4962
+ LD *AR5, -11, A ; 4963
+ LD *AR5, -11, B ; 4964
+ LD *AR5, -10, A ; 4965
+ LD *AR5, -10, B ; 4966
+ LD *AR5, -9, A ; 4967
+ LD *AR5, -9, B ; 4968
+ LD *AR5, -8, A ; 4969
+ LD *AR5, -8, B ; 4970
+ LD *AR5, -7, A ; 4971
+ LD *AR5, -7, B ; 4972
+ LD *AR5, -6, A ; 4973
+ LD *AR5, -6, B ; 4974
+ LD *AR5, -5, A ; 4975
+ LD *AR5, -5, B ; 4976
+ LD *AR5, -4, A ; 4977
+ LD *AR5, -4, B ; 4978
+ LD *AR5, -3, A ; 4979
+ LD *AR5, -3, B ; 4980
+ LD *AR5, -2, A ; 4981
+ LD *AR5, -2, B ; 4982
+ LD *AR5, -1, A ; 4983
+ LD *AR5, -1, B ; 4984
+ LD *AR5, 0, A ; 4985
+ LD *AR5, 0, B ; 4986
+ LD *AR5, 1, A ; 4987
+ LD *AR5, 1, B ; 4988
+ LD *AR5, 2, A ; 4989
+ LD *AR5, 2, B ; 4990
+ LD *AR5, 3, A ; 4991
+ LD *AR5, 3, B ; 4992
+ LD *AR5, 4, A ; 4993
+ LD *AR5, 4, B ; 4994
+ LD *AR5, 5, A ; 4995
+ LD *AR5, 5, B ; 4996
+ LD *AR5, 6, A ; 4997
+ LD *AR5, 6, B ; 4998
+ LD *AR5, 7, A ; 4999
+ LD *AR5, 7, B ; 5000
+ LD *AR5, 8, A ; 5001
+ LD *AR5, 8, B ; 5002
+ LD *AR5, 9, A ; 5003
+ LD *AR5, 9, B ; 5004
+ LD *AR5, 10, A ; 5005
+ LD *AR5, 10, B ; 5006
+ LD *AR5, 11, A ; 5007
+ LD *AR5, 11, B ; 5008
+ LD *AR5, 12, A ; 5009
+ LD *AR5, 12, B ; 5010
+ LD *AR5, 13, A ; 5011
+ LD *AR5, 13, B ; 5012
+ LD *AR5, 14, A ; 5013
+ LD *AR5, 14, B ; 5014
+ LD *AR5, 15, A ; 5015
+ LD *AR5, 15, B ; 5016
+ LD *AR5-, A ; 5017
+ LD *AR5-, B ; 5018
+ LD *AR5-, -16, A ; 5019
+ LD *AR5-, -16, B ; 5020
+ LD *AR5-, -15, A ; 5021
+ LD *AR5-, -15, B ; 5022
+ LD *AR5-, -14, A ; 5023
+ LD *AR5-, -14, B ; 5024
+ LD *AR5-, -13, A ; 5025
+ LD *AR5-, -13, B ; 5026
+ LD *AR5-, -12, A ; 5027
+ LD *AR5-, -12, B ; 5028
+ LD *AR5-, -11, A ; 5029
+ LD *AR5-, -11, B ; 5030
+ LD *AR5-, -10, A ; 5031
+ LD *AR5-, -10, B ; 5032
+ LD *AR5-, -9, A ; 5033
+ LD *AR5-, -9, B ; 5034
+ LD *AR5-, -8, A ; 5035
+ LD *AR5-, -8, B ; 5036
+ LD *AR5-, -7, A ; 5037
+ LD *AR5-, -7, B ; 5038
+ LD *AR5-, -6, A ; 5039
+ LD *AR5-, -6, B ; 5040
+ LD *AR5-, -5, A ; 5041
+ LD *AR5-, -5, B ; 5042
+ LD *AR5-, -4, A ; 5043
+ LD *AR5-, -4, B ; 5044
+ LD *AR5-, -3, A ; 5045
+ LD *AR5-, -3, B ; 5046
+ LD *AR5-, -2, A ; 5047
+ LD *AR5-, -2, B ; 5048
+ LD *AR5-, -1, A ; 5049
+ LD *AR5-, -1, B ; 5050
+ LD *AR5-, 0, A ; 5051
+ LD *AR5-, 0, B ; 5052
+ LD *AR5-, 1, A ; 5053
+ LD *AR5-, 1, B ; 5054
+ LD *AR5-, 2, A ; 5055
+ LD *AR5-, 2, B ; 5056
+ LD *AR5-, 3, A ; 5057
+ LD *AR5-, 3, B ; 5058
+ LD *AR5-, 4, A ; 5059
+ LD *AR5-, 4, B ; 5060
+ LD *AR5-, 5, A ; 5061
+ LD *AR5-, 5, B ; 5062
+ LD *AR5-, 6, A ; 5063
+ LD *AR5-, 6, B ; 5064
+ LD *AR5-, 7, A ; 5065
+ LD *AR5-, 7, B ; 5066
+ LD *AR5-, 8, A ; 5067
+ LD *AR5-, 8, B ; 5068
+ LD *AR5-, 9, A ; 5069
+ LD *AR5-, 9, B ; 5070
+ LD *AR5-, 10, A ; 5071
+ LD *AR5-, 10, B ; 5072
+ LD *AR5-, 11, A ; 5073
+ LD *AR5-, 11, B ; 5074
+ LD *AR5-, 12, A ; 5075
+ LD *AR5-, 12, B ; 5076
+ LD *AR5-, 13, A ; 5077
+ LD *AR5-, 13, B ; 5078
+ LD *AR5-, 14, A ; 5079
+ LD *AR5-, 14, B ; 5080
+ LD *AR5-, 15, A ; 5081
+ LD *AR5-, 15, B ; 5082
+ LD *AR5+, A ; 5083
+ LD *AR5+, B ; 5084
+ LD *AR5+, -16, A ; 5085
+ LD *AR5+, -16, B ; 5086
+ LD *AR5+, -15, A ; 5087
+ LD *AR5+, -15, B ; 5088
+ LD *AR5+, -14, A ; 5089
+ LD *AR5+, -14, B ; 5090
+ LD *AR5+, -13, A ; 5091
+ LD *AR5+, -13, B ; 5092
+ LD *AR5+, -12, A ; 5093
+ LD *AR5+, -12, B ; 5094
+ LD *AR5+, -11, A ; 5095
+ LD *AR5+, -11, B ; 5096
+ LD *AR5+, -10, A ; 5097
+ LD *AR5+, -10, B ; 5098
+ LD *AR5+, -9, A ; 5099
+ LD *AR5+, -9, B ; 5100
+ LD *AR5+, -8, A ; 5101
+ LD *AR5+, -8, B ; 5102
+ LD *AR5+, -7, A ; 5103
+ LD *AR5+, -7, B ; 5104
+ LD *AR5+, -6, A ; 5105
+ LD *AR5+, -6, B ; 5106
+ LD *AR5+, -5, A ; 5107
+ LD *AR5+, -5, B ; 5108
+ LD *AR5+, -4, A ; 5109
+ LD *AR5+, -4, B ; 5110
+ LD *AR5+, -3, A ; 5111
+ LD *AR5+, -3, B ; 5112
+ LD *AR5+, -2, A ; 5113
+ LD *AR5+, -2, B ; 5114
+ LD *AR5+, -1, A ; 5115
+ LD *AR5+, -1, B ; 5116
+ LD *AR5+, 0, A ; 5117
+ LD *AR5+, 0, B ; 5118
+ LD *AR5+, 1, A ; 5119
+ LD *AR5+, 1, B ; 5120
+ LD *AR5+, 2, A ; 5121
+ LD *AR5+, 2, B ; 5122
+ LD *AR5+, 3, A ; 5123
+ LD *AR5+, 3, B ; 5124
+ LD *AR5+, 4, A ; 5125
+ LD *AR5+, 4, B ; 5126
+ LD *AR5+, 5, A ; 5127
+ LD *AR5+, 5, B ; 5128
+ LD *AR5+, 6, A ; 5129
+ LD *AR5+, 6, B ; 5130
+ LD *AR5+, 7, A ; 5131
+ LD *AR5+, 7, B ; 5132
+ LD *AR5+, 8, A ; 5133
+ LD *AR5+, 8, B ; 5134
+ LD *AR5+, 9, A ; 5135
+ LD *AR5+, 9, B ; 5136
+ LD *AR5+, 10, A ; 5137
+ LD *AR5+, 10, B ; 5138
+ LD *AR5+, 11, A ; 5139
+ LD *AR5+, 11, B ; 5140
+ LD *AR5+, 12, A ; 5141
+ LD *AR5+, 12, B ; 5142
+ LD *AR5+, 13, A ; 5143
+ LD *AR5+, 13, B ; 5144
+ LD *AR5+, 14, A ; 5145
+ LD *AR5+, 14, B ; 5146
+ LD *AR5+, 15, A ; 5147
+ LD *AR5+, 15, B ; 5148
+ LD *AR5-0B, A ; 5149
+ LD *AR5-0B, B ; 5150
+ LD *AR5-0B, -16, A ; 5151
+ LD *AR5-0B, -16, B ; 5152
+ LD *AR5-0B, -15, A ; 5153
+ LD *AR5-0B, -15, B ; 5154
+ LD *AR5-0B, -14, A ; 5155
+ LD *AR5-0B, -14, B ; 5156
+ LD *AR5-0B, -13, A ; 5157
+ LD *AR5-0B, -13, B ; 5158
+ LD *AR5-0B, -12, A ; 5159
+ LD *AR5-0B, -12, B ; 5160
+ LD *AR5-0B, -11, A ; 5161
+ LD *AR5-0B, -11, B ; 5162
+ LD *AR5-0B, -10, A ; 5163
+ LD *AR5-0B, -10, B ; 5164
+ LD *AR5-0B, -9, A ; 5165
+ LD *AR5-0B, -9, B ; 5166
+ LD *AR5-0B, -8, A ; 5167
+ LD *AR5-0B, -8, B ; 5168
+ LD *AR5-0B, -7, A ; 5169
+ LD *AR5-0B, -7, B ; 5170
+ LD *AR5-0B, -6, A ; 5171
+ LD *AR5-0B, -6, B ; 5172
+ LD *AR5-0B, -5, A ; 5173
+ LD *AR5-0B, -5, B ; 5174
+ LD *AR5-0B, -4, A ; 5175
+ LD *AR5-0B, -4, B ; 5176
+ LD *AR5-0B, -3, A ; 5177
+ LD *AR5-0B, -3, B ; 5178
+ LD *AR5-0B, -2, A ; 5179
+ LD *AR5-0B, -2, B ; 5180
+ LD *AR5-0B, -1, A ; 5181
+ LD *AR5-0B, -1, B ; 5182
+ LD *AR5-0B, 0, A ; 5183
+ LD *AR5-0B, 0, B ; 5184
+ LD *AR5-0B, 1, A ; 5185
+ LD *AR5-0B, 1, B ; 5186
+ LD *AR5-0B, 2, A ; 5187
+ LD *AR5-0B, 2, B ; 5188
+ LD *AR5-0B, 3, A ; 5189
+ LD *AR5-0B, 3, B ; 5190
+ LD *AR5-0B, 4, A ; 5191
+ LD *AR5-0B, 4, B ; 5192
+ LD *AR5-0B, 5, A ; 5193
+ LD *AR5-0B, 5, B ; 5194
+ LD *AR5-0B, 6, A ; 5195
+ LD *AR5-0B, 6, B ; 5196
+ LD *AR5-0B, 7, A ; 5197
+ LD *AR5-0B, 7, B ; 5198
+ LD *AR5-0B, 8, A ; 5199
+ LD *AR5-0B, 8, B ; 5200
+ LD *AR5-0B, 9, A ; 5201
+ LD *AR5-0B, 9, B ; 5202
+ LD *AR5-0B, 10, A ; 5203
+ LD *AR5-0B, 10, B ; 5204
+ LD *AR5-0B, 11, A ; 5205
+ LD *AR5-0B, 11, B ; 5206
+ LD *AR5-0B, 12, A ; 5207
+ LD *AR5-0B, 12, B ; 5208
+ LD *AR5-0B, 13, A ; 5209
+ LD *AR5-0B, 13, B ; 5210
+ LD *AR5-0B, 14, A ; 5211
+ LD *AR5-0B, 14, B ; 5212
+ LD *AR5-0B, 15, A ; 5213
+ LD *AR5-0B, 15, B ; 5214
+ LD *AR5-0, A ; 5215
+ LD *AR5-0, B ; 5216
+ LD *AR5-0, -16, A ; 5217
+ LD *AR5-0, -16, B ; 5218
+ LD *AR5-0, -15, A ; 5219
+ LD *AR5-0, -15, B ; 5220
+ LD *AR5-0, -14, A ; 5221
+ LD *AR5-0, -14, B ; 5222
+ LD *AR5-0, -13, A ; 5223
+ LD *AR5-0, -13, B ; 5224
+ LD *AR5-0, -12, A ; 5225
+ LD *AR5-0, -12, B ; 5226
+ LD *AR5-0, -11, A ; 5227
+ LD *AR5-0, -11, B ; 5228
+ LD *AR5-0, -10, A ; 5229
+ LD *AR5-0, -10, B ; 5230
+ LD *AR5-0, -9, A ; 5231
+ LD *AR5-0, -9, B ; 5232
+ LD *AR5-0, -8, A ; 5233
+ LD *AR5-0, -8, B ; 5234
+ LD *AR5-0, -7, A ; 5235
+ LD *AR5-0, -7, B ; 5236
+ LD *AR5-0, -6, A ; 5237
+ LD *AR5-0, -6, B ; 5238
+ LD *AR5-0, -5, A ; 5239
+ LD *AR5-0, -5, B ; 5240
+ LD *AR5-0, -4, A ; 5241
+ LD *AR5-0, -4, B ; 5242
+ LD *AR5-0, -3, A ; 5243
+ LD *AR5-0, -3, B ; 5244
+ LD *AR5-0, -2, A ; 5245
+ LD *AR5-0, -2, B ; 5246
+ LD *AR5-0, -1, A ; 5247
+ LD *AR5-0, -1, B ; 5248
+ LD *AR5-0, 0, A ; 5249
+ LD *AR5-0, 0, B ; 5250
+ LD *AR5-0, 1, A ; 5251
+ LD *AR5-0, 1, B ; 5252
+ LD *AR5-0, 2, A ; 5253
+ LD *AR5-0, 2, B ; 5254
+ LD *AR5-0, 3, A ; 5255
+ LD *AR5-0, 3, B ; 5256
+ LD *AR5-0, 4, A ; 5257
+ LD *AR5-0, 4, B ; 5258
+ LD *AR5-0, 5, A ; 5259
+ LD *AR5-0, 5, B ; 5260
+ LD *AR5-0, 6, A ; 5261
+ LD *AR5-0, 6, B ; 5262
+ LD *AR5-0, 7, A ; 5263
+ LD *AR5-0, 7, B ; 5264
+ LD *AR5-0, 8, A ; 5265
+ LD *AR5-0, 8, B ; 5266
+ LD *AR5-0, 9, A ; 5267
+ LD *AR5-0, 9, B ; 5268
+ LD *AR5-0, 10, A ; 5269
+ LD *AR5-0, 10, B ; 5270
+ LD *AR5-0, 11, A ; 5271
+ LD *AR5-0, 11, B ; 5272
+ LD *AR5-0, 12, A ; 5273
+ LD *AR5-0, 12, B ; 5274
+ LD *AR5-0, 13, A ; 5275
+ LD *AR5-0, 13, B ; 5276
+ LD *AR5-0, 14, A ; 5277
+ LD *AR5-0, 14, B ; 5278
+ LD *AR5-0, 15, A ; 5279
+ LD *AR5-0, 15, B ; 5280
+ LD *AR5+0, A ; 5281
+ LD *AR5+0, B ; 5282
+ LD *AR5+0, -16, A ; 5283
+ LD *AR5+0, -16, B ; 5284
+ LD *AR5+0, -15, A ; 5285
+ LD *AR5+0, -15, B ; 5286
+ LD *AR5+0, -14, A ; 5287
+ LD *AR5+0, -14, B ; 5288
+ LD *AR5+0, -13, A ; 5289
+ LD *AR5+0, -13, B ; 5290
+ LD *AR5+0, -12, A ; 5291
+ LD *AR5+0, -12, B ; 5292
+ LD *AR5+0, -11, A ; 5293
+ LD *AR5+0, -11, B ; 5294
+ LD *AR5+0, -10, A ; 5295
+ LD *AR5+0, -10, B ; 5296
+ LD *AR5+0, -9, A ; 5297
+ LD *AR5+0, -9, B ; 5298
+ LD *AR5+0, -8, A ; 5299
+ LD *AR5+0, -8, B ; 5300
+ LD *AR5+0, -7, A ; 5301
+ LD *AR5+0, -7, B ; 5302
+ LD *AR5+0, -6, A ; 5303
+ LD *AR5+0, -6, B ; 5304
+ LD *AR5+0, -5, A ; 5305
+ LD *AR5+0, -5, B ; 5306
+ LD *AR5+0, -4, A ; 5307
+ LD *AR5+0, -4, B ; 5308
+ LD *AR5+0, -3, A ; 5309
+ LD *AR5+0, -3, B ; 5310
+ LD *AR5+0, -2, A ; 5311
+ LD *AR5+0, -2, B ; 5312
+ LD *AR5+0, -1, A ; 5313
+ LD *AR5+0, -1, B ; 5314
+ LD *AR5+0, 0, A ; 5315
+ LD *AR5+0, 0, B ; 5316
+ LD *AR5+0, 1, A ; 5317
+ LD *AR5+0, 1, B ; 5318
+ LD *AR5+0, 2, A ; 5319
+ LD *AR5+0, 2, B ; 5320
+ LD *AR5+0, 3, A ; 5321
+ LD *AR5+0, 3, B ; 5322
+ LD *AR5+0, 4, A ; 5323
+ LD *AR5+0, 4, B ; 5324
+ LD *AR5+0, 5, A ; 5325
+ LD *AR5+0, 5, B ; 5326
+ LD *AR5+0, 6, A ; 5327
+ LD *AR5+0, 6, B ; 5328
+ LD *AR5+0, 7, A ; 5329
+ LD *AR5+0, 7, B ; 5330
+ LD *AR5+0, 8, A ; 5331
+ LD *AR5+0, 8, B ; 5332
+ LD *AR5+0, 9, A ; 5333
+ LD *AR5+0, 9, B ; 5334
+ LD *AR5+0, 10, A ; 5335
+ LD *AR5+0, 10, B ; 5336
+ LD *AR5+0, 11, A ; 5337
+ LD *AR5+0, 11, B ; 5338
+ LD *AR5+0, 12, A ; 5339
+ LD *AR5+0, 12, B ; 5340
+ LD *AR5+0, 13, A ; 5341
+ LD *AR5+0, 13, B ; 5342
+ LD *AR5+0, 14, A ; 5343
+ LD *AR5+0, 14, B ; 5344
+ LD *AR5+0, 15, A ; 5345
+ LD *AR5+0, 15, B ; 5346
+ LD *AR5+0B, A ; 5347
+ LD *AR5+0B, B ; 5348
+ LD *AR5+0B, -16, A ; 5349
+ LD *AR5+0B, -16, B ; 5350
+ LD *AR5+0B, -15, A ; 5351
+ LD *AR5+0B, -15, B ; 5352
+ LD *AR5+0B, -14, A ; 5353
+ LD *AR5+0B, -14, B ; 5354
+ LD *AR5+0B, -13, A ; 5355
+ LD *AR5+0B, -13, B ; 5356
+ LD *AR5+0B, -12, A ; 5357
+ LD *AR5+0B, -12, B ; 5358
+ LD *AR5+0B, -11, A ; 5359
+ LD *AR5+0B, -11, B ; 5360
+ LD *AR5+0B, -10, A ; 5361
+ LD *AR5+0B, -10, B ; 5362
+ LD *AR5+0B, -9, A ; 5363
+ LD *AR5+0B, -9, B ; 5364
+ LD *AR5+0B, -8, A ; 5365
+ LD *AR5+0B, -8, B ; 5366
+ LD *AR5+0B, -7, A ; 5367
+ LD *AR5+0B, -7, B ; 5368
+ LD *AR5+0B, -6, A ; 5369
+ LD *AR5+0B, -6, B ; 5370
+ LD *AR5+0B, -5, A ; 5371
+ LD *AR5+0B, -5, B ; 5372
+ LD *AR5+0B, -4, A ; 5373
+ LD *AR5+0B, -4, B ; 5374
+ LD *AR5+0B, -3, A ; 5375
+ LD *AR5+0B, -3, B ; 5376
+ LD *AR5+0B, -2, A ; 5377
+ LD *AR5+0B, -2, B ; 5378
+ LD *AR5+0B, -1, A ; 5379
+ LD *AR5+0B, -1, B ; 5380
+ LD *AR5+0B, 0, A ; 5381
+ LD *AR5+0B, 0, B ; 5382
+ LD *AR5+0B, 1, A ; 5383
+ LD *AR5+0B, 1, B ; 5384
+ LD *AR5+0B, 2, A ; 5385
+ LD *AR5+0B, 2, B ; 5386
+ LD *AR5+0B, 3, A ; 5387
+ LD *AR5+0B, 3, B ; 5388
+ LD *AR5+0B, 4, A ; 5389
+ LD *AR5+0B, 4, B ; 5390
+ LD *AR5+0B, 5, A ; 5391
+ LD *AR5+0B, 5, B ; 5392
+ LD *AR5+0B, 6, A ; 5393
+ LD *AR5+0B, 6, B ; 5394
+ LD *AR5+0B, 7, A ; 5395
+ LD *AR5+0B, 7, B ; 5396
+ LD *AR5+0B, 8, A ; 5397
+ LD *AR5+0B, 8, B ; 5398
+ LD *AR5+0B, 9, A ; 5399
+ LD *AR5+0B, 9, B ; 5400
+ LD *AR5+0B, 10, A ; 5401
+ LD *AR5+0B, 10, B ; 5402
+ LD *AR5+0B, 11, A ; 5403
+ LD *AR5+0B, 11, B ; 5404
+ LD *AR5+0B, 12, A ; 5405
+ LD *AR5+0B, 12, B ; 5406
+ LD *AR5+0B, 13, A ; 5407
+ LD *AR5+0B, 13, B ; 5408
+ LD *AR5+0B, 14, A ; 5409
+ LD *AR5+0B, 14, B ; 5410
+ LD *AR5+0B, 15, A ; 5411
+ LD *AR5+0B, 15, B ; 5412
+ LD *AR5-%, A ; 5413
+ LD *AR5-%, B ; 5414
+ LD *AR5-%, -16, A ; 5415
+ LD *AR5-%, -16, B ; 5416
+ LD *AR5-%, -15, A ; 5417
+ LD *AR5-%, -15, B ; 5418
+ LD *AR5-%, -14, A ; 5419
+ LD *AR5-%, -14, B ; 5420
+ LD *AR5-%, -13, A ; 5421
+ LD *AR5-%, -13, B ; 5422
+ LD *AR5-%, -12, A ; 5423
+ LD *AR5-%, -12, B ; 5424
+ LD *AR5-%, -11, A ; 5425
+ LD *AR5-%, -11, B ; 5426
+ LD *AR5-%, -10, A ; 5427
+ LD *AR5-%, -10, B ; 5428
+ LD *AR5-%, -9, A ; 5429
+ LD *AR5-%, -9, B ; 5430
+ LD *AR5-%, -8, A ; 5431
+ LD *AR5-%, -8, B ; 5432
+ LD *AR5-%, -7, A ; 5433
+ LD *AR5-%, -7, B ; 5434
+ LD *AR5-%, -6, A ; 5435
+ LD *AR5-%, -6, B ; 5436
+ LD *AR5-%, -5, A ; 5437
+ LD *AR5-%, -5, B ; 5438
+ LD *AR5-%, -4, A ; 5439
+ LD *AR5-%, -4, B ; 5440
+ LD *AR5-%, -3, A ; 5441
+ LD *AR5-%, -3, B ; 5442
+ LD *AR5-%, -2, A ; 5443
+ LD *AR5-%, -2, B ; 5444
+ LD *AR5-%, -1, A ; 5445
+ LD *AR5-%, -1, B ; 5446
+ LD *AR5-%, 0, A ; 5447
+ LD *AR5-%, 0, B ; 5448
+ LD *AR5-%, 1, A ; 5449
+ LD *AR5-%, 1, B ; 5450
+ LD *AR5-%, 2, A ; 5451
+ LD *AR5-%, 2, B ; 5452
+ LD *AR5-%, 3, A ; 5453
+ LD *AR5-%, 3, B ; 5454
+ LD *AR5-%, 4, A ; 5455
+ LD *AR5-%, 4, B ; 5456
+ LD *AR5-%, 5, A ; 5457
+ LD *AR5-%, 5, B ; 5458
+ LD *AR5-%, 6, A ; 5459
+ LD *AR5-%, 6, B ; 5460
+ LD *AR5-%, 7, A ; 5461
+ LD *AR5-%, 7, B ; 5462
+ LD *AR5-%, 8, A ; 5463
+ LD *AR5-%, 8, B ; 5464
+ LD *AR5-%, 9, A ; 5465
+ LD *AR5-%, 9, B ; 5466
+ LD *AR5-%, 10, A ; 5467
+ LD *AR5-%, 10, B ; 5468
+ LD *AR5-%, 11, A ; 5469
+ LD *AR5-%, 11, B ; 5470
+ LD *AR5-%, 12, A ; 5471
+ LD *AR5-%, 12, B ; 5472
+ LD *AR5-%, 13, A ; 5473
+ LD *AR5-%, 13, B ; 5474
+ LD *AR5-%, 14, A ; 5475
+ LD *AR5-%, 14, B ; 5476
+ LD *AR5-%, 15, A ; 5477
+ LD *AR5-%, 15, B ; 5478
+ LD *AR5-0%, A ; 5479
+ LD *AR5-0%, B ; 5480
+ LD *AR5-0%, -16, A ; 5481
+ LD *AR5-0%, -16, B ; 5482
+ LD *AR5-0%, -15, A ; 5483
+ LD *AR5-0%, -15, B ; 5484
+ LD *AR5-0%, -14, A ; 5485
+ LD *AR5-0%, -14, B ; 5486
+ LD *AR5-0%, -13, A ; 5487
+ LD *AR5-0%, -13, B ; 5488
+ LD *AR5-0%, -12, A ; 5489
+ LD *AR5-0%, -12, B ; 5490
+ LD *AR5-0%, -11, A ; 5491
+ LD *AR5-0%, -11, B ; 5492
+ LD *AR5-0%, -10, A ; 5493
+ LD *AR5-0%, -10, B ; 5494
+ LD *AR5-0%, -9, A ; 5495
+ LD *AR5-0%, -9, B ; 5496
+ LD *AR5-0%, -8, A ; 5497
+ LD *AR5-0%, -8, B ; 5498
+ LD *AR5-0%, -7, A ; 5499
+ LD *AR5-0%, -7, B ; 5500
+ LD *AR5-0%, -6, A ; 5501
+ LD *AR5-0%, -6, B ; 5502
+ LD *AR5-0%, -5, A ; 5503
+ LD *AR5-0%, -5, B ; 5504
+ LD *AR5-0%, -4, A ; 5505
+ LD *AR5-0%, -4, B ; 5506
+ LD *AR5-0%, -3, A ; 5507
+ LD *AR5-0%, -3, B ; 5508
+ LD *AR5-0%, -2, A ; 5509
+ LD *AR5-0%, -2, B ; 5510
+ LD *AR5-0%, -1, A ; 5511
+ LD *AR5-0%, -1, B ; 5512
+ LD *AR5-0%, 0, A ; 5513
+ LD *AR5-0%, 0, B ; 5514
+ LD *AR5-0%, 1, A ; 5515
+ LD *AR5-0%, 1, B ; 5516
+ LD *AR5-0%, 2, A ; 5517
+ LD *AR5-0%, 2, B ; 5518
+ LD *AR5-0%, 3, A ; 5519
+ LD *AR5-0%, 3, B ; 5520
+ LD *AR5-0%, 4, A ; 5521
+ LD *AR5-0%, 4, B ; 5522
+ LD *AR5-0%, 5, A ; 5523
+ LD *AR5-0%, 5, B ; 5524
+ LD *AR5-0%, 6, A ; 5525
+ LD *AR5-0%, 6, B ; 5526
+ LD *AR5-0%, 7, A ; 5527
+ LD *AR5-0%, 7, B ; 5528
+ LD *AR5-0%, 8, A ; 5529
+ LD *AR5-0%, 8, B ; 5530
+ LD *AR5-0%, 9, A ; 5531
+ LD *AR5-0%, 9, B ; 5532
+ LD *AR5-0%, 10, A ; 5533
+ LD *AR5-0%, 10, B ; 5534
+ LD *AR5-0%, 11, A ; 5535
+ LD *AR5-0%, 11, B ; 5536
+ LD *AR5-0%, 12, A ; 5537
+ LD *AR5-0%, 12, B ; 5538
+ LD *AR5-0%, 13, A ; 5539
+ LD *AR5-0%, 13, B ; 5540
+ LD *AR5-0%, 14, A ; 5541
+ LD *AR5-0%, 14, B ; 5542
+ LD *AR5-0%, 15, A ; 5543
+ LD *AR5-0%, 15, B ; 5544
+ LD *AR5+%, A ; 5545
+ LD *AR5+%, B ; 5546
+ LD *AR5+%, -16, A ; 5547
+ LD *AR5+%, -16, B ; 5548
+ LD *AR5+%, -15, A ; 5549
+ LD *AR5+%, -15, B ; 5550
+ LD *AR5+%, -14, A ; 5551
+ LD *AR5+%, -14, B ; 5552
+ LD *AR5+%, -13, A ; 5553
+ LD *AR5+%, -13, B ; 5554
+ LD *AR5+%, -12, A ; 5555
+ LD *AR5+%, -12, B ; 5556
+ LD *AR5+%, -11, A ; 5557
+ LD *AR5+%, -11, B ; 5558
+ LD *AR5+%, -10, A ; 5559
+ LD *AR5+%, -10, B ; 5560
+ LD *AR5+%, -9, A ; 5561
+ LD *AR5+%, -9, B ; 5562
+ LD *AR5+%, -8, A ; 5563
+ LD *AR5+%, -8, B ; 5564
+ LD *AR5+%, -7, A ; 5565
+ LD *AR5+%, -7, B ; 5566
+ LD *AR5+%, -6, A ; 5567
+ LD *AR5+%, -6, B ; 5568
+ LD *AR5+%, -5, A ; 5569
+ LD *AR5+%, -5, B ; 5570
+ LD *AR5+%, -4, A ; 5571
+ LD *AR5+%, -4, B ; 5572
+ LD *AR5+%, -3, A ; 5573
+ LD *AR5+%, -3, B ; 5574
+ LD *AR5+%, -2, A ; 5575
+ LD *AR5+%, -2, B ; 5576
+ LD *AR5+%, -1, A ; 5577
+ LD *AR5+%, -1, B ; 5578
+ LD *AR5+%, 0, A ; 5579
+ LD *AR5+%, 0, B ; 5580
+ LD *AR5+%, 1, A ; 5581
+ LD *AR5+%, 1, B ; 5582
+ LD *AR5+%, 2, A ; 5583
+ LD *AR5+%, 2, B ; 5584
+ LD *AR5+%, 3, A ; 5585
+ LD *AR5+%, 3, B ; 5586
+ LD *AR5+%, 4, A ; 5587
+ LD *AR5+%, 4, B ; 5588
+ LD *AR5+%, 5, A ; 5589
+ LD *AR5+%, 5, B ; 5590
+ LD *AR5+%, 6, A ; 5591
+ LD *AR5+%, 6, B ; 5592
+ LD *AR5+%, 7, A ; 5593
+ LD *AR5+%, 7, B ; 5594
+ LD *AR5+%, 8, A ; 5595
+ LD *AR5+%, 8, B ; 5596
+ LD *AR5+%, 9, A ; 5597
+ LD *AR5+%, 9, B ; 5598
+ LD *AR5+%, 10, A ; 5599
+ LD *AR5+%, 10, B ; 5600
+ LD *AR5+%, 11, A ; 5601
+ LD *AR5+%, 11, B ; 5602
+ LD *AR5+%, 12, A ; 5603
+ LD *AR5+%, 12, B ; 5604
+ LD *AR5+%, 13, A ; 5605
+ LD *AR5+%, 13, B ; 5606
+ LD *AR5+%, 14, A ; 5607
+ LD *AR5+%, 14, B ; 5608
+ LD *AR5+%, 15, A ; 5609
+ LD *AR5+%, 15, B ; 5610
+ LD *AR5+0%, A ; 5611
+ LD *AR5+0%, B ; 5612
+ LD *AR5+0%, -16, A ; 5613
+ LD *AR5+0%, -16, B ; 5614
+ LD *AR5+0%, -15, A ; 5615
+ LD *AR5+0%, -15, B ; 5616
+ LD *AR5+0%, -14, A ; 5617
+ LD *AR5+0%, -14, B ; 5618
+ LD *AR5+0%, -13, A ; 5619
+ LD *AR5+0%, -13, B ; 5620
+ LD *AR5+0%, -12, A ; 5621
+ LD *AR5+0%, -12, B ; 5622
+ LD *AR5+0%, -11, A ; 5623
+ LD *AR5+0%, -11, B ; 5624
+ LD *AR5+0%, -10, A ; 5625
+ LD *AR5+0%, -10, B ; 5626
+ LD *AR5+0%, -9, A ; 5627
+ LD *AR5+0%, -9, B ; 5628
+ LD *AR5+0%, -8, A ; 5629
+ LD *AR5+0%, -8, B ; 5630
+ LD *AR5+0%, -7, A ; 5631
+ LD *AR5+0%, -7, B ; 5632
+ LD *AR5+0%, -6, A ; 5633
+ LD *AR5+0%, -6, B ; 5634
+ LD *AR5+0%, -5, A ; 5635
+ LD *AR5+0%, -5, B ; 5636
+ LD *AR5+0%, -4, A ; 5637
+ LD *AR5+0%, -4, B ; 5638
+ LD *AR5+0%, -3, A ; 5639
+ LD *AR5+0%, -3, B ; 5640
+ LD *AR5+0%, -2, A ; 5641
+ LD *AR5+0%, -2, B ; 5642
+ LD *AR5+0%, -1, A ; 5643
+ LD *AR5+0%, -1, B ; 5644
+ LD *AR5+0%, 0, A ; 5645
+ LD *AR5+0%, 0, B ; 5646
+ LD *AR5+0%, 1, A ; 5647
+ LD *AR5+0%, 1, B ; 5648
+ LD *AR5+0%, 2, A ; 5649
+ LD *AR5+0%, 2, B ; 5650
+ LD *AR5+0%, 3, A ; 5651
+ LD *AR5+0%, 3, B ; 5652
+ LD *AR5+0%, 4, A ; 5653
+ LD *AR5+0%, 4, B ; 5654
+ LD *AR5+0%, 5, A ; 5655
+ LD *AR5+0%, 5, B ; 5656
+ LD *AR5+0%, 6, A ; 5657
+ LD *AR5+0%, 6, B ; 5658
+ LD *AR5+0%, 7, A ; 5659
+ LD *AR5+0%, 7, B ; 5660
+ LD *AR5+0%, 8, A ; 5661
+ LD *AR5+0%, 8, B ; 5662
+ LD *AR5+0%, 9, A ; 5663
+ LD *AR5+0%, 9, B ; 5664
+ LD *AR5+0%, 10, A ; 5665
+ LD *AR5+0%, 10, B ; 5666
+ LD *AR5+0%, 11, A ; 5667
+ LD *AR5+0%, 11, B ; 5668
+ LD *AR5+0%, 12, A ; 5669
+ LD *AR5+0%, 12, B ; 5670
+ LD *AR5+0%, 13, A ; 5671
+ LD *AR5+0%, 13, B ; 5672
+ LD *AR5+0%, 14, A ; 5673
+ LD *AR5+0%, 14, B ; 5674
+ LD *AR5+0%, 15, A ; 5675
+ LD *AR5+0%, 15, B ; 5676
+ LD *AR5(02788h), A ; 5677
+ LD *AR5(02789h), B ; 5678
+ LD *AR5(0278Ah), -16, A ; 5679
+ LD *AR5(0278Bh), -16, B ; 5680
+ LD *AR5(0278Ch), -15, A ; 5681
+ LD *AR5(0278Dh), -15, B ; 5682
+ LD *AR5(0278Eh), -14, A ; 5683
+ LD *AR5(0278Fh), -14, B ; 5684
+ LD *AR5(02790h), -13, A ; 5685
+ LD *AR5(02791h), -13, B ; 5686
+ LD *AR5(02792h), -12, A ; 5687
+ LD *AR5(02793h), -12, B ; 5688
+ LD *AR5(02794h), -11, A ; 5689
+ LD *AR5(02795h), -11, B ; 5690
+ LD *AR5(02796h), -10, A ; 5691
+ LD *AR5(02797h), -10, B ; 5692
+ LD *AR5(02798h), -9, A ; 5693
+ LD *AR5(02799h), -9, B ; 5694
+ LD *AR5(0279Ah), -8, A ; 5695
+ LD *AR5(0279Bh), -8, B ; 5696
+ LD *AR5(0279Ch), -7, A ; 5697
+ LD *AR5(0279Dh), -7, B ; 5698
+ LD *AR5(0279Eh), -6, A ; 5699
+ LD *AR5(0279Fh), -6, B ; 5700
+ LD *AR5(027A0h), -5, A ; 5701
+ LD *AR5(027A1h), -5, B ; 5702
+ LD *AR5(027A2h), -4, A ; 5703
+ LD *AR5(027A3h), -4, B ; 5704
+ LD *AR5(027A4h), -3, A ; 5705
+ LD *AR5(027A5h), -3, B ; 5706
+ LD *AR5(027A6h), -2, A ; 5707
+ LD *AR5(027A7h), -2, B ; 5708
+ LD *AR5(027A8h), -1, A ; 5709
+ LD *AR5(027A9h), -1, B ; 5710
+ LD *AR5(027AAh), 0, A ; 5711
+ LD *AR5(027ABh), 0, B ; 5712
+ LD *AR5(027ACh), 1, A ; 5713
+ LD *AR5(027ADh), 1, B ; 5714
+ LD *AR5(027AEh), 2, A ; 5715
+ LD *AR5(027AFh), 2, B ; 5716
+ LD *AR5(027B0h), 3, A ; 5717
+ LD *AR5(027B1h), 3, B ; 5718
+ LD *AR5(027B2h), 4, A ; 5719
+ LD *AR5(027B3h), 4, B ; 5720
+ LD *AR5(027B4h), 5, A ; 5721
+ LD *AR5(027B5h), 5, B ; 5722
+ LD *AR5(027B6h), 6, A ; 5723
+ LD *AR5(027B7h), 6, B ; 5724
+ LD *AR5(027B8h), 7, A ; 5725
+ LD *AR5(027B9h), 7, B ; 5726
+ LD *AR5(027BAh), 8, A ; 5727
+ LD *AR5(027BBh), 8, B ; 5728
+ LD *AR5(027BCh), 9, A ; 5729
+ LD *AR5(027BDh), 9, B ; 5730
+ LD *AR5(027BEh), 10, A ; 5731
+ LD *AR5(027BFh), 10, B ; 5732
+ LD *AR5(027C0h), 11, A ; 5733
+ LD *AR5(027C1h), 11, B ; 5734
+ LD *AR5(027C2h), 12, A ; 5735
+ LD *AR5(027C3h), 12, B ; 5736
+ LD *AR5(027C4h), 13, A ; 5737
+ LD *AR5(027C5h), 13, B ; 5738
+ LD *AR5(027C6h), 14, A ; 5739
+ LD *AR5(027C7h), 14, B ; 5740
+ LD *AR5(027C8h), 15, A ; 5741
+ LD *AR5(027C9h), 15, B ; 5742
+ LD *+AR5(027CAh), A ; 5743
+ LD *+AR5(027CBh), B ; 5744
+ LD *+AR5(027CCh), -16, A ; 5745
+ LD *+AR5(027CDh), -16, B ; 5746
+ LD *+AR5(027CEh), -15, A ; 5747
+ LD *+AR5(027CFh), -15, B ; 5748
+ LD *+AR5(027D0h), -14, A ; 5749
+ LD *+AR5(027D1h), -14, B ; 5750
+ LD *+AR5(027D2h), -13, A ; 5751
+ LD *+AR5(027D3h), -13, B ; 5752
+ LD *+AR5(027D4h), -12, A ; 5753
+ LD *+AR5(027D5h), -12, B ; 5754
+ LD *+AR5(027D6h), -11, A ; 5755
+ LD *+AR5(027D7h), -11, B ; 5756
+ LD *+AR5(027D8h), -10, A ; 5757
+ LD *+AR5(027D9h), -10, B ; 5758
+ LD *+AR5(027DAh), -9, A ; 5759
+ LD *+AR5(027DBh), -9, B ; 5760
+ LD *+AR5(027DCh), -8, A ; 5761
+ LD *+AR5(027DDh), -8, B ; 5762
+ LD *+AR5(027DEh), -7, A ; 5763
+ LD *+AR5(027DFh), -7, B ; 5764
+ LD *+AR5(027E0h), -6, A ; 5765
+ LD *+AR5(027E1h), -6, B ; 5766
+ LD *+AR5(027E2h), -5, A ; 5767
+ LD *+AR5(027E3h), -5, B ; 5768
+ LD *+AR5(027E4h), -4, A ; 5769
+ LD *+AR5(027E5h), -4, B ; 5770
+ LD *+AR5(027E6h), -3, A ; 5771
+ LD *+AR5(027E7h), -3, B ; 5772
+ LD *+AR5(027E8h), -2, A ; 5773
+ LD *+AR5(027E9h), -2, B ; 5774
+ LD *+AR5(027EAh), -1, A ; 5775
+ LD *+AR5(027EBh), -1, B ; 5776
+ LD *+AR5(027ECh), 0, A ; 5777
+ LD *+AR5(027EDh), 0, B ; 5778
+ LD *+AR5(027EEh), 1, A ; 5779
+ LD *+AR5(027EFh), 1, B ; 5780
+ LD *+AR5(027F0h), 2, A ; 5781
+ LD *+AR5(027F1h), 2, B ; 5782
+ LD *+AR5(027F2h), 3, A ; 5783
+ LD *+AR5(027F3h), 3, B ; 5784
+ LD *+AR5(027F4h), 4, A ; 5785
+ LD *+AR5(027F5h), 4, B ; 5786
+ LD *+AR5(027F6h), 5, A ; 5787
+ LD *+AR5(027F7h), 5, B ; 5788
+ LD *+AR5(027F8h), 6, A ; 5789
+ LD *+AR5(027F9h), 6, B ; 5790
+ LD *+AR5(027FAh), 7, A ; 5791
+ LD *+AR5(027FBh), 7, B ; 5792
+ LD *+AR5(027FCh), 8, A ; 5793
+ LD *+AR5(027FDh), 8, B ; 5794
+ LD *+AR5(027FEh), 9, A ; 5795
+ LD *+AR5(027FFh), 9, B ; 5796
+ LD *+AR5(02800h), 10, A ; 5797
+ LD *+AR5(02801h), 10, B ; 5798
+ LD *+AR5(02802h), 11, A ; 5799
+ LD *+AR5(02803h), 11, B ; 5800
+ LD *+AR5(02804h), 12, A ; 5801
+ LD *+AR5(02805h), 12, B ; 5802
+ LD *+AR5(02806h), 13, A ; 5803
+ LD *+AR5(02807h), 13, B ; 5804
+ LD *+AR5(02808h), 14, A ; 5805
+ LD *+AR5(02809h), 14, B ; 5806
+ LD *+AR5(0280Ah), 15, A ; 5807
+ LD *+AR5(0280Bh), 15, B ; 5808
+ LD *+AR5(0280Ch)%, A ; 5809
+ LD *+AR5(0280Dh)%, B ; 5810
+ LD *+AR5(0280Eh)%, -16, A ; 5811
+ LD *+AR5(0280Fh)%, -16, B ; 5812
+ LD *+AR5(02810h)%, -15, A ; 5813
+ LD *+AR5(02811h)%, -15, B ; 5814
+ LD *+AR5(02812h)%, -14, A ; 5815
+ LD *+AR5(02813h)%, -14, B ; 5816
+ LD *+AR5(02814h)%, -13, A ; 5817
+ LD *+AR5(02815h)%, -13, B ; 5818
+ LD *+AR5(02816h)%, -12, A ; 5819
+ LD *+AR5(02817h)%, -12, B ; 5820
+ LD *+AR5(02818h)%, -11, A ; 5821
+ LD *+AR5(02819h)%, -11, B ; 5822
+ LD *+AR5(0281Ah)%, -10, A ; 5823
+ LD *+AR5(0281Bh)%, -10, B ; 5824
+ LD *+AR5(0281Ch)%, -9, A ; 5825
+ LD *+AR5(0281Dh)%, -9, B ; 5826
+ LD *+AR5(0281Eh)%, -8, A ; 5827
+ LD *+AR5(0281Fh)%, -8, B ; 5828
+ LD *+AR5(02820h)%, -7, A ; 5829
+ LD *+AR5(02821h)%, -7, B ; 5830
+ LD *+AR5(02822h)%, -6, A ; 5831
+ LD *+AR5(02823h)%, -6, B ; 5832
+ LD *+AR5(02824h)%, -5, A ; 5833
+ LD *+AR5(02825h)%, -5, B ; 5834
+ LD *+AR5(02826h)%, -4, A ; 5835
+ LD *+AR5(02827h)%, -4, B ; 5836
+ LD *+AR5(02828h)%, -3, A ; 5837
+ LD *+AR5(02829h)%, -3, B ; 5838
+ LD *+AR5(0282Ah)%, -2, A ; 5839
+ LD *+AR5(0282Bh)%, -2, B ; 5840
+ LD *+AR5(0282Ch)%, -1, A ; 5841
+ LD *+AR5(0282Dh)%, -1, B ; 5842
+ LD *+AR5(0282Eh)%, 0, A ; 5843
+ LD *+AR5(0282Fh)%, 0, B ; 5844
+ LD *+AR5(02830h)%, 1, A ; 5845
+ LD *+AR5(02831h)%, 1, B ; 5846
+ LD *+AR5(02832h)%, 2, A ; 5847
+ LD *+AR5(02833h)%, 2, B ; 5848
+ LD *+AR5(02834h)%, 3, A ; 5849
+ LD *+AR5(02835h)%, 3, B ; 5850
+ LD *+AR5(02836h)%, 4, A ; 5851
+ LD *+AR5(02837h)%, 4, B ; 5852
+ LD *+AR5(02838h)%, 5, A ; 5853
+ LD *+AR5(02839h)%, 5, B ; 5854
+ LD *+AR5(0283Ah)%, 6, A ; 5855
+ LD *+AR5(0283Bh)%, 6, B ; 5856
+ LD *+AR5(0283Ch)%, 7, A ; 5857
+ LD *+AR5(0283Dh)%, 7, B ; 5858
+ LD *+AR5(0283Eh)%, 8, A ; 5859
+ LD *+AR5(0283Fh)%, 8, B ; 5860
+ LD *+AR5(02840h)%, 9, A ; 5861
+ LD *+AR5(02841h)%, 9, B ; 5862
+ LD *+AR5(02842h)%, 10, A ; 5863
+ LD *+AR5(02843h)%, 10, B ; 5864
+ LD *+AR5(02844h)%, 11, A ; 5865
+ LD *+AR5(02845h)%, 11, B ; 5866
+ LD *+AR5(02846h)%, 12, A ; 5867
+ LD *+AR5(02847h)%, 12, B ; 5868
+ LD *+AR5(02848h)%, 13, A ; 5869
+ LD *+AR5(02849h)%, 13, B ; 5870
+ LD *+AR5(0284Ah)%, 14, A ; 5871
+ LD *+AR5(0284Bh)%, 14, B ; 5872
+ LD *+AR5(0284Ch)%, 15, A ; 5873
+ LD *+AR5(0284Dh)%, 15, B ; 5874
+ LD *(0284Eh), A ; 5875
+ LD *(0284Fh), B ; 5876
+ LD *(02850h), -16, A ; 5877
+ LD *(02851h), -16, B ; 5878
+ LD *(02852h), -15, A ; 5879
+ LD *(02853h), -15, B ; 5880
+ LD *(02854h), -14, A ; 5881
+ LD *(02855h), -14, B ; 5882
+ LD *(02856h), -13, A ; 5883
+ LD *(02857h), -13, B ; 5884
+ LD *(02858h), -12, A ; 5885
+ LD *(02859h), -12, B ; 5886
+ LD *(0285Ah), -11, A ; 5887
+ LD *(0285Bh), -11, B ; 5888
+ LD *(0285Ch), -10, A ; 5889
+ LD *(0285Dh), -10, B ; 5890
+ LD *(0285Eh), -9, A ; 5891
+ LD *(0285Fh), -9, B ; 5892
+ LD *(02860h), -8, A ; 5893
+ LD *(02861h), -8, B ; 5894
+ LD *(02862h), -7, A ; 5895
+ LD *(02863h), -7, B ; 5896
+ LD *(02864h), -6, A ; 5897
+ LD *(02865h), -6, B ; 5898
+ LD *(02866h), -5, A ; 5899
+ LD *(02867h), -5, B ; 5900
+ LD *(02868h), -4, A ; 5901
+ LD *(02869h), -4, B ; 5902
+ LD *(0286Ah), -3, A ; 5903
+ LD *(0286Bh), -3, B ; 5904
+ LD *(0286Ch), -2, A ; 5905
+ LD *(0286Dh), -2, B ; 5906
+ LD *(0286Eh), -1, A ; 5907
+ LD *(0286Fh), -1, B ; 5908
+ LD *(02870h), 0, A ; 5909
+ LD *(02871h), 0, B ; 5910
+ LD *(02872h), 1, A ; 5911
+ LD *(02873h), 1, B ; 5912
+ LD *(02874h), 2, A ; 5913
+ LD *(02875h), 2, B ; 5914
+ LD *(02876h), 3, A ; 5915
+ LD *(02877h), 3, B ; 5916
+ LD *(02878h), 4, A ; 5917
+ LD *(02879h), 4, B ; 5918
+ LD *(0287Ah), 5, A ; 5919
+ LD *(0287Bh), 5, B ; 5920
+ LD *(0287Ch), 6, A ; 5921
+ LD *(0287Dh), 6, B ; 5922
+ LD *(0287Eh), 7, A ; 5923
+ LD *(0287Fh), 7, B ; 5924
+ LD *(02880h), 8, A ; 5925
+ LD *(02881h), 8, B ; 5926
+ LD *(02882h), 9, A ; 5927
+ LD *(02883h), 9, B ; 5928
+ LD *(02884h), 10, A ; 5929
+ LD *(02885h), 10, B ; 5930
+ LD *(02886h), 11, A ; 5931
+ LD *(02887h), 11, B ; 5932
+ LD *(02888h), 12, A ; 5933
+ LD *(02889h), 12, B ; 5934
+ LD *(0288Ah), 13, A ; 5935
+ LD *(0288Bh), 13, B ; 5936
+ LD *(0288Ch), 14, A ; 5937
+ LD *(0288Dh), 14, B ; 5938
+ LD *(0288Eh), 15, A ; 5939
+ LD *(0288Fh), 15, B ; 5940
+ LD *AR6, A ; 5941
+ LD *AR6, B ; 5942
+ LD *AR6, -16, A ; 5943
+ LD *AR6, -16, B ; 5944
+ LD *AR6, -15, A ; 5945
+ LD *AR6, -15, B ; 5946
+ LD *AR6, -14, A ; 5947
+ LD *AR6, -14, B ; 5948
+ LD *AR6, -13, A ; 5949
+ LD *AR6, -13, B ; 5950
+ LD *AR6, -12, A ; 5951
+ LD *AR6, -12, B ; 5952
+ LD *AR6, -11, A ; 5953
+ LD *AR6, -11, B ; 5954
+ LD *AR6, -10, A ; 5955
+ LD *AR6, -10, B ; 5956
+ LD *AR6, -9, A ; 5957
+ LD *AR6, -9, B ; 5958
+ LD *AR6, -8, A ; 5959
+ LD *AR6, -8, B ; 5960
+ LD *AR6, -7, A ; 5961
+ LD *AR6, -7, B ; 5962
+ LD *AR6, -6, A ; 5963
+ LD *AR6, -6, B ; 5964
+ LD *AR6, -5, A ; 5965
+ LD *AR6, -5, B ; 5966
+ LD *AR6, -4, A ; 5967
+ LD *AR6, -4, B ; 5968
+ LD *AR6, -3, A ; 5969
+ LD *AR6, -3, B ; 5970
+ LD *AR6, -2, A ; 5971
+ LD *AR6, -2, B ; 5972
+ LD *AR6, -1, A ; 5973
+ LD *AR6, -1, B ; 5974
+ LD *AR6, 0, A ; 5975
+ LD *AR6, 0, B ; 5976
+ LD *AR6, 1, A ; 5977
+ LD *AR6, 1, B ; 5978
+ LD *AR6, 2, A ; 5979
+ LD *AR6, 2, B ; 5980
+ LD *AR6, 3, A ; 5981
+ LD *AR6, 3, B ; 5982
+ LD *AR6, 4, A ; 5983
+ LD *AR6, 4, B ; 5984
+ LD *AR6, 5, A ; 5985
+ LD *AR6, 5, B ; 5986
+ LD *AR6, 6, A ; 5987
+ LD *AR6, 6, B ; 5988
+ LD *AR6, 7, A ; 5989
+ LD *AR6, 7, B ; 5990
+ LD *AR6, 8, A ; 5991
+ LD *AR6, 8, B ; 5992
+ LD *AR6, 9, A ; 5993
+ LD *AR6, 9, B ; 5994
+ LD *AR6, 10, A ; 5995
+ LD *AR6, 10, B ; 5996
+ LD *AR6, 11, A ; 5997
+ LD *AR6, 11, B ; 5998
+ LD *AR6, 12, A ; 5999
+ LD *AR6, 12, B ; 6000
+ LD *AR6, 13, A ; 6001
+ LD *AR6, 13, B ; 6002
+ LD *AR6, 14, A ; 6003
+ LD *AR6, 14, B ; 6004
+ LD *AR6, 15, A ; 6005
+ LD *AR6, 15, B ; 6006
+ LD *AR6-, A ; 6007
+ LD *AR6-, B ; 6008
+ LD *AR6-, -16, A ; 6009
+ LD *AR6-, -16, B ; 6010
+ LD *AR6-, -15, A ; 6011
+ LD *AR6-, -15, B ; 6012
+ LD *AR6-, -14, A ; 6013
+ LD *AR6-, -14, B ; 6014
+ LD *AR6-, -13, A ; 6015
+ LD *AR6-, -13, B ; 6016
+ LD *AR6-, -12, A ; 6017
+ LD *AR6-, -12, B ; 6018
+ LD *AR6-, -11, A ; 6019
+ LD *AR6-, -11, B ; 6020
+ LD *AR6-, -10, A ; 6021
+ LD *AR6-, -10, B ; 6022
+ LD *AR6-, -9, A ; 6023
+ LD *AR6-, -9, B ; 6024
+ LD *AR6-, -8, A ; 6025
+ LD *AR6-, -8, B ; 6026
+ LD *AR6-, -7, A ; 6027
+ LD *AR6-, -7, B ; 6028
+ LD *AR6-, -6, A ; 6029
+ LD *AR6-, -6, B ; 6030
+ LD *AR6-, -5, A ; 6031
+ LD *AR6-, -5, B ; 6032
+ LD *AR6-, -4, A ; 6033
+ LD *AR6-, -4, B ; 6034
+ LD *AR6-, -3, A ; 6035
+ LD *AR6-, -3, B ; 6036
+ LD *AR6-, -2, A ; 6037
+ LD *AR6-, -2, B ; 6038
+ LD *AR6-, -1, A ; 6039
+ LD *AR6-, -1, B ; 6040
+ LD *AR6-, 0, A ; 6041
+ LD *AR6-, 0, B ; 6042
+ LD *AR6-, 1, A ; 6043
+ LD *AR6-, 1, B ; 6044
+ LD *AR6-, 2, A ; 6045
+ LD *AR6-, 2, B ; 6046
+ LD *AR6-, 3, A ; 6047
+ LD *AR6-, 3, B ; 6048
+ LD *AR6-, 4, A ; 6049
+ LD *AR6-, 4, B ; 6050
+ LD *AR6-, 5, A ; 6051
+ LD *AR6-, 5, B ; 6052
+ LD *AR6-, 6, A ; 6053
+ LD *AR6-, 6, B ; 6054
+ LD *AR6-, 7, A ; 6055
+ LD *AR6-, 7, B ; 6056
+ LD *AR6-, 8, A ; 6057
+ LD *AR6-, 8, B ; 6058
+ LD *AR6-, 9, A ; 6059
+ LD *AR6-, 9, B ; 6060
+ LD *AR6-, 10, A ; 6061
+ LD *AR6-, 10, B ; 6062
+ LD *AR6-, 11, A ; 6063
+ LD *AR6-, 11, B ; 6064
+ LD *AR6-, 12, A ; 6065
+ LD *AR6-, 12, B ; 6066
+ LD *AR6-, 13, A ; 6067
+ LD *AR6-, 13, B ; 6068
+ LD *AR6-, 14, A ; 6069
+ LD *AR6-, 14, B ; 6070
+ LD *AR6-, 15, A ; 6071
+ LD *AR6-, 15, B ; 6072
+ LD *AR6+, A ; 6073
+ LD *AR6+, B ; 6074
+ LD *AR6+, -16, A ; 6075
+ LD *AR6+, -16, B ; 6076
+ LD *AR6+, -15, A ; 6077
+ LD *AR6+, -15, B ; 6078
+ LD *AR6+, -14, A ; 6079
+ LD *AR6+, -14, B ; 6080
+ LD *AR6+, -13, A ; 6081
+ LD *AR6+, -13, B ; 6082
+ LD *AR6+, -12, A ; 6083
+ LD *AR6+, -12, B ; 6084
+ LD *AR6+, -11, A ; 6085
+ LD *AR6+, -11, B ; 6086
+ LD *AR6+, -10, A ; 6087
+ LD *AR6+, -10, B ; 6088
+ LD *AR6+, -9, A ; 6089
+ LD *AR6+, -9, B ; 6090
+ LD *AR6+, -8, A ; 6091
+ LD *AR6+, -8, B ; 6092
+ LD *AR6+, -7, A ; 6093
+ LD *AR6+, -7, B ; 6094
+ LD *AR6+, -6, A ; 6095
+ LD *AR6+, -6, B ; 6096
+ LD *AR6+, -5, A ; 6097
+ LD *AR6+, -5, B ; 6098
+ LD *AR6+, -4, A ; 6099
+ LD *AR6+, -4, B ; 6100
+ LD *AR6+, -3, A ; 6101
+ LD *AR6+, -3, B ; 6102
+ LD *AR6+, -2, A ; 6103
+ LD *AR6+, -2, B ; 6104
+ LD *AR6+, -1, A ; 6105
+ LD *AR6+, -1, B ; 6106
+ LD *AR6+, 0, A ; 6107
+ LD *AR6+, 0, B ; 6108
+ LD *AR6+, 1, A ; 6109
+ LD *AR6+, 1, B ; 6110
+ LD *AR6+, 2, A ; 6111
+ LD *AR6+, 2, B ; 6112
+ LD *AR6+, 3, A ; 6113
+ LD *AR6+, 3, B ; 6114
+ LD *AR6+, 4, A ; 6115
+ LD *AR6+, 4, B ; 6116
+ LD *AR6+, 5, A ; 6117
+ LD *AR6+, 5, B ; 6118
+ LD *AR6+, 6, A ; 6119
+ LD *AR6+, 6, B ; 6120
+ LD *AR6+, 7, A ; 6121
+ LD *AR6+, 7, B ; 6122
+ LD *AR6+, 8, A ; 6123
+ LD *AR6+, 8, B ; 6124
+ LD *AR6+, 9, A ; 6125
+ LD *AR6+, 9, B ; 6126
+ LD *AR6+, 10, A ; 6127
+ LD *AR6+, 10, B ; 6128
+ LD *AR6+, 11, A ; 6129
+ LD *AR6+, 11, B ; 6130
+ LD *AR6+, 12, A ; 6131
+ LD *AR6+, 12, B ; 6132
+ LD *AR6+, 13, A ; 6133
+ LD *AR6+, 13, B ; 6134
+ LD *AR6+, 14, A ; 6135
+ LD *AR6+, 14, B ; 6136
+ LD *AR6+, 15, A ; 6137
+ LD *AR6+, 15, B ; 6138
+ LD *AR6-0B, A ; 6139
+ LD *AR6-0B, B ; 6140
+ LD *AR6-0B, -16, A ; 6141
+ LD *AR6-0B, -16, B ; 6142
+ LD *AR6-0B, -15, A ; 6143
+ LD *AR6-0B, -15, B ; 6144
+ LD *AR6-0B, -14, A ; 6145
+ LD *AR6-0B, -14, B ; 6146
+ LD *AR6-0B, -13, A ; 6147
+ LD *AR6-0B, -13, B ; 6148
+ LD *AR6-0B, -12, A ; 6149
+ LD *AR6-0B, -12, B ; 6150
+ LD *AR6-0B, -11, A ; 6151
+ LD *AR6-0B, -11, B ; 6152
+ LD *AR6-0B, -10, A ; 6153
+ LD *AR6-0B, -10, B ; 6154
+ LD *AR6-0B, -9, A ; 6155
+ LD *AR6-0B, -9, B ; 6156
+ LD *AR6-0B, -8, A ; 6157
+ LD *AR6-0B, -8, B ; 6158
+ LD *AR6-0B, -7, A ; 6159
+ LD *AR6-0B, -7, B ; 6160
+ LD *AR6-0B, -6, A ; 6161
+ LD *AR6-0B, -6, B ; 6162
+ LD *AR6-0B, -5, A ; 6163
+ LD *AR6-0B, -5, B ; 6164
+ LD *AR6-0B, -4, A ; 6165
+ LD *AR6-0B, -4, B ; 6166
+ LD *AR6-0B, -3, A ; 6167
+ LD *AR6-0B, -3, B ; 6168
+ LD *AR6-0B, -2, A ; 6169
+ LD *AR6-0B, -2, B ; 6170
+ LD *AR6-0B, -1, A ; 6171
+ LD *AR6-0B, -1, B ; 6172
+ LD *AR6-0B, 0, A ; 6173
+ LD *AR6-0B, 0, B ; 6174
+ LD *AR6-0B, 1, A ; 6175
+ LD *AR6-0B, 1, B ; 6176
+ LD *AR6-0B, 2, A ; 6177
+ LD *AR6-0B, 2, B ; 6178
+ LD *AR6-0B, 3, A ; 6179
+ LD *AR6-0B, 3, B ; 6180
+ LD *AR6-0B, 4, A ; 6181
+ LD *AR6-0B, 4, B ; 6182
+ LD *AR6-0B, 5, A ; 6183
+ LD *AR6-0B, 5, B ; 6184
+ LD *AR6-0B, 6, A ; 6185
+ LD *AR6-0B, 6, B ; 6186
+ LD *AR6-0B, 7, A ; 6187
+ LD *AR6-0B, 7, B ; 6188
+ LD *AR6-0B, 8, A ; 6189
+ LD *AR6-0B, 8, B ; 6190
+ LD *AR6-0B, 9, A ; 6191
+ LD *AR6-0B, 9, B ; 6192
+ LD *AR6-0B, 10, A ; 6193
+ LD *AR6-0B, 10, B ; 6194
+ LD *AR6-0B, 11, A ; 6195
+ LD *AR6-0B, 11, B ; 6196
+ LD *AR6-0B, 12, A ; 6197
+ LD *AR6-0B, 12, B ; 6198
+ LD *AR6-0B, 13, A ; 6199
+ LD *AR6-0B, 13, B ; 6200
+ LD *AR6-0B, 14, A ; 6201
+ LD *AR6-0B, 14, B ; 6202
+ LD *AR6-0B, 15, A ; 6203
+ LD *AR6-0B, 15, B ; 6204
+ LD *AR6-0, A ; 6205
+ LD *AR6-0, B ; 6206
+ LD *AR6-0, -16, A ; 6207
+ LD *AR6-0, -16, B ; 6208
+ LD *AR6-0, -15, A ; 6209
+ LD *AR6-0, -15, B ; 6210
+ LD *AR6-0, -14, A ; 6211
+ LD *AR6-0, -14, B ; 6212
+ LD *AR6-0, -13, A ; 6213
+ LD *AR6-0, -13, B ; 6214
+ LD *AR6-0, -12, A ; 6215
+ LD *AR6-0, -12, B ; 6216
+ LD *AR6-0, -11, A ; 6217
+ LD *AR6-0, -11, B ; 6218
+ LD *AR6-0, -10, A ; 6219
+ LD *AR6-0, -10, B ; 6220
+ LD *AR6-0, -9, A ; 6221
+ LD *AR6-0, -9, B ; 6222
+ LD *AR6-0, -8, A ; 6223
+ LD *AR6-0, -8, B ; 6224
+ LD *AR6-0, -7, A ; 6225
+ LD *AR6-0, -7, B ; 6226
+ LD *AR6-0, -6, A ; 6227
+ LD *AR6-0, -6, B ; 6228
+ LD *AR6-0, -5, A ; 6229
+ LD *AR6-0, -5, B ; 6230
+ LD *AR6-0, -4, A ; 6231
+ LD *AR6-0, -4, B ; 6232
+ LD *AR6-0, -3, A ; 6233
+ LD *AR6-0, -3, B ; 6234
+ LD *AR6-0, -2, A ; 6235
+ LD *AR6-0, -2, B ; 6236
+ LD *AR6-0, -1, A ; 6237
+ LD *AR6-0, -1, B ; 6238
+ LD *AR6-0, 0, A ; 6239
+ LD *AR6-0, 0, B ; 6240
+ LD *AR6-0, 1, A ; 6241
+ LD *AR6-0, 1, B ; 6242
+ LD *AR6-0, 2, A ; 6243
+ LD *AR6-0, 2, B ; 6244
+ LD *AR6-0, 3, A ; 6245
+ LD *AR6-0, 3, B ; 6246
+ LD *AR6-0, 4, A ; 6247
+ LD *AR6-0, 4, B ; 6248
+ LD *AR6-0, 5, A ; 6249
+ LD *AR6-0, 5, B ; 6250
+ LD *AR6-0, 6, A ; 6251
+ LD *AR6-0, 6, B ; 6252
+ LD *AR6-0, 7, A ; 6253
+ LD *AR6-0, 7, B ; 6254
+ LD *AR6-0, 8, A ; 6255
+ LD *AR6-0, 8, B ; 6256
+ LD *AR6-0, 9, A ; 6257
+ LD *AR6-0, 9, B ; 6258
+ LD *AR6-0, 10, A ; 6259
+ LD *AR6-0, 10, B ; 6260
+ LD *AR6-0, 11, A ; 6261
+ LD *AR6-0, 11, B ; 6262
+ LD *AR6-0, 12, A ; 6263
+ LD *AR6-0, 12, B ; 6264
+ LD *AR6-0, 13, A ; 6265
+ LD *AR6-0, 13, B ; 6266
+ LD *AR6-0, 14, A ; 6267
+ LD *AR6-0, 14, B ; 6268
+ LD *AR6-0, 15, A ; 6269
+ LD *AR6-0, 15, B ; 6270
+ LD *AR6+0, A ; 6271
+ LD *AR6+0, B ; 6272
+ LD *AR6+0, -16, A ; 6273
+ LD *AR6+0, -16, B ; 6274
+ LD *AR6+0, -15, A ; 6275
+ LD *AR6+0, -15, B ; 6276
+ LD *AR6+0, -14, A ; 6277
+ LD *AR6+0, -14, B ; 6278
+ LD *AR6+0, -13, A ; 6279
+ LD *AR6+0, -13, B ; 6280
+ LD *AR6+0, -12, A ; 6281
+ LD *AR6+0, -12, B ; 6282
+ LD *AR6+0, -11, A ; 6283
+ LD *AR6+0, -11, B ; 6284
+ LD *AR6+0, -10, A ; 6285
+ LD *AR6+0, -10, B ; 6286
+ LD *AR6+0, -9, A ; 6287
+ LD *AR6+0, -9, B ; 6288
+ LD *AR6+0, -8, A ; 6289
+ LD *AR6+0, -8, B ; 6290
+ LD *AR6+0, -7, A ; 6291
+ LD *AR6+0, -7, B ; 6292
+ LD *AR6+0, -6, A ; 6293
+ LD *AR6+0, -6, B ; 6294
+ LD *AR6+0, -5, A ; 6295
+ LD *AR6+0, -5, B ; 6296
+ LD *AR6+0, -4, A ; 6297
+ LD *AR6+0, -4, B ; 6298
+ LD *AR6+0, -3, A ; 6299
+ LD *AR6+0, -3, B ; 6300
+ LD *AR6+0, -2, A ; 6301
+ LD *AR6+0, -2, B ; 6302
+ LD *AR6+0, -1, A ; 6303
+ LD *AR6+0, -1, B ; 6304
+ LD *AR6+0, 0, A ; 6305
+ LD *AR6+0, 0, B ; 6306
+ LD *AR6+0, 1, A ; 6307
+ LD *AR6+0, 1, B ; 6308
+ LD *AR6+0, 2, A ; 6309
+ LD *AR6+0, 2, B ; 6310
+ LD *AR6+0, 3, A ; 6311
+ LD *AR6+0, 3, B ; 6312
+ LD *AR6+0, 4, A ; 6313
+ LD *AR6+0, 4, B ; 6314
+ LD *AR6+0, 5, A ; 6315
+ LD *AR6+0, 5, B ; 6316
+ LD *AR6+0, 6, A ; 6317
+ LD *AR6+0, 6, B ; 6318
+ LD *AR6+0, 7, A ; 6319
+ LD *AR6+0, 7, B ; 6320
+ LD *AR6+0, 8, A ; 6321
+ LD *AR6+0, 8, B ; 6322
+ LD *AR6+0, 9, A ; 6323
+ LD *AR6+0, 9, B ; 6324
+ LD *AR6+0, 10, A ; 6325
+ LD *AR6+0, 10, B ; 6326
+ LD *AR6+0, 11, A ; 6327
+ LD *AR6+0, 11, B ; 6328
+ LD *AR6+0, 12, A ; 6329
+ LD *AR6+0, 12, B ; 6330
+ LD *AR6+0, 13, A ; 6331
+ LD *AR6+0, 13, B ; 6332
+ LD *AR6+0, 14, A ; 6333
+ LD *AR6+0, 14, B ; 6334
+ LD *AR6+0, 15, A ; 6335
+ LD *AR6+0, 15, B ; 6336
+ LD *AR6+0B, A ; 6337
+ LD *AR6+0B, B ; 6338
+ LD *AR6+0B, -16, A ; 6339
+ LD *AR6+0B, -16, B ; 6340
+ LD *AR6+0B, -15, A ; 6341
+ LD *AR6+0B, -15, B ; 6342
+ LD *AR6+0B, -14, A ; 6343
+ LD *AR6+0B, -14, B ; 6344
+ LD *AR6+0B, -13, A ; 6345
+ LD *AR6+0B, -13, B ; 6346
+ LD *AR6+0B, -12, A ; 6347
+ LD *AR6+0B, -12, B ; 6348
+ LD *AR6+0B, -11, A ; 6349
+ LD *AR6+0B, -11, B ; 6350
+ LD *AR6+0B, -10, A ; 6351
+ LD *AR6+0B, -10, B ; 6352
+ LD *AR6+0B, -9, A ; 6353
+ LD *AR6+0B, -9, B ; 6354
+ LD *AR6+0B, -8, A ; 6355
+ LD *AR6+0B, -8, B ; 6356
+ LD *AR6+0B, -7, A ; 6357
+ LD *AR6+0B, -7, B ; 6358
+ LD *AR6+0B, -6, A ; 6359
+ LD *AR6+0B, -6, B ; 6360
+ LD *AR6+0B, -5, A ; 6361
+ LD *AR6+0B, -5, B ; 6362
+ LD *AR6+0B, -4, A ; 6363
+ LD *AR6+0B, -4, B ; 6364
+ LD *AR6+0B, -3, A ; 6365
+ LD *AR6+0B, -3, B ; 6366
+ LD *AR6+0B, -2, A ; 6367
+ LD *AR6+0B, -2, B ; 6368
+ LD *AR6+0B, -1, A ; 6369
+ LD *AR6+0B, -1, B ; 6370
+ LD *AR6+0B, 0, A ; 6371
+ LD *AR6+0B, 0, B ; 6372
+ LD *AR6+0B, 1, A ; 6373
+ LD *AR6+0B, 1, B ; 6374
+ LD *AR6+0B, 2, A ; 6375
+ LD *AR6+0B, 2, B ; 6376
+ LD *AR6+0B, 3, A ; 6377
+ LD *AR6+0B, 3, B ; 6378
+ LD *AR6+0B, 4, A ; 6379
+ LD *AR6+0B, 4, B ; 6380
+ LD *AR6+0B, 5, A ; 6381
+ LD *AR6+0B, 5, B ; 6382
+ LD *AR6+0B, 6, A ; 6383
+ LD *AR6+0B, 6, B ; 6384
+ LD *AR6+0B, 7, A ; 6385
+ LD *AR6+0B, 7, B ; 6386
+ LD *AR6+0B, 8, A ; 6387
+ LD *AR6+0B, 8, B ; 6388
+ LD *AR6+0B, 9, A ; 6389
+ LD *AR6+0B, 9, B ; 6390
+ LD *AR6+0B, 10, A ; 6391
+ LD *AR6+0B, 10, B ; 6392
+ LD *AR6+0B, 11, A ; 6393
+ LD *AR6+0B, 11, B ; 6394
+ LD *AR6+0B, 12, A ; 6395
+ LD *AR6+0B, 12, B ; 6396
+ LD *AR6+0B, 13, A ; 6397
+ LD *AR6+0B, 13, B ; 6398
+ LD *AR6+0B, 14, A ; 6399
+ LD *AR6+0B, 14, B ; 6400
+ LD *AR6+0B, 15, A ; 6401
+ LD *AR6+0B, 15, B ; 6402
+ LD *AR6-%, A ; 6403
+ LD *AR6-%, B ; 6404
+ LD *AR6-%, -16, A ; 6405
+ LD *AR6-%, -16, B ; 6406
+ LD *AR6-%, -15, A ; 6407
+ LD *AR6-%, -15, B ; 6408
+ LD *AR6-%, -14, A ; 6409
+ LD *AR6-%, -14, B ; 6410
+ LD *AR6-%, -13, A ; 6411
+ LD *AR6-%, -13, B ; 6412
+ LD *AR6-%, -12, A ; 6413
+ LD *AR6-%, -12, B ; 6414
+ LD *AR6-%, -11, A ; 6415
+ LD *AR6-%, -11, B ; 6416
+ LD *AR6-%, -10, A ; 6417
+ LD *AR6-%, -10, B ; 6418
+ LD *AR6-%, -9, A ; 6419
+ LD *AR6-%, -9, B ; 6420
+ LD *AR6-%, -8, A ; 6421
+ LD *AR6-%, -8, B ; 6422
+ LD *AR6-%, -7, A ; 6423
+ LD *AR6-%, -7, B ; 6424
+ LD *AR6-%, -6, A ; 6425
+ LD *AR6-%, -6, B ; 6426
+ LD *AR6-%, -5, A ; 6427
+ LD *AR6-%, -5, B ; 6428
+ LD *AR6-%, -4, A ; 6429
+ LD *AR6-%, -4, B ; 6430
+ LD *AR6-%, -3, A ; 6431
+ LD *AR6-%, -3, B ; 6432
+ LD *AR6-%, -2, A ; 6433
+ LD *AR6-%, -2, B ; 6434
+ LD *AR6-%, -1, A ; 6435
+ LD *AR6-%, -1, B ; 6436
+ LD *AR6-%, 0, A ; 6437
+ LD *AR6-%, 0, B ; 6438
+ LD *AR6-%, 1, A ; 6439
+ LD *AR6-%, 1, B ; 6440
+ LD *AR6-%, 2, A ; 6441
+ LD *AR6-%, 2, B ; 6442
+ LD *AR6-%, 3, A ; 6443
+ LD *AR6-%, 3, B ; 6444
+ LD *AR6-%, 4, A ; 6445
+ LD *AR6-%, 4, B ; 6446
+ LD *AR6-%, 5, A ; 6447
+ LD *AR6-%, 5, B ; 6448
+ LD *AR6-%, 6, A ; 6449
+ LD *AR6-%, 6, B ; 6450
+ LD *AR6-%, 7, A ; 6451
+ LD *AR6-%, 7, B ; 6452
+ LD *AR6-%, 8, A ; 6453
+ LD *AR6-%, 8, B ; 6454
+ LD *AR6-%, 9, A ; 6455
+ LD *AR6-%, 9, B ; 6456
+ LD *AR6-%, 10, A ; 6457
+ LD *AR6-%, 10, B ; 6458
+ LD *AR6-%, 11, A ; 6459
+ LD *AR6-%, 11, B ; 6460
+ LD *AR6-%, 12, A ; 6461
+ LD *AR6-%, 12, B ; 6462
+ LD *AR6-%, 13, A ; 6463
+ LD *AR6-%, 13, B ; 6464
+ LD *AR6-%, 14, A ; 6465
+ LD *AR6-%, 14, B ; 6466
+ LD *AR6-%, 15, A ; 6467
+ LD *AR6-%, 15, B ; 6468
+ LD *AR6-0%, A ; 6469
+ LD *AR6-0%, B ; 6470
+ LD *AR6-0%, -16, A ; 6471
+ LD *AR6-0%, -16, B ; 6472
+ LD *AR6-0%, -15, A ; 6473
+ LD *AR6-0%, -15, B ; 6474
+ LD *AR6-0%, -14, A ; 6475
+ LD *AR6-0%, -14, B ; 6476
+ LD *AR6-0%, -13, A ; 6477
+ LD *AR6-0%, -13, B ; 6478
+ LD *AR6-0%, -12, A ; 6479
+ LD *AR6-0%, -12, B ; 6480
+ LD *AR6-0%, -11, A ; 6481
+ LD *AR6-0%, -11, B ; 6482
+ LD *AR6-0%, -10, A ; 6483
+ LD *AR6-0%, -10, B ; 6484
+ LD *AR6-0%, -9, A ; 6485
+ LD *AR6-0%, -9, B ; 6486
+ LD *AR6-0%, -8, A ; 6487
+ LD *AR6-0%, -8, B ; 6488
+ LD *AR6-0%, -7, A ; 6489
+ LD *AR6-0%, -7, B ; 6490
+ LD *AR6-0%, -6, A ; 6491
+ LD *AR6-0%, -6, B ; 6492
+ LD *AR6-0%, -5, A ; 6493
+ LD *AR6-0%, -5, B ; 6494
+ LD *AR6-0%, -4, A ; 6495
+ LD *AR6-0%, -4, B ; 6496
+ LD *AR6-0%, -3, A ; 6497
+ LD *AR6-0%, -3, B ; 6498
+ LD *AR6-0%, -2, A ; 6499
+ LD *AR6-0%, -2, B ; 6500
+ LD *AR6-0%, -1, A ; 6501
+ LD *AR6-0%, -1, B ; 6502
+ LD *AR6-0%, 0, A ; 6503
+ LD *AR6-0%, 0, B ; 6504
+ LD *AR6-0%, 1, A ; 6505
+ LD *AR6-0%, 1, B ; 6506
+ LD *AR6-0%, 2, A ; 6507
+ LD *AR6-0%, 2, B ; 6508
+ LD *AR6-0%, 3, A ; 6509
+ LD *AR6-0%, 3, B ; 6510
+ LD *AR6-0%, 4, A ; 6511
+ LD *AR6-0%, 4, B ; 6512
+ LD *AR6-0%, 5, A ; 6513
+ LD *AR6-0%, 5, B ; 6514
+ LD *AR6-0%, 6, A ; 6515
+ LD *AR6-0%, 6, B ; 6516
+ LD *AR6-0%, 7, A ; 6517
+ LD *AR6-0%, 7, B ; 6518
+ LD *AR6-0%, 8, A ; 6519
+ LD *AR6-0%, 8, B ; 6520
+ LD *AR6-0%, 9, A ; 6521
+ LD *AR6-0%, 9, B ; 6522
+ LD *AR6-0%, 10, A ; 6523
+ LD *AR6-0%, 10, B ; 6524
+ LD *AR6-0%, 11, A ; 6525
+ LD *AR6-0%, 11, B ; 6526
+ LD *AR6-0%, 12, A ; 6527
+ LD *AR6-0%, 12, B ; 6528
+ LD *AR6-0%, 13, A ; 6529
+ LD *AR6-0%, 13, B ; 6530
+ LD *AR6-0%, 14, A ; 6531
+ LD *AR6-0%, 14, B ; 6532
+ LD *AR6-0%, 15, A ; 6533
+ LD *AR6-0%, 15, B ; 6534
+ LD *AR6+%, A ; 6535
+ LD *AR6+%, B ; 6536
+ LD *AR6+%, -16, A ; 6537
+ LD *AR6+%, -16, B ; 6538
+ LD *AR6+%, -15, A ; 6539
+ LD *AR6+%, -15, B ; 6540
+ LD *AR6+%, -14, A ; 6541
+ LD *AR6+%, -14, B ; 6542
+ LD *AR6+%, -13, A ; 6543
+ LD *AR6+%, -13, B ; 6544
+ LD *AR6+%, -12, A ; 6545
+ LD *AR6+%, -12, B ; 6546
+ LD *AR6+%, -11, A ; 6547
+ LD *AR6+%, -11, B ; 6548
+ LD *AR6+%, -10, A ; 6549
+ LD *AR6+%, -10, B ; 6550
+ LD *AR6+%, -9, A ; 6551
+ LD *AR6+%, -9, B ; 6552
+ LD *AR6+%, -8, A ; 6553
+ LD *AR6+%, -8, B ; 6554
+ LD *AR6+%, -7, A ; 6555
+ LD *AR6+%, -7, B ; 6556
+ LD *AR6+%, -6, A ; 6557
+ LD *AR6+%, -6, B ; 6558
+ LD *AR6+%, -5, A ; 6559
+ LD *AR6+%, -5, B ; 6560
+ LD *AR6+%, -4, A ; 6561
+ LD *AR6+%, -4, B ; 6562
+ LD *AR6+%, -3, A ; 6563
+ LD *AR6+%, -3, B ; 6564
+ LD *AR6+%, -2, A ; 6565
+ LD *AR6+%, -2, B ; 6566
+ LD *AR6+%, -1, A ; 6567
+ LD *AR6+%, -1, B ; 6568
+ LD *AR6+%, 0, A ; 6569
+ LD *AR6+%, 0, B ; 6570
+ LD *AR6+%, 1, A ; 6571
+ LD *AR6+%, 1, B ; 6572
+ LD *AR6+%, 2, A ; 6573
+ LD *AR6+%, 2, B ; 6574
+ LD *AR6+%, 3, A ; 6575
+ LD *AR6+%, 3, B ; 6576
+ LD *AR6+%, 4, A ; 6577
+ LD *AR6+%, 4, B ; 6578
+ LD *AR6+%, 5, A ; 6579
+ LD *AR6+%, 5, B ; 6580
+ LD *AR6+%, 6, A ; 6581
+ LD *AR6+%, 6, B ; 6582
+ LD *AR6+%, 7, A ; 6583
+ LD *AR6+%, 7, B ; 6584
+ LD *AR6+%, 8, A ; 6585
+ LD *AR6+%, 8, B ; 6586
+ LD *AR6+%, 9, A ; 6587
+ LD *AR6+%, 9, B ; 6588
+ LD *AR6+%, 10, A ; 6589
+ LD *AR6+%, 10, B ; 6590
+ LD *AR6+%, 11, A ; 6591
+ LD *AR6+%, 11, B ; 6592
+ LD *AR6+%, 12, A ; 6593
+ LD *AR6+%, 12, B ; 6594
+ LD *AR6+%, 13, A ; 6595
+ LD *AR6+%, 13, B ; 6596
+ LD *AR6+%, 14, A ; 6597
+ LD *AR6+%, 14, B ; 6598
+ LD *AR6+%, 15, A ; 6599
+ LD *AR6+%, 15, B ; 6600
+ LD *AR6+0%, A ; 6601
+ LD *AR6+0%, B ; 6602
+ LD *AR6+0%, -16, A ; 6603
+ LD *AR6+0%, -16, B ; 6604
+ LD *AR6+0%, -15, A ; 6605
+ LD *AR6+0%, -15, B ; 6606
+ LD *AR6+0%, -14, A ; 6607
+ LD *AR6+0%, -14, B ; 6608
+ LD *AR6+0%, -13, A ; 6609
+ LD *AR6+0%, -13, B ; 6610
+ LD *AR6+0%, -12, A ; 6611
+ LD *AR6+0%, -12, B ; 6612
+ LD *AR6+0%, -11, A ; 6613
+ LD *AR6+0%, -11, B ; 6614
+ LD *AR6+0%, -10, A ; 6615
+ LD *AR6+0%, -10, B ; 6616
+ LD *AR6+0%, -9, A ; 6617
+ LD *AR6+0%, -9, B ; 6618
+ LD *AR6+0%, -8, A ; 6619
+ LD *AR6+0%, -8, B ; 6620
+ LD *AR6+0%, -7, A ; 6621
+ LD *AR6+0%, -7, B ; 6622
+ LD *AR6+0%, -6, A ; 6623
+ LD *AR6+0%, -6, B ; 6624
+ LD *AR6+0%, -5, A ; 6625
+ LD *AR6+0%, -5, B ; 6626
+ LD *AR6+0%, -4, A ; 6627
+ LD *AR6+0%, -4, B ; 6628
+ LD *AR6+0%, -3, A ; 6629
+ LD *AR6+0%, -3, B ; 6630
+ LD *AR6+0%, -2, A ; 6631
+ LD *AR6+0%, -2, B ; 6632
+ LD *AR6+0%, -1, A ; 6633
+ LD *AR6+0%, -1, B ; 6634
+ LD *AR6+0%, 0, A ; 6635
+ LD *AR6+0%, 0, B ; 6636
+ LD *AR6+0%, 1, A ; 6637
+ LD *AR6+0%, 1, B ; 6638
+ LD *AR6+0%, 2, A ; 6639
+ LD *AR6+0%, 2, B ; 6640
+ LD *AR6+0%, 3, A ; 6641
+ LD *AR6+0%, 3, B ; 6642
+ LD *AR6+0%, 4, A ; 6643
+ LD *AR6+0%, 4, B ; 6644
+ LD *AR6+0%, 5, A ; 6645
+ LD *AR6+0%, 5, B ; 6646
+ LD *AR6+0%, 6, A ; 6647
+ LD *AR6+0%, 6, B ; 6648
+ LD *AR6+0%, 7, A ; 6649
+ LD *AR6+0%, 7, B ; 6650
+ LD *AR6+0%, 8, A ; 6651
+ LD *AR6+0%, 8, B ; 6652
+ LD *AR6+0%, 9, A ; 6653
+ LD *AR6+0%, 9, B ; 6654
+ LD *AR6+0%, 10, A ; 6655
+ LD *AR6+0%, 10, B ; 6656
+ LD *AR6+0%, 11, A ; 6657
+ LD *AR6+0%, 11, B ; 6658
+ LD *AR6+0%, 12, A ; 6659
+ LD *AR6+0%, 12, B ; 6660
+ LD *AR6+0%, 13, A ; 6661
+ LD *AR6+0%, 13, B ; 6662
+ LD *AR6+0%, 14, A ; 6663
+ LD *AR6+0%, 14, B ; 6664
+ LD *AR6+0%, 15, A ; 6665
+ LD *AR6+0%, 15, B ; 6666
+ LD *AR6(02890h), A ; 6667
+ LD *AR6(02891h), B ; 6668
+ LD *AR6(02892h), -16, A ; 6669
+ LD *AR6(02893h), -16, B ; 6670
+ LD *AR6(02894h), -15, A ; 6671
+ LD *AR6(02895h), -15, B ; 6672
+ LD *AR6(02896h), -14, A ; 6673
+ LD *AR6(02897h), -14, B ; 6674
+ LD *AR6(02898h), -13, A ; 6675
+ LD *AR6(02899h), -13, B ; 6676
+ LD *AR6(0289Ah), -12, A ; 6677
+ LD *AR6(0289Bh), -12, B ; 6678
+ LD *AR6(0289Ch), -11, A ; 6679
+ LD *AR6(0289Dh), -11, B ; 6680
+ LD *AR6(0289Eh), -10, A ; 6681
+ LD *AR6(0289Fh), -10, B ; 6682
+ LD *AR6(028A0h), -9, A ; 6683
+ LD *AR6(028A1h), -9, B ; 6684
+ LD *AR6(028A2h), -8, A ; 6685
+ LD *AR6(028A3h), -8, B ; 6686
+ LD *AR6(028A4h), -7, A ; 6687
+ LD *AR6(028A5h), -7, B ; 6688
+ LD *AR6(028A6h), -6, A ; 6689
+ LD *AR6(028A7h), -6, B ; 6690
+ LD *AR6(028A8h), -5, A ; 6691
+ LD *AR6(028A9h), -5, B ; 6692
+ LD *AR6(028AAh), -4, A ; 6693
+ LD *AR6(028ABh), -4, B ; 6694
+ LD *AR6(028ACh), -3, A ; 6695
+ LD *AR6(028ADh), -3, B ; 6696
+ LD *AR6(028AEh), -2, A ; 6697
+ LD *AR6(028AFh), -2, B ; 6698
+ LD *AR6(028B0h), -1, A ; 6699
+ LD *AR6(028B1h), -1, B ; 6700
+ LD *AR6(028B2h), 0, A ; 6701
+ LD *AR6(028B3h), 0, B ; 6702
+ LD *AR6(028B4h), 1, A ; 6703
+ LD *AR6(028B5h), 1, B ; 6704
+ LD *AR6(028B6h), 2, A ; 6705
+ LD *AR6(028B7h), 2, B ; 6706
+ LD *AR6(028B8h), 3, A ; 6707
+ LD *AR6(028B9h), 3, B ; 6708
+ LD *AR6(028BAh), 4, A ; 6709
+ LD *AR6(028BBh), 4, B ; 6710
+ LD *AR6(028BCh), 5, A ; 6711
+ LD *AR6(028BDh), 5, B ; 6712
+ LD *AR6(028BEh), 6, A ; 6713
+ LD *AR6(028BFh), 6, B ; 6714
+ LD *AR6(028C0h), 7, A ; 6715
+ LD *AR6(028C1h), 7, B ; 6716
+ LD *AR6(028C2h), 8, A ; 6717
+ LD *AR6(028C3h), 8, B ; 6718
+ LD *AR6(028C4h), 9, A ; 6719
+ LD *AR6(028C5h), 9, B ; 6720
+ LD *AR6(028C6h), 10, A ; 6721
+ LD *AR6(028C7h), 10, B ; 6722
+ LD *AR6(028C8h), 11, A ; 6723
+ LD *AR6(028C9h), 11, B ; 6724
+ LD *AR6(028CAh), 12, A ; 6725
+ LD *AR6(028CBh), 12, B ; 6726
+ LD *AR6(028CCh), 13, A ; 6727
+ LD *AR6(028CDh), 13, B ; 6728
+ LD *AR6(028CEh), 14, A ; 6729
+ LD *AR6(028CFh), 14, B ; 6730
+ LD *AR6(028D0h), 15, A ; 6731
+ LD *AR6(028D1h), 15, B ; 6732
+ LD *+AR6(028D2h), A ; 6733
+ LD *+AR6(028D3h), B ; 6734
+ LD *+AR6(028D4h), -16, A ; 6735
+ LD *+AR6(028D5h), -16, B ; 6736
+ LD *+AR6(028D6h), -15, A ; 6737
+ LD *+AR6(028D7h), -15, B ; 6738
+ LD *+AR6(028D8h), -14, A ; 6739
+ LD *+AR6(028D9h), -14, B ; 6740
+ LD *+AR6(028DAh), -13, A ; 6741
+ LD *+AR6(028DBh), -13, B ; 6742
+ LD *+AR6(028DCh), -12, A ; 6743
+ LD *+AR6(028DDh), -12, B ; 6744
+ LD *+AR6(028DEh), -11, A ; 6745
+ LD *+AR6(028DFh), -11, B ; 6746
+ LD *+AR6(028E0h), -10, A ; 6747
+ LD *+AR6(028E1h), -10, B ; 6748
+ LD *+AR6(028E2h), -9, A ; 6749
+ LD *+AR6(028E3h), -9, B ; 6750
+ LD *+AR6(028E4h), -8, A ; 6751
+ LD *+AR6(028E5h), -8, B ; 6752
+ LD *+AR6(028E6h), -7, A ; 6753
+ LD *+AR6(028E7h), -7, B ; 6754
+ LD *+AR6(028E8h), -6, A ; 6755
+ LD *+AR6(028E9h), -6, B ; 6756
+ LD *+AR6(028EAh), -5, A ; 6757
+ LD *+AR6(028EBh), -5, B ; 6758
+ LD *+AR6(028ECh), -4, A ; 6759
+ LD *+AR6(028EDh), -4, B ; 6760
+ LD *+AR6(028EEh), -3, A ; 6761
+ LD *+AR6(028EFh), -3, B ; 6762
+ LD *+AR6(028F0h), -2, A ; 6763
+ LD *+AR6(028F1h), -2, B ; 6764
+ LD *+AR6(028F2h), -1, A ; 6765
+ LD *+AR6(028F3h), -1, B ; 6766
+ LD *+AR6(028F4h), 0, A ; 6767
+ LD *+AR6(028F5h), 0, B ; 6768
+ LD *+AR6(028F6h), 1, A ; 6769
+ LD *+AR6(028F7h), 1, B ; 6770
+ LD *+AR6(028F8h), 2, A ; 6771
+ LD *+AR6(028F9h), 2, B ; 6772
+ LD *+AR6(028FAh), 3, A ; 6773
+ LD *+AR6(028FBh), 3, B ; 6774
+ LD *+AR6(028FCh), 4, A ; 6775
+ LD *+AR6(028FDh), 4, B ; 6776
+ LD *+AR6(028FEh), 5, A ; 6777
+ LD *+AR6(028FFh), 5, B ; 6778
+ LD *+AR6(02900h), 6, A ; 6779
+ LD *+AR6(02901h), 6, B ; 6780
+ LD *+AR6(02902h), 7, A ; 6781
+ LD *+AR6(02903h), 7, B ; 6782
+ LD *+AR6(02904h), 8, A ; 6783
+ LD *+AR6(02905h), 8, B ; 6784
+ LD *+AR6(02906h), 9, A ; 6785
+ LD *+AR6(02907h), 9, B ; 6786
+ LD *+AR6(02908h), 10, A ; 6787
+ LD *+AR6(02909h), 10, B ; 6788
+ LD *+AR6(0290Ah), 11, A ; 6789
+ LD *+AR6(0290Bh), 11, B ; 6790
+ LD *+AR6(0290Ch), 12, A ; 6791
+ LD *+AR6(0290Dh), 12, B ; 6792
+ LD *+AR6(0290Eh), 13, A ; 6793
+ LD *+AR6(0290Fh), 13, B ; 6794
+ LD *+AR6(02910h), 14, A ; 6795
+ LD *+AR6(02911h), 14, B ; 6796
+ LD *+AR6(02912h), 15, A ; 6797
+ LD *+AR6(02913h), 15, B ; 6798
+ LD *+AR6(02914h)%, A ; 6799
+ LD *+AR6(02915h)%, B ; 6800
+ LD *+AR6(02916h)%, -16, A ; 6801
+ LD *+AR6(02917h)%, -16, B ; 6802
+ LD *+AR6(02918h)%, -15, A ; 6803
+ LD *+AR6(02919h)%, -15, B ; 6804
+ LD *+AR6(0291Ah)%, -14, A ; 6805
+ LD *+AR6(0291Bh)%, -14, B ; 6806
+ LD *+AR6(0291Ch)%, -13, A ; 6807
+ LD *+AR6(0291Dh)%, -13, B ; 6808
+ LD *+AR6(0291Eh)%, -12, A ; 6809
+ LD *+AR6(0291Fh)%, -12, B ; 6810
+ LD *+AR6(02920h)%, -11, A ; 6811
+ LD *+AR6(02921h)%, -11, B ; 6812
+ LD *+AR6(02922h)%, -10, A ; 6813
+ LD *+AR6(02923h)%, -10, B ; 6814
+ LD *+AR6(02924h)%, -9, A ; 6815
+ LD *+AR6(02925h)%, -9, B ; 6816
+ LD *+AR6(02926h)%, -8, A ; 6817
+ LD *+AR6(02927h)%, -8, B ; 6818
+ LD *+AR6(02928h)%, -7, A ; 6819
+ LD *+AR6(02929h)%, -7, B ; 6820
+ LD *+AR6(0292Ah)%, -6, A ; 6821
+ LD *+AR6(0292Bh)%, -6, B ; 6822
+ LD *+AR6(0292Ch)%, -5, A ; 6823
+ LD *+AR6(0292Dh)%, -5, B ; 6824
+ LD *+AR6(0292Eh)%, -4, A ; 6825
+ LD *+AR6(0292Fh)%, -4, B ; 6826
+ LD *+AR6(02930h)%, -3, A ; 6827
+ LD *+AR6(02931h)%, -3, B ; 6828
+ LD *+AR6(02932h)%, -2, A ; 6829
+ LD *+AR6(02933h)%, -2, B ; 6830
+ LD *+AR6(02934h)%, -1, A ; 6831
+ LD *+AR6(02935h)%, -1, B ; 6832
+ LD *+AR6(02936h)%, 0, A ; 6833
+ LD *+AR6(02937h)%, 0, B ; 6834
+ LD *+AR6(02938h)%, 1, A ; 6835
+ LD *+AR6(02939h)%, 1, B ; 6836
+ LD *+AR6(0293Ah)%, 2, A ; 6837
+ LD *+AR6(0293Bh)%, 2, B ; 6838
+ LD *+AR6(0293Ch)%, 3, A ; 6839
+ LD *+AR6(0293Dh)%, 3, B ; 6840
+ LD *+AR6(0293Eh)%, 4, A ; 6841
+ LD *+AR6(0293Fh)%, 4, B ; 6842
+ LD *+AR6(02940h)%, 5, A ; 6843
+ LD *+AR6(02941h)%, 5, B ; 6844
+ LD *+AR6(02942h)%, 6, A ; 6845
+ LD *+AR6(02943h)%, 6, B ; 6846
+ LD *+AR6(02944h)%, 7, A ; 6847
+ LD *+AR6(02945h)%, 7, B ; 6848
+ LD *+AR6(02946h)%, 8, A ; 6849
+ LD *+AR6(02947h)%, 8, B ; 6850
+ LD *+AR6(02948h)%, 9, A ; 6851
+ LD *+AR6(02949h)%, 9, B ; 6852
+ LD *+AR6(0294Ah)%, 10, A ; 6853
+ LD *+AR6(0294Bh)%, 10, B ; 6854
+ LD *+AR6(0294Ch)%, 11, A ; 6855
+ LD *+AR6(0294Dh)%, 11, B ; 6856
+ LD *+AR6(0294Eh)%, 12, A ; 6857
+ LD *+AR6(0294Fh)%, 12, B ; 6858
+ LD *+AR6(02950h)%, 13, A ; 6859
+ LD *+AR6(02951h)%, 13, B ; 6860
+ LD *+AR6(02952h)%, 14, A ; 6861
+ LD *+AR6(02953h)%, 14, B ; 6862
+ LD *+AR6(02954h)%, 15, A ; 6863
+ LD *+AR6(02955h)%, 15, B ; 6864
+ LD *(02956h), A ; 6865
+ LD *(02957h), B ; 6866
+ LD *(02958h), -16, A ; 6867
+ LD *(02959h), -16, B ; 6868
+ LD *(0295Ah), -15, A ; 6869
+ LD *(0295Bh), -15, B ; 6870
+ LD *(0295Ch), -14, A ; 6871
+ LD *(0295Dh), -14, B ; 6872
+ LD *(0295Eh), -13, A ; 6873
+ LD *(0295Fh), -13, B ; 6874
+ LD *(02960h), -12, A ; 6875
+ LD *(02961h), -12, B ; 6876
+ LD *(02962h), -11, A ; 6877
+ LD *(02963h), -11, B ; 6878
+ LD *(02964h), -10, A ; 6879
+ LD *(02965h), -10, B ; 6880
+ LD *(02966h), -9, A ; 6881
+ LD *(02967h), -9, B ; 6882
+ LD *(02968h), -8, A ; 6883
+ LD *(02969h), -8, B ; 6884
+ LD *(0296Ah), -7, A ; 6885
+ LD *(0296Bh), -7, B ; 6886
+ LD *(0296Ch), -6, A ; 6887
+ LD *(0296Dh), -6, B ; 6888
+ LD *(0296Eh), -5, A ; 6889
+ LD *(0296Fh), -5, B ; 6890
+ LD *(02970h), -4, A ; 6891
+ LD *(02971h), -4, B ; 6892
+ LD *(02972h), -3, A ; 6893
+ LD *(02973h), -3, B ; 6894
+ LD *(02974h), -2, A ; 6895
+ LD *(02975h), -2, B ; 6896
+ LD *(02976h), -1, A ; 6897
+ LD *(02977h), -1, B ; 6898
+ LD *(02978h), 0, A ; 6899
+ LD *(02979h), 0, B ; 6900
+ LD *(0297Ah), 1, A ; 6901
+ LD *(0297Bh), 1, B ; 6902
+ LD *(0297Ch), 2, A ; 6903
+ LD *(0297Dh), 2, B ; 6904
+ LD *(0297Eh), 3, A ; 6905
+ LD *(0297Fh), 3, B ; 6906
+ LD *(02980h), 4, A ; 6907
+ LD *(02981h), 4, B ; 6908
+ LD *(02982h), 5, A ; 6909
+ LD *(02983h), 5, B ; 6910
+ LD *(02984h), 6, A ; 6911
+ LD *(02985h), 6, B ; 6912
+ LD *(02986h), 7, A ; 6913
+ LD *(02987h), 7, B ; 6914
+ LD *(02988h), 8, A ; 6915
+ LD *(02989h), 8, B ; 6916
+ LD *(0298Ah), 9, A ; 6917
+ LD *(0298Bh), 9, B ; 6918
+ LD *(0298Ch), 10, A ; 6919
+ LD *(0298Dh), 10, B ; 6920
+ LD *(0298Eh), 11, A ; 6921
+ LD *(0298Fh), 11, B ; 6922
+ LD *(02990h), 12, A ; 6923
+ LD *(02991h), 12, B ; 6924
+ LD *(02992h), 13, A ; 6925
+ LD *(02993h), 13, B ; 6926
+ LD *(02994h), 14, A ; 6927
+ LD *(02995h), 14, B ; 6928
+ LD *(02996h), 15, A ; 6929
+ LD *(02997h), 15, B ; 6930
+ LD *AR7, A ; 6931
+ LD *AR7, B ; 6932
+ LD *AR7, -16, A ; 6933
+ LD *AR7, -16, B ; 6934
+ LD *AR7, -15, A ; 6935
+ LD *AR7, -15, B ; 6936
+ LD *AR7, -14, A ; 6937
+ LD *AR7, -14, B ; 6938
+ LD *AR7, -13, A ; 6939
+ LD *AR7, -13, B ; 6940
+ LD *AR7, -12, A ; 6941
+ LD *AR7, -12, B ; 6942
+ LD *AR7, -11, A ; 6943
+ LD *AR7, -11, B ; 6944
+ LD *AR7, -10, A ; 6945
+ LD *AR7, -10, B ; 6946
+ LD *AR7, -9, A ; 6947
+ LD *AR7, -9, B ; 6948
+ LD *AR7, -8, A ; 6949
+ LD *AR7, -8, B ; 6950
+ LD *AR7, -7, A ; 6951
+ LD *AR7, -7, B ; 6952
+ LD *AR7, -6, A ; 6953
+ LD *AR7, -6, B ; 6954
+ LD *AR7, -5, A ; 6955
+ LD *AR7, -5, B ; 6956
+ LD *AR7, -4, A ; 6957
+ LD *AR7, -4, B ; 6958
+ LD *AR7, -3, A ; 6959
+ LD *AR7, -3, B ; 6960
+ LD *AR7, -2, A ; 6961
+ LD *AR7, -2, B ; 6962
+ LD *AR7, -1, A ; 6963
+ LD *AR7, -1, B ; 6964
+ LD *AR7, 0, A ; 6965
+ LD *AR7, 0, B ; 6966
+ LD *AR7, 1, A ; 6967
+ LD *AR7, 1, B ; 6968
+ LD *AR7, 2, A ; 6969
+ LD *AR7, 2, B ; 6970
+ LD *AR7, 3, A ; 6971
+ LD *AR7, 3, B ; 6972
+ LD *AR7, 4, A ; 6973
+ LD *AR7, 4, B ; 6974
+ LD *AR7, 5, A ; 6975
+ LD *AR7, 5, B ; 6976
+ LD *AR7, 6, A ; 6977
+ LD *AR7, 6, B ; 6978
+ LD *AR7, 7, A ; 6979
+ LD *AR7, 7, B ; 6980
+ LD *AR7, 8, A ; 6981
+ LD *AR7, 8, B ; 6982
+ LD *AR7, 9, A ; 6983
+ LD *AR7, 9, B ; 6984
+ LD *AR7, 10, A ; 6985
+ LD *AR7, 10, B ; 6986
+ LD *AR7, 11, A ; 6987
+ LD *AR7, 11, B ; 6988
+ LD *AR7, 12, A ; 6989
+ LD *AR7, 12, B ; 6990
+ LD *AR7, 13, A ; 6991
+ LD *AR7, 13, B ; 6992
+ LD *AR7, 14, A ; 6993
+ LD *AR7, 14, B ; 6994
+ LD *AR7, 15, A ; 6995
+ LD *AR7, 15, B ; 6996
+ LD *AR7-, A ; 6997
+ LD *AR7-, B ; 6998
+ LD *AR7-, -16, A ; 6999
+ LD *AR7-, -16, B ; 7000
+ LD *AR7-, -15, A ; 7001
+ LD *AR7-, -15, B ; 7002
+ LD *AR7-, -14, A ; 7003
+ LD *AR7-, -14, B ; 7004
+ LD *AR7-, -13, A ; 7005
+ LD *AR7-, -13, B ; 7006
+ LD *AR7-, -12, A ; 7007
+ LD *AR7-, -12, B ; 7008
+ LD *AR7-, -11, A ; 7009
+ LD *AR7-, -11, B ; 7010
+ LD *AR7-, -10, A ; 7011
+ LD *AR7-, -10, B ; 7012
+ LD *AR7-, -9, A ; 7013
+ LD *AR7-, -9, B ; 7014
+ LD *AR7-, -8, A ; 7015
+ LD *AR7-, -8, B ; 7016
+ LD *AR7-, -7, A ; 7017
+ LD *AR7-, -7, B ; 7018
+ LD *AR7-, -6, A ; 7019
+ LD *AR7-, -6, B ; 7020
+ LD *AR7-, -5, A ; 7021
+ LD *AR7-, -5, B ; 7022
+ LD *AR7-, -4, A ; 7023
+ LD *AR7-, -4, B ; 7024
+ LD *AR7-, -3, A ; 7025
+ LD *AR7-, -3, B ; 7026
+ LD *AR7-, -2, A ; 7027
+ LD *AR7-, -2, B ; 7028
+ LD *AR7-, -1, A ; 7029
+ LD *AR7-, -1, B ; 7030
+ LD *AR7-, 0, A ; 7031
+ LD *AR7-, 0, B ; 7032
+ LD *AR7-, 1, A ; 7033
+ LD *AR7-, 1, B ; 7034
+ LD *AR7-, 2, A ; 7035
+ LD *AR7-, 2, B ; 7036
+ LD *AR7-, 3, A ; 7037
+ LD *AR7-, 3, B ; 7038
+ LD *AR7-, 4, A ; 7039
+ LD *AR7-, 4, B ; 7040
+ LD *AR7-, 5, A ; 7041
+ LD *AR7-, 5, B ; 7042
+ LD *AR7-, 6, A ; 7043
+ LD *AR7-, 6, B ; 7044
+ LD *AR7-, 7, A ; 7045
+ LD *AR7-, 7, B ; 7046
+ LD *AR7-, 8, A ; 7047
+ LD *AR7-, 8, B ; 7048
+ LD *AR7-, 9, A ; 7049
+ LD *AR7-, 9, B ; 7050
+ LD *AR7-, 10, A ; 7051
+ LD *AR7-, 10, B ; 7052
+ LD *AR7-, 11, A ; 7053
+ LD *AR7-, 11, B ; 7054
+ LD *AR7-, 12, A ; 7055
+ LD *AR7-, 12, B ; 7056
+ LD *AR7-, 13, A ; 7057
+ LD *AR7-, 13, B ; 7058
+ LD *AR7-, 14, A ; 7059
+ LD *AR7-, 14, B ; 7060
+ LD *AR7-, 15, A ; 7061
+ LD *AR7-, 15, B ; 7062
+ LD *AR7+, A ; 7063
+ LD *AR7+, B ; 7064
+ LD *AR7+, -16, A ; 7065
+ LD *AR7+, -16, B ; 7066
+ LD *AR7+, -15, A ; 7067
+ LD *AR7+, -15, B ; 7068
+ LD *AR7+, -14, A ; 7069
+ LD *AR7+, -14, B ; 7070
+ LD *AR7+, -13, A ; 7071
+ LD *AR7+, -13, B ; 7072
+ LD *AR7+, -12, A ; 7073
+ LD *AR7+, -12, B ; 7074
+ LD *AR7+, -11, A ; 7075
+ LD *AR7+, -11, B ; 7076
+ LD *AR7+, -10, A ; 7077
+ LD *AR7+, -10, B ; 7078
+ LD *AR7+, -9, A ; 7079
+ LD *AR7+, -9, B ; 7080
+ LD *AR7+, -8, A ; 7081
+ LD *AR7+, -8, B ; 7082
+ LD *AR7+, -7, A ; 7083
+ LD *AR7+, -7, B ; 7084
+ LD *AR7+, -6, A ; 7085
+ LD *AR7+, -6, B ; 7086
+ LD *AR7+, -5, A ; 7087
+ LD *AR7+, -5, B ; 7088
+ LD *AR7+, -4, A ; 7089
+ LD *AR7+, -4, B ; 7090
+ LD *AR7+, -3, A ; 7091
+ LD *AR7+, -3, B ; 7092
+ LD *AR7+, -2, A ; 7093
+ LD *AR7+, -2, B ; 7094
+ LD *AR7+, -1, A ; 7095
+ LD *AR7+, -1, B ; 7096
+ LD *AR7+, 0, A ; 7097
+ LD *AR7+, 0, B ; 7098
+ LD *AR7+, 1, A ; 7099
+ LD *AR7+, 1, B ; 7100
+ LD *AR7+, 2, A ; 7101
+ LD *AR7+, 2, B ; 7102
+ LD *AR7+, 3, A ; 7103
+ LD *AR7+, 3, B ; 7104
+ LD *AR7+, 4, A ; 7105
+ LD *AR7+, 4, B ; 7106
+ LD *AR7+, 5, A ; 7107
+ LD *AR7+, 5, B ; 7108
+ LD *AR7+, 6, A ; 7109
+ LD *AR7+, 6, B ; 7110
+ LD *AR7+, 7, A ; 7111
+ LD *AR7+, 7, B ; 7112
+ LD *AR7+, 8, A ; 7113
+ LD *AR7+, 8, B ; 7114
+ LD *AR7+, 9, A ; 7115
+ LD *AR7+, 9, B ; 7116
+ LD *AR7+, 10, A ; 7117
+ LD *AR7+, 10, B ; 7118
+ LD *AR7+, 11, A ; 7119
+ LD *AR7+, 11, B ; 7120
+ LD *AR7+, 12, A ; 7121
+ LD *AR7+, 12, B ; 7122
+ LD *AR7+, 13, A ; 7123
+ LD *AR7+, 13, B ; 7124
+ LD *AR7+, 14, A ; 7125
+ LD *AR7+, 14, B ; 7126
+ LD *AR7+, 15, A ; 7127
+ LD *AR7+, 15, B ; 7128
+ LD *AR7-0B, A ; 7129
+ LD *AR7-0B, B ; 7130
+ LD *AR7-0B, -16, A ; 7131
+ LD *AR7-0B, -16, B ; 7132
+ LD *AR7-0B, -15, A ; 7133
+ LD *AR7-0B, -15, B ; 7134
+ LD *AR7-0B, -14, A ; 7135
+ LD *AR7-0B, -14, B ; 7136
+ LD *AR7-0B, -13, A ; 7137
+ LD *AR7-0B, -13, B ; 7138
+ LD *AR7-0B, -12, A ; 7139
+ LD *AR7-0B, -12, B ; 7140
+ LD *AR7-0B, -11, A ; 7141
+ LD *AR7-0B, -11, B ; 7142
+ LD *AR7-0B, -10, A ; 7143
+ LD *AR7-0B, -10, B ; 7144
+ LD *AR7-0B, -9, A ; 7145
+ LD *AR7-0B, -9, B ; 7146
+ LD *AR7-0B, -8, A ; 7147
+ LD *AR7-0B, -8, B ; 7148
+ LD *AR7-0B, -7, A ; 7149
+ LD *AR7-0B, -7, B ; 7150
+ LD *AR7-0B, -6, A ; 7151
+ LD *AR7-0B, -6, B ; 7152
+ LD *AR7-0B, -5, A ; 7153
+ LD *AR7-0B, -5, B ; 7154
+ LD *AR7-0B, -4, A ; 7155
+ LD *AR7-0B, -4, B ; 7156
+ LD *AR7-0B, -3, A ; 7157
+ LD *AR7-0B, -3, B ; 7158
+ LD *AR7-0B, -2, A ; 7159
+ LD *AR7-0B, -2, B ; 7160
+ LD *AR7-0B, -1, A ; 7161
+ LD *AR7-0B, -1, B ; 7162
+ LD *AR7-0B, 0, A ; 7163
+ LD *AR7-0B, 0, B ; 7164
+ LD *AR7-0B, 1, A ; 7165
+ LD *AR7-0B, 1, B ; 7166
+ LD *AR7-0B, 2, A ; 7167
+ LD *AR7-0B, 2, B ; 7168
+ LD *AR7-0B, 3, A ; 7169
+ LD *AR7-0B, 3, B ; 7170
+ LD *AR7-0B, 4, A ; 7171
+ LD *AR7-0B, 4, B ; 7172
+ LD *AR7-0B, 5, A ; 7173
+ LD *AR7-0B, 5, B ; 7174
+ LD *AR7-0B, 6, A ; 7175
+ LD *AR7-0B, 6, B ; 7176
+ LD *AR7-0B, 7, A ; 7177
+ LD *AR7-0B, 7, B ; 7178
+ LD *AR7-0B, 8, A ; 7179
+ LD *AR7-0B, 8, B ; 7180
+ LD *AR7-0B, 9, A ; 7181
+ LD *AR7-0B, 9, B ; 7182
+ LD *AR7-0B, 10, A ; 7183
+ LD *AR7-0B, 10, B ; 7184
+ LD *AR7-0B, 11, A ; 7185
+ LD *AR7-0B, 11, B ; 7186
+ LD *AR7-0B, 12, A ; 7187
+ LD *AR7-0B, 12, B ; 7188
+ LD *AR7-0B, 13, A ; 7189
+ LD *AR7-0B, 13, B ; 7190
+ LD *AR7-0B, 14, A ; 7191
+ LD *AR7-0B, 14, B ; 7192
+ LD *AR7-0B, 15, A ; 7193
+ LD *AR7-0B, 15, B ; 7194
+ LD *AR7-0, A ; 7195
+ LD *AR7-0, B ; 7196
+ LD *AR7-0, -16, A ; 7197
+ LD *AR7-0, -16, B ; 7198
+ LD *AR7-0, -15, A ; 7199
+ LD *AR7-0, -15, B ; 7200
+ LD *AR7-0, -14, A ; 7201
+ LD *AR7-0, -14, B ; 7202
+ LD *AR7-0, -13, A ; 7203
+ LD *AR7-0, -13, B ; 7204
+ LD *AR7-0, -12, A ; 7205
+ LD *AR7-0, -12, B ; 7206
+ LD *AR7-0, -11, A ; 7207
+ LD *AR7-0, -11, B ; 7208
+ LD *AR7-0, -10, A ; 7209
+ LD *AR7-0, -10, B ; 7210
+ LD *AR7-0, -9, A ; 7211
+ LD *AR7-0, -9, B ; 7212
+ LD *AR7-0, -8, A ; 7213
+ LD *AR7-0, -8, B ; 7214
+ LD *AR7-0, -7, A ; 7215
+ LD *AR7-0, -7, B ; 7216
+ LD *AR7-0, -6, A ; 7217
+ LD *AR7-0, -6, B ; 7218
+ LD *AR7-0, -5, A ; 7219
+ LD *AR7-0, -5, B ; 7220
+ LD *AR7-0, -4, A ; 7221
+ LD *AR7-0, -4, B ; 7222
+ LD *AR7-0, -3, A ; 7223
+ LD *AR7-0, -3, B ; 7224
+ LD *AR7-0, -2, A ; 7225
+ LD *AR7-0, -2, B ; 7226
+ LD *AR7-0, -1, A ; 7227
+ LD *AR7-0, -1, B ; 7228
+ LD *AR7-0, 0, A ; 7229
+ LD *AR7-0, 0, B ; 7230
+ LD *AR7-0, 1, A ; 7231
+ LD *AR7-0, 1, B ; 7232
+ LD *AR7-0, 2, A ; 7233
+ LD *AR7-0, 2, B ; 7234
+ LD *AR7-0, 3, A ; 7235
+ LD *AR7-0, 3, B ; 7236
+ LD *AR7-0, 4, A ; 7237
+ LD *AR7-0, 4, B ; 7238
+ LD *AR7-0, 5, A ; 7239
+ LD *AR7-0, 5, B ; 7240
+ LD *AR7-0, 6, A ; 7241
+ LD *AR7-0, 6, B ; 7242
+ LD *AR7-0, 7, A ; 7243
+ LD *AR7-0, 7, B ; 7244
+ LD *AR7-0, 8, A ; 7245
+ LD *AR7-0, 8, B ; 7246
+ LD *AR7-0, 9, A ; 7247
+ LD *AR7-0, 9, B ; 7248
+ LD *AR7-0, 10, A ; 7249
+ LD *AR7-0, 10, B ; 7250
+ LD *AR7-0, 11, A ; 7251
+ LD *AR7-0, 11, B ; 7252
+ LD *AR7-0, 12, A ; 7253
+ LD *AR7-0, 12, B ; 7254
+ LD *AR7-0, 13, A ; 7255
+ LD *AR7-0, 13, B ; 7256
+ LD *AR7-0, 14, A ; 7257
+ LD *AR7-0, 14, B ; 7258
+ LD *AR7-0, 15, A ; 7259
+ LD *AR7-0, 15, B ; 7260
+ LD *AR7+0, A ; 7261
+ LD *AR7+0, B ; 7262
+ LD *AR7+0, -16, A ; 7263
+ LD *AR7+0, -16, B ; 7264
+ LD *AR7+0, -15, A ; 7265
+ LD *AR7+0, -15, B ; 7266
+ LD *AR7+0, -14, A ; 7267
+ LD *AR7+0, -14, B ; 7268
+ LD *AR7+0, -13, A ; 7269
+ LD *AR7+0, -13, B ; 7270
+ LD *AR7+0, -12, A ; 7271
+ LD *AR7+0, -12, B ; 7272
+ LD *AR7+0, -11, A ; 7273
+ LD *AR7+0, -11, B ; 7274
+ LD *AR7+0, -10, A ; 7275
+ LD *AR7+0, -10, B ; 7276
+ LD *AR7+0, -9, A ; 7277
+ LD *AR7+0, -9, B ; 7278
+ LD *AR7+0, -8, A ; 7279
+ LD *AR7+0, -8, B ; 7280
+ LD *AR7+0, -7, A ; 7281
+ LD *AR7+0, -7, B ; 7282
+ LD *AR7+0, -6, A ; 7283
+ LD *AR7+0, -6, B ; 7284
+ LD *AR7+0, -5, A ; 7285
+ LD *AR7+0, -5, B ; 7286
+ LD *AR7+0, -4, A ; 7287
+ LD *AR7+0, -4, B ; 7288
+ LD *AR7+0, -3, A ; 7289
+ LD *AR7+0, -3, B ; 7290
+ LD *AR7+0, -2, A ; 7291
+ LD *AR7+0, -2, B ; 7292
+ LD *AR7+0, -1, A ; 7293
+ LD *AR7+0, -1, B ; 7294
+ LD *AR7+0, 0, A ; 7295
+ LD *AR7+0, 0, B ; 7296
+ LD *AR7+0, 1, A ; 7297
+ LD *AR7+0, 1, B ; 7298
+ LD *AR7+0, 2, A ; 7299
+ LD *AR7+0, 2, B ; 7300
+ LD *AR7+0, 3, A ; 7301
+ LD *AR7+0, 3, B ; 7302
+ LD *AR7+0, 4, A ; 7303
+ LD *AR7+0, 4, B ; 7304
+ LD *AR7+0, 5, A ; 7305
+ LD *AR7+0, 5, B ; 7306
+ LD *AR7+0, 6, A ; 7307
+ LD *AR7+0, 6, B ; 7308
+ LD *AR7+0, 7, A ; 7309
+ LD *AR7+0, 7, B ; 7310
+ LD *AR7+0, 8, A ; 7311
+ LD *AR7+0, 8, B ; 7312
+ LD *AR7+0, 9, A ; 7313
+ LD *AR7+0, 9, B ; 7314
+ LD *AR7+0, 10, A ; 7315
+ LD *AR7+0, 10, B ; 7316
+ LD *AR7+0, 11, A ; 7317
+ LD *AR7+0, 11, B ; 7318
+ LD *AR7+0, 12, A ; 7319
+ LD *AR7+0, 12, B ; 7320
+ LD *AR7+0, 13, A ; 7321
+ LD *AR7+0, 13, B ; 7322
+ LD *AR7+0, 14, A ; 7323
+ LD *AR7+0, 14, B ; 7324
+ LD *AR7+0, 15, A ; 7325
+ LD *AR7+0, 15, B ; 7326
+ LD *AR7+0B, A ; 7327
+ LD *AR7+0B, B ; 7328
+ LD *AR7+0B, -16, A ; 7329
+ LD *AR7+0B, -16, B ; 7330
+ LD *AR7+0B, -15, A ; 7331
+ LD *AR7+0B, -15, B ; 7332
+ LD *AR7+0B, -14, A ; 7333
+ LD *AR7+0B, -14, B ; 7334
+ LD *AR7+0B, -13, A ; 7335
+ LD *AR7+0B, -13, B ; 7336
+ LD *AR7+0B, -12, A ; 7337
+ LD *AR7+0B, -12, B ; 7338
+ LD *AR7+0B, -11, A ; 7339
+ LD *AR7+0B, -11, B ; 7340
+ LD *AR7+0B, -10, A ; 7341
+ LD *AR7+0B, -10, B ; 7342
+ LD *AR7+0B, -9, A ; 7343
+ LD *AR7+0B, -9, B ; 7344
+ LD *AR7+0B, -8, A ; 7345
+ LD *AR7+0B, -8, B ; 7346
+ LD *AR7+0B, -7, A ; 7347
+ LD *AR7+0B, -7, B ; 7348
+ LD *AR7+0B, -6, A ; 7349
+ LD *AR7+0B, -6, B ; 7350
+ LD *AR7+0B, -5, A ; 7351
+ LD *AR7+0B, -5, B ; 7352
+ LD *AR7+0B, -4, A ; 7353
+ LD *AR7+0B, -4, B ; 7354
+ LD *AR7+0B, -3, A ; 7355
+ LD *AR7+0B, -3, B ; 7356
+ LD *AR7+0B, -2, A ; 7357
+ LD *AR7+0B, -2, B ; 7358
+ LD *AR7+0B, -1, A ; 7359
+ LD *AR7+0B, -1, B ; 7360
+ LD *AR7+0B, 0, A ; 7361
+ LD *AR7+0B, 0, B ; 7362
+ LD *AR7+0B, 1, A ; 7363
+ LD *AR7+0B, 1, B ; 7364
+ LD *AR7+0B, 2, A ; 7365
+ LD *AR7+0B, 2, B ; 7366
+ LD *AR7+0B, 3, A ; 7367
+ LD *AR7+0B, 3, B ; 7368
+ LD *AR7+0B, 4, A ; 7369
+ LD *AR7+0B, 4, B ; 7370
+ LD *AR7+0B, 5, A ; 7371
+ LD *AR7+0B, 5, B ; 7372
+ LD *AR7+0B, 6, A ; 7373
+ LD *AR7+0B, 6, B ; 7374
+ LD *AR7+0B, 7, A ; 7375
+ LD *AR7+0B, 7, B ; 7376
+ LD *AR7+0B, 8, A ; 7377
+ LD *AR7+0B, 8, B ; 7378
+ LD *AR7+0B, 9, A ; 7379
+ LD *AR7+0B, 9, B ; 7380
+ LD *AR7+0B, 10, A ; 7381
+ LD *AR7+0B, 10, B ; 7382
+ LD *AR7+0B, 11, A ; 7383
+ LD *AR7+0B, 11, B ; 7384
+ LD *AR7+0B, 12, A ; 7385
+ LD *AR7+0B, 12, B ; 7386
+ LD *AR7+0B, 13, A ; 7387
+ LD *AR7+0B, 13, B ; 7388
+ LD *AR7+0B, 14, A ; 7389
+ LD *AR7+0B, 14, B ; 7390
+ LD *AR7+0B, 15, A ; 7391
+ LD *AR7+0B, 15, B ; 7392
+ LD *AR7-%, A ; 7393
+ LD *AR7-%, B ; 7394
+ LD *AR7-%, -16, A ; 7395
+ LD *AR7-%, -16, B ; 7396
+ LD *AR7-%, -15, A ; 7397
+ LD *AR7-%, -15, B ; 7398
+ LD *AR7-%, -14, A ; 7399
+ LD *AR7-%, -14, B ; 7400
+ LD *AR7-%, -13, A ; 7401
+ LD *AR7-%, -13, B ; 7402
+ LD *AR7-%, -12, A ; 7403
+ LD *AR7-%, -12, B ; 7404
+ LD *AR7-%, -11, A ; 7405
+ LD *AR7-%, -11, B ; 7406
+ LD *AR7-%, -10, A ; 7407
+ LD *AR7-%, -10, B ; 7408
+ LD *AR7-%, -9, A ; 7409
+ LD *AR7-%, -9, B ; 7410
+ LD *AR7-%, -8, A ; 7411
+ LD *AR7-%, -8, B ; 7412
+ LD *AR7-%, -7, A ; 7413
+ LD *AR7-%, -7, B ; 7414
+ LD *AR7-%, -6, A ; 7415
+ LD *AR7-%, -6, B ; 7416
+ LD *AR7-%, -5, A ; 7417
+ LD *AR7-%, -5, B ; 7418
+ LD *AR7-%, -4, A ; 7419
+ LD *AR7-%, -4, B ; 7420
+ LD *AR7-%, -3, A ; 7421
+ LD *AR7-%, -3, B ; 7422
+ LD *AR7-%, -2, A ; 7423
+ LD *AR7-%, -2, B ; 7424
+ LD *AR7-%, -1, A ; 7425
+ LD *AR7-%, -1, B ; 7426
+ LD *AR7-%, 0, A ; 7427
+ LD *AR7-%, 0, B ; 7428
+ LD *AR7-%, 1, A ; 7429
+ LD *AR7-%, 1, B ; 7430
+ LD *AR7-%, 2, A ; 7431
+ LD *AR7-%, 2, B ; 7432
+ LD *AR7-%, 3, A ; 7433
+ LD *AR7-%, 3, B ; 7434
+ LD *AR7-%, 4, A ; 7435
+ LD *AR7-%, 4, B ; 7436
+ LD *AR7-%, 5, A ; 7437
+ LD *AR7-%, 5, B ; 7438
+ LD *AR7-%, 6, A ; 7439
+ LD *AR7-%, 6, B ; 7440
+ LD *AR7-%, 7, A ; 7441
+ LD *AR7-%, 7, B ; 7442
+ LD *AR7-%, 8, A ; 7443
+ LD *AR7-%, 8, B ; 7444
+ LD *AR7-%, 9, A ; 7445
+ LD *AR7-%, 9, B ; 7446
+ LD *AR7-%, 10, A ; 7447
+ LD *AR7-%, 10, B ; 7448
+ LD *AR7-%, 11, A ; 7449
+ LD *AR7-%, 11, B ; 7450
+ LD *AR7-%, 12, A ; 7451
+ LD *AR7-%, 12, B ; 7452
+ LD *AR7-%, 13, A ; 7453
+ LD *AR7-%, 13, B ; 7454
+ LD *AR7-%, 14, A ; 7455
+ LD *AR7-%, 14, B ; 7456
+ LD *AR7-%, 15, A ; 7457
+ LD *AR7-%, 15, B ; 7458
+ LD *AR7-0%, A ; 7459
+ LD *AR7-0%, B ; 7460
+ LD *AR7-0%, -16, A ; 7461
+ LD *AR7-0%, -16, B ; 7462
+ LD *AR7-0%, -15, A ; 7463
+ LD *AR7-0%, -15, B ; 7464
+ LD *AR7-0%, -14, A ; 7465
+ LD *AR7-0%, -14, B ; 7466
+ LD *AR7-0%, -13, A ; 7467
+ LD *AR7-0%, -13, B ; 7468
+ LD *AR7-0%, -12, A ; 7469
+ LD *AR7-0%, -12, B ; 7470
+ LD *AR7-0%, -11, A ; 7471
+ LD *AR7-0%, -11, B ; 7472
+ LD *AR7-0%, -10, A ; 7473
+ LD *AR7-0%, -10, B ; 7474
+ LD *AR7-0%, -9, A ; 7475
+ LD *AR7-0%, -9, B ; 7476
+ LD *AR7-0%, -8, A ; 7477
+ LD *AR7-0%, -8, B ; 7478
+ LD *AR7-0%, -7, A ; 7479
+ LD *AR7-0%, -7, B ; 7480
+ LD *AR7-0%, -6, A ; 7481
+ LD *AR7-0%, -6, B ; 7482
+ LD *AR7-0%, -5, A ; 7483
+ LD *AR7-0%, -5, B ; 7484
+ LD *AR7-0%, -4, A ; 7485
+ LD *AR7-0%, -4, B ; 7486
+ LD *AR7-0%, -3, A ; 7487
+ LD *AR7-0%, -3, B ; 7488
+ LD *AR7-0%, -2, A ; 7489
+ LD *AR7-0%, -2, B ; 7490
+ LD *AR7-0%, -1, A ; 7491
+ LD *AR7-0%, -1, B ; 7492
+ LD *AR7-0%, 0, A ; 7493
+ LD *AR7-0%, 0, B ; 7494
+ LD *AR7-0%, 1, A ; 7495
+ LD *AR7-0%, 1, B ; 7496
+ LD *AR7-0%, 2, A ; 7497
+ LD *AR7-0%, 2, B ; 7498
+ LD *AR7-0%, 3, A ; 7499
+ LD *AR7-0%, 3, B ; 7500
+ LD *AR7-0%, 4, A ; 7501
+ LD *AR7-0%, 4, B ; 7502
+ LD *AR7-0%, 5, A ; 7503
+ LD *AR7-0%, 5, B ; 7504
+ LD *AR7-0%, 6, A ; 7505
+ LD *AR7-0%, 6, B ; 7506
+ LD *AR7-0%, 7, A ; 7507
+ LD *AR7-0%, 7, B ; 7508
+ LD *AR7-0%, 8, A ; 7509
+ LD *AR7-0%, 8, B ; 7510
+ LD *AR7-0%, 9, A ; 7511
+ LD *AR7-0%, 9, B ; 7512
+ LD *AR7-0%, 10, A ; 7513
+ LD *AR7-0%, 10, B ; 7514
+ LD *AR7-0%, 11, A ; 7515
+ LD *AR7-0%, 11, B ; 7516
+ LD *AR7-0%, 12, A ; 7517
+ LD *AR7-0%, 12, B ; 7518
+ LD *AR7-0%, 13, A ; 7519
+ LD *AR7-0%, 13, B ; 7520
+ LD *AR7-0%, 14, A ; 7521
+ LD *AR7-0%, 14, B ; 7522
+ LD *AR7-0%, 15, A ; 7523
+ LD *AR7-0%, 15, B ; 7524
+ LD *AR7+%, A ; 7525
+ LD *AR7+%, B ; 7526
+ LD *AR7+%, -16, A ; 7527
+ LD *AR7+%, -16, B ; 7528
+ LD *AR7+%, -15, A ; 7529
+ LD *AR7+%, -15, B ; 7530
+ LD *AR7+%, -14, A ; 7531
+ LD *AR7+%, -14, B ; 7532
+ LD *AR7+%, -13, A ; 7533
+ LD *AR7+%, -13, B ; 7534
+ LD *AR7+%, -12, A ; 7535
+ LD *AR7+%, -12, B ; 7536
+ LD *AR7+%, -11, A ; 7537
+ LD *AR7+%, -11, B ; 7538
+ LD *AR7+%, -10, A ; 7539
+ LD *AR7+%, -10, B ; 7540
+ LD *AR7+%, -9, A ; 7541
+ LD *AR7+%, -9, B ; 7542
+ LD *AR7+%, -8, A ; 7543
+ LD *AR7+%, -8, B ; 7544
+ LD *AR7+%, -7, A ; 7545
+ LD *AR7+%, -7, B ; 7546
+ LD *AR7+%, -6, A ; 7547
+ LD *AR7+%, -6, B ; 7548
+ LD *AR7+%, -5, A ; 7549
+ LD *AR7+%, -5, B ; 7550
+ LD *AR7+%, -4, A ; 7551
+ LD *AR7+%, -4, B ; 7552
+ LD *AR7+%, -3, A ; 7553
+ LD *AR7+%, -3, B ; 7554
+ LD *AR7+%, -2, A ; 7555
+ LD *AR7+%, -2, B ; 7556
+ LD *AR7+%, -1, A ; 7557
+ LD *AR7+%, -1, B ; 7558
+ LD *AR7+%, 0, A ; 7559
+ LD *AR7+%, 0, B ; 7560
+ LD *AR7+%, 1, A ; 7561
+ LD *AR7+%, 1, B ; 7562
+ LD *AR7+%, 2, A ; 7563
+ LD *AR7+%, 2, B ; 7564
+ LD *AR7+%, 3, A ; 7565
+ LD *AR7+%, 3, B ; 7566
+ LD *AR7+%, 4, A ; 7567
+ LD *AR7+%, 4, B ; 7568
+ LD *AR7+%, 5, A ; 7569
+ LD *AR7+%, 5, B ; 7570
+ LD *AR7+%, 6, A ; 7571
+ LD *AR7+%, 6, B ; 7572
+ LD *AR7+%, 7, A ; 7573
+ LD *AR7+%, 7, B ; 7574
+ LD *AR7+%, 8, A ; 7575
+ LD *AR7+%, 8, B ; 7576
+ LD *AR7+%, 9, A ; 7577
+ LD *AR7+%, 9, B ; 7578
+ LD *AR7+%, 10, A ; 7579
+ LD *AR7+%, 10, B ; 7580
+ LD *AR7+%, 11, A ; 7581
+ LD *AR7+%, 11, B ; 7582
+ LD *AR7+%, 12, A ; 7583
+ LD *AR7+%, 12, B ; 7584
+ LD *AR7+%, 13, A ; 7585
+ LD *AR7+%, 13, B ; 7586
+ LD *AR7+%, 14, A ; 7587
+ LD *AR7+%, 14, B ; 7588
+ LD *AR7+%, 15, A ; 7589
+ LD *AR7+%, 15, B ; 7590
+ LD *AR7+0%, A ; 7591
+ LD *AR7+0%, B ; 7592
+ LD *AR7+0%, -16, A ; 7593
+ LD *AR7+0%, -16, B ; 7594
+ LD *AR7+0%, -15, A ; 7595
+ LD *AR7+0%, -15, B ; 7596
+ LD *AR7+0%, -14, A ; 7597
+ LD *AR7+0%, -14, B ; 7598
+ LD *AR7+0%, -13, A ; 7599
+ LD *AR7+0%, -13, B ; 7600
+ LD *AR7+0%, -12, A ; 7601
+ LD *AR7+0%, -12, B ; 7602
+ LD *AR7+0%, -11, A ; 7603
+ LD *AR7+0%, -11, B ; 7604
+ LD *AR7+0%, -10, A ; 7605
+ LD *AR7+0%, -10, B ; 7606
+ LD *AR7+0%, -9, A ; 7607
+ LD *AR7+0%, -9, B ; 7608
+ LD *AR7+0%, -8, A ; 7609
+ LD *AR7+0%, -8, B ; 7610
+ LD *AR7+0%, -7, A ; 7611
+ LD *AR7+0%, -7, B ; 7612
+ LD *AR7+0%, -6, A ; 7613
+ LD *AR7+0%, -6, B ; 7614
+ LD *AR7+0%, -5, A ; 7615
+ LD *AR7+0%, -5, B ; 7616
+ LD *AR7+0%, -4, A ; 7617
+ LD *AR7+0%, -4, B ; 7618
+ LD *AR7+0%, -3, A ; 7619
+ LD *AR7+0%, -3, B ; 7620
+ LD *AR7+0%, -2, A ; 7621
+ LD *AR7+0%, -2, B ; 7622
+ LD *AR7+0%, -1, A ; 7623
+ LD *AR7+0%, -1, B ; 7624
+ LD *AR7+0%, 0, A ; 7625
+ LD *AR7+0%, 0, B ; 7626
+ LD *AR7+0%, 1, A ; 7627
+ LD *AR7+0%, 1, B ; 7628
+ LD *AR7+0%, 2, A ; 7629
+ LD *AR7+0%, 2, B ; 7630
+ LD *AR7+0%, 3, A ; 7631
+ LD *AR7+0%, 3, B ; 7632
+ LD *AR7+0%, 4, A ; 7633
+ LD *AR7+0%, 4, B ; 7634
+ LD *AR7+0%, 5, A ; 7635
+ LD *AR7+0%, 5, B ; 7636
+ LD *AR7+0%, 6, A ; 7637
+ LD *AR7+0%, 6, B ; 7638
+ LD *AR7+0%, 7, A ; 7639
+ LD *AR7+0%, 7, B ; 7640
+ LD *AR7+0%, 8, A ; 7641
+ LD *AR7+0%, 8, B ; 7642
+ LD *AR7+0%, 9, A ; 7643
+ LD *AR7+0%, 9, B ; 7644
+ LD *AR7+0%, 10, A ; 7645
+ LD *AR7+0%, 10, B ; 7646
+ LD *AR7+0%, 11, A ; 7647
+ LD *AR7+0%, 11, B ; 7648
+ LD *AR7+0%, 12, A ; 7649
+ LD *AR7+0%, 12, B ; 7650
+ LD *AR7+0%, 13, A ; 7651
+ LD *AR7+0%, 13, B ; 7652
+ LD *AR7+0%, 14, A ; 7653
+ LD *AR7+0%, 14, B ; 7654
+ LD *AR7+0%, 15, A ; 7655
+ LD *AR7+0%, 15, B ; 7656
+ LD *AR7(02998h), A ; 7657
+ LD *AR7(02999h), B ; 7658
+ LD *AR7(0299Ah), -16, A ; 7659
+ LD *AR7(0299Bh), -16, B ; 7660
+ LD *AR7(0299Ch), -15, A ; 7661
+ LD *AR7(0299Dh), -15, B ; 7662
+ LD *AR7(0299Eh), -14, A ; 7663
+ LD *AR7(0299Fh), -14, B ; 7664
+ LD *AR7(029A0h), -13, A ; 7665
+ LD *AR7(029A1h), -13, B ; 7666
+ LD *AR7(029A2h), -12, A ; 7667
+ LD *AR7(029A3h), -12, B ; 7668
+ LD *AR7(029A4h), -11, A ; 7669
+ LD *AR7(029A5h), -11, B ; 7670
+ LD *AR7(029A6h), -10, A ; 7671
+ LD *AR7(029A7h), -10, B ; 7672
+ LD *AR7(029A8h), -9, A ; 7673
+ LD *AR7(029A9h), -9, B ; 7674
+ LD *AR7(029AAh), -8, A ; 7675
+ LD *AR7(029ABh), -8, B ; 7676
+ LD *AR7(029ACh), -7, A ; 7677
+ LD *AR7(029ADh), -7, B ; 7678
+ LD *AR7(029AEh), -6, A ; 7679
+ LD *AR7(029AFh), -6, B ; 7680
+ LD *AR7(029B0h), -5, A ; 7681
+ LD *AR7(029B1h), -5, B ; 7682
+ LD *AR7(029B2h), -4, A ; 7683
+ LD *AR7(029B3h), -4, B ; 7684
+ LD *AR7(029B4h), -3, A ; 7685
+ LD *AR7(029B5h), -3, B ; 7686
+ LD *AR7(029B6h), -2, A ; 7687
+ LD *AR7(029B7h), -2, B ; 7688
+ LD *AR7(029B8h), -1, A ; 7689
+ LD *AR7(029B9h), -1, B ; 7690
+ LD *AR7(029BAh), 0, A ; 7691
+ LD *AR7(029BBh), 0, B ; 7692
+ LD *AR7(029BCh), 1, A ; 7693
+ LD *AR7(029BDh), 1, B ; 7694
+ LD *AR7(029BEh), 2, A ; 7695
+ LD *AR7(029BFh), 2, B ; 7696
+ LD *AR7(029C0h), 3, A ; 7697
+ LD *AR7(029C1h), 3, B ; 7698
+ LD *AR7(029C2h), 4, A ; 7699
+ LD *AR7(029C3h), 4, B ; 7700
+ LD *AR7(029C4h), 5, A ; 7701
+ LD *AR7(029C5h), 5, B ; 7702
+ LD *AR7(029C6h), 6, A ; 7703
+ LD *AR7(029C7h), 6, B ; 7704
+ LD *AR7(029C8h), 7, A ; 7705
+ LD *AR7(029C9h), 7, B ; 7706
+ LD *AR7(029CAh), 8, A ; 7707
+ LD *AR7(029CBh), 8, B ; 7708
+ LD *AR7(029CCh), 9, A ; 7709
+ LD *AR7(029CDh), 9, B ; 7710
+ LD *AR7(029CEh), 10, A ; 7711
+ LD *AR7(029CFh), 10, B ; 7712
+ LD *AR7(029D0h), 11, A ; 7713
+ LD *AR7(029D1h), 11, B ; 7714
+ LD *AR7(029D2h), 12, A ; 7715
+ LD *AR7(029D3h), 12, B ; 7716
+ LD *AR7(029D4h), 13, A ; 7717
+ LD *AR7(029D5h), 13, B ; 7718
+ LD *AR7(029D6h), 14, A ; 7719
+ LD *AR7(029D7h), 14, B ; 7720
+ LD *AR7(029D8h), 15, A ; 7721
+ LD *AR7(029D9h), 15, B ; 7722
+ LD *+AR7(029DAh), A ; 7723
+ LD *+AR7(029DBh), B ; 7724
+ LD *+AR7(029DCh), -16, A ; 7725
+ LD *+AR7(029DDh), -16, B ; 7726
+ LD *+AR7(029DEh), -15, A ; 7727
+ LD *+AR7(029DFh), -15, B ; 7728
+ LD *+AR7(029E0h), -14, A ; 7729
+ LD *+AR7(029E1h), -14, B ; 7730
+ LD *+AR7(029E2h), -13, A ; 7731
+ LD *+AR7(029E3h), -13, B ; 7732
+ LD *+AR7(029E4h), -12, A ; 7733
+ LD *+AR7(029E5h), -12, B ; 7734
+ LD *+AR7(029E6h), -11, A ; 7735
+ LD *+AR7(029E7h), -11, B ; 7736
+ LD *+AR7(029E8h), -10, A ; 7737
+ LD *+AR7(029E9h), -10, B ; 7738
+ LD *+AR7(029EAh), -9, A ; 7739
+ LD *+AR7(029EBh), -9, B ; 7740
+ LD *+AR7(029ECh), -8, A ; 7741
+ LD *+AR7(029EDh), -8, B ; 7742
+ LD *+AR7(029EEh), -7, A ; 7743
+ LD *+AR7(029EFh), -7, B ; 7744
+ LD *+AR7(029F0h), -6, A ; 7745
+ LD *+AR7(029F1h), -6, B ; 7746
+ LD *+AR7(029F2h), -5, A ; 7747
+ LD *+AR7(029F3h), -5, B ; 7748
+ LD *+AR7(029F4h), -4, A ; 7749
+ LD *+AR7(029F5h), -4, B ; 7750
+ LD *+AR7(029F6h), -3, A ; 7751
+ LD *+AR7(029F7h), -3, B ; 7752
+ LD *+AR7(029F8h), -2, A ; 7753
+ LD *+AR7(029F9h), -2, B ; 7754
+ LD *+AR7(029FAh), -1, A ; 7755
+ LD *+AR7(029FBh), -1, B ; 7756
+ LD *+AR7(029FCh), 0, A ; 7757
+ LD *+AR7(029FDh), 0, B ; 7758
+ LD *+AR7(029FEh), 1, A ; 7759
+ LD *+AR7(029FFh), 1, B ; 7760
+ LD *+AR7(02A00h), 2, A ; 7761
+ LD *+AR7(02A01h), 2, B ; 7762
+ LD *+AR7(02A02h), 3, A ; 7763
+ LD *+AR7(02A03h), 3, B ; 7764
+ LD *+AR7(02A04h), 4, A ; 7765
+ LD *+AR7(02A05h), 4, B ; 7766
+ LD *+AR7(02A06h), 5, A ; 7767
+ LD *+AR7(02A07h), 5, B ; 7768
+ LD *+AR7(02A08h), 6, A ; 7769
+ LD *+AR7(02A09h), 6, B ; 7770
+ LD *+AR7(02A0Ah), 7, A ; 7771
+ LD *+AR7(02A0Bh), 7, B ; 7772
+ LD *+AR7(02A0Ch), 8, A ; 7773
+ LD *+AR7(02A0Dh), 8, B ; 7774
+ LD *+AR7(02A0Eh), 9, A ; 7775
+ LD *+AR7(02A0Fh), 9, B ; 7776
+ LD *+AR7(02A10h), 10, A ; 7777
+ LD *+AR7(02A11h), 10, B ; 7778
+ LD *+AR7(02A12h), 11, A ; 7779
+ LD *+AR7(02A13h), 11, B ; 7780
+ LD *+AR7(02A14h), 12, A ; 7781
+ LD *+AR7(02A15h), 12, B ; 7782
+ LD *+AR7(02A16h), 13, A ; 7783
+ LD *+AR7(02A17h), 13, B ; 7784
+ LD *+AR7(02A18h), 14, A ; 7785
+ LD *+AR7(02A19h), 14, B ; 7786
+ LD *+AR7(02A1Ah), 15, A ; 7787
+ LD *+AR7(02A1Bh), 15, B ; 7788
+ LD *+AR7(02A1Ch)%, A ; 7789
+ LD *+AR7(02A1Dh)%, B ; 7790
+ LD *+AR7(02A1Eh)%, -16, A ; 7791
+ LD *+AR7(02A1Fh)%, -16, B ; 7792
+ LD *+AR7(02A20h)%, -15, A ; 7793
+ LD *+AR7(02A21h)%, -15, B ; 7794
+ LD *+AR7(02A22h)%, -14, A ; 7795
+ LD *+AR7(02A23h)%, -14, B ; 7796
+ LD *+AR7(02A24h)%, -13, A ; 7797
+ LD *+AR7(02A25h)%, -13, B ; 7798
+ LD *+AR7(02A26h)%, -12, A ; 7799
+ LD *+AR7(02A27h)%, -12, B ; 7800
+ LD *+AR7(02A28h)%, -11, A ; 7801
+ LD *+AR7(02A29h)%, -11, B ; 7802
+ LD *+AR7(02A2Ah)%, -10, A ; 7803
+ LD *+AR7(02A2Bh)%, -10, B ; 7804
+ LD *+AR7(02A2Ch)%, -9, A ; 7805
+ LD *+AR7(02A2Dh)%, -9, B ; 7806
+ LD *+AR7(02A2Eh)%, -8, A ; 7807
+ LD *+AR7(02A2Fh)%, -8, B ; 7808
+ LD *+AR7(02A30h)%, -7, A ; 7809
+ LD *+AR7(02A31h)%, -7, B ; 7810
+ LD *+AR7(02A32h)%, -6, A ; 7811
+ LD *+AR7(02A33h)%, -6, B ; 7812
+ LD *+AR7(02A34h)%, -5, A ; 7813
+ LD *+AR7(02A35h)%, -5, B ; 7814
+ LD *+AR7(02A36h)%, -4, A ; 7815
+ LD *+AR7(02A37h)%, -4, B ; 7816
+ LD *+AR7(02A38h)%, -3, A ; 7817
+ LD *+AR7(02A39h)%, -3, B ; 7818
+ LD *+AR7(02A3Ah)%, -2, A ; 7819
+ LD *+AR7(02A3Bh)%, -2, B ; 7820
+ LD *+AR7(02A3Ch)%, -1, A ; 7821
+ LD *+AR7(02A3Dh)%, -1, B ; 7822
+ LD *+AR7(02A3Eh)%, 0, A ; 7823
+ LD *+AR7(02A3Fh)%, 0, B ; 7824
+ LD *+AR7(02A40h)%, 1, A ; 7825
+ LD *+AR7(02A41h)%, 1, B ; 7826
+ LD *+AR7(02A42h)%, 2, A ; 7827
+ LD *+AR7(02A43h)%, 2, B ; 7828
+ LD *+AR7(02A44h)%, 3, A ; 7829
+ LD *+AR7(02A45h)%, 3, B ; 7830
+ LD *+AR7(02A46h)%, 4, A ; 7831
+ LD *+AR7(02A47h)%, 4, B ; 7832
+ LD *+AR7(02A48h)%, 5, A ; 7833
+ LD *+AR7(02A49h)%, 5, B ; 7834
+ LD *+AR7(02A4Ah)%, 6, A ; 7835
+ LD *+AR7(02A4Bh)%, 6, B ; 7836
+ LD *+AR7(02A4Ch)%, 7, A ; 7837
+ LD *+AR7(02A4Dh)%, 7, B ; 7838
+ LD *+AR7(02A4Eh)%, 8, A ; 7839
+ LD *+AR7(02A4Fh)%, 8, B ; 7840
+ LD *+AR7(02A50h)%, 9, A ; 7841
+ LD *+AR7(02A51h)%, 9, B ; 7842
+ LD *+AR7(02A52h)%, 10, A ; 7843
+ LD *+AR7(02A53h)%, 10, B ; 7844
+ LD *+AR7(02A54h)%, 11, A ; 7845
+ LD *+AR7(02A55h)%, 11, B ; 7846
+ LD *+AR7(02A56h)%, 12, A ; 7847
+ LD *+AR7(02A57h)%, 12, B ; 7848
+ LD *+AR7(02A58h)%, 13, A ; 7849
+ LD *+AR7(02A59h)%, 13, B ; 7850
+ LD *+AR7(02A5Ah)%, 14, A ; 7851
+ LD *+AR7(02A5Bh)%, 14, B ; 7852
+ LD *+AR7(02A5Ch)%, 15, A ; 7853
+ LD *+AR7(02A5Dh)%, 15, B ; 7854
+ LD *(02A5Eh), A ; 7855
+ LD *(02A5Fh), B ; 7856
+ LD *(02A60h), -16, A ; 7857
+ LD *(02A61h), -16, B ; 7858
+ LD *(02A62h), -15, A ; 7859
+ LD *(02A63h), -15, B ; 7860
+ LD *(02A64h), -14, A ; 7861
+ LD *(02A65h), -14, B ; 7862
+ LD *(02A66h), -13, A ; 7863
+ LD *(02A67h), -13, B ; 7864
+ LD *(02A68h), -12, A ; 7865
+ LD *(02A69h), -12, B ; 7866
+ LD *(02A6Ah), -11, A ; 7867
+ LD *(02A6Bh), -11, B ; 7868
+ LD *(02A6Ch), -10, A ; 7869
+ LD *(02A6Dh), -10, B ; 7870
+ LD *(02A6Eh), -9, A ; 7871
+ LD *(02A6Fh), -9, B ; 7872
+ LD *(02A70h), -8, A ; 7873
+ LD *(02A71h), -8, B ; 7874
+ LD *(02A72h), -7, A ; 7875
+ LD *(02A73h), -7, B ; 7876
+ LD *(02A74h), -6, A ; 7877
+ LD *(02A75h), -6, B ; 7878
+ LD *(02A76h), -5, A ; 7879
+ LD *(02A77h), -5, B ; 7880
+ LD *(02A78h), -4, A ; 7881
+ LD *(02A79h), -4, B ; 7882
+ LD *(02A7Ah), -3, A ; 7883
+ LD *(02A7Bh), -3, B ; 7884
+ LD *(02A7Ch), -2, A ; 7885
+ LD *(02A7Dh), -2, B ; 7886
+ LD *(02A7Eh), -1, A ; 7887
+ LD *(02A7Fh), -1, B ; 7888
+ LD *(02A80h), 0, A ; 7889
+ LD *(02A81h), 0, B ; 7890
+ LD *(02A82h), 1, A ; 7891
+ LD *(02A83h), 1, B ; 7892
+ LD *(02A84h), 2, A ; 7893
+ LD *(02A85h), 2, B ; 7894
+ LD *(02A86h), 3, A ; 7895
+ LD *(02A87h), 3, B ; 7896
+ LD *(02A88h), 4, A ; 7897
+ LD *(02A89h), 4, B ; 7898
+ LD *(02A8Ah), 5, A ; 7899
+ LD *(02A8Bh), 5, B ; 7900
+ LD *(02A8Ch), 6, A ; 7901
+ LD *(02A8Dh), 6, B ; 7902
+ LD *(02A8Eh), 7, A ; 7903
+ LD *(02A8Fh), 7, B ; 7904
+ LD *(02A90h), 8, A ; 7905
+ LD *(02A91h), 8, B ; 7906
+ LD *(02A92h), 9, A ; 7907
+ LD *(02A93h), 9, B ; 7908
+ LD *(02A94h), 10, A ; 7909
+ LD *(02A95h), 10, B ; 7910
+ LD *(02A96h), 11, A ; 7911
+ LD *(02A97h), 11, B ; 7912
+ LD *(02A98h), 12, A ; 7913
+ LD *(02A99h), 12, B ; 7914
+ LD *(02A9Ah), 13, A ; 7915
+ LD *(02A9Bh), 13, B ; 7916
+ LD *(02A9Ch), 14, A ; 7917
+ LD *(02A9Dh), 14, B ; 7918
+ LD *(02A9Eh), 15, A ; 7919
+ LD *(02A9Fh), 15, B ; 7920
+ ; LDsmem_I : LD Smem_I, AB : 240
+ LD *AR0, A ; 1
+ LD *AR0, B ; 2
+ LD *AR0-, A ; 3
+ LD *AR0-, B ; 4
+ LD *AR0+, A ; 5
+ LD *AR0+, B ; 6
+ LD *AR0-0B, A ; 7
+ LD *AR0-0B, B ; 8
+ LD *AR0-0, A ; 9
+ LD *AR0-0, B ; 10
+ LD *AR0+0, A ; 11
+ LD *AR0+0, B ; 12
+ LD *AR0+0B, A ; 13
+ LD *AR0+0B, B ; 14
+ LD *AR0-%, A ; 15
+ LD *AR0-%, B ; 16
+ LD *AR0-0%, A ; 17
+ LD *AR0-0%, B ; 18
+ LD *AR0+%, A ; 19
+ LD *AR0+%, B ; 20
+ LD *AR0+0%, A ; 21
+ LD *AR0+0%, B ; 22
+ LD *AR0(02AA0h), A ; 23
+ LD *AR0(02AA1h), B ; 24
+ LD *+AR0(02AA2h), A ; 25
+ LD *+AR0(02AA3h), B ; 26
+ LD *+AR0(02AA4h)%, A ; 27
+ LD *+AR0(02AA5h)%, B ; 28
+ LD *(02AA6h), A ; 29
+ LD *(02AA7h), B ; 30
+ LD *AR1, A ; 31
+ LD *AR1, B ; 32
+ LD *AR1-, A ; 33
+ LD *AR1-, B ; 34
+ LD *AR1+, A ; 35
+ LD *AR1+, B ; 36
+ LD *AR1-0B, A ; 37
+ LD *AR1-0B, B ; 38
+ LD *AR1-0, A ; 39
+ LD *AR1-0, B ; 40
+ LD *AR1+0, A ; 41
+ LD *AR1+0, B ; 42
+ LD *AR1+0B, A ; 43
+ LD *AR1+0B, B ; 44
+ LD *AR1-%, A ; 45
+ LD *AR1-%, B ; 46
+ LD *AR1-0%, A ; 47
+ LD *AR1-0%, B ; 48
+ LD *AR1+%, A ; 49
+ LD *AR1+%, B ; 50
+ LD *AR1+0%, A ; 51
+ LD *AR1+0%, B ; 52
+ LD *AR1(02AA8h), A ; 53
+ LD *AR1(02AA9h), B ; 54
+ LD *+AR1(02AAAh), A ; 55
+ LD *+AR1(02AABh), B ; 56
+ LD *+AR1(02AACh)%, A ; 57
+ LD *+AR1(02AADh)%, B ; 58
+ LD *(02AAEh), A ; 59
+ LD *(02AAFh), B ; 60
+ LD *AR2, A ; 61
+ LD *AR2, B ; 62
+ LD *AR2-, A ; 63
+ LD *AR2-, B ; 64
+ LD *AR2+, A ; 65
+ LD *AR2+, B ; 66
+ LD *AR2-0B, A ; 67
+ LD *AR2-0B, B ; 68
+ LD *AR2-0, A ; 69
+ LD *AR2-0, B ; 70
+ LD *AR2+0, A ; 71
+ LD *AR2+0, B ; 72
+ LD *AR2+0B, A ; 73
+ LD *AR2+0B, B ; 74
+ LD *AR2-%, A ; 75
+ LD *AR2-%, B ; 76
+ LD *AR2-0%, A ; 77
+ LD *AR2-0%, B ; 78
+ LD *AR2+%, A ; 79
+ LD *AR2+%, B ; 80
+ LD *AR2+0%, A ; 81
+ LD *AR2+0%, B ; 82
+ LD *AR2(02AB0h), A ; 83
+ LD *AR2(02AB1h), B ; 84
+ LD *+AR2(02AB2h), A ; 85
+ LD *+AR2(02AB3h), B ; 86
+ LD *+AR2(02AB4h)%, A ; 87
+ LD *+AR2(02AB5h)%, B ; 88
+ LD *(02AB6h), A ; 89
+ LD *(02AB7h), B ; 90
+ LD *AR3, A ; 91
+ LD *AR3, B ; 92
+ LD *AR3-, A ; 93
+ LD *AR3-, B ; 94
+ LD *AR3+, A ; 95
+ LD *AR3+, B ; 96
+ LD *AR3-0B, A ; 97
+ LD *AR3-0B, B ; 98
+ LD *AR3-0, A ; 99
+ LD *AR3-0, B ; 100
+ LD *AR3+0, A ; 101
+ LD *AR3+0, B ; 102
+ LD *AR3+0B, A ; 103
+ LD *AR3+0B, B ; 104
+ LD *AR3-%, A ; 105
+ LD *AR3-%, B ; 106
+ LD *AR3-0%, A ; 107
+ LD *AR3-0%, B ; 108
+ LD *AR3+%, A ; 109
+ LD *AR3+%, B ; 110
+ LD *AR3+0%, A ; 111
+ LD *AR3+0%, B ; 112
+ LD *AR3(02AB8h), A ; 113
+ LD *AR3(02AB9h), B ; 114
+ LD *+AR3(02ABAh), A ; 115
+ LD *+AR3(02ABBh), B ; 116
+ LD *+AR3(02ABCh)%, A ; 117
+ LD *+AR3(02ABDh)%, B ; 118
+ LD *(02ABEh), A ; 119
+ LD *(02ABFh), B ; 120
+ LD *AR4, A ; 121
+ LD *AR4, B ; 122
+ LD *AR4-, A ; 123
+ LD *AR4-, B ; 124
+ LD *AR4+, A ; 125
+ LD *AR4+, B ; 126
+ LD *AR4-0B, A ; 127
+ LD *AR4-0B, B ; 128
+ LD *AR4-0, A ; 129
+ LD *AR4-0, B ; 130
+ LD *AR4+0, A ; 131
+ LD *AR4+0, B ; 132
+ LD *AR4+0B, A ; 133
+ LD *AR4+0B, B ; 134
+ LD *AR4-%, A ; 135
+ LD *AR4-%, B ; 136
+ LD *AR4-0%, A ; 137
+ LD *AR4-0%, B ; 138
+ LD *AR4+%, A ; 139
+ LD *AR4+%, B ; 140
+ LD *AR4+0%, A ; 141
+ LD *AR4+0%, B ; 142
+ LD *AR4(02AC0h), A ; 143
+ LD *AR4(02AC1h), B ; 144
+ LD *+AR4(02AC2h), A ; 145
+ LD *+AR4(02AC3h), B ; 146
+ LD *+AR4(02AC4h)%, A ; 147
+ LD *+AR4(02AC5h)%, B ; 148
+ LD *(02AC6h), A ; 149
+ LD *(02AC7h), B ; 150
+ LD *AR5, A ; 151
+ LD *AR5, B ; 152
+ LD *AR5-, A ; 153
+ LD *AR5-, B ; 154
+ LD *AR5+, A ; 155
+ LD *AR5+, B ; 156
+ LD *AR5-0B, A ; 157
+ LD *AR5-0B, B ; 158
+ LD *AR5-0, A ; 159
+ LD *AR5-0, B ; 160
+ LD *AR5+0, A ; 161
+ LD *AR5+0, B ; 162
+ LD *AR5+0B, A ; 163
+ LD *AR5+0B, B ; 164
+ LD *AR5-%, A ; 165
+ LD *AR5-%, B ; 166
+ LD *AR5-0%, A ; 167
+ LD *AR5-0%, B ; 168
+ LD *AR5+%, A ; 169
+ LD *AR5+%, B ; 170
+ LD *AR5+0%, A ; 171
+ LD *AR5+0%, B ; 172
+ LD *AR5(02AC8h), A ; 173
+ LD *AR5(02AC9h), B ; 174
+ LD *+AR5(02ACAh), A ; 175
+ LD *+AR5(02ACBh), B ; 176
+ LD *+AR5(02ACCh)%, A ; 177
+ LD *+AR5(02ACDh)%, B ; 178
+ LD *(02ACEh), A ; 179
+ LD *(02ACFh), B ; 180
+ LD *AR6, A ; 181
+ LD *AR6, B ; 182
+ LD *AR6-, A ; 183
+ LD *AR6-, B ; 184
+ LD *AR6+, A ; 185
+ LD *AR6+, B ; 186
+ LD *AR6-0B, A ; 187
+ LD *AR6-0B, B ; 188
+ LD *AR6-0, A ; 189
+ LD *AR6-0, B ; 190
+ LD *AR6+0, A ; 191
+ LD *AR6+0, B ; 192
+ LD *AR6+0B, A ; 193
+ LD *AR6+0B, B ; 194
+ LD *AR6-%, A ; 195
+ LD *AR6-%, B ; 196
+ LD *AR6-0%, A ; 197
+ LD *AR6-0%, B ; 198
+ LD *AR6+%, A ; 199
+ LD *AR6+%, B ; 200
+ LD *AR6+0%, A ; 201
+ LD *AR6+0%, B ; 202
+ LD *AR6(02AD0h), A ; 203
+ LD *AR6(02AD1h), B ; 204
+ LD *+AR6(02AD2h), A ; 205
+ LD *+AR6(02AD3h), B ; 206
+ LD *+AR6(02AD4h)%, A ; 207
+ LD *+AR6(02AD5h)%, B ; 208
+ LD *(02AD6h), A ; 209
+ LD *(02AD7h), B ; 210
+ LD *AR7, A ; 211
+ LD *AR7, B ; 212
+ LD *AR7-, A ; 213
+ LD *AR7-, B ; 214
+ LD *AR7+, A ; 215
+ LD *AR7+, B ; 216
+ LD *AR7-0B, A ; 217
+ LD *AR7-0B, B ; 218
+ LD *AR7-0, A ; 219
+ LD *AR7-0, B ; 220
+ LD *AR7+0, A ; 221
+ LD *AR7+0, B ; 222
+ LD *AR7+0B, A ; 223
+ LD *AR7+0B, B ; 224
+ LD *AR7-%, A ; 225
+ LD *AR7-%, B ; 226
+ LD *AR7-0%, A ; 227
+ LD *AR7-0%, B ; 228
+ LD *AR7+%, A ; 229
+ LD *AR7+%, B ; 230
+ LD *AR7+0%, A ; 231
+ LD *AR7+0%, B ; 232
+ LD *AR7(02AD8h), A ; 233
+ LD *AR7(02AD9h), B ; 234
+ LD *+AR7(02ADAh), A ; 235
+ LD *+AR7(02ADBh), B ; 236
+ LD *+AR7(02ADCh)%, A ; 237
+ LD *+AR7(02ADDh)%, B ; 238
+ LD *(02ADEh), A ; 239
+ LD *(02ADFh), B ; 240
+ ; LDtreg : LD Smem, T : 1
+ LD 40h, T ; 1
+ ; LDtreg_I : LD Smem_I, T : 120
+ LD *AR0, T ; 1
+ LD *AR0-, T ; 2
+ LD *AR0+, T ; 3
+ LD *AR0-0B, T ; 4
+ LD *AR0-0, T ; 5
+ LD *AR0+0, T ; 6
+ LD *AR0+0B, T ; 7
+ LD *AR0-%, T ; 8
+ LD *AR0-0%, T ; 9
+ LD *AR0+%, T ; 10
+ LD *AR0+0%, T ; 11
+ LD *AR0(02AE0h), T ; 12
+ LD *+AR0(02AE1h), T ; 13
+ LD *+AR0(02AE2h)%, T ; 14
+ LD *(02AE3h), T ; 15
+ LD *AR1, T ; 16
+ LD *AR1-, T ; 17
+ LD *AR1+, T ; 18
+ LD *AR1-0B, T ; 19
+ LD *AR1-0, T ; 20
+ LD *AR1+0, T ; 21
+ LD *AR1+0B, T ; 22
+ LD *AR1-%, T ; 23
+ LD *AR1-0%, T ; 24
+ LD *AR1+%, T ; 25
+ LD *AR1+0%, T ; 26
+ LD *AR1(02AE4h), T ; 27
+ LD *+AR1(02AE5h), T ; 28
+ LD *+AR1(02AE6h)%, T ; 29
+ LD *(02AE7h), T ; 30
+ LD *AR2, T ; 31
+ LD *AR2-, T ; 32
+ LD *AR2+, T ; 33
+ LD *AR2-0B, T ; 34
+ LD *AR2-0, T ; 35
+ LD *AR2+0, T ; 36
+ LD *AR2+0B, T ; 37
+ LD *AR2-%, T ; 38
+ LD *AR2-0%, T ; 39
+ LD *AR2+%, T ; 40
+ LD *AR2+0%, T ; 41
+ LD *AR2(02AE8h), T ; 42
+ LD *+AR2(02AE9h), T ; 43
+ LD *+AR2(02AEAh)%, T ; 44
+ LD *(02AEBh), T ; 45
+ LD *AR3, T ; 46
+ LD *AR3-, T ; 47
+ LD *AR3+, T ; 48
+ LD *AR3-0B, T ; 49
+ LD *AR3-0, T ; 50
+ LD *AR3+0, T ; 51
+ LD *AR3+0B, T ; 52
+ LD *AR3-%, T ; 53
+ LD *AR3-0%, T ; 54
+ LD *AR3+%, T ; 55
+ LD *AR3+0%, T ; 56
+ LD *AR3(02AECh), T ; 57
+ LD *+AR3(02AEDh), T ; 58
+ LD *+AR3(02AEEh)%, T ; 59
+ LD *(02AEFh), T ; 60
+ LD *AR4, T ; 61
+ LD *AR4-, T ; 62
+ LD *AR4+, T ; 63
+ LD *AR4-0B, T ; 64
+ LD *AR4-0, T ; 65
+ LD *AR4+0, T ; 66
+ LD *AR4+0B, T ; 67
+ LD *AR4-%, T ; 68
+ LD *AR4-0%, T ; 69
+ LD *AR4+%, T ; 70
+ LD *AR4+0%, T ; 71
+ LD *AR4(02AF0h), T ; 72
+ LD *+AR4(02AF1h), T ; 73
+ LD *+AR4(02AF2h)%, T ; 74
+ LD *(02AF3h), T ; 75
+ LD *AR5, T ; 76
+ LD *AR5-, T ; 77
+ LD *AR5+, T ; 78
+ LD *AR5-0B, T ; 79
+ LD *AR5-0, T ; 80
+ LD *AR5+0, T ; 81
+ LD *AR5+0B, T ; 82
+ LD *AR5-%, T ; 83
+ LD *AR5-0%, T ; 84
+ LD *AR5+%, T ; 85
+ LD *AR5+0%, T ; 86
+ LD *AR5(02AF4h), T ; 87
+ LD *+AR5(02AF5h), T ; 88
+ LD *+AR5(02AF6h)%, T ; 89
+ LD *(02AF7h), T ; 90
+ LD *AR6, T ; 91
+ LD *AR6-, T ; 92
+ LD *AR6+, T ; 93
+ LD *AR6-0B, T ; 94
+ LD *AR6-0, T ; 95
+ LD *AR6+0, T ; 96
+ LD *AR6+0B, T ; 97
+ LD *AR6-%, T ; 98
+ LD *AR6-0%, T ; 99
+ LD *AR6+%, T ; 100
+ LD *AR6+0%, T ; 101
+ LD *AR6(02AF8h), T ; 102
+ LD *+AR6(02AF9h), T ; 103
+ LD *+AR6(02AFAh)%, T ; 104
+ LD *(02AFBh), T ; 105
+ LD *AR7, T ; 106
+ LD *AR7-, T ; 107
+ LD *AR7+, T ; 108
+ LD *AR7-0B, T ; 109
+ LD *AR7-0, T ; 110
+ LD *AR7+0, T ; 111
+ LD *AR7+0B, T ; 112
+ LD *AR7-%, T ; 113
+ LD *AR7-0%, T ; 114
+ LD *AR7+%, T ; 115
+ LD *AR7+0%, T ; 116
+ LD *AR7(02AFCh), T ; 117
+ LD *+AR7(02AFDh), T ; 118
+ LD *+AR7(02AFEh)%, T ; 119
+ LD *(02AFFh), T ; 120
+ ; LDts : LD Smem, TS, AB : 2
+ LD 41h, TS, A ; 1
+ LD 42h, TS, B ; 2
+ ; LDts_I : LD Smem_I, TS, AB : 240
+ LD *AR0, TS, A ; 1
+ LD *AR0, TS, B ; 2
+ LD *AR0-, TS, A ; 3
+ LD *AR0-, TS, B ; 4
+ LD *AR0+, TS, A ; 5
+ LD *AR0+, TS, B ; 6
+ LD *AR0-0B, TS, A ; 7
+ LD *AR0-0B, TS, B ; 8
+ LD *AR0-0, TS, A ; 9
+ LD *AR0-0, TS, B ; 10
+ LD *AR0+0, TS, A ; 11
+ LD *AR0+0, TS, B ; 12
+ LD *AR0+0B, TS, A ; 13
+ LD *AR0+0B, TS, B ; 14
+ LD *AR0-%, TS, A ; 15
+ LD *AR0-%, TS, B ; 16
+ LD *AR0-0%, TS, A ; 17
+ LD *AR0-0%, TS, B ; 18
+ LD *AR0+%, TS, A ; 19
+ LD *AR0+%, TS, B ; 20
+ LD *AR0+0%, TS, A ; 21
+ LD *AR0+0%, TS, B ; 22
+ LD *AR0(02B00h), TS, A ; 23
+ LD *AR0(02B01h), TS, B ; 24
+ LD *+AR0(02B02h), TS, A ; 25
+ LD *+AR0(02B03h), TS, B ; 26
+ LD *+AR0(02B04h)%, TS, A ; 27
+ LD *+AR0(02B05h)%, TS, B ; 28
+ LD *(02B06h), TS, A ; 29
+ LD *(02B07h), TS, B ; 30
+ LD *AR1, TS, A ; 31
+ LD *AR1, TS, B ; 32
+ LD *AR1-, TS, A ; 33
+ LD *AR1-, TS, B ; 34
+ LD *AR1+, TS, A ; 35
+ LD *AR1+, TS, B ; 36
+ LD *AR1-0B, TS, A ; 37
+ LD *AR1-0B, TS, B ; 38
+ LD *AR1-0, TS, A ; 39
+ LD *AR1-0, TS, B ; 40
+ LD *AR1+0, TS, A ; 41
+ LD *AR1+0, TS, B ; 42
+ LD *AR1+0B, TS, A ; 43
+ LD *AR1+0B, TS, B ; 44
+ LD *AR1-%, TS, A ; 45
+ LD *AR1-%, TS, B ; 46
+ LD *AR1-0%, TS, A ; 47
+ LD *AR1-0%, TS, B ; 48
+ LD *AR1+%, TS, A ; 49
+ LD *AR1+%, TS, B ; 50
+ LD *AR1+0%, TS, A ; 51
+ LD *AR1+0%, TS, B ; 52
+ LD *AR1(02B08h), TS, A ; 53
+ LD *AR1(02B09h), TS, B ; 54
+ LD *+AR1(02B0Ah), TS, A ; 55
+ LD *+AR1(02B0Bh), TS, B ; 56
+ LD *+AR1(02B0Ch)%, TS, A ; 57
+ LD *+AR1(02B0Dh)%, TS, B ; 58
+ LD *(02B0Eh), TS, A ; 59
+ LD *(02B0Fh), TS, B ; 60
+ LD *AR2, TS, A ; 61
+ LD *AR2, TS, B ; 62
+ LD *AR2-, TS, A ; 63
+ LD *AR2-, TS, B ; 64
+ LD *AR2+, TS, A ; 65
+ LD *AR2+, TS, B ; 66
+ LD *AR2-0B, TS, A ; 67
+ LD *AR2-0B, TS, B ; 68
+ LD *AR2-0, TS, A ; 69
+ LD *AR2-0, TS, B ; 70
+ LD *AR2+0, TS, A ; 71
+ LD *AR2+0, TS, B ; 72
+ LD *AR2+0B, TS, A ; 73
+ LD *AR2+0B, TS, B ; 74
+ LD *AR2-%, TS, A ; 75
+ LD *AR2-%, TS, B ; 76
+ LD *AR2-0%, TS, A ; 77
+ LD *AR2-0%, TS, B ; 78
+ LD *AR2+%, TS, A ; 79
+ LD *AR2+%, TS, B ; 80
+ LD *AR2+0%, TS, A ; 81
+ LD *AR2+0%, TS, B ; 82
+ LD *AR2(02B10h), TS, A ; 83
+ LD *AR2(02B11h), TS, B ; 84
+ LD *+AR2(02B12h), TS, A ; 85
+ LD *+AR2(02B13h), TS, B ; 86
+ LD *+AR2(02B14h)%, TS, A ; 87
+ LD *+AR2(02B15h)%, TS, B ; 88
+ LD *(02B16h), TS, A ; 89
+ LD *(02B17h), TS, B ; 90
+ LD *AR3, TS, A ; 91
+ LD *AR3, TS, B ; 92
+ LD *AR3-, TS, A ; 93
+ LD *AR3-, TS, B ; 94
+ LD *AR3+, TS, A ; 95
+ LD *AR3+, TS, B ; 96
+ LD *AR3-0B, TS, A ; 97
+ LD *AR3-0B, TS, B ; 98
+ LD *AR3-0, TS, A ; 99
+ LD *AR3-0, TS, B ; 100
+ LD *AR3+0, TS, A ; 101
+ LD *AR3+0, TS, B ; 102
+ LD *AR3+0B, TS, A ; 103
+ LD *AR3+0B, TS, B ; 104
+ LD *AR3-%, TS, A ; 105
+ LD *AR3-%, TS, B ; 106
+ LD *AR3-0%, TS, A ; 107
+ LD *AR3-0%, TS, B ; 108
+ LD *AR3+%, TS, A ; 109
+ LD *AR3+%, TS, B ; 110
+ LD *AR3+0%, TS, A ; 111
+ LD *AR3+0%, TS, B ; 112
+ LD *AR3(02B18h), TS, A ; 113
+ LD *AR3(02B19h), TS, B ; 114
+ LD *+AR3(02B1Ah), TS, A ; 115
+ LD *+AR3(02B1Bh), TS, B ; 116
+ LD *+AR3(02B1Ch)%, TS, A ; 117
+ LD *+AR3(02B1Dh)%, TS, B ; 118
+ LD *(02B1Eh), TS, A ; 119
+ LD *(02B1Fh), TS, B ; 120
+ LD *AR4, TS, A ; 121
+ LD *AR4, TS, B ; 122
+ LD *AR4-, TS, A ; 123
+ LD *AR4-, TS, B ; 124
+ LD *AR4+, TS, A ; 125
+ LD *AR4+, TS, B ; 126
+ LD *AR4-0B, TS, A ; 127
+ LD *AR4-0B, TS, B ; 128
+ LD *AR4-0, TS, A ; 129
+ LD *AR4-0, TS, B ; 130
+ LD *AR4+0, TS, A ; 131
+ LD *AR4+0, TS, B ; 132
+ LD *AR4+0B, TS, A ; 133
+ LD *AR4+0B, TS, B ; 134
+ LD *AR4-%, TS, A ; 135
+ LD *AR4-%, TS, B ; 136
+ LD *AR4-0%, TS, A ; 137
+ LD *AR4-0%, TS, B ; 138
+ LD *AR4+%, TS, A ; 139
+ LD *AR4+%, TS, B ; 140
+ LD *AR4+0%, TS, A ; 141
+ LD *AR4+0%, TS, B ; 142
+ LD *AR4(02B20h), TS, A ; 143
+ LD *AR4(02B21h), TS, B ; 144
+ LD *+AR4(02B22h), TS, A ; 145
+ LD *+AR4(02B23h), TS, B ; 146
+ LD *+AR4(02B24h)%, TS, A ; 147
+ LD *+AR4(02B25h)%, TS, B ; 148
+ LD *(02B26h), TS, A ; 149
+ LD *(02B27h), TS, B ; 150
+ LD *AR5, TS, A ; 151
+ LD *AR5, TS, B ; 152
+ LD *AR5-, TS, A ; 153
+ LD *AR5-, TS, B ; 154
+ LD *AR5+, TS, A ; 155
+ LD *AR5+, TS, B ; 156
+ LD *AR5-0B, TS, A ; 157
+ LD *AR5-0B, TS, B ; 158
+ LD *AR5-0, TS, A ; 159
+ LD *AR5-0, TS, B ; 160
+ LD *AR5+0, TS, A ; 161
+ LD *AR5+0, TS, B ; 162
+ LD *AR5+0B, TS, A ; 163
+ LD *AR5+0B, TS, B ; 164
+ LD *AR5-%, TS, A ; 165
+ LD *AR5-%, TS, B ; 166
+ LD *AR5-0%, TS, A ; 167
+ LD *AR5-0%, TS, B ; 168
+ LD *AR5+%, TS, A ; 169
+ LD *AR5+%, TS, B ; 170
+ LD *AR5+0%, TS, A ; 171
+ LD *AR5+0%, TS, B ; 172
+ LD *AR5(02B28h), TS, A ; 173
+ LD *AR5(02B29h), TS, B ; 174
+ LD *+AR5(02B2Ah), TS, A ; 175
+ LD *+AR5(02B2Bh), TS, B ; 176
+ LD *+AR5(02B2Ch)%, TS, A ; 177
+ LD *+AR5(02B2Dh)%, TS, B ; 178
+ LD *(02B2Eh), TS, A ; 179
+ LD *(02B2Fh), TS, B ; 180
+ LD *AR6, TS, A ; 181
+ LD *AR6, TS, B ; 182
+ LD *AR6-, TS, A ; 183
+ LD *AR6-, TS, B ; 184
+ LD *AR6+, TS, A ; 185
+ LD *AR6+, TS, B ; 186
+ LD *AR6-0B, TS, A ; 187
+ LD *AR6-0B, TS, B ; 188
+ LD *AR6-0, TS, A ; 189
+ LD *AR6-0, TS, B ; 190
+ LD *AR6+0, TS, A ; 191
+ LD *AR6+0, TS, B ; 192
+ LD *AR6+0B, TS, A ; 193
+ LD *AR6+0B, TS, B ; 194
+ LD *AR6-%, TS, A ; 195
+ LD *AR6-%, TS, B ; 196
+ LD *AR6-0%, TS, A ; 197
+ LD *AR6-0%, TS, B ; 198
+ LD *AR6+%, TS, A ; 199
+ LD *AR6+%, TS, B ; 200
+ LD *AR6+0%, TS, A ; 201
+ LD *AR6+0%, TS, B ; 202
+ LD *AR6(02B30h), TS, A ; 203
+ LD *AR6(02B31h), TS, B ; 204
+ LD *+AR6(02B32h), TS, A ; 205
+ LD *+AR6(02B33h), TS, B ; 206
+ LD *+AR6(02B34h)%, TS, A ; 207
+ LD *+AR6(02B35h)%, TS, B ; 208
+ LD *(02B36h), TS, A ; 209
+ LD *(02B37h), TS, B ; 210
+ LD *AR7, TS, A ; 211
+ LD *AR7, TS, B ; 212
+ LD *AR7-, TS, A ; 213
+ LD *AR7-, TS, B ; 214
+ LD *AR7+, TS, A ; 215
+ LD *AR7+, TS, B ; 216
+ LD *AR7-0B, TS, A ; 217
+ LD *AR7-0B, TS, B ; 218
+ LD *AR7-0, TS, A ; 219
+ LD *AR7-0, TS, B ; 220
+ LD *AR7+0, TS, A ; 221
+ LD *AR7+0, TS, B ; 222
+ LD *AR7+0B, TS, A ; 223
+ LD *AR7+0B, TS, B ; 224
+ LD *AR7-%, TS, A ; 225
+ LD *AR7-%, TS, B ; 226
+ LD *AR7-0%, TS, A ; 227
+ LD *AR7-0%, TS, B ; 228
+ LD *AR7+%, TS, A ; 229
+ LD *AR7+%, TS, B ; 230
+ LD *AR7+0%, TS, A ; 231
+ LD *AR7+0%, TS, B ; 232
+ LD *AR7(02B38h), TS, A ; 233
+ LD *AR7(02B39h), TS, B ; 234
+ LD *+AR7(02B3Ah), TS, A ; 235
+ LD *+AR7(02B3Bh), TS, B ; 236
+ LD *+AR7(02B3Ch)%, TS, A ; 237
+ LD *+AR7(02B3Dh)%, TS, B ; 238
+ LD *(02B3Eh), TS, A ; 239
+ LD *(02B3Fh), TS, B ; 240
+ ; LDxm : LD Xmem, SHFT, AB : 512
+ LD *AR2, 0, A ; 1
+ LD *AR2, 0, B ; 2
+ LD *AR2, 1, A ; 3
+ LD *AR2, 1, B ; 4
+ LD *AR2, 2, A ; 5
+ LD *AR2, 2, B ; 6
+ LD *AR2, 3, A ; 7
+ LD *AR2, 3, B ; 8
+ LD *AR2, 4, A ; 9
+ LD *AR2, 4, B ; 10
+ LD *AR2, 5, A ; 11
+ LD *AR2, 5, B ; 12
+ LD *AR2, 6, A ; 13
+ LD *AR2, 6, B ; 14
+ LD *AR2, 7, A ; 15
+ LD *AR2, 7, B ; 16
+ LD *AR2, 8, A ; 17
+ LD *AR2, 8, B ; 18
+ LD *AR2, 9, A ; 19
+ LD *AR2, 9, B ; 20
+ LD *AR2, 10, A ; 21
+ LD *AR2, 10, B ; 22
+ LD *AR2, 11, A ; 23
+ LD *AR2, 11, B ; 24
+ LD *AR2, 12, A ; 25
+ LD *AR2, 12, B ; 26
+ LD *AR2, 13, A ; 27
+ LD *AR2, 13, B ; 28
+ LD *AR2, 14, A ; 29
+ LD *AR2, 14, B ; 30
+ LD *AR2, 15, A ; 31
+ LD *AR2, 15, B ; 32
+ LD *AR2-, 0, A ; 33
+ LD *AR2-, 0, B ; 34
+ LD *AR2-, 1, A ; 35
+ LD *AR2-, 1, B ; 36
+ LD *AR2-, 2, A ; 37
+ LD *AR2-, 2, B ; 38
+ LD *AR2-, 3, A ; 39
+ LD *AR2-, 3, B ; 40
+ LD *AR2-, 4, A ; 41
+ LD *AR2-, 4, B ; 42
+ LD *AR2-, 5, A ; 43
+ LD *AR2-, 5, B ; 44
+ LD *AR2-, 6, A ; 45
+ LD *AR2-, 6, B ; 46
+ LD *AR2-, 7, A ; 47
+ LD *AR2-, 7, B ; 48
+ LD *AR2-, 8, A ; 49
+ LD *AR2-, 8, B ; 50
+ LD *AR2-, 9, A ; 51
+ LD *AR2-, 9, B ; 52
+ LD *AR2-, 10, A ; 53
+ LD *AR2-, 10, B ; 54
+ LD *AR2-, 11, A ; 55
+ LD *AR2-, 11, B ; 56
+ LD *AR2-, 12, A ; 57
+ LD *AR2-, 12, B ; 58
+ LD *AR2-, 13, A ; 59
+ LD *AR2-, 13, B ; 60
+ LD *AR2-, 14, A ; 61
+ LD *AR2-, 14, B ; 62
+ LD *AR2-, 15, A ; 63
+ LD *AR2-, 15, B ; 64
+ LD *AR2+, 0, A ; 65
+ LD *AR2+, 0, B ; 66
+ LD *AR2+, 1, A ; 67
+ LD *AR2+, 1, B ; 68
+ LD *AR2+, 2, A ; 69
+ LD *AR2+, 2, B ; 70
+ LD *AR2+, 3, A ; 71
+ LD *AR2+, 3, B ; 72
+ LD *AR2+, 4, A ; 73
+ LD *AR2+, 4, B ; 74
+ LD *AR2+, 5, A ; 75
+ LD *AR2+, 5, B ; 76
+ LD *AR2+, 6, A ; 77
+ LD *AR2+, 6, B ; 78
+ LD *AR2+, 7, A ; 79
+ LD *AR2+, 7, B ; 80
+ LD *AR2+, 8, A ; 81
+ LD *AR2+, 8, B ; 82
+ LD *AR2+, 9, A ; 83
+ LD *AR2+, 9, B ; 84
+ LD *AR2+, 10, A ; 85
+ LD *AR2+, 10, B ; 86
+ LD *AR2+, 11, A ; 87
+ LD *AR2+, 11, B ; 88
+ LD *AR2+, 12, A ; 89
+ LD *AR2+, 12, B ; 90
+ LD *AR2+, 13, A ; 91
+ LD *AR2+, 13, B ; 92
+ LD *AR2+, 14, A ; 93
+ LD *AR2+, 14, B ; 94
+ LD *AR2+, 15, A ; 95
+ LD *AR2+, 15, B ; 96
+ LD *AR2+0%, 0, A ; 97
+ LD *AR2+0%, 0, B ; 98
+ LD *AR2+0%, 1, A ; 99
+ LD *AR2+0%, 1, B ; 100
+ LD *AR2+0%, 2, A ; 101
+ LD *AR2+0%, 2, B ; 102
+ LD *AR2+0%, 3, A ; 103
+ LD *AR2+0%, 3, B ; 104
+ LD *AR2+0%, 4, A ; 105
+ LD *AR2+0%, 4, B ; 106
+ LD *AR2+0%, 5, A ; 107
+ LD *AR2+0%, 5, B ; 108
+ LD *AR2+0%, 6, A ; 109
+ LD *AR2+0%, 6, B ; 110
+ LD *AR2+0%, 7, A ; 111
+ LD *AR2+0%, 7, B ; 112
+ LD *AR2+0%, 8, A ; 113
+ LD *AR2+0%, 8, B ; 114
+ LD *AR2+0%, 9, A ; 115
+ LD *AR2+0%, 9, B ; 116
+ LD *AR2+0%, 10, A ; 117
+ LD *AR2+0%, 10, B ; 118
+ LD *AR2+0%, 11, A ; 119
+ LD *AR2+0%, 11, B ; 120
+ LD *AR2+0%, 12, A ; 121
+ LD *AR2+0%, 12, B ; 122
+ LD *AR2+0%, 13, A ; 123
+ LD *AR2+0%, 13, B ; 124
+ LD *AR2+0%, 14, A ; 125
+ LD *AR2+0%, 14, B ; 126
+ LD *AR2+0%, 15, A ; 127
+ LD *AR2+0%, 15, B ; 128
+ LD *AR3, 0, A ; 129
+ LD *AR3, 0, B ; 130
+ LD *AR3, 1, A ; 131
+ LD *AR3, 1, B ; 132
+ LD *AR3, 2, A ; 133
+ LD *AR3, 2, B ; 134
+ LD *AR3, 3, A ; 135
+ LD *AR3, 3, B ; 136
+ LD *AR3, 4, A ; 137
+ LD *AR3, 4, B ; 138
+ LD *AR3, 5, A ; 139
+ LD *AR3, 5, B ; 140
+ LD *AR3, 6, A ; 141
+ LD *AR3, 6, B ; 142
+ LD *AR3, 7, A ; 143
+ LD *AR3, 7, B ; 144
+ LD *AR3, 8, A ; 145
+ LD *AR3, 8, B ; 146
+ LD *AR3, 9, A ; 147
+ LD *AR3, 9, B ; 148
+ LD *AR3, 10, A ; 149
+ LD *AR3, 10, B ; 150
+ LD *AR3, 11, A ; 151
+ LD *AR3, 11, B ; 152
+ LD *AR3, 12, A ; 153
+ LD *AR3, 12, B ; 154
+ LD *AR3, 13, A ; 155
+ LD *AR3, 13, B ; 156
+ LD *AR3, 14, A ; 157
+ LD *AR3, 14, B ; 158
+ LD *AR3, 15, A ; 159
+ LD *AR3, 15, B ; 160
+ LD *AR3-, 0, A ; 161
+ LD *AR3-, 0, B ; 162
+ LD *AR3-, 1, A ; 163
+ LD *AR3-, 1, B ; 164
+ LD *AR3-, 2, A ; 165
+ LD *AR3-, 2, B ; 166
+ LD *AR3-, 3, A ; 167
+ LD *AR3-, 3, B ; 168
+ LD *AR3-, 4, A ; 169
+ LD *AR3-, 4, B ; 170
+ LD *AR3-, 5, A ; 171
+ LD *AR3-, 5, B ; 172
+ LD *AR3-, 6, A ; 173
+ LD *AR3-, 6, B ; 174
+ LD *AR3-, 7, A ; 175
+ LD *AR3-, 7, B ; 176
+ LD *AR3-, 8, A ; 177
+ LD *AR3-, 8, B ; 178
+ LD *AR3-, 9, A ; 179
+ LD *AR3-, 9, B ; 180
+ LD *AR3-, 10, A ; 181
+ LD *AR3-, 10, B ; 182
+ LD *AR3-, 11, A ; 183
+ LD *AR3-, 11, B ; 184
+ LD *AR3-, 12, A ; 185
+ LD *AR3-, 12, B ; 186
+ LD *AR3-, 13, A ; 187
+ LD *AR3-, 13, B ; 188
+ LD *AR3-, 14, A ; 189
+ LD *AR3-, 14, B ; 190
+ LD *AR3-, 15, A ; 191
+ LD *AR3-, 15, B ; 192
+ LD *AR3+, 0, A ; 193
+ LD *AR3+, 0, B ; 194
+ LD *AR3+, 1, A ; 195
+ LD *AR3+, 1, B ; 196
+ LD *AR3+, 2, A ; 197
+ LD *AR3+, 2, B ; 198
+ LD *AR3+, 3, A ; 199
+ LD *AR3+, 3, B ; 200
+ LD *AR3+, 4, A ; 201
+ LD *AR3+, 4, B ; 202
+ LD *AR3+, 5, A ; 203
+ LD *AR3+, 5, B ; 204
+ LD *AR3+, 6, A ; 205
+ LD *AR3+, 6, B ; 206
+ LD *AR3+, 7, A ; 207
+ LD *AR3+, 7, B ; 208
+ LD *AR3+, 8, A ; 209
+ LD *AR3+, 8, B ; 210
+ LD *AR3+, 9, A ; 211
+ LD *AR3+, 9, B ; 212
+ LD *AR3+, 10, A ; 213
+ LD *AR3+, 10, B ; 214
+ LD *AR3+, 11, A ; 215
+ LD *AR3+, 11, B ; 216
+ LD *AR3+, 12, A ; 217
+ LD *AR3+, 12, B ; 218
+ LD *AR3+, 13, A ; 219
+ LD *AR3+, 13, B ; 220
+ LD *AR3+, 14, A ; 221
+ LD *AR3+, 14, B ; 222
+ LD *AR3+, 15, A ; 223
+ LD *AR3+, 15, B ; 224
+ LD *AR3+0%, 0, A ; 225
+ LD *AR3+0%, 0, B ; 226
+ LD *AR3+0%, 1, A ; 227
+ LD *AR3+0%, 1, B ; 228
+ LD *AR3+0%, 2, A ; 229
+ LD *AR3+0%, 2, B ; 230
+ LD *AR3+0%, 3, A ; 231
+ LD *AR3+0%, 3, B ; 232
+ LD *AR3+0%, 4, A ; 233
+ LD *AR3+0%, 4, B ; 234
+ LD *AR3+0%, 5, A ; 235
+ LD *AR3+0%, 5, B ; 236
+ LD *AR3+0%, 6, A ; 237
+ LD *AR3+0%, 6, B ; 238
+ LD *AR3+0%, 7, A ; 239
+ LD *AR3+0%, 7, B ; 240
+ LD *AR3+0%, 8, A ; 241
+ LD *AR3+0%, 8, B ; 242
+ LD *AR3+0%, 9, A ; 243
+ LD *AR3+0%, 9, B ; 244
+ LD *AR3+0%, 10, A ; 245
+ LD *AR3+0%, 10, B ; 246
+ LD *AR3+0%, 11, A ; 247
+ LD *AR3+0%, 11, B ; 248
+ LD *AR3+0%, 12, A ; 249
+ LD *AR3+0%, 12, B ; 250
+ LD *AR3+0%, 13, A ; 251
+ LD *AR3+0%, 13, B ; 252
+ LD *AR3+0%, 14, A ; 253
+ LD *AR3+0%, 14, B ; 254
+ LD *AR3+0%, 15, A ; 255
+ LD *AR3+0%, 15, B ; 256
+ LD *AR4, 0, A ; 257
+ LD *AR4, 0, B ; 258
+ LD *AR4, 1, A ; 259
+ LD *AR4, 1, B ; 260
+ LD *AR4, 2, A ; 261
+ LD *AR4, 2, B ; 262
+ LD *AR4, 3, A ; 263
+ LD *AR4, 3, B ; 264
+ LD *AR4, 4, A ; 265
+ LD *AR4, 4, B ; 266
+ LD *AR4, 5, A ; 267
+ LD *AR4, 5, B ; 268
+ LD *AR4, 6, A ; 269
+ LD *AR4, 6, B ; 270
+ LD *AR4, 7, A ; 271
+ LD *AR4, 7, B ; 272
+ LD *AR4, 8, A ; 273
+ LD *AR4, 8, B ; 274
+ LD *AR4, 9, A ; 275
+ LD *AR4, 9, B ; 276
+ LD *AR4, 10, A ; 277
+ LD *AR4, 10, B ; 278
+ LD *AR4, 11, A ; 279
+ LD *AR4, 11, B ; 280
+ LD *AR4, 12, A ; 281
+ LD *AR4, 12, B ; 282
+ LD *AR4, 13, A ; 283
+ LD *AR4, 13, B ; 284
+ LD *AR4, 14, A ; 285
+ LD *AR4, 14, B ; 286
+ LD *AR4, 15, A ; 287
+ LD *AR4, 15, B ; 288
+ LD *AR4-, 0, A ; 289
+ LD *AR4-, 0, B ; 290
+ LD *AR4-, 1, A ; 291
+ LD *AR4-, 1, B ; 292
+ LD *AR4-, 2, A ; 293
+ LD *AR4-, 2, B ; 294
+ LD *AR4-, 3, A ; 295
+ LD *AR4-, 3, B ; 296
+ LD *AR4-, 4, A ; 297
+ LD *AR4-, 4, B ; 298
+ LD *AR4-, 5, A ; 299
+ LD *AR4-, 5, B ; 300
+ LD *AR4-, 6, A ; 301
+ LD *AR4-, 6, B ; 302
+ LD *AR4-, 7, A ; 303
+ LD *AR4-, 7, B ; 304
+ LD *AR4-, 8, A ; 305
+ LD *AR4-, 8, B ; 306
+ LD *AR4-, 9, A ; 307
+ LD *AR4-, 9, B ; 308
+ LD *AR4-, 10, A ; 309
+ LD *AR4-, 10, B ; 310
+ LD *AR4-, 11, A ; 311
+ LD *AR4-, 11, B ; 312
+ LD *AR4-, 12, A ; 313
+ LD *AR4-, 12, B ; 314
+ LD *AR4-, 13, A ; 315
+ LD *AR4-, 13, B ; 316
+ LD *AR4-, 14, A ; 317
+ LD *AR4-, 14, B ; 318
+ LD *AR4-, 15, A ; 319
+ LD *AR4-, 15, B ; 320
+ LD *AR4+, 0, A ; 321
+ LD *AR4+, 0, B ; 322
+ LD *AR4+, 1, A ; 323
+ LD *AR4+, 1, B ; 324
+ LD *AR4+, 2, A ; 325
+ LD *AR4+, 2, B ; 326
+ LD *AR4+, 3, A ; 327
+ LD *AR4+, 3, B ; 328
+ LD *AR4+, 4, A ; 329
+ LD *AR4+, 4, B ; 330
+ LD *AR4+, 5, A ; 331
+ LD *AR4+, 5, B ; 332
+ LD *AR4+, 6, A ; 333
+ LD *AR4+, 6, B ; 334
+ LD *AR4+, 7, A ; 335
+ LD *AR4+, 7, B ; 336
+ LD *AR4+, 8, A ; 337
+ LD *AR4+, 8, B ; 338
+ LD *AR4+, 9, A ; 339
+ LD *AR4+, 9, B ; 340
+ LD *AR4+, 10, A ; 341
+ LD *AR4+, 10, B ; 342
+ LD *AR4+, 11, A ; 343
+ LD *AR4+, 11, B ; 344
+ LD *AR4+, 12, A ; 345
+ LD *AR4+, 12, B ; 346
+ LD *AR4+, 13, A ; 347
+ LD *AR4+, 13, B ; 348
+ LD *AR4+, 14, A ; 349
+ LD *AR4+, 14, B ; 350
+ LD *AR4+, 15, A ; 351
+ LD *AR4+, 15, B ; 352
+ LD *AR4+0%, 0, A ; 353
+ LD *AR4+0%, 0, B ; 354
+ LD *AR4+0%, 1, A ; 355
+ LD *AR4+0%, 1, B ; 356
+ LD *AR4+0%, 2, A ; 357
+ LD *AR4+0%, 2, B ; 358
+ LD *AR4+0%, 3, A ; 359
+ LD *AR4+0%, 3, B ; 360
+ LD *AR4+0%, 4, A ; 361
+ LD *AR4+0%, 4, B ; 362
+ LD *AR4+0%, 5, A ; 363
+ LD *AR4+0%, 5, B ; 364
+ LD *AR4+0%, 6, A ; 365
+ LD *AR4+0%, 6, B ; 366
+ LD *AR4+0%, 7, A ; 367
+ LD *AR4+0%, 7, B ; 368
+ LD *AR4+0%, 8, A ; 369
+ LD *AR4+0%, 8, B ; 370
+ LD *AR4+0%, 9, A ; 371
+ LD *AR4+0%, 9, B ; 372
+ LD *AR4+0%, 10, A ; 373
+ LD *AR4+0%, 10, B ; 374
+ LD *AR4+0%, 11, A ; 375
+ LD *AR4+0%, 11, B ; 376
+ LD *AR4+0%, 12, A ; 377
+ LD *AR4+0%, 12, B ; 378
+ LD *AR4+0%, 13, A ; 379
+ LD *AR4+0%, 13, B ; 380
+ LD *AR4+0%, 14, A ; 381
+ LD *AR4+0%, 14, B ; 382
+ LD *AR4+0%, 15, A ; 383
+ LD *AR4+0%, 15, B ; 384
+ LD *AR5, 0, A ; 385
+ LD *AR5, 0, B ; 386
+ LD *AR5, 1, A ; 387
+ LD *AR5, 1, B ; 388
+ LD *AR5, 2, A ; 389
+ LD *AR5, 2, B ; 390
+ LD *AR5, 3, A ; 391
+ LD *AR5, 3, B ; 392
+ LD *AR5, 4, A ; 393
+ LD *AR5, 4, B ; 394
+ LD *AR5, 5, A ; 395
+ LD *AR5, 5, B ; 396
+ LD *AR5, 6, A ; 397
+ LD *AR5, 6, B ; 398
+ LD *AR5, 7, A ; 399
+ LD *AR5, 7, B ; 400
+ LD *AR5, 8, A ; 401
+ LD *AR5, 8, B ; 402
+ LD *AR5, 9, A ; 403
+ LD *AR5, 9, B ; 404
+ LD *AR5, 10, A ; 405
+ LD *AR5, 10, B ; 406
+ LD *AR5, 11, A ; 407
+ LD *AR5, 11, B ; 408
+ LD *AR5, 12, A ; 409
+ LD *AR5, 12, B ; 410
+ LD *AR5, 13, A ; 411
+ LD *AR5, 13, B ; 412
+ LD *AR5, 14, A ; 413
+ LD *AR5, 14, B ; 414
+ LD *AR5, 15, A ; 415
+ LD *AR5, 15, B ; 416
+ LD *AR5-, 0, A ; 417
+ LD *AR5-, 0, B ; 418
+ LD *AR5-, 1, A ; 419
+ LD *AR5-, 1, B ; 420
+ LD *AR5-, 2, A ; 421
+ LD *AR5-, 2, B ; 422
+ LD *AR5-, 3, A ; 423
+ LD *AR5-, 3, B ; 424
+ LD *AR5-, 4, A ; 425
+ LD *AR5-, 4, B ; 426
+ LD *AR5-, 5, A ; 427
+ LD *AR5-, 5, B ; 428
+ LD *AR5-, 6, A ; 429
+ LD *AR5-, 6, B ; 430
+ LD *AR5-, 7, A ; 431
+ LD *AR5-, 7, B ; 432
+ LD *AR5-, 8, A ; 433
+ LD *AR5-, 8, B ; 434
+ LD *AR5-, 9, A ; 435
+ LD *AR5-, 9, B ; 436
+ LD *AR5-, 10, A ; 437
+ LD *AR5-, 10, B ; 438
+ LD *AR5-, 11, A ; 439
+ LD *AR5-, 11, B ; 440
+ LD *AR5-, 12, A ; 441
+ LD *AR5-, 12, B ; 442
+ LD *AR5-, 13, A ; 443
+ LD *AR5-, 13, B ; 444
+ LD *AR5-, 14, A ; 445
+ LD *AR5-, 14, B ; 446
+ LD *AR5-, 15, A ; 447
+ LD *AR5-, 15, B ; 448
+ LD *AR5+, 0, A ; 449
+ LD *AR5+, 0, B ; 450
+ LD *AR5+, 1, A ; 451
+ LD *AR5+, 1, B ; 452
+ LD *AR5+, 2, A ; 453
+ LD *AR5+, 2, B ; 454
+ LD *AR5+, 3, A ; 455
+ LD *AR5+, 3, B ; 456
+ LD *AR5+, 4, A ; 457
+ LD *AR5+, 4, B ; 458
+ LD *AR5+, 5, A ; 459
+ LD *AR5+, 5, B ; 460
+ LD *AR5+, 6, A ; 461
+ LD *AR5+, 6, B ; 462
+ LD *AR5+, 7, A ; 463
+ LD *AR5+, 7, B ; 464
+ LD *AR5+, 8, A ; 465
+ LD *AR5+, 8, B ; 466
+ LD *AR5+, 9, A ; 467
+ LD *AR5+, 9, B ; 468
+ LD *AR5+, 10, A ; 469
+ LD *AR5+, 10, B ; 470
+ LD *AR5+, 11, A ; 471
+ LD *AR5+, 11, B ; 472
+ LD *AR5+, 12, A ; 473
+ LD *AR5+, 12, B ; 474
+ LD *AR5+, 13, A ; 475
+ LD *AR5+, 13, B ; 476
+ LD *AR5+, 14, A ; 477
+ LD *AR5+, 14, B ; 478
+ LD *AR5+, 15, A ; 479
+ LD *AR5+, 15, B ; 480
+ LD *AR5+0%, 0, A ; 481
+ LD *AR5+0%, 0, B ; 482
+ LD *AR5+0%, 1, A ; 483
+ LD *AR5+0%, 1, B ; 484
+ LD *AR5+0%, 2, A ; 485
+ LD *AR5+0%, 2, B ; 486
+ LD *AR5+0%, 3, A ; 487
+ LD *AR5+0%, 3, B ; 488
+ LD *AR5+0%, 4, A ; 489
+ LD *AR5+0%, 4, B ; 490
+ LD *AR5+0%, 5, A ; 491
+ LD *AR5+0%, 5, B ; 492
+ LD *AR5+0%, 6, A ; 493
+ LD *AR5+0%, 6, B ; 494
+ LD *AR5+0%, 7, A ; 495
+ LD *AR5+0%, 7, B ; 496
+ LD *AR5+0%, 8, A ; 497
+ LD *AR5+0%, 8, B ; 498
+ LD *AR5+0%, 9, A ; 499
+ LD *AR5+0%, 9, B ; 500
+ LD *AR5+0%, 10, A ; 501
+ LD *AR5+0%, 10, B ; 502
+ LD *AR5+0%, 11, A ; 503
+ LD *AR5+0%, 11, B ; 504
+ LD *AR5+0%, 12, A ; 505
+ LD *AR5+0%, 12, B ; 506
+ LD *AR5+0%, 13, A ; 507
+ LD *AR5+0%, 13, B ; 508
+ LD *AR5+0%, 14, A ; 509
+ LD *AR5+0%, 14, B ; 510
+ LD *AR5+0%, 15, A ; 511
+ LD *AR5+0%, 15, B ; 512
+ ; LMS : LMS Xmem, Ymem : 256
+ LMS *AR2, *AR2 ; 1
+ LMS *AR2, *AR2- ; 2
+ LMS *AR2, *AR2+ ; 3
+ LMS *AR2, *AR2+0% ; 4
+ LMS *AR2, *AR3 ; 5
+ LMS *AR2, *AR3- ; 6
+ LMS *AR2, *AR3+ ; 7
+ LMS *AR2, *AR3+0% ; 8
+ LMS *AR2, *AR4 ; 9
+ LMS *AR2, *AR4- ; 10
+ LMS *AR2, *AR4+ ; 11
+ LMS *AR2, *AR4+0% ; 12
+ LMS *AR2, *AR5 ; 13
+ LMS *AR2, *AR5- ; 14
+ LMS *AR2, *AR5+ ; 15
+ LMS *AR2, *AR5+0% ; 16
+ LMS *AR2-, *AR2 ; 17
+ LMS *AR2-, *AR2- ; 18
+ LMS *AR2-, *AR2+ ; 19
+ LMS *AR2-, *AR2+0% ; 20
+ LMS *AR2-, *AR3 ; 21
+ LMS *AR2-, *AR3- ; 22
+ LMS *AR2-, *AR3+ ; 23
+ LMS *AR2-, *AR3+0% ; 24
+ LMS *AR2-, *AR4 ; 25
+ LMS *AR2-, *AR4- ; 26
+ LMS *AR2-, *AR4+ ; 27
+ LMS *AR2-, *AR4+0% ; 28
+ LMS *AR2-, *AR5 ; 29
+ LMS *AR2-, *AR5- ; 30
+ LMS *AR2-, *AR5+ ; 31
+ LMS *AR2-, *AR5+0% ; 32
+ LMS *AR2+, *AR2 ; 33
+ LMS *AR2+, *AR2- ; 34
+ LMS *AR2+, *AR2+ ; 35
+ LMS *AR2+, *AR2+0% ; 36
+ LMS *AR2+, *AR3 ; 37
+ LMS *AR2+, *AR3- ; 38
+ LMS *AR2+, *AR3+ ; 39
+ LMS *AR2+, *AR3+0% ; 40
+ LMS *AR2+, *AR4 ; 41
+ LMS *AR2+, *AR4- ; 42
+ LMS *AR2+, *AR4+ ; 43
+ LMS *AR2+, *AR4+0% ; 44
+ LMS *AR2+, *AR5 ; 45
+ LMS *AR2+, *AR5- ; 46
+ LMS *AR2+, *AR5+ ; 47
+ LMS *AR2+, *AR5+0% ; 48
+ LMS *AR2+0%, *AR2 ; 49
+ LMS *AR2+0%, *AR2- ; 50
+ LMS *AR2+0%, *AR2+ ; 51
+ LMS *AR2+0%, *AR2+0% ; 52
+ LMS *AR2+0%, *AR3 ; 53
+ LMS *AR2+0%, *AR3- ; 54
+ LMS *AR2+0%, *AR3+ ; 55
+ LMS *AR2+0%, *AR3+0% ; 56
+ LMS *AR2+0%, *AR4 ; 57
+ LMS *AR2+0%, *AR4- ; 58
+ LMS *AR2+0%, *AR4+ ; 59
+ LMS *AR2+0%, *AR4+0% ; 60
+ LMS *AR2+0%, *AR5 ; 61
+ LMS *AR2+0%, *AR5- ; 62
+ LMS *AR2+0%, *AR5+ ; 63
+ LMS *AR2+0%, *AR5+0% ; 64
+ LMS *AR3, *AR2 ; 65
+ LMS *AR3, *AR2- ; 66
+ LMS *AR3, *AR2+ ; 67
+ LMS *AR3, *AR2+0% ; 68
+ LMS *AR3, *AR3 ; 69
+ LMS *AR3, *AR3- ; 70
+ LMS *AR3, *AR3+ ; 71
+ LMS *AR3, *AR3+0% ; 72
+ LMS *AR3, *AR4 ; 73
+ LMS *AR3, *AR4- ; 74
+ LMS *AR3, *AR4+ ; 75
+ LMS *AR3, *AR4+0% ; 76
+ LMS *AR3, *AR5 ; 77
+ LMS *AR3, *AR5- ; 78
+ LMS *AR3, *AR5+ ; 79
+ LMS *AR3, *AR5+0% ; 80
+ LMS *AR3-, *AR2 ; 81
+ LMS *AR3-, *AR2- ; 82
+ LMS *AR3-, *AR2+ ; 83
+ LMS *AR3-, *AR2+0% ; 84
+ LMS *AR3-, *AR3 ; 85
+ LMS *AR3-, *AR3- ; 86
+ LMS *AR3-, *AR3+ ; 87
+ LMS *AR3-, *AR3+0% ; 88
+ LMS *AR3-, *AR4 ; 89
+ LMS *AR3-, *AR4- ; 90
+ LMS *AR3-, *AR4+ ; 91
+ LMS *AR3-, *AR4+0% ; 92
+ LMS *AR3-, *AR5 ; 93
+ LMS *AR3-, *AR5- ; 94
+ LMS *AR3-, *AR5+ ; 95
+ LMS *AR3-, *AR5+0% ; 96
+ LMS *AR3+, *AR2 ; 97
+ LMS *AR3+, *AR2- ; 98
+ LMS *AR3+, *AR2+ ; 99
+ LMS *AR3+, *AR2+0% ; 100
+ LMS *AR3+, *AR3 ; 101
+ LMS *AR3+, *AR3- ; 102
+ LMS *AR3+, *AR3+ ; 103
+ LMS *AR3+, *AR3+0% ; 104
+ LMS *AR3+, *AR4 ; 105
+ LMS *AR3+, *AR4- ; 106
+ LMS *AR3+, *AR4+ ; 107
+ LMS *AR3+, *AR4+0% ; 108
+ LMS *AR3+, *AR5 ; 109
+ LMS *AR3+, *AR5- ; 110
+ LMS *AR3+, *AR5+ ; 111
+ LMS *AR3+, *AR5+0% ; 112
+ LMS *AR3+0%, *AR2 ; 113
+ LMS *AR3+0%, *AR2- ; 114
+ LMS *AR3+0%, *AR2+ ; 115
+ LMS *AR3+0%, *AR2+0% ; 116
+ LMS *AR3+0%, *AR3 ; 117
+ LMS *AR3+0%, *AR3- ; 118
+ LMS *AR3+0%, *AR3+ ; 119
+ LMS *AR3+0%, *AR3+0% ; 120
+ LMS *AR3+0%, *AR4 ; 121
+ LMS *AR3+0%, *AR4- ; 122
+ LMS *AR3+0%, *AR4+ ; 123
+ LMS *AR3+0%, *AR4+0% ; 124
+ LMS *AR3+0%, *AR5 ; 125
+ LMS *AR3+0%, *AR5- ; 126
+ LMS *AR3+0%, *AR5+ ; 127
+ LMS *AR3+0%, *AR5+0% ; 128
+ LMS *AR4, *AR2 ; 129
+ LMS *AR4, *AR2- ; 130
+ LMS *AR4, *AR2+ ; 131
+ LMS *AR4, *AR2+0% ; 132
+ LMS *AR4, *AR3 ; 133
+ LMS *AR4, *AR3- ; 134
+ LMS *AR4, *AR3+ ; 135
+ LMS *AR4, *AR3+0% ; 136
+ LMS *AR4, *AR4 ; 137
+ LMS *AR4, *AR4- ; 138
+ LMS *AR4, *AR4+ ; 139
+ LMS *AR4, *AR4+0% ; 140
+ LMS *AR4, *AR5 ; 141
+ LMS *AR4, *AR5- ; 142
+ LMS *AR4, *AR5+ ; 143
+ LMS *AR4, *AR5+0% ; 144
+ LMS *AR4-, *AR2 ; 145
+ LMS *AR4-, *AR2- ; 146
+ LMS *AR4-, *AR2+ ; 147
+ LMS *AR4-, *AR2+0% ; 148
+ LMS *AR4-, *AR3 ; 149
+ LMS *AR4-, *AR3- ; 150
+ LMS *AR4-, *AR3+ ; 151
+ LMS *AR4-, *AR3+0% ; 152
+ LMS *AR4-, *AR4 ; 153
+ LMS *AR4-, *AR4- ; 154
+ LMS *AR4-, *AR4+ ; 155
+ LMS *AR4-, *AR4+0% ; 156
+ LMS *AR4-, *AR5 ; 157
+ LMS *AR4-, *AR5- ; 158
+ LMS *AR4-, *AR5+ ; 159
+ LMS *AR4-, *AR5+0% ; 160
+ LMS *AR4+, *AR2 ; 161
+ LMS *AR4+, *AR2- ; 162
+ LMS *AR4+, *AR2+ ; 163
+ LMS *AR4+, *AR2+0% ; 164
+ LMS *AR4+, *AR3 ; 165
+ LMS *AR4+, *AR3- ; 166
+ LMS *AR4+, *AR3+ ; 167
+ LMS *AR4+, *AR3+0% ; 168
+ LMS *AR4+, *AR4 ; 169
+ LMS *AR4+, *AR4- ; 170
+ LMS *AR4+, *AR4+ ; 171
+ LMS *AR4+, *AR4+0% ; 172
+ LMS *AR4+, *AR5 ; 173
+ LMS *AR4+, *AR5- ; 174
+ LMS *AR4+, *AR5+ ; 175
+ LMS *AR4+, *AR5+0% ; 176
+ LMS *AR4+0%, *AR2 ; 177
+ LMS *AR4+0%, *AR2- ; 178
+ LMS *AR4+0%, *AR2+ ; 179
+ LMS *AR4+0%, *AR2+0% ; 180
+ LMS *AR4+0%, *AR3 ; 181
+ LMS *AR4+0%, *AR3- ; 182
+ LMS *AR4+0%, *AR3+ ; 183
+ LMS *AR4+0%, *AR3+0% ; 184
+ LMS *AR4+0%, *AR4 ; 185
+ LMS *AR4+0%, *AR4- ; 186
+ LMS *AR4+0%, *AR4+ ; 187
+ LMS *AR4+0%, *AR4+0% ; 188
+ LMS *AR4+0%, *AR5 ; 189
+ LMS *AR4+0%, *AR5- ; 190
+ LMS *AR4+0%, *AR5+ ; 191
+ LMS *AR4+0%, *AR5+0% ; 192
+ LMS *AR5, *AR2 ; 193
+ LMS *AR5, *AR2- ; 194
+ LMS *AR5, *AR2+ ; 195
+ LMS *AR5, *AR2+0% ; 196
+ LMS *AR5, *AR3 ; 197
+ LMS *AR5, *AR3- ; 198
+ LMS *AR5, *AR3+ ; 199
+ LMS *AR5, *AR3+0% ; 200
+ LMS *AR5, *AR4 ; 201
+ LMS *AR5, *AR4- ; 202
+ LMS *AR5, *AR4+ ; 203
+ LMS *AR5, *AR4+0% ; 204
+ LMS *AR5, *AR5 ; 205
+ LMS *AR5, *AR5- ; 206
+ LMS *AR5, *AR5+ ; 207
+ LMS *AR5, *AR5+0% ; 208
+ LMS *AR5-, *AR2 ; 209
+ LMS *AR5-, *AR2- ; 210
+ LMS *AR5-, *AR2+ ; 211
+ LMS *AR5-, *AR2+0% ; 212
+ LMS *AR5-, *AR3 ; 213
+ LMS *AR5-, *AR3- ; 214
+ LMS *AR5-, *AR3+ ; 215
+ LMS *AR5-, *AR3+0% ; 216
+ LMS *AR5-, *AR4 ; 217
+ LMS *AR5-, *AR4- ; 218
+ LMS *AR5-, *AR4+ ; 219
+ LMS *AR5-, *AR4+0% ; 220
+ LMS *AR5-, *AR5 ; 221
+ LMS *AR5-, *AR5- ; 222
+ LMS *AR5-, *AR5+ ; 223
+ LMS *AR5-, *AR5+0% ; 224
+ LMS *AR5+, *AR2 ; 225
+ LMS *AR5+, *AR2- ; 226
+ LMS *AR5+, *AR2+ ; 227
+ LMS *AR5+, *AR2+0% ; 228
+ LMS *AR5+, *AR3 ; 229
+ LMS *AR5+, *AR3- ; 230
+ LMS *AR5+, *AR3+ ; 231
+ LMS *AR5+, *AR3+0% ; 232
+ LMS *AR5+, *AR4 ; 233
+ LMS *AR5+, *AR4- ; 234
+ LMS *AR5+, *AR4+ ; 235
+ LMS *AR5+, *AR4+0% ; 236
+ LMS *AR5+, *AR5 ; 237
+ LMS *AR5+, *AR5- ; 238
+ LMS *AR5+, *AR5+ ; 239
+ LMS *AR5+, *AR5+0% ; 240
+ LMS *AR5+0%, *AR2 ; 241
+ LMS *AR5+0%, *AR2- ; 242
+ LMS *AR5+0%, *AR2+ ; 243
+ LMS *AR5+0%, *AR2+0% ; 244
+ LMS *AR5+0%, *AR3 ; 245
+ LMS *AR5+0%, *AR3- ; 246
+ LMS *AR5+0%, *AR3+ ; 247
+ LMS *AR5+0%, *AR3+0% ; 248
+ LMS *AR5+0%, *AR4 ; 249
+ LMS *AR5+0%, *AR4- ; 250
+ LMS *AR5+0%, *AR4+ ; 251
+ LMS *AR5+0%, *AR4+0% ; 252
+ LMS *AR5+0%, *AR5 ; 253
+ LMS *AR5+0%, *AR5- ; 254
+ LMS *AR5+0%, *AR5+ ; 255
+ LMS *AR5+0%, *AR5+0% ; 256
+ ; LTD : LTD Smem : 1
+ LTD 43h ; 1
+ ; LTD_I : LTD Smem_I : 120
+ LTD *AR0 ; 1
+ LTD *AR0- ; 2
+ LTD *AR0+ ; 3
+ LTD *AR0-0B ; 4
+ LTD *AR0-0 ; 5
+ LTD *AR0+0 ; 6
+ LTD *AR0+0B ; 7
+ LTD *AR0-% ; 8
+ LTD *AR0-0% ; 9
+ LTD *AR0+% ; 10
+ LTD *AR0+0% ; 11
+ LTD *AR0(02B40h) ; 12
+ LTD *+AR0(02B41h) ; 13
+ LTD *+AR0(02B42h)% ; 14
+ LTD *(02B43h) ; 15
+ LTD *AR1 ; 16
+ LTD *AR1- ; 17
+ LTD *AR1+ ; 18
+ LTD *AR1-0B ; 19
+ LTD *AR1-0 ; 20
+ LTD *AR1+0 ; 21
+ LTD *AR1+0B ; 22
+ LTD *AR1-% ; 23
+ LTD *AR1-0% ; 24
+ LTD *AR1+% ; 25
+ LTD *AR1+0% ; 26
+ LTD *AR1(02B44h) ; 27
+ LTD *+AR1(02B45h) ; 28
+ LTD *+AR1(02B46h)% ; 29
+ LTD *(02B47h) ; 30
+ LTD *AR2 ; 31
+ LTD *AR2- ; 32
+ LTD *AR2+ ; 33
+ LTD *AR2-0B ; 34
+ LTD *AR2-0 ; 35
+ LTD *AR2+0 ; 36
+ LTD *AR2+0B ; 37
+ LTD *AR2-% ; 38
+ LTD *AR2-0% ; 39
+ LTD *AR2+% ; 40
+ LTD *AR2+0% ; 41
+ LTD *AR2(02B48h) ; 42
+ LTD *+AR2(02B49h) ; 43
+ LTD *+AR2(02B4Ah)% ; 44
+ LTD *(02B4Bh) ; 45
+ LTD *AR3 ; 46
+ LTD *AR3- ; 47
+ LTD *AR3+ ; 48
+ LTD *AR3-0B ; 49
+ LTD *AR3-0 ; 50
+ LTD *AR3+0 ; 51
+ LTD *AR3+0B ; 52
+ LTD *AR3-% ; 53
+ LTD *AR3-0% ; 54
+ LTD *AR3+% ; 55
+ LTD *AR3+0% ; 56
+ LTD *AR3(02B4Ch) ; 57
+ LTD *+AR3(02B4Dh) ; 58
+ LTD *+AR3(02B4Eh)% ; 59
+ LTD *(02B4Fh) ; 60
+ LTD *AR4 ; 61
+ LTD *AR4- ; 62
+ LTD *AR4+ ; 63
+ LTD *AR4-0B ; 64
+ LTD *AR4-0 ; 65
+ LTD *AR4+0 ; 66
+ LTD *AR4+0B ; 67
+ LTD *AR4-% ; 68
+ LTD *AR4-0% ; 69
+ LTD *AR4+% ; 70
+ LTD *AR4+0% ; 71
+ LTD *AR4(02B50h) ; 72
+ LTD *+AR4(02B51h) ; 73
+ LTD *+AR4(02B52h)% ; 74
+ LTD *(02B53h) ; 75
+ LTD *AR5 ; 76
+ LTD *AR5- ; 77
+ LTD *AR5+ ; 78
+ LTD *AR5-0B ; 79
+ LTD *AR5-0 ; 80
+ LTD *AR5+0 ; 81
+ LTD *AR5+0B ; 82
+ LTD *AR5-% ; 83
+ LTD *AR5-0% ; 84
+ LTD *AR5+% ; 85
+ LTD *AR5+0% ; 86
+ LTD *AR5(02B54h) ; 87
+ LTD *+AR5(02B55h) ; 88
+ LTD *+AR5(02B56h)% ; 89
+ LTD *(02B57h) ; 90
+ LTD *AR6 ; 91
+ LTD *AR6- ; 92
+ LTD *AR6+ ; 93
+ LTD *AR6-0B ; 94
+ LTD *AR6-0 ; 95
+ LTD *AR6+0 ; 96
+ LTD *AR6+0B ; 97
+ LTD *AR6-% ; 98
+ LTD *AR6-0% ; 99
+ LTD *AR6+% ; 100
+ LTD *AR6+0% ; 101
+ LTD *AR6(02B58h) ; 102
+ LTD *+AR6(02B59h) ; 103
+ LTD *+AR6(02B5Ah)% ; 104
+ LTD *(02B5Bh) ; 105
+ LTD *AR7 ; 106
+ LTD *AR7- ; 107
+ LTD *AR7+ ; 108
+ LTD *AR7-0B ; 109
+ LTD *AR7-0 ; 110
+ LTD *AR7+0 ; 111
+ LTD *AR7+0B ; 112
+ LTD *AR7-% ; 113
+ LTD *AR7-0% ; 114
+ LTD *AR7+% ; 115
+ LTD *AR7+0% ; 116
+ LTD *AR7(02B5Ch) ; 117
+ LTD *+AR7(02B5Dh) ; 118
+ LTD *+AR7(02B5Eh)% ; 119
+ LTD *(02B5Fh) ; 120
+ ; MAC : MAC Smem, AB : 2
+ MAC 44h, A ; 1
+ MAC 45h, B ; 2
+ ; MACA : MACA Smem, B : 2
+ MACA 46h, B ; 1
+ MACA 47h ; 2
+ ; MACAR : MACAR Smem, B : 2
+ MACAR 48h, B ; 1
+ MACAR 49h ; 2
+ ; MACAR_I : MACAR Smem_I, B : 240
+ MACAR *AR0, B ; 1
+ MACAR *AR0 ; 2
+ MACAR *AR0-, B ; 3
+ MACAR *AR0- ; 4
+ MACAR *AR0+, B ; 5
+ MACAR *AR0+ ; 6
+ MACAR *AR0-0B, B ; 7
+ MACAR *AR0-0B ; 8
+ MACAR *AR0-0, B ; 9
+ MACAR *AR0-0 ; 10
+ MACAR *AR0+0, B ; 11
+ MACAR *AR0+0 ; 12
+ MACAR *AR0+0B, B ; 13
+ MACAR *AR0+0B ; 14
+ MACAR *AR0-%, B ; 15
+ MACAR *AR0-% ; 16
+ MACAR *AR0-0%, B ; 17
+ MACAR *AR0-0% ; 18
+ MACAR *AR0+%, B ; 19
+ MACAR *AR0+% ; 20
+ MACAR *AR0+0%, B ; 21
+ MACAR *AR0+0% ; 22
+ MACAR *AR0(02B60h), B ; 23
+ MACAR *AR0(02B61h) ; 24
+ MACAR *+AR0(02B62h), B ; 25
+ MACAR *+AR0(02B63h) ; 26
+ MACAR *+AR0(02B64h)%, B ; 27
+ MACAR *+AR0(02B65h)% ; 28
+ MACAR *(02B66h), B ; 29
+ MACAR *(02B67h) ; 30
+ MACAR *AR1, B ; 31
+ MACAR *AR1 ; 32
+ MACAR *AR1-, B ; 33
+ MACAR *AR1- ; 34
+ MACAR *AR1+, B ; 35
+ MACAR *AR1+ ; 36
+ MACAR *AR1-0B, B ; 37
+ MACAR *AR1-0B ; 38
+ MACAR *AR1-0, B ; 39
+ MACAR *AR1-0 ; 40
+ MACAR *AR1+0, B ; 41
+ MACAR *AR1+0 ; 42
+ MACAR *AR1+0B, B ; 43
+ MACAR *AR1+0B ; 44
+ MACAR *AR1-%, B ; 45
+ MACAR *AR1-% ; 46
+ MACAR *AR1-0%, B ; 47
+ MACAR *AR1-0% ; 48
+ MACAR *AR1+%, B ; 49
+ MACAR *AR1+% ; 50
+ MACAR *AR1+0%, B ; 51
+ MACAR *AR1+0% ; 52
+ MACAR *AR1(02B68h), B ; 53
+ MACAR *AR1(02B69h) ; 54
+ MACAR *+AR1(02B6Ah), B ; 55
+ MACAR *+AR1(02B6Bh) ; 56
+ MACAR *+AR1(02B6Ch)%, B ; 57
+ MACAR *+AR1(02B6Dh)% ; 58
+ MACAR *(02B6Eh), B ; 59
+ MACAR *(02B6Fh) ; 60
+ MACAR *AR2, B ; 61
+ MACAR *AR2 ; 62
+ MACAR *AR2-, B ; 63
+ MACAR *AR2- ; 64
+ MACAR *AR2+, B ; 65
+ MACAR *AR2+ ; 66
+ MACAR *AR2-0B, B ; 67
+ MACAR *AR2-0B ; 68
+ MACAR *AR2-0, B ; 69
+ MACAR *AR2-0 ; 70
+ MACAR *AR2+0, B ; 71
+ MACAR *AR2+0 ; 72
+ MACAR *AR2+0B, B ; 73
+ MACAR *AR2+0B ; 74
+ MACAR *AR2-%, B ; 75
+ MACAR *AR2-% ; 76
+ MACAR *AR2-0%, B ; 77
+ MACAR *AR2-0% ; 78
+ MACAR *AR2+%, B ; 79
+ MACAR *AR2+% ; 80
+ MACAR *AR2+0%, B ; 81
+ MACAR *AR2+0% ; 82
+ MACAR *AR2(02B70h), B ; 83
+ MACAR *AR2(02B71h) ; 84
+ MACAR *+AR2(02B72h), B ; 85
+ MACAR *+AR2(02B73h) ; 86
+ MACAR *+AR2(02B74h)%, B ; 87
+ MACAR *+AR2(02B75h)% ; 88
+ MACAR *(02B76h), B ; 89
+ MACAR *(02B77h) ; 90
+ MACAR *AR3, B ; 91
+ MACAR *AR3 ; 92
+ MACAR *AR3-, B ; 93
+ MACAR *AR3- ; 94
+ MACAR *AR3+, B ; 95
+ MACAR *AR3+ ; 96
+ MACAR *AR3-0B, B ; 97
+ MACAR *AR3-0B ; 98
+ MACAR *AR3-0, B ; 99
+ MACAR *AR3-0 ; 100
+ MACAR *AR3+0, B ; 101
+ MACAR *AR3+0 ; 102
+ MACAR *AR3+0B, B ; 103
+ MACAR *AR3+0B ; 104
+ MACAR *AR3-%, B ; 105
+ MACAR *AR3-% ; 106
+ MACAR *AR3-0%, B ; 107
+ MACAR *AR3-0% ; 108
+ MACAR *AR3+%, B ; 109
+ MACAR *AR3+% ; 110
+ MACAR *AR3+0%, B ; 111
+ MACAR *AR3+0% ; 112
+ MACAR *AR3(02B78h), B ; 113
+ MACAR *AR3(02B79h) ; 114
+ MACAR *+AR3(02B7Ah), B ; 115
+ MACAR *+AR3(02B7Bh) ; 116
+ MACAR *+AR3(02B7Ch)%, B ; 117
+ MACAR *+AR3(02B7Dh)% ; 118
+ MACAR *(02B7Eh), B ; 119
+ MACAR *(02B7Fh) ; 120
+ MACAR *AR4, B ; 121
+ MACAR *AR4 ; 122
+ MACAR *AR4-, B ; 123
+ MACAR *AR4- ; 124
+ MACAR *AR4+, B ; 125
+ MACAR *AR4+ ; 126
+ MACAR *AR4-0B, B ; 127
+ MACAR *AR4-0B ; 128
+ MACAR *AR4-0, B ; 129
+ MACAR *AR4-0 ; 130
+ MACAR *AR4+0, B ; 131
+ MACAR *AR4+0 ; 132
+ MACAR *AR4+0B, B ; 133
+ MACAR *AR4+0B ; 134
+ MACAR *AR4-%, B ; 135
+ MACAR *AR4-% ; 136
+ MACAR *AR4-0%, B ; 137
+ MACAR *AR4-0% ; 138
+ MACAR *AR4+%, B ; 139
+ MACAR *AR4+% ; 140
+ MACAR *AR4+0%, B ; 141
+ MACAR *AR4+0% ; 142
+ MACAR *AR4(02B80h), B ; 143
+ MACAR *AR4(02B81h) ; 144
+ MACAR *+AR4(02B82h), B ; 145
+ MACAR *+AR4(02B83h) ; 146
+ MACAR *+AR4(02B84h)%, B ; 147
+ MACAR *+AR4(02B85h)% ; 148
+ MACAR *(02B86h), B ; 149
+ MACAR *(02B87h) ; 150
+ MACAR *AR5, B ; 151
+ MACAR *AR5 ; 152
+ MACAR *AR5-, B ; 153
+ MACAR *AR5- ; 154
+ MACAR *AR5+, B ; 155
+ MACAR *AR5+ ; 156
+ MACAR *AR5-0B, B ; 157
+ MACAR *AR5-0B ; 158
+ MACAR *AR5-0, B ; 159
+ MACAR *AR5-0 ; 160
+ MACAR *AR5+0, B ; 161
+ MACAR *AR5+0 ; 162
+ MACAR *AR5+0B, B ; 163
+ MACAR *AR5+0B ; 164
+ MACAR *AR5-%, B ; 165
+ MACAR *AR5-% ; 166
+ MACAR *AR5-0%, B ; 167
+ MACAR *AR5-0% ; 168
+ MACAR *AR5+%, B ; 169
+ MACAR *AR5+% ; 170
+ MACAR *AR5+0%, B ; 171
+ MACAR *AR5+0% ; 172
+ MACAR *AR5(02B88h), B ; 173
+ MACAR *AR5(02B89h) ; 174
+ MACAR *+AR5(02B8Ah), B ; 175
+ MACAR *+AR5(02B8Bh) ; 176
+ MACAR *+AR5(02B8Ch)%, B ; 177
+ MACAR *+AR5(02B8Dh)% ; 178
+ MACAR *(02B8Eh), B ; 179
+ MACAR *(02B8Fh) ; 180
+ MACAR *AR6, B ; 181
+ MACAR *AR6 ; 182
+ MACAR *AR6-, B ; 183
+ MACAR *AR6- ; 184
+ MACAR *AR6+, B ; 185
+ MACAR *AR6+ ; 186
+ MACAR *AR6-0B, B ; 187
+ MACAR *AR6-0B ; 188
+ MACAR *AR6-0, B ; 189
+ MACAR *AR6-0 ; 190
+ MACAR *AR6+0, B ; 191
+ MACAR *AR6+0 ; 192
+ MACAR *AR6+0B, B ; 193
+ MACAR *AR6+0B ; 194
+ MACAR *AR6-%, B ; 195
+ MACAR *AR6-% ; 196
+ MACAR *AR6-0%, B ; 197
+ MACAR *AR6-0% ; 198
+ MACAR *AR6+%, B ; 199
+ MACAR *AR6+% ; 200
+ MACAR *AR6+0%, B ; 201
+ MACAR *AR6+0% ; 202
+ MACAR *AR6(02B90h), B ; 203
+ MACAR *AR6(02B91h) ; 204
+ MACAR *+AR6(02B92h), B ; 205
+ MACAR *+AR6(02B93h) ; 206
+ MACAR *+AR6(02B94h)%, B ; 207
+ MACAR *+AR6(02B95h)% ; 208
+ MACAR *(02B96h), B ; 209
+ MACAR *(02B97h) ; 210
+ MACAR *AR7, B ; 211
+ MACAR *AR7 ; 212
+ MACAR *AR7-, B ; 213
+ MACAR *AR7- ; 214
+ MACAR *AR7+, B ; 215
+ MACAR *AR7+ ; 216
+ MACAR *AR7-0B, B ; 217
+ MACAR *AR7-0B ; 218
+ MACAR *AR7-0, B ; 219
+ MACAR *AR7-0 ; 220
+ MACAR *AR7+0, B ; 221
+ MACAR *AR7+0 ; 222
+ MACAR *AR7+0B, B ; 223
+ MACAR *AR7+0B ; 224
+ MACAR *AR7-%, B ; 225
+ MACAR *AR7-% ; 226
+ MACAR *AR7-0%, B ; 227
+ MACAR *AR7-0% ; 228
+ MACAR *AR7+%, B ; 229
+ MACAR *AR7+% ; 230
+ MACAR *AR7+0%, B ; 231
+ MACAR *AR7+0% ; 232
+ MACAR *AR7(02B98h), B ; 233
+ MACAR *AR7(02B99h) ; 234
+ MACAR *+AR7(02B9Ah), B ; 235
+ MACAR *+AR7(02B9Bh) ; 236
+ MACAR *+AR7(02B9Ch)%, B ; 237
+ MACAR *+AR7(02B9Dh)% ; 238
+ MACAR *(02B9Eh), B ; 239
+ MACAR *(02B9Fh) ; 240
+ ; MACARtreg : MACAR T, AB, AB2 : 6
+ MACAR T, A, A ; 1
+ MACAR T, A, B ; 2
+ MACAR T, A ; 3
+ MACAR T, B, A ; 4
+ MACAR T, B, B ; 5
+ MACAR T, B ; 6
+ ; MACA_I : MACA Smem_I, B : 240
+ MACA *AR0, B ; 1
+ MACA *AR0 ; 2
+ MACA *AR0-, B ; 3
+ MACA *AR0- ; 4
+ MACA *AR0+, B ; 5
+ MACA *AR0+ ; 6
+ MACA *AR0-0B, B ; 7
+ MACA *AR0-0B ; 8
+ MACA *AR0-0, B ; 9
+ MACA *AR0-0 ; 10
+ MACA *AR0+0, B ; 11
+ MACA *AR0+0 ; 12
+ MACA *AR0+0B, B ; 13
+ MACA *AR0+0B ; 14
+ MACA *AR0-%, B ; 15
+ MACA *AR0-% ; 16
+ MACA *AR0-0%, B ; 17
+ MACA *AR0-0% ; 18
+ MACA *AR0+%, B ; 19
+ MACA *AR0+% ; 20
+ MACA *AR0+0%, B ; 21
+ MACA *AR0+0% ; 22
+ MACA *AR0(02BA0h), B ; 23
+ MACA *AR0(02BA1h) ; 24
+ MACA *+AR0(02BA2h), B ; 25
+ MACA *+AR0(02BA3h) ; 26
+ MACA *+AR0(02BA4h)%, B ; 27
+ MACA *+AR0(02BA5h)% ; 28
+ MACA *(02BA6h), B ; 29
+ MACA *(02BA7h) ; 30
+ MACA *AR1, B ; 31
+ MACA *AR1 ; 32
+ MACA *AR1-, B ; 33
+ MACA *AR1- ; 34
+ MACA *AR1+, B ; 35
+ MACA *AR1+ ; 36
+ MACA *AR1-0B, B ; 37
+ MACA *AR1-0B ; 38
+ MACA *AR1-0, B ; 39
+ MACA *AR1-0 ; 40
+ MACA *AR1+0, B ; 41
+ MACA *AR1+0 ; 42
+ MACA *AR1+0B, B ; 43
+ MACA *AR1+0B ; 44
+ MACA *AR1-%, B ; 45
+ MACA *AR1-% ; 46
+ MACA *AR1-0%, B ; 47
+ MACA *AR1-0% ; 48
+ MACA *AR1+%, B ; 49
+ MACA *AR1+% ; 50
+ MACA *AR1+0%, B ; 51
+ MACA *AR1+0% ; 52
+ MACA *AR1(02BA8h), B ; 53
+ MACA *AR1(02BA9h) ; 54
+ MACA *+AR1(02BAAh), B ; 55
+ MACA *+AR1(02BABh) ; 56
+ MACA *+AR1(02BACh)%, B ; 57
+ MACA *+AR1(02BADh)% ; 58
+ MACA *(02BAEh), B ; 59
+ MACA *(02BAFh) ; 60
+ MACA *AR2, B ; 61
+ MACA *AR2 ; 62
+ MACA *AR2-, B ; 63
+ MACA *AR2- ; 64
+ MACA *AR2+, B ; 65
+ MACA *AR2+ ; 66
+ MACA *AR2-0B, B ; 67
+ MACA *AR2-0B ; 68
+ MACA *AR2-0, B ; 69
+ MACA *AR2-0 ; 70
+ MACA *AR2+0, B ; 71
+ MACA *AR2+0 ; 72
+ MACA *AR2+0B, B ; 73
+ MACA *AR2+0B ; 74
+ MACA *AR2-%, B ; 75
+ MACA *AR2-% ; 76
+ MACA *AR2-0%, B ; 77
+ MACA *AR2-0% ; 78
+ MACA *AR2+%, B ; 79
+ MACA *AR2+% ; 80
+ MACA *AR2+0%, B ; 81
+ MACA *AR2+0% ; 82
+ MACA *AR2(02BB0h), B ; 83
+ MACA *AR2(02BB1h) ; 84
+ MACA *+AR2(02BB2h), B ; 85
+ MACA *+AR2(02BB3h) ; 86
+ MACA *+AR2(02BB4h)%, B ; 87
+ MACA *+AR2(02BB5h)% ; 88
+ MACA *(02BB6h), B ; 89
+ MACA *(02BB7h) ; 90
+ MACA *AR3, B ; 91
+ MACA *AR3 ; 92
+ MACA *AR3-, B ; 93
+ MACA *AR3- ; 94
+ MACA *AR3+, B ; 95
+ MACA *AR3+ ; 96
+ MACA *AR3-0B, B ; 97
+ MACA *AR3-0B ; 98
+ MACA *AR3-0, B ; 99
+ MACA *AR3-0 ; 100
+ MACA *AR3+0, B ; 101
+ MACA *AR3+0 ; 102
+ MACA *AR3+0B, B ; 103
+ MACA *AR3+0B ; 104
+ MACA *AR3-%, B ; 105
+ MACA *AR3-% ; 106
+ MACA *AR3-0%, B ; 107
+ MACA *AR3-0% ; 108
+ MACA *AR3+%, B ; 109
+ MACA *AR3+% ; 110
+ MACA *AR3+0%, B ; 111
+ MACA *AR3+0% ; 112
+ MACA *AR3(02BB8h), B ; 113
+ MACA *AR3(02BB9h) ; 114
+ MACA *+AR3(02BBAh), B ; 115
+ MACA *+AR3(02BBBh) ; 116
+ MACA *+AR3(02BBCh)%, B ; 117
+ MACA *+AR3(02BBDh)% ; 118
+ MACA *(02BBEh), B ; 119
+ MACA *(02BBFh) ; 120
+ MACA *AR4, B ; 121
+ MACA *AR4 ; 122
+ MACA *AR4-, B ; 123
+ MACA *AR4- ; 124
+ MACA *AR4+, B ; 125
+ MACA *AR4+ ; 126
+ MACA *AR4-0B, B ; 127
+ MACA *AR4-0B ; 128
+ MACA *AR4-0, B ; 129
+ MACA *AR4-0 ; 130
+ MACA *AR4+0, B ; 131
+ MACA *AR4+0 ; 132
+ MACA *AR4+0B, B ; 133
+ MACA *AR4+0B ; 134
+ MACA *AR4-%, B ; 135
+ MACA *AR4-% ; 136
+ MACA *AR4-0%, B ; 137
+ MACA *AR4-0% ; 138
+ MACA *AR4+%, B ; 139
+ MACA *AR4+% ; 140
+ MACA *AR4+0%, B ; 141
+ MACA *AR4+0% ; 142
+ MACA *AR4(02BC0h), B ; 143
+ MACA *AR4(02BC1h) ; 144
+ MACA *+AR4(02BC2h), B ; 145
+ MACA *+AR4(02BC3h) ; 146
+ MACA *+AR4(02BC4h)%, B ; 147
+ MACA *+AR4(02BC5h)% ; 148
+ MACA *(02BC6h), B ; 149
+ MACA *(02BC7h) ; 150
+ MACA *AR5, B ; 151
+ MACA *AR5 ; 152
+ MACA *AR5-, B ; 153
+ MACA *AR5- ; 154
+ MACA *AR5+, B ; 155
+ MACA *AR5+ ; 156
+ MACA *AR5-0B, B ; 157
+ MACA *AR5-0B ; 158
+ MACA *AR5-0, B ; 159
+ MACA *AR5-0 ; 160
+ MACA *AR5+0, B ; 161
+ MACA *AR5+0 ; 162
+ MACA *AR5+0B, B ; 163
+ MACA *AR5+0B ; 164
+ MACA *AR5-%, B ; 165
+ MACA *AR5-% ; 166
+ MACA *AR5-0%, B ; 167
+ MACA *AR5-0% ; 168
+ MACA *AR5+%, B ; 169
+ MACA *AR5+% ; 170
+ MACA *AR5+0%, B ; 171
+ MACA *AR5+0% ; 172
+ MACA *AR5(02BC8h), B ; 173
+ MACA *AR5(02BC9h) ; 174
+ MACA *+AR5(02BCAh), B ; 175
+ MACA *+AR5(02BCBh) ; 176
+ MACA *+AR5(02BCCh)%, B ; 177
+ MACA *+AR5(02BCDh)% ; 178
+ MACA *(02BCEh), B ; 179
+ MACA *(02BCFh) ; 180
+ MACA *AR6, B ; 181
+ MACA *AR6 ; 182
+ MACA *AR6-, B ; 183
+ MACA *AR6- ; 184
+ MACA *AR6+, B ; 185
+ MACA *AR6+ ; 186
+ MACA *AR6-0B, B ; 187
+ MACA *AR6-0B ; 188
+ MACA *AR6-0, B ; 189
+ MACA *AR6-0 ; 190
+ MACA *AR6+0, B ; 191
+ MACA *AR6+0 ; 192
+ MACA *AR6+0B, B ; 193
+ MACA *AR6+0B ; 194
+ MACA *AR6-%, B ; 195
+ MACA *AR6-% ; 196
+ MACA *AR6-0%, B ; 197
+ MACA *AR6-0% ; 198
+ MACA *AR6+%, B ; 199
+ MACA *AR6+% ; 200
+ MACA *AR6+0%, B ; 201
+ MACA *AR6+0% ; 202
+ MACA *AR6(02BD0h), B ; 203
+ MACA *AR6(02BD1h) ; 204
+ MACA *+AR6(02BD2h), B ; 205
+ MACA *+AR6(02BD3h) ; 206
+ MACA *+AR6(02BD4h)%, B ; 207
+ MACA *+AR6(02BD5h)% ; 208
+ MACA *(02BD6h), B ; 209
+ MACA *(02BD7h) ; 210
+ MACA *AR7, B ; 211
+ MACA *AR7 ; 212
+ MACA *AR7-, B ; 213
+ MACA *AR7- ; 214
+ MACA *AR7+, B ; 215
+ MACA *AR7+ ; 216
+ MACA *AR7-0B, B ; 217
+ MACA *AR7-0B ; 218
+ MACA *AR7-0, B ; 219
+ MACA *AR7-0 ; 220
+ MACA *AR7+0, B ; 221
+ MACA *AR7+0 ; 222
+ MACA *AR7+0B, B ; 223
+ MACA *AR7+0B ; 224
+ MACA *AR7-%, B ; 225
+ MACA *AR7-% ; 226
+ MACA *AR7-0%, B ; 227
+ MACA *AR7-0% ; 228
+ MACA *AR7+%, B ; 229
+ MACA *AR7+% ; 230
+ MACA *AR7+0%, B ; 231
+ MACA *AR7+0% ; 232
+ MACA *AR7(02BD8h), B ; 233
+ MACA *AR7(02BD9h) ; 234
+ MACA *+AR7(02BDAh), B ; 235
+ MACA *+AR7(02BDBh) ; 236
+ MACA *+AR7(02BDCh)%, B ; 237
+ MACA *+AR7(02BDDh)% ; 238
+ MACA *(02BDEh), B ; 239
+ MACA *(02BDFh) ; 240
+ ; MACAtreg : MACA T, AB, AB2 : 6
+ MACA T, A, A ; 1
+ MACA T, A, B ; 2
+ MACA T, A ; 3
+ MACA T, B, A ; 4
+ MACA T, B, B ; 5
+ MACA T, B ; 6
+ ; MACD : MACD Smem, Pmad, AB : 2
+ MACD 4Ah, 004D0h, A ; 1
+ MACD 4Bh, 004D1h, B ; 2
+ ; MACD_I : MACD Smem_I, Pmad, AB : 240
+ MACD *AR0, 004D2h, A ; 1
+ MACD *AR0, 004D3h, B ; 2
+ MACD *AR0-, 004D4h, A ; 3
+ MACD *AR0-, 004D5h, B ; 4
+ MACD *AR0+, 004D6h, A ; 5
+ MACD *AR0+, 004D7h, B ; 6
+ MACD *AR0-0B, 004D8h, A ; 7
+ MACD *AR0-0B, 004D9h, B ; 8
+ MACD *AR0-0, 004DAh, A ; 9
+ MACD *AR0-0, 004DBh, B ; 10
+ MACD *AR0+0, 004DCh, A ; 11
+ MACD *AR0+0, 004DDh, B ; 12
+ MACD *AR0+0B, 004DEh, A ; 13
+ MACD *AR0+0B, 004DFh, B ; 14
+ MACD *AR0-%, 004E0h, A ; 15
+ MACD *AR0-%, 004E1h, B ; 16
+ MACD *AR0-0%, 004E2h, A ; 17
+ MACD *AR0-0%, 004E3h, B ; 18
+ MACD *AR0+%, 004E4h, A ; 19
+ MACD *AR0+%, 004E5h, B ; 20
+ MACD *AR0+0%, 004E6h, A ; 21
+ MACD *AR0+0%, 004E7h, B ; 22
+ MACD *AR0(02BE0h), 004E8h, A ; 23
+ MACD *AR0(02BE1h), 004E9h, B ; 24
+ MACD *+AR0(02BE2h), 004EAh, A ; 25
+ MACD *+AR0(02BE3h), 004EBh, B ; 26
+ MACD *+AR0(02BE4h)%, 004ECh, A ; 27
+ MACD *+AR0(02BE5h)%, 004EDh, B ; 28
+ MACD *(02BE6h), 004EEh, A ; 29
+ MACD *(02BE7h), 004EFh, B ; 30
+ MACD *AR1, 004F0h, A ; 31
+ MACD *AR1, 004F1h, B ; 32
+ MACD *AR1-, 004F2h, A ; 33
+ MACD *AR1-, 004F3h, B ; 34
+ MACD *AR1+, 004F4h, A ; 35
+ MACD *AR1+, 004F5h, B ; 36
+ MACD *AR1-0B, 004F6h, A ; 37
+ MACD *AR1-0B, 004F7h, B ; 38
+ MACD *AR1-0, 004F8h, A ; 39
+ MACD *AR1-0, 004F9h, B ; 40
+ MACD *AR1+0, 004FAh, A ; 41
+ MACD *AR1+0, 004FBh, B ; 42
+ MACD *AR1+0B, 004FCh, A ; 43
+ MACD *AR1+0B, 004FDh, B ; 44
+ MACD *AR1-%, 004FEh, A ; 45
+ MACD *AR1-%, 004FFh, B ; 46
+ MACD *AR1-0%, 00500h, A ; 47
+ MACD *AR1-0%, 00501h, B ; 48
+ MACD *AR1+%, 00502h, A ; 49
+ MACD *AR1+%, 00503h, B ; 50
+ MACD *AR1+0%, 00504h, A ; 51
+ MACD *AR1+0%, 00505h, B ; 52
+ MACD *AR1(02BE8h), 00506h, A ; 53
+ MACD *AR1(02BE9h), 00507h, B ; 54
+ MACD *+AR1(02BEAh), 00508h, A ; 55
+ MACD *+AR1(02BEBh), 00509h, B ; 56
+ MACD *+AR1(02BECh)%, 0050Ah, A ; 57
+ MACD *+AR1(02BEDh)%, 0050Bh, B ; 58
+ MACD *(02BEEh), 0050Ch, A ; 59
+ MACD *(02BEFh), 0050Dh, B ; 60
+ MACD *AR2, 0050Eh, A ; 61
+ MACD *AR2, 0050Fh, B ; 62
+ MACD *AR2-, 00510h, A ; 63
+ MACD *AR2-, 00511h, B ; 64
+ MACD *AR2+, 00512h, A ; 65
+ MACD *AR2+, 00513h, B ; 66
+ MACD *AR2-0B, 00514h, A ; 67
+ MACD *AR2-0B, 00515h, B ; 68
+ MACD *AR2-0, 00516h, A ; 69
+ MACD *AR2-0, 00517h, B ; 70
+ MACD *AR2+0, 00518h, A ; 71
+ MACD *AR2+0, 00519h, B ; 72
+ MACD *AR2+0B, 0051Ah, A ; 73
+ MACD *AR2+0B, 0051Bh, B ; 74
+ MACD *AR2-%, 0051Ch, A ; 75
+ MACD *AR2-%, 0051Dh, B ; 76
+ MACD *AR2-0%, 0051Eh, A ; 77
+ MACD *AR2-0%, 0051Fh, B ; 78
+ MACD *AR2+%, 00520h, A ; 79
+ MACD *AR2+%, 00521h, B ; 80
+ MACD *AR2+0%, 00522h, A ; 81
+ MACD *AR2+0%, 00523h, B ; 82
+ MACD *AR2(02BF0h), 00524h, A ; 83
+ MACD *AR2(02BF1h), 00525h, B ; 84
+ MACD *+AR2(02BF2h), 00526h, A ; 85
+ MACD *+AR2(02BF3h), 00527h, B ; 86
+ MACD *+AR2(02BF4h)%, 00528h, A ; 87
+ MACD *+AR2(02BF5h)%, 00529h, B ; 88
+ MACD *(02BF6h), 0052Ah, A ; 89
+ MACD *(02BF7h), 0052Bh, B ; 90
+ MACD *AR3, 0052Ch, A ; 91
+ MACD *AR3, 0052Dh, B ; 92
+ MACD *AR3-, 0052Eh, A ; 93
+ MACD *AR3-, 0052Fh, B ; 94
+ MACD *AR3+, 00530h, A ; 95
+ MACD *AR3+, 00531h, B ; 96
+ MACD *AR3-0B, 00532h, A ; 97
+ MACD *AR3-0B, 00533h, B ; 98
+ MACD *AR3-0, 00534h, A ; 99
+ MACD *AR3-0, 00535h, B ; 100
+ MACD *AR3+0, 00536h, A ; 101
+ MACD *AR3+0, 00537h, B ; 102
+ MACD *AR3+0B, 00538h, A ; 103
+ MACD *AR3+0B, 00539h, B ; 104
+ MACD *AR3-%, 0053Ah, A ; 105
+ MACD *AR3-%, 0053Bh, B ; 106
+ MACD *AR3-0%, 0053Ch, A ; 107
+ MACD *AR3-0%, 0053Dh, B ; 108
+ MACD *AR3+%, 0053Eh, A ; 109
+ MACD *AR3+%, 0053Fh, B ; 110
+ MACD *AR3+0%, 00540h, A ; 111
+ MACD *AR3+0%, 00541h, B ; 112
+ MACD *AR3(02BF8h), 00542h, A ; 113
+ MACD *AR3(02BF9h), 00543h, B ; 114
+ MACD *+AR3(02BFAh), 00544h, A ; 115
+ MACD *+AR3(02BFBh), 00545h, B ; 116
+ MACD *+AR3(02BFCh)%, 00546h, A ; 117
+ MACD *+AR3(02BFDh)%, 00547h, B ; 118
+ MACD *(02BFEh), 00548h, A ; 119
+ MACD *(02BFFh), 00549h, B ; 120
+ MACD *AR4, 0054Ah, A ; 121
+ MACD *AR4, 0054Bh, B ; 122
+ MACD *AR4-, 0054Ch, A ; 123
+ MACD *AR4-, 0054Dh, B ; 124
+ MACD *AR4+, 0054Eh, A ; 125
+ MACD *AR4+, 0054Fh, B ; 126
+ MACD *AR4-0B, 00550h, A ; 127
+ MACD *AR4-0B, 00551h, B ; 128
+ MACD *AR4-0, 00552h, A ; 129
+ MACD *AR4-0, 00553h, B ; 130
+ MACD *AR4+0, 00554h, A ; 131
+ MACD *AR4+0, 00555h, B ; 132
+ MACD *AR4+0B, 00556h, A ; 133
+ MACD *AR4+0B, 00557h, B ; 134
+ MACD *AR4-%, 00558h, A ; 135
+ MACD *AR4-%, 00559h, B ; 136
+ MACD *AR4-0%, 0055Ah, A ; 137
+ MACD *AR4-0%, 0055Bh, B ; 138
+ MACD *AR4+%, 0055Ch, A ; 139
+ MACD *AR4+%, 0055Dh, B ; 140
+ MACD *AR4+0%, 0055Eh, A ; 141
+ MACD *AR4+0%, 0055Fh, B ; 142
+ MACD *AR4(02C00h), 00560h, A ; 143
+ MACD *AR4(02C01h), 00561h, B ; 144
+ MACD *+AR4(02C02h), 00562h, A ; 145
+ MACD *+AR4(02C03h), 00563h, B ; 146
+ MACD *+AR4(02C04h)%, 00564h, A ; 147
+ MACD *+AR4(02C05h)%, 00565h, B ; 148
+ MACD *(02C06h), 00566h, A ; 149
+ MACD *(02C07h), 00567h, B ; 150
+ MACD *AR5, 00568h, A ; 151
+ MACD *AR5, 00569h, B ; 152
+ MACD *AR5-, 0056Ah, A ; 153
+ MACD *AR5-, 0056Bh, B ; 154
+ MACD *AR5+, 0056Ch, A ; 155
+ MACD *AR5+, 0056Dh, B ; 156
+ MACD *AR5-0B, 0056Eh, A ; 157
+ MACD *AR5-0B, 0056Fh, B ; 158
+ MACD *AR5-0, 00570h, A ; 159
+ MACD *AR5-0, 00571h, B ; 160
+ MACD *AR5+0, 00572h, A ; 161
+ MACD *AR5+0, 00573h, B ; 162
+ MACD *AR5+0B, 00574h, A ; 163
+ MACD *AR5+0B, 00575h, B ; 164
+ MACD *AR5-%, 00576h, A ; 165
+ MACD *AR5-%, 00577h, B ; 166
+ MACD *AR5-0%, 00578h, A ; 167
+ MACD *AR5-0%, 00579h, B ; 168
+ MACD *AR5+%, 0057Ah, A ; 169
+ MACD *AR5+%, 0057Bh, B ; 170
+ MACD *AR5+0%, 0057Ch, A ; 171
+ MACD *AR5+0%, 0057Dh, B ; 172
+ MACD *AR5(02C08h), 0057Eh, A ; 173
+ MACD *AR5(02C09h), 0057Fh, B ; 174
+ MACD *+AR5(02C0Ah), 00580h, A ; 175
+ MACD *+AR5(02C0Bh), 00581h, B ; 176
+ MACD *+AR5(02C0Ch)%, 00582h, A ; 177
+ MACD *+AR5(02C0Dh)%, 00583h, B ; 178
+ MACD *(02C0Eh), 00584h, A ; 179
+ MACD *(02C0Fh), 00585h, B ; 180
+ MACD *AR6, 00586h, A ; 181
+ MACD *AR6, 00587h, B ; 182
+ MACD *AR6-, 00588h, A ; 183
+ MACD *AR6-, 00589h, B ; 184
+ MACD *AR6+, 0058Ah, A ; 185
+ MACD *AR6+, 0058Bh, B ; 186
+ MACD *AR6-0B, 0058Ch, A ; 187
+ MACD *AR6-0B, 0058Dh, B ; 188
+ MACD *AR6-0, 0058Eh, A ; 189
+ MACD *AR6-0, 0058Fh, B ; 190
+ MACD *AR6+0, 00590h, A ; 191
+ MACD *AR6+0, 00591h, B ; 192
+ MACD *AR6+0B, 00592h, A ; 193
+ MACD *AR6+0B, 00593h, B ; 194
+ MACD *AR6-%, 00594h, A ; 195
+ MACD *AR6-%, 00595h, B ; 196
+ MACD *AR6-0%, 00596h, A ; 197
+ MACD *AR6-0%, 00597h, B ; 198
+ MACD *AR6+%, 00598h, A ; 199
+ MACD *AR6+%, 00599h, B ; 200
+ MACD *AR6+0%, 0059Ah, A ; 201
+ MACD *AR6+0%, 0059Bh, B ; 202
+ MACD *AR6(02C10h), 0059Ch, A ; 203
+ MACD *AR6(02C11h), 0059Dh, B ; 204
+ MACD *+AR6(02C12h), 0059Eh, A ; 205
+ MACD *+AR6(02C13h), 0059Fh, B ; 206
+ MACD *+AR6(02C14h)%, 005A0h, A ; 207
+ MACD *+AR6(02C15h)%, 005A1h, B ; 208
+ MACD *(02C16h), 005A2h, A ; 209
+ MACD *(02C17h), 005A3h, B ; 210
+ MACD *AR7, 005A4h, A ; 211
+ MACD *AR7, 005A5h, B ; 212
+ MACD *AR7-, 005A6h, A ; 213
+ MACD *AR7-, 005A7h, B ; 214
+ MACD *AR7+, 005A8h, A ; 215
+ MACD *AR7+, 005A9h, B ; 216
+ MACD *AR7-0B, 005AAh, A ; 217
+ MACD *AR7-0B, 005ABh, B ; 218
+ MACD *AR7-0, 005ACh, A ; 219
+ MACD *AR7-0, 005ADh, B ; 220
+ MACD *AR7+0, 005AEh, A ; 221
+ MACD *AR7+0, 005AFh, B ; 222
+ MACD *AR7+0B, 005B0h, A ; 223
+ MACD *AR7+0B, 005B1h, B ; 224
+ MACD *AR7-%, 005B2h, A ; 225
+ MACD *AR7-%, 005B3h, B ; 226
+ MACD *AR7-0%, 005B4h, A ; 227
+ MACD *AR7-0%, 005B5h, B ; 228
+ MACD *AR7+%, 005B6h, A ; 229
+ MACD *AR7+%, 005B7h, B ; 230
+ MACD *AR7+0%, 005B8h, A ; 231
+ MACD *AR7+0%, 005B9h, B ; 232
+ MACD *AR7(02C18h), 005BAh, A ; 233
+ MACD *AR7(02C19h), 005BBh, B ; 234
+ MACD *+AR7(02C1Ah), 005BCh, A ; 235
+ MACD *+AR7(02C1Bh), 005BDh, B ; 236
+ MACD *+AR7(02C1Ch)%, 005BEh, A ; 237
+ MACD *+AR7(02C1Dh)%, 005BFh, B ; 238
+ MACD *(02C1Eh), 005C0h, A ; 239
+ MACD *(02C1Fh), 005C1h, B ; 240
+ ; MACP : MACP Smem, Pmad, AB : 2
+ MACP 4Ch, 005C2h, A ; 1
+ MACP 4Dh, 005C3h, B ; 2
+ ; MACP_I : MACP Smem_I, Pmad, AB : 240
+ MACP *AR0, 005C4h, A ; 1
+ MACP *AR0, 005C5h, B ; 2
+ MACP *AR0-, 005C6h, A ; 3
+ MACP *AR0-, 005C7h, B ; 4
+ MACP *AR0+, 005C8h, A ; 5
+ MACP *AR0+, 005C9h, B ; 6
+ MACP *AR0-0B, 005CAh, A ; 7
+ MACP *AR0-0B, 005CBh, B ; 8
+ MACP *AR0-0, 005CCh, A ; 9
+ MACP *AR0-0, 005CDh, B ; 10
+ MACP *AR0+0, 005CEh, A ; 11
+ MACP *AR0+0, 005CFh, B ; 12
+ MACP *AR0+0B, 005D0h, A ; 13
+ MACP *AR0+0B, 005D1h, B ; 14
+ MACP *AR0-%, 005D2h, A ; 15
+ MACP *AR0-%, 005D3h, B ; 16
+ MACP *AR0-0%, 005D4h, A ; 17
+ MACP *AR0-0%, 005D5h, B ; 18
+ MACP *AR0+%, 005D6h, A ; 19
+ MACP *AR0+%, 005D7h, B ; 20
+ MACP *AR0+0%, 005D8h, A ; 21
+ MACP *AR0+0%, 005D9h, B ; 22
+ MACP *AR0(02C20h), 005DAh, A ; 23
+ MACP *AR0(02C21h), 005DBh, B ; 24
+ MACP *+AR0(02C22h), 005DCh, A ; 25
+ MACP *+AR0(02C23h), 005DDh, B ; 26
+ MACP *+AR0(02C24h)%, 005DEh, A ; 27
+ MACP *+AR0(02C25h)%, 005DFh, B ; 28
+ MACP *(02C26h), 005E0h, A ; 29
+ MACP *(02C27h), 005E1h, B ; 30
+ MACP *AR1, 005E2h, A ; 31
+ MACP *AR1, 005E3h, B ; 32
+ MACP *AR1-, 005E4h, A ; 33
+ MACP *AR1-, 005E5h, B ; 34
+ MACP *AR1+, 005E6h, A ; 35
+ MACP *AR1+, 005E7h, B ; 36
+ MACP *AR1-0B, 005E8h, A ; 37
+ MACP *AR1-0B, 005E9h, B ; 38
+ MACP *AR1-0, 005EAh, A ; 39
+ MACP *AR1-0, 005EBh, B ; 40
+ MACP *AR1+0, 005ECh, A ; 41
+ MACP *AR1+0, 005EDh, B ; 42
+ MACP *AR1+0B, 005EEh, A ; 43
+ MACP *AR1+0B, 005EFh, B ; 44
+ MACP *AR1-%, 005F0h, A ; 45
+ MACP *AR1-%, 005F1h, B ; 46
+ MACP *AR1-0%, 005F2h, A ; 47
+ MACP *AR1-0%, 005F3h, B ; 48
+ MACP *AR1+%, 005F4h, A ; 49
+ MACP *AR1+%, 005F5h, B ; 50
+ MACP *AR1+0%, 005F6h, A ; 51
+ MACP *AR1+0%, 005F7h, B ; 52
+ MACP *AR1(02C28h), 005F8h, A ; 53
+ MACP *AR1(02C29h), 005F9h, B ; 54
+ MACP *+AR1(02C2Ah), 005FAh, A ; 55
+ MACP *+AR1(02C2Bh), 005FBh, B ; 56
+ MACP *+AR1(02C2Ch)%, 005FCh, A ; 57
+ MACP *+AR1(02C2Dh)%, 005FDh, B ; 58
+ MACP *(02C2Eh), 005FEh, A ; 59
+ MACP *(02C2Fh), 005FFh, B ; 60
+ MACP *AR2, 00600h, A ; 61
+ MACP *AR2, 00601h, B ; 62
+ MACP *AR2-, 00602h, A ; 63
+ MACP *AR2-, 00603h, B ; 64
+ MACP *AR2+, 00604h, A ; 65
+ MACP *AR2+, 00605h, B ; 66
+ MACP *AR2-0B, 00606h, A ; 67
+ MACP *AR2-0B, 00607h, B ; 68
+ MACP *AR2-0, 00608h, A ; 69
+ MACP *AR2-0, 00609h, B ; 70
+ MACP *AR2+0, 0060Ah, A ; 71
+ MACP *AR2+0, 0060Bh, B ; 72
+ MACP *AR2+0B, 0060Ch, A ; 73
+ MACP *AR2+0B, 0060Dh, B ; 74
+ MACP *AR2-%, 0060Eh, A ; 75
+ MACP *AR2-%, 0060Fh, B ; 76
+ MACP *AR2-0%, 00610h, A ; 77
+ MACP *AR2-0%, 00611h, B ; 78
+ MACP *AR2+%, 00612h, A ; 79
+ MACP *AR2+%, 00613h, B ; 80
+ MACP *AR2+0%, 00614h, A ; 81
+ MACP *AR2+0%, 00615h, B ; 82
+ MACP *AR2(02C30h), 00616h, A ; 83
+ MACP *AR2(02C31h), 00617h, B ; 84
+ MACP *+AR2(02C32h), 00618h, A ; 85
+ MACP *+AR2(02C33h), 00619h, B ; 86
+ MACP *+AR2(02C34h)%, 0061Ah, A ; 87
+ MACP *+AR2(02C35h)%, 0061Bh, B ; 88
+ MACP *(02C36h), 0061Ch, A ; 89
+ MACP *(02C37h), 0061Dh, B ; 90
+ MACP *AR3, 0061Eh, A ; 91
+ MACP *AR3, 0061Fh, B ; 92
+ MACP *AR3-, 00620h, A ; 93
+ MACP *AR3-, 00621h, B ; 94
+ MACP *AR3+, 00622h, A ; 95
+ MACP *AR3+, 00623h, B ; 96
+ MACP *AR3-0B, 00624h, A ; 97
+ MACP *AR3-0B, 00625h, B ; 98
+ MACP *AR3-0, 00626h, A ; 99
+ MACP *AR3-0, 00627h, B ; 100
+ MACP *AR3+0, 00628h, A ; 101
+ MACP *AR3+0, 00629h, B ; 102
+ MACP *AR3+0B, 0062Ah, A ; 103
+ MACP *AR3+0B, 0062Bh, B ; 104
+ MACP *AR3-%, 0062Ch, A ; 105
+ MACP *AR3-%, 0062Dh, B ; 106
+ MACP *AR3-0%, 0062Eh, A ; 107
+ MACP *AR3-0%, 0062Fh, B ; 108
+ MACP *AR3+%, 00630h, A ; 109
+ MACP *AR3+%, 00631h, B ; 110
+ MACP *AR3+0%, 00632h, A ; 111
+ MACP *AR3+0%, 00633h, B ; 112
+ MACP *AR3(02C38h), 00634h, A ; 113
+ MACP *AR3(02C39h), 00635h, B ; 114
+ MACP *+AR3(02C3Ah), 00636h, A ; 115
+ MACP *+AR3(02C3Bh), 00637h, B ; 116
+ MACP *+AR3(02C3Ch)%, 00638h, A ; 117
+ MACP *+AR3(02C3Dh)%, 00639h, B ; 118
+ MACP *(02C3Eh), 0063Ah, A ; 119
+ MACP *(02C3Fh), 0063Bh, B ; 120
+ MACP *AR4, 0063Ch, A ; 121
+ MACP *AR4, 0063Dh, B ; 122
+ MACP *AR4-, 0063Eh, A ; 123
+ MACP *AR4-, 0063Fh, B ; 124
+ MACP *AR4+, 00640h, A ; 125
+ MACP *AR4+, 00641h, B ; 126
+ MACP *AR4-0B, 00642h, A ; 127
+ MACP *AR4-0B, 00643h, B ; 128
+ MACP *AR4-0, 00644h, A ; 129
+ MACP *AR4-0, 00645h, B ; 130
+ MACP *AR4+0, 00646h, A ; 131
+ MACP *AR4+0, 00647h, B ; 132
+ MACP *AR4+0B, 00648h, A ; 133
+ MACP *AR4+0B, 00649h, B ; 134
+ MACP *AR4-%, 0064Ah, A ; 135
+ MACP *AR4-%, 0064Bh, B ; 136
+ MACP *AR4-0%, 0064Ch, A ; 137
+ MACP *AR4-0%, 0064Dh, B ; 138
+ MACP *AR4+%, 0064Eh, A ; 139
+ MACP *AR4+%, 0064Fh, B ; 140
+ MACP *AR4+0%, 00650h, A ; 141
+ MACP *AR4+0%, 00651h, B ; 142
+ MACP *AR4(02C40h), 00652h, A ; 143
+ MACP *AR4(02C41h), 00653h, B ; 144
+ MACP *+AR4(02C42h), 00654h, A ; 145
+ MACP *+AR4(02C43h), 00655h, B ; 146
+ MACP *+AR4(02C44h)%, 00656h, A ; 147
+ MACP *+AR4(02C45h)%, 00657h, B ; 148
+ MACP *(02C46h), 00658h, A ; 149
+ MACP *(02C47h), 00659h, B ; 150
+ MACP *AR5, 0065Ah, A ; 151
+ MACP *AR5, 0065Bh, B ; 152
+ MACP *AR5-, 0065Ch, A ; 153
+ MACP *AR5-, 0065Dh, B ; 154
+ MACP *AR5+, 0065Eh, A ; 155
+ MACP *AR5+, 0065Fh, B ; 156
+ MACP *AR5-0B, 00660h, A ; 157
+ MACP *AR5-0B, 00661h, B ; 158
+ MACP *AR5-0, 00662h, A ; 159
+ MACP *AR5-0, 00663h, B ; 160
+ MACP *AR5+0, 00664h, A ; 161
+ MACP *AR5+0, 00665h, B ; 162
+ MACP *AR5+0B, 00666h, A ; 163
+ MACP *AR5+0B, 00667h, B ; 164
+ MACP *AR5-%, 00668h, A ; 165
+ MACP *AR5-%, 00669h, B ; 166
+ MACP *AR5-0%, 0066Ah, A ; 167
+ MACP *AR5-0%, 0066Bh, B ; 168
+ MACP *AR5+%, 0066Ch, A ; 169
+ MACP *AR5+%, 0066Dh, B ; 170
+ MACP *AR5+0%, 0066Eh, A ; 171
+ MACP *AR5+0%, 0066Fh, B ; 172
+ MACP *AR5(02C48h), 00670h, A ; 173
+ MACP *AR5(02C49h), 00671h, B ; 174
+ MACP *+AR5(02C4Ah), 00672h, A ; 175
+ MACP *+AR5(02C4Bh), 00673h, B ; 176
+ MACP *+AR5(02C4Ch)%, 00674h, A ; 177
+ MACP *+AR5(02C4Dh)%, 00675h, B ; 178
+ MACP *(02C4Eh), 00676h, A ; 179
+ MACP *(02C4Fh), 00677h, B ; 180
+ MACP *AR6, 00678h, A ; 181
+ MACP *AR6, 00679h, B ; 182
+ MACP *AR6-, 0067Ah, A ; 183
+ MACP *AR6-, 0067Bh, B ; 184
+ MACP *AR6+, 0067Ch, A ; 185
+ MACP *AR6+, 0067Dh, B ; 186
+ MACP *AR6-0B, 0067Eh, A ; 187
+ MACP *AR6-0B, 0067Fh, B ; 188
+ MACP *AR6-0, 00680h, A ; 189
+ MACP *AR6-0, 00681h, B ; 190
+ MACP *AR6+0, 00682h, A ; 191
+ MACP *AR6+0, 00683h, B ; 192
+ MACP *AR6+0B, 00684h, A ; 193
+ MACP *AR6+0B, 00685h, B ; 194
+ MACP *AR6-%, 00686h, A ; 195
+ MACP *AR6-%, 00687h, B ; 196
+ MACP *AR6-0%, 00688h, A ; 197
+ MACP *AR6-0%, 00689h, B ; 198
+ MACP *AR6+%, 0068Ah, A ; 199
+ MACP *AR6+%, 0068Bh, B ; 200
+ MACP *AR6+0%, 0068Ch, A ; 201
+ MACP *AR6+0%, 0068Dh, B ; 202
+ MACP *AR6(02C50h), 0068Eh, A ; 203
+ MACP *AR6(02C51h), 0068Fh, B ; 204
+ MACP *+AR6(02C52h), 00690h, A ; 205
+ MACP *+AR6(02C53h), 00691h, B ; 206
+ MACP *+AR6(02C54h)%, 00692h, A ; 207
+ MACP *+AR6(02C55h)%, 00693h, B ; 208
+ MACP *(02C56h), 00694h, A ; 209
+ MACP *(02C57h), 00695h, B ; 210
+ MACP *AR7, 00696h, A ; 211
+ MACP *AR7, 00697h, B ; 212
+ MACP *AR7-, 00698h, A ; 213
+ MACP *AR7-, 00699h, B ; 214
+ MACP *AR7+, 0069Ah, A ; 215
+ MACP *AR7+, 0069Bh, B ; 216
+ MACP *AR7-0B, 0069Ch, A ; 217
+ MACP *AR7-0B, 0069Dh, B ; 218
+ MACP *AR7-0, 0069Eh, A ; 219
+ MACP *AR7-0, 0069Fh, B ; 220
+ MACP *AR7+0, 006A0h, A ; 221
+ MACP *AR7+0, 006A1h, B ; 222
+ MACP *AR7+0B, 006A2h, A ; 223
+ MACP *AR7+0B, 006A3h, B ; 224
+ MACP *AR7-%, 006A4h, A ; 225
+ MACP *AR7-%, 006A5h, B ; 226
+ MACP *AR7-0%, 006A6h, A ; 227
+ MACP *AR7-0%, 006A7h, B ; 228
+ MACP *AR7+%, 006A8h, A ; 229
+ MACP *AR7+%, 006A9h, B ; 230
+ MACP *AR7+0%, 006AAh, A ; 231
+ MACP *AR7+0%, 006ABh, B ; 232
+ MACP *AR7(02C58h), 006ACh, A ; 233
+ MACP *AR7(02C59h), 006ADh, B ; 234
+ MACP *+AR7(02C5Ah), 006AEh, A ; 235
+ MACP *+AR7(02C5Bh), 006AFh, B ; 236
+ MACP *+AR7(02C5Ch)%, 006B0h, A ; 237
+ MACP *+AR7(02C5Dh)%, 006B1h, B ; 238
+ MACP *(02C5Eh), 006B2h, A ; 239
+ MACP *(02C5Fh), 006B3h, B ; 240
+ ; MACR : MACR Smem, AB : 2
+ MACR 4Eh, A ; 1
+ MACR 4Fh, B ; 2
+ ; MACR_I : MACR Smem_I, AB : 240
+ MACR *AR0, A ; 1
+ MACR *AR0, B ; 2
+ MACR *AR0-, A ; 3
+ MACR *AR0-, B ; 4
+ MACR *AR0+, A ; 5
+ MACR *AR0+, B ; 6
+ MACR *AR0-0B, A ; 7
+ MACR *AR0-0B, B ; 8
+ MACR *AR0-0, A ; 9
+ MACR *AR0-0, B ; 10
+ MACR *AR0+0, A ; 11
+ MACR *AR0+0, B ; 12
+ MACR *AR0+0B, A ; 13
+ MACR *AR0+0B, B ; 14
+ MACR *AR0-%, A ; 15
+ MACR *AR0-%, B ; 16
+ MACR *AR0-0%, A ; 17
+ MACR *AR0-0%, B ; 18
+ MACR *AR0+%, A ; 19
+ MACR *AR0+%, B ; 20
+ MACR *AR0+0%, A ; 21
+ MACR *AR0+0%, B ; 22
+ MACR *AR0(02C60h), A ; 23
+ MACR *AR0(02C61h), B ; 24
+ MACR *+AR0(02C62h), A ; 25
+ MACR *+AR0(02C63h), B ; 26
+ MACR *+AR0(02C64h)%, A ; 27
+ MACR *+AR0(02C65h)%, B ; 28
+ MACR *(02C66h), A ; 29
+ MACR *(02C67h), B ; 30
+ MACR *AR1, A ; 31
+ MACR *AR1, B ; 32
+ MACR *AR1-, A ; 33
+ MACR *AR1-, B ; 34
+ MACR *AR1+, A ; 35
+ MACR *AR1+, B ; 36
+ MACR *AR1-0B, A ; 37
+ MACR *AR1-0B, B ; 38
+ MACR *AR1-0, A ; 39
+ MACR *AR1-0, B ; 40
+ MACR *AR1+0, A ; 41
+ MACR *AR1+0, B ; 42
+ MACR *AR1+0B, A ; 43
+ MACR *AR1+0B, B ; 44
+ MACR *AR1-%, A ; 45
+ MACR *AR1-%, B ; 46
+ MACR *AR1-0%, A ; 47
+ MACR *AR1-0%, B ; 48
+ MACR *AR1+%, A ; 49
+ MACR *AR1+%, B ; 50
+ MACR *AR1+0%, A ; 51
+ MACR *AR1+0%, B ; 52
+ MACR *AR1(02C68h), A ; 53
+ MACR *AR1(02C69h), B ; 54
+ MACR *+AR1(02C6Ah), A ; 55
+ MACR *+AR1(02C6Bh), B ; 56
+ MACR *+AR1(02C6Ch)%, A ; 57
+ MACR *+AR1(02C6Dh)%, B ; 58
+ MACR *(02C6Eh), A ; 59
+ MACR *(02C6Fh), B ; 60
+ MACR *AR2, A ; 61
+ MACR *AR2, B ; 62
+ MACR *AR2-, A ; 63
+ MACR *AR2-, B ; 64
+ MACR *AR2+, A ; 65
+ MACR *AR2+, B ; 66
+ MACR *AR2-0B, A ; 67
+ MACR *AR2-0B, B ; 68
+ MACR *AR2-0, A ; 69
+ MACR *AR2-0, B ; 70
+ MACR *AR2+0, A ; 71
+ MACR *AR2+0, B ; 72
+ MACR *AR2+0B, A ; 73
+ MACR *AR2+0B, B ; 74
+ MACR *AR2-%, A ; 75
+ MACR *AR2-%, B ; 76
+ MACR *AR2-0%, A ; 77
+ MACR *AR2-0%, B ; 78
+ MACR *AR2+%, A ; 79
+ MACR *AR2+%, B ; 80
+ MACR *AR2+0%, A ; 81
+ MACR *AR2+0%, B ; 82
+ MACR *AR2(02C70h), A ; 83
+ MACR *AR2(02C71h), B ; 84
+ MACR *+AR2(02C72h), A ; 85
+ MACR *+AR2(02C73h), B ; 86
+ MACR *+AR2(02C74h)%, A ; 87
+ MACR *+AR2(02C75h)%, B ; 88
+ MACR *(02C76h), A ; 89
+ MACR *(02C77h), B ; 90
+ MACR *AR3, A ; 91
+ MACR *AR3, B ; 92
+ MACR *AR3-, A ; 93
+ MACR *AR3-, B ; 94
+ MACR *AR3+, A ; 95
+ MACR *AR3+, B ; 96
+ MACR *AR3-0B, A ; 97
+ MACR *AR3-0B, B ; 98
+ MACR *AR3-0, A ; 99
+ MACR *AR3-0, B ; 100
+ MACR *AR3+0, A ; 101
+ MACR *AR3+0, B ; 102
+ MACR *AR3+0B, A ; 103
+ MACR *AR3+0B, B ; 104
+ MACR *AR3-%, A ; 105
+ MACR *AR3-%, B ; 106
+ MACR *AR3-0%, A ; 107
+ MACR *AR3-0%, B ; 108
+ MACR *AR3+%, A ; 109
+ MACR *AR3+%, B ; 110
+ MACR *AR3+0%, A ; 111
+ MACR *AR3+0%, B ; 112
+ MACR *AR3(02C78h), A ; 113
+ MACR *AR3(02C79h), B ; 114
+ MACR *+AR3(02C7Ah), A ; 115
+ MACR *+AR3(02C7Bh), B ; 116
+ MACR *+AR3(02C7Ch)%, A ; 117
+ MACR *+AR3(02C7Dh)%, B ; 118
+ MACR *(02C7Eh), A ; 119
+ MACR *(02C7Fh), B ; 120
+ MACR *AR4, A ; 121
+ MACR *AR4, B ; 122
+ MACR *AR4-, A ; 123
+ MACR *AR4-, B ; 124
+ MACR *AR4+, A ; 125
+ MACR *AR4+, B ; 126
+ MACR *AR4-0B, A ; 127
+ MACR *AR4-0B, B ; 128
+ MACR *AR4-0, A ; 129
+ MACR *AR4-0, B ; 130
+ MACR *AR4+0, A ; 131
+ MACR *AR4+0, B ; 132
+ MACR *AR4+0B, A ; 133
+ MACR *AR4+0B, B ; 134
+ MACR *AR4-%, A ; 135
+ MACR *AR4-%, B ; 136
+ MACR *AR4-0%, A ; 137
+ MACR *AR4-0%, B ; 138
+ MACR *AR4+%, A ; 139
+ MACR *AR4+%, B ; 140
+ MACR *AR4+0%, A ; 141
+ MACR *AR4+0%, B ; 142
+ MACR *AR4(02C80h), A ; 143
+ MACR *AR4(02C81h), B ; 144
+ MACR *+AR4(02C82h), A ; 145
+ MACR *+AR4(02C83h), B ; 146
+ MACR *+AR4(02C84h)%, A ; 147
+ MACR *+AR4(02C85h)%, B ; 148
+ MACR *(02C86h), A ; 149
+ MACR *(02C87h), B ; 150
+ MACR *AR5, A ; 151
+ MACR *AR5, B ; 152
+ MACR *AR5-, A ; 153
+ MACR *AR5-, B ; 154
+ MACR *AR5+, A ; 155
+ MACR *AR5+, B ; 156
+ MACR *AR5-0B, A ; 157
+ MACR *AR5-0B, B ; 158
+ MACR *AR5-0, A ; 159
+ MACR *AR5-0, B ; 160
+ MACR *AR5+0, A ; 161
+ MACR *AR5+0, B ; 162
+ MACR *AR5+0B, A ; 163
+ MACR *AR5+0B, B ; 164
+ MACR *AR5-%, A ; 165
+ MACR *AR5-%, B ; 166
+ MACR *AR5-0%, A ; 167
+ MACR *AR5-0%, B ; 168
+ MACR *AR5+%, A ; 169
+ MACR *AR5+%, B ; 170
+ MACR *AR5+0%, A ; 171
+ MACR *AR5+0%, B ; 172
+ MACR *AR5(02C88h), A ; 173
+ MACR *AR5(02C89h), B ; 174
+ MACR *+AR5(02C8Ah), A ; 175
+ MACR *+AR5(02C8Bh), B ; 176
+ MACR *+AR5(02C8Ch)%, A ; 177
+ MACR *+AR5(02C8Dh)%, B ; 178
+ MACR *(02C8Eh), A ; 179
+ MACR *(02C8Fh), B ; 180
+ MACR *AR6, A ; 181
+ MACR *AR6, B ; 182
+ MACR *AR6-, A ; 183
+ MACR *AR6-, B ; 184
+ MACR *AR6+, A ; 185
+ MACR *AR6+, B ; 186
+ MACR *AR6-0B, A ; 187
+ MACR *AR6-0B, B ; 188
+ MACR *AR6-0, A ; 189
+ MACR *AR6-0, B ; 190
+ MACR *AR6+0, A ; 191
+ MACR *AR6+0, B ; 192
+ MACR *AR6+0B, A ; 193
+ MACR *AR6+0B, B ; 194
+ MACR *AR6-%, A ; 195
+ MACR *AR6-%, B ; 196
+ MACR *AR6-0%, A ; 197
+ MACR *AR6-0%, B ; 198
+ MACR *AR6+%, A ; 199
+ MACR *AR6+%, B ; 200
+ MACR *AR6+0%, A ; 201
+ MACR *AR6+0%, B ; 202
+ MACR *AR6(02C90h), A ; 203
+ MACR *AR6(02C91h), B ; 204
+ MACR *+AR6(02C92h), A ; 205
+ MACR *+AR6(02C93h), B ; 206
+ MACR *+AR6(02C94h)%, A ; 207
+ MACR *+AR6(02C95h)%, B ; 208
+ MACR *(02C96h), A ; 209
+ MACR *(02C97h), B ; 210
+ MACR *AR7, A ; 211
+ MACR *AR7, B ; 212
+ MACR *AR7-, A ; 213
+ MACR *AR7-, B ; 214
+ MACR *AR7+, A ; 215
+ MACR *AR7+, B ; 216
+ MACR *AR7-0B, A ; 217
+ MACR *AR7-0B, B ; 218
+ MACR *AR7-0, A ; 219
+ MACR *AR7-0, B ; 220
+ MACR *AR7+0, A ; 221
+ MACR *AR7+0, B ; 222
+ MACR *AR7+0B, A ; 223
+ MACR *AR7+0B, B ; 224
+ MACR *AR7-%, A ; 225
+ MACR *AR7-%, B ; 226
+ MACR *AR7-0%, A ; 227
+ MACR *AR7-0%, B ; 228
+ MACR *AR7+%, A ; 229
+ MACR *AR7+%, B ; 230
+ MACR *AR7+0%, A ; 231
+ MACR *AR7+0%, B ; 232
+ MACR *AR7(02C98h), A ; 233
+ MACR *AR7(02C99h), B ; 234
+ MACR *+AR7(02C9Ah), A ; 235
+ MACR *+AR7(02C9Bh), B ; 236
+ MACR *+AR7(02C9Ch)%, A ; 237
+ MACR *+AR7(02C9Dh)%, B ; 238
+ MACR *(02C9Eh), A ; 239
+ MACR *(02C9Fh), B ; 240
+ ; MACRxmym : MACR Xmem, Ymem, AB, AB2 : 1536
+ MACR *AR2, *AR2, A, A ; 1
+ MACR *AR2, *AR2, A, B ; 2
+ MACR *AR2, *AR2, A ; 3
+ MACR *AR2, *AR2, B, A ; 4
+ MACR *AR2, *AR2, B, B ; 5
+ MACR *AR2, *AR2, B ; 6
+ MACR *AR2, *AR2-, A, A ; 7
+ MACR *AR2, *AR2-, A, B ; 8
+ MACR *AR2, *AR2-, A ; 9
+ MACR *AR2, *AR2-, B, A ; 10
+ MACR *AR2, *AR2-, B, B ; 11
+ MACR *AR2, *AR2-, B ; 12
+ MACR *AR2, *AR2+, A, A ; 13
+ MACR *AR2, *AR2+, A, B ; 14
+ MACR *AR2, *AR2+, A ; 15
+ MACR *AR2, *AR2+, B, A ; 16
+ MACR *AR2, *AR2+, B, B ; 17
+ MACR *AR2, *AR2+, B ; 18
+ MACR *AR2, *AR2+0%, A, A ; 19
+ MACR *AR2, *AR2+0%, A, B ; 20
+ MACR *AR2, *AR2+0%, A ; 21
+ MACR *AR2, *AR2+0%, B, A ; 22
+ MACR *AR2, *AR2+0%, B, B ; 23
+ MACR *AR2, *AR2+0%, B ; 24
+ MACR *AR2, *AR3, A, A ; 25
+ MACR *AR2, *AR3, A, B ; 26
+ MACR *AR2, *AR3, A ; 27
+ MACR *AR2, *AR3, B, A ; 28
+ MACR *AR2, *AR3, B, B ; 29
+ MACR *AR2, *AR3, B ; 30
+ MACR *AR2, *AR3-, A, A ; 31
+ MACR *AR2, *AR3-, A, B ; 32
+ MACR *AR2, *AR3-, A ; 33
+ MACR *AR2, *AR3-, B, A ; 34
+ MACR *AR2, *AR3-, B, B ; 35
+ MACR *AR2, *AR3-, B ; 36
+ MACR *AR2, *AR3+, A, A ; 37
+ MACR *AR2, *AR3+, A, B ; 38
+ MACR *AR2, *AR3+, A ; 39
+ MACR *AR2, *AR3+, B, A ; 40
+ MACR *AR2, *AR3+, B, B ; 41
+ MACR *AR2, *AR3+, B ; 42
+ MACR *AR2, *AR3+0%, A, A ; 43
+ MACR *AR2, *AR3+0%, A, B ; 44
+ MACR *AR2, *AR3+0%, A ; 45
+ MACR *AR2, *AR3+0%, B, A ; 46
+ MACR *AR2, *AR3+0%, B, B ; 47
+ MACR *AR2, *AR3+0%, B ; 48
+ MACR *AR2, *AR4, A, A ; 49
+ MACR *AR2, *AR4, A, B ; 50
+ MACR *AR2, *AR4, A ; 51
+ MACR *AR2, *AR4, B, A ; 52
+ MACR *AR2, *AR4, B, B ; 53
+ MACR *AR2, *AR4, B ; 54
+ MACR *AR2, *AR4-, A, A ; 55
+ MACR *AR2, *AR4-, A, B ; 56
+ MACR *AR2, *AR4-, A ; 57
+ MACR *AR2, *AR4-, B, A ; 58
+ MACR *AR2, *AR4-, B, B ; 59
+ MACR *AR2, *AR4-, B ; 60
+ MACR *AR2, *AR4+, A, A ; 61
+ MACR *AR2, *AR4+, A, B ; 62
+ MACR *AR2, *AR4+, A ; 63
+ MACR *AR2, *AR4+, B, A ; 64
+ MACR *AR2, *AR4+, B, B ; 65
+ MACR *AR2, *AR4+, B ; 66
+ MACR *AR2, *AR4+0%, A, A ; 67
+ MACR *AR2, *AR4+0%, A, B ; 68
+ MACR *AR2, *AR4+0%, A ; 69
+ MACR *AR2, *AR4+0%, B, A ; 70
+ MACR *AR2, *AR4+0%, B, B ; 71
+ MACR *AR2, *AR4+0%, B ; 72
+ MACR *AR2, *AR5, A, A ; 73
+ MACR *AR2, *AR5, A, B ; 74
+ MACR *AR2, *AR5, A ; 75
+ MACR *AR2, *AR5, B, A ; 76
+ MACR *AR2, *AR5, B, B ; 77
+ MACR *AR2, *AR5, B ; 78
+ MACR *AR2, *AR5-, A, A ; 79
+ MACR *AR2, *AR5-, A, B ; 80
+ MACR *AR2, *AR5-, A ; 81
+ MACR *AR2, *AR5-, B, A ; 82
+ MACR *AR2, *AR5-, B, B ; 83
+ MACR *AR2, *AR5-, B ; 84
+ MACR *AR2, *AR5+, A, A ; 85
+ MACR *AR2, *AR5+, A, B ; 86
+ MACR *AR2, *AR5+, A ; 87
+ MACR *AR2, *AR5+, B, A ; 88
+ MACR *AR2, *AR5+, B, B ; 89
+ MACR *AR2, *AR5+, B ; 90
+ MACR *AR2, *AR5+0%, A, A ; 91
+ MACR *AR2, *AR5+0%, A, B ; 92
+ MACR *AR2, *AR5+0%, A ; 93
+ MACR *AR2, *AR5+0%, B, A ; 94
+ MACR *AR2, *AR5+0%, B, B ; 95
+ MACR *AR2, *AR5+0%, B ; 96
+ MACR *AR2-, *AR2, A, A ; 97
+ MACR *AR2-, *AR2, A, B ; 98
+ MACR *AR2-, *AR2, A ; 99
+ MACR *AR2-, *AR2, B, A ; 100
+ MACR *AR2-, *AR2, B, B ; 101
+ MACR *AR2-, *AR2, B ; 102
+ MACR *AR2-, *AR2-, A, A ; 103
+ MACR *AR2-, *AR2-, A, B ; 104
+ MACR *AR2-, *AR2-, A ; 105
+ MACR *AR2-, *AR2-, B, A ; 106
+ MACR *AR2-, *AR2-, B, B ; 107
+ MACR *AR2-, *AR2-, B ; 108
+ MACR *AR2-, *AR2+, A, A ; 109
+ MACR *AR2-, *AR2+, A, B ; 110
+ MACR *AR2-, *AR2+, A ; 111
+ MACR *AR2-, *AR2+, B, A ; 112
+ MACR *AR2-, *AR2+, B, B ; 113
+ MACR *AR2-, *AR2+, B ; 114
+ MACR *AR2-, *AR2+0%, A, A ; 115
+ MACR *AR2-, *AR2+0%, A, B ; 116
+ MACR *AR2-, *AR2+0%, A ; 117
+ MACR *AR2-, *AR2+0%, B, A ; 118
+ MACR *AR2-, *AR2+0%, B, B ; 119
+ MACR *AR2-, *AR2+0%, B ; 120
+ MACR *AR2-, *AR3, A, A ; 121
+ MACR *AR2-, *AR3, A, B ; 122
+ MACR *AR2-, *AR3, A ; 123
+ MACR *AR2-, *AR3, B, A ; 124
+ MACR *AR2-, *AR3, B, B ; 125
+ MACR *AR2-, *AR3, B ; 126
+ MACR *AR2-, *AR3-, A, A ; 127
+ MACR *AR2-, *AR3-, A, B ; 128
+ MACR *AR2-, *AR3-, A ; 129
+ MACR *AR2-, *AR3-, B, A ; 130
+ MACR *AR2-, *AR3-, B, B ; 131
+ MACR *AR2-, *AR3-, B ; 132
+ MACR *AR2-, *AR3+, A, A ; 133
+ MACR *AR2-, *AR3+, A, B ; 134
+ MACR *AR2-, *AR3+, A ; 135
+ MACR *AR2-, *AR3+, B, A ; 136
+ MACR *AR2-, *AR3+, B, B ; 137
+ MACR *AR2-, *AR3+, B ; 138
+ MACR *AR2-, *AR3+0%, A, A ; 139
+ MACR *AR2-, *AR3+0%, A, B ; 140
+ MACR *AR2-, *AR3+0%, A ; 141
+ MACR *AR2-, *AR3+0%, B, A ; 142
+ MACR *AR2-, *AR3+0%, B, B ; 143
+ MACR *AR2-, *AR3+0%, B ; 144
+ MACR *AR2-, *AR4, A, A ; 145
+ MACR *AR2-, *AR4, A, B ; 146
+ MACR *AR2-, *AR4, A ; 147
+ MACR *AR2-, *AR4, B, A ; 148
+ MACR *AR2-, *AR4, B, B ; 149
+ MACR *AR2-, *AR4, B ; 150
+ MACR *AR2-, *AR4-, A, A ; 151
+ MACR *AR2-, *AR4-, A, B ; 152
+ MACR *AR2-, *AR4-, A ; 153
+ MACR *AR2-, *AR4-, B, A ; 154
+ MACR *AR2-, *AR4-, B, B ; 155
+ MACR *AR2-, *AR4-, B ; 156
+ MACR *AR2-, *AR4+, A, A ; 157
+ MACR *AR2-, *AR4+, A, B ; 158
+ MACR *AR2-, *AR4+, A ; 159
+ MACR *AR2-, *AR4+, B, A ; 160
+ MACR *AR2-, *AR4+, B, B ; 161
+ MACR *AR2-, *AR4+, B ; 162
+ MACR *AR2-, *AR4+0%, A, A ; 163
+ MACR *AR2-, *AR4+0%, A, B ; 164
+ MACR *AR2-, *AR4+0%, A ; 165
+ MACR *AR2-, *AR4+0%, B, A ; 166
+ MACR *AR2-, *AR4+0%, B, B ; 167
+ MACR *AR2-, *AR4+0%, B ; 168
+ MACR *AR2-, *AR5, A, A ; 169
+ MACR *AR2-, *AR5, A, B ; 170
+ MACR *AR2-, *AR5, A ; 171
+ MACR *AR2-, *AR5, B, A ; 172
+ MACR *AR2-, *AR5, B, B ; 173
+ MACR *AR2-, *AR5, B ; 174
+ MACR *AR2-, *AR5-, A, A ; 175
+ MACR *AR2-, *AR5-, A, B ; 176
+ MACR *AR2-, *AR5-, A ; 177
+ MACR *AR2-, *AR5-, B, A ; 178
+ MACR *AR2-, *AR5-, B, B ; 179
+ MACR *AR2-, *AR5-, B ; 180
+ MACR *AR2-, *AR5+, A, A ; 181
+ MACR *AR2-, *AR5+, A, B ; 182
+ MACR *AR2-, *AR5+, A ; 183
+ MACR *AR2-, *AR5+, B, A ; 184
+ MACR *AR2-, *AR5+, B, B ; 185
+ MACR *AR2-, *AR5+, B ; 186
+ MACR *AR2-, *AR5+0%, A, A ; 187
+ MACR *AR2-, *AR5+0%, A, B ; 188
+ MACR *AR2-, *AR5+0%, A ; 189
+ MACR *AR2-, *AR5+0%, B, A ; 190
+ MACR *AR2-, *AR5+0%, B, B ; 191
+ MACR *AR2-, *AR5+0%, B ; 192
+ MACR *AR2+, *AR2, A, A ; 193
+ MACR *AR2+, *AR2, A, B ; 194
+ MACR *AR2+, *AR2, A ; 195
+ MACR *AR2+, *AR2, B, A ; 196
+ MACR *AR2+, *AR2, B, B ; 197
+ MACR *AR2+, *AR2, B ; 198
+ MACR *AR2+, *AR2-, A, A ; 199
+ MACR *AR2+, *AR2-, A, B ; 200
+ MACR *AR2+, *AR2-, A ; 201
+ MACR *AR2+, *AR2-, B, A ; 202
+ MACR *AR2+, *AR2-, B, B ; 203
+ MACR *AR2+, *AR2-, B ; 204
+ MACR *AR2+, *AR2+, A, A ; 205
+ MACR *AR2+, *AR2+, A, B ; 206
+ MACR *AR2+, *AR2+, A ; 207
+ MACR *AR2+, *AR2+, B, A ; 208
+ MACR *AR2+, *AR2+, B, B ; 209
+ MACR *AR2+, *AR2+, B ; 210
+ MACR *AR2+, *AR2+0%, A, A ; 211
+ MACR *AR2+, *AR2+0%, A, B ; 212
+ MACR *AR2+, *AR2+0%, A ; 213
+ MACR *AR2+, *AR2+0%, B, A ; 214
+ MACR *AR2+, *AR2+0%, B, B ; 215
+ MACR *AR2+, *AR2+0%, B ; 216
+ MACR *AR2+, *AR3, A, A ; 217
+ MACR *AR2+, *AR3, A, B ; 218
+ MACR *AR2+, *AR3, A ; 219
+ MACR *AR2+, *AR3, B, A ; 220
+ MACR *AR2+, *AR3, B, B ; 221
+ MACR *AR2+, *AR3, B ; 222
+ MACR *AR2+, *AR3-, A, A ; 223
+ MACR *AR2+, *AR3-, A, B ; 224
+ MACR *AR2+, *AR3-, A ; 225
+ MACR *AR2+, *AR3-, B, A ; 226
+ MACR *AR2+, *AR3-, B, B ; 227
+ MACR *AR2+, *AR3-, B ; 228
+ MACR *AR2+, *AR3+, A, A ; 229
+ MACR *AR2+, *AR3+, A, B ; 230
+ MACR *AR2+, *AR3+, A ; 231
+ MACR *AR2+, *AR3+, B, A ; 232
+ MACR *AR2+, *AR3+, B, B ; 233
+ MACR *AR2+, *AR3+, B ; 234
+ MACR *AR2+, *AR3+0%, A, A ; 235
+ MACR *AR2+, *AR3+0%, A, B ; 236
+ MACR *AR2+, *AR3+0%, A ; 237
+ MACR *AR2+, *AR3+0%, B, A ; 238
+ MACR *AR2+, *AR3+0%, B, B ; 239
+ MACR *AR2+, *AR3+0%, B ; 240
+ MACR *AR2+, *AR4, A, A ; 241
+ MACR *AR2+, *AR4, A, B ; 242
+ MACR *AR2+, *AR4, A ; 243
+ MACR *AR2+, *AR4, B, A ; 244
+ MACR *AR2+, *AR4, B, B ; 245
+ MACR *AR2+, *AR4, B ; 246
+ MACR *AR2+, *AR4-, A, A ; 247
+ MACR *AR2+, *AR4-, A, B ; 248
+ MACR *AR2+, *AR4-, A ; 249
+ MACR *AR2+, *AR4-, B, A ; 250
+ MACR *AR2+, *AR4-, B, B ; 251
+ MACR *AR2+, *AR4-, B ; 252
+ MACR *AR2+, *AR4+, A, A ; 253
+ MACR *AR2+, *AR4+, A, B ; 254
+ MACR *AR2+, *AR4+, A ; 255
+ MACR *AR2+, *AR4+, B, A ; 256
+ MACR *AR2+, *AR4+, B, B ; 257
+ MACR *AR2+, *AR4+, B ; 258
+ MACR *AR2+, *AR4+0%, A, A ; 259
+ MACR *AR2+, *AR4+0%, A, B ; 260
+ MACR *AR2+, *AR4+0%, A ; 261
+ MACR *AR2+, *AR4+0%, B, A ; 262
+ MACR *AR2+, *AR4+0%, B, B ; 263
+ MACR *AR2+, *AR4+0%, B ; 264
+ MACR *AR2+, *AR5, A, A ; 265
+ MACR *AR2+, *AR5, A, B ; 266
+ MACR *AR2+, *AR5, A ; 267
+ MACR *AR2+, *AR5, B, A ; 268
+ MACR *AR2+, *AR5, B, B ; 269
+ MACR *AR2+, *AR5, B ; 270
+ MACR *AR2+, *AR5-, A, A ; 271
+ MACR *AR2+, *AR5-, A, B ; 272
+ MACR *AR2+, *AR5-, A ; 273
+ MACR *AR2+, *AR5-, B, A ; 274
+ MACR *AR2+, *AR5-, B, B ; 275
+ MACR *AR2+, *AR5-, B ; 276
+ MACR *AR2+, *AR5+, A, A ; 277
+ MACR *AR2+, *AR5+, A, B ; 278
+ MACR *AR2+, *AR5+, A ; 279
+ MACR *AR2+, *AR5+, B, A ; 280
+ MACR *AR2+, *AR5+, B, B ; 281
+ MACR *AR2+, *AR5+, B ; 282
+ MACR *AR2+, *AR5+0%, A, A ; 283
+ MACR *AR2+, *AR5+0%, A, B ; 284
+ MACR *AR2+, *AR5+0%, A ; 285
+ MACR *AR2+, *AR5+0%, B, A ; 286
+ MACR *AR2+, *AR5+0%, B, B ; 287
+ MACR *AR2+, *AR5+0%, B ; 288
+ MACR *AR2+0%, *AR2, A, A ; 289
+ MACR *AR2+0%, *AR2, A, B ; 290
+ MACR *AR2+0%, *AR2, A ; 291
+ MACR *AR2+0%, *AR2, B, A ; 292
+ MACR *AR2+0%, *AR2, B, B ; 293
+ MACR *AR2+0%, *AR2, B ; 294
+ MACR *AR2+0%, *AR2-, A, A ; 295
+ MACR *AR2+0%, *AR2-, A, B ; 296
+ MACR *AR2+0%, *AR2-, A ; 297
+ MACR *AR2+0%, *AR2-, B, A ; 298
+ MACR *AR2+0%, *AR2-, B, B ; 299
+ MACR *AR2+0%, *AR2-, B ; 300
+ MACR *AR2+0%, *AR2+, A, A ; 301
+ MACR *AR2+0%, *AR2+, A, B ; 302
+ MACR *AR2+0%, *AR2+, A ; 303
+ MACR *AR2+0%, *AR2+, B, A ; 304
+ MACR *AR2+0%, *AR2+, B, B ; 305
+ MACR *AR2+0%, *AR2+, B ; 306
+ MACR *AR2+0%, *AR2+0%, A, A ; 307
+ MACR *AR2+0%, *AR2+0%, A, B ; 308
+ MACR *AR2+0%, *AR2+0%, A ; 309
+ MACR *AR2+0%, *AR2+0%, B, A ; 310
+ MACR *AR2+0%, *AR2+0%, B, B ; 311
+ MACR *AR2+0%, *AR2+0%, B ; 312
+ MACR *AR2+0%, *AR3, A, A ; 313
+ MACR *AR2+0%, *AR3, A, B ; 314
+ MACR *AR2+0%, *AR3, A ; 315
+ MACR *AR2+0%, *AR3, B, A ; 316
+ MACR *AR2+0%, *AR3, B, B ; 317
+ MACR *AR2+0%, *AR3, B ; 318
+ MACR *AR2+0%, *AR3-, A, A ; 319
+ MACR *AR2+0%, *AR3-, A, B ; 320
+ MACR *AR2+0%, *AR3-, A ; 321
+ MACR *AR2+0%, *AR3-, B, A ; 322
+ MACR *AR2+0%, *AR3-, B, B ; 323
+ MACR *AR2+0%, *AR3-, B ; 324
+ MACR *AR2+0%, *AR3+, A, A ; 325
+ MACR *AR2+0%, *AR3+, A, B ; 326
+ MACR *AR2+0%, *AR3+, A ; 327
+ MACR *AR2+0%, *AR3+, B, A ; 328
+ MACR *AR2+0%, *AR3+, B, B ; 329
+ MACR *AR2+0%, *AR3+, B ; 330
+ MACR *AR2+0%, *AR3+0%, A, A ; 331
+ MACR *AR2+0%, *AR3+0%, A, B ; 332
+ MACR *AR2+0%, *AR3+0%, A ; 333
+ MACR *AR2+0%, *AR3+0%, B, A ; 334
+ MACR *AR2+0%, *AR3+0%, B, B ; 335
+ MACR *AR2+0%, *AR3+0%, B ; 336
+ MACR *AR2+0%, *AR4, A, A ; 337
+ MACR *AR2+0%, *AR4, A, B ; 338
+ MACR *AR2+0%, *AR4, A ; 339
+ MACR *AR2+0%, *AR4, B, A ; 340
+ MACR *AR2+0%, *AR4, B, B ; 341
+ MACR *AR2+0%, *AR4, B ; 342
+ MACR *AR2+0%, *AR4-, A, A ; 343
+ MACR *AR2+0%, *AR4-, A, B ; 344
+ MACR *AR2+0%, *AR4-, A ; 345
+ MACR *AR2+0%, *AR4-, B, A ; 346
+ MACR *AR2+0%, *AR4-, B, B ; 347
+ MACR *AR2+0%, *AR4-, B ; 348
+ MACR *AR2+0%, *AR4+, A, A ; 349
+ MACR *AR2+0%, *AR4+, A, B ; 350
+ MACR *AR2+0%, *AR4+, A ; 351
+ MACR *AR2+0%, *AR4+, B, A ; 352
+ MACR *AR2+0%, *AR4+, B, B ; 353
+ MACR *AR2+0%, *AR4+, B ; 354
+ MACR *AR2+0%, *AR4+0%, A, A ; 355
+ MACR *AR2+0%, *AR4+0%, A, B ; 356
+ MACR *AR2+0%, *AR4+0%, A ; 357
+ MACR *AR2+0%, *AR4+0%, B, A ; 358
+ MACR *AR2+0%, *AR4+0%, B, B ; 359
+ MACR *AR2+0%, *AR4+0%, B ; 360
+ MACR *AR2+0%, *AR5, A, A ; 361
+ MACR *AR2+0%, *AR5, A, B ; 362
+ MACR *AR2+0%, *AR5, A ; 363
+ MACR *AR2+0%, *AR5, B, A ; 364
+ MACR *AR2+0%, *AR5, B, B ; 365
+ MACR *AR2+0%, *AR5, B ; 366
+ MACR *AR2+0%, *AR5-, A, A ; 367
+ MACR *AR2+0%, *AR5-, A, B ; 368
+ MACR *AR2+0%, *AR5-, A ; 369
+ MACR *AR2+0%, *AR5-, B, A ; 370
+ MACR *AR2+0%, *AR5-, B, B ; 371
+ MACR *AR2+0%, *AR5-, B ; 372
+ MACR *AR2+0%, *AR5+, A, A ; 373
+ MACR *AR2+0%, *AR5+, A, B ; 374
+ MACR *AR2+0%, *AR5+, A ; 375
+ MACR *AR2+0%, *AR5+, B, A ; 376
+ MACR *AR2+0%, *AR5+, B, B ; 377
+ MACR *AR2+0%, *AR5+, B ; 378
+ MACR *AR2+0%, *AR5+0%, A, A ; 379
+ MACR *AR2+0%, *AR5+0%, A, B ; 380
+ MACR *AR2+0%, *AR5+0%, A ; 381
+ MACR *AR2+0%, *AR5+0%, B, A ; 382
+ MACR *AR2+0%, *AR5+0%, B, B ; 383
+ MACR *AR2+0%, *AR5+0%, B ; 384
+ MACR *AR3, *AR2, A, A ; 385
+ MACR *AR3, *AR2, A, B ; 386
+ MACR *AR3, *AR2, A ; 387
+ MACR *AR3, *AR2, B, A ; 388
+ MACR *AR3, *AR2, B, B ; 389
+ MACR *AR3, *AR2, B ; 390
+ MACR *AR3, *AR2-, A, A ; 391
+ MACR *AR3, *AR2-, A, B ; 392
+ MACR *AR3, *AR2-, A ; 393
+ MACR *AR3, *AR2-, B, A ; 394
+ MACR *AR3, *AR2-, B, B ; 395
+ MACR *AR3, *AR2-, B ; 396
+ MACR *AR3, *AR2+, A, A ; 397
+ MACR *AR3, *AR2+, A, B ; 398
+ MACR *AR3, *AR2+, A ; 399
+ MACR *AR3, *AR2+, B, A ; 400
+ MACR *AR3, *AR2+, B, B ; 401
+ MACR *AR3, *AR2+, B ; 402
+ MACR *AR3, *AR2+0%, A, A ; 403
+ MACR *AR3, *AR2+0%, A, B ; 404
+ MACR *AR3, *AR2+0%, A ; 405
+ MACR *AR3, *AR2+0%, B, A ; 406
+ MACR *AR3, *AR2+0%, B, B ; 407
+ MACR *AR3, *AR2+0%, B ; 408
+ MACR *AR3, *AR3, A, A ; 409
+ MACR *AR3, *AR3, A, B ; 410
+ MACR *AR3, *AR3, A ; 411
+ MACR *AR3, *AR3, B, A ; 412
+ MACR *AR3, *AR3, B, B ; 413
+ MACR *AR3, *AR3, B ; 414
+ MACR *AR3, *AR3-, A, A ; 415
+ MACR *AR3, *AR3-, A, B ; 416
+ MACR *AR3, *AR3-, A ; 417
+ MACR *AR3, *AR3-, B, A ; 418
+ MACR *AR3, *AR3-, B, B ; 419
+ MACR *AR3, *AR3-, B ; 420
+ MACR *AR3, *AR3+, A, A ; 421
+ MACR *AR3, *AR3+, A, B ; 422
+ MACR *AR3, *AR3+, A ; 423
+ MACR *AR3, *AR3+, B, A ; 424
+ MACR *AR3, *AR3+, B, B ; 425
+ MACR *AR3, *AR3+, B ; 426
+ MACR *AR3, *AR3+0%, A, A ; 427
+ MACR *AR3, *AR3+0%, A, B ; 428
+ MACR *AR3, *AR3+0%, A ; 429
+ MACR *AR3, *AR3+0%, B, A ; 430
+ MACR *AR3, *AR3+0%, B, B ; 431
+ MACR *AR3, *AR3+0%, B ; 432
+ MACR *AR3, *AR4, A, A ; 433
+ MACR *AR3, *AR4, A, B ; 434
+ MACR *AR3, *AR4, A ; 435
+ MACR *AR3, *AR4, B, A ; 436
+ MACR *AR3, *AR4, B, B ; 437
+ MACR *AR3, *AR4, B ; 438
+ MACR *AR3, *AR4-, A, A ; 439
+ MACR *AR3, *AR4-, A, B ; 440
+ MACR *AR3, *AR4-, A ; 441
+ MACR *AR3, *AR4-, B, A ; 442
+ MACR *AR3, *AR4-, B, B ; 443
+ MACR *AR3, *AR4-, B ; 444
+ MACR *AR3, *AR4+, A, A ; 445
+ MACR *AR3, *AR4+, A, B ; 446
+ MACR *AR3, *AR4+, A ; 447
+ MACR *AR3, *AR4+, B, A ; 448
+ MACR *AR3, *AR4+, B, B ; 449
+ MACR *AR3, *AR4+, B ; 450
+ MACR *AR3, *AR4+0%, A, A ; 451
+ MACR *AR3, *AR4+0%, A, B ; 452
+ MACR *AR3, *AR4+0%, A ; 453
+ MACR *AR3, *AR4+0%, B, A ; 454
+ MACR *AR3, *AR4+0%, B, B ; 455
+ MACR *AR3, *AR4+0%, B ; 456
+ MACR *AR3, *AR5, A, A ; 457
+ MACR *AR3, *AR5, A, B ; 458
+ MACR *AR3, *AR5, A ; 459
+ MACR *AR3, *AR5, B, A ; 460
+ MACR *AR3, *AR5, B, B ; 461
+ MACR *AR3, *AR5, B ; 462
+ MACR *AR3, *AR5-, A, A ; 463
+ MACR *AR3, *AR5-, A, B ; 464
+ MACR *AR3, *AR5-, A ; 465
+ MACR *AR3, *AR5-, B, A ; 466
+ MACR *AR3, *AR5-, B, B ; 467
+ MACR *AR3, *AR5-, B ; 468
+ MACR *AR3, *AR5+, A, A ; 469
+ MACR *AR3, *AR5+, A, B ; 470
+ MACR *AR3, *AR5+, A ; 471
+ MACR *AR3, *AR5+, B, A ; 472
+ MACR *AR3, *AR5+, B, B ; 473
+ MACR *AR3, *AR5+, B ; 474
+ MACR *AR3, *AR5+0%, A, A ; 475
+ MACR *AR3, *AR5+0%, A, B ; 476
+ MACR *AR3, *AR5+0%, A ; 477
+ MACR *AR3, *AR5+0%, B, A ; 478
+ MACR *AR3, *AR5+0%, B, B ; 479
+ MACR *AR3, *AR5+0%, B ; 480
+ MACR *AR3-, *AR2, A, A ; 481
+ MACR *AR3-, *AR2, A, B ; 482
+ MACR *AR3-, *AR2, A ; 483
+ MACR *AR3-, *AR2, B, A ; 484
+ MACR *AR3-, *AR2, B, B ; 485
+ MACR *AR3-, *AR2, B ; 486
+ MACR *AR3-, *AR2-, A, A ; 487
+ MACR *AR3-, *AR2-, A, B ; 488
+ MACR *AR3-, *AR2-, A ; 489
+ MACR *AR3-, *AR2-, B, A ; 490
+ MACR *AR3-, *AR2-, B, B ; 491
+ MACR *AR3-, *AR2-, B ; 492
+ MACR *AR3-, *AR2+, A, A ; 493
+ MACR *AR3-, *AR2+, A, B ; 494
+ MACR *AR3-, *AR2+, A ; 495
+ MACR *AR3-, *AR2+, B, A ; 496
+ MACR *AR3-, *AR2+, B, B ; 497
+ MACR *AR3-, *AR2+, B ; 498
+ MACR *AR3-, *AR2+0%, A, A ; 499
+ MACR *AR3-, *AR2+0%, A, B ; 500
+ MACR *AR3-, *AR2+0%, A ; 501
+ MACR *AR3-, *AR2+0%, B, A ; 502
+ MACR *AR3-, *AR2+0%, B, B ; 503
+ MACR *AR3-, *AR2+0%, B ; 504
+ MACR *AR3-, *AR3, A, A ; 505
+ MACR *AR3-, *AR3, A, B ; 506
+ MACR *AR3-, *AR3, A ; 507
+ MACR *AR3-, *AR3, B, A ; 508
+ MACR *AR3-, *AR3, B, B ; 509
+ MACR *AR3-, *AR3, B ; 510
+ MACR *AR3-, *AR3-, A, A ; 511
+ MACR *AR3-, *AR3-, A, B ; 512
+ MACR *AR3-, *AR3-, A ; 513
+ MACR *AR3-, *AR3-, B, A ; 514
+ MACR *AR3-, *AR3-, B, B ; 515
+ MACR *AR3-, *AR3-, B ; 516
+ MACR *AR3-, *AR3+, A, A ; 517
+ MACR *AR3-, *AR3+, A, B ; 518
+ MACR *AR3-, *AR3+, A ; 519
+ MACR *AR3-, *AR3+, B, A ; 520
+ MACR *AR3-, *AR3+, B, B ; 521
+ MACR *AR3-, *AR3+, B ; 522
+ MACR *AR3-, *AR3+0%, A, A ; 523
+ MACR *AR3-, *AR3+0%, A, B ; 524
+ MACR *AR3-, *AR3+0%, A ; 525
+ MACR *AR3-, *AR3+0%, B, A ; 526
+ MACR *AR3-, *AR3+0%, B, B ; 527
+ MACR *AR3-, *AR3+0%, B ; 528
+ MACR *AR3-, *AR4, A, A ; 529
+ MACR *AR3-, *AR4, A, B ; 530
+ MACR *AR3-, *AR4, A ; 531
+ MACR *AR3-, *AR4, B, A ; 532
+ MACR *AR3-, *AR4, B, B ; 533
+ MACR *AR3-, *AR4, B ; 534
+ MACR *AR3-, *AR4-, A, A ; 535
+ MACR *AR3-, *AR4-, A, B ; 536
+ MACR *AR3-, *AR4-, A ; 537
+ MACR *AR3-, *AR4-, B, A ; 538
+ MACR *AR3-, *AR4-, B, B ; 539
+ MACR *AR3-, *AR4-, B ; 540
+ MACR *AR3-, *AR4+, A, A ; 541
+ MACR *AR3-, *AR4+, A, B ; 542
+ MACR *AR3-, *AR4+, A ; 543
+ MACR *AR3-, *AR4+, B, A ; 544
+ MACR *AR3-, *AR4+, B, B ; 545
+ MACR *AR3-, *AR4+, B ; 546
+ MACR *AR3-, *AR4+0%, A, A ; 547
+ MACR *AR3-, *AR4+0%, A, B ; 548
+ MACR *AR3-, *AR4+0%, A ; 549
+ MACR *AR3-, *AR4+0%, B, A ; 550
+ MACR *AR3-, *AR4+0%, B, B ; 551
+ MACR *AR3-, *AR4+0%, B ; 552
+ MACR *AR3-, *AR5, A, A ; 553
+ MACR *AR3-, *AR5, A, B ; 554
+ MACR *AR3-, *AR5, A ; 555
+ MACR *AR3-, *AR5, B, A ; 556
+ MACR *AR3-, *AR5, B, B ; 557
+ MACR *AR3-, *AR5, B ; 558
+ MACR *AR3-, *AR5-, A, A ; 559
+ MACR *AR3-, *AR5-, A, B ; 560
+ MACR *AR3-, *AR5-, A ; 561
+ MACR *AR3-, *AR5-, B, A ; 562
+ MACR *AR3-, *AR5-, B, B ; 563
+ MACR *AR3-, *AR5-, B ; 564
+ MACR *AR3-, *AR5+, A, A ; 565
+ MACR *AR3-, *AR5+, A, B ; 566
+ MACR *AR3-, *AR5+, A ; 567
+ MACR *AR3-, *AR5+, B, A ; 568
+ MACR *AR3-, *AR5+, B, B ; 569
+ MACR *AR3-, *AR5+, B ; 570
+ MACR *AR3-, *AR5+0%, A, A ; 571
+ MACR *AR3-, *AR5+0%, A, B ; 572
+ MACR *AR3-, *AR5+0%, A ; 573
+ MACR *AR3-, *AR5+0%, B, A ; 574
+ MACR *AR3-, *AR5+0%, B, B ; 575
+ MACR *AR3-, *AR5+0%, B ; 576
+ MACR *AR3+, *AR2, A, A ; 577
+ MACR *AR3+, *AR2, A, B ; 578
+ MACR *AR3+, *AR2, A ; 579
+ MACR *AR3+, *AR2, B, A ; 580
+ MACR *AR3+, *AR2, B, B ; 581
+ MACR *AR3+, *AR2, B ; 582
+ MACR *AR3+, *AR2-, A, A ; 583
+ MACR *AR3+, *AR2-, A, B ; 584
+ MACR *AR3+, *AR2-, A ; 585
+ MACR *AR3+, *AR2-, B, A ; 586
+ MACR *AR3+, *AR2-, B, B ; 587
+ MACR *AR3+, *AR2-, B ; 588
+ MACR *AR3+, *AR2+, A, A ; 589
+ MACR *AR3+, *AR2+, A, B ; 590
+ MACR *AR3+, *AR2+, A ; 591
+ MACR *AR3+, *AR2+, B, A ; 592
+ MACR *AR3+, *AR2+, B, B ; 593
+ MACR *AR3+, *AR2+, B ; 594
+ MACR *AR3+, *AR2+0%, A, A ; 595
+ MACR *AR3+, *AR2+0%, A, B ; 596
+ MACR *AR3+, *AR2+0%, A ; 597
+ MACR *AR3+, *AR2+0%, B, A ; 598
+ MACR *AR3+, *AR2+0%, B, B ; 599
+ MACR *AR3+, *AR2+0%, B ; 600
+ MACR *AR3+, *AR3, A, A ; 601
+ MACR *AR3+, *AR3, A, B ; 602
+ MACR *AR3+, *AR3, A ; 603
+ MACR *AR3+, *AR3, B, A ; 604
+ MACR *AR3+, *AR3, B, B ; 605
+ MACR *AR3+, *AR3, B ; 606
+ MACR *AR3+, *AR3-, A, A ; 607
+ MACR *AR3+, *AR3-, A, B ; 608
+ MACR *AR3+, *AR3-, A ; 609
+ MACR *AR3+, *AR3-, B, A ; 610
+ MACR *AR3+, *AR3-, B, B ; 611
+ MACR *AR3+, *AR3-, B ; 612
+ MACR *AR3+, *AR3+, A, A ; 613
+ MACR *AR3+, *AR3+, A, B ; 614
+ MACR *AR3+, *AR3+, A ; 615
+ MACR *AR3+, *AR3+, B, A ; 616
+ MACR *AR3+, *AR3+, B, B ; 617
+ MACR *AR3+, *AR3+, B ; 618
+ MACR *AR3+, *AR3+0%, A, A ; 619
+ MACR *AR3+, *AR3+0%, A, B ; 620
+ MACR *AR3+, *AR3+0%, A ; 621
+ MACR *AR3+, *AR3+0%, B, A ; 622
+ MACR *AR3+, *AR3+0%, B, B ; 623
+ MACR *AR3+, *AR3+0%, B ; 624
+ MACR *AR3+, *AR4, A, A ; 625
+ MACR *AR3+, *AR4, A, B ; 626
+ MACR *AR3+, *AR4, A ; 627
+ MACR *AR3+, *AR4, B, A ; 628
+ MACR *AR3+, *AR4, B, B ; 629
+ MACR *AR3+, *AR4, B ; 630
+ MACR *AR3+, *AR4-, A, A ; 631
+ MACR *AR3+, *AR4-, A, B ; 632
+ MACR *AR3+, *AR4-, A ; 633
+ MACR *AR3+, *AR4-, B, A ; 634
+ MACR *AR3+, *AR4-, B, B ; 635
+ MACR *AR3+, *AR4-, B ; 636
+ MACR *AR3+, *AR4+, A, A ; 637
+ MACR *AR3+, *AR4+, A, B ; 638
+ MACR *AR3+, *AR4+, A ; 639
+ MACR *AR3+, *AR4+, B, A ; 640
+ MACR *AR3+, *AR4+, B, B ; 641
+ MACR *AR3+, *AR4+, B ; 642
+ MACR *AR3+, *AR4+0%, A, A ; 643
+ MACR *AR3+, *AR4+0%, A, B ; 644
+ MACR *AR3+, *AR4+0%, A ; 645
+ MACR *AR3+, *AR4+0%, B, A ; 646
+ MACR *AR3+, *AR4+0%, B, B ; 647
+ MACR *AR3+, *AR4+0%, B ; 648
+ MACR *AR3+, *AR5, A, A ; 649
+ MACR *AR3+, *AR5, A, B ; 650
+ MACR *AR3+, *AR5, A ; 651
+ MACR *AR3+, *AR5, B, A ; 652
+ MACR *AR3+, *AR5, B, B ; 653
+ MACR *AR3+, *AR5, B ; 654
+ MACR *AR3+, *AR5-, A, A ; 655
+ MACR *AR3+, *AR5-, A, B ; 656
+ MACR *AR3+, *AR5-, A ; 657
+ MACR *AR3+, *AR5-, B, A ; 658
+ MACR *AR3+, *AR5-, B, B ; 659
+ MACR *AR3+, *AR5-, B ; 660
+ MACR *AR3+, *AR5+, A, A ; 661
+ MACR *AR3+, *AR5+, A, B ; 662
+ MACR *AR3+, *AR5+, A ; 663
+ MACR *AR3+, *AR5+, B, A ; 664
+ MACR *AR3+, *AR5+, B, B ; 665
+ MACR *AR3+, *AR5+, B ; 666
+ MACR *AR3+, *AR5+0%, A, A ; 667
+ MACR *AR3+, *AR5+0%, A, B ; 668
+ MACR *AR3+, *AR5+0%, A ; 669
+ MACR *AR3+, *AR5+0%, B, A ; 670
+ MACR *AR3+, *AR5+0%, B, B ; 671
+ MACR *AR3+, *AR5+0%, B ; 672
+ MACR *AR3+0%, *AR2, A, A ; 673
+ MACR *AR3+0%, *AR2, A, B ; 674
+ MACR *AR3+0%, *AR2, A ; 675
+ MACR *AR3+0%, *AR2, B, A ; 676
+ MACR *AR3+0%, *AR2, B, B ; 677
+ MACR *AR3+0%, *AR2, B ; 678
+ MACR *AR3+0%, *AR2-, A, A ; 679
+ MACR *AR3+0%, *AR2-, A, B ; 680
+ MACR *AR3+0%, *AR2-, A ; 681
+ MACR *AR3+0%, *AR2-, B, A ; 682
+ MACR *AR3+0%, *AR2-, B, B ; 683
+ MACR *AR3+0%, *AR2-, B ; 684
+ MACR *AR3+0%, *AR2+, A, A ; 685
+ MACR *AR3+0%, *AR2+, A, B ; 686
+ MACR *AR3+0%, *AR2+, A ; 687
+ MACR *AR3+0%, *AR2+, B, A ; 688
+ MACR *AR3+0%, *AR2+, B, B ; 689
+ MACR *AR3+0%, *AR2+, B ; 690
+ MACR *AR3+0%, *AR2+0%, A, A ; 691
+ MACR *AR3+0%, *AR2+0%, A, B ; 692
+ MACR *AR3+0%, *AR2+0%, A ; 693
+ MACR *AR3+0%, *AR2+0%, B, A ; 694
+ MACR *AR3+0%, *AR2+0%, B, B ; 695
+ MACR *AR3+0%, *AR2+0%, B ; 696
+ MACR *AR3+0%, *AR3, A, A ; 697
+ MACR *AR3+0%, *AR3, A, B ; 698
+ MACR *AR3+0%, *AR3, A ; 699
+ MACR *AR3+0%, *AR3, B, A ; 700
+ MACR *AR3+0%, *AR3, B, B ; 701
+ MACR *AR3+0%, *AR3, B ; 702
+ MACR *AR3+0%, *AR3-, A, A ; 703
+ MACR *AR3+0%, *AR3-, A, B ; 704
+ MACR *AR3+0%, *AR3-, A ; 705
+ MACR *AR3+0%, *AR3-, B, A ; 706
+ MACR *AR3+0%, *AR3-, B, B ; 707
+ MACR *AR3+0%, *AR3-, B ; 708
+ MACR *AR3+0%, *AR3+, A, A ; 709
+ MACR *AR3+0%, *AR3+, A, B ; 710
+ MACR *AR3+0%, *AR3+, A ; 711
+ MACR *AR3+0%, *AR3+, B, A ; 712
+ MACR *AR3+0%, *AR3+, B, B ; 713
+ MACR *AR3+0%, *AR3+, B ; 714
+ MACR *AR3+0%, *AR3+0%, A, A ; 715
+ MACR *AR3+0%, *AR3+0%, A, B ; 716
+ MACR *AR3+0%, *AR3+0%, A ; 717
+ MACR *AR3+0%, *AR3+0%, B, A ; 718
+ MACR *AR3+0%, *AR3+0%, B, B ; 719
+ MACR *AR3+0%, *AR3+0%, B ; 720
+ MACR *AR3+0%, *AR4, A, A ; 721
+ MACR *AR3+0%, *AR4, A, B ; 722
+ MACR *AR3+0%, *AR4, A ; 723
+ MACR *AR3+0%, *AR4, B, A ; 724
+ MACR *AR3+0%, *AR4, B, B ; 725
+ MACR *AR3+0%, *AR4, B ; 726
+ MACR *AR3+0%, *AR4-, A, A ; 727
+ MACR *AR3+0%, *AR4-, A, B ; 728
+ MACR *AR3+0%, *AR4-, A ; 729
+ MACR *AR3+0%, *AR4-, B, A ; 730
+ MACR *AR3+0%, *AR4-, B, B ; 731
+ MACR *AR3+0%, *AR4-, B ; 732
+ MACR *AR3+0%, *AR4+, A, A ; 733
+ MACR *AR3+0%, *AR4+, A, B ; 734
+ MACR *AR3+0%, *AR4+, A ; 735
+ MACR *AR3+0%, *AR4+, B, A ; 736
+ MACR *AR3+0%, *AR4+, B, B ; 737
+ MACR *AR3+0%, *AR4+, B ; 738
+ MACR *AR3+0%, *AR4+0%, A, A ; 739
+ MACR *AR3+0%, *AR4+0%, A, B ; 740
+ MACR *AR3+0%, *AR4+0%, A ; 741
+ MACR *AR3+0%, *AR4+0%, B, A ; 742
+ MACR *AR3+0%, *AR4+0%, B, B ; 743
+ MACR *AR3+0%, *AR4+0%, B ; 744
+ MACR *AR3+0%, *AR5, A, A ; 745
+ MACR *AR3+0%, *AR5, A, B ; 746
+ MACR *AR3+0%, *AR5, A ; 747
+ MACR *AR3+0%, *AR5, B, A ; 748
+ MACR *AR3+0%, *AR5, B, B ; 749
+ MACR *AR3+0%, *AR5, B ; 750
+ MACR *AR3+0%, *AR5-, A, A ; 751
+ MACR *AR3+0%, *AR5-, A, B ; 752
+ MACR *AR3+0%, *AR5-, A ; 753
+ MACR *AR3+0%, *AR5-, B, A ; 754
+ MACR *AR3+0%, *AR5-, B, B ; 755
+ MACR *AR3+0%, *AR5-, B ; 756
+ MACR *AR3+0%, *AR5+, A, A ; 757
+ MACR *AR3+0%, *AR5+, A, B ; 758
+ MACR *AR3+0%, *AR5+, A ; 759
+ MACR *AR3+0%, *AR5+, B, A ; 760
+ MACR *AR3+0%, *AR5+, B, B ; 761
+ MACR *AR3+0%, *AR5+, B ; 762
+ MACR *AR3+0%, *AR5+0%, A, A ; 763
+ MACR *AR3+0%, *AR5+0%, A, B ; 764
+ MACR *AR3+0%, *AR5+0%, A ; 765
+ MACR *AR3+0%, *AR5+0%, B, A ; 766
+ MACR *AR3+0%, *AR5+0%, B, B ; 767
+ MACR *AR3+0%, *AR5+0%, B ; 768
+ MACR *AR4, *AR2, A, A ; 769
+ MACR *AR4, *AR2, A, B ; 770
+ MACR *AR4, *AR2, A ; 771
+ MACR *AR4, *AR2, B, A ; 772
+ MACR *AR4, *AR2, B, B ; 773
+ MACR *AR4, *AR2, B ; 774
+ MACR *AR4, *AR2-, A, A ; 775
+ MACR *AR4, *AR2-, A, B ; 776
+ MACR *AR4, *AR2-, A ; 777
+ MACR *AR4, *AR2-, B, A ; 778
+ MACR *AR4, *AR2-, B, B ; 779
+ MACR *AR4, *AR2-, B ; 780
+ MACR *AR4, *AR2+, A, A ; 781
+ MACR *AR4, *AR2+, A, B ; 782
+ MACR *AR4, *AR2+, A ; 783
+ MACR *AR4, *AR2+, B, A ; 784
+ MACR *AR4, *AR2+, B, B ; 785
+ MACR *AR4, *AR2+, B ; 786
+ MACR *AR4, *AR2+0%, A, A ; 787
+ MACR *AR4, *AR2+0%, A, B ; 788
+ MACR *AR4, *AR2+0%, A ; 789
+ MACR *AR4, *AR2+0%, B, A ; 790
+ MACR *AR4, *AR2+0%, B, B ; 791
+ MACR *AR4, *AR2+0%, B ; 792
+ MACR *AR4, *AR3, A, A ; 793
+ MACR *AR4, *AR3, A, B ; 794
+ MACR *AR4, *AR3, A ; 795
+ MACR *AR4, *AR3, B, A ; 796
+ MACR *AR4, *AR3, B, B ; 797
+ MACR *AR4, *AR3, B ; 798
+ MACR *AR4, *AR3-, A, A ; 799
+ MACR *AR4, *AR3-, A, B ; 800
+ MACR *AR4, *AR3-, A ; 801
+ MACR *AR4, *AR3-, B, A ; 802
+ MACR *AR4, *AR3-, B, B ; 803
+ MACR *AR4, *AR3-, B ; 804
+ MACR *AR4, *AR3+, A, A ; 805
+ MACR *AR4, *AR3+, A, B ; 806
+ MACR *AR4, *AR3+, A ; 807
+ MACR *AR4, *AR3+, B, A ; 808
+ MACR *AR4, *AR3+, B, B ; 809
+ MACR *AR4, *AR3+, B ; 810
+ MACR *AR4, *AR3+0%, A, A ; 811
+ MACR *AR4, *AR3+0%, A, B ; 812
+ MACR *AR4, *AR3+0%, A ; 813
+ MACR *AR4, *AR3+0%, B, A ; 814
+ MACR *AR4, *AR3+0%, B, B ; 815
+ MACR *AR4, *AR3+0%, B ; 816
+ MACR *AR4, *AR4, A, A ; 817
+ MACR *AR4, *AR4, A, B ; 818
+ MACR *AR4, *AR4, A ; 819
+ MACR *AR4, *AR4, B, A ; 820
+ MACR *AR4, *AR4, B, B ; 821
+ MACR *AR4, *AR4, B ; 822
+ MACR *AR4, *AR4-, A, A ; 823
+ MACR *AR4, *AR4-, A, B ; 824
+ MACR *AR4, *AR4-, A ; 825
+ MACR *AR4, *AR4-, B, A ; 826
+ MACR *AR4, *AR4-, B, B ; 827
+ MACR *AR4, *AR4-, B ; 828
+ MACR *AR4, *AR4+, A, A ; 829
+ MACR *AR4, *AR4+, A, B ; 830
+ MACR *AR4, *AR4+, A ; 831
+ MACR *AR4, *AR4+, B, A ; 832
+ MACR *AR4, *AR4+, B, B ; 833
+ MACR *AR4, *AR4+, B ; 834
+ MACR *AR4, *AR4+0%, A, A ; 835
+ MACR *AR4, *AR4+0%, A, B ; 836
+ MACR *AR4, *AR4+0%, A ; 837
+ MACR *AR4, *AR4+0%, B, A ; 838
+ MACR *AR4, *AR4+0%, B, B ; 839
+ MACR *AR4, *AR4+0%, B ; 840
+ MACR *AR4, *AR5, A, A ; 841
+ MACR *AR4, *AR5, A, B ; 842
+ MACR *AR4, *AR5, A ; 843
+ MACR *AR4, *AR5, B, A ; 844
+ MACR *AR4, *AR5, B, B ; 845
+ MACR *AR4, *AR5, B ; 846
+ MACR *AR4, *AR5-, A, A ; 847
+ MACR *AR4, *AR5-, A, B ; 848
+ MACR *AR4, *AR5-, A ; 849
+ MACR *AR4, *AR5-, B, A ; 850
+ MACR *AR4, *AR5-, B, B ; 851
+ MACR *AR4, *AR5-, B ; 852
+ MACR *AR4, *AR5+, A, A ; 853
+ MACR *AR4, *AR5+, A, B ; 854
+ MACR *AR4, *AR5+, A ; 855
+ MACR *AR4, *AR5+, B, A ; 856
+ MACR *AR4, *AR5+, B, B ; 857
+ MACR *AR4, *AR5+, B ; 858
+ MACR *AR4, *AR5+0%, A, A ; 859
+ MACR *AR4, *AR5+0%, A, B ; 860
+ MACR *AR4, *AR5+0%, A ; 861
+ MACR *AR4, *AR5+0%, B, A ; 862
+ MACR *AR4, *AR5+0%, B, B ; 863
+ MACR *AR4, *AR5+0%, B ; 864
+ MACR *AR4-, *AR2, A, A ; 865
+ MACR *AR4-, *AR2, A, B ; 866
+ MACR *AR4-, *AR2, A ; 867
+ MACR *AR4-, *AR2, B, A ; 868
+ MACR *AR4-, *AR2, B, B ; 869
+ MACR *AR4-, *AR2, B ; 870
+ MACR *AR4-, *AR2-, A, A ; 871
+ MACR *AR4-, *AR2-, A, B ; 872
+ MACR *AR4-, *AR2-, A ; 873
+ MACR *AR4-, *AR2-, B, A ; 874
+ MACR *AR4-, *AR2-, B, B ; 875
+ MACR *AR4-, *AR2-, B ; 876
+ MACR *AR4-, *AR2+, A, A ; 877
+ MACR *AR4-, *AR2+, A, B ; 878
+ MACR *AR4-, *AR2+, A ; 879
+ MACR *AR4-, *AR2+, B, A ; 880
+ MACR *AR4-, *AR2+, B, B ; 881
+ MACR *AR4-, *AR2+, B ; 882
+ MACR *AR4-, *AR2+0%, A, A ; 883
+ MACR *AR4-, *AR2+0%, A, B ; 884
+ MACR *AR4-, *AR2+0%, A ; 885
+ MACR *AR4-, *AR2+0%, B, A ; 886
+ MACR *AR4-, *AR2+0%, B, B ; 887
+ MACR *AR4-, *AR2+0%, B ; 888
+ MACR *AR4-, *AR3, A, A ; 889
+ MACR *AR4-, *AR3, A, B ; 890
+ MACR *AR4-, *AR3, A ; 891
+ MACR *AR4-, *AR3, B, A ; 892
+ MACR *AR4-, *AR3, B, B ; 893
+ MACR *AR4-, *AR3, B ; 894
+ MACR *AR4-, *AR3-, A, A ; 895
+ MACR *AR4-, *AR3-, A, B ; 896
+ MACR *AR4-, *AR3-, A ; 897
+ MACR *AR4-, *AR3-, B, A ; 898
+ MACR *AR4-, *AR3-, B, B ; 899
+ MACR *AR4-, *AR3-, B ; 900
+ MACR *AR4-, *AR3+, A, A ; 901
+ MACR *AR4-, *AR3+, A, B ; 902
+ MACR *AR4-, *AR3+, A ; 903
+ MACR *AR4-, *AR3+, B, A ; 904
+ MACR *AR4-, *AR3+, B, B ; 905
+ MACR *AR4-, *AR3+, B ; 906
+ MACR *AR4-, *AR3+0%, A, A ; 907
+ MACR *AR4-, *AR3+0%, A, B ; 908
+ MACR *AR4-, *AR3+0%, A ; 909
+ MACR *AR4-, *AR3+0%, B, A ; 910
+ MACR *AR4-, *AR3+0%, B, B ; 911
+ MACR *AR4-, *AR3+0%, B ; 912
+ MACR *AR4-, *AR4, A, A ; 913
+ MACR *AR4-, *AR4, A, B ; 914
+ MACR *AR4-, *AR4, A ; 915
+ MACR *AR4-, *AR4, B, A ; 916
+ MACR *AR4-, *AR4, B, B ; 917
+ MACR *AR4-, *AR4, B ; 918
+ MACR *AR4-, *AR4-, A, A ; 919
+ MACR *AR4-, *AR4-, A, B ; 920
+ MACR *AR4-, *AR4-, A ; 921
+ MACR *AR4-, *AR4-, B, A ; 922
+ MACR *AR4-, *AR4-, B, B ; 923
+ MACR *AR4-, *AR4-, B ; 924
+ MACR *AR4-, *AR4+, A, A ; 925
+ MACR *AR4-, *AR4+, A, B ; 926
+ MACR *AR4-, *AR4+, A ; 927
+ MACR *AR4-, *AR4+, B, A ; 928
+ MACR *AR4-, *AR4+, B, B ; 929
+ MACR *AR4-, *AR4+, B ; 930
+ MACR *AR4-, *AR4+0%, A, A ; 931
+ MACR *AR4-, *AR4+0%, A, B ; 932
+ MACR *AR4-, *AR4+0%, A ; 933
+ MACR *AR4-, *AR4+0%, B, A ; 934
+ MACR *AR4-, *AR4+0%, B, B ; 935
+ MACR *AR4-, *AR4+0%, B ; 936
+ MACR *AR4-, *AR5, A, A ; 937
+ MACR *AR4-, *AR5, A, B ; 938
+ MACR *AR4-, *AR5, A ; 939
+ MACR *AR4-, *AR5, B, A ; 940
+ MACR *AR4-, *AR5, B, B ; 941
+ MACR *AR4-, *AR5, B ; 942
+ MACR *AR4-, *AR5-, A, A ; 943
+ MACR *AR4-, *AR5-, A, B ; 944
+ MACR *AR4-, *AR5-, A ; 945
+ MACR *AR4-, *AR5-, B, A ; 946
+ MACR *AR4-, *AR5-, B, B ; 947
+ MACR *AR4-, *AR5-, B ; 948
+ MACR *AR4-, *AR5+, A, A ; 949
+ MACR *AR4-, *AR5+, A, B ; 950
+ MACR *AR4-, *AR5+, A ; 951
+ MACR *AR4-, *AR5+, B, A ; 952
+ MACR *AR4-, *AR5+, B, B ; 953
+ MACR *AR4-, *AR5+, B ; 954
+ MACR *AR4-, *AR5+0%, A, A ; 955
+ MACR *AR4-, *AR5+0%, A, B ; 956
+ MACR *AR4-, *AR5+0%, A ; 957
+ MACR *AR4-, *AR5+0%, B, A ; 958
+ MACR *AR4-, *AR5+0%, B, B ; 959
+ MACR *AR4-, *AR5+0%, B ; 960
+ MACR *AR4+, *AR2, A, A ; 961
+ MACR *AR4+, *AR2, A, B ; 962
+ MACR *AR4+, *AR2, A ; 963
+ MACR *AR4+, *AR2, B, A ; 964
+ MACR *AR4+, *AR2, B, B ; 965
+ MACR *AR4+, *AR2, B ; 966
+ MACR *AR4+, *AR2-, A, A ; 967
+ MACR *AR4+, *AR2-, A, B ; 968
+ MACR *AR4+, *AR2-, A ; 969
+ MACR *AR4+, *AR2-, B, A ; 970
+ MACR *AR4+, *AR2-, B, B ; 971
+ MACR *AR4+, *AR2-, B ; 972
+ MACR *AR4+, *AR2+, A, A ; 973
+ MACR *AR4+, *AR2+, A, B ; 974
+ MACR *AR4+, *AR2+, A ; 975
+ MACR *AR4+, *AR2+, B, A ; 976
+ MACR *AR4+, *AR2+, B, B ; 977
+ MACR *AR4+, *AR2+, B ; 978
+ MACR *AR4+, *AR2+0%, A, A ; 979
+ MACR *AR4+, *AR2+0%, A, B ; 980
+ MACR *AR4+, *AR2+0%, A ; 981
+ MACR *AR4+, *AR2+0%, B, A ; 982
+ MACR *AR4+, *AR2+0%, B, B ; 983
+ MACR *AR4+, *AR2+0%, B ; 984
+ MACR *AR4+, *AR3, A, A ; 985
+ MACR *AR4+, *AR3, A, B ; 986
+ MACR *AR4+, *AR3, A ; 987
+ MACR *AR4+, *AR3, B, A ; 988
+ MACR *AR4+, *AR3, B, B ; 989
+ MACR *AR4+, *AR3, B ; 990
+ MACR *AR4+, *AR3-, A, A ; 991
+ MACR *AR4+, *AR3-, A, B ; 992
+ MACR *AR4+, *AR3-, A ; 993
+ MACR *AR4+, *AR3-, B, A ; 994
+ MACR *AR4+, *AR3-, B, B ; 995
+ MACR *AR4+, *AR3-, B ; 996
+ MACR *AR4+, *AR3+, A, A ; 997
+ MACR *AR4+, *AR3+, A, B ; 998
+ MACR *AR4+, *AR3+, A ; 999
+ MACR *AR4+, *AR3+, B, A ; 1000
+ MACR *AR4+, *AR3+, B, B ; 1001
+ MACR *AR4+, *AR3+, B ; 1002
+ MACR *AR4+, *AR3+0%, A, A ; 1003
+ MACR *AR4+, *AR3+0%, A, B ; 1004
+ MACR *AR4+, *AR3+0%, A ; 1005
+ MACR *AR4+, *AR3+0%, B, A ; 1006
+ MACR *AR4+, *AR3+0%, B, B ; 1007
+ MACR *AR4+, *AR3+0%, B ; 1008
+ MACR *AR4+, *AR4, A, A ; 1009
+ MACR *AR4+, *AR4, A, B ; 1010
+ MACR *AR4+, *AR4, A ; 1011
+ MACR *AR4+, *AR4, B, A ; 1012
+ MACR *AR4+, *AR4, B, B ; 1013
+ MACR *AR4+, *AR4, B ; 1014
+ MACR *AR4+, *AR4-, A, A ; 1015
+ MACR *AR4+, *AR4-, A, B ; 1016
+ MACR *AR4+, *AR4-, A ; 1017
+ MACR *AR4+, *AR4-, B, A ; 1018
+ MACR *AR4+, *AR4-, B, B ; 1019
+ MACR *AR4+, *AR4-, B ; 1020
+ MACR *AR4+, *AR4+, A, A ; 1021
+ MACR *AR4+, *AR4+, A, B ; 1022
+ MACR *AR4+, *AR4+, A ; 1023
+ MACR *AR4+, *AR4+, B, A ; 1024
+ MACR *AR4+, *AR4+, B, B ; 1025
+ MACR *AR4+, *AR4+, B ; 1026
+ MACR *AR4+, *AR4+0%, A, A ; 1027
+ MACR *AR4+, *AR4+0%, A, B ; 1028
+ MACR *AR4+, *AR4+0%, A ; 1029
+ MACR *AR4+, *AR4+0%, B, A ; 1030
+ MACR *AR4+, *AR4+0%, B, B ; 1031
+ MACR *AR4+, *AR4+0%, B ; 1032
+ MACR *AR4+, *AR5, A, A ; 1033
+ MACR *AR4+, *AR5, A, B ; 1034
+ MACR *AR4+, *AR5, A ; 1035
+ MACR *AR4+, *AR5, B, A ; 1036
+ MACR *AR4+, *AR5, B, B ; 1037
+ MACR *AR4+, *AR5, B ; 1038
+ MACR *AR4+, *AR5-, A, A ; 1039
+ MACR *AR4+, *AR5-, A, B ; 1040
+ MACR *AR4+, *AR5-, A ; 1041
+ MACR *AR4+, *AR5-, B, A ; 1042
+ MACR *AR4+, *AR5-, B, B ; 1043
+ MACR *AR4+, *AR5-, B ; 1044
+ MACR *AR4+, *AR5+, A, A ; 1045
+ MACR *AR4+, *AR5+, A, B ; 1046
+ MACR *AR4+, *AR5+, A ; 1047
+ MACR *AR4+, *AR5+, B, A ; 1048
+ MACR *AR4+, *AR5+, B, B ; 1049
+ MACR *AR4+, *AR5+, B ; 1050
+ MACR *AR4+, *AR5+0%, A, A ; 1051
+ MACR *AR4+, *AR5+0%, A, B ; 1052
+ MACR *AR4+, *AR5+0%, A ; 1053
+ MACR *AR4+, *AR5+0%, B, A ; 1054
+ MACR *AR4+, *AR5+0%, B, B ; 1055
+ MACR *AR4+, *AR5+0%, B ; 1056
+ MACR *AR4+0%, *AR2, A, A ; 1057
+ MACR *AR4+0%, *AR2, A, B ; 1058
+ MACR *AR4+0%, *AR2, A ; 1059
+ MACR *AR4+0%, *AR2, B, A ; 1060
+ MACR *AR4+0%, *AR2, B, B ; 1061
+ MACR *AR4+0%, *AR2, B ; 1062
+ MACR *AR4+0%, *AR2-, A, A ; 1063
+ MACR *AR4+0%, *AR2-, A, B ; 1064
+ MACR *AR4+0%, *AR2-, A ; 1065
+ MACR *AR4+0%, *AR2-, B, A ; 1066
+ MACR *AR4+0%, *AR2-, B, B ; 1067
+ MACR *AR4+0%, *AR2-, B ; 1068
+ MACR *AR4+0%, *AR2+, A, A ; 1069
+ MACR *AR4+0%, *AR2+, A, B ; 1070
+ MACR *AR4+0%, *AR2+, A ; 1071
+ MACR *AR4+0%, *AR2+, B, A ; 1072
+ MACR *AR4+0%, *AR2+, B, B ; 1073
+ MACR *AR4+0%, *AR2+, B ; 1074
+ MACR *AR4+0%, *AR2+0%, A, A ; 1075
+ MACR *AR4+0%, *AR2+0%, A, B ; 1076
+ MACR *AR4+0%, *AR2+0%, A ; 1077
+ MACR *AR4+0%, *AR2+0%, B, A ; 1078
+ MACR *AR4+0%, *AR2+0%, B, B ; 1079
+ MACR *AR4+0%, *AR2+0%, B ; 1080
+ MACR *AR4+0%, *AR3, A, A ; 1081
+ MACR *AR4+0%, *AR3, A, B ; 1082
+ MACR *AR4+0%, *AR3, A ; 1083
+ MACR *AR4+0%, *AR3, B, A ; 1084
+ MACR *AR4+0%, *AR3, B, B ; 1085
+ MACR *AR4+0%, *AR3, B ; 1086
+ MACR *AR4+0%, *AR3-, A, A ; 1087
+ MACR *AR4+0%, *AR3-, A, B ; 1088
+ MACR *AR4+0%, *AR3-, A ; 1089
+ MACR *AR4+0%, *AR3-, B, A ; 1090
+ MACR *AR4+0%, *AR3-, B, B ; 1091
+ MACR *AR4+0%, *AR3-, B ; 1092
+ MACR *AR4+0%, *AR3+, A, A ; 1093
+ MACR *AR4+0%, *AR3+, A, B ; 1094
+ MACR *AR4+0%, *AR3+, A ; 1095
+ MACR *AR4+0%, *AR3+, B, A ; 1096
+ MACR *AR4+0%, *AR3+, B, B ; 1097
+ MACR *AR4+0%, *AR3+, B ; 1098
+ MACR *AR4+0%, *AR3+0%, A, A ; 1099
+ MACR *AR4+0%, *AR3+0%, A, B ; 1100
+ MACR *AR4+0%, *AR3+0%, A ; 1101
+ MACR *AR4+0%, *AR3+0%, B, A ; 1102
+ MACR *AR4+0%, *AR3+0%, B, B ; 1103
+ MACR *AR4+0%, *AR3+0%, B ; 1104
+ MACR *AR4+0%, *AR4, A, A ; 1105
+ MACR *AR4+0%, *AR4, A, B ; 1106
+ MACR *AR4+0%, *AR4, A ; 1107
+ MACR *AR4+0%, *AR4, B, A ; 1108
+ MACR *AR4+0%, *AR4, B, B ; 1109
+ MACR *AR4+0%, *AR4, B ; 1110
+ MACR *AR4+0%, *AR4-, A, A ; 1111
+ MACR *AR4+0%, *AR4-, A, B ; 1112
+ MACR *AR4+0%, *AR4-, A ; 1113
+ MACR *AR4+0%, *AR4-, B, A ; 1114
+ MACR *AR4+0%, *AR4-, B, B ; 1115
+ MACR *AR4+0%, *AR4-, B ; 1116
+ MACR *AR4+0%, *AR4+, A, A ; 1117
+ MACR *AR4+0%, *AR4+, A, B ; 1118
+ MACR *AR4+0%, *AR4+, A ; 1119
+ MACR *AR4+0%, *AR4+, B, A ; 1120
+ MACR *AR4+0%, *AR4+, B, B ; 1121
+ MACR *AR4+0%, *AR4+, B ; 1122
+ MACR *AR4+0%, *AR4+0%, A, A ; 1123
+ MACR *AR4+0%, *AR4+0%, A, B ; 1124
+ MACR *AR4+0%, *AR4+0%, A ; 1125
+ MACR *AR4+0%, *AR4+0%, B, A ; 1126
+ MACR *AR4+0%, *AR4+0%, B, B ; 1127
+ MACR *AR4+0%, *AR4+0%, B ; 1128
+ MACR *AR4+0%, *AR5, A, A ; 1129
+ MACR *AR4+0%, *AR5, A, B ; 1130
+ MACR *AR4+0%, *AR5, A ; 1131
+ MACR *AR4+0%, *AR5, B, A ; 1132
+ MACR *AR4+0%, *AR5, B, B ; 1133
+ MACR *AR4+0%, *AR5, B ; 1134
+ MACR *AR4+0%, *AR5-, A, A ; 1135
+ MACR *AR4+0%, *AR5-, A, B ; 1136
+ MACR *AR4+0%, *AR5-, A ; 1137
+ MACR *AR4+0%, *AR5-, B, A ; 1138
+ MACR *AR4+0%, *AR5-, B, B ; 1139
+ MACR *AR4+0%, *AR5-, B ; 1140
+ MACR *AR4+0%, *AR5+, A, A ; 1141
+ MACR *AR4+0%, *AR5+, A, B ; 1142
+ MACR *AR4+0%, *AR5+, A ; 1143
+ MACR *AR4+0%, *AR5+, B, A ; 1144
+ MACR *AR4+0%, *AR5+, B, B ; 1145
+ MACR *AR4+0%, *AR5+, B ; 1146
+ MACR *AR4+0%, *AR5+0%, A, A ; 1147
+ MACR *AR4+0%, *AR5+0%, A, B ; 1148
+ MACR *AR4+0%, *AR5+0%, A ; 1149
+ MACR *AR4+0%, *AR5+0%, B, A ; 1150
+ MACR *AR4+0%, *AR5+0%, B, B ; 1151
+ MACR *AR4+0%, *AR5+0%, B ; 1152
+ MACR *AR5, *AR2, A, A ; 1153
+ MACR *AR5, *AR2, A, B ; 1154
+ MACR *AR5, *AR2, A ; 1155
+ MACR *AR5, *AR2, B, A ; 1156
+ MACR *AR5, *AR2, B, B ; 1157
+ MACR *AR5, *AR2, B ; 1158
+ MACR *AR5, *AR2-, A, A ; 1159
+ MACR *AR5, *AR2-, A, B ; 1160
+ MACR *AR5, *AR2-, A ; 1161
+ MACR *AR5, *AR2-, B, A ; 1162
+ MACR *AR5, *AR2-, B, B ; 1163
+ MACR *AR5, *AR2-, B ; 1164
+ MACR *AR5, *AR2+, A, A ; 1165
+ MACR *AR5, *AR2+, A, B ; 1166
+ MACR *AR5, *AR2+, A ; 1167
+ MACR *AR5, *AR2+, B, A ; 1168
+ MACR *AR5, *AR2+, B, B ; 1169
+ MACR *AR5, *AR2+, B ; 1170
+ MACR *AR5, *AR2+0%, A, A ; 1171
+ MACR *AR5, *AR2+0%, A, B ; 1172
+ MACR *AR5, *AR2+0%, A ; 1173
+ MACR *AR5, *AR2+0%, B, A ; 1174
+ MACR *AR5, *AR2+0%, B, B ; 1175
+ MACR *AR5, *AR2+0%, B ; 1176
+ MACR *AR5, *AR3, A, A ; 1177
+ MACR *AR5, *AR3, A, B ; 1178
+ MACR *AR5, *AR3, A ; 1179
+ MACR *AR5, *AR3, B, A ; 1180
+ MACR *AR5, *AR3, B, B ; 1181
+ MACR *AR5, *AR3, B ; 1182
+ MACR *AR5, *AR3-, A, A ; 1183
+ MACR *AR5, *AR3-, A, B ; 1184
+ MACR *AR5, *AR3-, A ; 1185
+ MACR *AR5, *AR3-, B, A ; 1186
+ MACR *AR5, *AR3-, B, B ; 1187
+ MACR *AR5, *AR3-, B ; 1188
+ MACR *AR5, *AR3+, A, A ; 1189
+ MACR *AR5, *AR3+, A, B ; 1190
+ MACR *AR5, *AR3+, A ; 1191
+ MACR *AR5, *AR3+, B, A ; 1192
+ MACR *AR5, *AR3+, B, B ; 1193
+ MACR *AR5, *AR3+, B ; 1194
+ MACR *AR5, *AR3+0%, A, A ; 1195
+ MACR *AR5, *AR3+0%, A, B ; 1196
+ MACR *AR5, *AR3+0%, A ; 1197
+ MACR *AR5, *AR3+0%, B, A ; 1198
+ MACR *AR5, *AR3+0%, B, B ; 1199
+ MACR *AR5, *AR3+0%, B ; 1200
+ MACR *AR5, *AR4, A, A ; 1201
+ MACR *AR5, *AR4, A, B ; 1202
+ MACR *AR5, *AR4, A ; 1203
+ MACR *AR5, *AR4, B, A ; 1204
+ MACR *AR5, *AR4, B, B ; 1205
+ MACR *AR5, *AR4, B ; 1206
+ MACR *AR5, *AR4-, A, A ; 1207
+ MACR *AR5, *AR4-, A, B ; 1208
+ MACR *AR5, *AR4-, A ; 1209
+ MACR *AR5, *AR4-, B, A ; 1210
+ MACR *AR5, *AR4-, B, B ; 1211
+ MACR *AR5, *AR4-, B ; 1212
+ MACR *AR5, *AR4+, A, A ; 1213
+ MACR *AR5, *AR4+, A, B ; 1214
+ MACR *AR5, *AR4+, A ; 1215
+ MACR *AR5, *AR4+, B, A ; 1216
+ MACR *AR5, *AR4+, B, B ; 1217
+ MACR *AR5, *AR4+, B ; 1218
+ MACR *AR5, *AR4+0%, A, A ; 1219
+ MACR *AR5, *AR4+0%, A, B ; 1220
+ MACR *AR5, *AR4+0%, A ; 1221
+ MACR *AR5, *AR4+0%, B, A ; 1222
+ MACR *AR5, *AR4+0%, B, B ; 1223
+ MACR *AR5, *AR4+0%, B ; 1224
+ MACR *AR5, *AR5, A, A ; 1225
+ MACR *AR5, *AR5, A, B ; 1226
+ MACR *AR5, *AR5, A ; 1227
+ MACR *AR5, *AR5, B, A ; 1228
+ MACR *AR5, *AR5, B, B ; 1229
+ MACR *AR5, *AR5, B ; 1230
+ MACR *AR5, *AR5-, A, A ; 1231
+ MACR *AR5, *AR5-, A, B ; 1232
+ MACR *AR5, *AR5-, A ; 1233
+ MACR *AR5, *AR5-, B, A ; 1234
+ MACR *AR5, *AR5-, B, B ; 1235
+ MACR *AR5, *AR5-, B ; 1236
+ MACR *AR5, *AR5+, A, A ; 1237
+ MACR *AR5, *AR5+, A, B ; 1238
+ MACR *AR5, *AR5+, A ; 1239
+ MACR *AR5, *AR5+, B, A ; 1240
+ MACR *AR5, *AR5+, B, B ; 1241
+ MACR *AR5, *AR5+, B ; 1242
+ MACR *AR5, *AR5+0%, A, A ; 1243
+ MACR *AR5, *AR5+0%, A, B ; 1244
+ MACR *AR5, *AR5+0%, A ; 1245
+ MACR *AR5, *AR5+0%, B, A ; 1246
+ MACR *AR5, *AR5+0%, B, B ; 1247
+ MACR *AR5, *AR5+0%, B ; 1248
+ MACR *AR5-, *AR2, A, A ; 1249
+ MACR *AR5-, *AR2, A, B ; 1250
+ MACR *AR5-, *AR2, A ; 1251
+ MACR *AR5-, *AR2, B, A ; 1252
+ MACR *AR5-, *AR2, B, B ; 1253
+ MACR *AR5-, *AR2, B ; 1254
+ MACR *AR5-, *AR2-, A, A ; 1255
+ MACR *AR5-, *AR2-, A, B ; 1256
+ MACR *AR5-, *AR2-, A ; 1257
+ MACR *AR5-, *AR2-, B, A ; 1258
+ MACR *AR5-, *AR2-, B, B ; 1259
+ MACR *AR5-, *AR2-, B ; 1260
+ MACR *AR5-, *AR2+, A, A ; 1261
+ MACR *AR5-, *AR2+, A, B ; 1262
+ MACR *AR5-, *AR2+, A ; 1263
+ MACR *AR5-, *AR2+, B, A ; 1264
+ MACR *AR5-, *AR2+, B, B ; 1265
+ MACR *AR5-, *AR2+, B ; 1266
+ MACR *AR5-, *AR2+0%, A, A ; 1267
+ MACR *AR5-, *AR2+0%, A, B ; 1268
+ MACR *AR5-, *AR2+0%, A ; 1269
+ MACR *AR5-, *AR2+0%, B, A ; 1270
+ MACR *AR5-, *AR2+0%, B, B ; 1271
+ MACR *AR5-, *AR2+0%, B ; 1272
+ MACR *AR5-, *AR3, A, A ; 1273
+ MACR *AR5-, *AR3, A, B ; 1274
+ MACR *AR5-, *AR3, A ; 1275
+ MACR *AR5-, *AR3, B, A ; 1276
+ MACR *AR5-, *AR3, B, B ; 1277
+ MACR *AR5-, *AR3, B ; 1278
+ MACR *AR5-, *AR3-, A, A ; 1279
+ MACR *AR5-, *AR3-, A, B ; 1280
+ MACR *AR5-, *AR3-, A ; 1281
+ MACR *AR5-, *AR3-, B, A ; 1282
+ MACR *AR5-, *AR3-, B, B ; 1283
+ MACR *AR5-, *AR3-, B ; 1284
+ MACR *AR5-, *AR3+, A, A ; 1285
+ MACR *AR5-, *AR3+, A, B ; 1286
+ MACR *AR5-, *AR3+, A ; 1287
+ MACR *AR5-, *AR3+, B, A ; 1288
+ MACR *AR5-, *AR3+, B, B ; 1289
+ MACR *AR5-, *AR3+, B ; 1290
+ MACR *AR5-, *AR3+0%, A, A ; 1291
+ MACR *AR5-, *AR3+0%, A, B ; 1292
+ MACR *AR5-, *AR3+0%, A ; 1293
+ MACR *AR5-, *AR3+0%, B, A ; 1294
+ MACR *AR5-, *AR3+0%, B, B ; 1295
+ MACR *AR5-, *AR3+0%, B ; 1296
+ MACR *AR5-, *AR4, A, A ; 1297
+ MACR *AR5-, *AR4, A, B ; 1298
+ MACR *AR5-, *AR4, A ; 1299
+ MACR *AR5-, *AR4, B, A ; 1300
+ MACR *AR5-, *AR4, B, B ; 1301
+ MACR *AR5-, *AR4, B ; 1302
+ MACR *AR5-, *AR4-, A, A ; 1303
+ MACR *AR5-, *AR4-, A, B ; 1304
+ MACR *AR5-, *AR4-, A ; 1305
+ MACR *AR5-, *AR4-, B, A ; 1306
+ MACR *AR5-, *AR4-, B, B ; 1307
+ MACR *AR5-, *AR4-, B ; 1308
+ MACR *AR5-, *AR4+, A, A ; 1309
+ MACR *AR5-, *AR4+, A, B ; 1310
+ MACR *AR5-, *AR4+, A ; 1311
+ MACR *AR5-, *AR4+, B, A ; 1312
+ MACR *AR5-, *AR4+, B, B ; 1313
+ MACR *AR5-, *AR4+, B ; 1314
+ MACR *AR5-, *AR4+0%, A, A ; 1315
+ MACR *AR5-, *AR4+0%, A, B ; 1316
+ MACR *AR5-, *AR4+0%, A ; 1317
+ MACR *AR5-, *AR4+0%, B, A ; 1318
+ MACR *AR5-, *AR4+0%, B, B ; 1319
+ MACR *AR5-, *AR4+0%, B ; 1320
+ MACR *AR5-, *AR5, A, A ; 1321
+ MACR *AR5-, *AR5, A, B ; 1322
+ MACR *AR5-, *AR5, A ; 1323
+ MACR *AR5-, *AR5, B, A ; 1324
+ MACR *AR5-, *AR5, B, B ; 1325
+ MACR *AR5-, *AR5, B ; 1326
+ MACR *AR5-, *AR5-, A, A ; 1327
+ MACR *AR5-, *AR5-, A, B ; 1328
+ MACR *AR5-, *AR5-, A ; 1329
+ MACR *AR5-, *AR5-, B, A ; 1330
+ MACR *AR5-, *AR5-, B, B ; 1331
+ MACR *AR5-, *AR5-, B ; 1332
+ MACR *AR5-, *AR5+, A, A ; 1333
+ MACR *AR5-, *AR5+, A, B ; 1334
+ MACR *AR5-, *AR5+, A ; 1335
+ MACR *AR5-, *AR5+, B, A ; 1336
+ MACR *AR5-, *AR5+, B, B ; 1337
+ MACR *AR5-, *AR5+, B ; 1338
+ MACR *AR5-, *AR5+0%, A, A ; 1339
+ MACR *AR5-, *AR5+0%, A, B ; 1340
+ MACR *AR5-, *AR5+0%, A ; 1341
+ MACR *AR5-, *AR5+0%, B, A ; 1342
+ MACR *AR5-, *AR5+0%, B, B ; 1343
+ MACR *AR5-, *AR5+0%, B ; 1344
+ MACR *AR5+, *AR2, A, A ; 1345
+ MACR *AR5+, *AR2, A, B ; 1346
+ MACR *AR5+, *AR2, A ; 1347
+ MACR *AR5+, *AR2, B, A ; 1348
+ MACR *AR5+, *AR2, B, B ; 1349
+ MACR *AR5+, *AR2, B ; 1350
+ MACR *AR5+, *AR2-, A, A ; 1351
+ MACR *AR5+, *AR2-, A, B ; 1352
+ MACR *AR5+, *AR2-, A ; 1353
+ MACR *AR5+, *AR2-, B, A ; 1354
+ MACR *AR5+, *AR2-, B, B ; 1355
+ MACR *AR5+, *AR2-, B ; 1356
+ MACR *AR5+, *AR2+, A, A ; 1357
+ MACR *AR5+, *AR2+, A, B ; 1358
+ MACR *AR5+, *AR2+, A ; 1359
+ MACR *AR5+, *AR2+, B, A ; 1360
+ MACR *AR5+, *AR2+, B, B ; 1361
+ MACR *AR5+, *AR2+, B ; 1362
+ MACR *AR5+, *AR2+0%, A, A ; 1363
+ MACR *AR5+, *AR2+0%, A, B ; 1364
+ MACR *AR5+, *AR2+0%, A ; 1365
+ MACR *AR5+, *AR2+0%, B, A ; 1366
+ MACR *AR5+, *AR2+0%, B, B ; 1367
+ MACR *AR5+, *AR2+0%, B ; 1368
+ MACR *AR5+, *AR3, A, A ; 1369
+ MACR *AR5+, *AR3, A, B ; 1370
+ MACR *AR5+, *AR3, A ; 1371
+ MACR *AR5+, *AR3, B, A ; 1372
+ MACR *AR5+, *AR3, B, B ; 1373
+ MACR *AR5+, *AR3, B ; 1374
+ MACR *AR5+, *AR3-, A, A ; 1375
+ MACR *AR5+, *AR3-, A, B ; 1376
+ MACR *AR5+, *AR3-, A ; 1377
+ MACR *AR5+, *AR3-, B, A ; 1378
+ MACR *AR5+, *AR3-, B, B ; 1379
+ MACR *AR5+, *AR3-, B ; 1380
+ MACR *AR5+, *AR3+, A, A ; 1381
+ MACR *AR5+, *AR3+, A, B ; 1382
+ MACR *AR5+, *AR3+, A ; 1383
+ MACR *AR5+, *AR3+, B, A ; 1384
+ MACR *AR5+, *AR3+, B, B ; 1385
+ MACR *AR5+, *AR3+, B ; 1386
+ MACR *AR5+, *AR3+0%, A, A ; 1387
+ MACR *AR5+, *AR3+0%, A, B ; 1388
+ MACR *AR5+, *AR3+0%, A ; 1389
+ MACR *AR5+, *AR3+0%, B, A ; 1390
+ MACR *AR5+, *AR3+0%, B, B ; 1391
+ MACR *AR5+, *AR3+0%, B ; 1392
+ MACR *AR5+, *AR4, A, A ; 1393
+ MACR *AR5+, *AR4, A, B ; 1394
+ MACR *AR5+, *AR4, A ; 1395
+ MACR *AR5+, *AR4, B, A ; 1396
+ MACR *AR5+, *AR4, B, B ; 1397
+ MACR *AR5+, *AR4, B ; 1398
+ MACR *AR5+, *AR4-, A, A ; 1399
+ MACR *AR5+, *AR4-, A, B ; 1400
+ MACR *AR5+, *AR4-, A ; 1401
+ MACR *AR5+, *AR4-, B, A ; 1402
+ MACR *AR5+, *AR4-, B, B ; 1403
+ MACR *AR5+, *AR4-, B ; 1404
+ MACR *AR5+, *AR4+, A, A ; 1405
+ MACR *AR5+, *AR4+, A, B ; 1406
+ MACR *AR5+, *AR4+, A ; 1407
+ MACR *AR5+, *AR4+, B, A ; 1408
+ MACR *AR5+, *AR4+, B, B ; 1409
+ MACR *AR5+, *AR4+, B ; 1410
+ MACR *AR5+, *AR4+0%, A, A ; 1411
+ MACR *AR5+, *AR4+0%, A, B ; 1412
+ MACR *AR5+, *AR4+0%, A ; 1413
+ MACR *AR5+, *AR4+0%, B, A ; 1414
+ MACR *AR5+, *AR4+0%, B, B ; 1415
+ MACR *AR5+, *AR4+0%, B ; 1416
+ MACR *AR5+, *AR5, A, A ; 1417
+ MACR *AR5+, *AR5, A, B ; 1418
+ MACR *AR5+, *AR5, A ; 1419
+ MACR *AR5+, *AR5, B, A ; 1420
+ MACR *AR5+, *AR5, B, B ; 1421
+ MACR *AR5+, *AR5, B ; 1422
+ MACR *AR5+, *AR5-, A, A ; 1423
+ MACR *AR5+, *AR5-, A, B ; 1424
+ MACR *AR5+, *AR5-, A ; 1425
+ MACR *AR5+, *AR5-, B, A ; 1426
+ MACR *AR5+, *AR5-, B, B ; 1427
+ MACR *AR5+, *AR5-, B ; 1428
+ MACR *AR5+, *AR5+, A, A ; 1429
+ MACR *AR5+, *AR5+, A, B ; 1430
+ MACR *AR5+, *AR5+, A ; 1431
+ MACR *AR5+, *AR5+, B, A ; 1432
+ MACR *AR5+, *AR5+, B, B ; 1433
+ MACR *AR5+, *AR5+, B ; 1434
+ MACR *AR5+, *AR5+0%, A, A ; 1435
+ MACR *AR5+, *AR5+0%, A, B ; 1436
+ MACR *AR5+, *AR5+0%, A ; 1437
+ MACR *AR5+, *AR5+0%, B, A ; 1438
+ MACR *AR5+, *AR5+0%, B, B ; 1439
+ MACR *AR5+, *AR5+0%, B ; 1440
+ MACR *AR5+0%, *AR2, A, A ; 1441
+ MACR *AR5+0%, *AR2, A, B ; 1442
+ MACR *AR5+0%, *AR2, A ; 1443
+ MACR *AR5+0%, *AR2, B, A ; 1444
+ MACR *AR5+0%, *AR2, B, B ; 1445
+ MACR *AR5+0%, *AR2, B ; 1446
+ MACR *AR5+0%, *AR2-, A, A ; 1447
+ MACR *AR5+0%, *AR2-, A, B ; 1448
+ MACR *AR5+0%, *AR2-, A ; 1449
+ MACR *AR5+0%, *AR2-, B, A ; 1450
+ MACR *AR5+0%, *AR2-, B, B ; 1451
+ MACR *AR5+0%, *AR2-, B ; 1452
+ MACR *AR5+0%, *AR2+, A, A ; 1453
+ MACR *AR5+0%, *AR2+, A, B ; 1454
+ MACR *AR5+0%, *AR2+, A ; 1455
+ MACR *AR5+0%, *AR2+, B, A ; 1456
+ MACR *AR5+0%, *AR2+, B, B ; 1457
+ MACR *AR5+0%, *AR2+, B ; 1458
+ MACR *AR5+0%, *AR2+0%, A, A ; 1459
+ MACR *AR5+0%, *AR2+0%, A, B ; 1460
+ MACR *AR5+0%, *AR2+0%, A ; 1461
+ MACR *AR5+0%, *AR2+0%, B, A ; 1462
+ MACR *AR5+0%, *AR2+0%, B, B ; 1463
+ MACR *AR5+0%, *AR2+0%, B ; 1464
+ MACR *AR5+0%, *AR3, A, A ; 1465
+ MACR *AR5+0%, *AR3, A, B ; 1466
+ MACR *AR5+0%, *AR3, A ; 1467
+ MACR *AR5+0%, *AR3, B, A ; 1468
+ MACR *AR5+0%, *AR3, B, B ; 1469
+ MACR *AR5+0%, *AR3, B ; 1470
+ MACR *AR5+0%, *AR3-, A, A ; 1471
+ MACR *AR5+0%, *AR3-, A, B ; 1472
+ MACR *AR5+0%, *AR3-, A ; 1473
+ MACR *AR5+0%, *AR3-, B, A ; 1474
+ MACR *AR5+0%, *AR3-, B, B ; 1475
+ MACR *AR5+0%, *AR3-, B ; 1476
+ MACR *AR5+0%, *AR3+, A, A ; 1477
+ MACR *AR5+0%, *AR3+, A, B ; 1478
+ MACR *AR5+0%, *AR3+, A ; 1479
+ MACR *AR5+0%, *AR3+, B, A ; 1480
+ MACR *AR5+0%, *AR3+, B, B ; 1481
+ MACR *AR5+0%, *AR3+, B ; 1482
+ MACR *AR5+0%, *AR3+0%, A, A ; 1483
+ MACR *AR5+0%, *AR3+0%, A, B ; 1484
+ MACR *AR5+0%, *AR3+0%, A ; 1485
+ MACR *AR5+0%, *AR3+0%, B, A ; 1486
+ MACR *AR5+0%, *AR3+0%, B, B ; 1487
+ MACR *AR5+0%, *AR3+0%, B ; 1488
+ MACR *AR5+0%, *AR4, A, A ; 1489
+ MACR *AR5+0%, *AR4, A, B ; 1490
+ MACR *AR5+0%, *AR4, A ; 1491
+ MACR *AR5+0%, *AR4, B, A ; 1492
+ MACR *AR5+0%, *AR4, B, B ; 1493
+ MACR *AR5+0%, *AR4, B ; 1494
+ MACR *AR5+0%, *AR4-, A, A ; 1495
+ MACR *AR5+0%, *AR4-, A, B ; 1496
+ MACR *AR5+0%, *AR4-, A ; 1497
+ MACR *AR5+0%, *AR4-, B, A ; 1498
+ MACR *AR5+0%, *AR4-, B, B ; 1499
+ MACR *AR5+0%, *AR4-, B ; 1500
+ MACR *AR5+0%, *AR4+, A, A ; 1501
+ MACR *AR5+0%, *AR4+, A, B ; 1502
+ MACR *AR5+0%, *AR4+, A ; 1503
+ MACR *AR5+0%, *AR4+, B, A ; 1504
+ MACR *AR5+0%, *AR4+, B, B ; 1505
+ MACR *AR5+0%, *AR4+, B ; 1506
+ MACR *AR5+0%, *AR4+0%, A, A ; 1507
+ MACR *AR5+0%, *AR4+0%, A, B ; 1508
+ MACR *AR5+0%, *AR4+0%, A ; 1509
+ MACR *AR5+0%, *AR4+0%, B, A ; 1510
+ MACR *AR5+0%, *AR4+0%, B, B ; 1511
+ MACR *AR5+0%, *AR4+0%, B ; 1512
+ MACR *AR5+0%, *AR5, A, A ; 1513
+ MACR *AR5+0%, *AR5, A, B ; 1514
+ MACR *AR5+0%, *AR5, A ; 1515
+ MACR *AR5+0%, *AR5, B, A ; 1516
+ MACR *AR5+0%, *AR5, B, B ; 1517
+ MACR *AR5+0%, *AR5, B ; 1518
+ MACR *AR5+0%, *AR5-, A, A ; 1519
+ MACR *AR5+0%, *AR5-, A, B ; 1520
+ MACR *AR5+0%, *AR5-, A ; 1521
+ MACR *AR5+0%, *AR5-, B, A ; 1522
+ MACR *AR5+0%, *AR5-, B, B ; 1523
+ MACR *AR5+0%, *AR5-, B ; 1524
+ MACR *AR5+0%, *AR5+, A, A ; 1525
+ MACR *AR5+0%, *AR5+, A, B ; 1526
+ MACR *AR5+0%, *AR5+, A ; 1527
+ MACR *AR5+0%, *AR5+, B, A ; 1528
+ MACR *AR5+0%, *AR5+, B, B ; 1529
+ MACR *AR5+0%, *AR5+, B ; 1530
+ MACR *AR5+0%, *AR5+0%, A, A ; 1531
+ MACR *AR5+0%, *AR5+0%, A, B ; 1532
+ MACR *AR5+0%, *AR5+0%, A ; 1533
+ MACR *AR5+0%, *AR5+0%, B, A ; 1534
+ MACR *AR5+0%, *AR5+0%, B, B ; 1535
+ MACR *AR5+0%, *AR5+0%, B ; 1536
+ ; MACSU : MACSU Xmem, Ymem, AB : 512
+ MACSU *AR2, *AR2, A ; 1
+ MACSU *AR2, *AR2, B ; 2
+ MACSU *AR2, *AR2-, A ; 3
+ MACSU *AR2, *AR2-, B ; 4
+ MACSU *AR2, *AR2+, A ; 5
+ MACSU *AR2, *AR2+, B ; 6
+ MACSU *AR2, *AR2+0%, A ; 7
+ MACSU *AR2, *AR2+0%, B ; 8
+ MACSU *AR2, *AR3, A ; 9
+ MACSU *AR2, *AR3, B ; 10
+ MACSU *AR2, *AR3-, A ; 11
+ MACSU *AR2, *AR3-, B ; 12
+ MACSU *AR2, *AR3+, A ; 13
+ MACSU *AR2, *AR3+, B ; 14
+ MACSU *AR2, *AR3+0%, A ; 15
+ MACSU *AR2, *AR3+0%, B ; 16
+ MACSU *AR2, *AR4, A ; 17
+ MACSU *AR2, *AR4, B ; 18
+ MACSU *AR2, *AR4-, A ; 19
+ MACSU *AR2, *AR4-, B ; 20
+ MACSU *AR2, *AR4+, A ; 21
+ MACSU *AR2, *AR4+, B ; 22
+ MACSU *AR2, *AR4+0%, A ; 23
+ MACSU *AR2, *AR4+0%, B ; 24
+ MACSU *AR2, *AR5, A ; 25
+ MACSU *AR2, *AR5, B ; 26
+ MACSU *AR2, *AR5-, A ; 27
+ MACSU *AR2, *AR5-, B ; 28
+ MACSU *AR2, *AR5+, A ; 29
+ MACSU *AR2, *AR5+, B ; 30
+ MACSU *AR2, *AR5+0%, A ; 31
+ MACSU *AR2, *AR5+0%, B ; 32
+ MACSU *AR2-, *AR2, A ; 33
+ MACSU *AR2-, *AR2, B ; 34
+ MACSU *AR2-, *AR2-, A ; 35
+ MACSU *AR2-, *AR2-, B ; 36
+ MACSU *AR2-, *AR2+, A ; 37
+ MACSU *AR2-, *AR2+, B ; 38
+ MACSU *AR2-, *AR2+0%, A ; 39
+ MACSU *AR2-, *AR2+0%, B ; 40
+ MACSU *AR2-, *AR3, A ; 41
+ MACSU *AR2-, *AR3, B ; 42
+ MACSU *AR2-, *AR3-, A ; 43
+ MACSU *AR2-, *AR3-, B ; 44
+ MACSU *AR2-, *AR3+, A ; 45
+ MACSU *AR2-, *AR3+, B ; 46
+ MACSU *AR2-, *AR3+0%, A ; 47
+ MACSU *AR2-, *AR3+0%, B ; 48
+ MACSU *AR2-, *AR4, A ; 49
+ MACSU *AR2-, *AR4, B ; 50
+ MACSU *AR2-, *AR4-, A ; 51
+ MACSU *AR2-, *AR4-, B ; 52
+ MACSU *AR2-, *AR4+, A ; 53
+ MACSU *AR2-, *AR4+, B ; 54
+ MACSU *AR2-, *AR4+0%, A ; 55
+ MACSU *AR2-, *AR4+0%, B ; 56
+ MACSU *AR2-, *AR5, A ; 57
+ MACSU *AR2-, *AR5, B ; 58
+ MACSU *AR2-, *AR5-, A ; 59
+ MACSU *AR2-, *AR5-, B ; 60
+ MACSU *AR2-, *AR5+, A ; 61
+ MACSU *AR2-, *AR5+, B ; 62
+ MACSU *AR2-, *AR5+0%, A ; 63
+ MACSU *AR2-, *AR5+0%, B ; 64
+ MACSU *AR2+, *AR2, A ; 65
+ MACSU *AR2+, *AR2, B ; 66
+ MACSU *AR2+, *AR2-, A ; 67
+ MACSU *AR2+, *AR2-, B ; 68
+ MACSU *AR2+, *AR2+, A ; 69
+ MACSU *AR2+, *AR2+, B ; 70
+ MACSU *AR2+, *AR2+0%, A ; 71
+ MACSU *AR2+, *AR2+0%, B ; 72
+ MACSU *AR2+, *AR3, A ; 73
+ MACSU *AR2+, *AR3, B ; 74
+ MACSU *AR2+, *AR3-, A ; 75
+ MACSU *AR2+, *AR3-, B ; 76
+ MACSU *AR2+, *AR3+, A ; 77
+ MACSU *AR2+, *AR3+, B ; 78
+ MACSU *AR2+, *AR3+0%, A ; 79
+ MACSU *AR2+, *AR3+0%, B ; 80
+ MACSU *AR2+, *AR4, A ; 81
+ MACSU *AR2+, *AR4, B ; 82
+ MACSU *AR2+, *AR4-, A ; 83
+ MACSU *AR2+, *AR4-, B ; 84
+ MACSU *AR2+, *AR4+, A ; 85
+ MACSU *AR2+, *AR4+, B ; 86
+ MACSU *AR2+, *AR4+0%, A ; 87
+ MACSU *AR2+, *AR4+0%, B ; 88
+ MACSU *AR2+, *AR5, A ; 89
+ MACSU *AR2+, *AR5, B ; 90
+ MACSU *AR2+, *AR5-, A ; 91
+ MACSU *AR2+, *AR5-, B ; 92
+ MACSU *AR2+, *AR5+, A ; 93
+ MACSU *AR2+, *AR5+, B ; 94
+ MACSU *AR2+, *AR5+0%, A ; 95
+ MACSU *AR2+, *AR5+0%, B ; 96
+ MACSU *AR2+0%, *AR2, A ; 97
+ MACSU *AR2+0%, *AR2, B ; 98
+ MACSU *AR2+0%, *AR2-, A ; 99
+ MACSU *AR2+0%, *AR2-, B ; 100
+ MACSU *AR2+0%, *AR2+, A ; 101
+ MACSU *AR2+0%, *AR2+, B ; 102
+ MACSU *AR2+0%, *AR2+0%, A ; 103
+ MACSU *AR2+0%, *AR2+0%, B ; 104
+ MACSU *AR2+0%, *AR3, A ; 105
+ MACSU *AR2+0%, *AR3, B ; 106
+ MACSU *AR2+0%, *AR3-, A ; 107
+ MACSU *AR2+0%, *AR3-, B ; 108
+ MACSU *AR2+0%, *AR3+, A ; 109
+ MACSU *AR2+0%, *AR3+, B ; 110
+ MACSU *AR2+0%, *AR3+0%, A ; 111
+ MACSU *AR2+0%, *AR3+0%, B ; 112
+ MACSU *AR2+0%, *AR4, A ; 113
+ MACSU *AR2+0%, *AR4, B ; 114
+ MACSU *AR2+0%, *AR4-, A ; 115
+ MACSU *AR2+0%, *AR4-, B ; 116
+ MACSU *AR2+0%, *AR4+, A ; 117
+ MACSU *AR2+0%, *AR4+, B ; 118
+ MACSU *AR2+0%, *AR4+0%, A ; 119
+ MACSU *AR2+0%, *AR4+0%, B ; 120
+ MACSU *AR2+0%, *AR5, A ; 121
+ MACSU *AR2+0%, *AR5, B ; 122
+ MACSU *AR2+0%, *AR5-, A ; 123
+ MACSU *AR2+0%, *AR5-, B ; 124
+ MACSU *AR2+0%, *AR5+, A ; 125
+ MACSU *AR2+0%, *AR5+, B ; 126
+ MACSU *AR2+0%, *AR5+0%, A ; 127
+ MACSU *AR2+0%, *AR5+0%, B ; 128
+ MACSU *AR3, *AR2, A ; 129
+ MACSU *AR3, *AR2, B ; 130
+ MACSU *AR3, *AR2-, A ; 131
+ MACSU *AR3, *AR2-, B ; 132
+ MACSU *AR3, *AR2+, A ; 133
+ MACSU *AR3, *AR2+, B ; 134
+ MACSU *AR3, *AR2+0%, A ; 135
+ MACSU *AR3, *AR2+0%, B ; 136
+ MACSU *AR3, *AR3, A ; 137
+ MACSU *AR3, *AR3, B ; 138
+ MACSU *AR3, *AR3-, A ; 139
+ MACSU *AR3, *AR3-, B ; 140
+ MACSU *AR3, *AR3+, A ; 141
+ MACSU *AR3, *AR3+, B ; 142
+ MACSU *AR3, *AR3+0%, A ; 143
+ MACSU *AR3, *AR3+0%, B ; 144
+ MACSU *AR3, *AR4, A ; 145
+ MACSU *AR3, *AR4, B ; 146
+ MACSU *AR3, *AR4-, A ; 147
+ MACSU *AR3, *AR4-, B ; 148
+ MACSU *AR3, *AR4+, A ; 149
+ MACSU *AR3, *AR4+, B ; 150
+ MACSU *AR3, *AR4+0%, A ; 151
+ MACSU *AR3, *AR4+0%, B ; 152
+ MACSU *AR3, *AR5, A ; 153
+ MACSU *AR3, *AR5, B ; 154
+ MACSU *AR3, *AR5-, A ; 155
+ MACSU *AR3, *AR5-, B ; 156
+ MACSU *AR3, *AR5+, A ; 157
+ MACSU *AR3, *AR5+, B ; 158
+ MACSU *AR3, *AR5+0%, A ; 159
+ MACSU *AR3, *AR5+0%, B ; 160
+ MACSU *AR3-, *AR2, A ; 161
+ MACSU *AR3-, *AR2, B ; 162
+ MACSU *AR3-, *AR2-, A ; 163
+ MACSU *AR3-, *AR2-, B ; 164
+ MACSU *AR3-, *AR2+, A ; 165
+ MACSU *AR3-, *AR2+, B ; 166
+ MACSU *AR3-, *AR2+0%, A ; 167
+ MACSU *AR3-, *AR2+0%, B ; 168
+ MACSU *AR3-, *AR3, A ; 169
+ MACSU *AR3-, *AR3, B ; 170
+ MACSU *AR3-, *AR3-, A ; 171
+ MACSU *AR3-, *AR3-, B ; 172
+ MACSU *AR3-, *AR3+, A ; 173
+ MACSU *AR3-, *AR3+, B ; 174
+ MACSU *AR3-, *AR3+0%, A ; 175
+ MACSU *AR3-, *AR3+0%, B ; 176
+ MACSU *AR3-, *AR4, A ; 177
+ MACSU *AR3-, *AR4, B ; 178
+ MACSU *AR3-, *AR4-, A ; 179
+ MACSU *AR3-, *AR4-, B ; 180
+ MACSU *AR3-, *AR4+, A ; 181
+ MACSU *AR3-, *AR4+, B ; 182
+ MACSU *AR3-, *AR4+0%, A ; 183
+ MACSU *AR3-, *AR4+0%, B ; 184
+ MACSU *AR3-, *AR5, A ; 185
+ MACSU *AR3-, *AR5, B ; 186
+ MACSU *AR3-, *AR5-, A ; 187
+ MACSU *AR3-, *AR5-, B ; 188
+ MACSU *AR3-, *AR5+, A ; 189
+ MACSU *AR3-, *AR5+, B ; 190
+ MACSU *AR3-, *AR5+0%, A ; 191
+ MACSU *AR3-, *AR5+0%, B ; 192
+ MACSU *AR3+, *AR2, A ; 193
+ MACSU *AR3+, *AR2, B ; 194
+ MACSU *AR3+, *AR2-, A ; 195
+ MACSU *AR3+, *AR2-, B ; 196
+ MACSU *AR3+, *AR2+, A ; 197
+ MACSU *AR3+, *AR2+, B ; 198
+ MACSU *AR3+, *AR2+0%, A ; 199
+ MACSU *AR3+, *AR2+0%, B ; 200
+ MACSU *AR3+, *AR3, A ; 201
+ MACSU *AR3+, *AR3, B ; 202
+ MACSU *AR3+, *AR3-, A ; 203
+ MACSU *AR3+, *AR3-, B ; 204
+ MACSU *AR3+, *AR3+, A ; 205
+ MACSU *AR3+, *AR3+, B ; 206
+ MACSU *AR3+, *AR3+0%, A ; 207
+ MACSU *AR3+, *AR3+0%, B ; 208
+ MACSU *AR3+, *AR4, A ; 209
+ MACSU *AR3+, *AR4, B ; 210
+ MACSU *AR3+, *AR4-, A ; 211
+ MACSU *AR3+, *AR4-, B ; 212
+ MACSU *AR3+, *AR4+, A ; 213
+ MACSU *AR3+, *AR4+, B ; 214
+ MACSU *AR3+, *AR4+0%, A ; 215
+ MACSU *AR3+, *AR4+0%, B ; 216
+ MACSU *AR3+, *AR5, A ; 217
+ MACSU *AR3+, *AR5, B ; 218
+ MACSU *AR3+, *AR5-, A ; 219
+ MACSU *AR3+, *AR5-, B ; 220
+ MACSU *AR3+, *AR5+, A ; 221
+ MACSU *AR3+, *AR5+, B ; 222
+ MACSU *AR3+, *AR5+0%, A ; 223
+ MACSU *AR3+, *AR5+0%, B ; 224
+ MACSU *AR3+0%, *AR2, A ; 225
+ MACSU *AR3+0%, *AR2, B ; 226
+ MACSU *AR3+0%, *AR2-, A ; 227
+ MACSU *AR3+0%, *AR2-, B ; 228
+ MACSU *AR3+0%, *AR2+, A ; 229
+ MACSU *AR3+0%, *AR2+, B ; 230
+ MACSU *AR3+0%, *AR2+0%, A ; 231
+ MACSU *AR3+0%, *AR2+0%, B ; 232
+ MACSU *AR3+0%, *AR3, A ; 233
+ MACSU *AR3+0%, *AR3, B ; 234
+ MACSU *AR3+0%, *AR3-, A ; 235
+ MACSU *AR3+0%, *AR3-, B ; 236
+ MACSU *AR3+0%, *AR3+, A ; 237
+ MACSU *AR3+0%, *AR3+, B ; 238
+ MACSU *AR3+0%, *AR3+0%, A ; 239
+ MACSU *AR3+0%, *AR3+0%, B ; 240
+ MACSU *AR3+0%, *AR4, A ; 241
+ MACSU *AR3+0%, *AR4, B ; 242
+ MACSU *AR3+0%, *AR4-, A ; 243
+ MACSU *AR3+0%, *AR4-, B ; 244
+ MACSU *AR3+0%, *AR4+, A ; 245
+ MACSU *AR3+0%, *AR4+, B ; 246
+ MACSU *AR3+0%, *AR4+0%, A ; 247
+ MACSU *AR3+0%, *AR4+0%, B ; 248
+ MACSU *AR3+0%, *AR5, A ; 249
+ MACSU *AR3+0%, *AR5, B ; 250
+ MACSU *AR3+0%, *AR5-, A ; 251
+ MACSU *AR3+0%, *AR5-, B ; 252
+ MACSU *AR3+0%, *AR5+, A ; 253
+ MACSU *AR3+0%, *AR5+, B ; 254
+ MACSU *AR3+0%, *AR5+0%, A ; 255
+ MACSU *AR3+0%, *AR5+0%, B ; 256
+ MACSU *AR4, *AR2, A ; 257
+ MACSU *AR4, *AR2, B ; 258
+ MACSU *AR4, *AR2-, A ; 259
+ MACSU *AR4, *AR2-, B ; 260
+ MACSU *AR4, *AR2+, A ; 261
+ MACSU *AR4, *AR2+, B ; 262
+ MACSU *AR4, *AR2+0%, A ; 263
+ MACSU *AR4, *AR2+0%, B ; 264
+ MACSU *AR4, *AR3, A ; 265
+ MACSU *AR4, *AR3, B ; 266
+ MACSU *AR4, *AR3-, A ; 267
+ MACSU *AR4, *AR3-, B ; 268
+ MACSU *AR4, *AR3+, A ; 269
+ MACSU *AR4, *AR3+, B ; 270
+ MACSU *AR4, *AR3+0%, A ; 271
+ MACSU *AR4, *AR3+0%, B ; 272
+ MACSU *AR4, *AR4, A ; 273
+ MACSU *AR4, *AR4, B ; 274
+ MACSU *AR4, *AR4-, A ; 275
+ MACSU *AR4, *AR4-, B ; 276
+ MACSU *AR4, *AR4+, A ; 277
+ MACSU *AR4, *AR4+, B ; 278
+ MACSU *AR4, *AR4+0%, A ; 279
+ MACSU *AR4, *AR4+0%, B ; 280
+ MACSU *AR4, *AR5, A ; 281
+ MACSU *AR4, *AR5, B ; 282
+ MACSU *AR4, *AR5-, A ; 283
+ MACSU *AR4, *AR5-, B ; 284
+ MACSU *AR4, *AR5+, A ; 285
+ MACSU *AR4, *AR5+, B ; 286
+ MACSU *AR4, *AR5+0%, A ; 287
+ MACSU *AR4, *AR5+0%, B ; 288
+ MACSU *AR4-, *AR2, A ; 289
+ MACSU *AR4-, *AR2, B ; 290
+ MACSU *AR4-, *AR2-, A ; 291
+ MACSU *AR4-, *AR2-, B ; 292
+ MACSU *AR4-, *AR2+, A ; 293
+ MACSU *AR4-, *AR2+, B ; 294
+ MACSU *AR4-, *AR2+0%, A ; 295
+ MACSU *AR4-, *AR2+0%, B ; 296
+ MACSU *AR4-, *AR3, A ; 297
+ MACSU *AR4-, *AR3, B ; 298
+ MACSU *AR4-, *AR3-, A ; 299
+ MACSU *AR4-, *AR3-, B ; 300
+ MACSU *AR4-, *AR3+, A ; 301
+ MACSU *AR4-, *AR3+, B ; 302
+ MACSU *AR4-, *AR3+0%, A ; 303
+ MACSU *AR4-, *AR3+0%, B ; 304
+ MACSU *AR4-, *AR4, A ; 305
+ MACSU *AR4-, *AR4, B ; 306
+ MACSU *AR4-, *AR4-, A ; 307
+ MACSU *AR4-, *AR4-, B ; 308
+ MACSU *AR4-, *AR4+, A ; 309
+ MACSU *AR4-, *AR4+, B ; 310
+ MACSU *AR4-, *AR4+0%, A ; 311
+ MACSU *AR4-, *AR4+0%, B ; 312
+ MACSU *AR4-, *AR5, A ; 313
+ MACSU *AR4-, *AR5, B ; 314
+ MACSU *AR4-, *AR5-, A ; 315
+ MACSU *AR4-, *AR5-, B ; 316
+ MACSU *AR4-, *AR5+, A ; 317
+ MACSU *AR4-, *AR5+, B ; 318
+ MACSU *AR4-, *AR5+0%, A ; 319
+ MACSU *AR4-, *AR5+0%, B ; 320
+ MACSU *AR4+, *AR2, A ; 321
+ MACSU *AR4+, *AR2, B ; 322
+ MACSU *AR4+, *AR2-, A ; 323
+ MACSU *AR4+, *AR2-, B ; 324
+ MACSU *AR4+, *AR2+, A ; 325
+ MACSU *AR4+, *AR2+, B ; 326
+ MACSU *AR4+, *AR2+0%, A ; 327
+ MACSU *AR4+, *AR2+0%, B ; 328
+ MACSU *AR4+, *AR3, A ; 329
+ MACSU *AR4+, *AR3, B ; 330
+ MACSU *AR4+, *AR3-, A ; 331
+ MACSU *AR4+, *AR3-, B ; 332
+ MACSU *AR4+, *AR3+, A ; 333
+ MACSU *AR4+, *AR3+, B ; 334
+ MACSU *AR4+, *AR3+0%, A ; 335
+ MACSU *AR4+, *AR3+0%, B ; 336
+ MACSU *AR4+, *AR4, A ; 337
+ MACSU *AR4+, *AR4, B ; 338
+ MACSU *AR4+, *AR4-, A ; 339
+ MACSU *AR4+, *AR4-, B ; 340
+ MACSU *AR4+, *AR4+, A ; 341
+ MACSU *AR4+, *AR4+, B ; 342
+ MACSU *AR4+, *AR4+0%, A ; 343
+ MACSU *AR4+, *AR4+0%, B ; 344
+ MACSU *AR4+, *AR5, A ; 345
+ MACSU *AR4+, *AR5, B ; 346
+ MACSU *AR4+, *AR5-, A ; 347
+ MACSU *AR4+, *AR5-, B ; 348
+ MACSU *AR4+, *AR5+, A ; 349
+ MACSU *AR4+, *AR5+, B ; 350
+ MACSU *AR4+, *AR5+0%, A ; 351
+ MACSU *AR4+, *AR5+0%, B ; 352
+ MACSU *AR4+0%, *AR2, A ; 353
+ MACSU *AR4+0%, *AR2, B ; 354
+ MACSU *AR4+0%, *AR2-, A ; 355
+ MACSU *AR4+0%, *AR2-, B ; 356
+ MACSU *AR4+0%, *AR2+, A ; 357
+ MACSU *AR4+0%, *AR2+, B ; 358
+ MACSU *AR4+0%, *AR2+0%, A ; 359
+ MACSU *AR4+0%, *AR2+0%, B ; 360
+ MACSU *AR4+0%, *AR3, A ; 361
+ MACSU *AR4+0%, *AR3, B ; 362
+ MACSU *AR4+0%, *AR3-, A ; 363
+ MACSU *AR4+0%, *AR3-, B ; 364
+ MACSU *AR4+0%, *AR3+, A ; 365
+ MACSU *AR4+0%, *AR3+, B ; 366
+ MACSU *AR4+0%, *AR3+0%, A ; 367
+ MACSU *AR4+0%, *AR3+0%, B ; 368
+ MACSU *AR4+0%, *AR4, A ; 369
+ MACSU *AR4+0%, *AR4, B ; 370
+ MACSU *AR4+0%, *AR4-, A ; 371
+ MACSU *AR4+0%, *AR4-, B ; 372
+ MACSU *AR4+0%, *AR4+, A ; 373
+ MACSU *AR4+0%, *AR4+, B ; 374
+ MACSU *AR4+0%, *AR4+0%, A ; 375
+ MACSU *AR4+0%, *AR4+0%, B ; 376
+ MACSU *AR4+0%, *AR5, A ; 377
+ MACSU *AR4+0%, *AR5, B ; 378
+ MACSU *AR4+0%, *AR5-, A ; 379
+ MACSU *AR4+0%, *AR5-, B ; 380
+ MACSU *AR4+0%, *AR5+, A ; 381
+ MACSU *AR4+0%, *AR5+, B ; 382
+ MACSU *AR4+0%, *AR5+0%, A ; 383
+ MACSU *AR4+0%, *AR5+0%, B ; 384
+ MACSU *AR5, *AR2, A ; 385
+ MACSU *AR5, *AR2, B ; 386
+ MACSU *AR5, *AR2-, A ; 387
+ MACSU *AR5, *AR2-, B ; 388
+ MACSU *AR5, *AR2+, A ; 389
+ MACSU *AR5, *AR2+, B ; 390
+ MACSU *AR5, *AR2+0%, A ; 391
+ MACSU *AR5, *AR2+0%, B ; 392
+ MACSU *AR5, *AR3, A ; 393
+ MACSU *AR5, *AR3, B ; 394
+ MACSU *AR5, *AR3-, A ; 395
+ MACSU *AR5, *AR3-, B ; 396
+ MACSU *AR5, *AR3+, A ; 397
+ MACSU *AR5, *AR3+, B ; 398
+ MACSU *AR5, *AR3+0%, A ; 399
+ MACSU *AR5, *AR3+0%, B ; 400
+ MACSU *AR5, *AR4, A ; 401
+ MACSU *AR5, *AR4, B ; 402
+ MACSU *AR5, *AR4-, A ; 403
+ MACSU *AR5, *AR4-, B ; 404
+ MACSU *AR5, *AR4+, A ; 405
+ MACSU *AR5, *AR4+, B ; 406
+ MACSU *AR5, *AR4+0%, A ; 407
+ MACSU *AR5, *AR4+0%, B ; 408
+ MACSU *AR5, *AR5, A ; 409
+ MACSU *AR5, *AR5, B ; 410
+ MACSU *AR5, *AR5-, A ; 411
+ MACSU *AR5, *AR5-, B ; 412
+ MACSU *AR5, *AR5+, A ; 413
+ MACSU *AR5, *AR5+, B ; 414
+ MACSU *AR5, *AR5+0%, A ; 415
+ MACSU *AR5, *AR5+0%, B ; 416
+ MACSU *AR5-, *AR2, A ; 417
+ MACSU *AR5-, *AR2, B ; 418
+ MACSU *AR5-, *AR2-, A ; 419
+ MACSU *AR5-, *AR2-, B ; 420
+ MACSU *AR5-, *AR2+, A ; 421
+ MACSU *AR5-, *AR2+, B ; 422
+ MACSU *AR5-, *AR2+0%, A ; 423
+ MACSU *AR5-, *AR2+0%, B ; 424
+ MACSU *AR5-, *AR3, A ; 425
+ MACSU *AR5-, *AR3, B ; 426
+ MACSU *AR5-, *AR3-, A ; 427
+ MACSU *AR5-, *AR3-, B ; 428
+ MACSU *AR5-, *AR3+, A ; 429
+ MACSU *AR5-, *AR3+, B ; 430
+ MACSU *AR5-, *AR3+0%, A ; 431
+ MACSU *AR5-, *AR3+0%, B ; 432
+ MACSU *AR5-, *AR4, A ; 433
+ MACSU *AR5-, *AR4, B ; 434
+ MACSU *AR5-, *AR4-, A ; 435
+ MACSU *AR5-, *AR4-, B ; 436
+ MACSU *AR5-, *AR4+, A ; 437
+ MACSU *AR5-, *AR4+, B ; 438
+ MACSU *AR5-, *AR4+0%, A ; 439
+ MACSU *AR5-, *AR4+0%, B ; 440
+ MACSU *AR5-, *AR5, A ; 441
+ MACSU *AR5-, *AR5, B ; 442
+ MACSU *AR5-, *AR5-, A ; 443
+ MACSU *AR5-, *AR5-, B ; 444
+ MACSU *AR5-, *AR5+, A ; 445
+ MACSU *AR5-, *AR5+, B ; 446
+ MACSU *AR5-, *AR5+0%, A ; 447
+ MACSU *AR5-, *AR5+0%, B ; 448
+ MACSU *AR5+, *AR2, A ; 449
+ MACSU *AR5+, *AR2, B ; 450
+ MACSU *AR5+, *AR2-, A ; 451
+ MACSU *AR5+, *AR2-, B ; 452
+ MACSU *AR5+, *AR2+, A ; 453
+ MACSU *AR5+, *AR2+, B ; 454
+ MACSU *AR5+, *AR2+0%, A ; 455
+ MACSU *AR5+, *AR2+0%, B ; 456
+ MACSU *AR5+, *AR3, A ; 457
+ MACSU *AR5+, *AR3, B ; 458
+ MACSU *AR5+, *AR3-, A ; 459
+ MACSU *AR5+, *AR3-, B ; 460
+ MACSU *AR5+, *AR3+, A ; 461
+ MACSU *AR5+, *AR3+, B ; 462
+ MACSU *AR5+, *AR3+0%, A ; 463
+ MACSU *AR5+, *AR3+0%, B ; 464
+ MACSU *AR5+, *AR4, A ; 465
+ MACSU *AR5+, *AR4, B ; 466
+ MACSU *AR5+, *AR4-, A ; 467
+ MACSU *AR5+, *AR4-, B ; 468
+ MACSU *AR5+, *AR4+, A ; 469
+ MACSU *AR5+, *AR4+, B ; 470
+ MACSU *AR5+, *AR4+0%, A ; 471
+ MACSU *AR5+, *AR4+0%, B ; 472
+ MACSU *AR5+, *AR5, A ; 473
+ MACSU *AR5+, *AR5, B ; 474
+ MACSU *AR5+, *AR5-, A ; 475
+ MACSU *AR5+, *AR5-, B ; 476
+ MACSU *AR5+, *AR5+, A ; 477
+ MACSU *AR5+, *AR5+, B ; 478
+ MACSU *AR5+, *AR5+0%, A ; 479
+ MACSU *AR5+, *AR5+0%, B ; 480
+ MACSU *AR5+0%, *AR2, A ; 481
+ MACSU *AR5+0%, *AR2, B ; 482
+ MACSU *AR5+0%, *AR2-, A ; 483
+ MACSU *AR5+0%, *AR2-, B ; 484
+ MACSU *AR5+0%, *AR2+, A ; 485
+ MACSU *AR5+0%, *AR2+, B ; 486
+ MACSU *AR5+0%, *AR2+0%, A ; 487
+ MACSU *AR5+0%, *AR2+0%, B ; 488
+ MACSU *AR5+0%, *AR3, A ; 489
+ MACSU *AR5+0%, *AR3, B ; 490
+ MACSU *AR5+0%, *AR3-, A ; 491
+ MACSU *AR5+0%, *AR3-, B ; 492
+ MACSU *AR5+0%, *AR3+, A ; 493
+ MACSU *AR5+0%, *AR3+, B ; 494
+ MACSU *AR5+0%, *AR3+0%, A ; 495
+ MACSU *AR5+0%, *AR3+0%, B ; 496
+ MACSU *AR5+0%, *AR4, A ; 497
+ MACSU *AR5+0%, *AR4, B ; 498
+ MACSU *AR5+0%, *AR4-, A ; 499
+ MACSU *AR5+0%, *AR4-, B ; 500
+ MACSU *AR5+0%, *AR4+, A ; 501
+ MACSU *AR5+0%, *AR4+, B ; 502
+ MACSU *AR5+0%, *AR4+0%, A ; 503
+ MACSU *AR5+0%, *AR4+0%, B ; 504
+ MACSU *AR5+0%, *AR5, A ; 505
+ MACSU *AR5+0%, *AR5, B ; 506
+ MACSU *AR5+0%, *AR5-, A ; 507
+ MACSU *AR5+0%, *AR5-, B ; 508
+ MACSU *AR5+0%, *AR5+, A ; 509
+ MACSU *AR5+0%, *AR5+, B ; 510
+ MACSU *AR5+0%, *AR5+0%, A ; 511
+ MACSU *AR5+0%, *AR5+0%, B ; 512
+ ; MAC_I : MAC Smem_I, AB : 240
+ MAC *AR0, A ; 1
+ MAC *AR0, B ; 2
+ MAC *AR0-, A ; 3
+ MAC *AR0-, B ; 4
+ MAC *AR0+, A ; 5
+ MAC *AR0+, B ; 6
+ MAC *AR0-0B, A ; 7
+ MAC *AR0-0B, B ; 8
+ MAC *AR0-0, A ; 9
+ MAC *AR0-0, B ; 10
+ MAC *AR0+0, A ; 11
+ MAC *AR0+0, B ; 12
+ MAC *AR0+0B, A ; 13
+ MAC *AR0+0B, B ; 14
+ MAC *AR0-%, A ; 15
+ MAC *AR0-%, B ; 16
+ MAC *AR0-0%, A ; 17
+ MAC *AR0-0%, B ; 18
+ MAC *AR0+%, A ; 19
+ MAC *AR0+%, B ; 20
+ MAC *AR0+0%, A ; 21
+ MAC *AR0+0%, B ; 22
+ MAC *AR0(02CA0h), A ; 23
+ MAC *AR0(02CA1h), B ; 24
+ MAC *+AR0(02CA2h), A ; 25
+ MAC *+AR0(02CA3h), B ; 26
+ MAC *+AR0(02CA4h)%, A ; 27
+ MAC *+AR0(02CA5h)%, B ; 28
+ MAC *(02CA6h), A ; 29
+ MAC *(02CA7h), B ; 30
+ MAC *AR1, A ; 31
+ MAC *AR1, B ; 32
+ MAC *AR1-, A ; 33
+ MAC *AR1-, B ; 34
+ MAC *AR1+, A ; 35
+ MAC *AR1+, B ; 36
+ MAC *AR1-0B, A ; 37
+ MAC *AR1-0B, B ; 38
+ MAC *AR1-0, A ; 39
+ MAC *AR1-0, B ; 40
+ MAC *AR1+0, A ; 41
+ MAC *AR1+0, B ; 42
+ MAC *AR1+0B, A ; 43
+ MAC *AR1+0B, B ; 44
+ MAC *AR1-%, A ; 45
+ MAC *AR1-%, B ; 46
+ MAC *AR1-0%, A ; 47
+ MAC *AR1-0%, B ; 48
+ MAC *AR1+%, A ; 49
+ MAC *AR1+%, B ; 50
+ MAC *AR1+0%, A ; 51
+ MAC *AR1+0%, B ; 52
+ MAC *AR1(02CA8h), A ; 53
+ MAC *AR1(02CA9h), B ; 54
+ MAC *+AR1(02CAAh), A ; 55
+ MAC *+AR1(02CABh), B ; 56
+ MAC *+AR1(02CACh)%, A ; 57
+ MAC *+AR1(02CADh)%, B ; 58
+ MAC *(02CAEh), A ; 59
+ MAC *(02CAFh), B ; 60
+ MAC *AR2, A ; 61
+ MAC *AR2, B ; 62
+ MAC *AR2-, A ; 63
+ MAC *AR2-, B ; 64
+ MAC *AR2+, A ; 65
+ MAC *AR2+, B ; 66
+ MAC *AR2-0B, A ; 67
+ MAC *AR2-0B, B ; 68
+ MAC *AR2-0, A ; 69
+ MAC *AR2-0, B ; 70
+ MAC *AR2+0, A ; 71
+ MAC *AR2+0, B ; 72
+ MAC *AR2+0B, A ; 73
+ MAC *AR2+0B, B ; 74
+ MAC *AR2-%, A ; 75
+ MAC *AR2-%, B ; 76
+ MAC *AR2-0%, A ; 77
+ MAC *AR2-0%, B ; 78
+ MAC *AR2+%, A ; 79
+ MAC *AR2+%, B ; 80
+ MAC *AR2+0%, A ; 81
+ MAC *AR2+0%, B ; 82
+ MAC *AR2(02CB0h), A ; 83
+ MAC *AR2(02CB1h), B ; 84
+ MAC *+AR2(02CB2h), A ; 85
+ MAC *+AR2(02CB3h), B ; 86
+ MAC *+AR2(02CB4h)%, A ; 87
+ MAC *+AR2(02CB5h)%, B ; 88
+ MAC *(02CB6h), A ; 89
+ MAC *(02CB7h), B ; 90
+ MAC *AR3, A ; 91
+ MAC *AR3, B ; 92
+ MAC *AR3-, A ; 93
+ MAC *AR3-, B ; 94
+ MAC *AR3+, A ; 95
+ MAC *AR3+, B ; 96
+ MAC *AR3-0B, A ; 97
+ MAC *AR3-0B, B ; 98
+ MAC *AR3-0, A ; 99
+ MAC *AR3-0, B ; 100
+ MAC *AR3+0, A ; 101
+ MAC *AR3+0, B ; 102
+ MAC *AR3+0B, A ; 103
+ MAC *AR3+0B, B ; 104
+ MAC *AR3-%, A ; 105
+ MAC *AR3-%, B ; 106
+ MAC *AR3-0%, A ; 107
+ MAC *AR3-0%, B ; 108
+ MAC *AR3+%, A ; 109
+ MAC *AR3+%, B ; 110
+ MAC *AR3+0%, A ; 111
+ MAC *AR3+0%, B ; 112
+ MAC *AR3(02CB8h), A ; 113
+ MAC *AR3(02CB9h), B ; 114
+ MAC *+AR3(02CBAh), A ; 115
+ MAC *+AR3(02CBBh), B ; 116
+ MAC *+AR3(02CBCh)%, A ; 117
+ MAC *+AR3(02CBDh)%, B ; 118
+ MAC *(02CBEh), A ; 119
+ MAC *(02CBFh), B ; 120
+ MAC *AR4, A ; 121
+ MAC *AR4, B ; 122
+ MAC *AR4-, A ; 123
+ MAC *AR4-, B ; 124
+ MAC *AR4+, A ; 125
+ MAC *AR4+, B ; 126
+ MAC *AR4-0B, A ; 127
+ MAC *AR4-0B, B ; 128
+ MAC *AR4-0, A ; 129
+ MAC *AR4-0, B ; 130
+ MAC *AR4+0, A ; 131
+ MAC *AR4+0, B ; 132
+ MAC *AR4+0B, A ; 133
+ MAC *AR4+0B, B ; 134
+ MAC *AR4-%, A ; 135
+ MAC *AR4-%, B ; 136
+ MAC *AR4-0%, A ; 137
+ MAC *AR4-0%, B ; 138
+ MAC *AR4+%, A ; 139
+ MAC *AR4+%, B ; 140
+ MAC *AR4+0%, A ; 141
+ MAC *AR4+0%, B ; 142
+ MAC *AR4(02CC0h), A ; 143
+ MAC *AR4(02CC1h), B ; 144
+ MAC *+AR4(02CC2h), A ; 145
+ MAC *+AR4(02CC3h), B ; 146
+ MAC *+AR4(02CC4h)%, A ; 147
+ MAC *+AR4(02CC5h)%, B ; 148
+ MAC *(02CC6h), A ; 149
+ MAC *(02CC7h), B ; 150
+ MAC *AR5, A ; 151
+ MAC *AR5, B ; 152
+ MAC *AR5-, A ; 153
+ MAC *AR5-, B ; 154
+ MAC *AR5+, A ; 155
+ MAC *AR5+, B ; 156
+ MAC *AR5-0B, A ; 157
+ MAC *AR5-0B, B ; 158
+ MAC *AR5-0, A ; 159
+ MAC *AR5-0, B ; 160
+ MAC *AR5+0, A ; 161
+ MAC *AR5+0, B ; 162
+ MAC *AR5+0B, A ; 163
+ MAC *AR5+0B, B ; 164
+ MAC *AR5-%, A ; 165
+ MAC *AR5-%, B ; 166
+ MAC *AR5-0%, A ; 167
+ MAC *AR5-0%, B ; 168
+ MAC *AR5+%, A ; 169
+ MAC *AR5+%, B ; 170
+ MAC *AR5+0%, A ; 171
+ MAC *AR5+0%, B ; 172
+ MAC *AR5(02CC8h), A ; 173
+ MAC *AR5(02CC9h), B ; 174
+ MAC *+AR5(02CCAh), A ; 175
+ MAC *+AR5(02CCBh), B ; 176
+ MAC *+AR5(02CCCh)%, A ; 177
+ MAC *+AR5(02CCDh)%, B ; 178
+ MAC *(02CCEh), A ; 179
+ MAC *(02CCFh), B ; 180
+ MAC *AR6, A ; 181
+ MAC *AR6, B ; 182
+ MAC *AR6-, A ; 183
+ MAC *AR6-, B ; 184
+ MAC *AR6+, A ; 185
+ MAC *AR6+, B ; 186
+ MAC *AR6-0B, A ; 187
+ MAC *AR6-0B, B ; 188
+ MAC *AR6-0, A ; 189
+ MAC *AR6-0, B ; 190
+ MAC *AR6+0, A ; 191
+ MAC *AR6+0, B ; 192
+ MAC *AR6+0B, A ; 193
+ MAC *AR6+0B, B ; 194
+ MAC *AR6-%, A ; 195
+ MAC *AR6-%, B ; 196
+ MAC *AR6-0%, A ; 197
+ MAC *AR6-0%, B ; 198
+ MAC *AR6+%, A ; 199
+ MAC *AR6+%, B ; 200
+ MAC *AR6+0%, A ; 201
+ MAC *AR6+0%, B ; 202
+ MAC *AR6(02CD0h), A ; 203
+ MAC *AR6(02CD1h), B ; 204
+ MAC *+AR6(02CD2h), A ; 205
+ MAC *+AR6(02CD3h), B ; 206
+ MAC *+AR6(02CD4h)%, A ; 207
+ MAC *+AR6(02CD5h)%, B ; 208
+ MAC *(02CD6h), A ; 209
+ MAC *(02CD7h), B ; 210
+ MAC *AR7, A ; 211
+ MAC *AR7, B ; 212
+ MAC *AR7-, A ; 213
+ MAC *AR7-, B ; 214
+ MAC *AR7+, A ; 215
+ MAC *AR7+, B ; 216
+ MAC *AR7-0B, A ; 217
+ MAC *AR7-0B, B ; 218
+ MAC *AR7-0, A ; 219
+ MAC *AR7-0, B ; 220
+ MAC *AR7+0, A ; 221
+ MAC *AR7+0, B ; 222
+ MAC *AR7+0B, A ; 223
+ MAC *AR7+0B, B ; 224
+ MAC *AR7-%, A ; 225
+ MAC *AR7-%, B ; 226
+ MAC *AR7-0%, A ; 227
+ MAC *AR7-0%, B ; 228
+ MAC *AR7+%, A ; 229
+ MAC *AR7+%, B ; 230
+ MAC *AR7+0%, A ; 231
+ MAC *AR7+0%, B ; 232
+ MAC *AR7(02CD8h), A ; 233
+ MAC *AR7(02CD9h), B ; 234
+ MAC *+AR7(02CDAh), A ; 235
+ MAC *+AR7(02CDBh), B ; 236
+ MAC *+AR7(02CDCh)%, A ; 237
+ MAC *+AR7(02CDDh)%, B ; 238
+ MAC *(02CDEh), A ; 239
+ MAC *(02CDFh), B ; 240
+ ; MAClk : MAC lk, AB, AB2 : 6
+ MAC #02CE0h, A, A ; 1
+ MAC #02CE1h, A, B ; 2
+ MAC #02CE2h, A ; 3
+ MAC #02CE3h, B, A ; 4
+ MAC #02CE4h, B, B ; 5
+ MAC #02CE5h, B ; 6
+ ; MACsmemlk : MAC Smem, lk, AB, AB2 : 6
+ MAC 50h, #02CE6h, A, A ; 1
+ MAC 51h, #02CE7h, A, B ; 2
+ MAC 52h, #02CE8h, A ; 3
+ MAC 53h, #02CE9h, B, A ; 4
+ MAC 54h, #02CEAh, B, B ; 5
+ MAC 55h, #02CEBh, B ; 6
+ ; MACsmemlk_I : MAC Smem_I, lk, AB, AB2 : 720
+ MAC *AR0, #02CECh, A, A ; 1
+ MAC *AR0, #02CEDh, A, B ; 2
+ MAC *AR0, #02CEEh, A ; 3
+ MAC *AR0, #02CEFh, B, A ; 4
+ MAC *AR0, #02CF0h, B, B ; 5
+ MAC *AR0, #02CF1h, B ; 6
+ MAC *AR0-, #02CF2h, A, A ; 7
+ MAC *AR0-, #02CF3h, A, B ; 8
+ MAC *AR0-, #02CF4h, A ; 9
+ MAC *AR0-, #02CF5h, B, A ; 10
+ MAC *AR0-, #02CF6h, B, B ; 11
+ MAC *AR0-, #02CF7h, B ; 12
+ MAC *AR0+, #02CF8h, A, A ; 13
+ MAC *AR0+, #02CF9h, A, B ; 14
+ MAC *AR0+, #02CFAh, A ; 15
+ MAC *AR0+, #02CFBh, B, A ; 16
+ MAC *AR0+, #02CFCh, B, B ; 17
+ MAC *AR0+, #02CFDh, B ; 18
+ MAC *AR0-0B, #02CFEh, A, A ; 19
+ MAC *AR0-0B, #02CFFh, A, B ; 20
+ MAC *AR0-0B, #02D00h, A ; 21
+ MAC *AR0-0B, #02D01h, B, A ; 22
+ MAC *AR0-0B, #02D02h, B, B ; 23
+ MAC *AR0-0B, #02D03h, B ; 24
+ MAC *AR0-0, #02D04h, A, A ; 25
+ MAC *AR0-0, #02D05h, A, B ; 26
+ MAC *AR0-0, #02D06h, A ; 27
+ MAC *AR0-0, #02D07h, B, A ; 28
+ MAC *AR0-0, #02D08h, B, B ; 29
+ MAC *AR0-0, #02D09h, B ; 30
+ MAC *AR0+0, #02D0Ah, A, A ; 31
+ MAC *AR0+0, #02D0Bh, A, B ; 32
+ MAC *AR0+0, #02D0Ch, A ; 33
+ MAC *AR0+0, #02D0Dh, B, A ; 34
+ MAC *AR0+0, #02D0Eh, B, B ; 35
+ MAC *AR0+0, #02D0Fh, B ; 36
+ MAC *AR0+0B, #02D10h, A, A ; 37
+ MAC *AR0+0B, #02D11h, A, B ; 38
+ MAC *AR0+0B, #02D12h, A ; 39
+ MAC *AR0+0B, #02D13h, B, A ; 40
+ MAC *AR0+0B, #02D14h, B, B ; 41
+ MAC *AR0+0B, #02D15h, B ; 42
+ MAC *AR0-%, #02D16h, A, A ; 43
+ MAC *AR0-%, #02D17h, A, B ; 44
+ MAC *AR0-%, #02D18h, A ; 45
+ MAC *AR0-%, #02D19h, B, A ; 46
+ MAC *AR0-%, #02D1Ah, B, B ; 47
+ MAC *AR0-%, #02D1Bh, B ; 48
+ MAC *AR0-0%, #02D1Ch, A, A ; 49
+ MAC *AR0-0%, #02D1Dh, A, B ; 50
+ MAC *AR0-0%, #02D1Eh, A ; 51
+ MAC *AR0-0%, #02D1Fh, B, A ; 52
+ MAC *AR0-0%, #02D20h, B, B ; 53
+ MAC *AR0-0%, #02D21h, B ; 54
+ MAC *AR0+%, #02D22h, A, A ; 55
+ MAC *AR0+%, #02D23h, A, B ; 56
+ MAC *AR0+%, #02D24h, A ; 57
+ MAC *AR0+%, #02D25h, B, A ; 58
+ MAC *AR0+%, #02D26h, B, B ; 59
+ MAC *AR0+%, #02D27h, B ; 60
+ MAC *AR0+0%, #02D28h, A, A ; 61
+ MAC *AR0+0%, #02D29h, A, B ; 62
+ MAC *AR0+0%, #02D2Ah, A ; 63
+ MAC *AR0+0%, #02D2Bh, B, A ; 64
+ MAC *AR0+0%, #02D2Ch, B, B ; 65
+ MAC *AR0+0%, #02D2Dh, B ; 66
+ MAC *AR0(02D2Eh), #02D2Fh, A, A ; 67
+ MAC *AR0(02D30h), #02D31h, A, B ; 68
+ MAC *AR0(02D32h), #02D33h, A ; 69
+ MAC *AR0(02D34h), #02D35h, B, A ; 70
+ MAC *AR0(02D36h), #02D37h, B, B ; 71
+ MAC *AR0(02D38h), #02D39h, B ; 72
+ MAC *+AR0(02D3Ah), #02D3Bh, A, A ; 73
+ MAC *+AR0(02D3Ch), #02D3Dh, A, B ; 74
+ MAC *+AR0(02D3Eh), #02D3Fh, A ; 75
+ MAC *+AR0(02D40h), #02D41h, B, A ; 76
+ MAC *+AR0(02D42h), #02D43h, B, B ; 77
+ MAC *+AR0(02D44h), #02D45h, B ; 78
+ MAC *+AR0(02D46h)%, #02D47h, A, A ; 79
+ MAC *+AR0(02D48h)%, #02D49h, A, B ; 80
+ MAC *+AR0(02D4Ah)%, #02D4Bh, A ; 81
+ MAC *+AR0(02D4Ch)%, #02D4Dh, B, A ; 82
+ MAC *+AR0(02D4Eh)%, #02D4Fh, B, B ; 83
+ MAC *+AR0(02D50h)%, #02D51h, B ; 84
+ MAC *(02D52h), #02D53h, A, A ; 85
+ MAC *(02D54h), #02D55h, A, B ; 86
+ MAC *(02D56h), #02D57h, A ; 87
+ MAC *(02D58h), #02D59h, B, A ; 88
+ MAC *(02D5Ah), #02D5Bh, B, B ; 89
+ MAC *(02D5Ch), #02D5Dh, B ; 90
+ MAC *AR1, #02D5Eh, A, A ; 91
+ MAC *AR1, #02D5Fh, A, B ; 92
+ MAC *AR1, #02D60h, A ; 93
+ MAC *AR1, #02D61h, B, A ; 94
+ MAC *AR1, #02D62h, B, B ; 95
+ MAC *AR1, #02D63h, B ; 96
+ MAC *AR1-, #02D64h, A, A ; 97
+ MAC *AR1-, #02D65h, A, B ; 98
+ MAC *AR1-, #02D66h, A ; 99
+ MAC *AR1-, #02D67h, B, A ; 100
+ MAC *AR1-, #02D68h, B, B ; 101
+ MAC *AR1-, #02D69h, B ; 102
+ MAC *AR1+, #02D6Ah, A, A ; 103
+ MAC *AR1+, #02D6Bh, A, B ; 104
+ MAC *AR1+, #02D6Ch, A ; 105
+ MAC *AR1+, #02D6Dh, B, A ; 106
+ MAC *AR1+, #02D6Eh, B, B ; 107
+ MAC *AR1+, #02D6Fh, B ; 108
+ MAC *AR1-0B, #02D70h, A, A ; 109
+ MAC *AR1-0B, #02D71h, A, B ; 110
+ MAC *AR1-0B, #02D72h, A ; 111
+ MAC *AR1-0B, #02D73h, B, A ; 112
+ MAC *AR1-0B, #02D74h, B, B ; 113
+ MAC *AR1-0B, #02D75h, B ; 114
+ MAC *AR1-0, #02D76h, A, A ; 115
+ MAC *AR1-0, #02D77h, A, B ; 116
+ MAC *AR1-0, #02D78h, A ; 117
+ MAC *AR1-0, #02D79h, B, A ; 118
+ MAC *AR1-0, #02D7Ah, B, B ; 119
+ MAC *AR1-0, #02D7Bh, B ; 120
+ MAC *AR1+0, #02D7Ch, A, A ; 121
+ MAC *AR1+0, #02D7Dh, A, B ; 122
+ MAC *AR1+0, #02D7Eh, A ; 123
+ MAC *AR1+0, #02D7Fh, B, A ; 124
+ MAC *AR1+0, #02D80h, B, B ; 125
+ MAC *AR1+0, #02D81h, B ; 126
+ MAC *AR1+0B, #02D82h, A, A ; 127
+ MAC *AR1+0B, #02D83h, A, B ; 128
+ MAC *AR1+0B, #02D84h, A ; 129
+ MAC *AR1+0B, #02D85h, B, A ; 130
+ MAC *AR1+0B, #02D86h, B, B ; 131
+ MAC *AR1+0B, #02D87h, B ; 132
+ MAC *AR1-%, #02D88h, A, A ; 133
+ MAC *AR1-%, #02D89h, A, B ; 134
+ MAC *AR1-%, #02D8Ah, A ; 135
+ MAC *AR1-%, #02D8Bh, B, A ; 136
+ MAC *AR1-%, #02D8Ch, B, B ; 137
+ MAC *AR1-%, #02D8Dh, B ; 138
+ MAC *AR1-0%, #02D8Eh, A, A ; 139
+ MAC *AR1-0%, #02D8Fh, A, B ; 140
+ MAC *AR1-0%, #02D90h, A ; 141
+ MAC *AR1-0%, #02D91h, B, A ; 142
+ MAC *AR1-0%, #02D92h, B, B ; 143
+ MAC *AR1-0%, #02D93h, B ; 144
+ MAC *AR1+%, #02D94h, A, A ; 145
+ MAC *AR1+%, #02D95h, A, B ; 146
+ MAC *AR1+%, #02D96h, A ; 147
+ MAC *AR1+%, #02D97h, B, A ; 148
+ MAC *AR1+%, #02D98h, B, B ; 149
+ MAC *AR1+%, #02D99h, B ; 150
+ MAC *AR1+0%, #02D9Ah, A, A ; 151
+ MAC *AR1+0%, #02D9Bh, A, B ; 152
+ MAC *AR1+0%, #02D9Ch, A ; 153
+ MAC *AR1+0%, #02D9Dh, B, A ; 154
+ MAC *AR1+0%, #02D9Eh, B, B ; 155
+ MAC *AR1+0%, #02D9Fh, B ; 156
+ MAC *AR1(02DA0h), #02DA1h, A, A ; 157
+ MAC *AR1(02DA2h), #02DA3h, A, B ; 158
+ MAC *AR1(02DA4h), #02DA5h, A ; 159
+ MAC *AR1(02DA6h), #02DA7h, B, A ; 160
+ MAC *AR1(02DA8h), #02DA9h, B, B ; 161
+ MAC *AR1(02DAAh), #02DABh, B ; 162
+ MAC *+AR1(02DACh), #02DADh, A, A ; 163
+ MAC *+AR1(02DAEh), #02DAFh, A, B ; 164
+ MAC *+AR1(02DB0h), #02DB1h, A ; 165
+ MAC *+AR1(02DB2h), #02DB3h, B, A ; 166
+ MAC *+AR1(02DB4h), #02DB5h, B, B ; 167
+ MAC *+AR1(02DB6h), #02DB7h, B ; 168
+ MAC *+AR1(02DB8h)%, #02DB9h, A, A ; 169
+ MAC *+AR1(02DBAh)%, #02DBBh, A, B ; 170
+ MAC *+AR1(02DBCh)%, #02DBDh, A ; 171
+ MAC *+AR1(02DBEh)%, #02DBFh, B, A ; 172
+ MAC *+AR1(02DC0h)%, #02DC1h, B, B ; 173
+ MAC *+AR1(02DC2h)%, #02DC3h, B ; 174
+ MAC *(02DC4h), #02DC5h, A, A ; 175
+ MAC *(02DC6h), #02DC7h, A, B ; 176
+ MAC *(02DC8h), #02DC9h, A ; 177
+ MAC *(02DCAh), #02DCBh, B, A ; 178
+ MAC *(02DCCh), #02DCDh, B, B ; 179
+ MAC *(02DCEh), #02DCFh, B ; 180
+ MAC *AR2, #02DD0h, A, A ; 181
+ MAC *AR2, #02DD1h, A, B ; 182
+ MAC *AR2, #02DD2h, A ; 183
+ MAC *AR2, #02DD3h, B, A ; 184
+ MAC *AR2, #02DD4h, B, B ; 185
+ MAC *AR2, #02DD5h, B ; 186
+ MAC *AR2-, #02DD6h, A, A ; 187
+ MAC *AR2-, #02DD7h, A, B ; 188
+ MAC *AR2-, #02DD8h, A ; 189
+ MAC *AR2-, #02DD9h, B, A ; 190
+ MAC *AR2-, #02DDAh, B, B ; 191
+ MAC *AR2-, #02DDBh, B ; 192
+ MAC *AR2+, #02DDCh, A, A ; 193
+ MAC *AR2+, #02DDDh, A, B ; 194
+ MAC *AR2+, #02DDEh, A ; 195
+ MAC *AR2+, #02DDFh, B, A ; 196
+ MAC *AR2+, #02DE0h, B, B ; 197
+ MAC *AR2+, #02DE1h, B ; 198
+ MAC *AR2-0B, #02DE2h, A, A ; 199
+ MAC *AR2-0B, #02DE3h, A, B ; 200
+ MAC *AR2-0B, #02DE4h, A ; 201
+ MAC *AR2-0B, #02DE5h, B, A ; 202
+ MAC *AR2-0B, #02DE6h, B, B ; 203
+ MAC *AR2-0B, #02DE7h, B ; 204
+ MAC *AR2-0, #02DE8h, A, A ; 205
+ MAC *AR2-0, #02DE9h, A, B ; 206
+ MAC *AR2-0, #02DEAh, A ; 207
+ MAC *AR2-0, #02DEBh, B, A ; 208
+ MAC *AR2-0, #02DECh, B, B ; 209
+ MAC *AR2-0, #02DEDh, B ; 210
+ MAC *AR2+0, #02DEEh, A, A ; 211
+ MAC *AR2+0, #02DEFh, A, B ; 212
+ MAC *AR2+0, #02DF0h, A ; 213
+ MAC *AR2+0, #02DF1h, B, A ; 214
+ MAC *AR2+0, #02DF2h, B, B ; 215
+ MAC *AR2+0, #02DF3h, B ; 216
+ MAC *AR2+0B, #02DF4h, A, A ; 217
+ MAC *AR2+0B, #02DF5h, A, B ; 218
+ MAC *AR2+0B, #02DF6h, A ; 219
+ MAC *AR2+0B, #02DF7h, B, A ; 220
+ MAC *AR2+0B, #02DF8h, B, B ; 221
+ MAC *AR2+0B, #02DF9h, B ; 222
+ MAC *AR2-%, #02DFAh, A, A ; 223
+ MAC *AR2-%, #02DFBh, A, B ; 224
+ MAC *AR2-%, #02DFCh, A ; 225
+ MAC *AR2-%, #02DFDh, B, A ; 226
+ MAC *AR2-%, #02DFEh, B, B ; 227
+ MAC *AR2-%, #02DFFh, B ; 228
+ MAC *AR2-0%, #02E00h, A, A ; 229
+ MAC *AR2-0%, #02E01h, A, B ; 230
+ MAC *AR2-0%, #02E02h, A ; 231
+ MAC *AR2-0%, #02E03h, B, A ; 232
+ MAC *AR2-0%, #02E04h, B, B ; 233
+ MAC *AR2-0%, #02E05h, B ; 234
+ MAC *AR2+%, #02E06h, A, A ; 235
+ MAC *AR2+%, #02E07h, A, B ; 236
+ MAC *AR2+%, #02E08h, A ; 237
+ MAC *AR2+%, #02E09h, B, A ; 238
+ MAC *AR2+%, #02E0Ah, B, B ; 239
+ MAC *AR2+%, #02E0Bh, B ; 240
+ MAC *AR2+0%, #02E0Ch, A, A ; 241
+ MAC *AR2+0%, #02E0Dh, A, B ; 242
+ MAC *AR2+0%, #02E0Eh, A ; 243
+ MAC *AR2+0%, #02E0Fh, B, A ; 244
+ MAC *AR2+0%, #02E10h, B, B ; 245
+ MAC *AR2+0%, #02E11h, B ; 246
+ MAC *AR2(02E12h), #02E13h, A, A ; 247
+ MAC *AR2(02E14h), #02E15h, A, B ; 248
+ MAC *AR2(02E16h), #02E17h, A ; 249
+ MAC *AR2(02E18h), #02E19h, B, A ; 250
+ MAC *AR2(02E1Ah), #02E1Bh, B, B ; 251
+ MAC *AR2(02E1Ch), #02E1Dh, B ; 252
+ MAC *+AR2(02E1Eh), #02E1Fh, A, A ; 253
+ MAC *+AR2(02E20h), #02E21h, A, B ; 254
+ MAC *+AR2(02E22h), #02E23h, A ; 255
+ MAC *+AR2(02E24h), #02E25h, B, A ; 256
+ MAC *+AR2(02E26h), #02E27h, B, B ; 257
+ MAC *+AR2(02E28h), #02E29h, B ; 258
+ MAC *+AR2(02E2Ah)%, #02E2Bh, A, A ; 259
+ MAC *+AR2(02E2Ch)%, #02E2Dh, A, B ; 260
+ MAC *+AR2(02E2Eh)%, #02E2Fh, A ; 261
+ MAC *+AR2(02E30h)%, #02E31h, B, A ; 262
+ MAC *+AR2(02E32h)%, #02E33h, B, B ; 263
+ MAC *+AR2(02E34h)%, #02E35h, B ; 264
+ MAC *(02E36h), #02E37h, A, A ; 265
+ MAC *(02E38h), #02E39h, A, B ; 266
+ MAC *(02E3Ah), #02E3Bh, A ; 267
+ MAC *(02E3Ch), #02E3Dh, B, A ; 268
+ MAC *(02E3Eh), #02E3Fh, B, B ; 269
+ MAC *(02E40h), #02E41h, B ; 270
+ MAC *AR3, #02E42h, A, A ; 271
+ MAC *AR3, #02E43h, A, B ; 272
+ MAC *AR3, #02E44h, A ; 273
+ MAC *AR3, #02E45h, B, A ; 274
+ MAC *AR3, #02E46h, B, B ; 275
+ MAC *AR3, #02E47h, B ; 276
+ MAC *AR3-, #02E48h, A, A ; 277
+ MAC *AR3-, #02E49h, A, B ; 278
+ MAC *AR3-, #02E4Ah, A ; 279
+ MAC *AR3-, #02E4Bh, B, A ; 280
+ MAC *AR3-, #02E4Ch, B, B ; 281
+ MAC *AR3-, #02E4Dh, B ; 282
+ MAC *AR3+, #02E4Eh, A, A ; 283
+ MAC *AR3+, #02E4Fh, A, B ; 284
+ MAC *AR3+, #02E50h, A ; 285
+ MAC *AR3+, #02E51h, B, A ; 286
+ MAC *AR3+, #02E52h, B, B ; 287
+ MAC *AR3+, #02E53h, B ; 288
+ MAC *AR3-0B, #02E54h, A, A ; 289
+ MAC *AR3-0B, #02E55h, A, B ; 290
+ MAC *AR3-0B, #02E56h, A ; 291
+ MAC *AR3-0B, #02E57h, B, A ; 292
+ MAC *AR3-0B, #02E58h, B, B ; 293
+ MAC *AR3-0B, #02E59h, B ; 294
+ MAC *AR3-0, #02E5Ah, A, A ; 295
+ MAC *AR3-0, #02E5Bh, A, B ; 296
+ MAC *AR3-0, #02E5Ch, A ; 297
+ MAC *AR3-0, #02E5Dh, B, A ; 298
+ MAC *AR3-0, #02E5Eh, B, B ; 299
+ MAC *AR3-0, #02E5Fh, B ; 300
+ MAC *AR3+0, #02E60h, A, A ; 301
+ MAC *AR3+0, #02E61h, A, B ; 302
+ MAC *AR3+0, #02E62h, A ; 303
+ MAC *AR3+0, #02E63h, B, A ; 304
+ MAC *AR3+0, #02E64h, B, B ; 305
+ MAC *AR3+0, #02E65h, B ; 306
+ MAC *AR3+0B, #02E66h, A, A ; 307
+ MAC *AR3+0B, #02E67h, A, B ; 308
+ MAC *AR3+0B, #02E68h, A ; 309
+ MAC *AR3+0B, #02E69h, B, A ; 310
+ MAC *AR3+0B, #02E6Ah, B, B ; 311
+ MAC *AR3+0B, #02E6Bh, B ; 312
+ MAC *AR3-%, #02E6Ch, A, A ; 313
+ MAC *AR3-%, #02E6Dh, A, B ; 314
+ MAC *AR3-%, #02E6Eh, A ; 315
+ MAC *AR3-%, #02E6Fh, B, A ; 316
+ MAC *AR3-%, #02E70h, B, B ; 317
+ MAC *AR3-%, #02E71h, B ; 318
+ MAC *AR3-0%, #02E72h, A, A ; 319
+ MAC *AR3-0%, #02E73h, A, B ; 320
+ MAC *AR3-0%, #02E74h, A ; 321
+ MAC *AR3-0%, #02E75h, B, A ; 322
+ MAC *AR3-0%, #02E76h, B, B ; 323
+ MAC *AR3-0%, #02E77h, B ; 324
+ MAC *AR3+%, #02E78h, A, A ; 325
+ MAC *AR3+%, #02E79h, A, B ; 326
+ MAC *AR3+%, #02E7Ah, A ; 327
+ MAC *AR3+%, #02E7Bh, B, A ; 328
+ MAC *AR3+%, #02E7Ch, B, B ; 329
+ MAC *AR3+%, #02E7Dh, B ; 330
+ MAC *AR3+0%, #02E7Eh, A, A ; 331
+ MAC *AR3+0%, #02E7Fh, A, B ; 332
+ MAC *AR3+0%, #02E80h, A ; 333
+ MAC *AR3+0%, #02E81h, B, A ; 334
+ MAC *AR3+0%, #02E82h, B, B ; 335
+ MAC *AR3+0%, #02E83h, B ; 336
+ MAC *AR3(02E84h), #02E85h, A, A ; 337
+ MAC *AR3(02E86h), #02E87h, A, B ; 338
+ MAC *AR3(02E88h), #02E89h, A ; 339
+ MAC *AR3(02E8Ah), #02E8Bh, B, A ; 340
+ MAC *AR3(02E8Ch), #02E8Dh, B, B ; 341
+ MAC *AR3(02E8Eh), #02E8Fh, B ; 342
+ MAC *+AR3(02E90h), #02E91h, A, A ; 343
+ MAC *+AR3(02E92h), #02E93h, A, B ; 344
+ MAC *+AR3(02E94h), #02E95h, A ; 345
+ MAC *+AR3(02E96h), #02E97h, B, A ; 346
+ MAC *+AR3(02E98h), #02E99h, B, B ; 347
+ MAC *+AR3(02E9Ah), #02E9Bh, B ; 348
+ MAC *+AR3(02E9Ch)%, #02E9Dh, A, A ; 349
+ MAC *+AR3(02E9Eh)%, #02E9Fh, A, B ; 350
+ MAC *+AR3(02EA0h)%, #02EA1h, A ; 351
+ MAC *+AR3(02EA2h)%, #02EA3h, B, A ; 352
+ MAC *+AR3(02EA4h)%, #02EA5h, B, B ; 353
+ MAC *+AR3(02EA6h)%, #02EA7h, B ; 354
+ MAC *(02EA8h), #02EA9h, A, A ; 355
+ MAC *(02EAAh), #02EABh, A, B ; 356
+ MAC *(02EACh), #02EADh, A ; 357
+ MAC *(02EAEh), #02EAFh, B, A ; 358
+ MAC *(02EB0h), #02EB1h, B, B ; 359
+ MAC *(02EB2h), #02EB3h, B ; 360
+ MAC *AR4, #02EB4h, A, A ; 361
+ MAC *AR4, #02EB5h, A, B ; 362
+ MAC *AR4, #02EB6h, A ; 363
+ MAC *AR4, #02EB7h, B, A ; 364
+ MAC *AR4, #02EB8h, B, B ; 365
+ MAC *AR4, #02EB9h, B ; 366
+ MAC *AR4-, #02EBAh, A, A ; 367
+ MAC *AR4-, #02EBBh, A, B ; 368
+ MAC *AR4-, #02EBCh, A ; 369
+ MAC *AR4-, #02EBDh, B, A ; 370
+ MAC *AR4-, #02EBEh, B, B ; 371
+ MAC *AR4-, #02EBFh, B ; 372
+ MAC *AR4+, #02EC0h, A, A ; 373
+ MAC *AR4+, #02EC1h, A, B ; 374
+ MAC *AR4+, #02EC2h, A ; 375
+ MAC *AR4+, #02EC3h, B, A ; 376
+ MAC *AR4+, #02EC4h, B, B ; 377
+ MAC *AR4+, #02EC5h, B ; 378
+ MAC *AR4-0B, #02EC6h, A, A ; 379
+ MAC *AR4-0B, #02EC7h, A, B ; 380
+ MAC *AR4-0B, #02EC8h, A ; 381
+ MAC *AR4-0B, #02EC9h, B, A ; 382
+ MAC *AR4-0B, #02ECAh, B, B ; 383
+ MAC *AR4-0B, #02ECBh, B ; 384
+ MAC *AR4-0, #02ECCh, A, A ; 385
+ MAC *AR4-0, #02ECDh, A, B ; 386
+ MAC *AR4-0, #02ECEh, A ; 387
+ MAC *AR4-0, #02ECFh, B, A ; 388
+ MAC *AR4-0, #02ED0h, B, B ; 389
+ MAC *AR4-0, #02ED1h, B ; 390
+ MAC *AR4+0, #02ED2h, A, A ; 391
+ MAC *AR4+0, #02ED3h, A, B ; 392
+ MAC *AR4+0, #02ED4h, A ; 393
+ MAC *AR4+0, #02ED5h, B, A ; 394
+ MAC *AR4+0, #02ED6h, B, B ; 395
+ MAC *AR4+0, #02ED7h, B ; 396
+ MAC *AR4+0B, #02ED8h, A, A ; 397
+ MAC *AR4+0B, #02ED9h, A, B ; 398
+ MAC *AR4+0B, #02EDAh, A ; 399
+ MAC *AR4+0B, #02EDBh, B, A ; 400
+ MAC *AR4+0B, #02EDCh, B, B ; 401
+ MAC *AR4+0B, #02EDDh, B ; 402
+ MAC *AR4-%, #02EDEh, A, A ; 403
+ MAC *AR4-%, #02EDFh, A, B ; 404
+ MAC *AR4-%, #02EE0h, A ; 405
+ MAC *AR4-%, #02EE1h, B, A ; 406
+ MAC *AR4-%, #02EE2h, B, B ; 407
+ MAC *AR4-%, #02EE3h, B ; 408
+ MAC *AR4-0%, #02EE4h, A, A ; 409
+ MAC *AR4-0%, #02EE5h, A, B ; 410
+ MAC *AR4-0%, #02EE6h, A ; 411
+ MAC *AR4-0%, #02EE7h, B, A ; 412
+ MAC *AR4-0%, #02EE8h, B, B ; 413
+ MAC *AR4-0%, #02EE9h, B ; 414
+ MAC *AR4+%, #02EEAh, A, A ; 415
+ MAC *AR4+%, #02EEBh, A, B ; 416
+ MAC *AR4+%, #02EECh, A ; 417
+ MAC *AR4+%, #02EEDh, B, A ; 418
+ MAC *AR4+%, #02EEEh, B, B ; 419
+ MAC *AR4+%, #02EEFh, B ; 420
+ MAC *AR4+0%, #02EF0h, A, A ; 421
+ MAC *AR4+0%, #02EF1h, A, B ; 422
+ MAC *AR4+0%, #02EF2h, A ; 423
+ MAC *AR4+0%, #02EF3h, B, A ; 424
+ MAC *AR4+0%, #02EF4h, B, B ; 425
+ MAC *AR4+0%, #02EF5h, B ; 426
+ MAC *AR4(02EF6h), #02EF7h, A, A ; 427
+ MAC *AR4(02EF8h), #02EF9h, A, B ; 428
+ MAC *AR4(02EFAh), #02EFBh, A ; 429
+ MAC *AR4(02EFCh), #02EFDh, B, A ; 430
+ MAC *AR4(02EFEh), #02EFFh, B, B ; 431
+ MAC *AR4(02F00h), #02F01h, B ; 432
+ MAC *+AR4(02F02h), #02F03h, A, A ; 433
+ MAC *+AR4(02F04h), #02F05h, A, B ; 434
+ MAC *+AR4(02F06h), #02F07h, A ; 435
+ MAC *+AR4(02F08h), #02F09h, B, A ; 436
+ MAC *+AR4(02F0Ah), #02F0Bh, B, B ; 437
+ MAC *+AR4(02F0Ch), #02F0Dh, B ; 438
+ MAC *+AR4(02F0Eh)%, #02F0Fh, A, A ; 439
+ MAC *+AR4(02F10h)%, #02F11h, A, B ; 440
+ MAC *+AR4(02F12h)%, #02F13h, A ; 441
+ MAC *+AR4(02F14h)%, #02F15h, B, A ; 442
+ MAC *+AR4(02F16h)%, #02F17h, B, B ; 443
+ MAC *+AR4(02F18h)%, #02F19h, B ; 444
+ MAC *(02F1Ah), #02F1Bh, A, A ; 445
+ MAC *(02F1Ch), #02F1Dh, A, B ; 446
+ MAC *(02F1Eh), #02F1Fh, A ; 447
+ MAC *(02F20h), #02F21h, B, A ; 448
+ MAC *(02F22h), #02F23h, B, B ; 449
+ MAC *(02F24h), #02F25h, B ; 450
+ MAC *AR5, #02F26h, A, A ; 451
+ MAC *AR5, #02F27h, A, B ; 452
+ MAC *AR5, #02F28h, A ; 453
+ MAC *AR5, #02F29h, B, A ; 454
+ MAC *AR5, #02F2Ah, B, B ; 455
+ MAC *AR5, #02F2Bh, B ; 456
+ MAC *AR5-, #02F2Ch, A, A ; 457
+ MAC *AR5-, #02F2Dh, A, B ; 458
+ MAC *AR5-, #02F2Eh, A ; 459
+ MAC *AR5-, #02F2Fh, B, A ; 460
+ MAC *AR5-, #02F30h, B, B ; 461
+ MAC *AR5-, #02F31h, B ; 462
+ MAC *AR5+, #02F32h, A, A ; 463
+ MAC *AR5+, #02F33h, A, B ; 464
+ MAC *AR5+, #02F34h, A ; 465
+ MAC *AR5+, #02F35h, B, A ; 466
+ MAC *AR5+, #02F36h, B, B ; 467
+ MAC *AR5+, #02F37h, B ; 468
+ MAC *AR5-0B, #02F38h, A, A ; 469
+ MAC *AR5-0B, #02F39h, A, B ; 470
+ MAC *AR5-0B, #02F3Ah, A ; 471
+ MAC *AR5-0B, #02F3Bh, B, A ; 472
+ MAC *AR5-0B, #02F3Ch, B, B ; 473
+ MAC *AR5-0B, #02F3Dh, B ; 474
+ MAC *AR5-0, #02F3Eh, A, A ; 475
+ MAC *AR5-0, #02F3Fh, A, B ; 476
+ MAC *AR5-0, #02F40h, A ; 477
+ MAC *AR5-0, #02F41h, B, A ; 478
+ MAC *AR5-0, #02F42h, B, B ; 479
+ MAC *AR5-0, #02F43h, B ; 480
+ MAC *AR5+0, #02F44h, A, A ; 481
+ MAC *AR5+0, #02F45h, A, B ; 482
+ MAC *AR5+0, #02F46h, A ; 483
+ MAC *AR5+0, #02F47h, B, A ; 484
+ MAC *AR5+0, #02F48h, B, B ; 485
+ MAC *AR5+0, #02F49h, B ; 486
+ MAC *AR5+0B, #02F4Ah, A, A ; 487
+ MAC *AR5+0B, #02F4Bh, A, B ; 488
+ MAC *AR5+0B, #02F4Ch, A ; 489
+ MAC *AR5+0B, #02F4Dh, B, A ; 490
+ MAC *AR5+0B, #02F4Eh, B, B ; 491
+ MAC *AR5+0B, #02F4Fh, B ; 492
+ MAC *AR5-%, #02F50h, A, A ; 493
+ MAC *AR5-%, #02F51h, A, B ; 494
+ MAC *AR5-%, #02F52h, A ; 495
+ MAC *AR5-%, #02F53h, B, A ; 496
+ MAC *AR5-%, #02F54h, B, B ; 497
+ MAC *AR5-%, #02F55h, B ; 498
+ MAC *AR5-0%, #02F56h, A, A ; 499
+ MAC *AR5-0%, #02F57h, A, B ; 500
+ MAC *AR5-0%, #02F58h, A ; 501
+ MAC *AR5-0%, #02F59h, B, A ; 502
+ MAC *AR5-0%, #02F5Ah, B, B ; 503
+ MAC *AR5-0%, #02F5Bh, B ; 504
+ MAC *AR5+%, #02F5Ch, A, A ; 505
+ MAC *AR5+%, #02F5Dh, A, B ; 506
+ MAC *AR5+%, #02F5Eh, A ; 507
+ MAC *AR5+%, #02F5Fh, B, A ; 508
+ MAC *AR5+%, #02F60h, B, B ; 509
+ MAC *AR5+%, #02F61h, B ; 510
+ MAC *AR5+0%, #02F62h, A, A ; 511
+ MAC *AR5+0%, #02F63h, A, B ; 512
+ MAC *AR5+0%, #02F64h, A ; 513
+ MAC *AR5+0%, #02F65h, B, A ; 514
+ MAC *AR5+0%, #02F66h, B, B ; 515
+ MAC *AR5+0%, #02F67h, B ; 516
+ MAC *AR5(02F68h), #02F69h, A, A ; 517
+ MAC *AR5(02F6Ah), #02F6Bh, A, B ; 518
+ MAC *AR5(02F6Ch), #02F6Dh, A ; 519
+ MAC *AR5(02F6Eh), #02F6Fh, B, A ; 520
+ MAC *AR5(02F70h), #02F71h, B, B ; 521
+ MAC *AR5(02F72h), #02F73h, B ; 522
+ MAC *+AR5(02F74h), #02F75h, A, A ; 523
+ MAC *+AR5(02F76h), #02F77h, A, B ; 524
+ MAC *+AR5(02F78h), #02F79h, A ; 525
+ MAC *+AR5(02F7Ah), #02F7Bh, B, A ; 526
+ MAC *+AR5(02F7Ch), #02F7Dh, B, B ; 527
+ MAC *+AR5(02F7Eh), #02F7Fh, B ; 528
+ MAC *+AR5(02F80h)%, #02F81h, A, A ; 529
+ MAC *+AR5(02F82h)%, #02F83h, A, B ; 530
+ MAC *+AR5(02F84h)%, #02F85h, A ; 531
+ MAC *+AR5(02F86h)%, #02F87h, B, A ; 532
+ MAC *+AR5(02F88h)%, #02F89h, B, B ; 533
+ MAC *+AR5(02F8Ah)%, #02F8Bh, B ; 534
+ MAC *(02F8Ch), #02F8Dh, A, A ; 535
+ MAC *(02F8Eh), #02F8Fh, A, B ; 536
+ MAC *(02F90h), #02F91h, A ; 537
+ MAC *(02F92h), #02F93h, B, A ; 538
+ MAC *(02F94h), #02F95h, B, B ; 539
+ MAC *(02F96h), #02F97h, B ; 540
+ MAC *AR6, #02F98h, A, A ; 541
+ MAC *AR6, #02F99h, A, B ; 542
+ MAC *AR6, #02F9Ah, A ; 543
+ MAC *AR6, #02F9Bh, B, A ; 544
+ MAC *AR6, #02F9Ch, B, B ; 545
+ MAC *AR6, #02F9Dh, B ; 546
+ MAC *AR6-, #02F9Eh, A, A ; 547
+ MAC *AR6-, #02F9Fh, A, B ; 548
+ MAC *AR6-, #02FA0h, A ; 549
+ MAC *AR6-, #02FA1h, B, A ; 550
+ MAC *AR6-, #02FA2h, B, B ; 551
+ MAC *AR6-, #02FA3h, B ; 552
+ MAC *AR6+, #02FA4h, A, A ; 553
+ MAC *AR6+, #02FA5h, A, B ; 554
+ MAC *AR6+, #02FA6h, A ; 555
+ MAC *AR6+, #02FA7h, B, A ; 556
+ MAC *AR6+, #02FA8h, B, B ; 557
+ MAC *AR6+, #02FA9h, B ; 558
+ MAC *AR6-0B, #02FAAh, A, A ; 559
+ MAC *AR6-0B, #02FABh, A, B ; 560
+ MAC *AR6-0B, #02FACh, A ; 561
+ MAC *AR6-0B, #02FADh, B, A ; 562
+ MAC *AR6-0B, #02FAEh, B, B ; 563
+ MAC *AR6-0B, #02FAFh, B ; 564
+ MAC *AR6-0, #02FB0h, A, A ; 565
+ MAC *AR6-0, #02FB1h, A, B ; 566
+ MAC *AR6-0, #02FB2h, A ; 567
+ MAC *AR6-0, #02FB3h, B, A ; 568
+ MAC *AR6-0, #02FB4h, B, B ; 569
+ MAC *AR6-0, #02FB5h, B ; 570
+ MAC *AR6+0, #02FB6h, A, A ; 571
+ MAC *AR6+0, #02FB7h, A, B ; 572
+ MAC *AR6+0, #02FB8h, A ; 573
+ MAC *AR6+0, #02FB9h, B, A ; 574
+ MAC *AR6+0, #02FBAh, B, B ; 575
+ MAC *AR6+0, #02FBBh, B ; 576
+ MAC *AR6+0B, #02FBCh, A, A ; 577
+ MAC *AR6+0B, #02FBDh, A, B ; 578
+ MAC *AR6+0B, #02FBEh, A ; 579
+ MAC *AR6+0B, #02FBFh, B, A ; 580
+ MAC *AR6+0B, #02FC0h, B, B ; 581
+ MAC *AR6+0B, #02FC1h, B ; 582
+ MAC *AR6-%, #02FC2h, A, A ; 583
+ MAC *AR6-%, #02FC3h, A, B ; 584
+ MAC *AR6-%, #02FC4h, A ; 585
+ MAC *AR6-%, #02FC5h, B, A ; 586
+ MAC *AR6-%, #02FC6h, B, B ; 587
+ MAC *AR6-%, #02FC7h, B ; 588
+ MAC *AR6-0%, #02FC8h, A, A ; 589
+ MAC *AR6-0%, #02FC9h, A, B ; 590
+ MAC *AR6-0%, #02FCAh, A ; 591
+ MAC *AR6-0%, #02FCBh, B, A ; 592
+ MAC *AR6-0%, #02FCCh, B, B ; 593
+ MAC *AR6-0%, #02FCDh, B ; 594
+ MAC *AR6+%, #02FCEh, A, A ; 595
+ MAC *AR6+%, #02FCFh, A, B ; 596
+ MAC *AR6+%, #02FD0h, A ; 597
+ MAC *AR6+%, #02FD1h, B, A ; 598
+ MAC *AR6+%, #02FD2h, B, B ; 599
+ MAC *AR6+%, #02FD3h, B ; 600
+ MAC *AR6+0%, #02FD4h, A, A ; 601
+ MAC *AR6+0%, #02FD5h, A, B ; 602
+ MAC *AR6+0%, #02FD6h, A ; 603
+ MAC *AR6+0%, #02FD7h, B, A ; 604
+ MAC *AR6+0%, #02FD8h, B, B ; 605
+ MAC *AR6+0%, #02FD9h, B ; 606
+ MAC *AR6(02FDAh), #02FDBh, A, A ; 607
+ MAC *AR6(02FDCh), #02FDDh, A, B ; 608
+ MAC *AR6(02FDEh), #02FDFh, A ; 609
+ MAC *AR6(02FE0h), #02FE1h, B, A ; 610
+ MAC *AR6(02FE2h), #02FE3h, B, B ; 611
+ MAC *AR6(02FE4h), #02FE5h, B ; 612
+ MAC *+AR6(02FE6h), #02FE7h, A, A ; 613
+ MAC *+AR6(02FE8h), #02FE9h, A, B ; 614
+ MAC *+AR6(02FEAh), #02FEBh, A ; 615
+ MAC *+AR6(02FECh), #02FEDh, B, A ; 616
+ MAC *+AR6(02FEEh), #02FEFh, B, B ; 617
+ MAC *+AR6(02FF0h), #02FF1h, B ; 618
+ MAC *+AR6(02FF2h)%, #02FF3h, A, A ; 619
+ MAC *+AR6(02FF4h)%, #02FF5h, A, B ; 620
+ MAC *+AR6(02FF6h)%, #02FF7h, A ; 621
+ MAC *+AR6(02FF8h)%, #02FF9h, B, A ; 622
+ MAC *+AR6(02FFAh)%, #02FFBh, B, B ; 623
+ MAC *+AR6(02FFCh)%, #02FFDh, B ; 624
+ MAC *(02FFEh), #02FFFh, A, A ; 625
+ MAC *(03000h), #03001h, A, B ; 626
+ MAC *(03002h), #03003h, A ; 627
+ MAC *(03004h), #03005h, B, A ; 628
+ MAC *(03006h), #03007h, B, B ; 629
+ MAC *(03008h), #03009h, B ; 630
+ MAC *AR7, #0300Ah, A, A ; 631
+ MAC *AR7, #0300Bh, A, B ; 632
+ MAC *AR7, #0300Ch, A ; 633
+ MAC *AR7, #0300Dh, B, A ; 634
+ MAC *AR7, #0300Eh, B, B ; 635
+ MAC *AR7, #0300Fh, B ; 636
+ MAC *AR7-, #03010h, A, A ; 637
+ MAC *AR7-, #03011h, A, B ; 638
+ MAC *AR7-, #03012h, A ; 639
+ MAC *AR7-, #03013h, B, A ; 640
+ MAC *AR7-, #03014h, B, B ; 641
+ MAC *AR7-, #03015h, B ; 642
+ MAC *AR7+, #03016h, A, A ; 643
+ MAC *AR7+, #03017h, A, B ; 644
+ MAC *AR7+, #03018h, A ; 645
+ MAC *AR7+, #03019h, B, A ; 646
+ MAC *AR7+, #0301Ah, B, B ; 647
+ MAC *AR7+, #0301Bh, B ; 648
+ MAC *AR7-0B, #0301Ch, A, A ; 649
+ MAC *AR7-0B, #0301Dh, A, B ; 650
+ MAC *AR7-0B, #0301Eh, A ; 651
+ MAC *AR7-0B, #0301Fh, B, A ; 652
+ MAC *AR7-0B, #03020h, B, B ; 653
+ MAC *AR7-0B, #03021h, B ; 654
+ MAC *AR7-0, #03022h, A, A ; 655
+ MAC *AR7-0, #03023h, A, B ; 656
+ MAC *AR7-0, #03024h, A ; 657
+ MAC *AR7-0, #03025h, B, A ; 658
+ MAC *AR7-0, #03026h, B, B ; 659
+ MAC *AR7-0, #03027h, B ; 660
+ MAC *AR7+0, #03028h, A, A ; 661
+ MAC *AR7+0, #03029h, A, B ; 662
+ MAC *AR7+0, #0302Ah, A ; 663
+ MAC *AR7+0, #0302Bh, B, A ; 664
+ MAC *AR7+0, #0302Ch, B, B ; 665
+ MAC *AR7+0, #0302Dh, B ; 666
+ MAC *AR7+0B, #0302Eh, A, A ; 667
+ MAC *AR7+0B, #0302Fh, A, B ; 668
+ MAC *AR7+0B, #03030h, A ; 669
+ MAC *AR7+0B, #03031h, B, A ; 670
+ MAC *AR7+0B, #03032h, B, B ; 671
+ MAC *AR7+0B, #03033h, B ; 672
+ MAC *AR7-%, #03034h, A, A ; 673
+ MAC *AR7-%, #03035h, A, B ; 674
+ MAC *AR7-%, #03036h, A ; 675
+ MAC *AR7-%, #03037h, B, A ; 676
+ MAC *AR7-%, #03038h, B, B ; 677
+ MAC *AR7-%, #03039h, B ; 678
+ MAC *AR7-0%, #0303Ah, A, A ; 679
+ MAC *AR7-0%, #0303Bh, A, B ; 680
+ MAC *AR7-0%, #0303Ch, A ; 681
+ MAC *AR7-0%, #0303Dh, B, A ; 682
+ MAC *AR7-0%, #0303Eh, B, B ; 683
+ MAC *AR7-0%, #0303Fh, B ; 684
+ MAC *AR7+%, #03040h, A, A ; 685
+ MAC *AR7+%, #03041h, A, B ; 686
+ MAC *AR7+%, #03042h, A ; 687
+ MAC *AR7+%, #03043h, B, A ; 688
+ MAC *AR7+%, #03044h, B, B ; 689
+ MAC *AR7+%, #03045h, B ; 690
+ MAC *AR7+0%, #03046h, A, A ; 691
+ MAC *AR7+0%, #03047h, A, B ; 692
+ MAC *AR7+0%, #03048h, A ; 693
+ MAC *AR7+0%, #03049h, B, A ; 694
+ MAC *AR7+0%, #0304Ah, B, B ; 695
+ MAC *AR7+0%, #0304Bh, B ; 696
+ MAC *AR7(0304Ch), #0304Dh, A, A ; 697
+ MAC *AR7(0304Eh), #0304Fh, A, B ; 698
+ MAC *AR7(03050h), #03051h, A ; 699
+ MAC *AR7(03052h), #03053h, B, A ; 700
+ MAC *AR7(03054h), #03055h, B, B ; 701
+ MAC *AR7(03056h), #03057h, B ; 702
+ MAC *+AR7(03058h), #03059h, A, A ; 703
+ MAC *+AR7(0305Ah), #0305Bh, A, B ; 704
+ MAC *+AR7(0305Ch), #0305Dh, A ; 705
+ MAC *+AR7(0305Eh), #0305Fh, B, A ; 706
+ MAC *+AR7(03060h), #03061h, B, B ; 707
+ MAC *+AR7(03062h), #03063h, B ; 708
+ MAC *+AR7(03064h)%, #03065h, A, A ; 709
+ MAC *+AR7(03066h)%, #03067h, A, B ; 710
+ MAC *+AR7(03068h)%, #03069h, A ; 711
+ MAC *+AR7(0306Ah)%, #0306Bh, B, A ; 712
+ MAC *+AR7(0306Ch)%, #0306Dh, B, B ; 713
+ MAC *+AR7(0306Eh)%, #0306Fh, B ; 714
+ MAC *(03070h), #03071h, A, A ; 715
+ MAC *(03072h), #03073h, A, B ; 716
+ MAC *(03074h), #03075h, A ; 717
+ MAC *(03076h), #03077h, B, A ; 718
+ MAC *(03078h), #03079h, B, B ; 719
+ MAC *(0307Ah), #0307Bh, B ; 720
+ ; MACxmym : MAC Xmem, Ymem, AB, AB2 : 1536
+ MAC *AR2, *AR2, A, A ; 1
+ MAC *AR2, *AR2, A, B ; 2
+ MAC *AR2, *AR2, A ; 3
+ MAC *AR2, *AR2, B, A ; 4
+ MAC *AR2, *AR2, B, B ; 5
+ MAC *AR2, *AR2, B ; 6
+ MAC *AR2, *AR2-, A, A ; 7
+ MAC *AR2, *AR2-, A, B ; 8
+ MAC *AR2, *AR2-, A ; 9
+ MAC *AR2, *AR2-, B, A ; 10
+ MAC *AR2, *AR2-, B, B ; 11
+ MAC *AR2, *AR2-, B ; 12
+ MAC *AR2, *AR2+, A, A ; 13
+ MAC *AR2, *AR2+, A, B ; 14
+ MAC *AR2, *AR2+, A ; 15
+ MAC *AR2, *AR2+, B, A ; 16
+ MAC *AR2, *AR2+, B, B ; 17
+ MAC *AR2, *AR2+, B ; 18
+ MAC *AR2, *AR2+0%, A, A ; 19
+ MAC *AR2, *AR2+0%, A, B ; 20
+ MAC *AR2, *AR2+0%, A ; 21
+ MAC *AR2, *AR2+0%, B, A ; 22
+ MAC *AR2, *AR2+0%, B, B ; 23
+ MAC *AR2, *AR2+0%, B ; 24
+ MAC *AR2, *AR3, A, A ; 25
+ MAC *AR2, *AR3, A, B ; 26
+ MAC *AR2, *AR3, A ; 27
+ MAC *AR2, *AR3, B, A ; 28
+ MAC *AR2, *AR3, B, B ; 29
+ MAC *AR2, *AR3, B ; 30
+ MAC *AR2, *AR3-, A, A ; 31
+ MAC *AR2, *AR3-, A, B ; 32
+ MAC *AR2, *AR3-, A ; 33
+ MAC *AR2, *AR3-, B, A ; 34
+ MAC *AR2, *AR3-, B, B ; 35
+ MAC *AR2, *AR3-, B ; 36
+ MAC *AR2, *AR3+, A, A ; 37
+ MAC *AR2, *AR3+, A, B ; 38
+ MAC *AR2, *AR3+, A ; 39
+ MAC *AR2, *AR3+, B, A ; 40
+ MAC *AR2, *AR3+, B, B ; 41
+ MAC *AR2, *AR3+, B ; 42
+ MAC *AR2, *AR3+0%, A, A ; 43
+ MAC *AR2, *AR3+0%, A, B ; 44
+ MAC *AR2, *AR3+0%, A ; 45
+ MAC *AR2, *AR3+0%, B, A ; 46
+ MAC *AR2, *AR3+0%, B, B ; 47
+ MAC *AR2, *AR3+0%, B ; 48
+ MAC *AR2, *AR4, A, A ; 49
+ MAC *AR2, *AR4, A, B ; 50
+ MAC *AR2, *AR4, A ; 51
+ MAC *AR2, *AR4, B, A ; 52
+ MAC *AR2, *AR4, B, B ; 53
+ MAC *AR2, *AR4, B ; 54
+ MAC *AR2, *AR4-, A, A ; 55
+ MAC *AR2, *AR4-, A, B ; 56
+ MAC *AR2, *AR4-, A ; 57
+ MAC *AR2, *AR4-, B, A ; 58
+ MAC *AR2, *AR4-, B, B ; 59
+ MAC *AR2, *AR4-, B ; 60
+ MAC *AR2, *AR4+, A, A ; 61
+ MAC *AR2, *AR4+, A, B ; 62
+ MAC *AR2, *AR4+, A ; 63
+ MAC *AR2, *AR4+, B, A ; 64
+ MAC *AR2, *AR4+, B, B ; 65
+ MAC *AR2, *AR4+, B ; 66
+ MAC *AR2, *AR4+0%, A, A ; 67
+ MAC *AR2, *AR4+0%, A, B ; 68
+ MAC *AR2, *AR4+0%, A ; 69
+ MAC *AR2, *AR4+0%, B, A ; 70
+ MAC *AR2, *AR4+0%, B, B ; 71
+ MAC *AR2, *AR4+0%, B ; 72
+ MAC *AR2, *AR5, A, A ; 73
+ MAC *AR2, *AR5, A, B ; 74
+ MAC *AR2, *AR5, A ; 75
+ MAC *AR2, *AR5, B, A ; 76
+ MAC *AR2, *AR5, B, B ; 77
+ MAC *AR2, *AR5, B ; 78
+ MAC *AR2, *AR5-, A, A ; 79
+ MAC *AR2, *AR5-, A, B ; 80
+ MAC *AR2, *AR5-, A ; 81
+ MAC *AR2, *AR5-, B, A ; 82
+ MAC *AR2, *AR5-, B, B ; 83
+ MAC *AR2, *AR5-, B ; 84
+ MAC *AR2, *AR5+, A, A ; 85
+ MAC *AR2, *AR5+, A, B ; 86
+ MAC *AR2, *AR5+, A ; 87
+ MAC *AR2, *AR5+, B, A ; 88
+ MAC *AR2, *AR5+, B, B ; 89
+ MAC *AR2, *AR5+, B ; 90
+ MAC *AR2, *AR5+0%, A, A ; 91
+ MAC *AR2, *AR5+0%, A, B ; 92
+ MAC *AR2, *AR5+0%, A ; 93
+ MAC *AR2, *AR5+0%, B, A ; 94
+ MAC *AR2, *AR5+0%, B, B ; 95
+ MAC *AR2, *AR5+0%, B ; 96
+ MAC *AR2-, *AR2, A, A ; 97
+ MAC *AR2-, *AR2, A, B ; 98
+ MAC *AR2-, *AR2, A ; 99
+ MAC *AR2-, *AR2, B, A ; 100
+ MAC *AR2-, *AR2, B, B ; 101
+ MAC *AR2-, *AR2, B ; 102
+ MAC *AR2-, *AR2-, A, A ; 103
+ MAC *AR2-, *AR2-, A, B ; 104
+ MAC *AR2-, *AR2-, A ; 105
+ MAC *AR2-, *AR2-, B, A ; 106
+ MAC *AR2-, *AR2-, B, B ; 107
+ MAC *AR2-, *AR2-, B ; 108
+ MAC *AR2-, *AR2+, A, A ; 109
+ MAC *AR2-, *AR2+, A, B ; 110
+ MAC *AR2-, *AR2+, A ; 111
+ MAC *AR2-, *AR2+, B, A ; 112
+ MAC *AR2-, *AR2+, B, B ; 113
+ MAC *AR2-, *AR2+, B ; 114
+ MAC *AR2-, *AR2+0%, A, A ; 115
+ MAC *AR2-, *AR2+0%, A, B ; 116
+ MAC *AR2-, *AR2+0%, A ; 117
+ MAC *AR2-, *AR2+0%, B, A ; 118
+ MAC *AR2-, *AR2+0%, B, B ; 119
+ MAC *AR2-, *AR2+0%, B ; 120
+ MAC *AR2-, *AR3, A, A ; 121
+ MAC *AR2-, *AR3, A, B ; 122
+ MAC *AR2-, *AR3, A ; 123
+ MAC *AR2-, *AR3, B, A ; 124
+ MAC *AR2-, *AR3, B, B ; 125
+ MAC *AR2-, *AR3, B ; 126
+ MAC *AR2-, *AR3-, A, A ; 127
+ MAC *AR2-, *AR3-, A, B ; 128
+ MAC *AR2-, *AR3-, A ; 129
+ MAC *AR2-, *AR3-, B, A ; 130
+ MAC *AR2-, *AR3-, B, B ; 131
+ MAC *AR2-, *AR3-, B ; 132
+ MAC *AR2-, *AR3+, A, A ; 133
+ MAC *AR2-, *AR3+, A, B ; 134
+ MAC *AR2-, *AR3+, A ; 135
+ MAC *AR2-, *AR3+, B, A ; 136
+ MAC *AR2-, *AR3+, B, B ; 137
+ MAC *AR2-, *AR3+, B ; 138
+ MAC *AR2-, *AR3+0%, A, A ; 139
+ MAC *AR2-, *AR3+0%, A, B ; 140
+ MAC *AR2-, *AR3+0%, A ; 141
+ MAC *AR2-, *AR3+0%, B, A ; 142
+ MAC *AR2-, *AR3+0%, B, B ; 143
+ MAC *AR2-, *AR3+0%, B ; 144
+ MAC *AR2-, *AR4, A, A ; 145
+ MAC *AR2-, *AR4, A, B ; 146
+ MAC *AR2-, *AR4, A ; 147
+ MAC *AR2-, *AR4, B, A ; 148
+ MAC *AR2-, *AR4, B, B ; 149
+ MAC *AR2-, *AR4, B ; 150
+ MAC *AR2-, *AR4-, A, A ; 151
+ MAC *AR2-, *AR4-, A, B ; 152
+ MAC *AR2-, *AR4-, A ; 153
+ MAC *AR2-, *AR4-, B, A ; 154
+ MAC *AR2-, *AR4-, B, B ; 155
+ MAC *AR2-, *AR4-, B ; 156
+ MAC *AR2-, *AR4+, A, A ; 157
+ MAC *AR2-, *AR4+, A, B ; 158
+ MAC *AR2-, *AR4+, A ; 159
+ MAC *AR2-, *AR4+, B, A ; 160
+ MAC *AR2-, *AR4+, B, B ; 161
+ MAC *AR2-, *AR4+, B ; 162
+ MAC *AR2-, *AR4+0%, A, A ; 163
+ MAC *AR2-, *AR4+0%, A, B ; 164
+ MAC *AR2-, *AR4+0%, A ; 165
+ MAC *AR2-, *AR4+0%, B, A ; 166
+ MAC *AR2-, *AR4+0%, B, B ; 167
+ MAC *AR2-, *AR4+0%, B ; 168
+ MAC *AR2-, *AR5, A, A ; 169
+ MAC *AR2-, *AR5, A, B ; 170
+ MAC *AR2-, *AR5, A ; 171
+ MAC *AR2-, *AR5, B, A ; 172
+ MAC *AR2-, *AR5, B, B ; 173
+ MAC *AR2-, *AR5, B ; 174
+ MAC *AR2-, *AR5-, A, A ; 175
+ MAC *AR2-, *AR5-, A, B ; 176
+ MAC *AR2-, *AR5-, A ; 177
+ MAC *AR2-, *AR5-, B, A ; 178
+ MAC *AR2-, *AR5-, B, B ; 179
+ MAC *AR2-, *AR5-, B ; 180
+ MAC *AR2-, *AR5+, A, A ; 181
+ MAC *AR2-, *AR5+, A, B ; 182
+ MAC *AR2-, *AR5+, A ; 183
+ MAC *AR2-, *AR5+, B, A ; 184
+ MAC *AR2-, *AR5+, B, B ; 185
+ MAC *AR2-, *AR5+, B ; 186
+ MAC *AR2-, *AR5+0%, A, A ; 187
+ MAC *AR2-, *AR5+0%, A, B ; 188
+ MAC *AR2-, *AR5+0%, A ; 189
+ MAC *AR2-, *AR5+0%, B, A ; 190
+ MAC *AR2-, *AR5+0%, B, B ; 191
+ MAC *AR2-, *AR5+0%, B ; 192
+ MAC *AR2+, *AR2, A, A ; 193
+ MAC *AR2+, *AR2, A, B ; 194
+ MAC *AR2+, *AR2, A ; 195
+ MAC *AR2+, *AR2, B, A ; 196
+ MAC *AR2+, *AR2, B, B ; 197
+ MAC *AR2+, *AR2, B ; 198
+ MAC *AR2+, *AR2-, A, A ; 199
+ MAC *AR2+, *AR2-, A, B ; 200
+ MAC *AR2+, *AR2-, A ; 201
+ MAC *AR2+, *AR2-, B, A ; 202
+ MAC *AR2+, *AR2-, B, B ; 203
+ MAC *AR2+, *AR2-, B ; 204
+ MAC *AR2+, *AR2+, A, A ; 205
+ MAC *AR2+, *AR2+, A, B ; 206
+ MAC *AR2+, *AR2+, A ; 207
+ MAC *AR2+, *AR2+, B, A ; 208
+ MAC *AR2+, *AR2+, B, B ; 209
+ MAC *AR2+, *AR2+, B ; 210
+ MAC *AR2+, *AR2+0%, A, A ; 211
+ MAC *AR2+, *AR2+0%, A, B ; 212
+ MAC *AR2+, *AR2+0%, A ; 213
+ MAC *AR2+, *AR2+0%, B, A ; 214
+ MAC *AR2+, *AR2+0%, B, B ; 215
+ MAC *AR2+, *AR2+0%, B ; 216
+ MAC *AR2+, *AR3, A, A ; 217
+ MAC *AR2+, *AR3, A, B ; 218
+ MAC *AR2+, *AR3, A ; 219
+ MAC *AR2+, *AR3, B, A ; 220
+ MAC *AR2+, *AR3, B, B ; 221
+ MAC *AR2+, *AR3, B ; 222
+ MAC *AR2+, *AR3-, A, A ; 223
+ MAC *AR2+, *AR3-, A, B ; 224
+ MAC *AR2+, *AR3-, A ; 225
+ MAC *AR2+, *AR3-, B, A ; 226
+ MAC *AR2+, *AR3-, B, B ; 227
+ MAC *AR2+, *AR3-, B ; 228
+ MAC *AR2+, *AR3+, A, A ; 229
+ MAC *AR2+, *AR3+, A, B ; 230
+ MAC *AR2+, *AR3+, A ; 231
+ MAC *AR2+, *AR3+, B, A ; 232
+ MAC *AR2+, *AR3+, B, B ; 233
+ MAC *AR2+, *AR3+, B ; 234
+ MAC *AR2+, *AR3+0%, A, A ; 235
+ MAC *AR2+, *AR3+0%, A, B ; 236
+ MAC *AR2+, *AR3+0%, A ; 237
+ MAC *AR2+, *AR3+0%, B, A ; 238
+ MAC *AR2+, *AR3+0%, B, B ; 239
+ MAC *AR2+, *AR3+0%, B ; 240
+ MAC *AR2+, *AR4, A, A ; 241
+ MAC *AR2+, *AR4, A, B ; 242
+ MAC *AR2+, *AR4, A ; 243
+ MAC *AR2+, *AR4, B, A ; 244
+ MAC *AR2+, *AR4, B, B ; 245
+ MAC *AR2+, *AR4, B ; 246
+ MAC *AR2+, *AR4-, A, A ; 247
+ MAC *AR2+, *AR4-, A, B ; 248
+ MAC *AR2+, *AR4-, A ; 249
+ MAC *AR2+, *AR4-, B, A ; 250
+ MAC *AR2+, *AR4-, B, B ; 251
+ MAC *AR2+, *AR4-, B ; 252
+ MAC *AR2+, *AR4+, A, A ; 253
+ MAC *AR2+, *AR4+, A, B ; 254
+ MAC *AR2+, *AR4+, A ; 255
+ MAC *AR2+, *AR4+, B, A ; 256
+ MAC *AR2+, *AR4+, B, B ; 257
+ MAC *AR2+, *AR4+, B ; 258
+ MAC *AR2+, *AR4+0%, A, A ; 259
+ MAC *AR2+, *AR4+0%, A, B ; 260
+ MAC *AR2+, *AR4+0%, A ; 261
+ MAC *AR2+, *AR4+0%, B, A ; 262
+ MAC *AR2+, *AR4+0%, B, B ; 263
+ MAC *AR2+, *AR4+0%, B ; 264
+ MAC *AR2+, *AR5, A, A ; 265
+ MAC *AR2+, *AR5, A, B ; 266
+ MAC *AR2+, *AR5, A ; 267
+ MAC *AR2+, *AR5, B, A ; 268
+ MAC *AR2+, *AR5, B, B ; 269
+ MAC *AR2+, *AR5, B ; 270
+ MAC *AR2+, *AR5-, A, A ; 271
+ MAC *AR2+, *AR5-, A, B ; 272
+ MAC *AR2+, *AR5-, A ; 273
+ MAC *AR2+, *AR5-, B, A ; 274
+ MAC *AR2+, *AR5-, B, B ; 275
+ MAC *AR2+, *AR5-, B ; 276
+ MAC *AR2+, *AR5+, A, A ; 277
+ MAC *AR2+, *AR5+, A, B ; 278
+ MAC *AR2+, *AR5+, A ; 279
+ MAC *AR2+, *AR5+, B, A ; 280
+ MAC *AR2+, *AR5+, B, B ; 281
+ MAC *AR2+, *AR5+, B ; 282
+ MAC *AR2+, *AR5+0%, A, A ; 283
+ MAC *AR2+, *AR5+0%, A, B ; 284
+ MAC *AR2+, *AR5+0%, A ; 285
+ MAC *AR2+, *AR5+0%, B, A ; 286
+ MAC *AR2+, *AR5+0%, B, B ; 287
+ MAC *AR2+, *AR5+0%, B ; 288
+ MAC *AR2+0%, *AR2, A, A ; 289
+ MAC *AR2+0%, *AR2, A, B ; 290
+ MAC *AR2+0%, *AR2, A ; 291
+ MAC *AR2+0%, *AR2, B, A ; 292
+ MAC *AR2+0%, *AR2, B, B ; 293
+ MAC *AR2+0%, *AR2, B ; 294
+ MAC *AR2+0%, *AR2-, A, A ; 295
+ MAC *AR2+0%, *AR2-, A, B ; 296
+ MAC *AR2+0%, *AR2-, A ; 297
+ MAC *AR2+0%, *AR2-, B, A ; 298
+ MAC *AR2+0%, *AR2-, B, B ; 299
+ MAC *AR2+0%, *AR2-, B ; 300
+ MAC *AR2+0%, *AR2+, A, A ; 301
+ MAC *AR2+0%, *AR2+, A, B ; 302
+ MAC *AR2+0%, *AR2+, A ; 303
+ MAC *AR2+0%, *AR2+, B, A ; 304
+ MAC *AR2+0%, *AR2+, B, B ; 305
+ MAC *AR2+0%, *AR2+, B ; 306
+ MAC *AR2+0%, *AR2+0%, A, A ; 307
+ MAC *AR2+0%, *AR2+0%, A, B ; 308
+ MAC *AR2+0%, *AR2+0%, A ; 309
+ MAC *AR2+0%, *AR2+0%, B, A ; 310
+ MAC *AR2+0%, *AR2+0%, B, B ; 311
+ MAC *AR2+0%, *AR2+0%, B ; 312
+ MAC *AR2+0%, *AR3, A, A ; 313
+ MAC *AR2+0%, *AR3, A, B ; 314
+ MAC *AR2+0%, *AR3, A ; 315
+ MAC *AR2+0%, *AR3, B, A ; 316
+ MAC *AR2+0%, *AR3, B, B ; 317
+ MAC *AR2+0%, *AR3, B ; 318
+ MAC *AR2+0%, *AR3-, A, A ; 319
+ MAC *AR2+0%, *AR3-, A, B ; 320
+ MAC *AR2+0%, *AR3-, A ; 321
+ MAC *AR2+0%, *AR3-, B, A ; 322
+ MAC *AR2+0%, *AR3-, B, B ; 323
+ MAC *AR2+0%, *AR3-, B ; 324
+ MAC *AR2+0%, *AR3+, A, A ; 325
+ MAC *AR2+0%, *AR3+, A, B ; 326
+ MAC *AR2+0%, *AR3+, A ; 327
+ MAC *AR2+0%, *AR3+, B, A ; 328
+ MAC *AR2+0%, *AR3+, B, B ; 329
+ MAC *AR2+0%, *AR3+, B ; 330
+ MAC *AR2+0%, *AR3+0%, A, A ; 331
+ MAC *AR2+0%, *AR3+0%, A, B ; 332
+ MAC *AR2+0%, *AR3+0%, A ; 333
+ MAC *AR2+0%, *AR3+0%, B, A ; 334
+ MAC *AR2+0%, *AR3+0%, B, B ; 335
+ MAC *AR2+0%, *AR3+0%, B ; 336
+ MAC *AR2+0%, *AR4, A, A ; 337
+ MAC *AR2+0%, *AR4, A, B ; 338
+ MAC *AR2+0%, *AR4, A ; 339
+ MAC *AR2+0%, *AR4, B, A ; 340
+ MAC *AR2+0%, *AR4, B, B ; 341
+ MAC *AR2+0%, *AR4, B ; 342
+ MAC *AR2+0%, *AR4-, A, A ; 343
+ MAC *AR2+0%, *AR4-, A, B ; 344
+ MAC *AR2+0%, *AR4-, A ; 345
+ MAC *AR2+0%, *AR4-, B, A ; 346
+ MAC *AR2+0%, *AR4-, B, B ; 347
+ MAC *AR2+0%, *AR4-, B ; 348
+ MAC *AR2+0%, *AR4+, A, A ; 349
+ MAC *AR2+0%, *AR4+, A, B ; 350
+ MAC *AR2+0%, *AR4+, A ; 351
+ MAC *AR2+0%, *AR4+, B, A ; 352
+ MAC *AR2+0%, *AR4+, B, B ; 353
+ MAC *AR2+0%, *AR4+, B ; 354
+ MAC *AR2+0%, *AR4+0%, A, A ; 355
+ MAC *AR2+0%, *AR4+0%, A, B ; 356
+ MAC *AR2+0%, *AR4+0%, A ; 357
+ MAC *AR2+0%, *AR4+0%, B, A ; 358
+ MAC *AR2+0%, *AR4+0%, B, B ; 359
+ MAC *AR2+0%, *AR4+0%, B ; 360
+ MAC *AR2+0%, *AR5, A, A ; 361
+ MAC *AR2+0%, *AR5, A, B ; 362
+ MAC *AR2+0%, *AR5, A ; 363
+ MAC *AR2+0%, *AR5, B, A ; 364
+ MAC *AR2+0%, *AR5, B, B ; 365
+ MAC *AR2+0%, *AR5, B ; 366
+ MAC *AR2+0%, *AR5-, A, A ; 367
+ MAC *AR2+0%, *AR5-, A, B ; 368
+ MAC *AR2+0%, *AR5-, A ; 369
+ MAC *AR2+0%, *AR5-, B, A ; 370
+ MAC *AR2+0%, *AR5-, B, B ; 371
+ MAC *AR2+0%, *AR5-, B ; 372
+ MAC *AR2+0%, *AR5+, A, A ; 373
+ MAC *AR2+0%, *AR5+, A, B ; 374
+ MAC *AR2+0%, *AR5+, A ; 375
+ MAC *AR2+0%, *AR5+, B, A ; 376
+ MAC *AR2+0%, *AR5+, B, B ; 377
+ MAC *AR2+0%, *AR5+, B ; 378
+ MAC *AR2+0%, *AR5+0%, A, A ; 379
+ MAC *AR2+0%, *AR5+0%, A, B ; 380
+ MAC *AR2+0%, *AR5+0%, A ; 381
+ MAC *AR2+0%, *AR5+0%, B, A ; 382
+ MAC *AR2+0%, *AR5+0%, B, B ; 383
+ MAC *AR2+0%, *AR5+0%, B ; 384
+ MAC *AR3, *AR2, A, A ; 385
+ MAC *AR3, *AR2, A, B ; 386
+ MAC *AR3, *AR2, A ; 387
+ MAC *AR3, *AR2, B, A ; 388
+ MAC *AR3, *AR2, B, B ; 389
+ MAC *AR3, *AR2, B ; 390
+ MAC *AR3, *AR2-, A, A ; 391
+ MAC *AR3, *AR2-, A, B ; 392
+ MAC *AR3, *AR2-, A ; 393
+ MAC *AR3, *AR2-, B, A ; 394
+ MAC *AR3, *AR2-, B, B ; 395
+ MAC *AR3, *AR2-, B ; 396
+ MAC *AR3, *AR2+, A, A ; 397
+ MAC *AR3, *AR2+, A, B ; 398
+ MAC *AR3, *AR2+, A ; 399
+ MAC *AR3, *AR2+, B, A ; 400
+ MAC *AR3, *AR2+, B, B ; 401
+ MAC *AR3, *AR2+, B ; 402
+ MAC *AR3, *AR2+0%, A, A ; 403
+ MAC *AR3, *AR2+0%, A, B ; 404
+ MAC *AR3, *AR2+0%, A ; 405
+ MAC *AR3, *AR2+0%, B, A ; 406
+ MAC *AR3, *AR2+0%, B, B ; 407
+ MAC *AR3, *AR2+0%, B ; 408
+ MAC *AR3, *AR3, A, A ; 409
+ MAC *AR3, *AR3, A, B ; 410
+ MAC *AR3, *AR3, A ; 411
+ MAC *AR3, *AR3, B, A ; 412
+ MAC *AR3, *AR3, B, B ; 413
+ MAC *AR3, *AR3, B ; 414
+ MAC *AR3, *AR3-, A, A ; 415
+ MAC *AR3, *AR3-, A, B ; 416
+ MAC *AR3, *AR3-, A ; 417
+ MAC *AR3, *AR3-, B, A ; 418
+ MAC *AR3, *AR3-, B, B ; 419
+ MAC *AR3, *AR3-, B ; 420
+ MAC *AR3, *AR3+, A, A ; 421
+ MAC *AR3, *AR3+, A, B ; 422
+ MAC *AR3, *AR3+, A ; 423
+ MAC *AR3, *AR3+, B, A ; 424
+ MAC *AR3, *AR3+, B, B ; 425
+ MAC *AR3, *AR3+, B ; 426
+ MAC *AR3, *AR3+0%, A, A ; 427
+ MAC *AR3, *AR3+0%, A, B ; 428
+ MAC *AR3, *AR3+0%, A ; 429
+ MAC *AR3, *AR3+0%, B, A ; 430
+ MAC *AR3, *AR3+0%, B, B ; 431
+ MAC *AR3, *AR3+0%, B ; 432
+ MAC *AR3, *AR4, A, A ; 433
+ MAC *AR3, *AR4, A, B ; 434
+ MAC *AR3, *AR4, A ; 435
+ MAC *AR3, *AR4, B, A ; 436
+ MAC *AR3, *AR4, B, B ; 437
+ MAC *AR3, *AR4, B ; 438
+ MAC *AR3, *AR4-, A, A ; 439
+ MAC *AR3, *AR4-, A, B ; 440
+ MAC *AR3, *AR4-, A ; 441
+ MAC *AR3, *AR4-, B, A ; 442
+ MAC *AR3, *AR4-, B, B ; 443
+ MAC *AR3, *AR4-, B ; 444
+ MAC *AR3, *AR4+, A, A ; 445
+ MAC *AR3, *AR4+, A, B ; 446
+ MAC *AR3, *AR4+, A ; 447
+ MAC *AR3, *AR4+, B, A ; 448
+ MAC *AR3, *AR4+, B, B ; 449
+ MAC *AR3, *AR4+, B ; 450
+ MAC *AR3, *AR4+0%, A, A ; 451
+ MAC *AR3, *AR4+0%, A, B ; 452
+ MAC *AR3, *AR4+0%, A ; 453
+ MAC *AR3, *AR4+0%, B, A ; 454
+ MAC *AR3, *AR4+0%, B, B ; 455
+ MAC *AR3, *AR4+0%, B ; 456
+ MAC *AR3, *AR5, A, A ; 457
+ MAC *AR3, *AR5, A, B ; 458
+ MAC *AR3, *AR5, A ; 459
+ MAC *AR3, *AR5, B, A ; 460
+ MAC *AR3, *AR5, B, B ; 461
+ MAC *AR3, *AR5, B ; 462
+ MAC *AR3, *AR5-, A, A ; 463
+ MAC *AR3, *AR5-, A, B ; 464
+ MAC *AR3, *AR5-, A ; 465
+ MAC *AR3, *AR5-, B, A ; 466
+ MAC *AR3, *AR5-, B, B ; 467
+ MAC *AR3, *AR5-, B ; 468
+ MAC *AR3, *AR5+, A, A ; 469
+ MAC *AR3, *AR5+, A, B ; 470
+ MAC *AR3, *AR5+, A ; 471
+ MAC *AR3, *AR5+, B, A ; 472
+ MAC *AR3, *AR5+, B, B ; 473
+ MAC *AR3, *AR5+, B ; 474
+ MAC *AR3, *AR5+0%, A, A ; 475
+ MAC *AR3, *AR5+0%, A, B ; 476
+ MAC *AR3, *AR5+0%, A ; 477
+ MAC *AR3, *AR5+0%, B, A ; 478
+ MAC *AR3, *AR5+0%, B, B ; 479
+ MAC *AR3, *AR5+0%, B ; 480
+ MAC *AR3-, *AR2, A, A ; 481
+ MAC *AR3-, *AR2, A, B ; 482
+ MAC *AR3-, *AR2, A ; 483
+ MAC *AR3-, *AR2, B, A ; 484
+ MAC *AR3-, *AR2, B, B ; 485
+ MAC *AR3-, *AR2, B ; 486
+ MAC *AR3-, *AR2-, A, A ; 487
+ MAC *AR3-, *AR2-, A, B ; 488
+ MAC *AR3-, *AR2-, A ; 489
+ MAC *AR3-, *AR2-, B, A ; 490
+ MAC *AR3-, *AR2-, B, B ; 491
+ MAC *AR3-, *AR2-, B ; 492
+ MAC *AR3-, *AR2+, A, A ; 493
+ MAC *AR3-, *AR2+, A, B ; 494
+ MAC *AR3-, *AR2+, A ; 495
+ MAC *AR3-, *AR2+, B, A ; 496
+ MAC *AR3-, *AR2+, B, B ; 497
+ MAC *AR3-, *AR2+, B ; 498
+ MAC *AR3-, *AR2+0%, A, A ; 499
+ MAC *AR3-, *AR2+0%, A, B ; 500
+ MAC *AR3-, *AR2+0%, A ; 501
+ MAC *AR3-, *AR2+0%, B, A ; 502
+ MAC *AR3-, *AR2+0%, B, B ; 503
+ MAC *AR3-, *AR2+0%, B ; 504
+ MAC *AR3-, *AR3, A, A ; 505
+ MAC *AR3-, *AR3, A, B ; 506
+ MAC *AR3-, *AR3, A ; 507
+ MAC *AR3-, *AR3, B, A ; 508
+ MAC *AR3-, *AR3, B, B ; 509
+ MAC *AR3-, *AR3, B ; 510
+ MAC *AR3-, *AR3-, A, A ; 511
+ MAC *AR3-, *AR3-, A, B ; 512
+ MAC *AR3-, *AR3-, A ; 513
+ MAC *AR3-, *AR3-, B, A ; 514
+ MAC *AR3-, *AR3-, B, B ; 515
+ MAC *AR3-, *AR3-, B ; 516
+ MAC *AR3-, *AR3+, A, A ; 517
+ MAC *AR3-, *AR3+, A, B ; 518
+ MAC *AR3-, *AR3+, A ; 519
+ MAC *AR3-, *AR3+, B, A ; 520
+ MAC *AR3-, *AR3+, B, B ; 521
+ MAC *AR3-, *AR3+, B ; 522
+ MAC *AR3-, *AR3+0%, A, A ; 523
+ MAC *AR3-, *AR3+0%, A, B ; 524
+ MAC *AR3-, *AR3+0%, A ; 525
+ MAC *AR3-, *AR3+0%, B, A ; 526
+ MAC *AR3-, *AR3+0%, B, B ; 527
+ MAC *AR3-, *AR3+0%, B ; 528
+ MAC *AR3-, *AR4, A, A ; 529
+ MAC *AR3-, *AR4, A, B ; 530
+ MAC *AR3-, *AR4, A ; 531
+ MAC *AR3-, *AR4, B, A ; 532
+ MAC *AR3-, *AR4, B, B ; 533
+ MAC *AR3-, *AR4, B ; 534
+ MAC *AR3-, *AR4-, A, A ; 535
+ MAC *AR3-, *AR4-, A, B ; 536
+ MAC *AR3-, *AR4-, A ; 537
+ MAC *AR3-, *AR4-, B, A ; 538
+ MAC *AR3-, *AR4-, B, B ; 539
+ MAC *AR3-, *AR4-, B ; 540
+ MAC *AR3-, *AR4+, A, A ; 541
+ MAC *AR3-, *AR4+, A, B ; 542
+ MAC *AR3-, *AR4+, A ; 543
+ MAC *AR3-, *AR4+, B, A ; 544
+ MAC *AR3-, *AR4+, B, B ; 545
+ MAC *AR3-, *AR4+, B ; 546
+ MAC *AR3-, *AR4+0%, A, A ; 547
+ MAC *AR3-, *AR4+0%, A, B ; 548
+ MAC *AR3-, *AR4+0%, A ; 549
+ MAC *AR3-, *AR4+0%, B, A ; 550
+ MAC *AR3-, *AR4+0%, B, B ; 551
+ MAC *AR3-, *AR4+0%, B ; 552
+ MAC *AR3-, *AR5, A, A ; 553
+ MAC *AR3-, *AR5, A, B ; 554
+ MAC *AR3-, *AR5, A ; 555
+ MAC *AR3-, *AR5, B, A ; 556
+ MAC *AR3-, *AR5, B, B ; 557
+ MAC *AR3-, *AR5, B ; 558
+ MAC *AR3-, *AR5-, A, A ; 559
+ MAC *AR3-, *AR5-, A, B ; 560
+ MAC *AR3-, *AR5-, A ; 561
+ MAC *AR3-, *AR5-, B, A ; 562
+ MAC *AR3-, *AR5-, B, B ; 563
+ MAC *AR3-, *AR5-, B ; 564
+ MAC *AR3-, *AR5+, A, A ; 565
+ MAC *AR3-, *AR5+, A, B ; 566
+ MAC *AR3-, *AR5+, A ; 567
+ MAC *AR3-, *AR5+, B, A ; 568
+ MAC *AR3-, *AR5+, B, B ; 569
+ MAC *AR3-, *AR5+, B ; 570
+ MAC *AR3-, *AR5+0%, A, A ; 571
+ MAC *AR3-, *AR5+0%, A, B ; 572
+ MAC *AR3-, *AR5+0%, A ; 573
+ MAC *AR3-, *AR5+0%, B, A ; 574
+ MAC *AR3-, *AR5+0%, B, B ; 575
+ MAC *AR3-, *AR5+0%, B ; 576
+ MAC *AR3+, *AR2, A, A ; 577
+ MAC *AR3+, *AR2, A, B ; 578
+ MAC *AR3+, *AR2, A ; 579
+ MAC *AR3+, *AR2, B, A ; 580
+ MAC *AR3+, *AR2, B, B ; 581
+ MAC *AR3+, *AR2, B ; 582
+ MAC *AR3+, *AR2-, A, A ; 583
+ MAC *AR3+, *AR2-, A, B ; 584
+ MAC *AR3+, *AR2-, A ; 585
+ MAC *AR3+, *AR2-, B, A ; 586
+ MAC *AR3+, *AR2-, B, B ; 587
+ MAC *AR3+, *AR2-, B ; 588
+ MAC *AR3+, *AR2+, A, A ; 589
+ MAC *AR3+, *AR2+, A, B ; 590
+ MAC *AR3+, *AR2+, A ; 591
+ MAC *AR3+, *AR2+, B, A ; 592
+ MAC *AR3+, *AR2+, B, B ; 593
+ MAC *AR3+, *AR2+, B ; 594
+ MAC *AR3+, *AR2+0%, A, A ; 595
+ MAC *AR3+, *AR2+0%, A, B ; 596
+ MAC *AR3+, *AR2+0%, A ; 597
+ MAC *AR3+, *AR2+0%, B, A ; 598
+ MAC *AR3+, *AR2+0%, B, B ; 599
+ MAC *AR3+, *AR2+0%, B ; 600
+ MAC *AR3+, *AR3, A, A ; 601
+ MAC *AR3+, *AR3, A, B ; 602
+ MAC *AR3+, *AR3, A ; 603
+ MAC *AR3+, *AR3, B, A ; 604
+ MAC *AR3+, *AR3, B, B ; 605
+ MAC *AR3+, *AR3, B ; 606
+ MAC *AR3+, *AR3-, A, A ; 607
+ MAC *AR3+, *AR3-, A, B ; 608
+ MAC *AR3+, *AR3-, A ; 609
+ MAC *AR3+, *AR3-, B, A ; 610
+ MAC *AR3+, *AR3-, B, B ; 611
+ MAC *AR3+, *AR3-, B ; 612
+ MAC *AR3+, *AR3+, A, A ; 613
+ MAC *AR3+, *AR3+, A, B ; 614
+ MAC *AR3+, *AR3+, A ; 615
+ MAC *AR3+, *AR3+, B, A ; 616
+ MAC *AR3+, *AR3+, B, B ; 617
+ MAC *AR3+, *AR3+, B ; 618
+ MAC *AR3+, *AR3+0%, A, A ; 619
+ MAC *AR3+, *AR3+0%, A, B ; 620
+ MAC *AR3+, *AR3+0%, A ; 621
+ MAC *AR3+, *AR3+0%, B, A ; 622
+ MAC *AR3+, *AR3+0%, B, B ; 623
+ MAC *AR3+, *AR3+0%, B ; 624
+ MAC *AR3+, *AR4, A, A ; 625
+ MAC *AR3+, *AR4, A, B ; 626
+ MAC *AR3+, *AR4, A ; 627
+ MAC *AR3+, *AR4, B, A ; 628
+ MAC *AR3+, *AR4, B, B ; 629
+ MAC *AR3+, *AR4, B ; 630
+ MAC *AR3+, *AR4-, A, A ; 631
+ MAC *AR3+, *AR4-, A, B ; 632
+ MAC *AR3+, *AR4-, A ; 633
+ MAC *AR3+, *AR4-, B, A ; 634
+ MAC *AR3+, *AR4-, B, B ; 635
+ MAC *AR3+, *AR4-, B ; 636
+ MAC *AR3+, *AR4+, A, A ; 637
+ MAC *AR3+, *AR4+, A, B ; 638
+ MAC *AR3+, *AR4+, A ; 639
+ MAC *AR3+, *AR4+, B, A ; 640
+ MAC *AR3+, *AR4+, B, B ; 641
+ MAC *AR3+, *AR4+, B ; 642
+ MAC *AR3+, *AR4+0%, A, A ; 643
+ MAC *AR3+, *AR4+0%, A, B ; 644
+ MAC *AR3+, *AR4+0%, A ; 645
+ MAC *AR3+, *AR4+0%, B, A ; 646
+ MAC *AR3+, *AR4+0%, B, B ; 647
+ MAC *AR3+, *AR4+0%, B ; 648
+ MAC *AR3+, *AR5, A, A ; 649
+ MAC *AR3+, *AR5, A, B ; 650
+ MAC *AR3+, *AR5, A ; 651
+ MAC *AR3+, *AR5, B, A ; 652
+ MAC *AR3+, *AR5, B, B ; 653
+ MAC *AR3+, *AR5, B ; 654
+ MAC *AR3+, *AR5-, A, A ; 655
+ MAC *AR3+, *AR5-, A, B ; 656
+ MAC *AR3+, *AR5-, A ; 657
+ MAC *AR3+, *AR5-, B, A ; 658
+ MAC *AR3+, *AR5-, B, B ; 659
+ MAC *AR3+, *AR5-, B ; 660
+ MAC *AR3+, *AR5+, A, A ; 661
+ MAC *AR3+, *AR5+, A, B ; 662
+ MAC *AR3+, *AR5+, A ; 663
+ MAC *AR3+, *AR5+, B, A ; 664
+ MAC *AR3+, *AR5+, B, B ; 665
+ MAC *AR3+, *AR5+, B ; 666
+ MAC *AR3+, *AR5+0%, A, A ; 667
+ MAC *AR3+, *AR5+0%, A, B ; 668
+ MAC *AR3+, *AR5+0%, A ; 669
+ MAC *AR3+, *AR5+0%, B, A ; 670
+ MAC *AR3+, *AR5+0%, B, B ; 671
+ MAC *AR3+, *AR5+0%, B ; 672
+ MAC *AR3+0%, *AR2, A, A ; 673
+ MAC *AR3+0%, *AR2, A, B ; 674
+ MAC *AR3+0%, *AR2, A ; 675
+ MAC *AR3+0%, *AR2, B, A ; 676
+ MAC *AR3+0%, *AR2, B, B ; 677
+ MAC *AR3+0%, *AR2, B ; 678
+ MAC *AR3+0%, *AR2-, A, A ; 679
+ MAC *AR3+0%, *AR2-, A, B ; 680
+ MAC *AR3+0%, *AR2-, A ; 681
+ MAC *AR3+0%, *AR2-, B, A ; 682
+ MAC *AR3+0%, *AR2-, B, B ; 683
+ MAC *AR3+0%, *AR2-, B ; 684
+ MAC *AR3+0%, *AR2+, A, A ; 685
+ MAC *AR3+0%, *AR2+, A, B ; 686
+ MAC *AR3+0%, *AR2+, A ; 687
+ MAC *AR3+0%, *AR2+, B, A ; 688
+ MAC *AR3+0%, *AR2+, B, B ; 689
+ MAC *AR3+0%, *AR2+, B ; 690
+ MAC *AR3+0%, *AR2+0%, A, A ; 691
+ MAC *AR3+0%, *AR2+0%, A, B ; 692
+ MAC *AR3+0%, *AR2+0%, A ; 693
+ MAC *AR3+0%, *AR2+0%, B, A ; 694
+ MAC *AR3+0%, *AR2+0%, B, B ; 695
+ MAC *AR3+0%, *AR2+0%, B ; 696
+ MAC *AR3+0%, *AR3, A, A ; 697
+ MAC *AR3+0%, *AR3, A, B ; 698
+ MAC *AR3+0%, *AR3, A ; 699
+ MAC *AR3+0%, *AR3, B, A ; 700
+ MAC *AR3+0%, *AR3, B, B ; 701
+ MAC *AR3+0%, *AR3, B ; 702
+ MAC *AR3+0%, *AR3-, A, A ; 703
+ MAC *AR3+0%, *AR3-, A, B ; 704
+ MAC *AR3+0%, *AR3-, A ; 705
+ MAC *AR3+0%, *AR3-, B, A ; 706
+ MAC *AR3+0%, *AR3-, B, B ; 707
+ MAC *AR3+0%, *AR3-, B ; 708
+ MAC *AR3+0%, *AR3+, A, A ; 709
+ MAC *AR3+0%, *AR3+, A, B ; 710
+ MAC *AR3+0%, *AR3+, A ; 711
+ MAC *AR3+0%, *AR3+, B, A ; 712
+ MAC *AR3+0%, *AR3+, B, B ; 713
+ MAC *AR3+0%, *AR3+, B ; 714
+ MAC *AR3+0%, *AR3+0%, A, A ; 715
+ MAC *AR3+0%, *AR3+0%, A, B ; 716
+ MAC *AR3+0%, *AR3+0%, A ; 717
+ MAC *AR3+0%, *AR3+0%, B, A ; 718
+ MAC *AR3+0%, *AR3+0%, B, B ; 719
+ MAC *AR3+0%, *AR3+0%, B ; 720
+ MAC *AR3+0%, *AR4, A, A ; 721
+ MAC *AR3+0%, *AR4, A, B ; 722
+ MAC *AR3+0%, *AR4, A ; 723
+ MAC *AR3+0%, *AR4, B, A ; 724
+ MAC *AR3+0%, *AR4, B, B ; 725
+ MAC *AR3+0%, *AR4, B ; 726
+ MAC *AR3+0%, *AR4-, A, A ; 727
+ MAC *AR3+0%, *AR4-, A, B ; 728
+ MAC *AR3+0%, *AR4-, A ; 729
+ MAC *AR3+0%, *AR4-, B, A ; 730
+ MAC *AR3+0%, *AR4-, B, B ; 731
+ MAC *AR3+0%, *AR4-, B ; 732
+ MAC *AR3+0%, *AR4+, A, A ; 733
+ MAC *AR3+0%, *AR4+, A, B ; 734
+ MAC *AR3+0%, *AR4+, A ; 735
+ MAC *AR3+0%, *AR4+, B, A ; 736
+ MAC *AR3+0%, *AR4+, B, B ; 737
+ MAC *AR3+0%, *AR4+, B ; 738
+ MAC *AR3+0%, *AR4+0%, A, A ; 739
+ MAC *AR3+0%, *AR4+0%, A, B ; 740
+ MAC *AR3+0%, *AR4+0%, A ; 741
+ MAC *AR3+0%, *AR4+0%, B, A ; 742
+ MAC *AR3+0%, *AR4+0%, B, B ; 743
+ MAC *AR3+0%, *AR4+0%, B ; 744
+ MAC *AR3+0%, *AR5, A, A ; 745
+ MAC *AR3+0%, *AR5, A, B ; 746
+ MAC *AR3+0%, *AR5, A ; 747
+ MAC *AR3+0%, *AR5, B, A ; 748
+ MAC *AR3+0%, *AR5, B, B ; 749
+ MAC *AR3+0%, *AR5, B ; 750
+ MAC *AR3+0%, *AR5-, A, A ; 751
+ MAC *AR3+0%, *AR5-, A, B ; 752
+ MAC *AR3+0%, *AR5-, A ; 753
+ MAC *AR3+0%, *AR5-, B, A ; 754
+ MAC *AR3+0%, *AR5-, B, B ; 755
+ MAC *AR3+0%, *AR5-, B ; 756
+ MAC *AR3+0%, *AR5+, A, A ; 757
+ MAC *AR3+0%, *AR5+, A, B ; 758
+ MAC *AR3+0%, *AR5+, A ; 759
+ MAC *AR3+0%, *AR5+, B, A ; 760
+ MAC *AR3+0%, *AR5+, B, B ; 761
+ MAC *AR3+0%, *AR5+, B ; 762
+ MAC *AR3+0%, *AR5+0%, A, A ; 763
+ MAC *AR3+0%, *AR5+0%, A, B ; 764
+ MAC *AR3+0%, *AR5+0%, A ; 765
+ MAC *AR3+0%, *AR5+0%, B, A ; 766
+ MAC *AR3+0%, *AR5+0%, B, B ; 767
+ MAC *AR3+0%, *AR5+0%, B ; 768
+ MAC *AR4, *AR2, A, A ; 769
+ MAC *AR4, *AR2, A, B ; 770
+ MAC *AR4, *AR2, A ; 771
+ MAC *AR4, *AR2, B, A ; 772
+ MAC *AR4, *AR2, B, B ; 773
+ MAC *AR4, *AR2, B ; 774
+ MAC *AR4, *AR2-, A, A ; 775
+ MAC *AR4, *AR2-, A, B ; 776
+ MAC *AR4, *AR2-, A ; 777
+ MAC *AR4, *AR2-, B, A ; 778
+ MAC *AR4, *AR2-, B, B ; 779
+ MAC *AR4, *AR2-, B ; 780
+ MAC *AR4, *AR2+, A, A ; 781
+ MAC *AR4, *AR2+, A, B ; 782
+ MAC *AR4, *AR2+, A ; 783
+ MAC *AR4, *AR2+, B, A ; 784
+ MAC *AR4, *AR2+, B, B ; 785
+ MAC *AR4, *AR2+, B ; 786
+ MAC *AR4, *AR2+0%, A, A ; 787
+ MAC *AR4, *AR2+0%, A, B ; 788
+ MAC *AR4, *AR2+0%, A ; 789
+ MAC *AR4, *AR2+0%, B, A ; 790
+ MAC *AR4, *AR2+0%, B, B ; 791
+ MAC *AR4, *AR2+0%, B ; 792
+ MAC *AR4, *AR3, A, A ; 793
+ MAC *AR4, *AR3, A, B ; 794
+ MAC *AR4, *AR3, A ; 795
+ MAC *AR4, *AR3, B, A ; 796
+ MAC *AR4, *AR3, B, B ; 797
+ MAC *AR4, *AR3, B ; 798
+ MAC *AR4, *AR3-, A, A ; 799
+ MAC *AR4, *AR3-, A, B ; 800
+ MAC *AR4, *AR3-, A ; 801
+ MAC *AR4, *AR3-, B, A ; 802
+ MAC *AR4, *AR3-, B, B ; 803
+ MAC *AR4, *AR3-, B ; 804
+ MAC *AR4, *AR3+, A, A ; 805
+ MAC *AR4, *AR3+, A, B ; 806
+ MAC *AR4, *AR3+, A ; 807
+ MAC *AR4, *AR3+, B, A ; 808
+ MAC *AR4, *AR3+, B, B ; 809
+ MAC *AR4, *AR3+, B ; 810
+ MAC *AR4, *AR3+0%, A, A ; 811
+ MAC *AR4, *AR3+0%, A, B ; 812
+ MAC *AR4, *AR3+0%, A ; 813
+ MAC *AR4, *AR3+0%, B, A ; 814
+ MAC *AR4, *AR3+0%, B, B ; 815
+ MAC *AR4, *AR3+0%, B ; 816
+ MAC *AR4, *AR4, A, A ; 817
+ MAC *AR4, *AR4, A, B ; 818
+ MAC *AR4, *AR4, A ; 819
+ MAC *AR4, *AR4, B, A ; 820
+ MAC *AR4, *AR4, B, B ; 821
+ MAC *AR4, *AR4, B ; 822
+ MAC *AR4, *AR4-, A, A ; 823
+ MAC *AR4, *AR4-, A, B ; 824
+ MAC *AR4, *AR4-, A ; 825
+ MAC *AR4, *AR4-, B, A ; 826
+ MAC *AR4, *AR4-, B, B ; 827
+ MAC *AR4, *AR4-, B ; 828
+ MAC *AR4, *AR4+, A, A ; 829
+ MAC *AR4, *AR4+, A, B ; 830
+ MAC *AR4, *AR4+, A ; 831
+ MAC *AR4, *AR4+, B, A ; 832
+ MAC *AR4, *AR4+, B, B ; 833
+ MAC *AR4, *AR4+, B ; 834
+ MAC *AR4, *AR4+0%, A, A ; 835
+ MAC *AR4, *AR4+0%, A, B ; 836
+ MAC *AR4, *AR4+0%, A ; 837
+ MAC *AR4, *AR4+0%, B, A ; 838
+ MAC *AR4, *AR4+0%, B, B ; 839
+ MAC *AR4, *AR4+0%, B ; 840
+ MAC *AR4, *AR5, A, A ; 841
+ MAC *AR4, *AR5, A, B ; 842
+ MAC *AR4, *AR5, A ; 843
+ MAC *AR4, *AR5, B, A ; 844
+ MAC *AR4, *AR5, B, B ; 845
+ MAC *AR4, *AR5, B ; 846
+ MAC *AR4, *AR5-, A, A ; 847
+ MAC *AR4, *AR5-, A, B ; 848
+ MAC *AR4, *AR5-, A ; 849
+ MAC *AR4, *AR5-, B, A ; 850
+ MAC *AR4, *AR5-, B, B ; 851
+ MAC *AR4, *AR5-, B ; 852
+ MAC *AR4, *AR5+, A, A ; 853
+ MAC *AR4, *AR5+, A, B ; 854
+ MAC *AR4, *AR5+, A ; 855
+ MAC *AR4, *AR5+, B, A ; 856
+ MAC *AR4, *AR5+, B, B ; 857
+ MAC *AR4, *AR5+, B ; 858
+ MAC *AR4, *AR5+0%, A, A ; 859
+ MAC *AR4, *AR5+0%, A, B ; 860
+ MAC *AR4, *AR5+0%, A ; 861
+ MAC *AR4, *AR5+0%, B, A ; 862
+ MAC *AR4, *AR5+0%, B, B ; 863
+ MAC *AR4, *AR5+0%, B ; 864
+ MAC *AR4-, *AR2, A, A ; 865
+ MAC *AR4-, *AR2, A, B ; 866
+ MAC *AR4-, *AR2, A ; 867
+ MAC *AR4-, *AR2, B, A ; 868
+ MAC *AR4-, *AR2, B, B ; 869
+ MAC *AR4-, *AR2, B ; 870
+ MAC *AR4-, *AR2-, A, A ; 871
+ MAC *AR4-, *AR2-, A, B ; 872
+ MAC *AR4-, *AR2-, A ; 873
+ MAC *AR4-, *AR2-, B, A ; 874
+ MAC *AR4-, *AR2-, B, B ; 875
+ MAC *AR4-, *AR2-, B ; 876
+ MAC *AR4-, *AR2+, A, A ; 877
+ MAC *AR4-, *AR2+, A, B ; 878
+ MAC *AR4-, *AR2+, A ; 879
+ MAC *AR4-, *AR2+, B, A ; 880
+ MAC *AR4-, *AR2+, B, B ; 881
+ MAC *AR4-, *AR2+, B ; 882
+ MAC *AR4-, *AR2+0%, A, A ; 883
+ MAC *AR4-, *AR2+0%, A, B ; 884
+ MAC *AR4-, *AR2+0%, A ; 885
+ MAC *AR4-, *AR2+0%, B, A ; 886
+ MAC *AR4-, *AR2+0%, B, B ; 887
+ MAC *AR4-, *AR2+0%, B ; 888
+ MAC *AR4-, *AR3, A, A ; 889
+ MAC *AR4-, *AR3, A, B ; 890
+ MAC *AR4-, *AR3, A ; 891
+ MAC *AR4-, *AR3, B, A ; 892
+ MAC *AR4-, *AR3, B, B ; 893
+ MAC *AR4-, *AR3, B ; 894
+ MAC *AR4-, *AR3-, A, A ; 895
+ MAC *AR4-, *AR3-, A, B ; 896
+ MAC *AR4-, *AR3-, A ; 897
+ MAC *AR4-, *AR3-, B, A ; 898
+ MAC *AR4-, *AR3-, B, B ; 899
+ MAC *AR4-, *AR3-, B ; 900
+ MAC *AR4-, *AR3+, A, A ; 901
+ MAC *AR4-, *AR3+, A, B ; 902
+ MAC *AR4-, *AR3+, A ; 903
+ MAC *AR4-, *AR3+, B, A ; 904
+ MAC *AR4-, *AR3+, B, B ; 905
+ MAC *AR4-, *AR3+, B ; 906
+ MAC *AR4-, *AR3+0%, A, A ; 907
+ MAC *AR4-, *AR3+0%, A, B ; 908
+ MAC *AR4-, *AR3+0%, A ; 909
+ MAC *AR4-, *AR3+0%, B, A ; 910
+ MAC *AR4-, *AR3+0%, B, B ; 911
+ MAC *AR4-, *AR3+0%, B ; 912
+ MAC *AR4-, *AR4, A, A ; 913
+ MAC *AR4-, *AR4, A, B ; 914
+ MAC *AR4-, *AR4, A ; 915
+ MAC *AR4-, *AR4, B, A ; 916
+ MAC *AR4-, *AR4, B, B ; 917
+ MAC *AR4-, *AR4, B ; 918
+ MAC *AR4-, *AR4-, A, A ; 919
+ MAC *AR4-, *AR4-, A, B ; 920
+ MAC *AR4-, *AR4-, A ; 921
+ MAC *AR4-, *AR4-, B, A ; 922
+ MAC *AR4-, *AR4-, B, B ; 923
+ MAC *AR4-, *AR4-, B ; 924
+ MAC *AR4-, *AR4+, A, A ; 925
+ MAC *AR4-, *AR4+, A, B ; 926
+ MAC *AR4-, *AR4+, A ; 927
+ MAC *AR4-, *AR4+, B, A ; 928
+ MAC *AR4-, *AR4+, B, B ; 929
+ MAC *AR4-, *AR4+, B ; 930
+ MAC *AR4-, *AR4+0%, A, A ; 931
+ MAC *AR4-, *AR4+0%, A, B ; 932
+ MAC *AR4-, *AR4+0%, A ; 933
+ MAC *AR4-, *AR4+0%, B, A ; 934
+ MAC *AR4-, *AR4+0%, B, B ; 935
+ MAC *AR4-, *AR4+0%, B ; 936
+ MAC *AR4-, *AR5, A, A ; 937
+ MAC *AR4-, *AR5, A, B ; 938
+ MAC *AR4-, *AR5, A ; 939
+ MAC *AR4-, *AR5, B, A ; 940
+ MAC *AR4-, *AR5, B, B ; 941
+ MAC *AR4-, *AR5, B ; 942
+ MAC *AR4-, *AR5-, A, A ; 943
+ MAC *AR4-, *AR5-, A, B ; 944
+ MAC *AR4-, *AR5-, A ; 945
+ MAC *AR4-, *AR5-, B, A ; 946
+ MAC *AR4-, *AR5-, B, B ; 947
+ MAC *AR4-, *AR5-, B ; 948
+ MAC *AR4-, *AR5+, A, A ; 949
+ MAC *AR4-, *AR5+, A, B ; 950
+ MAC *AR4-, *AR5+, A ; 951
+ MAC *AR4-, *AR5+, B, A ; 952
+ MAC *AR4-, *AR5+, B, B ; 953
+ MAC *AR4-, *AR5+, B ; 954
+ MAC *AR4-, *AR5+0%, A, A ; 955
+ MAC *AR4-, *AR5+0%, A, B ; 956
+ MAC *AR4-, *AR5+0%, A ; 957
+ MAC *AR4-, *AR5+0%, B, A ; 958
+ MAC *AR4-, *AR5+0%, B, B ; 959
+ MAC *AR4-, *AR5+0%, B ; 960
+ MAC *AR4+, *AR2, A, A ; 961
+ MAC *AR4+, *AR2, A, B ; 962
+ MAC *AR4+, *AR2, A ; 963
+ MAC *AR4+, *AR2, B, A ; 964
+ MAC *AR4+, *AR2, B, B ; 965
+ MAC *AR4+, *AR2, B ; 966
+ MAC *AR4+, *AR2-, A, A ; 967
+ MAC *AR4+, *AR2-, A, B ; 968
+ MAC *AR4+, *AR2-, A ; 969
+ MAC *AR4+, *AR2-, B, A ; 970
+ MAC *AR4+, *AR2-, B, B ; 971
+ MAC *AR4+, *AR2-, B ; 972
+ MAC *AR4+, *AR2+, A, A ; 973
+ MAC *AR4+, *AR2+, A, B ; 974
+ MAC *AR4+, *AR2+, A ; 975
+ MAC *AR4+, *AR2+, B, A ; 976
+ MAC *AR4+, *AR2+, B, B ; 977
+ MAC *AR4+, *AR2+, B ; 978
+ MAC *AR4+, *AR2+0%, A, A ; 979
+ MAC *AR4+, *AR2+0%, A, B ; 980
+ MAC *AR4+, *AR2+0%, A ; 981
+ MAC *AR4+, *AR2+0%, B, A ; 982
+ MAC *AR4+, *AR2+0%, B, B ; 983
+ MAC *AR4+, *AR2+0%, B ; 984
+ MAC *AR4+, *AR3, A, A ; 985
+ MAC *AR4+, *AR3, A, B ; 986
+ MAC *AR4+, *AR3, A ; 987
+ MAC *AR4+, *AR3, B, A ; 988
+ MAC *AR4+, *AR3, B, B ; 989
+ MAC *AR4+, *AR3, B ; 990
+ MAC *AR4+, *AR3-, A, A ; 991
+ MAC *AR4+, *AR3-, A, B ; 992
+ MAC *AR4+, *AR3-, A ; 993
+ MAC *AR4+, *AR3-, B, A ; 994
+ MAC *AR4+, *AR3-, B, B ; 995
+ MAC *AR4+, *AR3-, B ; 996
+ MAC *AR4+, *AR3+, A, A ; 997
+ MAC *AR4+, *AR3+, A, B ; 998
+ MAC *AR4+, *AR3+, A ; 999
+ MAC *AR4+, *AR3+, B, A ; 1000
+ MAC *AR4+, *AR3+, B, B ; 1001
+ MAC *AR4+, *AR3+, B ; 1002
+ MAC *AR4+, *AR3+0%, A, A ; 1003
+ MAC *AR4+, *AR3+0%, A, B ; 1004
+ MAC *AR4+, *AR3+0%, A ; 1005
+ MAC *AR4+, *AR3+0%, B, A ; 1006
+ MAC *AR4+, *AR3+0%, B, B ; 1007
+ MAC *AR4+, *AR3+0%, B ; 1008
+ MAC *AR4+, *AR4, A, A ; 1009
+ MAC *AR4+, *AR4, A, B ; 1010
+ MAC *AR4+, *AR4, A ; 1011
+ MAC *AR4+, *AR4, B, A ; 1012
+ MAC *AR4+, *AR4, B, B ; 1013
+ MAC *AR4+, *AR4, B ; 1014
+ MAC *AR4+, *AR4-, A, A ; 1015
+ MAC *AR4+, *AR4-, A, B ; 1016
+ MAC *AR4+, *AR4-, A ; 1017
+ MAC *AR4+, *AR4-, B, A ; 1018
+ MAC *AR4+, *AR4-, B, B ; 1019
+ MAC *AR4+, *AR4-, B ; 1020
+ MAC *AR4+, *AR4+, A, A ; 1021
+ MAC *AR4+, *AR4+, A, B ; 1022
+ MAC *AR4+, *AR4+, A ; 1023
+ MAC *AR4+, *AR4+, B, A ; 1024
+ MAC *AR4+, *AR4+, B, B ; 1025
+ MAC *AR4+, *AR4+, B ; 1026
+ MAC *AR4+, *AR4+0%, A, A ; 1027
+ MAC *AR4+, *AR4+0%, A, B ; 1028
+ MAC *AR4+, *AR4+0%, A ; 1029
+ MAC *AR4+, *AR4+0%, B, A ; 1030
+ MAC *AR4+, *AR4+0%, B, B ; 1031
+ MAC *AR4+, *AR4+0%, B ; 1032
+ MAC *AR4+, *AR5, A, A ; 1033
+ MAC *AR4+, *AR5, A, B ; 1034
+ MAC *AR4+, *AR5, A ; 1035
+ MAC *AR4+, *AR5, B, A ; 1036
+ MAC *AR4+, *AR5, B, B ; 1037
+ MAC *AR4+, *AR5, B ; 1038
+ MAC *AR4+, *AR5-, A, A ; 1039
+ MAC *AR4+, *AR5-, A, B ; 1040
+ MAC *AR4+, *AR5-, A ; 1041
+ MAC *AR4+, *AR5-, B, A ; 1042
+ MAC *AR4+, *AR5-, B, B ; 1043
+ MAC *AR4+, *AR5-, B ; 1044
+ MAC *AR4+, *AR5+, A, A ; 1045
+ MAC *AR4+, *AR5+, A, B ; 1046
+ MAC *AR4+, *AR5+, A ; 1047
+ MAC *AR4+, *AR5+, B, A ; 1048
+ MAC *AR4+, *AR5+, B, B ; 1049
+ MAC *AR4+, *AR5+, B ; 1050
+ MAC *AR4+, *AR5+0%, A, A ; 1051
+ MAC *AR4+, *AR5+0%, A, B ; 1052
+ MAC *AR4+, *AR5+0%, A ; 1053
+ MAC *AR4+, *AR5+0%, B, A ; 1054
+ MAC *AR4+, *AR5+0%, B, B ; 1055
+ MAC *AR4+, *AR5+0%, B ; 1056
+ MAC *AR4+0%, *AR2, A, A ; 1057
+ MAC *AR4+0%, *AR2, A, B ; 1058
+ MAC *AR4+0%, *AR2, A ; 1059
+ MAC *AR4+0%, *AR2, B, A ; 1060
+ MAC *AR4+0%, *AR2, B, B ; 1061
+ MAC *AR4+0%, *AR2, B ; 1062
+ MAC *AR4+0%, *AR2-, A, A ; 1063
+ MAC *AR4+0%, *AR2-, A, B ; 1064
+ MAC *AR4+0%, *AR2-, A ; 1065
+ MAC *AR4+0%, *AR2-, B, A ; 1066
+ MAC *AR4+0%, *AR2-, B, B ; 1067
+ MAC *AR4+0%, *AR2-, B ; 1068
+ MAC *AR4+0%, *AR2+, A, A ; 1069
+ MAC *AR4+0%, *AR2+, A, B ; 1070
+ MAC *AR4+0%, *AR2+, A ; 1071
+ MAC *AR4+0%, *AR2+, B, A ; 1072
+ MAC *AR4+0%, *AR2+, B, B ; 1073
+ MAC *AR4+0%, *AR2+, B ; 1074
+ MAC *AR4+0%, *AR2+0%, A, A ; 1075
+ MAC *AR4+0%, *AR2+0%, A, B ; 1076
+ MAC *AR4+0%, *AR2+0%, A ; 1077
+ MAC *AR4+0%, *AR2+0%, B, A ; 1078
+ MAC *AR4+0%, *AR2+0%, B, B ; 1079
+ MAC *AR4+0%, *AR2+0%, B ; 1080
+ MAC *AR4+0%, *AR3, A, A ; 1081
+ MAC *AR4+0%, *AR3, A, B ; 1082
+ MAC *AR4+0%, *AR3, A ; 1083
+ MAC *AR4+0%, *AR3, B, A ; 1084
+ MAC *AR4+0%, *AR3, B, B ; 1085
+ MAC *AR4+0%, *AR3, B ; 1086
+ MAC *AR4+0%, *AR3-, A, A ; 1087
+ MAC *AR4+0%, *AR3-, A, B ; 1088
+ MAC *AR4+0%, *AR3-, A ; 1089
+ MAC *AR4+0%, *AR3-, B, A ; 1090
+ MAC *AR4+0%, *AR3-, B, B ; 1091
+ MAC *AR4+0%, *AR3-, B ; 1092
+ MAC *AR4+0%, *AR3+, A, A ; 1093
+ MAC *AR4+0%, *AR3+, A, B ; 1094
+ MAC *AR4+0%, *AR3+, A ; 1095
+ MAC *AR4+0%, *AR3+, B, A ; 1096
+ MAC *AR4+0%, *AR3+, B, B ; 1097
+ MAC *AR4+0%, *AR3+, B ; 1098
+ MAC *AR4+0%, *AR3+0%, A, A ; 1099
+ MAC *AR4+0%, *AR3+0%, A, B ; 1100
+ MAC *AR4+0%, *AR3+0%, A ; 1101
+ MAC *AR4+0%, *AR3+0%, B, A ; 1102
+ MAC *AR4+0%, *AR3+0%, B, B ; 1103
+ MAC *AR4+0%, *AR3+0%, B ; 1104
+ MAC *AR4+0%, *AR4, A, A ; 1105
+ MAC *AR4+0%, *AR4, A, B ; 1106
+ MAC *AR4+0%, *AR4, A ; 1107
+ MAC *AR4+0%, *AR4, B, A ; 1108
+ MAC *AR4+0%, *AR4, B, B ; 1109
+ MAC *AR4+0%, *AR4, B ; 1110
+ MAC *AR4+0%, *AR4-, A, A ; 1111
+ MAC *AR4+0%, *AR4-, A, B ; 1112
+ MAC *AR4+0%, *AR4-, A ; 1113
+ MAC *AR4+0%, *AR4-, B, A ; 1114
+ MAC *AR4+0%, *AR4-, B, B ; 1115
+ MAC *AR4+0%, *AR4-, B ; 1116
+ MAC *AR4+0%, *AR4+, A, A ; 1117
+ MAC *AR4+0%, *AR4+, A, B ; 1118
+ MAC *AR4+0%, *AR4+, A ; 1119
+ MAC *AR4+0%, *AR4+, B, A ; 1120
+ MAC *AR4+0%, *AR4+, B, B ; 1121
+ MAC *AR4+0%, *AR4+, B ; 1122
+ MAC *AR4+0%, *AR4+0%, A, A ; 1123
+ MAC *AR4+0%, *AR4+0%, A, B ; 1124
+ MAC *AR4+0%, *AR4+0%, A ; 1125
+ MAC *AR4+0%, *AR4+0%, B, A ; 1126
+ MAC *AR4+0%, *AR4+0%, B, B ; 1127
+ MAC *AR4+0%, *AR4+0%, B ; 1128
+ MAC *AR4+0%, *AR5, A, A ; 1129
+ MAC *AR4+0%, *AR5, A, B ; 1130
+ MAC *AR4+0%, *AR5, A ; 1131
+ MAC *AR4+0%, *AR5, B, A ; 1132
+ MAC *AR4+0%, *AR5, B, B ; 1133
+ MAC *AR4+0%, *AR5, B ; 1134
+ MAC *AR4+0%, *AR5-, A, A ; 1135
+ MAC *AR4+0%, *AR5-, A, B ; 1136
+ MAC *AR4+0%, *AR5-, A ; 1137
+ MAC *AR4+0%, *AR5-, B, A ; 1138
+ MAC *AR4+0%, *AR5-, B, B ; 1139
+ MAC *AR4+0%, *AR5-, B ; 1140
+ MAC *AR4+0%, *AR5+, A, A ; 1141
+ MAC *AR4+0%, *AR5+, A, B ; 1142
+ MAC *AR4+0%, *AR5+, A ; 1143
+ MAC *AR4+0%, *AR5+, B, A ; 1144
+ MAC *AR4+0%, *AR5+, B, B ; 1145
+ MAC *AR4+0%, *AR5+, B ; 1146
+ MAC *AR4+0%, *AR5+0%, A, A ; 1147
+ MAC *AR4+0%, *AR5+0%, A, B ; 1148
+ MAC *AR4+0%, *AR5+0%, A ; 1149
+ MAC *AR4+0%, *AR5+0%, B, A ; 1150
+ MAC *AR4+0%, *AR5+0%, B, B ; 1151
+ MAC *AR4+0%, *AR5+0%, B ; 1152
+ MAC *AR5, *AR2, A, A ; 1153
+ MAC *AR5, *AR2, A, B ; 1154
+ MAC *AR5, *AR2, A ; 1155
+ MAC *AR5, *AR2, B, A ; 1156
+ MAC *AR5, *AR2, B, B ; 1157
+ MAC *AR5, *AR2, B ; 1158
+ MAC *AR5, *AR2-, A, A ; 1159
+ MAC *AR5, *AR2-, A, B ; 1160
+ MAC *AR5, *AR2-, A ; 1161
+ MAC *AR5, *AR2-, B, A ; 1162
+ MAC *AR5, *AR2-, B, B ; 1163
+ MAC *AR5, *AR2-, B ; 1164
+ MAC *AR5, *AR2+, A, A ; 1165
+ MAC *AR5, *AR2+, A, B ; 1166
+ MAC *AR5, *AR2+, A ; 1167
+ MAC *AR5, *AR2+, B, A ; 1168
+ MAC *AR5, *AR2+, B, B ; 1169
+ MAC *AR5, *AR2+, B ; 1170
+ MAC *AR5, *AR2+0%, A, A ; 1171
+ MAC *AR5, *AR2+0%, A, B ; 1172
+ MAC *AR5, *AR2+0%, A ; 1173
+ MAC *AR5, *AR2+0%, B, A ; 1174
+ MAC *AR5, *AR2+0%, B, B ; 1175
+ MAC *AR5, *AR2+0%, B ; 1176
+ MAC *AR5, *AR3, A, A ; 1177
+ MAC *AR5, *AR3, A, B ; 1178
+ MAC *AR5, *AR3, A ; 1179
+ MAC *AR5, *AR3, B, A ; 1180
+ MAC *AR5, *AR3, B, B ; 1181
+ MAC *AR5, *AR3, B ; 1182
+ MAC *AR5, *AR3-, A, A ; 1183
+ MAC *AR5, *AR3-, A, B ; 1184
+ MAC *AR5, *AR3-, A ; 1185
+ MAC *AR5, *AR3-, B, A ; 1186
+ MAC *AR5, *AR3-, B, B ; 1187
+ MAC *AR5, *AR3-, B ; 1188
+ MAC *AR5, *AR3+, A, A ; 1189
+ MAC *AR5, *AR3+, A, B ; 1190
+ MAC *AR5, *AR3+, A ; 1191
+ MAC *AR5, *AR3+, B, A ; 1192
+ MAC *AR5, *AR3+, B, B ; 1193
+ MAC *AR5, *AR3+, B ; 1194
+ MAC *AR5, *AR3+0%, A, A ; 1195
+ MAC *AR5, *AR3+0%, A, B ; 1196
+ MAC *AR5, *AR3+0%, A ; 1197
+ MAC *AR5, *AR3+0%, B, A ; 1198
+ MAC *AR5, *AR3+0%, B, B ; 1199
+ MAC *AR5, *AR3+0%, B ; 1200
+ MAC *AR5, *AR4, A, A ; 1201
+ MAC *AR5, *AR4, A, B ; 1202
+ MAC *AR5, *AR4, A ; 1203
+ MAC *AR5, *AR4, B, A ; 1204
+ MAC *AR5, *AR4, B, B ; 1205
+ MAC *AR5, *AR4, B ; 1206
+ MAC *AR5, *AR4-, A, A ; 1207
+ MAC *AR5, *AR4-, A, B ; 1208
+ MAC *AR5, *AR4-, A ; 1209
+ MAC *AR5, *AR4-, B, A ; 1210
+ MAC *AR5, *AR4-, B, B ; 1211
+ MAC *AR5, *AR4-, B ; 1212
+ MAC *AR5, *AR4+, A, A ; 1213
+ MAC *AR5, *AR4+, A, B ; 1214
+ MAC *AR5, *AR4+, A ; 1215
+ MAC *AR5, *AR4+, B, A ; 1216
+ MAC *AR5, *AR4+, B, B ; 1217
+ MAC *AR5, *AR4+, B ; 1218
+ MAC *AR5, *AR4+0%, A, A ; 1219
+ MAC *AR5, *AR4+0%, A, B ; 1220
+ MAC *AR5, *AR4+0%, A ; 1221
+ MAC *AR5, *AR4+0%, B, A ; 1222
+ MAC *AR5, *AR4+0%, B, B ; 1223
+ MAC *AR5, *AR4+0%, B ; 1224
+ MAC *AR5, *AR5, A, A ; 1225
+ MAC *AR5, *AR5, A, B ; 1226
+ MAC *AR5, *AR5, A ; 1227
+ MAC *AR5, *AR5, B, A ; 1228
+ MAC *AR5, *AR5, B, B ; 1229
+ MAC *AR5, *AR5, B ; 1230
+ MAC *AR5, *AR5-, A, A ; 1231
+ MAC *AR5, *AR5-, A, B ; 1232
+ MAC *AR5, *AR5-, A ; 1233
+ MAC *AR5, *AR5-, B, A ; 1234
+ MAC *AR5, *AR5-, B, B ; 1235
+ MAC *AR5, *AR5-, B ; 1236
+ MAC *AR5, *AR5+, A, A ; 1237
+ MAC *AR5, *AR5+, A, B ; 1238
+ MAC *AR5, *AR5+, A ; 1239
+ MAC *AR5, *AR5+, B, A ; 1240
+ MAC *AR5, *AR5+, B, B ; 1241
+ MAC *AR5, *AR5+, B ; 1242
+ MAC *AR5, *AR5+0%, A, A ; 1243
+ MAC *AR5, *AR5+0%, A, B ; 1244
+ MAC *AR5, *AR5+0%, A ; 1245
+ MAC *AR5, *AR5+0%, B, A ; 1246
+ MAC *AR5, *AR5+0%, B, B ; 1247
+ MAC *AR5, *AR5+0%, B ; 1248
+ MAC *AR5-, *AR2, A, A ; 1249
+ MAC *AR5-, *AR2, A, B ; 1250
+ MAC *AR5-, *AR2, A ; 1251
+ MAC *AR5-, *AR2, B, A ; 1252
+ MAC *AR5-, *AR2, B, B ; 1253
+ MAC *AR5-, *AR2, B ; 1254
+ MAC *AR5-, *AR2-, A, A ; 1255
+ MAC *AR5-, *AR2-, A, B ; 1256
+ MAC *AR5-, *AR2-, A ; 1257
+ MAC *AR5-, *AR2-, B, A ; 1258
+ MAC *AR5-, *AR2-, B, B ; 1259
+ MAC *AR5-, *AR2-, B ; 1260
+ MAC *AR5-, *AR2+, A, A ; 1261
+ MAC *AR5-, *AR2+, A, B ; 1262
+ MAC *AR5-, *AR2+, A ; 1263
+ MAC *AR5-, *AR2+, B, A ; 1264
+ MAC *AR5-, *AR2+, B, B ; 1265
+ MAC *AR5-, *AR2+, B ; 1266
+ MAC *AR5-, *AR2+0%, A, A ; 1267
+ MAC *AR5-, *AR2+0%, A, B ; 1268
+ MAC *AR5-, *AR2+0%, A ; 1269
+ MAC *AR5-, *AR2+0%, B, A ; 1270
+ MAC *AR5-, *AR2+0%, B, B ; 1271
+ MAC *AR5-, *AR2+0%, B ; 1272
+ MAC *AR5-, *AR3, A, A ; 1273
+ MAC *AR5-, *AR3, A, B ; 1274
+ MAC *AR5-, *AR3, A ; 1275
+ MAC *AR5-, *AR3, B, A ; 1276
+ MAC *AR5-, *AR3, B, B ; 1277
+ MAC *AR5-, *AR3, B ; 1278
+ MAC *AR5-, *AR3-, A, A ; 1279
+ MAC *AR5-, *AR3-, A, B ; 1280
+ MAC *AR5-, *AR3-, A ; 1281
+ MAC *AR5-, *AR3-, B, A ; 1282
+ MAC *AR5-, *AR3-, B, B ; 1283
+ MAC *AR5-, *AR3-, B ; 1284
+ MAC *AR5-, *AR3+, A, A ; 1285
+ MAC *AR5-, *AR3+, A, B ; 1286
+ MAC *AR5-, *AR3+, A ; 1287
+ MAC *AR5-, *AR3+, B, A ; 1288
+ MAC *AR5-, *AR3+, B, B ; 1289
+ MAC *AR5-, *AR3+, B ; 1290
+ MAC *AR5-, *AR3+0%, A, A ; 1291
+ MAC *AR5-, *AR3+0%, A, B ; 1292
+ MAC *AR5-, *AR3+0%, A ; 1293
+ MAC *AR5-, *AR3+0%, B, A ; 1294
+ MAC *AR5-, *AR3+0%, B, B ; 1295
+ MAC *AR5-, *AR3+0%, B ; 1296
+ MAC *AR5-, *AR4, A, A ; 1297
+ MAC *AR5-, *AR4, A, B ; 1298
+ MAC *AR5-, *AR4, A ; 1299
+ MAC *AR5-, *AR4, B, A ; 1300
+ MAC *AR5-, *AR4, B, B ; 1301
+ MAC *AR5-, *AR4, B ; 1302
+ MAC *AR5-, *AR4-, A, A ; 1303
+ MAC *AR5-, *AR4-, A, B ; 1304
+ MAC *AR5-, *AR4-, A ; 1305
+ MAC *AR5-, *AR4-, B, A ; 1306
+ MAC *AR5-, *AR4-, B, B ; 1307
+ MAC *AR5-, *AR4-, B ; 1308
+ MAC *AR5-, *AR4+, A, A ; 1309
+ MAC *AR5-, *AR4+, A, B ; 1310
+ MAC *AR5-, *AR4+, A ; 1311
+ MAC *AR5-, *AR4+, B, A ; 1312
+ MAC *AR5-, *AR4+, B, B ; 1313
+ MAC *AR5-, *AR4+, B ; 1314
+ MAC *AR5-, *AR4+0%, A, A ; 1315
+ MAC *AR5-, *AR4+0%, A, B ; 1316
+ MAC *AR5-, *AR4+0%, A ; 1317
+ MAC *AR5-, *AR4+0%, B, A ; 1318
+ MAC *AR5-, *AR4+0%, B, B ; 1319
+ MAC *AR5-, *AR4+0%, B ; 1320
+ MAC *AR5-, *AR5, A, A ; 1321
+ MAC *AR5-, *AR5, A, B ; 1322
+ MAC *AR5-, *AR5, A ; 1323
+ MAC *AR5-, *AR5, B, A ; 1324
+ MAC *AR5-, *AR5, B, B ; 1325
+ MAC *AR5-, *AR5, B ; 1326
+ MAC *AR5-, *AR5-, A, A ; 1327
+ MAC *AR5-, *AR5-, A, B ; 1328
+ MAC *AR5-, *AR5-, A ; 1329
+ MAC *AR5-, *AR5-, B, A ; 1330
+ MAC *AR5-, *AR5-, B, B ; 1331
+ MAC *AR5-, *AR5-, B ; 1332
+ MAC *AR5-, *AR5+, A, A ; 1333
+ MAC *AR5-, *AR5+, A, B ; 1334
+ MAC *AR5-, *AR5+, A ; 1335
+ MAC *AR5-, *AR5+, B, A ; 1336
+ MAC *AR5-, *AR5+, B, B ; 1337
+ MAC *AR5-, *AR5+, B ; 1338
+ MAC *AR5-, *AR5+0%, A, A ; 1339
+ MAC *AR5-, *AR5+0%, A, B ; 1340
+ MAC *AR5-, *AR5+0%, A ; 1341
+ MAC *AR5-, *AR5+0%, B, A ; 1342
+ MAC *AR5-, *AR5+0%, B, B ; 1343
+ MAC *AR5-, *AR5+0%, B ; 1344
+ MAC *AR5+, *AR2, A, A ; 1345
+ MAC *AR5+, *AR2, A, B ; 1346
+ MAC *AR5+, *AR2, A ; 1347
+ MAC *AR5+, *AR2, B, A ; 1348
+ MAC *AR5+, *AR2, B, B ; 1349
+ MAC *AR5+, *AR2, B ; 1350
+ MAC *AR5+, *AR2-, A, A ; 1351
+ MAC *AR5+, *AR2-, A, B ; 1352
+ MAC *AR5+, *AR2-, A ; 1353
+ MAC *AR5+, *AR2-, B, A ; 1354
+ MAC *AR5+, *AR2-, B, B ; 1355
+ MAC *AR5+, *AR2-, B ; 1356
+ MAC *AR5+, *AR2+, A, A ; 1357
+ MAC *AR5+, *AR2+, A, B ; 1358
+ MAC *AR5+, *AR2+, A ; 1359
+ MAC *AR5+, *AR2+, B, A ; 1360
+ MAC *AR5+, *AR2+, B, B ; 1361
+ MAC *AR5+, *AR2+, B ; 1362
+ MAC *AR5+, *AR2+0%, A, A ; 1363
+ MAC *AR5+, *AR2+0%, A, B ; 1364
+ MAC *AR5+, *AR2+0%, A ; 1365
+ MAC *AR5+, *AR2+0%, B, A ; 1366
+ MAC *AR5+, *AR2+0%, B, B ; 1367
+ MAC *AR5+, *AR2+0%, B ; 1368
+ MAC *AR5+, *AR3, A, A ; 1369
+ MAC *AR5+, *AR3, A, B ; 1370
+ MAC *AR5+, *AR3, A ; 1371
+ MAC *AR5+, *AR3, B, A ; 1372
+ MAC *AR5+, *AR3, B, B ; 1373
+ MAC *AR5+, *AR3, B ; 1374
+ MAC *AR5+, *AR3-, A, A ; 1375
+ MAC *AR5+, *AR3-, A, B ; 1376
+ MAC *AR5+, *AR3-, A ; 1377
+ MAC *AR5+, *AR3-, B, A ; 1378
+ MAC *AR5+, *AR3-, B, B ; 1379
+ MAC *AR5+, *AR3-, B ; 1380
+ MAC *AR5+, *AR3+, A, A ; 1381
+ MAC *AR5+, *AR3+, A, B ; 1382
+ MAC *AR5+, *AR3+, A ; 1383
+ MAC *AR5+, *AR3+, B, A ; 1384
+ MAC *AR5+, *AR3+, B, B ; 1385
+ MAC *AR5+, *AR3+, B ; 1386
+ MAC *AR5+, *AR3+0%, A, A ; 1387
+ MAC *AR5+, *AR3+0%, A, B ; 1388
+ MAC *AR5+, *AR3+0%, A ; 1389
+ MAC *AR5+, *AR3+0%, B, A ; 1390
+ MAC *AR5+, *AR3+0%, B, B ; 1391
+ MAC *AR5+, *AR3+0%, B ; 1392
+ MAC *AR5+, *AR4, A, A ; 1393
+ MAC *AR5+, *AR4, A, B ; 1394
+ MAC *AR5+, *AR4, A ; 1395
+ MAC *AR5+, *AR4, B, A ; 1396
+ MAC *AR5+, *AR4, B, B ; 1397
+ MAC *AR5+, *AR4, B ; 1398
+ MAC *AR5+, *AR4-, A, A ; 1399
+ MAC *AR5+, *AR4-, A, B ; 1400
+ MAC *AR5+, *AR4-, A ; 1401
+ MAC *AR5+, *AR4-, B, A ; 1402
+ MAC *AR5+, *AR4-, B, B ; 1403
+ MAC *AR5+, *AR4-, B ; 1404
+ MAC *AR5+, *AR4+, A, A ; 1405
+ MAC *AR5+, *AR4+, A, B ; 1406
+ MAC *AR5+, *AR4+, A ; 1407
+ MAC *AR5+, *AR4+, B, A ; 1408
+ MAC *AR5+, *AR4+, B, B ; 1409
+ MAC *AR5+, *AR4+, B ; 1410
+ MAC *AR5+, *AR4+0%, A, A ; 1411
+ MAC *AR5+, *AR4+0%, A, B ; 1412
+ MAC *AR5+, *AR4+0%, A ; 1413
+ MAC *AR5+, *AR4+0%, B, A ; 1414
+ MAC *AR5+, *AR4+0%, B, B ; 1415
+ MAC *AR5+, *AR4+0%, B ; 1416
+ MAC *AR5+, *AR5, A, A ; 1417
+ MAC *AR5+, *AR5, A, B ; 1418
+ MAC *AR5+, *AR5, A ; 1419
+ MAC *AR5+, *AR5, B, A ; 1420
+ MAC *AR5+, *AR5, B, B ; 1421
+ MAC *AR5+, *AR5, B ; 1422
+ MAC *AR5+, *AR5-, A, A ; 1423
+ MAC *AR5+, *AR5-, A, B ; 1424
+ MAC *AR5+, *AR5-, A ; 1425
+ MAC *AR5+, *AR5-, B, A ; 1426
+ MAC *AR5+, *AR5-, B, B ; 1427
+ MAC *AR5+, *AR5-, B ; 1428
+ MAC *AR5+, *AR5+, A, A ; 1429
+ MAC *AR5+, *AR5+, A, B ; 1430
+ MAC *AR5+, *AR5+, A ; 1431
+ MAC *AR5+, *AR5+, B, A ; 1432
+ MAC *AR5+, *AR5+, B, B ; 1433
+ MAC *AR5+, *AR5+, B ; 1434
+ MAC *AR5+, *AR5+0%, A, A ; 1435
+ MAC *AR5+, *AR5+0%, A, B ; 1436
+ MAC *AR5+, *AR5+0%, A ; 1437
+ MAC *AR5+, *AR5+0%, B, A ; 1438
+ MAC *AR5+, *AR5+0%, B, B ; 1439
+ MAC *AR5+, *AR5+0%, B ; 1440
+ MAC *AR5+0%, *AR2, A, A ; 1441
+ MAC *AR5+0%, *AR2, A, B ; 1442
+ MAC *AR5+0%, *AR2, A ; 1443
+ MAC *AR5+0%, *AR2, B, A ; 1444
+ MAC *AR5+0%, *AR2, B, B ; 1445
+ MAC *AR5+0%, *AR2, B ; 1446
+ MAC *AR5+0%, *AR2-, A, A ; 1447
+ MAC *AR5+0%, *AR2-, A, B ; 1448
+ MAC *AR5+0%, *AR2-, A ; 1449
+ MAC *AR5+0%, *AR2-, B, A ; 1450
+ MAC *AR5+0%, *AR2-, B, B ; 1451
+ MAC *AR5+0%, *AR2-, B ; 1452
+ MAC *AR5+0%, *AR2+, A, A ; 1453
+ MAC *AR5+0%, *AR2+, A, B ; 1454
+ MAC *AR5+0%, *AR2+, A ; 1455
+ MAC *AR5+0%, *AR2+, B, A ; 1456
+ MAC *AR5+0%, *AR2+, B, B ; 1457
+ MAC *AR5+0%, *AR2+, B ; 1458
+ MAC *AR5+0%, *AR2+0%, A, A ; 1459
+ MAC *AR5+0%, *AR2+0%, A, B ; 1460
+ MAC *AR5+0%, *AR2+0%, A ; 1461
+ MAC *AR5+0%, *AR2+0%, B, A ; 1462
+ MAC *AR5+0%, *AR2+0%, B, B ; 1463
+ MAC *AR5+0%, *AR2+0%, B ; 1464
+ MAC *AR5+0%, *AR3, A, A ; 1465
+ MAC *AR5+0%, *AR3, A, B ; 1466
+ MAC *AR5+0%, *AR3, A ; 1467
+ MAC *AR5+0%, *AR3, B, A ; 1468
+ MAC *AR5+0%, *AR3, B, B ; 1469
+ MAC *AR5+0%, *AR3, B ; 1470
+ MAC *AR5+0%, *AR3-, A, A ; 1471
+ MAC *AR5+0%, *AR3-, A, B ; 1472
+ MAC *AR5+0%, *AR3-, A ; 1473
+ MAC *AR5+0%, *AR3-, B, A ; 1474
+ MAC *AR5+0%, *AR3-, B, B ; 1475
+ MAC *AR5+0%, *AR3-, B ; 1476
+ MAC *AR5+0%, *AR3+, A, A ; 1477
+ MAC *AR5+0%, *AR3+, A, B ; 1478
+ MAC *AR5+0%, *AR3+, A ; 1479
+ MAC *AR5+0%, *AR3+, B, A ; 1480
+ MAC *AR5+0%, *AR3+, B, B ; 1481
+ MAC *AR5+0%, *AR3+, B ; 1482
+ MAC *AR5+0%, *AR3+0%, A, A ; 1483
+ MAC *AR5+0%, *AR3+0%, A, B ; 1484
+ MAC *AR5+0%, *AR3+0%, A ; 1485
+ MAC *AR5+0%, *AR3+0%, B, A ; 1486
+ MAC *AR5+0%, *AR3+0%, B, B ; 1487
+ MAC *AR5+0%, *AR3+0%, B ; 1488
+ MAC *AR5+0%, *AR4, A, A ; 1489
+ MAC *AR5+0%, *AR4, A, B ; 1490
+ MAC *AR5+0%, *AR4, A ; 1491
+ MAC *AR5+0%, *AR4, B, A ; 1492
+ MAC *AR5+0%, *AR4, B, B ; 1493
+ MAC *AR5+0%, *AR4, B ; 1494
+ MAC *AR5+0%, *AR4-, A, A ; 1495
+ MAC *AR5+0%, *AR4-, A, B ; 1496
+ MAC *AR5+0%, *AR4-, A ; 1497
+ MAC *AR5+0%, *AR4-, B, A ; 1498
+ MAC *AR5+0%, *AR4-, B, B ; 1499
+ MAC *AR5+0%, *AR4-, B ; 1500
+ MAC *AR5+0%, *AR4+, A, A ; 1501
+ MAC *AR5+0%, *AR4+, A, B ; 1502
+ MAC *AR5+0%, *AR4+, A ; 1503
+ MAC *AR5+0%, *AR4+, B, A ; 1504
+ MAC *AR5+0%, *AR4+, B, B ; 1505
+ MAC *AR5+0%, *AR4+, B ; 1506
+ MAC *AR5+0%, *AR4+0%, A, A ; 1507
+ MAC *AR5+0%, *AR4+0%, A, B ; 1508
+ MAC *AR5+0%, *AR4+0%, A ; 1509
+ MAC *AR5+0%, *AR4+0%, B, A ; 1510
+ MAC *AR5+0%, *AR4+0%, B, B ; 1511
+ MAC *AR5+0%, *AR4+0%, B ; 1512
+ MAC *AR5+0%, *AR5, A, A ; 1513
+ MAC *AR5+0%, *AR5, A, B ; 1514
+ MAC *AR5+0%, *AR5, A ; 1515
+ MAC *AR5+0%, *AR5, B, A ; 1516
+ MAC *AR5+0%, *AR5, B, B ; 1517
+ MAC *AR5+0%, *AR5, B ; 1518
+ MAC *AR5+0%, *AR5-, A, A ; 1519
+ MAC *AR5+0%, *AR5-, A, B ; 1520
+ MAC *AR5+0%, *AR5-, A ; 1521
+ MAC *AR5+0%, *AR5-, B, A ; 1522
+ MAC *AR5+0%, *AR5-, B, B ; 1523
+ MAC *AR5+0%, *AR5-, B ; 1524
+ MAC *AR5+0%, *AR5+, A, A ; 1525
+ MAC *AR5+0%, *AR5+, A, B ; 1526
+ MAC *AR5+0%, *AR5+, A ; 1527
+ MAC *AR5+0%, *AR5+, B, A ; 1528
+ MAC *AR5+0%, *AR5+, B, B ; 1529
+ MAC *AR5+0%, *AR5+, B ; 1530
+ MAC *AR5+0%, *AR5+0%, A, A ; 1531
+ MAC *AR5+0%, *AR5+0%, A, B ; 1532
+ MAC *AR5+0%, *AR5+0%, A ; 1533
+ MAC *AR5+0%, *AR5+0%, B, A ; 1534
+ MAC *AR5+0%, *AR5+0%, B, B ; 1535
+ MAC *AR5+0%, *AR5+0%, B ; 1536
+ ; MAR : MAR Smem : 1
+ MAR 56h ; 1
+ ; MAR_I : MAR Smem_I : 120
+ MAR *AR0 ; 1
+ MAR *AR0- ; 2
+ MAR *AR0+ ; 3
+ MAR *AR0-0B ; 4
+ MAR *AR0-0 ; 5
+ MAR *AR0+0 ; 6
+ MAR *AR0+0B ; 7
+ MAR *AR0-% ; 8
+ MAR *AR0-0% ; 9
+ MAR *AR0+% ; 10
+ MAR *AR0+0% ; 11
+ MAR *AR0(0307Ch) ; 12
+ MAR *+AR0(0307Dh) ; 13
+ MAR *+AR0(0307Eh)% ; 14
+ MAR *(0307Fh) ; 15
+ MAR *AR1 ; 16
+ MAR *AR1- ; 17
+ MAR *AR1+ ; 18
+ MAR *AR1-0B ; 19
+ MAR *AR1-0 ; 20
+ MAR *AR1+0 ; 21
+ MAR *AR1+0B ; 22
+ MAR *AR1-% ; 23
+ MAR *AR1-0% ; 24
+ MAR *AR1+% ; 25
+ MAR *AR1+0% ; 26
+ MAR *AR1(03080h) ; 27
+ MAR *+AR1(03081h) ; 28
+ MAR *+AR1(03082h)% ; 29
+ MAR *(03083h) ; 30
+ MAR *AR2 ; 31
+ MAR *AR2- ; 32
+ MAR *AR2+ ; 33
+ MAR *AR2-0B ; 34
+ MAR *AR2-0 ; 35
+ MAR *AR2+0 ; 36
+ MAR *AR2+0B ; 37
+ MAR *AR2-% ; 38
+ MAR *AR2-0% ; 39
+ MAR *AR2+% ; 40
+ MAR *AR2+0% ; 41
+ MAR *AR2(03084h) ; 42
+ MAR *+AR2(03085h) ; 43
+ MAR *+AR2(03086h)% ; 44
+ MAR *(03087h) ; 45
+ MAR *AR3 ; 46
+ MAR *AR3- ; 47
+ MAR *AR3+ ; 48
+ MAR *AR3-0B ; 49
+ MAR *AR3-0 ; 50
+ MAR *AR3+0 ; 51
+ MAR *AR3+0B ; 52
+ MAR *AR3-% ; 53
+ MAR *AR3-0% ; 54
+ MAR *AR3+% ; 55
+ MAR *AR3+0% ; 56
+ MAR *AR3(03088h) ; 57
+ MAR *+AR3(03089h) ; 58
+ MAR *+AR3(0308Ah)% ; 59
+ MAR *(0308Bh) ; 60
+ MAR *AR4 ; 61
+ MAR *AR4- ; 62
+ MAR *AR4+ ; 63
+ MAR *AR4-0B ; 64
+ MAR *AR4-0 ; 65
+ MAR *AR4+0 ; 66
+ MAR *AR4+0B ; 67
+ MAR *AR4-% ; 68
+ MAR *AR4-0% ; 69
+ MAR *AR4+% ; 70
+ MAR *AR4+0% ; 71
+ MAR *AR4(0308Ch) ; 72
+ MAR *+AR4(0308Dh) ; 73
+ MAR *+AR4(0308Eh)% ; 74
+ MAR *(0308Fh) ; 75
+ MAR *AR5 ; 76
+ MAR *AR5- ; 77
+ MAR *AR5+ ; 78
+ MAR *AR5-0B ; 79
+ MAR *AR5-0 ; 80
+ MAR *AR5+0 ; 81
+ MAR *AR5+0B ; 82
+ MAR *AR5-% ; 83
+ MAR *AR5-0% ; 84
+ MAR *AR5+% ; 85
+ MAR *AR5+0% ; 86
+ MAR *AR5(03090h) ; 87
+ MAR *+AR5(03091h) ; 88
+ MAR *+AR5(03092h)% ; 89
+ MAR *(03093h) ; 90
+ MAR *AR6 ; 91
+ MAR *AR6- ; 92
+ MAR *AR6+ ; 93
+ MAR *AR6-0B ; 94
+ MAR *AR6-0 ; 95
+ MAR *AR6+0 ; 96
+ MAR *AR6+0B ; 97
+ MAR *AR6-% ; 98
+ MAR *AR6-0% ; 99
+ MAR *AR6+% ; 100
+ MAR *AR6+0% ; 101
+ MAR *AR6(03094h) ; 102
+ MAR *+AR6(03095h) ; 103
+ MAR *+AR6(03096h)% ; 104
+ MAR *(03097h) ; 105
+ MAR *AR7 ; 106
+ MAR *AR7- ; 107
+ MAR *AR7+ ; 108
+ MAR *AR7-0B ; 109
+ MAR *AR7-0 ; 110
+ MAR *AR7+0 ; 111
+ MAR *AR7+0B ; 112
+ MAR *AR7-% ; 113
+ MAR *AR7-0% ; 114
+ MAR *AR7+% ; 115
+ MAR *AR7+0% ; 116
+ MAR *AR7(03098h) ; 117
+ MAR *+AR7(03099h) ; 118
+ MAR *+AR7(0309Ah)% ; 119
+ MAR *(0309Bh) ; 120
+ ; MAS : MAS Smem, AB : 2
+ MAS 57h, A ; 1
+ MAS 58h, B ; 2
+ ; MASA : MASA Smem, B : 2
+ MASA 59h, B ; 1
+ MASA 5Ah ; 2
+ ; MASARtreg : MASAR T, AB, AB2 : 6
+ MASAR T, A, A ; 1
+ MASAR T, A, B ; 2
+ MASAR T, A ; 3
+ MASAR T, B, A ; 4
+ MASAR T, B, B ; 5
+ MASAR T, B ; 6
+ ; MASA_I : MASA Smem_I, B : 240
+ MASA *AR0, B ; 1
+ MASA *AR0 ; 2
+ MASA *AR0-, B ; 3
+ MASA *AR0- ; 4
+ MASA *AR0+, B ; 5
+ MASA *AR0+ ; 6
+ MASA *AR0-0B, B ; 7
+ MASA *AR0-0B ; 8
+ MASA *AR0-0, B ; 9
+ MASA *AR0-0 ; 10
+ MASA *AR0+0, B ; 11
+ MASA *AR0+0 ; 12
+ MASA *AR0+0B, B ; 13
+ MASA *AR0+0B ; 14
+ MASA *AR0-%, B ; 15
+ MASA *AR0-% ; 16
+ MASA *AR0-0%, B ; 17
+ MASA *AR0-0% ; 18
+ MASA *AR0+%, B ; 19
+ MASA *AR0+% ; 20
+ MASA *AR0+0%, B ; 21
+ MASA *AR0+0% ; 22
+ MASA *AR0(0309Ch), B ; 23
+ MASA *AR0(0309Dh) ; 24
+ MASA *+AR0(0309Eh), B ; 25
+ MASA *+AR0(0309Fh) ; 26
+ MASA *+AR0(030A0h)%, B ; 27
+ MASA *+AR0(030A1h)% ; 28
+ MASA *(030A2h), B ; 29
+ MASA *(030A3h) ; 30
+ MASA *AR1, B ; 31
+ MASA *AR1 ; 32
+ MASA *AR1-, B ; 33
+ MASA *AR1- ; 34
+ MASA *AR1+, B ; 35
+ MASA *AR1+ ; 36
+ MASA *AR1-0B, B ; 37
+ MASA *AR1-0B ; 38
+ MASA *AR1-0, B ; 39
+ MASA *AR1-0 ; 40
+ MASA *AR1+0, B ; 41
+ MASA *AR1+0 ; 42
+ MASA *AR1+0B, B ; 43
+ MASA *AR1+0B ; 44
+ MASA *AR1-%, B ; 45
+ MASA *AR1-% ; 46
+ MASA *AR1-0%, B ; 47
+ MASA *AR1-0% ; 48
+ MASA *AR1+%, B ; 49
+ MASA *AR1+% ; 50
+ MASA *AR1+0%, B ; 51
+ MASA *AR1+0% ; 52
+ MASA *AR1(030A4h), B ; 53
+ MASA *AR1(030A5h) ; 54
+ MASA *+AR1(030A6h), B ; 55
+ MASA *+AR1(030A7h) ; 56
+ MASA *+AR1(030A8h)%, B ; 57
+ MASA *+AR1(030A9h)% ; 58
+ MASA *(030AAh), B ; 59
+ MASA *(030ABh) ; 60
+ MASA *AR2, B ; 61
+ MASA *AR2 ; 62
+ MASA *AR2-, B ; 63
+ MASA *AR2- ; 64
+ MASA *AR2+, B ; 65
+ MASA *AR2+ ; 66
+ MASA *AR2-0B, B ; 67
+ MASA *AR2-0B ; 68
+ MASA *AR2-0, B ; 69
+ MASA *AR2-0 ; 70
+ MASA *AR2+0, B ; 71
+ MASA *AR2+0 ; 72
+ MASA *AR2+0B, B ; 73
+ MASA *AR2+0B ; 74
+ MASA *AR2-%, B ; 75
+ MASA *AR2-% ; 76
+ MASA *AR2-0%, B ; 77
+ MASA *AR2-0% ; 78
+ MASA *AR2+%, B ; 79
+ MASA *AR2+% ; 80
+ MASA *AR2+0%, B ; 81
+ MASA *AR2+0% ; 82
+ MASA *AR2(030ACh), B ; 83
+ MASA *AR2(030ADh) ; 84
+ MASA *+AR2(030AEh), B ; 85
+ MASA *+AR2(030AFh) ; 86
+ MASA *+AR2(030B0h)%, B ; 87
+ MASA *+AR2(030B1h)% ; 88
+ MASA *(030B2h), B ; 89
+ MASA *(030B3h) ; 90
+ MASA *AR3, B ; 91
+ MASA *AR3 ; 92
+ MASA *AR3-, B ; 93
+ MASA *AR3- ; 94
+ MASA *AR3+, B ; 95
+ MASA *AR3+ ; 96
+ MASA *AR3-0B, B ; 97
+ MASA *AR3-0B ; 98
+ MASA *AR3-0, B ; 99
+ MASA *AR3-0 ; 100
+ MASA *AR3+0, B ; 101
+ MASA *AR3+0 ; 102
+ MASA *AR3+0B, B ; 103
+ MASA *AR3+0B ; 104
+ MASA *AR3-%, B ; 105
+ MASA *AR3-% ; 106
+ MASA *AR3-0%, B ; 107
+ MASA *AR3-0% ; 108
+ MASA *AR3+%, B ; 109
+ MASA *AR3+% ; 110
+ MASA *AR3+0%, B ; 111
+ MASA *AR3+0% ; 112
+ MASA *AR3(030B4h), B ; 113
+ MASA *AR3(030B5h) ; 114
+ MASA *+AR3(030B6h), B ; 115
+ MASA *+AR3(030B7h) ; 116
+ MASA *+AR3(030B8h)%, B ; 117
+ MASA *+AR3(030B9h)% ; 118
+ MASA *(030BAh), B ; 119
+ MASA *(030BBh) ; 120
+ MASA *AR4, B ; 121
+ MASA *AR4 ; 122
+ MASA *AR4-, B ; 123
+ MASA *AR4- ; 124
+ MASA *AR4+, B ; 125
+ MASA *AR4+ ; 126
+ MASA *AR4-0B, B ; 127
+ MASA *AR4-0B ; 128
+ MASA *AR4-0, B ; 129
+ MASA *AR4-0 ; 130
+ MASA *AR4+0, B ; 131
+ MASA *AR4+0 ; 132
+ MASA *AR4+0B, B ; 133
+ MASA *AR4+0B ; 134
+ MASA *AR4-%, B ; 135
+ MASA *AR4-% ; 136
+ MASA *AR4-0%, B ; 137
+ MASA *AR4-0% ; 138
+ MASA *AR4+%, B ; 139
+ MASA *AR4+% ; 140
+ MASA *AR4+0%, B ; 141
+ MASA *AR4+0% ; 142
+ MASA *AR4(030BCh), B ; 143
+ MASA *AR4(030BDh) ; 144
+ MASA *+AR4(030BEh), B ; 145
+ MASA *+AR4(030BFh) ; 146
+ MASA *+AR4(030C0h)%, B ; 147
+ MASA *+AR4(030C1h)% ; 148
+ MASA *(030C2h), B ; 149
+ MASA *(030C3h) ; 150
+ MASA *AR5, B ; 151
+ MASA *AR5 ; 152
+ MASA *AR5-, B ; 153
+ MASA *AR5- ; 154
+ MASA *AR5+, B ; 155
+ MASA *AR5+ ; 156
+ MASA *AR5-0B, B ; 157
+ MASA *AR5-0B ; 158
+ MASA *AR5-0, B ; 159
+ MASA *AR5-0 ; 160
+ MASA *AR5+0, B ; 161
+ MASA *AR5+0 ; 162
+ MASA *AR5+0B, B ; 163
+ MASA *AR5+0B ; 164
+ MASA *AR5-%, B ; 165
+ MASA *AR5-% ; 166
+ MASA *AR5-0%, B ; 167
+ MASA *AR5-0% ; 168
+ MASA *AR5+%, B ; 169
+ MASA *AR5+% ; 170
+ MASA *AR5+0%, B ; 171
+ MASA *AR5+0% ; 172
+ MASA *AR5(030C4h), B ; 173
+ MASA *AR5(030C5h) ; 174
+ MASA *+AR5(030C6h), B ; 175
+ MASA *+AR5(030C7h) ; 176
+ MASA *+AR5(030C8h)%, B ; 177
+ MASA *+AR5(030C9h)% ; 178
+ MASA *(030CAh), B ; 179
+ MASA *(030CBh) ; 180
+ MASA *AR6, B ; 181
+ MASA *AR6 ; 182
+ MASA *AR6-, B ; 183
+ MASA *AR6- ; 184
+ MASA *AR6+, B ; 185
+ MASA *AR6+ ; 186
+ MASA *AR6-0B, B ; 187
+ MASA *AR6-0B ; 188
+ MASA *AR6-0, B ; 189
+ MASA *AR6-0 ; 190
+ MASA *AR6+0, B ; 191
+ MASA *AR6+0 ; 192
+ MASA *AR6+0B, B ; 193
+ MASA *AR6+0B ; 194
+ MASA *AR6-%, B ; 195
+ MASA *AR6-% ; 196
+ MASA *AR6-0%, B ; 197
+ MASA *AR6-0% ; 198
+ MASA *AR6+%, B ; 199
+ MASA *AR6+% ; 200
+ MASA *AR6+0%, B ; 201
+ MASA *AR6+0% ; 202
+ MASA *AR6(030CCh), B ; 203
+ MASA *AR6(030CDh) ; 204
+ MASA *+AR6(030CEh), B ; 205
+ MASA *+AR6(030CFh) ; 206
+ MASA *+AR6(030D0h)%, B ; 207
+ MASA *+AR6(030D1h)% ; 208
+ MASA *(030D2h), B ; 209
+ MASA *(030D3h) ; 210
+ MASA *AR7, B ; 211
+ MASA *AR7 ; 212
+ MASA *AR7-, B ; 213
+ MASA *AR7- ; 214
+ MASA *AR7+, B ; 215
+ MASA *AR7+ ; 216
+ MASA *AR7-0B, B ; 217
+ MASA *AR7-0B ; 218
+ MASA *AR7-0, B ; 219
+ MASA *AR7-0 ; 220
+ MASA *AR7+0, B ; 221
+ MASA *AR7+0 ; 222
+ MASA *AR7+0B, B ; 223
+ MASA *AR7+0B ; 224
+ MASA *AR7-%, B ; 225
+ MASA *AR7-% ; 226
+ MASA *AR7-0%, B ; 227
+ MASA *AR7-0% ; 228
+ MASA *AR7+%, B ; 229
+ MASA *AR7+% ; 230
+ MASA *AR7+0%, B ; 231
+ MASA *AR7+0% ; 232
+ MASA *AR7(030D4h), B ; 233
+ MASA *AR7(030D5h) ; 234
+ MASA *+AR7(030D6h), B ; 235
+ MASA *+AR7(030D7h) ; 236
+ MASA *+AR7(030D8h)%, B ; 237
+ MASA *+AR7(030D9h)% ; 238
+ MASA *(030DAh), B ; 239
+ MASA *(030DBh) ; 240
+ ; MASAtreg : MASA T, AB, AB2 : 6
+ MASA T, A, A ; 1
+ MASA T, A, B ; 2
+ MASA T, A ; 3
+ MASA T, B, A ; 4
+ MASA T, B, B ; 5
+ MASA T, B ; 6
+ ; MASR : MASR Smem, AB : 2
+ MASR 5Bh, A ; 1
+ MASR 5Ch, B ; 2
+ ; MASR_I : MASR Smem_I, AB : 240
+ MASR *AR0, A ; 1
+ MASR *AR0, B ; 2
+ MASR *AR0-, A ; 3
+ MASR *AR0-, B ; 4
+ MASR *AR0+, A ; 5
+ MASR *AR0+, B ; 6
+ MASR *AR0-0B, A ; 7
+ MASR *AR0-0B, B ; 8
+ MASR *AR0-0, A ; 9
+ MASR *AR0-0, B ; 10
+ MASR *AR0+0, A ; 11
+ MASR *AR0+0, B ; 12
+ MASR *AR0+0B, A ; 13
+ MASR *AR0+0B, B ; 14
+ MASR *AR0-%, A ; 15
+ MASR *AR0-%, B ; 16
+ MASR *AR0-0%, A ; 17
+ MASR *AR0-0%, B ; 18
+ MASR *AR0+%, A ; 19
+ MASR *AR0+%, B ; 20
+ MASR *AR0+0%, A ; 21
+ MASR *AR0+0%, B ; 22
+ MASR *AR0(030DCh), A ; 23
+ MASR *AR0(030DDh), B ; 24
+ MASR *+AR0(030DEh), A ; 25
+ MASR *+AR0(030DFh), B ; 26
+ MASR *+AR0(030E0h)%, A ; 27
+ MASR *+AR0(030E1h)%, B ; 28
+ MASR *(030E2h), A ; 29
+ MASR *(030E3h), B ; 30
+ MASR *AR1, A ; 31
+ MASR *AR1, B ; 32
+ MASR *AR1-, A ; 33
+ MASR *AR1-, B ; 34
+ MASR *AR1+, A ; 35
+ MASR *AR1+, B ; 36
+ MASR *AR1-0B, A ; 37
+ MASR *AR1-0B, B ; 38
+ MASR *AR1-0, A ; 39
+ MASR *AR1-0, B ; 40
+ MASR *AR1+0, A ; 41
+ MASR *AR1+0, B ; 42
+ MASR *AR1+0B, A ; 43
+ MASR *AR1+0B, B ; 44
+ MASR *AR1-%, A ; 45
+ MASR *AR1-%, B ; 46
+ MASR *AR1-0%, A ; 47
+ MASR *AR1-0%, B ; 48
+ MASR *AR1+%, A ; 49
+ MASR *AR1+%, B ; 50
+ MASR *AR1+0%, A ; 51
+ MASR *AR1+0%, B ; 52
+ MASR *AR1(030E4h), A ; 53
+ MASR *AR1(030E5h), B ; 54
+ MASR *+AR1(030E6h), A ; 55
+ MASR *+AR1(030E7h), B ; 56
+ MASR *+AR1(030E8h)%, A ; 57
+ MASR *+AR1(030E9h)%, B ; 58
+ MASR *(030EAh), A ; 59
+ MASR *(030EBh), B ; 60
+ MASR *AR2, A ; 61
+ MASR *AR2, B ; 62
+ MASR *AR2-, A ; 63
+ MASR *AR2-, B ; 64
+ MASR *AR2+, A ; 65
+ MASR *AR2+, B ; 66
+ MASR *AR2-0B, A ; 67
+ MASR *AR2-0B, B ; 68
+ MASR *AR2-0, A ; 69
+ MASR *AR2-0, B ; 70
+ MASR *AR2+0, A ; 71
+ MASR *AR2+0, B ; 72
+ MASR *AR2+0B, A ; 73
+ MASR *AR2+0B, B ; 74
+ MASR *AR2-%, A ; 75
+ MASR *AR2-%, B ; 76
+ MASR *AR2-0%, A ; 77
+ MASR *AR2-0%, B ; 78
+ MASR *AR2+%, A ; 79
+ MASR *AR2+%, B ; 80
+ MASR *AR2+0%, A ; 81
+ MASR *AR2+0%, B ; 82
+ MASR *AR2(030ECh), A ; 83
+ MASR *AR2(030EDh), B ; 84
+ MASR *+AR2(030EEh), A ; 85
+ MASR *+AR2(030EFh), B ; 86
+ MASR *+AR2(030F0h)%, A ; 87
+ MASR *+AR2(030F1h)%, B ; 88
+ MASR *(030F2h), A ; 89
+ MASR *(030F3h), B ; 90
+ MASR *AR3, A ; 91
+ MASR *AR3, B ; 92
+ MASR *AR3-, A ; 93
+ MASR *AR3-, B ; 94
+ MASR *AR3+, A ; 95
+ MASR *AR3+, B ; 96
+ MASR *AR3-0B, A ; 97
+ MASR *AR3-0B, B ; 98
+ MASR *AR3-0, A ; 99
+ MASR *AR3-0, B ; 100
+ MASR *AR3+0, A ; 101
+ MASR *AR3+0, B ; 102
+ MASR *AR3+0B, A ; 103
+ MASR *AR3+0B, B ; 104
+ MASR *AR3-%, A ; 105
+ MASR *AR3-%, B ; 106
+ MASR *AR3-0%, A ; 107
+ MASR *AR3-0%, B ; 108
+ MASR *AR3+%, A ; 109
+ MASR *AR3+%, B ; 110
+ MASR *AR3+0%, A ; 111
+ MASR *AR3+0%, B ; 112
+ MASR *AR3(030F4h), A ; 113
+ MASR *AR3(030F5h), B ; 114
+ MASR *+AR3(030F6h), A ; 115
+ MASR *+AR3(030F7h), B ; 116
+ MASR *+AR3(030F8h)%, A ; 117
+ MASR *+AR3(030F9h)%, B ; 118
+ MASR *(030FAh), A ; 119
+ MASR *(030FBh), B ; 120
+ MASR *AR4, A ; 121
+ MASR *AR4, B ; 122
+ MASR *AR4-, A ; 123
+ MASR *AR4-, B ; 124
+ MASR *AR4+, A ; 125
+ MASR *AR4+, B ; 126
+ MASR *AR4-0B, A ; 127
+ MASR *AR4-0B, B ; 128
+ MASR *AR4-0, A ; 129
+ MASR *AR4-0, B ; 130
+ MASR *AR4+0, A ; 131
+ MASR *AR4+0, B ; 132
+ MASR *AR4+0B, A ; 133
+ MASR *AR4+0B, B ; 134
+ MASR *AR4-%, A ; 135
+ MASR *AR4-%, B ; 136
+ MASR *AR4-0%, A ; 137
+ MASR *AR4-0%, B ; 138
+ MASR *AR4+%, A ; 139
+ MASR *AR4+%, B ; 140
+ MASR *AR4+0%, A ; 141
+ MASR *AR4+0%, B ; 142
+ MASR *AR4(030FCh), A ; 143
+ MASR *AR4(030FDh), B ; 144
+ MASR *+AR4(030FEh), A ; 145
+ MASR *+AR4(030FFh), B ; 146
+ MASR *+AR4(03100h)%, A ; 147
+ MASR *+AR4(03101h)%, B ; 148
+ MASR *(03102h), A ; 149
+ MASR *(03103h), B ; 150
+ MASR *AR5, A ; 151
+ MASR *AR5, B ; 152
+ MASR *AR5-, A ; 153
+ MASR *AR5-, B ; 154
+ MASR *AR5+, A ; 155
+ MASR *AR5+, B ; 156
+ MASR *AR5-0B, A ; 157
+ MASR *AR5-0B, B ; 158
+ MASR *AR5-0, A ; 159
+ MASR *AR5-0, B ; 160
+ MASR *AR5+0, A ; 161
+ MASR *AR5+0, B ; 162
+ MASR *AR5+0B, A ; 163
+ MASR *AR5+0B, B ; 164
+ MASR *AR5-%, A ; 165
+ MASR *AR5-%, B ; 166
+ MASR *AR5-0%, A ; 167
+ MASR *AR5-0%, B ; 168
+ MASR *AR5+%, A ; 169
+ MASR *AR5+%, B ; 170
+ MASR *AR5+0%, A ; 171
+ MASR *AR5+0%, B ; 172
+ MASR *AR5(03104h), A ; 173
+ MASR *AR5(03105h), B ; 174
+ MASR *+AR5(03106h), A ; 175
+ MASR *+AR5(03107h), B ; 176
+ MASR *+AR5(03108h)%, A ; 177
+ MASR *+AR5(03109h)%, B ; 178
+ MASR *(0310Ah), A ; 179
+ MASR *(0310Bh), B ; 180
+ MASR *AR6, A ; 181
+ MASR *AR6, B ; 182
+ MASR *AR6-, A ; 183
+ MASR *AR6-, B ; 184
+ MASR *AR6+, A ; 185
+ MASR *AR6+, B ; 186
+ MASR *AR6-0B, A ; 187
+ MASR *AR6-0B, B ; 188
+ MASR *AR6-0, A ; 189
+ MASR *AR6-0, B ; 190
+ MASR *AR6+0, A ; 191
+ MASR *AR6+0, B ; 192
+ MASR *AR6+0B, A ; 193
+ MASR *AR6+0B, B ; 194
+ MASR *AR6-%, A ; 195
+ MASR *AR6-%, B ; 196
+ MASR *AR6-0%, A ; 197
+ MASR *AR6-0%, B ; 198
+ MASR *AR6+%, A ; 199
+ MASR *AR6+%, B ; 200
+ MASR *AR6+0%, A ; 201
+ MASR *AR6+0%, B ; 202
+ MASR *AR6(0310Ch), A ; 203
+ MASR *AR6(0310Dh), B ; 204
+ MASR *+AR6(0310Eh), A ; 205
+ MASR *+AR6(0310Fh), B ; 206
+ MASR *+AR6(03110h)%, A ; 207
+ MASR *+AR6(03111h)%, B ; 208
+ MASR *(03112h), A ; 209
+ MASR *(03113h), B ; 210
+ MASR *AR7, A ; 211
+ MASR *AR7, B ; 212
+ MASR *AR7-, A ; 213
+ MASR *AR7-, B ; 214
+ MASR *AR7+, A ; 215
+ MASR *AR7+, B ; 216
+ MASR *AR7-0B, A ; 217
+ MASR *AR7-0B, B ; 218
+ MASR *AR7-0, A ; 219
+ MASR *AR7-0, B ; 220
+ MASR *AR7+0, A ; 221
+ MASR *AR7+0, B ; 222
+ MASR *AR7+0B, A ; 223
+ MASR *AR7+0B, B ; 224
+ MASR *AR7-%, A ; 225
+ MASR *AR7-%, B ; 226
+ MASR *AR7-0%, A ; 227
+ MASR *AR7-0%, B ; 228
+ MASR *AR7+%, A ; 229
+ MASR *AR7+%, B ; 230
+ MASR *AR7+0%, A ; 231
+ MASR *AR7+0%, B ; 232
+ MASR *AR7(03114h), A ; 233
+ MASR *AR7(03115h), B ; 234
+ MASR *+AR7(03116h), A ; 235
+ MASR *+AR7(03117h), B ; 236
+ MASR *+AR7(03118h)%, A ; 237
+ MASR *+AR7(03119h)%, B ; 238
+ MASR *(0311Ah), A ; 239
+ MASR *(0311Bh), B ; 240
+ ; MASRxmym : MASR Xmem, Ymem, AB, AB2 : 1536
+ MASR *AR2, *AR2, A, A ; 1
+ MASR *AR2, *AR2, A, B ; 2
+ MASR *AR2, *AR2, A ; 3
+ MASR *AR2, *AR2, B, A ; 4
+ MASR *AR2, *AR2, B, B ; 5
+ MASR *AR2, *AR2, B ; 6
+ MASR *AR2, *AR2-, A, A ; 7
+ MASR *AR2, *AR2-, A, B ; 8
+ MASR *AR2, *AR2-, A ; 9
+ MASR *AR2, *AR2-, B, A ; 10
+ MASR *AR2, *AR2-, B, B ; 11
+ MASR *AR2, *AR2-, B ; 12
+ MASR *AR2, *AR2+, A, A ; 13
+ MASR *AR2, *AR2+, A, B ; 14
+ MASR *AR2, *AR2+, A ; 15
+ MASR *AR2, *AR2+, B, A ; 16
+ MASR *AR2, *AR2+, B, B ; 17
+ MASR *AR2, *AR2+, B ; 18
+ MASR *AR2, *AR2+0%, A, A ; 19
+ MASR *AR2, *AR2+0%, A, B ; 20
+ MASR *AR2, *AR2+0%, A ; 21
+ MASR *AR2, *AR2+0%, B, A ; 22
+ MASR *AR2, *AR2+0%, B, B ; 23
+ MASR *AR2, *AR2+0%, B ; 24
+ MASR *AR2, *AR3, A, A ; 25
+ MASR *AR2, *AR3, A, B ; 26
+ MASR *AR2, *AR3, A ; 27
+ MASR *AR2, *AR3, B, A ; 28
+ MASR *AR2, *AR3, B, B ; 29
+ MASR *AR2, *AR3, B ; 30
+ MASR *AR2, *AR3-, A, A ; 31
+ MASR *AR2, *AR3-, A, B ; 32
+ MASR *AR2, *AR3-, A ; 33
+ MASR *AR2, *AR3-, B, A ; 34
+ MASR *AR2, *AR3-, B, B ; 35
+ MASR *AR2, *AR3-, B ; 36
+ MASR *AR2, *AR3+, A, A ; 37
+ MASR *AR2, *AR3+, A, B ; 38
+ MASR *AR2, *AR3+, A ; 39
+ MASR *AR2, *AR3+, B, A ; 40
+ MASR *AR2, *AR3+, B, B ; 41
+ MASR *AR2, *AR3+, B ; 42
+ MASR *AR2, *AR3+0%, A, A ; 43
+ MASR *AR2, *AR3+0%, A, B ; 44
+ MASR *AR2, *AR3+0%, A ; 45
+ MASR *AR2, *AR3+0%, B, A ; 46
+ MASR *AR2, *AR3+0%, B, B ; 47
+ MASR *AR2, *AR3+0%, B ; 48
+ MASR *AR2, *AR4, A, A ; 49
+ MASR *AR2, *AR4, A, B ; 50
+ MASR *AR2, *AR4, A ; 51
+ MASR *AR2, *AR4, B, A ; 52
+ MASR *AR2, *AR4, B, B ; 53
+ MASR *AR2, *AR4, B ; 54
+ MASR *AR2, *AR4-, A, A ; 55
+ MASR *AR2, *AR4-, A, B ; 56
+ MASR *AR2, *AR4-, A ; 57
+ MASR *AR2, *AR4-, B, A ; 58
+ MASR *AR2, *AR4-, B, B ; 59
+ MASR *AR2, *AR4-, B ; 60
+ MASR *AR2, *AR4+, A, A ; 61
+ MASR *AR2, *AR4+, A, B ; 62
+ MASR *AR2, *AR4+, A ; 63
+ MASR *AR2, *AR4+, B, A ; 64
+ MASR *AR2, *AR4+, B, B ; 65
+ MASR *AR2, *AR4+, B ; 66
+ MASR *AR2, *AR4+0%, A, A ; 67
+ MASR *AR2, *AR4+0%, A, B ; 68
+ MASR *AR2, *AR4+0%, A ; 69
+ MASR *AR2, *AR4+0%, B, A ; 70
+ MASR *AR2, *AR4+0%, B, B ; 71
+ MASR *AR2, *AR4+0%, B ; 72
+ MASR *AR2, *AR5, A, A ; 73
+ MASR *AR2, *AR5, A, B ; 74
+ MASR *AR2, *AR5, A ; 75
+ MASR *AR2, *AR5, B, A ; 76
+ MASR *AR2, *AR5, B, B ; 77
+ MASR *AR2, *AR5, B ; 78
+ MASR *AR2, *AR5-, A, A ; 79
+ MASR *AR2, *AR5-, A, B ; 80
+ MASR *AR2, *AR5-, A ; 81
+ MASR *AR2, *AR5-, B, A ; 82
+ MASR *AR2, *AR5-, B, B ; 83
+ MASR *AR2, *AR5-, B ; 84
+ MASR *AR2, *AR5+, A, A ; 85
+ MASR *AR2, *AR5+, A, B ; 86
+ MASR *AR2, *AR5+, A ; 87
+ MASR *AR2, *AR5+, B, A ; 88
+ MASR *AR2, *AR5+, B, B ; 89
+ MASR *AR2, *AR5+, B ; 90
+ MASR *AR2, *AR5+0%, A, A ; 91
+ MASR *AR2, *AR5+0%, A, B ; 92
+ MASR *AR2, *AR5+0%, A ; 93
+ MASR *AR2, *AR5+0%, B, A ; 94
+ MASR *AR2, *AR5+0%, B, B ; 95
+ MASR *AR2, *AR5+0%, B ; 96
+ MASR *AR2-, *AR2, A, A ; 97
+ MASR *AR2-, *AR2, A, B ; 98
+ MASR *AR2-, *AR2, A ; 99
+ MASR *AR2-, *AR2, B, A ; 100
+ MASR *AR2-, *AR2, B, B ; 101
+ MASR *AR2-, *AR2, B ; 102
+ MASR *AR2-, *AR2-, A, A ; 103
+ MASR *AR2-, *AR2-, A, B ; 104
+ MASR *AR2-, *AR2-, A ; 105
+ MASR *AR2-, *AR2-, B, A ; 106
+ MASR *AR2-, *AR2-, B, B ; 107
+ MASR *AR2-, *AR2-, B ; 108
+ MASR *AR2-, *AR2+, A, A ; 109
+ MASR *AR2-, *AR2+, A, B ; 110
+ MASR *AR2-, *AR2+, A ; 111
+ MASR *AR2-, *AR2+, B, A ; 112
+ MASR *AR2-, *AR2+, B, B ; 113
+ MASR *AR2-, *AR2+, B ; 114
+ MASR *AR2-, *AR2+0%, A, A ; 115
+ MASR *AR2-, *AR2+0%, A, B ; 116
+ MASR *AR2-, *AR2+0%, A ; 117
+ MASR *AR2-, *AR2+0%, B, A ; 118
+ MASR *AR2-, *AR2+0%, B, B ; 119
+ MASR *AR2-, *AR2+0%, B ; 120
+ MASR *AR2-, *AR3, A, A ; 121
+ MASR *AR2-, *AR3, A, B ; 122
+ MASR *AR2-, *AR3, A ; 123
+ MASR *AR2-, *AR3, B, A ; 124
+ MASR *AR2-, *AR3, B, B ; 125
+ MASR *AR2-, *AR3, B ; 126
+ MASR *AR2-, *AR3-, A, A ; 127
+ MASR *AR2-, *AR3-, A, B ; 128
+ MASR *AR2-, *AR3-, A ; 129
+ MASR *AR2-, *AR3-, B, A ; 130
+ MASR *AR2-, *AR3-, B, B ; 131
+ MASR *AR2-, *AR3-, B ; 132
+ MASR *AR2-, *AR3+, A, A ; 133
+ MASR *AR2-, *AR3+, A, B ; 134
+ MASR *AR2-, *AR3+, A ; 135
+ MASR *AR2-, *AR3+, B, A ; 136
+ MASR *AR2-, *AR3+, B, B ; 137
+ MASR *AR2-, *AR3+, B ; 138
+ MASR *AR2-, *AR3+0%, A, A ; 139
+ MASR *AR2-, *AR3+0%, A, B ; 140
+ MASR *AR2-, *AR3+0%, A ; 141
+ MASR *AR2-, *AR3+0%, B, A ; 142
+ MASR *AR2-, *AR3+0%, B, B ; 143
+ MASR *AR2-, *AR3+0%, B ; 144
+ MASR *AR2-, *AR4, A, A ; 145
+ MASR *AR2-, *AR4, A, B ; 146
+ MASR *AR2-, *AR4, A ; 147
+ MASR *AR2-, *AR4, B, A ; 148
+ MASR *AR2-, *AR4, B, B ; 149
+ MASR *AR2-, *AR4, B ; 150
+ MASR *AR2-, *AR4-, A, A ; 151
+ MASR *AR2-, *AR4-, A, B ; 152
+ MASR *AR2-, *AR4-, A ; 153
+ MASR *AR2-, *AR4-, B, A ; 154
+ MASR *AR2-, *AR4-, B, B ; 155
+ MASR *AR2-, *AR4-, B ; 156
+ MASR *AR2-, *AR4+, A, A ; 157
+ MASR *AR2-, *AR4+, A, B ; 158
+ MASR *AR2-, *AR4+, A ; 159
+ MASR *AR2-, *AR4+, B, A ; 160
+ MASR *AR2-, *AR4+, B, B ; 161
+ MASR *AR2-, *AR4+, B ; 162
+ MASR *AR2-, *AR4+0%, A, A ; 163
+ MASR *AR2-, *AR4+0%, A, B ; 164
+ MASR *AR2-, *AR4+0%, A ; 165
+ MASR *AR2-, *AR4+0%, B, A ; 166
+ MASR *AR2-, *AR4+0%, B, B ; 167
+ MASR *AR2-, *AR4+0%, B ; 168
+ MASR *AR2-, *AR5, A, A ; 169
+ MASR *AR2-, *AR5, A, B ; 170
+ MASR *AR2-, *AR5, A ; 171
+ MASR *AR2-, *AR5, B, A ; 172
+ MASR *AR2-, *AR5, B, B ; 173
+ MASR *AR2-, *AR5, B ; 174
+ MASR *AR2-, *AR5-, A, A ; 175
+ MASR *AR2-, *AR5-, A, B ; 176
+ MASR *AR2-, *AR5-, A ; 177
+ MASR *AR2-, *AR5-, B, A ; 178
+ MASR *AR2-, *AR5-, B, B ; 179
+ MASR *AR2-, *AR5-, B ; 180
+ MASR *AR2-, *AR5+, A, A ; 181
+ MASR *AR2-, *AR5+, A, B ; 182
+ MASR *AR2-, *AR5+, A ; 183
+ MASR *AR2-, *AR5+, B, A ; 184
+ MASR *AR2-, *AR5+, B, B ; 185
+ MASR *AR2-, *AR5+, B ; 186
+ MASR *AR2-, *AR5+0%, A, A ; 187
+ MASR *AR2-, *AR5+0%, A, B ; 188
+ MASR *AR2-, *AR5+0%, A ; 189
+ MASR *AR2-, *AR5+0%, B, A ; 190
+ MASR *AR2-, *AR5+0%, B, B ; 191
+ MASR *AR2-, *AR5+0%, B ; 192
+ MASR *AR2+, *AR2, A, A ; 193
+ MASR *AR2+, *AR2, A, B ; 194
+ MASR *AR2+, *AR2, A ; 195
+ MASR *AR2+, *AR2, B, A ; 196
+ MASR *AR2+, *AR2, B, B ; 197
+ MASR *AR2+, *AR2, B ; 198
+ MASR *AR2+, *AR2-, A, A ; 199
+ MASR *AR2+, *AR2-, A, B ; 200
+ MASR *AR2+, *AR2-, A ; 201
+ MASR *AR2+, *AR2-, B, A ; 202
+ MASR *AR2+, *AR2-, B, B ; 203
+ MASR *AR2+, *AR2-, B ; 204
+ MASR *AR2+, *AR2+, A, A ; 205
+ MASR *AR2+, *AR2+, A, B ; 206
+ MASR *AR2+, *AR2+, A ; 207
+ MASR *AR2+, *AR2+, B, A ; 208
+ MASR *AR2+, *AR2+, B, B ; 209
+ MASR *AR2+, *AR2+, B ; 210
+ MASR *AR2+, *AR2+0%, A, A ; 211
+ MASR *AR2+, *AR2+0%, A, B ; 212
+ MASR *AR2+, *AR2+0%, A ; 213
+ MASR *AR2+, *AR2+0%, B, A ; 214
+ MASR *AR2+, *AR2+0%, B, B ; 215
+ MASR *AR2+, *AR2+0%, B ; 216
+ MASR *AR2+, *AR3, A, A ; 217
+ MASR *AR2+, *AR3, A, B ; 218
+ MASR *AR2+, *AR3, A ; 219
+ MASR *AR2+, *AR3, B, A ; 220
+ MASR *AR2+, *AR3, B, B ; 221
+ MASR *AR2+, *AR3, B ; 222
+ MASR *AR2+, *AR3-, A, A ; 223
+ MASR *AR2+, *AR3-, A, B ; 224
+ MASR *AR2+, *AR3-, A ; 225
+ MASR *AR2+, *AR3-, B, A ; 226
+ MASR *AR2+, *AR3-, B, B ; 227
+ MASR *AR2+, *AR3-, B ; 228
+ MASR *AR2+, *AR3+, A, A ; 229
+ MASR *AR2+, *AR3+, A, B ; 230
+ MASR *AR2+, *AR3+, A ; 231
+ MASR *AR2+, *AR3+, B, A ; 232
+ MASR *AR2+, *AR3+, B, B ; 233
+ MASR *AR2+, *AR3+, B ; 234
+ MASR *AR2+, *AR3+0%, A, A ; 235
+ MASR *AR2+, *AR3+0%, A, B ; 236
+ MASR *AR2+, *AR3+0%, A ; 237
+ MASR *AR2+, *AR3+0%, B, A ; 238
+ MASR *AR2+, *AR3+0%, B, B ; 239
+ MASR *AR2+, *AR3+0%, B ; 240
+ MASR *AR2+, *AR4, A, A ; 241
+ MASR *AR2+, *AR4, A, B ; 242
+ MASR *AR2+, *AR4, A ; 243
+ MASR *AR2+, *AR4, B, A ; 244
+ MASR *AR2+, *AR4, B, B ; 245
+ MASR *AR2+, *AR4, B ; 246
+ MASR *AR2+, *AR4-, A, A ; 247
+ MASR *AR2+, *AR4-, A, B ; 248
+ MASR *AR2+, *AR4-, A ; 249
+ MASR *AR2+, *AR4-, B, A ; 250
+ MASR *AR2+, *AR4-, B, B ; 251
+ MASR *AR2+, *AR4-, B ; 252
+ MASR *AR2+, *AR4+, A, A ; 253
+ MASR *AR2+, *AR4+, A, B ; 254
+ MASR *AR2+, *AR4+, A ; 255
+ MASR *AR2+, *AR4+, B, A ; 256
+ MASR *AR2+, *AR4+, B, B ; 257
+ MASR *AR2+, *AR4+, B ; 258
+ MASR *AR2+, *AR4+0%, A, A ; 259
+ MASR *AR2+, *AR4+0%, A, B ; 260
+ MASR *AR2+, *AR4+0%, A ; 261
+ MASR *AR2+, *AR4+0%, B, A ; 262
+ MASR *AR2+, *AR4+0%, B, B ; 263
+ MASR *AR2+, *AR4+0%, B ; 264
+ MASR *AR2+, *AR5, A, A ; 265
+ MASR *AR2+, *AR5, A, B ; 266
+ MASR *AR2+, *AR5, A ; 267
+ MASR *AR2+, *AR5, B, A ; 268
+ MASR *AR2+, *AR5, B, B ; 269
+ MASR *AR2+, *AR5, B ; 270
+ MASR *AR2+, *AR5-, A, A ; 271
+ MASR *AR2+, *AR5-, A, B ; 272
+ MASR *AR2+, *AR5-, A ; 273
+ MASR *AR2+, *AR5-, B, A ; 274
+ MASR *AR2+, *AR5-, B, B ; 275
+ MASR *AR2+, *AR5-, B ; 276
+ MASR *AR2+, *AR5+, A, A ; 277
+ MASR *AR2+, *AR5+, A, B ; 278
+ MASR *AR2+, *AR5+, A ; 279
+ MASR *AR2+, *AR5+, B, A ; 280
+ MASR *AR2+, *AR5+, B, B ; 281
+ MASR *AR2+, *AR5+, B ; 282
+ MASR *AR2+, *AR5+0%, A, A ; 283
+ MASR *AR2+, *AR5+0%, A, B ; 284
+ MASR *AR2+, *AR5+0%, A ; 285
+ MASR *AR2+, *AR5+0%, B, A ; 286
+ MASR *AR2+, *AR5+0%, B, B ; 287
+ MASR *AR2+, *AR5+0%, B ; 288
+ MASR *AR2+0%, *AR2, A, A ; 289
+ MASR *AR2+0%, *AR2, A, B ; 290
+ MASR *AR2+0%, *AR2, A ; 291
+ MASR *AR2+0%, *AR2, B, A ; 292
+ MASR *AR2+0%, *AR2, B, B ; 293
+ MASR *AR2+0%, *AR2, B ; 294
+ MASR *AR2+0%, *AR2-, A, A ; 295
+ MASR *AR2+0%, *AR2-, A, B ; 296
+ MASR *AR2+0%, *AR2-, A ; 297
+ MASR *AR2+0%, *AR2-, B, A ; 298
+ MASR *AR2+0%, *AR2-, B, B ; 299
+ MASR *AR2+0%, *AR2-, B ; 300
+ MASR *AR2+0%, *AR2+, A, A ; 301
+ MASR *AR2+0%, *AR2+, A, B ; 302
+ MASR *AR2+0%, *AR2+, A ; 303
+ MASR *AR2+0%, *AR2+, B, A ; 304
+ MASR *AR2+0%, *AR2+, B, B ; 305
+ MASR *AR2+0%, *AR2+, B ; 306
+ MASR *AR2+0%, *AR2+0%, A, A ; 307
+ MASR *AR2+0%, *AR2+0%, A, B ; 308
+ MASR *AR2+0%, *AR2+0%, A ; 309
+ MASR *AR2+0%, *AR2+0%, B, A ; 310
+ MASR *AR2+0%, *AR2+0%, B, B ; 311
+ MASR *AR2+0%, *AR2+0%, B ; 312
+ MASR *AR2+0%, *AR3, A, A ; 313
+ MASR *AR2+0%, *AR3, A, B ; 314
+ MASR *AR2+0%, *AR3, A ; 315
+ MASR *AR2+0%, *AR3, B, A ; 316
+ MASR *AR2+0%, *AR3, B, B ; 317
+ MASR *AR2+0%, *AR3, B ; 318
+ MASR *AR2+0%, *AR3-, A, A ; 319
+ MASR *AR2+0%, *AR3-, A, B ; 320
+ MASR *AR2+0%, *AR3-, A ; 321
+ MASR *AR2+0%, *AR3-, B, A ; 322
+ MASR *AR2+0%, *AR3-, B, B ; 323
+ MASR *AR2+0%, *AR3-, B ; 324
+ MASR *AR2+0%, *AR3+, A, A ; 325
+ MASR *AR2+0%, *AR3+, A, B ; 326
+ MASR *AR2+0%, *AR3+, A ; 327
+ MASR *AR2+0%, *AR3+, B, A ; 328
+ MASR *AR2+0%, *AR3+, B, B ; 329
+ MASR *AR2+0%, *AR3+, B ; 330
+ MASR *AR2+0%, *AR3+0%, A, A ; 331
+ MASR *AR2+0%, *AR3+0%, A, B ; 332
+ MASR *AR2+0%, *AR3+0%, A ; 333
+ MASR *AR2+0%, *AR3+0%, B, A ; 334
+ MASR *AR2+0%, *AR3+0%, B, B ; 335
+ MASR *AR2+0%, *AR3+0%, B ; 336
+ MASR *AR2+0%, *AR4, A, A ; 337
+ MASR *AR2+0%, *AR4, A, B ; 338
+ MASR *AR2+0%, *AR4, A ; 339
+ MASR *AR2+0%, *AR4, B, A ; 340
+ MASR *AR2+0%, *AR4, B, B ; 341
+ MASR *AR2+0%, *AR4, B ; 342
+ MASR *AR2+0%, *AR4-, A, A ; 343
+ MASR *AR2+0%, *AR4-, A, B ; 344
+ MASR *AR2+0%, *AR4-, A ; 345
+ MASR *AR2+0%, *AR4-, B, A ; 346
+ MASR *AR2+0%, *AR4-, B, B ; 347
+ MASR *AR2+0%, *AR4-, B ; 348
+ MASR *AR2+0%, *AR4+, A, A ; 349
+ MASR *AR2+0%, *AR4+, A, B ; 350
+ MASR *AR2+0%, *AR4+, A ; 351
+ MASR *AR2+0%, *AR4+, B, A ; 352
+ MASR *AR2+0%, *AR4+, B, B ; 353
+ MASR *AR2+0%, *AR4+, B ; 354
+ MASR *AR2+0%, *AR4+0%, A, A ; 355
+ MASR *AR2+0%, *AR4+0%, A, B ; 356
+ MASR *AR2+0%, *AR4+0%, A ; 357
+ MASR *AR2+0%, *AR4+0%, B, A ; 358
+ MASR *AR2+0%, *AR4+0%, B, B ; 359
+ MASR *AR2+0%, *AR4+0%, B ; 360
+ MASR *AR2+0%, *AR5, A, A ; 361
+ MASR *AR2+0%, *AR5, A, B ; 362
+ MASR *AR2+0%, *AR5, A ; 363
+ MASR *AR2+0%, *AR5, B, A ; 364
+ MASR *AR2+0%, *AR5, B, B ; 365
+ MASR *AR2+0%, *AR5, B ; 366
+ MASR *AR2+0%, *AR5-, A, A ; 367
+ MASR *AR2+0%, *AR5-, A, B ; 368
+ MASR *AR2+0%, *AR5-, A ; 369
+ MASR *AR2+0%, *AR5-, B, A ; 370
+ MASR *AR2+0%, *AR5-, B, B ; 371
+ MASR *AR2+0%, *AR5-, B ; 372
+ MASR *AR2+0%, *AR5+, A, A ; 373
+ MASR *AR2+0%, *AR5+, A, B ; 374
+ MASR *AR2+0%, *AR5+, A ; 375
+ MASR *AR2+0%, *AR5+, B, A ; 376
+ MASR *AR2+0%, *AR5+, B, B ; 377
+ MASR *AR2+0%, *AR5+, B ; 378
+ MASR *AR2+0%, *AR5+0%, A, A ; 379
+ MASR *AR2+0%, *AR5+0%, A, B ; 380
+ MASR *AR2+0%, *AR5+0%, A ; 381
+ MASR *AR2+0%, *AR5+0%, B, A ; 382
+ MASR *AR2+0%, *AR5+0%, B, B ; 383
+ MASR *AR2+0%, *AR5+0%, B ; 384
+ MASR *AR3, *AR2, A, A ; 385
+ MASR *AR3, *AR2, A, B ; 386
+ MASR *AR3, *AR2, A ; 387
+ MASR *AR3, *AR2, B, A ; 388
+ MASR *AR3, *AR2, B, B ; 389
+ MASR *AR3, *AR2, B ; 390
+ MASR *AR3, *AR2-, A, A ; 391
+ MASR *AR3, *AR2-, A, B ; 392
+ MASR *AR3, *AR2-, A ; 393
+ MASR *AR3, *AR2-, B, A ; 394
+ MASR *AR3, *AR2-, B, B ; 395
+ MASR *AR3, *AR2-, B ; 396
+ MASR *AR3, *AR2+, A, A ; 397
+ MASR *AR3, *AR2+, A, B ; 398
+ MASR *AR3, *AR2+, A ; 399
+ MASR *AR3, *AR2+, B, A ; 400
+ MASR *AR3, *AR2+, B, B ; 401
+ MASR *AR3, *AR2+, B ; 402
+ MASR *AR3, *AR2+0%, A, A ; 403
+ MASR *AR3, *AR2+0%, A, B ; 404
+ MASR *AR3, *AR2+0%, A ; 405
+ MASR *AR3, *AR2+0%, B, A ; 406
+ MASR *AR3, *AR2+0%, B, B ; 407
+ MASR *AR3, *AR2+0%, B ; 408
+ MASR *AR3, *AR3, A, A ; 409
+ MASR *AR3, *AR3, A, B ; 410
+ MASR *AR3, *AR3, A ; 411
+ MASR *AR3, *AR3, B, A ; 412
+ MASR *AR3, *AR3, B, B ; 413
+ MASR *AR3, *AR3, B ; 414
+ MASR *AR3, *AR3-, A, A ; 415
+ MASR *AR3, *AR3-, A, B ; 416
+ MASR *AR3, *AR3-, A ; 417
+ MASR *AR3, *AR3-, B, A ; 418
+ MASR *AR3, *AR3-, B, B ; 419
+ MASR *AR3, *AR3-, B ; 420
+ MASR *AR3, *AR3+, A, A ; 421
+ MASR *AR3, *AR3+, A, B ; 422
+ MASR *AR3, *AR3+, A ; 423
+ MASR *AR3, *AR3+, B, A ; 424
+ MASR *AR3, *AR3+, B, B ; 425
+ MASR *AR3, *AR3+, B ; 426
+ MASR *AR3, *AR3+0%, A, A ; 427
+ MASR *AR3, *AR3+0%, A, B ; 428
+ MASR *AR3, *AR3+0%, A ; 429
+ MASR *AR3, *AR3+0%, B, A ; 430
+ MASR *AR3, *AR3+0%, B, B ; 431
+ MASR *AR3, *AR3+0%, B ; 432
+ MASR *AR3, *AR4, A, A ; 433
+ MASR *AR3, *AR4, A, B ; 434
+ MASR *AR3, *AR4, A ; 435
+ MASR *AR3, *AR4, B, A ; 436
+ MASR *AR3, *AR4, B, B ; 437
+ MASR *AR3, *AR4, B ; 438
+ MASR *AR3, *AR4-, A, A ; 439
+ MASR *AR3, *AR4-, A, B ; 440
+ MASR *AR3, *AR4-, A ; 441
+ MASR *AR3, *AR4-, B, A ; 442
+ MASR *AR3, *AR4-, B, B ; 443
+ MASR *AR3, *AR4-, B ; 444
+ MASR *AR3, *AR4+, A, A ; 445
+ MASR *AR3, *AR4+, A, B ; 446
+ MASR *AR3, *AR4+, A ; 447
+ MASR *AR3, *AR4+, B, A ; 448
+ MASR *AR3, *AR4+, B, B ; 449
+ MASR *AR3, *AR4+, B ; 450
+ MASR *AR3, *AR4+0%, A, A ; 451
+ MASR *AR3, *AR4+0%, A, B ; 452
+ MASR *AR3, *AR4+0%, A ; 453
+ MASR *AR3, *AR4+0%, B, A ; 454
+ MASR *AR3, *AR4+0%, B, B ; 455
+ MASR *AR3, *AR4+0%, B ; 456
+ MASR *AR3, *AR5, A, A ; 457
+ MASR *AR3, *AR5, A, B ; 458
+ MASR *AR3, *AR5, A ; 459
+ MASR *AR3, *AR5, B, A ; 460
+ MASR *AR3, *AR5, B, B ; 461
+ MASR *AR3, *AR5, B ; 462
+ MASR *AR3, *AR5-, A, A ; 463
+ MASR *AR3, *AR5-, A, B ; 464
+ MASR *AR3, *AR5-, A ; 465
+ MASR *AR3, *AR5-, B, A ; 466
+ MASR *AR3, *AR5-, B, B ; 467
+ MASR *AR3, *AR5-, B ; 468
+ MASR *AR3, *AR5+, A, A ; 469
+ MASR *AR3, *AR5+, A, B ; 470
+ MASR *AR3, *AR5+, A ; 471
+ MASR *AR3, *AR5+, B, A ; 472
+ MASR *AR3, *AR5+, B, B ; 473
+ MASR *AR3, *AR5+, B ; 474
+ MASR *AR3, *AR5+0%, A, A ; 475
+ MASR *AR3, *AR5+0%, A, B ; 476
+ MASR *AR3, *AR5+0%, A ; 477
+ MASR *AR3, *AR5+0%, B, A ; 478
+ MASR *AR3, *AR5+0%, B, B ; 479
+ MASR *AR3, *AR5+0%, B ; 480
+ MASR *AR3-, *AR2, A, A ; 481
+ MASR *AR3-, *AR2, A, B ; 482
+ MASR *AR3-, *AR2, A ; 483
+ MASR *AR3-, *AR2, B, A ; 484
+ MASR *AR3-, *AR2, B, B ; 485
+ MASR *AR3-, *AR2, B ; 486
+ MASR *AR3-, *AR2-, A, A ; 487
+ MASR *AR3-, *AR2-, A, B ; 488
+ MASR *AR3-, *AR2-, A ; 489
+ MASR *AR3-, *AR2-, B, A ; 490
+ MASR *AR3-, *AR2-, B, B ; 491
+ MASR *AR3-, *AR2-, B ; 492
+ MASR *AR3-, *AR2+, A, A ; 493
+ MASR *AR3-, *AR2+, A, B ; 494
+ MASR *AR3-, *AR2+, A ; 495
+ MASR *AR3-, *AR2+, B, A ; 496
+ MASR *AR3-, *AR2+, B, B ; 497
+ MASR *AR3-, *AR2+, B ; 498
+ MASR *AR3-, *AR2+0%, A, A ; 499
+ MASR *AR3-, *AR2+0%, A, B ; 500
+ MASR *AR3-, *AR2+0%, A ; 501
+ MASR *AR3-, *AR2+0%, B, A ; 502
+ MASR *AR3-, *AR2+0%, B, B ; 503
+ MASR *AR3-, *AR2+0%, B ; 504
+ MASR *AR3-, *AR3, A, A ; 505
+ MASR *AR3-, *AR3, A, B ; 506
+ MASR *AR3-, *AR3, A ; 507
+ MASR *AR3-, *AR3, B, A ; 508
+ MASR *AR3-, *AR3, B, B ; 509
+ MASR *AR3-, *AR3, B ; 510
+ MASR *AR3-, *AR3-, A, A ; 511
+ MASR *AR3-, *AR3-, A, B ; 512
+ MASR *AR3-, *AR3-, A ; 513
+ MASR *AR3-, *AR3-, B, A ; 514
+ MASR *AR3-, *AR3-, B, B ; 515
+ MASR *AR3-, *AR3-, B ; 516
+ MASR *AR3-, *AR3+, A, A ; 517
+ MASR *AR3-, *AR3+, A, B ; 518
+ MASR *AR3-, *AR3+, A ; 519
+ MASR *AR3-, *AR3+, B, A ; 520
+ MASR *AR3-, *AR3+, B, B ; 521
+ MASR *AR3-, *AR3+, B ; 522
+ MASR *AR3-, *AR3+0%, A, A ; 523
+ MASR *AR3-, *AR3+0%, A, B ; 524
+ MASR *AR3-, *AR3+0%, A ; 525
+ MASR *AR3-, *AR3+0%, B, A ; 526
+ MASR *AR3-, *AR3+0%, B, B ; 527
+ MASR *AR3-, *AR3+0%, B ; 528
+ MASR *AR3-, *AR4, A, A ; 529
+ MASR *AR3-, *AR4, A, B ; 530
+ MASR *AR3-, *AR4, A ; 531
+ MASR *AR3-, *AR4, B, A ; 532
+ MASR *AR3-, *AR4, B, B ; 533
+ MASR *AR3-, *AR4, B ; 534
+ MASR *AR3-, *AR4-, A, A ; 535
+ MASR *AR3-, *AR4-, A, B ; 536
+ MASR *AR3-, *AR4-, A ; 537
+ MASR *AR3-, *AR4-, B, A ; 538
+ MASR *AR3-, *AR4-, B, B ; 539
+ MASR *AR3-, *AR4-, B ; 540
+ MASR *AR3-, *AR4+, A, A ; 541
+ MASR *AR3-, *AR4+, A, B ; 542
+ MASR *AR3-, *AR4+, A ; 543
+ MASR *AR3-, *AR4+, B, A ; 544
+ MASR *AR3-, *AR4+, B, B ; 545
+ MASR *AR3-, *AR4+, B ; 546
+ MASR *AR3-, *AR4+0%, A, A ; 547
+ MASR *AR3-, *AR4+0%, A, B ; 548
+ MASR *AR3-, *AR4+0%, A ; 549
+ MASR *AR3-, *AR4+0%, B, A ; 550
+ MASR *AR3-, *AR4+0%, B, B ; 551
+ MASR *AR3-, *AR4+0%, B ; 552
+ MASR *AR3-, *AR5, A, A ; 553
+ MASR *AR3-, *AR5, A, B ; 554
+ MASR *AR3-, *AR5, A ; 555
+ MASR *AR3-, *AR5, B, A ; 556
+ MASR *AR3-, *AR5, B, B ; 557
+ MASR *AR3-, *AR5, B ; 558
+ MASR *AR3-, *AR5-, A, A ; 559
+ MASR *AR3-, *AR5-, A, B ; 560
+ MASR *AR3-, *AR5-, A ; 561
+ MASR *AR3-, *AR5-, B, A ; 562
+ MASR *AR3-, *AR5-, B, B ; 563
+ MASR *AR3-, *AR5-, B ; 564
+ MASR *AR3-, *AR5+, A, A ; 565
+ MASR *AR3-, *AR5+, A, B ; 566
+ MASR *AR3-, *AR5+, A ; 567
+ MASR *AR3-, *AR5+, B, A ; 568
+ MASR *AR3-, *AR5+, B, B ; 569
+ MASR *AR3-, *AR5+, B ; 570
+ MASR *AR3-, *AR5+0%, A, A ; 571
+ MASR *AR3-, *AR5+0%, A, B ; 572
+ MASR *AR3-, *AR5+0%, A ; 573
+ MASR *AR3-, *AR5+0%, B, A ; 574
+ MASR *AR3-, *AR5+0%, B, B ; 575
+ MASR *AR3-, *AR5+0%, B ; 576
+ MASR *AR3+, *AR2, A, A ; 577
+ MASR *AR3+, *AR2, A, B ; 578
+ MASR *AR3+, *AR2, A ; 579
+ MASR *AR3+, *AR2, B, A ; 580
+ MASR *AR3+, *AR2, B, B ; 581
+ MASR *AR3+, *AR2, B ; 582
+ MASR *AR3+, *AR2-, A, A ; 583
+ MASR *AR3+, *AR2-, A, B ; 584
+ MASR *AR3+, *AR2-, A ; 585
+ MASR *AR3+, *AR2-, B, A ; 586
+ MASR *AR3+, *AR2-, B, B ; 587
+ MASR *AR3+, *AR2-, B ; 588
+ MASR *AR3+, *AR2+, A, A ; 589
+ MASR *AR3+, *AR2+, A, B ; 590
+ MASR *AR3+, *AR2+, A ; 591
+ MASR *AR3+, *AR2+, B, A ; 592
+ MASR *AR3+, *AR2+, B, B ; 593
+ MASR *AR3+, *AR2+, B ; 594
+ MASR *AR3+, *AR2+0%, A, A ; 595
+ MASR *AR3+, *AR2+0%, A, B ; 596
+ MASR *AR3+, *AR2+0%, A ; 597
+ MASR *AR3+, *AR2+0%, B, A ; 598
+ MASR *AR3+, *AR2+0%, B, B ; 599
+ MASR *AR3+, *AR2+0%, B ; 600
+ MASR *AR3+, *AR3, A, A ; 601
+ MASR *AR3+, *AR3, A, B ; 602
+ MASR *AR3+, *AR3, A ; 603
+ MASR *AR3+, *AR3, B, A ; 604
+ MASR *AR3+, *AR3, B, B ; 605
+ MASR *AR3+, *AR3, B ; 606
+ MASR *AR3+, *AR3-, A, A ; 607
+ MASR *AR3+, *AR3-, A, B ; 608
+ MASR *AR3+, *AR3-, A ; 609
+ MASR *AR3+, *AR3-, B, A ; 610
+ MASR *AR3+, *AR3-, B, B ; 611
+ MASR *AR3+, *AR3-, B ; 612
+ MASR *AR3+, *AR3+, A, A ; 613
+ MASR *AR3+, *AR3+, A, B ; 614
+ MASR *AR3+, *AR3+, A ; 615
+ MASR *AR3+, *AR3+, B, A ; 616
+ MASR *AR3+, *AR3+, B, B ; 617
+ MASR *AR3+, *AR3+, B ; 618
+ MASR *AR3+, *AR3+0%, A, A ; 619
+ MASR *AR3+, *AR3+0%, A, B ; 620
+ MASR *AR3+, *AR3+0%, A ; 621
+ MASR *AR3+, *AR3+0%, B, A ; 622
+ MASR *AR3+, *AR3+0%, B, B ; 623
+ MASR *AR3+, *AR3+0%, B ; 624
+ MASR *AR3+, *AR4, A, A ; 625
+ MASR *AR3+, *AR4, A, B ; 626
+ MASR *AR3+, *AR4, A ; 627
+ MASR *AR3+, *AR4, B, A ; 628
+ MASR *AR3+, *AR4, B, B ; 629
+ MASR *AR3+, *AR4, B ; 630
+ MASR *AR3+, *AR4-, A, A ; 631
+ MASR *AR3+, *AR4-, A, B ; 632
+ MASR *AR3+, *AR4-, A ; 633
+ MASR *AR3+, *AR4-, B, A ; 634
+ MASR *AR3+, *AR4-, B, B ; 635
+ MASR *AR3+, *AR4-, B ; 636
+ MASR *AR3+, *AR4+, A, A ; 637
+ MASR *AR3+, *AR4+, A, B ; 638
+ MASR *AR3+, *AR4+, A ; 639
+ MASR *AR3+, *AR4+, B, A ; 640
+ MASR *AR3+, *AR4+, B, B ; 641
+ MASR *AR3+, *AR4+, B ; 642
+ MASR *AR3+, *AR4+0%, A, A ; 643
+ MASR *AR3+, *AR4+0%, A, B ; 644
+ MASR *AR3+, *AR4+0%, A ; 645
+ MASR *AR3+, *AR4+0%, B, A ; 646
+ MASR *AR3+, *AR4+0%, B, B ; 647
+ MASR *AR3+, *AR4+0%, B ; 648
+ MASR *AR3+, *AR5, A, A ; 649
+ MASR *AR3+, *AR5, A, B ; 650
+ MASR *AR3+, *AR5, A ; 651
+ MASR *AR3+, *AR5, B, A ; 652
+ MASR *AR3+, *AR5, B, B ; 653
+ MASR *AR3+, *AR5, B ; 654
+ MASR *AR3+, *AR5-, A, A ; 655
+ MASR *AR3+, *AR5-, A, B ; 656
+ MASR *AR3+, *AR5-, A ; 657
+ MASR *AR3+, *AR5-, B, A ; 658
+ MASR *AR3+, *AR5-, B, B ; 659
+ MASR *AR3+, *AR5-, B ; 660
+ MASR *AR3+, *AR5+, A, A ; 661
+ MASR *AR3+, *AR5+, A, B ; 662
+ MASR *AR3+, *AR5+, A ; 663
+ MASR *AR3+, *AR5+, B, A ; 664
+ MASR *AR3+, *AR5+, B, B ; 665
+ MASR *AR3+, *AR5+, B ; 666
+ MASR *AR3+, *AR5+0%, A, A ; 667
+ MASR *AR3+, *AR5+0%, A, B ; 668
+ MASR *AR3+, *AR5+0%, A ; 669
+ MASR *AR3+, *AR5+0%, B, A ; 670
+ MASR *AR3+, *AR5+0%, B, B ; 671
+ MASR *AR3+, *AR5+0%, B ; 672
+ MASR *AR3+0%, *AR2, A, A ; 673
+ MASR *AR3+0%, *AR2, A, B ; 674
+ MASR *AR3+0%, *AR2, A ; 675
+ MASR *AR3+0%, *AR2, B, A ; 676
+ MASR *AR3+0%, *AR2, B, B ; 677
+ MASR *AR3+0%, *AR2, B ; 678
+ MASR *AR3+0%, *AR2-, A, A ; 679
+ MASR *AR3+0%, *AR2-, A, B ; 680
+ MASR *AR3+0%, *AR2-, A ; 681
+ MASR *AR3+0%, *AR2-, B, A ; 682
+ MASR *AR3+0%, *AR2-, B, B ; 683
+ MASR *AR3+0%, *AR2-, B ; 684
+ MASR *AR3+0%, *AR2+, A, A ; 685
+ MASR *AR3+0%, *AR2+, A, B ; 686
+ MASR *AR3+0%, *AR2+, A ; 687
+ MASR *AR3+0%, *AR2+, B, A ; 688
+ MASR *AR3+0%, *AR2+, B, B ; 689
+ MASR *AR3+0%, *AR2+, B ; 690
+ MASR *AR3+0%, *AR2+0%, A, A ; 691
+ MASR *AR3+0%, *AR2+0%, A, B ; 692
+ MASR *AR3+0%, *AR2+0%, A ; 693
+ MASR *AR3+0%, *AR2+0%, B, A ; 694
+ MASR *AR3+0%, *AR2+0%, B, B ; 695
+ MASR *AR3+0%, *AR2+0%, B ; 696
+ MASR *AR3+0%, *AR3, A, A ; 697
+ MASR *AR3+0%, *AR3, A, B ; 698
+ MASR *AR3+0%, *AR3, A ; 699
+ MASR *AR3+0%, *AR3, B, A ; 700
+ MASR *AR3+0%, *AR3, B, B ; 701
+ MASR *AR3+0%, *AR3, B ; 702
+ MASR *AR3+0%, *AR3-, A, A ; 703
+ MASR *AR3+0%, *AR3-, A, B ; 704
+ MASR *AR3+0%, *AR3-, A ; 705
+ MASR *AR3+0%, *AR3-, B, A ; 706
+ MASR *AR3+0%, *AR3-, B, B ; 707
+ MASR *AR3+0%, *AR3-, B ; 708
+ MASR *AR3+0%, *AR3+, A, A ; 709
+ MASR *AR3+0%, *AR3+, A, B ; 710
+ MASR *AR3+0%, *AR3+, A ; 711
+ MASR *AR3+0%, *AR3+, B, A ; 712
+ MASR *AR3+0%, *AR3+, B, B ; 713
+ MASR *AR3+0%, *AR3+, B ; 714
+ MASR *AR3+0%, *AR3+0%, A, A ; 715
+ MASR *AR3+0%, *AR3+0%, A, B ; 716
+ MASR *AR3+0%, *AR3+0%, A ; 717
+ MASR *AR3+0%, *AR3+0%, B, A ; 718
+ MASR *AR3+0%, *AR3+0%, B, B ; 719
+ MASR *AR3+0%, *AR3+0%, B ; 720
+ MASR *AR3+0%, *AR4, A, A ; 721
+ MASR *AR3+0%, *AR4, A, B ; 722
+ MASR *AR3+0%, *AR4, A ; 723
+ MASR *AR3+0%, *AR4, B, A ; 724
+ MASR *AR3+0%, *AR4, B, B ; 725
+ MASR *AR3+0%, *AR4, B ; 726
+ MASR *AR3+0%, *AR4-, A, A ; 727
+ MASR *AR3+0%, *AR4-, A, B ; 728
+ MASR *AR3+0%, *AR4-, A ; 729
+ MASR *AR3+0%, *AR4-, B, A ; 730
+ MASR *AR3+0%, *AR4-, B, B ; 731
+ MASR *AR3+0%, *AR4-, B ; 732
+ MASR *AR3+0%, *AR4+, A, A ; 733
+ MASR *AR3+0%, *AR4+, A, B ; 734
+ MASR *AR3+0%, *AR4+, A ; 735
+ MASR *AR3+0%, *AR4+, B, A ; 736
+ MASR *AR3+0%, *AR4+, B, B ; 737
+ MASR *AR3+0%, *AR4+, B ; 738
+ MASR *AR3+0%, *AR4+0%, A, A ; 739
+ MASR *AR3+0%, *AR4+0%, A, B ; 740
+ MASR *AR3+0%, *AR4+0%, A ; 741
+ MASR *AR3+0%, *AR4+0%, B, A ; 742
+ MASR *AR3+0%, *AR4+0%, B, B ; 743
+ MASR *AR3+0%, *AR4+0%, B ; 744
+ MASR *AR3+0%, *AR5, A, A ; 745
+ MASR *AR3+0%, *AR5, A, B ; 746
+ MASR *AR3+0%, *AR5, A ; 747
+ MASR *AR3+0%, *AR5, B, A ; 748
+ MASR *AR3+0%, *AR5, B, B ; 749
+ MASR *AR3+0%, *AR5, B ; 750
+ MASR *AR3+0%, *AR5-, A, A ; 751
+ MASR *AR3+0%, *AR5-, A, B ; 752
+ MASR *AR3+0%, *AR5-, A ; 753
+ MASR *AR3+0%, *AR5-, B, A ; 754
+ MASR *AR3+0%, *AR5-, B, B ; 755
+ MASR *AR3+0%, *AR5-, B ; 756
+ MASR *AR3+0%, *AR5+, A, A ; 757
+ MASR *AR3+0%, *AR5+, A, B ; 758
+ MASR *AR3+0%, *AR5+, A ; 759
+ MASR *AR3+0%, *AR5+, B, A ; 760
+ MASR *AR3+0%, *AR5+, B, B ; 761
+ MASR *AR3+0%, *AR5+, B ; 762
+ MASR *AR3+0%, *AR5+0%, A, A ; 763
+ MASR *AR3+0%, *AR5+0%, A, B ; 764
+ MASR *AR3+0%, *AR5+0%, A ; 765
+ MASR *AR3+0%, *AR5+0%, B, A ; 766
+ MASR *AR3+0%, *AR5+0%, B, B ; 767
+ MASR *AR3+0%, *AR5+0%, B ; 768
+ MASR *AR4, *AR2, A, A ; 769
+ MASR *AR4, *AR2, A, B ; 770
+ MASR *AR4, *AR2, A ; 771
+ MASR *AR4, *AR2, B, A ; 772
+ MASR *AR4, *AR2, B, B ; 773
+ MASR *AR4, *AR2, B ; 774
+ MASR *AR4, *AR2-, A, A ; 775
+ MASR *AR4, *AR2-, A, B ; 776
+ MASR *AR4, *AR2-, A ; 777
+ MASR *AR4, *AR2-, B, A ; 778
+ MASR *AR4, *AR2-, B, B ; 779
+ MASR *AR4, *AR2-, B ; 780
+ MASR *AR4, *AR2+, A, A ; 781
+ MASR *AR4, *AR2+, A, B ; 782
+ MASR *AR4, *AR2+, A ; 783
+ MASR *AR4, *AR2+, B, A ; 784
+ MASR *AR4, *AR2+, B, B ; 785
+ MASR *AR4, *AR2+, B ; 786
+ MASR *AR4, *AR2+0%, A, A ; 787
+ MASR *AR4, *AR2+0%, A, B ; 788
+ MASR *AR4, *AR2+0%, A ; 789
+ MASR *AR4, *AR2+0%, B, A ; 790
+ MASR *AR4, *AR2+0%, B, B ; 791
+ MASR *AR4, *AR2+0%, B ; 792
+ MASR *AR4, *AR3, A, A ; 793
+ MASR *AR4, *AR3, A, B ; 794
+ MASR *AR4, *AR3, A ; 795
+ MASR *AR4, *AR3, B, A ; 796
+ MASR *AR4, *AR3, B, B ; 797
+ MASR *AR4, *AR3, B ; 798
+ MASR *AR4, *AR3-, A, A ; 799
+ MASR *AR4, *AR3-, A, B ; 800
+ MASR *AR4, *AR3-, A ; 801
+ MASR *AR4, *AR3-, B, A ; 802
+ MASR *AR4, *AR3-, B, B ; 803
+ MASR *AR4, *AR3-, B ; 804
+ MASR *AR4, *AR3+, A, A ; 805
+ MASR *AR4, *AR3+, A, B ; 806
+ MASR *AR4, *AR3+, A ; 807
+ MASR *AR4, *AR3+, B, A ; 808
+ MASR *AR4, *AR3+, B, B ; 809
+ MASR *AR4, *AR3+, B ; 810
+ MASR *AR4, *AR3+0%, A, A ; 811
+ MASR *AR4, *AR3+0%, A, B ; 812
+ MASR *AR4, *AR3+0%, A ; 813
+ MASR *AR4, *AR3+0%, B, A ; 814
+ MASR *AR4, *AR3+0%, B, B ; 815
+ MASR *AR4, *AR3+0%, B ; 816
+ MASR *AR4, *AR4, A, A ; 817
+ MASR *AR4, *AR4, A, B ; 818
+ MASR *AR4, *AR4, A ; 819
+ MASR *AR4, *AR4, B, A ; 820
+ MASR *AR4, *AR4, B, B ; 821
+ MASR *AR4, *AR4, B ; 822
+ MASR *AR4, *AR4-, A, A ; 823
+ MASR *AR4, *AR4-, A, B ; 824
+ MASR *AR4, *AR4-, A ; 825
+ MASR *AR4, *AR4-, B, A ; 826
+ MASR *AR4, *AR4-, B, B ; 827
+ MASR *AR4, *AR4-, B ; 828
+ MASR *AR4, *AR4+, A, A ; 829
+ MASR *AR4, *AR4+, A, B ; 830
+ MASR *AR4, *AR4+, A ; 831
+ MASR *AR4, *AR4+, B, A ; 832
+ MASR *AR4, *AR4+, B, B ; 833
+ MASR *AR4, *AR4+, B ; 834
+ MASR *AR4, *AR4+0%, A, A ; 835
+ MASR *AR4, *AR4+0%, A, B ; 836
+ MASR *AR4, *AR4+0%, A ; 837
+ MASR *AR4, *AR4+0%, B, A ; 838
+ MASR *AR4, *AR4+0%, B, B ; 839
+ MASR *AR4, *AR4+0%, B ; 840
+ MASR *AR4, *AR5, A, A ; 841
+ MASR *AR4, *AR5, A, B ; 842
+ MASR *AR4, *AR5, A ; 843
+ MASR *AR4, *AR5, B, A ; 844
+ MASR *AR4, *AR5, B, B ; 845
+ MASR *AR4, *AR5, B ; 846
+ MASR *AR4, *AR5-, A, A ; 847
+ MASR *AR4, *AR5-, A, B ; 848
+ MASR *AR4, *AR5-, A ; 849
+ MASR *AR4, *AR5-, B, A ; 850
+ MASR *AR4, *AR5-, B, B ; 851
+ MASR *AR4, *AR5-, B ; 852
+ MASR *AR4, *AR5+, A, A ; 853
+ MASR *AR4, *AR5+, A, B ; 854
+ MASR *AR4, *AR5+, A ; 855
+ MASR *AR4, *AR5+, B, A ; 856
+ MASR *AR4, *AR5+, B, B ; 857
+ MASR *AR4, *AR5+, B ; 858
+ MASR *AR4, *AR5+0%, A, A ; 859
+ MASR *AR4, *AR5+0%, A, B ; 860
+ MASR *AR4, *AR5+0%, A ; 861
+ MASR *AR4, *AR5+0%, B, A ; 862
+ MASR *AR4, *AR5+0%, B, B ; 863
+ MASR *AR4, *AR5+0%, B ; 864
+ MASR *AR4-, *AR2, A, A ; 865
+ MASR *AR4-, *AR2, A, B ; 866
+ MASR *AR4-, *AR2, A ; 867
+ MASR *AR4-, *AR2, B, A ; 868
+ MASR *AR4-, *AR2, B, B ; 869
+ MASR *AR4-, *AR2, B ; 870
+ MASR *AR4-, *AR2-, A, A ; 871
+ MASR *AR4-, *AR2-, A, B ; 872
+ MASR *AR4-, *AR2-, A ; 873
+ MASR *AR4-, *AR2-, B, A ; 874
+ MASR *AR4-, *AR2-, B, B ; 875
+ MASR *AR4-, *AR2-, B ; 876
+ MASR *AR4-, *AR2+, A, A ; 877
+ MASR *AR4-, *AR2+, A, B ; 878
+ MASR *AR4-, *AR2+, A ; 879
+ MASR *AR4-, *AR2+, B, A ; 880
+ MASR *AR4-, *AR2+, B, B ; 881
+ MASR *AR4-, *AR2+, B ; 882
+ MASR *AR4-, *AR2+0%, A, A ; 883
+ MASR *AR4-, *AR2+0%, A, B ; 884
+ MASR *AR4-, *AR2+0%, A ; 885
+ MASR *AR4-, *AR2+0%, B, A ; 886
+ MASR *AR4-, *AR2+0%, B, B ; 887
+ MASR *AR4-, *AR2+0%, B ; 888
+ MASR *AR4-, *AR3, A, A ; 889
+ MASR *AR4-, *AR3, A, B ; 890
+ MASR *AR4-, *AR3, A ; 891
+ MASR *AR4-, *AR3, B, A ; 892
+ MASR *AR4-, *AR3, B, B ; 893
+ MASR *AR4-, *AR3, B ; 894
+ MASR *AR4-, *AR3-, A, A ; 895
+ MASR *AR4-, *AR3-, A, B ; 896
+ MASR *AR4-, *AR3-, A ; 897
+ MASR *AR4-, *AR3-, B, A ; 898
+ MASR *AR4-, *AR3-, B, B ; 899
+ MASR *AR4-, *AR3-, B ; 900
+ MASR *AR4-, *AR3+, A, A ; 901
+ MASR *AR4-, *AR3+, A, B ; 902
+ MASR *AR4-, *AR3+, A ; 903
+ MASR *AR4-, *AR3+, B, A ; 904
+ MASR *AR4-, *AR3+, B, B ; 905
+ MASR *AR4-, *AR3+, B ; 906
+ MASR *AR4-, *AR3+0%, A, A ; 907
+ MASR *AR4-, *AR3+0%, A, B ; 908
+ MASR *AR4-, *AR3+0%, A ; 909
+ MASR *AR4-, *AR3+0%, B, A ; 910
+ MASR *AR4-, *AR3+0%, B, B ; 911
+ MASR *AR4-, *AR3+0%, B ; 912
+ MASR *AR4-, *AR4, A, A ; 913
+ MASR *AR4-, *AR4, A, B ; 914
+ MASR *AR4-, *AR4, A ; 915
+ MASR *AR4-, *AR4, B, A ; 916
+ MASR *AR4-, *AR4, B, B ; 917
+ MASR *AR4-, *AR4, B ; 918
+ MASR *AR4-, *AR4-, A, A ; 919
+ MASR *AR4-, *AR4-, A, B ; 920
+ MASR *AR4-, *AR4-, A ; 921
+ MASR *AR4-, *AR4-, B, A ; 922
+ MASR *AR4-, *AR4-, B, B ; 923
+ MASR *AR4-, *AR4-, B ; 924
+ MASR *AR4-, *AR4+, A, A ; 925
+ MASR *AR4-, *AR4+, A, B ; 926
+ MASR *AR4-, *AR4+, A ; 927
+ MASR *AR4-, *AR4+, B, A ; 928
+ MASR *AR4-, *AR4+, B, B ; 929
+ MASR *AR4-, *AR4+, B ; 930
+ MASR *AR4-, *AR4+0%, A, A ; 931
+ MASR *AR4-, *AR4+0%, A, B ; 932
+ MASR *AR4-, *AR4+0%, A ; 933
+ MASR *AR4-, *AR4+0%, B, A ; 934
+ MASR *AR4-, *AR4+0%, B, B ; 935
+ MASR *AR4-, *AR4+0%, B ; 936
+ MASR *AR4-, *AR5, A, A ; 937
+ MASR *AR4-, *AR5, A, B ; 938
+ MASR *AR4-, *AR5, A ; 939
+ MASR *AR4-, *AR5, B, A ; 940
+ MASR *AR4-, *AR5, B, B ; 941
+ MASR *AR4-, *AR5, B ; 942
+ MASR *AR4-, *AR5-, A, A ; 943
+ MASR *AR4-, *AR5-, A, B ; 944
+ MASR *AR4-, *AR5-, A ; 945
+ MASR *AR4-, *AR5-, B, A ; 946
+ MASR *AR4-, *AR5-, B, B ; 947
+ MASR *AR4-, *AR5-, B ; 948
+ MASR *AR4-, *AR5+, A, A ; 949
+ MASR *AR4-, *AR5+, A, B ; 950
+ MASR *AR4-, *AR5+, A ; 951
+ MASR *AR4-, *AR5+, B, A ; 952
+ MASR *AR4-, *AR5+, B, B ; 953
+ MASR *AR4-, *AR5+, B ; 954
+ MASR *AR4-, *AR5+0%, A, A ; 955
+ MASR *AR4-, *AR5+0%, A, B ; 956
+ MASR *AR4-, *AR5+0%, A ; 957
+ MASR *AR4-, *AR5+0%, B, A ; 958
+ MASR *AR4-, *AR5+0%, B, B ; 959
+ MASR *AR4-, *AR5+0%, B ; 960
+ MASR *AR4+, *AR2, A, A ; 961
+ MASR *AR4+, *AR2, A, B ; 962
+ MASR *AR4+, *AR2, A ; 963
+ MASR *AR4+, *AR2, B, A ; 964
+ MASR *AR4+, *AR2, B, B ; 965
+ MASR *AR4+, *AR2, B ; 966
+ MASR *AR4+, *AR2-, A, A ; 967
+ MASR *AR4+, *AR2-, A, B ; 968
+ MASR *AR4+, *AR2-, A ; 969
+ MASR *AR4+, *AR2-, B, A ; 970
+ MASR *AR4+, *AR2-, B, B ; 971
+ MASR *AR4+, *AR2-, B ; 972
+ MASR *AR4+, *AR2+, A, A ; 973
+ MASR *AR4+, *AR2+, A, B ; 974
+ MASR *AR4+, *AR2+, A ; 975
+ MASR *AR4+, *AR2+, B, A ; 976
+ MASR *AR4+, *AR2+, B, B ; 977
+ MASR *AR4+, *AR2+, B ; 978
+ MASR *AR4+, *AR2+0%, A, A ; 979
+ MASR *AR4+, *AR2+0%, A, B ; 980
+ MASR *AR4+, *AR2+0%, A ; 981
+ MASR *AR4+, *AR2+0%, B, A ; 982
+ MASR *AR4+, *AR2+0%, B, B ; 983
+ MASR *AR4+, *AR2+0%, B ; 984
+ MASR *AR4+, *AR3, A, A ; 985
+ MASR *AR4+, *AR3, A, B ; 986
+ MASR *AR4+, *AR3, A ; 987
+ MASR *AR4+, *AR3, B, A ; 988
+ MASR *AR4+, *AR3, B, B ; 989
+ MASR *AR4+, *AR3, B ; 990
+ MASR *AR4+, *AR3-, A, A ; 991
+ MASR *AR4+, *AR3-, A, B ; 992
+ MASR *AR4+, *AR3-, A ; 993
+ MASR *AR4+, *AR3-, B, A ; 994
+ MASR *AR4+, *AR3-, B, B ; 995
+ MASR *AR4+, *AR3-, B ; 996
+ MASR *AR4+, *AR3+, A, A ; 997
+ MASR *AR4+, *AR3+, A, B ; 998
+ MASR *AR4+, *AR3+, A ; 999
+ MASR *AR4+, *AR3+, B, A ; 1000
+ MASR *AR4+, *AR3+, B, B ; 1001
+ MASR *AR4+, *AR3+, B ; 1002
+ MASR *AR4+, *AR3+0%, A, A ; 1003
+ MASR *AR4+, *AR3+0%, A, B ; 1004
+ MASR *AR4+, *AR3+0%, A ; 1005
+ MASR *AR4+, *AR3+0%, B, A ; 1006
+ MASR *AR4+, *AR3+0%, B, B ; 1007
+ MASR *AR4+, *AR3+0%, B ; 1008
+ MASR *AR4+, *AR4, A, A ; 1009
+ MASR *AR4+, *AR4, A, B ; 1010
+ MASR *AR4+, *AR4, A ; 1011
+ MASR *AR4+, *AR4, B, A ; 1012
+ MASR *AR4+, *AR4, B, B ; 1013
+ MASR *AR4+, *AR4, B ; 1014
+ MASR *AR4+, *AR4-, A, A ; 1015
+ MASR *AR4+, *AR4-, A, B ; 1016
+ MASR *AR4+, *AR4-, A ; 1017
+ MASR *AR4+, *AR4-, B, A ; 1018
+ MASR *AR4+, *AR4-, B, B ; 1019
+ MASR *AR4+, *AR4-, B ; 1020
+ MASR *AR4+, *AR4+, A, A ; 1021
+ MASR *AR4+, *AR4+, A, B ; 1022
+ MASR *AR4+, *AR4+, A ; 1023
+ MASR *AR4+, *AR4+, B, A ; 1024
+ MASR *AR4+, *AR4+, B, B ; 1025
+ MASR *AR4+, *AR4+, B ; 1026
+ MASR *AR4+, *AR4+0%, A, A ; 1027
+ MASR *AR4+, *AR4+0%, A, B ; 1028
+ MASR *AR4+, *AR4+0%, A ; 1029
+ MASR *AR4+, *AR4+0%, B, A ; 1030
+ MASR *AR4+, *AR4+0%, B, B ; 1031
+ MASR *AR4+, *AR4+0%, B ; 1032
+ MASR *AR4+, *AR5, A, A ; 1033
+ MASR *AR4+, *AR5, A, B ; 1034
+ MASR *AR4+, *AR5, A ; 1035
+ MASR *AR4+, *AR5, B, A ; 1036
+ MASR *AR4+, *AR5, B, B ; 1037
+ MASR *AR4+, *AR5, B ; 1038
+ MASR *AR4+, *AR5-, A, A ; 1039
+ MASR *AR4+, *AR5-, A, B ; 1040
+ MASR *AR4+, *AR5-, A ; 1041
+ MASR *AR4+, *AR5-, B, A ; 1042
+ MASR *AR4+, *AR5-, B, B ; 1043
+ MASR *AR4+, *AR5-, B ; 1044
+ MASR *AR4+, *AR5+, A, A ; 1045
+ MASR *AR4+, *AR5+, A, B ; 1046
+ MASR *AR4+, *AR5+, A ; 1047
+ MASR *AR4+, *AR5+, B, A ; 1048
+ MASR *AR4+, *AR5+, B, B ; 1049
+ MASR *AR4+, *AR5+, B ; 1050
+ MASR *AR4+, *AR5+0%, A, A ; 1051
+ MASR *AR4+, *AR5+0%, A, B ; 1052
+ MASR *AR4+, *AR5+0%, A ; 1053
+ MASR *AR4+, *AR5+0%, B, A ; 1054
+ MASR *AR4+, *AR5+0%, B, B ; 1055
+ MASR *AR4+, *AR5+0%, B ; 1056
+ MASR *AR4+0%, *AR2, A, A ; 1057
+ MASR *AR4+0%, *AR2, A, B ; 1058
+ MASR *AR4+0%, *AR2, A ; 1059
+ MASR *AR4+0%, *AR2, B, A ; 1060
+ MASR *AR4+0%, *AR2, B, B ; 1061
+ MASR *AR4+0%, *AR2, B ; 1062
+ MASR *AR4+0%, *AR2-, A, A ; 1063
+ MASR *AR4+0%, *AR2-, A, B ; 1064
+ MASR *AR4+0%, *AR2-, A ; 1065
+ MASR *AR4+0%, *AR2-, B, A ; 1066
+ MASR *AR4+0%, *AR2-, B, B ; 1067
+ MASR *AR4+0%, *AR2-, B ; 1068
+ MASR *AR4+0%, *AR2+, A, A ; 1069
+ MASR *AR4+0%, *AR2+, A, B ; 1070
+ MASR *AR4+0%, *AR2+, A ; 1071
+ MASR *AR4+0%, *AR2+, B, A ; 1072
+ MASR *AR4+0%, *AR2+, B, B ; 1073
+ MASR *AR4+0%, *AR2+, B ; 1074
+ MASR *AR4+0%, *AR2+0%, A, A ; 1075
+ MASR *AR4+0%, *AR2+0%, A, B ; 1076
+ MASR *AR4+0%, *AR2+0%, A ; 1077
+ MASR *AR4+0%, *AR2+0%, B, A ; 1078
+ MASR *AR4+0%, *AR2+0%, B, B ; 1079
+ MASR *AR4+0%, *AR2+0%, B ; 1080
+ MASR *AR4+0%, *AR3, A, A ; 1081
+ MASR *AR4+0%, *AR3, A, B ; 1082
+ MASR *AR4+0%, *AR3, A ; 1083
+ MASR *AR4+0%, *AR3, B, A ; 1084
+ MASR *AR4+0%, *AR3, B, B ; 1085
+ MASR *AR4+0%, *AR3, B ; 1086
+ MASR *AR4+0%, *AR3-, A, A ; 1087
+ MASR *AR4+0%, *AR3-, A, B ; 1088
+ MASR *AR4+0%, *AR3-, A ; 1089
+ MASR *AR4+0%, *AR3-, B, A ; 1090
+ MASR *AR4+0%, *AR3-, B, B ; 1091
+ MASR *AR4+0%, *AR3-, B ; 1092
+ MASR *AR4+0%, *AR3+, A, A ; 1093
+ MASR *AR4+0%, *AR3+, A, B ; 1094
+ MASR *AR4+0%, *AR3+, A ; 1095
+ MASR *AR4+0%, *AR3+, B, A ; 1096
+ MASR *AR4+0%, *AR3+, B, B ; 1097
+ MASR *AR4+0%, *AR3+, B ; 1098
+ MASR *AR4+0%, *AR3+0%, A, A ; 1099
+ MASR *AR4+0%, *AR3+0%, A, B ; 1100
+ MASR *AR4+0%, *AR3+0%, A ; 1101
+ MASR *AR4+0%, *AR3+0%, B, A ; 1102
+ MASR *AR4+0%, *AR3+0%, B, B ; 1103
+ MASR *AR4+0%, *AR3+0%, B ; 1104
+ MASR *AR4+0%, *AR4, A, A ; 1105
+ MASR *AR4+0%, *AR4, A, B ; 1106
+ MASR *AR4+0%, *AR4, A ; 1107
+ MASR *AR4+0%, *AR4, B, A ; 1108
+ MASR *AR4+0%, *AR4, B, B ; 1109
+ MASR *AR4+0%, *AR4, B ; 1110
+ MASR *AR4+0%, *AR4-, A, A ; 1111
+ MASR *AR4+0%, *AR4-, A, B ; 1112
+ MASR *AR4+0%, *AR4-, A ; 1113
+ MASR *AR4+0%, *AR4-, B, A ; 1114
+ MASR *AR4+0%, *AR4-, B, B ; 1115
+ MASR *AR4+0%, *AR4-, B ; 1116
+ MASR *AR4+0%, *AR4+, A, A ; 1117
+ MASR *AR4+0%, *AR4+, A, B ; 1118
+ MASR *AR4+0%, *AR4+, A ; 1119
+ MASR *AR4+0%, *AR4+, B, A ; 1120
+ MASR *AR4+0%, *AR4+, B, B ; 1121
+ MASR *AR4+0%, *AR4+, B ; 1122
+ MASR *AR4+0%, *AR4+0%, A, A ; 1123
+ MASR *AR4+0%, *AR4+0%, A, B ; 1124
+ MASR *AR4+0%, *AR4+0%, A ; 1125
+ MASR *AR4+0%, *AR4+0%, B, A ; 1126
+ MASR *AR4+0%, *AR4+0%, B, B ; 1127
+ MASR *AR4+0%, *AR4+0%, B ; 1128
+ MASR *AR4+0%, *AR5, A, A ; 1129
+ MASR *AR4+0%, *AR5, A, B ; 1130
+ MASR *AR4+0%, *AR5, A ; 1131
+ MASR *AR4+0%, *AR5, B, A ; 1132
+ MASR *AR4+0%, *AR5, B, B ; 1133
+ MASR *AR4+0%, *AR5, B ; 1134
+ MASR *AR4+0%, *AR5-, A, A ; 1135
+ MASR *AR4+0%, *AR5-, A, B ; 1136
+ MASR *AR4+0%, *AR5-, A ; 1137
+ MASR *AR4+0%, *AR5-, B, A ; 1138
+ MASR *AR4+0%, *AR5-, B, B ; 1139
+ MASR *AR4+0%, *AR5-, B ; 1140
+ MASR *AR4+0%, *AR5+, A, A ; 1141
+ MASR *AR4+0%, *AR5+, A, B ; 1142
+ MASR *AR4+0%, *AR5+, A ; 1143
+ MASR *AR4+0%, *AR5+, B, A ; 1144
+ MASR *AR4+0%, *AR5+, B, B ; 1145
+ MASR *AR4+0%, *AR5+, B ; 1146
+ MASR *AR4+0%, *AR5+0%, A, A ; 1147
+ MASR *AR4+0%, *AR5+0%, A, B ; 1148
+ MASR *AR4+0%, *AR5+0%, A ; 1149
+ MASR *AR4+0%, *AR5+0%, B, A ; 1150
+ MASR *AR4+0%, *AR5+0%, B, B ; 1151
+ MASR *AR4+0%, *AR5+0%, B ; 1152
+ MASR *AR5, *AR2, A, A ; 1153
+ MASR *AR5, *AR2, A, B ; 1154
+ MASR *AR5, *AR2, A ; 1155
+ MASR *AR5, *AR2, B, A ; 1156
+ MASR *AR5, *AR2, B, B ; 1157
+ MASR *AR5, *AR2, B ; 1158
+ MASR *AR5, *AR2-, A, A ; 1159
+ MASR *AR5, *AR2-, A, B ; 1160
+ MASR *AR5, *AR2-, A ; 1161
+ MASR *AR5, *AR2-, B, A ; 1162
+ MASR *AR5, *AR2-, B, B ; 1163
+ MASR *AR5, *AR2-, B ; 1164
+ MASR *AR5, *AR2+, A, A ; 1165
+ MASR *AR5, *AR2+, A, B ; 1166
+ MASR *AR5, *AR2+, A ; 1167
+ MASR *AR5, *AR2+, B, A ; 1168
+ MASR *AR5, *AR2+, B, B ; 1169
+ MASR *AR5, *AR2+, B ; 1170
+ MASR *AR5, *AR2+0%, A, A ; 1171
+ MASR *AR5, *AR2+0%, A, B ; 1172
+ MASR *AR5, *AR2+0%, A ; 1173
+ MASR *AR5, *AR2+0%, B, A ; 1174
+ MASR *AR5, *AR2+0%, B, B ; 1175
+ MASR *AR5, *AR2+0%, B ; 1176
+ MASR *AR5, *AR3, A, A ; 1177
+ MASR *AR5, *AR3, A, B ; 1178
+ MASR *AR5, *AR3, A ; 1179
+ MASR *AR5, *AR3, B, A ; 1180
+ MASR *AR5, *AR3, B, B ; 1181
+ MASR *AR5, *AR3, B ; 1182
+ MASR *AR5, *AR3-, A, A ; 1183
+ MASR *AR5, *AR3-, A, B ; 1184
+ MASR *AR5, *AR3-, A ; 1185
+ MASR *AR5, *AR3-, B, A ; 1186
+ MASR *AR5, *AR3-, B, B ; 1187
+ MASR *AR5, *AR3-, B ; 1188
+ MASR *AR5, *AR3+, A, A ; 1189
+ MASR *AR5, *AR3+, A, B ; 1190
+ MASR *AR5, *AR3+, A ; 1191
+ MASR *AR5, *AR3+, B, A ; 1192
+ MASR *AR5, *AR3+, B, B ; 1193
+ MASR *AR5, *AR3+, B ; 1194
+ MASR *AR5, *AR3+0%, A, A ; 1195
+ MASR *AR5, *AR3+0%, A, B ; 1196
+ MASR *AR5, *AR3+0%, A ; 1197
+ MASR *AR5, *AR3+0%, B, A ; 1198
+ MASR *AR5, *AR3+0%, B, B ; 1199
+ MASR *AR5, *AR3+0%, B ; 1200
+ MASR *AR5, *AR4, A, A ; 1201
+ MASR *AR5, *AR4, A, B ; 1202
+ MASR *AR5, *AR4, A ; 1203
+ MASR *AR5, *AR4, B, A ; 1204
+ MASR *AR5, *AR4, B, B ; 1205
+ MASR *AR5, *AR4, B ; 1206
+ MASR *AR5, *AR4-, A, A ; 1207
+ MASR *AR5, *AR4-, A, B ; 1208
+ MASR *AR5, *AR4-, A ; 1209
+ MASR *AR5, *AR4-, B, A ; 1210
+ MASR *AR5, *AR4-, B, B ; 1211
+ MASR *AR5, *AR4-, B ; 1212
+ MASR *AR5, *AR4+, A, A ; 1213
+ MASR *AR5, *AR4+, A, B ; 1214
+ MASR *AR5, *AR4+, A ; 1215
+ MASR *AR5, *AR4+, B, A ; 1216
+ MASR *AR5, *AR4+, B, B ; 1217
+ MASR *AR5, *AR4+, B ; 1218
+ MASR *AR5, *AR4+0%, A, A ; 1219
+ MASR *AR5, *AR4+0%, A, B ; 1220
+ MASR *AR5, *AR4+0%, A ; 1221
+ MASR *AR5, *AR4+0%, B, A ; 1222
+ MASR *AR5, *AR4+0%, B, B ; 1223
+ MASR *AR5, *AR4+0%, B ; 1224
+ MASR *AR5, *AR5, A, A ; 1225
+ MASR *AR5, *AR5, A, B ; 1226
+ MASR *AR5, *AR5, A ; 1227
+ MASR *AR5, *AR5, B, A ; 1228
+ MASR *AR5, *AR5, B, B ; 1229
+ MASR *AR5, *AR5, B ; 1230
+ MASR *AR5, *AR5-, A, A ; 1231
+ MASR *AR5, *AR5-, A, B ; 1232
+ MASR *AR5, *AR5-, A ; 1233
+ MASR *AR5, *AR5-, B, A ; 1234
+ MASR *AR5, *AR5-, B, B ; 1235
+ MASR *AR5, *AR5-, B ; 1236
+ MASR *AR5, *AR5+, A, A ; 1237
+ MASR *AR5, *AR5+, A, B ; 1238
+ MASR *AR5, *AR5+, A ; 1239
+ MASR *AR5, *AR5+, B, A ; 1240
+ MASR *AR5, *AR5+, B, B ; 1241
+ MASR *AR5, *AR5+, B ; 1242
+ MASR *AR5, *AR5+0%, A, A ; 1243
+ MASR *AR5, *AR5+0%, A, B ; 1244
+ MASR *AR5, *AR5+0%, A ; 1245
+ MASR *AR5, *AR5+0%, B, A ; 1246
+ MASR *AR5, *AR5+0%, B, B ; 1247
+ MASR *AR5, *AR5+0%, B ; 1248
+ MASR *AR5-, *AR2, A, A ; 1249
+ MASR *AR5-, *AR2, A, B ; 1250
+ MASR *AR5-, *AR2, A ; 1251
+ MASR *AR5-, *AR2, B, A ; 1252
+ MASR *AR5-, *AR2, B, B ; 1253
+ MASR *AR5-, *AR2, B ; 1254
+ MASR *AR5-, *AR2-, A, A ; 1255
+ MASR *AR5-, *AR2-, A, B ; 1256
+ MASR *AR5-, *AR2-, A ; 1257
+ MASR *AR5-, *AR2-, B, A ; 1258
+ MASR *AR5-, *AR2-, B, B ; 1259
+ MASR *AR5-, *AR2-, B ; 1260
+ MASR *AR5-, *AR2+, A, A ; 1261
+ MASR *AR5-, *AR2+, A, B ; 1262
+ MASR *AR5-, *AR2+, A ; 1263
+ MASR *AR5-, *AR2+, B, A ; 1264
+ MASR *AR5-, *AR2+, B, B ; 1265
+ MASR *AR5-, *AR2+, B ; 1266
+ MASR *AR5-, *AR2+0%, A, A ; 1267
+ MASR *AR5-, *AR2+0%, A, B ; 1268
+ MASR *AR5-, *AR2+0%, A ; 1269
+ MASR *AR5-, *AR2+0%, B, A ; 1270
+ MASR *AR5-, *AR2+0%, B, B ; 1271
+ MASR *AR5-, *AR2+0%, B ; 1272
+ MASR *AR5-, *AR3, A, A ; 1273
+ MASR *AR5-, *AR3, A, B ; 1274
+ MASR *AR5-, *AR3, A ; 1275
+ MASR *AR5-, *AR3, B, A ; 1276
+ MASR *AR5-, *AR3, B, B ; 1277
+ MASR *AR5-, *AR3, B ; 1278
+ MASR *AR5-, *AR3-, A, A ; 1279
+ MASR *AR5-, *AR3-, A, B ; 1280
+ MASR *AR5-, *AR3-, A ; 1281
+ MASR *AR5-, *AR3-, B, A ; 1282
+ MASR *AR5-, *AR3-, B, B ; 1283
+ MASR *AR5-, *AR3-, B ; 1284
+ MASR *AR5-, *AR3+, A, A ; 1285
+ MASR *AR5-, *AR3+, A, B ; 1286
+ MASR *AR5-, *AR3+, A ; 1287
+ MASR *AR5-, *AR3+, B, A ; 1288
+ MASR *AR5-, *AR3+, B, B ; 1289
+ MASR *AR5-, *AR3+, B ; 1290
+ MASR *AR5-, *AR3+0%, A, A ; 1291
+ MASR *AR5-, *AR3+0%, A, B ; 1292
+ MASR *AR5-, *AR3+0%, A ; 1293
+ MASR *AR5-, *AR3+0%, B, A ; 1294
+ MASR *AR5-, *AR3+0%, B, B ; 1295
+ MASR *AR5-, *AR3+0%, B ; 1296
+ MASR *AR5-, *AR4, A, A ; 1297
+ MASR *AR5-, *AR4, A, B ; 1298
+ MASR *AR5-, *AR4, A ; 1299
+ MASR *AR5-, *AR4, B, A ; 1300
+ MASR *AR5-, *AR4, B, B ; 1301
+ MASR *AR5-, *AR4, B ; 1302
+ MASR *AR5-, *AR4-, A, A ; 1303
+ MASR *AR5-, *AR4-, A, B ; 1304
+ MASR *AR5-, *AR4-, A ; 1305
+ MASR *AR5-, *AR4-, B, A ; 1306
+ MASR *AR5-, *AR4-, B, B ; 1307
+ MASR *AR5-, *AR4-, B ; 1308
+ MASR *AR5-, *AR4+, A, A ; 1309
+ MASR *AR5-, *AR4+, A, B ; 1310
+ MASR *AR5-, *AR4+, A ; 1311
+ MASR *AR5-, *AR4+, B, A ; 1312
+ MASR *AR5-, *AR4+, B, B ; 1313
+ MASR *AR5-, *AR4+, B ; 1314
+ MASR *AR5-, *AR4+0%, A, A ; 1315
+ MASR *AR5-, *AR4+0%, A, B ; 1316
+ MASR *AR5-, *AR4+0%, A ; 1317
+ MASR *AR5-, *AR4+0%, B, A ; 1318
+ MASR *AR5-, *AR4+0%, B, B ; 1319
+ MASR *AR5-, *AR4+0%, B ; 1320
+ MASR *AR5-, *AR5, A, A ; 1321
+ MASR *AR5-, *AR5, A, B ; 1322
+ MASR *AR5-, *AR5, A ; 1323
+ MASR *AR5-, *AR5, B, A ; 1324
+ MASR *AR5-, *AR5, B, B ; 1325
+ MASR *AR5-, *AR5, B ; 1326
+ MASR *AR5-, *AR5-, A, A ; 1327
+ MASR *AR5-, *AR5-, A, B ; 1328
+ MASR *AR5-, *AR5-, A ; 1329
+ MASR *AR5-, *AR5-, B, A ; 1330
+ MASR *AR5-, *AR5-, B, B ; 1331
+ MASR *AR5-, *AR5-, B ; 1332
+ MASR *AR5-, *AR5+, A, A ; 1333
+ MASR *AR5-, *AR5+, A, B ; 1334
+ MASR *AR5-, *AR5+, A ; 1335
+ MASR *AR5-, *AR5+, B, A ; 1336
+ MASR *AR5-, *AR5+, B, B ; 1337
+ MASR *AR5-, *AR5+, B ; 1338
+ MASR *AR5-, *AR5+0%, A, A ; 1339
+ MASR *AR5-, *AR5+0%, A, B ; 1340
+ MASR *AR5-, *AR5+0%, A ; 1341
+ MASR *AR5-, *AR5+0%, B, A ; 1342
+ MASR *AR5-, *AR5+0%, B, B ; 1343
+ MASR *AR5-, *AR5+0%, B ; 1344
+ MASR *AR5+, *AR2, A, A ; 1345
+ MASR *AR5+, *AR2, A, B ; 1346
+ MASR *AR5+, *AR2, A ; 1347
+ MASR *AR5+, *AR2, B, A ; 1348
+ MASR *AR5+, *AR2, B, B ; 1349
+ MASR *AR5+, *AR2, B ; 1350
+ MASR *AR5+, *AR2-, A, A ; 1351
+ MASR *AR5+, *AR2-, A, B ; 1352
+ MASR *AR5+, *AR2-, A ; 1353
+ MASR *AR5+, *AR2-, B, A ; 1354
+ MASR *AR5+, *AR2-, B, B ; 1355
+ MASR *AR5+, *AR2-, B ; 1356
+ MASR *AR5+, *AR2+, A, A ; 1357
+ MASR *AR5+, *AR2+, A, B ; 1358
+ MASR *AR5+, *AR2+, A ; 1359
+ MASR *AR5+, *AR2+, B, A ; 1360
+ MASR *AR5+, *AR2+, B, B ; 1361
+ MASR *AR5+, *AR2+, B ; 1362
+ MASR *AR5+, *AR2+0%, A, A ; 1363
+ MASR *AR5+, *AR2+0%, A, B ; 1364
+ MASR *AR5+, *AR2+0%, A ; 1365
+ MASR *AR5+, *AR2+0%, B, A ; 1366
+ MASR *AR5+, *AR2+0%, B, B ; 1367
+ MASR *AR5+, *AR2+0%, B ; 1368
+ MASR *AR5+, *AR3, A, A ; 1369
+ MASR *AR5+, *AR3, A, B ; 1370
+ MASR *AR5+, *AR3, A ; 1371
+ MASR *AR5+, *AR3, B, A ; 1372
+ MASR *AR5+, *AR3, B, B ; 1373
+ MASR *AR5+, *AR3, B ; 1374
+ MASR *AR5+, *AR3-, A, A ; 1375
+ MASR *AR5+, *AR3-, A, B ; 1376
+ MASR *AR5+, *AR3-, A ; 1377
+ MASR *AR5+, *AR3-, B, A ; 1378
+ MASR *AR5+, *AR3-, B, B ; 1379
+ MASR *AR5+, *AR3-, B ; 1380
+ MASR *AR5+, *AR3+, A, A ; 1381
+ MASR *AR5+, *AR3+, A, B ; 1382
+ MASR *AR5+, *AR3+, A ; 1383
+ MASR *AR5+, *AR3+, B, A ; 1384
+ MASR *AR5+, *AR3+, B, B ; 1385
+ MASR *AR5+, *AR3+, B ; 1386
+ MASR *AR5+, *AR3+0%, A, A ; 1387
+ MASR *AR5+, *AR3+0%, A, B ; 1388
+ MASR *AR5+, *AR3+0%, A ; 1389
+ MASR *AR5+, *AR3+0%, B, A ; 1390
+ MASR *AR5+, *AR3+0%, B, B ; 1391
+ MASR *AR5+, *AR3+0%, B ; 1392
+ MASR *AR5+, *AR4, A, A ; 1393
+ MASR *AR5+, *AR4, A, B ; 1394
+ MASR *AR5+, *AR4, A ; 1395
+ MASR *AR5+, *AR4, B, A ; 1396
+ MASR *AR5+, *AR4, B, B ; 1397
+ MASR *AR5+, *AR4, B ; 1398
+ MASR *AR5+, *AR4-, A, A ; 1399
+ MASR *AR5+, *AR4-, A, B ; 1400
+ MASR *AR5+, *AR4-, A ; 1401
+ MASR *AR5+, *AR4-, B, A ; 1402
+ MASR *AR5+, *AR4-, B, B ; 1403
+ MASR *AR5+, *AR4-, B ; 1404
+ MASR *AR5+, *AR4+, A, A ; 1405
+ MASR *AR5+, *AR4+, A, B ; 1406
+ MASR *AR5+, *AR4+, A ; 1407
+ MASR *AR5+, *AR4+, B, A ; 1408
+ MASR *AR5+, *AR4+, B, B ; 1409
+ MASR *AR5+, *AR4+, B ; 1410
+ MASR *AR5+, *AR4+0%, A, A ; 1411
+ MASR *AR5+, *AR4+0%, A, B ; 1412
+ MASR *AR5+, *AR4+0%, A ; 1413
+ MASR *AR5+, *AR4+0%, B, A ; 1414
+ MASR *AR5+, *AR4+0%, B, B ; 1415
+ MASR *AR5+, *AR4+0%, B ; 1416
+ MASR *AR5+, *AR5, A, A ; 1417
+ MASR *AR5+, *AR5, A, B ; 1418
+ MASR *AR5+, *AR5, A ; 1419
+ MASR *AR5+, *AR5, B, A ; 1420
+ MASR *AR5+, *AR5, B, B ; 1421
+ MASR *AR5+, *AR5, B ; 1422
+ MASR *AR5+, *AR5-, A, A ; 1423
+ MASR *AR5+, *AR5-, A, B ; 1424
+ MASR *AR5+, *AR5-, A ; 1425
+ MASR *AR5+, *AR5-, B, A ; 1426
+ MASR *AR5+, *AR5-, B, B ; 1427
+ MASR *AR5+, *AR5-, B ; 1428
+ MASR *AR5+, *AR5+, A, A ; 1429
+ MASR *AR5+, *AR5+, A, B ; 1430
+ MASR *AR5+, *AR5+, A ; 1431
+ MASR *AR5+, *AR5+, B, A ; 1432
+ MASR *AR5+, *AR5+, B, B ; 1433
+ MASR *AR5+, *AR5+, B ; 1434
+ MASR *AR5+, *AR5+0%, A, A ; 1435
+ MASR *AR5+, *AR5+0%, A, B ; 1436
+ MASR *AR5+, *AR5+0%, A ; 1437
+ MASR *AR5+, *AR5+0%, B, A ; 1438
+ MASR *AR5+, *AR5+0%, B, B ; 1439
+ MASR *AR5+, *AR5+0%, B ; 1440
+ MASR *AR5+0%, *AR2, A, A ; 1441
+ MASR *AR5+0%, *AR2, A, B ; 1442
+ MASR *AR5+0%, *AR2, A ; 1443
+ MASR *AR5+0%, *AR2, B, A ; 1444
+ MASR *AR5+0%, *AR2, B, B ; 1445
+ MASR *AR5+0%, *AR2, B ; 1446
+ MASR *AR5+0%, *AR2-, A, A ; 1447
+ MASR *AR5+0%, *AR2-, A, B ; 1448
+ MASR *AR5+0%, *AR2-, A ; 1449
+ MASR *AR5+0%, *AR2-, B, A ; 1450
+ MASR *AR5+0%, *AR2-, B, B ; 1451
+ MASR *AR5+0%, *AR2-, B ; 1452
+ MASR *AR5+0%, *AR2+, A, A ; 1453
+ MASR *AR5+0%, *AR2+, A, B ; 1454
+ MASR *AR5+0%, *AR2+, A ; 1455
+ MASR *AR5+0%, *AR2+, B, A ; 1456
+ MASR *AR5+0%, *AR2+, B, B ; 1457
+ MASR *AR5+0%, *AR2+, B ; 1458
+ MASR *AR5+0%, *AR2+0%, A, A ; 1459
+ MASR *AR5+0%, *AR2+0%, A, B ; 1460
+ MASR *AR5+0%, *AR2+0%, A ; 1461
+ MASR *AR5+0%, *AR2+0%, B, A ; 1462
+ MASR *AR5+0%, *AR2+0%, B, B ; 1463
+ MASR *AR5+0%, *AR2+0%, B ; 1464
+ MASR *AR5+0%, *AR3, A, A ; 1465
+ MASR *AR5+0%, *AR3, A, B ; 1466
+ MASR *AR5+0%, *AR3, A ; 1467
+ MASR *AR5+0%, *AR3, B, A ; 1468
+ MASR *AR5+0%, *AR3, B, B ; 1469
+ MASR *AR5+0%, *AR3, B ; 1470
+ MASR *AR5+0%, *AR3-, A, A ; 1471
+ MASR *AR5+0%, *AR3-, A, B ; 1472
+ MASR *AR5+0%, *AR3-, A ; 1473
+ MASR *AR5+0%, *AR3-, B, A ; 1474
+ MASR *AR5+0%, *AR3-, B, B ; 1475
+ MASR *AR5+0%, *AR3-, B ; 1476
+ MASR *AR5+0%, *AR3+, A, A ; 1477
+ MASR *AR5+0%, *AR3+, A, B ; 1478
+ MASR *AR5+0%, *AR3+, A ; 1479
+ MASR *AR5+0%, *AR3+, B, A ; 1480
+ MASR *AR5+0%, *AR3+, B, B ; 1481
+ MASR *AR5+0%, *AR3+, B ; 1482
+ MASR *AR5+0%, *AR3+0%, A, A ; 1483
+ MASR *AR5+0%, *AR3+0%, A, B ; 1484
+ MASR *AR5+0%, *AR3+0%, A ; 1485
+ MASR *AR5+0%, *AR3+0%, B, A ; 1486
+ MASR *AR5+0%, *AR3+0%, B, B ; 1487
+ MASR *AR5+0%, *AR3+0%, B ; 1488
+ MASR *AR5+0%, *AR4, A, A ; 1489
+ MASR *AR5+0%, *AR4, A, B ; 1490
+ MASR *AR5+0%, *AR4, A ; 1491
+ MASR *AR5+0%, *AR4, B, A ; 1492
+ MASR *AR5+0%, *AR4, B, B ; 1493
+ MASR *AR5+0%, *AR4, B ; 1494
+ MASR *AR5+0%, *AR4-, A, A ; 1495
+ MASR *AR5+0%, *AR4-, A, B ; 1496
+ MASR *AR5+0%, *AR4-, A ; 1497
+ MASR *AR5+0%, *AR4-, B, A ; 1498
+ MASR *AR5+0%, *AR4-, B, B ; 1499
+ MASR *AR5+0%, *AR4-, B ; 1500
+ MASR *AR5+0%, *AR4+, A, A ; 1501
+ MASR *AR5+0%, *AR4+, A, B ; 1502
+ MASR *AR5+0%, *AR4+, A ; 1503
+ MASR *AR5+0%, *AR4+, B, A ; 1504
+ MASR *AR5+0%, *AR4+, B, B ; 1505
+ MASR *AR5+0%, *AR4+, B ; 1506
+ MASR *AR5+0%, *AR4+0%, A, A ; 1507
+ MASR *AR5+0%, *AR4+0%, A, B ; 1508
+ MASR *AR5+0%, *AR4+0%, A ; 1509
+ MASR *AR5+0%, *AR4+0%, B, A ; 1510
+ MASR *AR5+0%, *AR4+0%, B, B ; 1511
+ MASR *AR5+0%, *AR4+0%, B ; 1512
+ MASR *AR5+0%, *AR5, A, A ; 1513
+ MASR *AR5+0%, *AR5, A, B ; 1514
+ MASR *AR5+0%, *AR5, A ; 1515
+ MASR *AR5+0%, *AR5, B, A ; 1516
+ MASR *AR5+0%, *AR5, B, B ; 1517
+ MASR *AR5+0%, *AR5, B ; 1518
+ MASR *AR5+0%, *AR5-, A, A ; 1519
+ MASR *AR5+0%, *AR5-, A, B ; 1520
+ MASR *AR5+0%, *AR5-, A ; 1521
+ MASR *AR5+0%, *AR5-, B, A ; 1522
+ MASR *AR5+0%, *AR5-, B, B ; 1523
+ MASR *AR5+0%, *AR5-, B ; 1524
+ MASR *AR5+0%, *AR5+, A, A ; 1525
+ MASR *AR5+0%, *AR5+, A, B ; 1526
+ MASR *AR5+0%, *AR5+, A ; 1527
+ MASR *AR5+0%, *AR5+, B, A ; 1528
+ MASR *AR5+0%, *AR5+, B, B ; 1529
+ MASR *AR5+0%, *AR5+, B ; 1530
+ MASR *AR5+0%, *AR5+0%, A, A ; 1531
+ MASR *AR5+0%, *AR5+0%, A, B ; 1532
+ MASR *AR5+0%, *AR5+0%, A ; 1533
+ MASR *AR5+0%, *AR5+0%, B, A ; 1534
+ MASR *AR5+0%, *AR5+0%, B, B ; 1535
+ MASR *AR5+0%, *AR5+0%, B ; 1536
+ ; MAS_I : MAS Smem_I, AB : 240
+ MAS *AR0, A ; 1
+ MAS *AR0, B ; 2
+ MAS *AR0-, A ; 3
+ MAS *AR0-, B ; 4
+ MAS *AR0+, A ; 5
+ MAS *AR0+, B ; 6
+ MAS *AR0-0B, A ; 7
+ MAS *AR0-0B, B ; 8
+ MAS *AR0-0, A ; 9
+ MAS *AR0-0, B ; 10
+ MAS *AR0+0, A ; 11
+ MAS *AR0+0, B ; 12
+ MAS *AR0+0B, A ; 13
+ MAS *AR0+0B, B ; 14
+ MAS *AR0-%, A ; 15
+ MAS *AR0-%, B ; 16
+ MAS *AR0-0%, A ; 17
+ MAS *AR0-0%, B ; 18
+ MAS *AR0+%, A ; 19
+ MAS *AR0+%, B ; 20
+ MAS *AR0+0%, A ; 21
+ MAS *AR0+0%, B ; 22
+ MAS *AR0(0311Ch), A ; 23
+ MAS *AR0(0311Dh), B ; 24
+ MAS *+AR0(0311Eh), A ; 25
+ MAS *+AR0(0311Fh), B ; 26
+ MAS *+AR0(03120h)%, A ; 27
+ MAS *+AR0(03121h)%, B ; 28
+ MAS *(03122h), A ; 29
+ MAS *(03123h), B ; 30
+ MAS *AR1, A ; 31
+ MAS *AR1, B ; 32
+ MAS *AR1-, A ; 33
+ MAS *AR1-, B ; 34
+ MAS *AR1+, A ; 35
+ MAS *AR1+, B ; 36
+ MAS *AR1-0B, A ; 37
+ MAS *AR1-0B, B ; 38
+ MAS *AR1-0, A ; 39
+ MAS *AR1-0, B ; 40
+ MAS *AR1+0, A ; 41
+ MAS *AR1+0, B ; 42
+ MAS *AR1+0B, A ; 43
+ MAS *AR1+0B, B ; 44
+ MAS *AR1-%, A ; 45
+ MAS *AR1-%, B ; 46
+ MAS *AR1-0%, A ; 47
+ MAS *AR1-0%, B ; 48
+ MAS *AR1+%, A ; 49
+ MAS *AR1+%, B ; 50
+ MAS *AR1+0%, A ; 51
+ MAS *AR1+0%, B ; 52
+ MAS *AR1(03124h), A ; 53
+ MAS *AR1(03125h), B ; 54
+ MAS *+AR1(03126h), A ; 55
+ MAS *+AR1(03127h), B ; 56
+ MAS *+AR1(03128h)%, A ; 57
+ MAS *+AR1(03129h)%, B ; 58
+ MAS *(0312Ah), A ; 59
+ MAS *(0312Bh), B ; 60
+ MAS *AR2, A ; 61
+ MAS *AR2, B ; 62
+ MAS *AR2-, A ; 63
+ MAS *AR2-, B ; 64
+ MAS *AR2+, A ; 65
+ MAS *AR2+, B ; 66
+ MAS *AR2-0B, A ; 67
+ MAS *AR2-0B, B ; 68
+ MAS *AR2-0, A ; 69
+ MAS *AR2-0, B ; 70
+ MAS *AR2+0, A ; 71
+ MAS *AR2+0, B ; 72
+ MAS *AR2+0B, A ; 73
+ MAS *AR2+0B, B ; 74
+ MAS *AR2-%, A ; 75
+ MAS *AR2-%, B ; 76
+ MAS *AR2-0%, A ; 77
+ MAS *AR2-0%, B ; 78
+ MAS *AR2+%, A ; 79
+ MAS *AR2+%, B ; 80
+ MAS *AR2+0%, A ; 81
+ MAS *AR2+0%, B ; 82
+ MAS *AR2(0312Ch), A ; 83
+ MAS *AR2(0312Dh), B ; 84
+ MAS *+AR2(0312Eh), A ; 85
+ MAS *+AR2(0312Fh), B ; 86
+ MAS *+AR2(03130h)%, A ; 87
+ MAS *+AR2(03131h)%, B ; 88
+ MAS *(03132h), A ; 89
+ MAS *(03133h), B ; 90
+ MAS *AR3, A ; 91
+ MAS *AR3, B ; 92
+ MAS *AR3-, A ; 93
+ MAS *AR3-, B ; 94
+ MAS *AR3+, A ; 95
+ MAS *AR3+, B ; 96
+ MAS *AR3-0B, A ; 97
+ MAS *AR3-0B, B ; 98
+ MAS *AR3-0, A ; 99
+ MAS *AR3-0, B ; 100
+ MAS *AR3+0, A ; 101
+ MAS *AR3+0, B ; 102
+ MAS *AR3+0B, A ; 103
+ MAS *AR3+0B, B ; 104
+ MAS *AR3-%, A ; 105
+ MAS *AR3-%, B ; 106
+ MAS *AR3-0%, A ; 107
+ MAS *AR3-0%, B ; 108
+ MAS *AR3+%, A ; 109
+ MAS *AR3+%, B ; 110
+ MAS *AR3+0%, A ; 111
+ MAS *AR3+0%, B ; 112
+ MAS *AR3(03134h), A ; 113
+ MAS *AR3(03135h), B ; 114
+ MAS *+AR3(03136h), A ; 115
+ MAS *+AR3(03137h), B ; 116
+ MAS *+AR3(03138h)%, A ; 117
+ MAS *+AR3(03139h)%, B ; 118
+ MAS *(0313Ah), A ; 119
+ MAS *(0313Bh), B ; 120
+ MAS *AR4, A ; 121
+ MAS *AR4, B ; 122
+ MAS *AR4-, A ; 123
+ MAS *AR4-, B ; 124
+ MAS *AR4+, A ; 125
+ MAS *AR4+, B ; 126
+ MAS *AR4-0B, A ; 127
+ MAS *AR4-0B, B ; 128
+ MAS *AR4-0, A ; 129
+ MAS *AR4-0, B ; 130
+ MAS *AR4+0, A ; 131
+ MAS *AR4+0, B ; 132
+ MAS *AR4+0B, A ; 133
+ MAS *AR4+0B, B ; 134
+ MAS *AR4-%, A ; 135
+ MAS *AR4-%, B ; 136
+ MAS *AR4-0%, A ; 137
+ MAS *AR4-0%, B ; 138
+ MAS *AR4+%, A ; 139
+ MAS *AR4+%, B ; 140
+ MAS *AR4+0%, A ; 141
+ MAS *AR4+0%, B ; 142
+ MAS *AR4(0313Ch), A ; 143
+ MAS *AR4(0313Dh), B ; 144
+ MAS *+AR4(0313Eh), A ; 145
+ MAS *+AR4(0313Fh), B ; 146
+ MAS *+AR4(03140h)%, A ; 147
+ MAS *+AR4(03141h)%, B ; 148
+ MAS *(03142h), A ; 149
+ MAS *(03143h), B ; 150
+ MAS *AR5, A ; 151
+ MAS *AR5, B ; 152
+ MAS *AR5-, A ; 153
+ MAS *AR5-, B ; 154
+ MAS *AR5+, A ; 155
+ MAS *AR5+, B ; 156
+ MAS *AR5-0B, A ; 157
+ MAS *AR5-0B, B ; 158
+ MAS *AR5-0, A ; 159
+ MAS *AR5-0, B ; 160
+ MAS *AR5+0, A ; 161
+ MAS *AR5+0, B ; 162
+ MAS *AR5+0B, A ; 163
+ MAS *AR5+0B, B ; 164
+ MAS *AR5-%, A ; 165
+ MAS *AR5-%, B ; 166
+ MAS *AR5-0%, A ; 167
+ MAS *AR5-0%, B ; 168
+ MAS *AR5+%, A ; 169
+ MAS *AR5+%, B ; 170
+ MAS *AR5+0%, A ; 171
+ MAS *AR5+0%, B ; 172
+ MAS *AR5(03144h), A ; 173
+ MAS *AR5(03145h), B ; 174
+ MAS *+AR5(03146h), A ; 175
+ MAS *+AR5(03147h), B ; 176
+ MAS *+AR5(03148h)%, A ; 177
+ MAS *+AR5(03149h)%, B ; 178
+ MAS *(0314Ah), A ; 179
+ MAS *(0314Bh), B ; 180
+ MAS *AR6, A ; 181
+ MAS *AR6, B ; 182
+ MAS *AR6-, A ; 183
+ MAS *AR6-, B ; 184
+ MAS *AR6+, A ; 185
+ MAS *AR6+, B ; 186
+ MAS *AR6-0B, A ; 187
+ MAS *AR6-0B, B ; 188
+ MAS *AR6-0, A ; 189
+ MAS *AR6-0, B ; 190
+ MAS *AR6+0, A ; 191
+ MAS *AR6+0, B ; 192
+ MAS *AR6+0B, A ; 193
+ MAS *AR6+0B, B ; 194
+ MAS *AR6-%, A ; 195
+ MAS *AR6-%, B ; 196
+ MAS *AR6-0%, A ; 197
+ MAS *AR6-0%, B ; 198
+ MAS *AR6+%, A ; 199
+ MAS *AR6+%, B ; 200
+ MAS *AR6+0%, A ; 201
+ MAS *AR6+0%, B ; 202
+ MAS *AR6(0314Ch), A ; 203
+ MAS *AR6(0314Dh), B ; 204
+ MAS *+AR6(0314Eh), A ; 205
+ MAS *+AR6(0314Fh), B ; 206
+ MAS *+AR6(03150h)%, A ; 207
+ MAS *+AR6(03151h)%, B ; 208
+ MAS *(03152h), A ; 209
+ MAS *(03153h), B ; 210
+ MAS *AR7, A ; 211
+ MAS *AR7, B ; 212
+ MAS *AR7-, A ; 213
+ MAS *AR7-, B ; 214
+ MAS *AR7+, A ; 215
+ MAS *AR7+, B ; 216
+ MAS *AR7-0B, A ; 217
+ MAS *AR7-0B, B ; 218
+ MAS *AR7-0, A ; 219
+ MAS *AR7-0, B ; 220
+ MAS *AR7+0, A ; 221
+ MAS *AR7+0, B ; 222
+ MAS *AR7+0B, A ; 223
+ MAS *AR7+0B, B ; 224
+ MAS *AR7-%, A ; 225
+ MAS *AR7-%, B ; 226
+ MAS *AR7-0%, A ; 227
+ MAS *AR7-0%, B ; 228
+ MAS *AR7+%, A ; 229
+ MAS *AR7+%, B ; 230
+ MAS *AR7+0%, A ; 231
+ MAS *AR7+0%, B ; 232
+ MAS *AR7(03154h), A ; 233
+ MAS *AR7(03155h), B ; 234
+ MAS *+AR7(03156h), A ; 235
+ MAS *+AR7(03157h), B ; 236
+ MAS *+AR7(03158h)%, A ; 237
+ MAS *+AR7(03159h)%, B ; 238
+ MAS *(0315Ah), A ; 239
+ MAS *(0315Bh), B ; 240
+ ; MASxmym : MAS Xmem, Ymem, AB, AB2 : 1536
+ MAS *AR2, *AR2, A, A ; 1
+ MAS *AR2, *AR2, A, B ; 2
+ MAS *AR2, *AR2, A ; 3
+ MAS *AR2, *AR2, B, A ; 4
+ MAS *AR2, *AR2, B, B ; 5
+ MAS *AR2, *AR2, B ; 6
+ MAS *AR2, *AR2-, A, A ; 7
+ MAS *AR2, *AR2-, A, B ; 8
+ MAS *AR2, *AR2-, A ; 9
+ MAS *AR2, *AR2-, B, A ; 10
+ MAS *AR2, *AR2-, B, B ; 11
+ MAS *AR2, *AR2-, B ; 12
+ MAS *AR2, *AR2+, A, A ; 13
+ MAS *AR2, *AR2+, A, B ; 14
+ MAS *AR2, *AR2+, A ; 15
+ MAS *AR2, *AR2+, B, A ; 16
+ MAS *AR2, *AR2+, B, B ; 17
+ MAS *AR2, *AR2+, B ; 18
+ MAS *AR2, *AR2+0%, A, A ; 19
+ MAS *AR2, *AR2+0%, A, B ; 20
+ MAS *AR2, *AR2+0%, A ; 21
+ MAS *AR2, *AR2+0%, B, A ; 22
+ MAS *AR2, *AR2+0%, B, B ; 23
+ MAS *AR2, *AR2+0%, B ; 24
+ MAS *AR2, *AR3, A, A ; 25
+ MAS *AR2, *AR3, A, B ; 26
+ MAS *AR2, *AR3, A ; 27
+ MAS *AR2, *AR3, B, A ; 28
+ MAS *AR2, *AR3, B, B ; 29
+ MAS *AR2, *AR3, B ; 30
+ MAS *AR2, *AR3-, A, A ; 31
+ MAS *AR2, *AR3-, A, B ; 32
+ MAS *AR2, *AR3-, A ; 33
+ MAS *AR2, *AR3-, B, A ; 34
+ MAS *AR2, *AR3-, B, B ; 35
+ MAS *AR2, *AR3-, B ; 36
+ MAS *AR2, *AR3+, A, A ; 37
+ MAS *AR2, *AR3+, A, B ; 38
+ MAS *AR2, *AR3+, A ; 39
+ MAS *AR2, *AR3+, B, A ; 40
+ MAS *AR2, *AR3+, B, B ; 41
+ MAS *AR2, *AR3+, B ; 42
+ MAS *AR2, *AR3+0%, A, A ; 43
+ MAS *AR2, *AR3+0%, A, B ; 44
+ MAS *AR2, *AR3+0%, A ; 45
+ MAS *AR2, *AR3+0%, B, A ; 46
+ MAS *AR2, *AR3+0%, B, B ; 47
+ MAS *AR2, *AR3+0%, B ; 48
+ MAS *AR2, *AR4, A, A ; 49
+ MAS *AR2, *AR4, A, B ; 50
+ MAS *AR2, *AR4, A ; 51
+ MAS *AR2, *AR4, B, A ; 52
+ MAS *AR2, *AR4, B, B ; 53
+ MAS *AR2, *AR4, B ; 54
+ MAS *AR2, *AR4-, A, A ; 55
+ MAS *AR2, *AR4-, A, B ; 56
+ MAS *AR2, *AR4-, A ; 57
+ MAS *AR2, *AR4-, B, A ; 58
+ MAS *AR2, *AR4-, B, B ; 59
+ MAS *AR2, *AR4-, B ; 60
+ MAS *AR2, *AR4+, A, A ; 61
+ MAS *AR2, *AR4+, A, B ; 62
+ MAS *AR2, *AR4+, A ; 63
+ MAS *AR2, *AR4+, B, A ; 64
+ MAS *AR2, *AR4+, B, B ; 65
+ MAS *AR2, *AR4+, B ; 66
+ MAS *AR2, *AR4+0%, A, A ; 67
+ MAS *AR2, *AR4+0%, A, B ; 68
+ MAS *AR2, *AR4+0%, A ; 69
+ MAS *AR2, *AR4+0%, B, A ; 70
+ MAS *AR2, *AR4+0%, B, B ; 71
+ MAS *AR2, *AR4+0%, B ; 72
+ MAS *AR2, *AR5, A, A ; 73
+ MAS *AR2, *AR5, A, B ; 74
+ MAS *AR2, *AR5, A ; 75
+ MAS *AR2, *AR5, B, A ; 76
+ MAS *AR2, *AR5, B, B ; 77
+ MAS *AR2, *AR5, B ; 78
+ MAS *AR2, *AR5-, A, A ; 79
+ MAS *AR2, *AR5-, A, B ; 80
+ MAS *AR2, *AR5-, A ; 81
+ MAS *AR2, *AR5-, B, A ; 82
+ MAS *AR2, *AR5-, B, B ; 83
+ MAS *AR2, *AR5-, B ; 84
+ MAS *AR2, *AR5+, A, A ; 85
+ MAS *AR2, *AR5+, A, B ; 86
+ MAS *AR2, *AR5+, A ; 87
+ MAS *AR2, *AR5+, B, A ; 88
+ MAS *AR2, *AR5+, B, B ; 89
+ MAS *AR2, *AR5+, B ; 90
+ MAS *AR2, *AR5+0%, A, A ; 91
+ MAS *AR2, *AR5+0%, A, B ; 92
+ MAS *AR2, *AR5+0%, A ; 93
+ MAS *AR2, *AR5+0%, B, A ; 94
+ MAS *AR2, *AR5+0%, B, B ; 95
+ MAS *AR2, *AR5+0%, B ; 96
+ MAS *AR2-, *AR2, A, A ; 97
+ MAS *AR2-, *AR2, A, B ; 98
+ MAS *AR2-, *AR2, A ; 99
+ MAS *AR2-, *AR2, B, A ; 100
+ MAS *AR2-, *AR2, B, B ; 101
+ MAS *AR2-, *AR2, B ; 102
+ MAS *AR2-, *AR2-, A, A ; 103
+ MAS *AR2-, *AR2-, A, B ; 104
+ MAS *AR2-, *AR2-, A ; 105
+ MAS *AR2-, *AR2-, B, A ; 106
+ MAS *AR2-, *AR2-, B, B ; 107
+ MAS *AR2-, *AR2-, B ; 108
+ MAS *AR2-, *AR2+, A, A ; 109
+ MAS *AR2-, *AR2+, A, B ; 110
+ MAS *AR2-, *AR2+, A ; 111
+ MAS *AR2-, *AR2+, B, A ; 112
+ MAS *AR2-, *AR2+, B, B ; 113
+ MAS *AR2-, *AR2+, B ; 114
+ MAS *AR2-, *AR2+0%, A, A ; 115
+ MAS *AR2-, *AR2+0%, A, B ; 116
+ MAS *AR2-, *AR2+0%, A ; 117
+ MAS *AR2-, *AR2+0%, B, A ; 118
+ MAS *AR2-, *AR2+0%, B, B ; 119
+ MAS *AR2-, *AR2+0%, B ; 120
+ MAS *AR2-, *AR3, A, A ; 121
+ MAS *AR2-, *AR3, A, B ; 122
+ MAS *AR2-, *AR3, A ; 123
+ MAS *AR2-, *AR3, B, A ; 124
+ MAS *AR2-, *AR3, B, B ; 125
+ MAS *AR2-, *AR3, B ; 126
+ MAS *AR2-, *AR3-, A, A ; 127
+ MAS *AR2-, *AR3-, A, B ; 128
+ MAS *AR2-, *AR3-, A ; 129
+ MAS *AR2-, *AR3-, B, A ; 130
+ MAS *AR2-, *AR3-, B, B ; 131
+ MAS *AR2-, *AR3-, B ; 132
+ MAS *AR2-, *AR3+, A, A ; 133
+ MAS *AR2-, *AR3+, A, B ; 134
+ MAS *AR2-, *AR3+, A ; 135
+ MAS *AR2-, *AR3+, B, A ; 136
+ MAS *AR2-, *AR3+, B, B ; 137
+ MAS *AR2-, *AR3+, B ; 138
+ MAS *AR2-, *AR3+0%, A, A ; 139
+ MAS *AR2-, *AR3+0%, A, B ; 140
+ MAS *AR2-, *AR3+0%, A ; 141
+ MAS *AR2-, *AR3+0%, B, A ; 142
+ MAS *AR2-, *AR3+0%, B, B ; 143
+ MAS *AR2-, *AR3+0%, B ; 144
+ MAS *AR2-, *AR4, A, A ; 145
+ MAS *AR2-, *AR4, A, B ; 146
+ MAS *AR2-, *AR4, A ; 147
+ MAS *AR2-, *AR4, B, A ; 148
+ MAS *AR2-, *AR4, B, B ; 149
+ MAS *AR2-, *AR4, B ; 150
+ MAS *AR2-, *AR4-, A, A ; 151
+ MAS *AR2-, *AR4-, A, B ; 152
+ MAS *AR2-, *AR4-, A ; 153
+ MAS *AR2-, *AR4-, B, A ; 154
+ MAS *AR2-, *AR4-, B, B ; 155
+ MAS *AR2-, *AR4-, B ; 156
+ MAS *AR2-, *AR4+, A, A ; 157
+ MAS *AR2-, *AR4+, A, B ; 158
+ MAS *AR2-, *AR4+, A ; 159
+ MAS *AR2-, *AR4+, B, A ; 160
+ MAS *AR2-, *AR4+, B, B ; 161
+ MAS *AR2-, *AR4+, B ; 162
+ MAS *AR2-, *AR4+0%, A, A ; 163
+ MAS *AR2-, *AR4+0%, A, B ; 164
+ MAS *AR2-, *AR4+0%, A ; 165
+ MAS *AR2-, *AR4+0%, B, A ; 166
+ MAS *AR2-, *AR4+0%, B, B ; 167
+ MAS *AR2-, *AR4+0%, B ; 168
+ MAS *AR2-, *AR5, A, A ; 169
+ MAS *AR2-, *AR5, A, B ; 170
+ MAS *AR2-, *AR5, A ; 171
+ MAS *AR2-, *AR5, B, A ; 172
+ MAS *AR2-, *AR5, B, B ; 173
+ MAS *AR2-, *AR5, B ; 174
+ MAS *AR2-, *AR5-, A, A ; 175
+ MAS *AR2-, *AR5-, A, B ; 176
+ MAS *AR2-, *AR5-, A ; 177
+ MAS *AR2-, *AR5-, B, A ; 178
+ MAS *AR2-, *AR5-, B, B ; 179
+ MAS *AR2-, *AR5-, B ; 180
+ MAS *AR2-, *AR5+, A, A ; 181
+ MAS *AR2-, *AR5+, A, B ; 182
+ MAS *AR2-, *AR5+, A ; 183
+ MAS *AR2-, *AR5+, B, A ; 184
+ MAS *AR2-, *AR5+, B, B ; 185
+ MAS *AR2-, *AR5+, B ; 186
+ MAS *AR2-, *AR5+0%, A, A ; 187
+ MAS *AR2-, *AR5+0%, A, B ; 188
+ MAS *AR2-, *AR5+0%, A ; 189
+ MAS *AR2-, *AR5+0%, B, A ; 190
+ MAS *AR2-, *AR5+0%, B, B ; 191
+ MAS *AR2-, *AR5+0%, B ; 192
+ MAS *AR2+, *AR2, A, A ; 193
+ MAS *AR2+, *AR2, A, B ; 194
+ MAS *AR2+, *AR2, A ; 195
+ MAS *AR2+, *AR2, B, A ; 196
+ MAS *AR2+, *AR2, B, B ; 197
+ MAS *AR2+, *AR2, B ; 198
+ MAS *AR2+, *AR2-, A, A ; 199
+ MAS *AR2+, *AR2-, A, B ; 200
+ MAS *AR2+, *AR2-, A ; 201
+ MAS *AR2+, *AR2-, B, A ; 202
+ MAS *AR2+, *AR2-, B, B ; 203
+ MAS *AR2+, *AR2-, B ; 204
+ MAS *AR2+, *AR2+, A, A ; 205
+ MAS *AR2+, *AR2+, A, B ; 206
+ MAS *AR2+, *AR2+, A ; 207
+ MAS *AR2+, *AR2+, B, A ; 208
+ MAS *AR2+, *AR2+, B, B ; 209
+ MAS *AR2+, *AR2+, B ; 210
+ MAS *AR2+, *AR2+0%, A, A ; 211
+ MAS *AR2+, *AR2+0%, A, B ; 212
+ MAS *AR2+, *AR2+0%, A ; 213
+ MAS *AR2+, *AR2+0%, B, A ; 214
+ MAS *AR2+, *AR2+0%, B, B ; 215
+ MAS *AR2+, *AR2+0%, B ; 216
+ MAS *AR2+, *AR3, A, A ; 217
+ MAS *AR2+, *AR3, A, B ; 218
+ MAS *AR2+, *AR3, A ; 219
+ MAS *AR2+, *AR3, B, A ; 220
+ MAS *AR2+, *AR3, B, B ; 221
+ MAS *AR2+, *AR3, B ; 222
+ MAS *AR2+, *AR3-, A, A ; 223
+ MAS *AR2+, *AR3-, A, B ; 224
+ MAS *AR2+, *AR3-, A ; 225
+ MAS *AR2+, *AR3-, B, A ; 226
+ MAS *AR2+, *AR3-, B, B ; 227
+ MAS *AR2+, *AR3-, B ; 228
+ MAS *AR2+, *AR3+, A, A ; 229
+ MAS *AR2+, *AR3+, A, B ; 230
+ MAS *AR2+, *AR3+, A ; 231
+ MAS *AR2+, *AR3+, B, A ; 232
+ MAS *AR2+, *AR3+, B, B ; 233
+ MAS *AR2+, *AR3+, B ; 234
+ MAS *AR2+, *AR3+0%, A, A ; 235
+ MAS *AR2+, *AR3+0%, A, B ; 236
+ MAS *AR2+, *AR3+0%, A ; 237
+ MAS *AR2+, *AR3+0%, B, A ; 238
+ MAS *AR2+, *AR3+0%, B, B ; 239
+ MAS *AR2+, *AR3+0%, B ; 240
+ MAS *AR2+, *AR4, A, A ; 241
+ MAS *AR2+, *AR4, A, B ; 242
+ MAS *AR2+, *AR4, A ; 243
+ MAS *AR2+, *AR4, B, A ; 244
+ MAS *AR2+, *AR4, B, B ; 245
+ MAS *AR2+, *AR4, B ; 246
+ MAS *AR2+, *AR4-, A, A ; 247
+ MAS *AR2+, *AR4-, A, B ; 248
+ MAS *AR2+, *AR4-, A ; 249
+ MAS *AR2+, *AR4-, B, A ; 250
+ MAS *AR2+, *AR4-, B, B ; 251
+ MAS *AR2+, *AR4-, B ; 252
+ MAS *AR2+, *AR4+, A, A ; 253
+ MAS *AR2+, *AR4+, A, B ; 254
+ MAS *AR2+, *AR4+, A ; 255
+ MAS *AR2+, *AR4+, B, A ; 256
+ MAS *AR2+, *AR4+, B, B ; 257
+ MAS *AR2+, *AR4+, B ; 258
+ MAS *AR2+, *AR4+0%, A, A ; 259
+ MAS *AR2+, *AR4+0%, A, B ; 260
+ MAS *AR2+, *AR4+0%, A ; 261
+ MAS *AR2+, *AR4+0%, B, A ; 262
+ MAS *AR2+, *AR4+0%, B, B ; 263
+ MAS *AR2+, *AR4+0%, B ; 264
+ MAS *AR2+, *AR5, A, A ; 265
+ MAS *AR2+, *AR5, A, B ; 266
+ MAS *AR2+, *AR5, A ; 267
+ MAS *AR2+, *AR5, B, A ; 268
+ MAS *AR2+, *AR5, B, B ; 269
+ MAS *AR2+, *AR5, B ; 270
+ MAS *AR2+, *AR5-, A, A ; 271
+ MAS *AR2+, *AR5-, A, B ; 272
+ MAS *AR2+, *AR5-, A ; 273
+ MAS *AR2+, *AR5-, B, A ; 274
+ MAS *AR2+, *AR5-, B, B ; 275
+ MAS *AR2+, *AR5-, B ; 276
+ MAS *AR2+, *AR5+, A, A ; 277
+ MAS *AR2+, *AR5+, A, B ; 278
+ MAS *AR2+, *AR5+, A ; 279
+ MAS *AR2+, *AR5+, B, A ; 280
+ MAS *AR2+, *AR5+, B, B ; 281
+ MAS *AR2+, *AR5+, B ; 282
+ MAS *AR2+, *AR5+0%, A, A ; 283
+ MAS *AR2+, *AR5+0%, A, B ; 284
+ MAS *AR2+, *AR5+0%, A ; 285
+ MAS *AR2+, *AR5+0%, B, A ; 286
+ MAS *AR2+, *AR5+0%, B, B ; 287
+ MAS *AR2+, *AR5+0%, B ; 288
+ MAS *AR2+0%, *AR2, A, A ; 289
+ MAS *AR2+0%, *AR2, A, B ; 290
+ MAS *AR2+0%, *AR2, A ; 291
+ MAS *AR2+0%, *AR2, B, A ; 292
+ MAS *AR2+0%, *AR2, B, B ; 293
+ MAS *AR2+0%, *AR2, B ; 294
+ MAS *AR2+0%, *AR2-, A, A ; 295
+ MAS *AR2+0%, *AR2-, A, B ; 296
+ MAS *AR2+0%, *AR2-, A ; 297
+ MAS *AR2+0%, *AR2-, B, A ; 298
+ MAS *AR2+0%, *AR2-, B, B ; 299
+ MAS *AR2+0%, *AR2-, B ; 300
+ MAS *AR2+0%, *AR2+, A, A ; 301
+ MAS *AR2+0%, *AR2+, A, B ; 302
+ MAS *AR2+0%, *AR2+, A ; 303
+ MAS *AR2+0%, *AR2+, B, A ; 304
+ MAS *AR2+0%, *AR2+, B, B ; 305
+ MAS *AR2+0%, *AR2+, B ; 306
+ MAS *AR2+0%, *AR2+0%, A, A ; 307
+ MAS *AR2+0%, *AR2+0%, A, B ; 308
+ MAS *AR2+0%, *AR2+0%, A ; 309
+ MAS *AR2+0%, *AR2+0%, B, A ; 310
+ MAS *AR2+0%, *AR2+0%, B, B ; 311
+ MAS *AR2+0%, *AR2+0%, B ; 312
+ MAS *AR2+0%, *AR3, A, A ; 313
+ MAS *AR2+0%, *AR3, A, B ; 314
+ MAS *AR2+0%, *AR3, A ; 315
+ MAS *AR2+0%, *AR3, B, A ; 316
+ MAS *AR2+0%, *AR3, B, B ; 317
+ MAS *AR2+0%, *AR3, B ; 318
+ MAS *AR2+0%, *AR3-, A, A ; 319
+ MAS *AR2+0%, *AR3-, A, B ; 320
+ MAS *AR2+0%, *AR3-, A ; 321
+ MAS *AR2+0%, *AR3-, B, A ; 322
+ MAS *AR2+0%, *AR3-, B, B ; 323
+ MAS *AR2+0%, *AR3-, B ; 324
+ MAS *AR2+0%, *AR3+, A, A ; 325
+ MAS *AR2+0%, *AR3+, A, B ; 326
+ MAS *AR2+0%, *AR3+, A ; 327
+ MAS *AR2+0%, *AR3+, B, A ; 328
+ MAS *AR2+0%, *AR3+, B, B ; 329
+ MAS *AR2+0%, *AR3+, B ; 330
+ MAS *AR2+0%, *AR3+0%, A, A ; 331
+ MAS *AR2+0%, *AR3+0%, A, B ; 332
+ MAS *AR2+0%, *AR3+0%, A ; 333
+ MAS *AR2+0%, *AR3+0%, B, A ; 334
+ MAS *AR2+0%, *AR3+0%, B, B ; 335
+ MAS *AR2+0%, *AR3+0%, B ; 336
+ MAS *AR2+0%, *AR4, A, A ; 337
+ MAS *AR2+0%, *AR4, A, B ; 338
+ MAS *AR2+0%, *AR4, A ; 339
+ MAS *AR2+0%, *AR4, B, A ; 340
+ MAS *AR2+0%, *AR4, B, B ; 341
+ MAS *AR2+0%, *AR4, B ; 342
+ MAS *AR2+0%, *AR4-, A, A ; 343
+ MAS *AR2+0%, *AR4-, A, B ; 344
+ MAS *AR2+0%, *AR4-, A ; 345
+ MAS *AR2+0%, *AR4-, B, A ; 346
+ MAS *AR2+0%, *AR4-, B, B ; 347
+ MAS *AR2+0%, *AR4-, B ; 348
+ MAS *AR2+0%, *AR4+, A, A ; 349
+ MAS *AR2+0%, *AR4+, A, B ; 350
+ MAS *AR2+0%, *AR4+, A ; 351
+ MAS *AR2+0%, *AR4+, B, A ; 352
+ MAS *AR2+0%, *AR4+, B, B ; 353
+ MAS *AR2+0%, *AR4+, B ; 354
+ MAS *AR2+0%, *AR4+0%, A, A ; 355
+ MAS *AR2+0%, *AR4+0%, A, B ; 356
+ MAS *AR2+0%, *AR4+0%, A ; 357
+ MAS *AR2+0%, *AR4+0%, B, A ; 358
+ MAS *AR2+0%, *AR4+0%, B, B ; 359
+ MAS *AR2+0%, *AR4+0%, B ; 360
+ MAS *AR2+0%, *AR5, A, A ; 361
+ MAS *AR2+0%, *AR5, A, B ; 362
+ MAS *AR2+0%, *AR5, A ; 363
+ MAS *AR2+0%, *AR5, B, A ; 364
+ MAS *AR2+0%, *AR5, B, B ; 365
+ MAS *AR2+0%, *AR5, B ; 366
+ MAS *AR2+0%, *AR5-, A, A ; 367
+ MAS *AR2+0%, *AR5-, A, B ; 368
+ MAS *AR2+0%, *AR5-, A ; 369
+ MAS *AR2+0%, *AR5-, B, A ; 370
+ MAS *AR2+0%, *AR5-, B, B ; 371
+ MAS *AR2+0%, *AR5-, B ; 372
+ MAS *AR2+0%, *AR5+, A, A ; 373
+ MAS *AR2+0%, *AR5+, A, B ; 374
+ MAS *AR2+0%, *AR5+, A ; 375
+ MAS *AR2+0%, *AR5+, B, A ; 376
+ MAS *AR2+0%, *AR5+, B, B ; 377
+ MAS *AR2+0%, *AR5+, B ; 378
+ MAS *AR2+0%, *AR5+0%, A, A ; 379
+ MAS *AR2+0%, *AR5+0%, A, B ; 380
+ MAS *AR2+0%, *AR5+0%, A ; 381
+ MAS *AR2+0%, *AR5+0%, B, A ; 382
+ MAS *AR2+0%, *AR5+0%, B, B ; 383
+ MAS *AR2+0%, *AR5+0%, B ; 384
+ MAS *AR3, *AR2, A, A ; 385
+ MAS *AR3, *AR2, A, B ; 386
+ MAS *AR3, *AR2, A ; 387
+ MAS *AR3, *AR2, B, A ; 388
+ MAS *AR3, *AR2, B, B ; 389
+ MAS *AR3, *AR2, B ; 390
+ MAS *AR3, *AR2-, A, A ; 391
+ MAS *AR3, *AR2-, A, B ; 392
+ MAS *AR3, *AR2-, A ; 393
+ MAS *AR3, *AR2-, B, A ; 394
+ MAS *AR3, *AR2-, B, B ; 395
+ MAS *AR3, *AR2-, B ; 396
+ MAS *AR3, *AR2+, A, A ; 397
+ MAS *AR3, *AR2+, A, B ; 398
+ MAS *AR3, *AR2+, A ; 399
+ MAS *AR3, *AR2+, B, A ; 400
+ MAS *AR3, *AR2+, B, B ; 401
+ MAS *AR3, *AR2+, B ; 402
+ MAS *AR3, *AR2+0%, A, A ; 403
+ MAS *AR3, *AR2+0%, A, B ; 404
+ MAS *AR3, *AR2+0%, A ; 405
+ MAS *AR3, *AR2+0%, B, A ; 406
+ MAS *AR3, *AR2+0%, B, B ; 407
+ MAS *AR3, *AR2+0%, B ; 408
+ MAS *AR3, *AR3, A, A ; 409
+ MAS *AR3, *AR3, A, B ; 410
+ MAS *AR3, *AR3, A ; 411
+ MAS *AR3, *AR3, B, A ; 412
+ MAS *AR3, *AR3, B, B ; 413
+ MAS *AR3, *AR3, B ; 414
+ MAS *AR3, *AR3-, A, A ; 415
+ MAS *AR3, *AR3-, A, B ; 416
+ MAS *AR3, *AR3-, A ; 417
+ MAS *AR3, *AR3-, B, A ; 418
+ MAS *AR3, *AR3-, B, B ; 419
+ MAS *AR3, *AR3-, B ; 420
+ MAS *AR3, *AR3+, A, A ; 421
+ MAS *AR3, *AR3+, A, B ; 422
+ MAS *AR3, *AR3+, A ; 423
+ MAS *AR3, *AR3+, B, A ; 424
+ MAS *AR3, *AR3+, B, B ; 425
+ MAS *AR3, *AR3+, B ; 426
+ MAS *AR3, *AR3+0%, A, A ; 427
+ MAS *AR3, *AR3+0%, A, B ; 428
+ MAS *AR3, *AR3+0%, A ; 429
+ MAS *AR3, *AR3+0%, B, A ; 430
+ MAS *AR3, *AR3+0%, B, B ; 431
+ MAS *AR3, *AR3+0%, B ; 432
+ MAS *AR3, *AR4, A, A ; 433
+ MAS *AR3, *AR4, A, B ; 434
+ MAS *AR3, *AR4, A ; 435
+ MAS *AR3, *AR4, B, A ; 436
+ MAS *AR3, *AR4, B, B ; 437
+ MAS *AR3, *AR4, B ; 438
+ MAS *AR3, *AR4-, A, A ; 439
+ MAS *AR3, *AR4-, A, B ; 440
+ MAS *AR3, *AR4-, A ; 441
+ MAS *AR3, *AR4-, B, A ; 442
+ MAS *AR3, *AR4-, B, B ; 443
+ MAS *AR3, *AR4-, B ; 444
+ MAS *AR3, *AR4+, A, A ; 445
+ MAS *AR3, *AR4+, A, B ; 446
+ MAS *AR3, *AR4+, A ; 447
+ MAS *AR3, *AR4+, B, A ; 448
+ MAS *AR3, *AR4+, B, B ; 449
+ MAS *AR3, *AR4+, B ; 450
+ MAS *AR3, *AR4+0%, A, A ; 451
+ MAS *AR3, *AR4+0%, A, B ; 452
+ MAS *AR3, *AR4+0%, A ; 453
+ MAS *AR3, *AR4+0%, B, A ; 454
+ MAS *AR3, *AR4+0%, B, B ; 455
+ MAS *AR3, *AR4+0%, B ; 456
+ MAS *AR3, *AR5, A, A ; 457
+ MAS *AR3, *AR5, A, B ; 458
+ MAS *AR3, *AR5, A ; 459
+ MAS *AR3, *AR5, B, A ; 460
+ MAS *AR3, *AR5, B, B ; 461
+ MAS *AR3, *AR5, B ; 462
+ MAS *AR3, *AR5-, A, A ; 463
+ MAS *AR3, *AR5-, A, B ; 464
+ MAS *AR3, *AR5-, A ; 465
+ MAS *AR3, *AR5-, B, A ; 466
+ MAS *AR3, *AR5-, B, B ; 467
+ MAS *AR3, *AR5-, B ; 468
+ MAS *AR3, *AR5+, A, A ; 469
+ MAS *AR3, *AR5+, A, B ; 470
+ MAS *AR3, *AR5+, A ; 471
+ MAS *AR3, *AR5+, B, A ; 472
+ MAS *AR3, *AR5+, B, B ; 473
+ MAS *AR3, *AR5+, B ; 474
+ MAS *AR3, *AR5+0%, A, A ; 475
+ MAS *AR3, *AR5+0%, A, B ; 476
+ MAS *AR3, *AR5+0%, A ; 477
+ MAS *AR3, *AR5+0%, B, A ; 478
+ MAS *AR3, *AR5+0%, B, B ; 479
+ MAS *AR3, *AR5+0%, B ; 480
+ MAS *AR3-, *AR2, A, A ; 481
+ MAS *AR3-, *AR2, A, B ; 482
+ MAS *AR3-, *AR2, A ; 483
+ MAS *AR3-, *AR2, B, A ; 484
+ MAS *AR3-, *AR2, B, B ; 485
+ MAS *AR3-, *AR2, B ; 486
+ MAS *AR3-, *AR2-, A, A ; 487
+ MAS *AR3-, *AR2-, A, B ; 488
+ MAS *AR3-, *AR2-, A ; 489
+ MAS *AR3-, *AR2-, B, A ; 490
+ MAS *AR3-, *AR2-, B, B ; 491
+ MAS *AR3-, *AR2-, B ; 492
+ MAS *AR3-, *AR2+, A, A ; 493
+ MAS *AR3-, *AR2+, A, B ; 494
+ MAS *AR3-, *AR2+, A ; 495
+ MAS *AR3-, *AR2+, B, A ; 496
+ MAS *AR3-, *AR2+, B, B ; 497
+ MAS *AR3-, *AR2+, B ; 498
+ MAS *AR3-, *AR2+0%, A, A ; 499
+ MAS *AR3-, *AR2+0%, A, B ; 500
+ MAS *AR3-, *AR2+0%, A ; 501
+ MAS *AR3-, *AR2+0%, B, A ; 502
+ MAS *AR3-, *AR2+0%, B, B ; 503
+ MAS *AR3-, *AR2+0%, B ; 504
+ MAS *AR3-, *AR3, A, A ; 505
+ MAS *AR3-, *AR3, A, B ; 506
+ MAS *AR3-, *AR3, A ; 507
+ MAS *AR3-, *AR3, B, A ; 508
+ MAS *AR3-, *AR3, B, B ; 509
+ MAS *AR3-, *AR3, B ; 510
+ MAS *AR3-, *AR3-, A, A ; 511
+ MAS *AR3-, *AR3-, A, B ; 512
+ MAS *AR3-, *AR3-, A ; 513
+ MAS *AR3-, *AR3-, B, A ; 514
+ MAS *AR3-, *AR3-, B, B ; 515
+ MAS *AR3-, *AR3-, B ; 516
+ MAS *AR3-, *AR3+, A, A ; 517
+ MAS *AR3-, *AR3+, A, B ; 518
+ MAS *AR3-, *AR3+, A ; 519
+ MAS *AR3-, *AR3+, B, A ; 520
+ MAS *AR3-, *AR3+, B, B ; 521
+ MAS *AR3-, *AR3+, B ; 522
+ MAS *AR3-, *AR3+0%, A, A ; 523
+ MAS *AR3-, *AR3+0%, A, B ; 524
+ MAS *AR3-, *AR3+0%, A ; 525
+ MAS *AR3-, *AR3+0%, B, A ; 526
+ MAS *AR3-, *AR3+0%, B, B ; 527
+ MAS *AR3-, *AR3+0%, B ; 528
+ MAS *AR3-, *AR4, A, A ; 529
+ MAS *AR3-, *AR4, A, B ; 530
+ MAS *AR3-, *AR4, A ; 531
+ MAS *AR3-, *AR4, B, A ; 532
+ MAS *AR3-, *AR4, B, B ; 533
+ MAS *AR3-, *AR4, B ; 534
+ MAS *AR3-, *AR4-, A, A ; 535
+ MAS *AR3-, *AR4-, A, B ; 536
+ MAS *AR3-, *AR4-, A ; 537
+ MAS *AR3-, *AR4-, B, A ; 538
+ MAS *AR3-, *AR4-, B, B ; 539
+ MAS *AR3-, *AR4-, B ; 540
+ MAS *AR3-, *AR4+, A, A ; 541
+ MAS *AR3-, *AR4+, A, B ; 542
+ MAS *AR3-, *AR4+, A ; 543
+ MAS *AR3-, *AR4+, B, A ; 544
+ MAS *AR3-, *AR4+, B, B ; 545
+ MAS *AR3-, *AR4+, B ; 546
+ MAS *AR3-, *AR4+0%, A, A ; 547
+ MAS *AR3-, *AR4+0%, A, B ; 548
+ MAS *AR3-, *AR4+0%, A ; 549
+ MAS *AR3-, *AR4+0%, B, A ; 550
+ MAS *AR3-, *AR4+0%, B, B ; 551
+ MAS *AR3-, *AR4+0%, B ; 552
+ MAS *AR3-, *AR5, A, A ; 553
+ MAS *AR3-, *AR5, A, B ; 554
+ MAS *AR3-, *AR5, A ; 555
+ MAS *AR3-, *AR5, B, A ; 556
+ MAS *AR3-, *AR5, B, B ; 557
+ MAS *AR3-, *AR5, B ; 558
+ MAS *AR3-, *AR5-, A, A ; 559
+ MAS *AR3-, *AR5-, A, B ; 560
+ MAS *AR3-, *AR5-, A ; 561
+ MAS *AR3-, *AR5-, B, A ; 562
+ MAS *AR3-, *AR5-, B, B ; 563
+ MAS *AR3-, *AR5-, B ; 564
+ MAS *AR3-, *AR5+, A, A ; 565
+ MAS *AR3-, *AR5+, A, B ; 566
+ MAS *AR3-, *AR5+, A ; 567
+ MAS *AR3-, *AR5+, B, A ; 568
+ MAS *AR3-, *AR5+, B, B ; 569
+ MAS *AR3-, *AR5+, B ; 570
+ MAS *AR3-, *AR5+0%, A, A ; 571
+ MAS *AR3-, *AR5+0%, A, B ; 572
+ MAS *AR3-, *AR5+0%, A ; 573
+ MAS *AR3-, *AR5+0%, B, A ; 574
+ MAS *AR3-, *AR5+0%, B, B ; 575
+ MAS *AR3-, *AR5+0%, B ; 576
+ MAS *AR3+, *AR2, A, A ; 577
+ MAS *AR3+, *AR2, A, B ; 578
+ MAS *AR3+, *AR2, A ; 579
+ MAS *AR3+, *AR2, B, A ; 580
+ MAS *AR3+, *AR2, B, B ; 581
+ MAS *AR3+, *AR2, B ; 582
+ MAS *AR3+, *AR2-, A, A ; 583
+ MAS *AR3+, *AR2-, A, B ; 584
+ MAS *AR3+, *AR2-, A ; 585
+ MAS *AR3+, *AR2-, B, A ; 586
+ MAS *AR3+, *AR2-, B, B ; 587
+ MAS *AR3+, *AR2-, B ; 588
+ MAS *AR3+, *AR2+, A, A ; 589
+ MAS *AR3+, *AR2+, A, B ; 590
+ MAS *AR3+, *AR2+, A ; 591
+ MAS *AR3+, *AR2+, B, A ; 592
+ MAS *AR3+, *AR2+, B, B ; 593
+ MAS *AR3+, *AR2+, B ; 594
+ MAS *AR3+, *AR2+0%, A, A ; 595
+ MAS *AR3+, *AR2+0%, A, B ; 596
+ MAS *AR3+, *AR2+0%, A ; 597
+ MAS *AR3+, *AR2+0%, B, A ; 598
+ MAS *AR3+, *AR2+0%, B, B ; 599
+ MAS *AR3+, *AR2+0%, B ; 600
+ MAS *AR3+, *AR3, A, A ; 601
+ MAS *AR3+, *AR3, A, B ; 602
+ MAS *AR3+, *AR3, A ; 603
+ MAS *AR3+, *AR3, B, A ; 604
+ MAS *AR3+, *AR3, B, B ; 605
+ MAS *AR3+, *AR3, B ; 606
+ MAS *AR3+, *AR3-, A, A ; 607
+ MAS *AR3+, *AR3-, A, B ; 608
+ MAS *AR3+, *AR3-, A ; 609
+ MAS *AR3+, *AR3-, B, A ; 610
+ MAS *AR3+, *AR3-, B, B ; 611
+ MAS *AR3+, *AR3-, B ; 612
+ MAS *AR3+, *AR3+, A, A ; 613
+ MAS *AR3+, *AR3+, A, B ; 614
+ MAS *AR3+, *AR3+, A ; 615
+ MAS *AR3+, *AR3+, B, A ; 616
+ MAS *AR3+, *AR3+, B, B ; 617
+ MAS *AR3+, *AR3+, B ; 618
+ MAS *AR3+, *AR3+0%, A, A ; 619
+ MAS *AR3+, *AR3+0%, A, B ; 620
+ MAS *AR3+, *AR3+0%, A ; 621
+ MAS *AR3+, *AR3+0%, B, A ; 622
+ MAS *AR3+, *AR3+0%, B, B ; 623
+ MAS *AR3+, *AR3+0%, B ; 624
+ MAS *AR3+, *AR4, A, A ; 625
+ MAS *AR3+, *AR4, A, B ; 626
+ MAS *AR3+, *AR4, A ; 627
+ MAS *AR3+, *AR4, B, A ; 628
+ MAS *AR3+, *AR4, B, B ; 629
+ MAS *AR3+, *AR4, B ; 630
+ MAS *AR3+, *AR4-, A, A ; 631
+ MAS *AR3+, *AR4-, A, B ; 632
+ MAS *AR3+, *AR4-, A ; 633
+ MAS *AR3+, *AR4-, B, A ; 634
+ MAS *AR3+, *AR4-, B, B ; 635
+ MAS *AR3+, *AR4-, B ; 636
+ MAS *AR3+, *AR4+, A, A ; 637
+ MAS *AR3+, *AR4+, A, B ; 638
+ MAS *AR3+, *AR4+, A ; 639
+ MAS *AR3+, *AR4+, B, A ; 640
+ MAS *AR3+, *AR4+, B, B ; 641
+ MAS *AR3+, *AR4+, B ; 642
+ MAS *AR3+, *AR4+0%, A, A ; 643
+ MAS *AR3+, *AR4+0%, A, B ; 644
+ MAS *AR3+, *AR4+0%, A ; 645
+ MAS *AR3+, *AR4+0%, B, A ; 646
+ MAS *AR3+, *AR4+0%, B, B ; 647
+ MAS *AR3+, *AR4+0%, B ; 648
+ MAS *AR3+, *AR5, A, A ; 649
+ MAS *AR3+, *AR5, A, B ; 650
+ MAS *AR3+, *AR5, A ; 651
+ MAS *AR3+, *AR5, B, A ; 652
+ MAS *AR3+, *AR5, B, B ; 653
+ MAS *AR3+, *AR5, B ; 654
+ MAS *AR3+, *AR5-, A, A ; 655
+ MAS *AR3+, *AR5-, A, B ; 656
+ MAS *AR3+, *AR5-, A ; 657
+ MAS *AR3+, *AR5-, B, A ; 658
+ MAS *AR3+, *AR5-, B, B ; 659
+ MAS *AR3+, *AR5-, B ; 660
+ MAS *AR3+, *AR5+, A, A ; 661
+ MAS *AR3+, *AR5+, A, B ; 662
+ MAS *AR3+, *AR5+, A ; 663
+ MAS *AR3+, *AR5+, B, A ; 664
+ MAS *AR3+, *AR5+, B, B ; 665
+ MAS *AR3+, *AR5+, B ; 666
+ MAS *AR3+, *AR5+0%, A, A ; 667
+ MAS *AR3+, *AR5+0%, A, B ; 668
+ MAS *AR3+, *AR5+0%, A ; 669
+ MAS *AR3+, *AR5+0%, B, A ; 670
+ MAS *AR3+, *AR5+0%, B, B ; 671
+ MAS *AR3+, *AR5+0%, B ; 672
+ MAS *AR3+0%, *AR2, A, A ; 673
+ MAS *AR3+0%, *AR2, A, B ; 674
+ MAS *AR3+0%, *AR2, A ; 675
+ MAS *AR3+0%, *AR2, B, A ; 676
+ MAS *AR3+0%, *AR2, B, B ; 677
+ MAS *AR3+0%, *AR2, B ; 678
+ MAS *AR3+0%, *AR2-, A, A ; 679
+ MAS *AR3+0%, *AR2-, A, B ; 680
+ MAS *AR3+0%, *AR2-, A ; 681
+ MAS *AR3+0%, *AR2-, B, A ; 682
+ MAS *AR3+0%, *AR2-, B, B ; 683
+ MAS *AR3+0%, *AR2-, B ; 684
+ MAS *AR3+0%, *AR2+, A, A ; 685
+ MAS *AR3+0%, *AR2+, A, B ; 686
+ MAS *AR3+0%, *AR2+, A ; 687
+ MAS *AR3+0%, *AR2+, B, A ; 688
+ MAS *AR3+0%, *AR2+, B, B ; 689
+ MAS *AR3+0%, *AR2+, B ; 690
+ MAS *AR3+0%, *AR2+0%, A, A ; 691
+ MAS *AR3+0%, *AR2+0%, A, B ; 692
+ MAS *AR3+0%, *AR2+0%, A ; 693
+ MAS *AR3+0%, *AR2+0%, B, A ; 694
+ MAS *AR3+0%, *AR2+0%, B, B ; 695
+ MAS *AR3+0%, *AR2+0%, B ; 696
+ MAS *AR3+0%, *AR3, A, A ; 697
+ MAS *AR3+0%, *AR3, A, B ; 698
+ MAS *AR3+0%, *AR3, A ; 699
+ MAS *AR3+0%, *AR3, B, A ; 700
+ MAS *AR3+0%, *AR3, B, B ; 701
+ MAS *AR3+0%, *AR3, B ; 702
+ MAS *AR3+0%, *AR3-, A, A ; 703
+ MAS *AR3+0%, *AR3-, A, B ; 704
+ MAS *AR3+0%, *AR3-, A ; 705
+ MAS *AR3+0%, *AR3-, B, A ; 706
+ MAS *AR3+0%, *AR3-, B, B ; 707
+ MAS *AR3+0%, *AR3-, B ; 708
+ MAS *AR3+0%, *AR3+, A, A ; 709
+ MAS *AR3+0%, *AR3+, A, B ; 710
+ MAS *AR3+0%, *AR3+, A ; 711
+ MAS *AR3+0%, *AR3+, B, A ; 712
+ MAS *AR3+0%, *AR3+, B, B ; 713
+ MAS *AR3+0%, *AR3+, B ; 714
+ MAS *AR3+0%, *AR3+0%, A, A ; 715
+ MAS *AR3+0%, *AR3+0%, A, B ; 716
+ MAS *AR3+0%, *AR3+0%, A ; 717
+ MAS *AR3+0%, *AR3+0%, B, A ; 718
+ MAS *AR3+0%, *AR3+0%, B, B ; 719
+ MAS *AR3+0%, *AR3+0%, B ; 720
+ MAS *AR3+0%, *AR4, A, A ; 721
+ MAS *AR3+0%, *AR4, A, B ; 722
+ MAS *AR3+0%, *AR4, A ; 723
+ MAS *AR3+0%, *AR4, B, A ; 724
+ MAS *AR3+0%, *AR4, B, B ; 725
+ MAS *AR3+0%, *AR4, B ; 726
+ MAS *AR3+0%, *AR4-, A, A ; 727
+ MAS *AR3+0%, *AR4-, A, B ; 728
+ MAS *AR3+0%, *AR4-, A ; 729
+ MAS *AR3+0%, *AR4-, B, A ; 730
+ MAS *AR3+0%, *AR4-, B, B ; 731
+ MAS *AR3+0%, *AR4-, B ; 732
+ MAS *AR3+0%, *AR4+, A, A ; 733
+ MAS *AR3+0%, *AR4+, A, B ; 734
+ MAS *AR3+0%, *AR4+, A ; 735
+ MAS *AR3+0%, *AR4+, B, A ; 736
+ MAS *AR3+0%, *AR4+, B, B ; 737
+ MAS *AR3+0%, *AR4+, B ; 738
+ MAS *AR3+0%, *AR4+0%, A, A ; 739
+ MAS *AR3+0%, *AR4+0%, A, B ; 740
+ MAS *AR3+0%, *AR4+0%, A ; 741
+ MAS *AR3+0%, *AR4+0%, B, A ; 742
+ MAS *AR3+0%, *AR4+0%, B, B ; 743
+ MAS *AR3+0%, *AR4+0%, B ; 744
+ MAS *AR3+0%, *AR5, A, A ; 745
+ MAS *AR3+0%, *AR5, A, B ; 746
+ MAS *AR3+0%, *AR5, A ; 747
+ MAS *AR3+0%, *AR5, B, A ; 748
+ MAS *AR3+0%, *AR5, B, B ; 749
+ MAS *AR3+0%, *AR5, B ; 750
+ MAS *AR3+0%, *AR5-, A, A ; 751
+ MAS *AR3+0%, *AR5-, A, B ; 752
+ MAS *AR3+0%, *AR5-, A ; 753
+ MAS *AR3+0%, *AR5-, B, A ; 754
+ MAS *AR3+0%, *AR5-, B, B ; 755
+ MAS *AR3+0%, *AR5-, B ; 756
+ MAS *AR3+0%, *AR5+, A, A ; 757
+ MAS *AR3+0%, *AR5+, A, B ; 758
+ MAS *AR3+0%, *AR5+, A ; 759
+ MAS *AR3+0%, *AR5+, B, A ; 760
+ MAS *AR3+0%, *AR5+, B, B ; 761
+ MAS *AR3+0%, *AR5+, B ; 762
+ MAS *AR3+0%, *AR5+0%, A, A ; 763
+ MAS *AR3+0%, *AR5+0%, A, B ; 764
+ MAS *AR3+0%, *AR5+0%, A ; 765
+ MAS *AR3+0%, *AR5+0%, B, A ; 766
+ MAS *AR3+0%, *AR5+0%, B, B ; 767
+ MAS *AR3+0%, *AR5+0%, B ; 768
+ MAS *AR4, *AR2, A, A ; 769
+ MAS *AR4, *AR2, A, B ; 770
+ MAS *AR4, *AR2, A ; 771
+ MAS *AR4, *AR2, B, A ; 772
+ MAS *AR4, *AR2, B, B ; 773
+ MAS *AR4, *AR2, B ; 774
+ MAS *AR4, *AR2-, A, A ; 775
+ MAS *AR4, *AR2-, A, B ; 776
+ MAS *AR4, *AR2-, A ; 777
+ MAS *AR4, *AR2-, B, A ; 778
+ MAS *AR4, *AR2-, B, B ; 779
+ MAS *AR4, *AR2-, B ; 780
+ MAS *AR4, *AR2+, A, A ; 781
+ MAS *AR4, *AR2+, A, B ; 782
+ MAS *AR4, *AR2+, A ; 783
+ MAS *AR4, *AR2+, B, A ; 784
+ MAS *AR4, *AR2+, B, B ; 785
+ MAS *AR4, *AR2+, B ; 786
+ MAS *AR4, *AR2+0%, A, A ; 787
+ MAS *AR4, *AR2+0%, A, B ; 788
+ MAS *AR4, *AR2+0%, A ; 789
+ MAS *AR4, *AR2+0%, B, A ; 790
+ MAS *AR4, *AR2+0%, B, B ; 791
+ MAS *AR4, *AR2+0%, B ; 792
+ MAS *AR4, *AR3, A, A ; 793
+ MAS *AR4, *AR3, A, B ; 794
+ MAS *AR4, *AR3, A ; 795
+ MAS *AR4, *AR3, B, A ; 796
+ MAS *AR4, *AR3, B, B ; 797
+ MAS *AR4, *AR3, B ; 798
+ MAS *AR4, *AR3-, A, A ; 799
+ MAS *AR4, *AR3-, A, B ; 800
+ MAS *AR4, *AR3-, A ; 801
+ MAS *AR4, *AR3-, B, A ; 802
+ MAS *AR4, *AR3-, B, B ; 803
+ MAS *AR4, *AR3-, B ; 804
+ MAS *AR4, *AR3+, A, A ; 805
+ MAS *AR4, *AR3+, A, B ; 806
+ MAS *AR4, *AR3+, A ; 807
+ MAS *AR4, *AR3+, B, A ; 808
+ MAS *AR4, *AR3+, B, B ; 809
+ MAS *AR4, *AR3+, B ; 810
+ MAS *AR4, *AR3+0%, A, A ; 811
+ MAS *AR4, *AR3+0%, A, B ; 812
+ MAS *AR4, *AR3+0%, A ; 813
+ MAS *AR4, *AR3+0%, B, A ; 814
+ MAS *AR4, *AR3+0%, B, B ; 815
+ MAS *AR4, *AR3+0%, B ; 816
+ MAS *AR4, *AR4, A, A ; 817
+ MAS *AR4, *AR4, A, B ; 818
+ MAS *AR4, *AR4, A ; 819
+ MAS *AR4, *AR4, B, A ; 820
+ MAS *AR4, *AR4, B, B ; 821
+ MAS *AR4, *AR4, B ; 822
+ MAS *AR4, *AR4-, A, A ; 823
+ MAS *AR4, *AR4-, A, B ; 824
+ MAS *AR4, *AR4-, A ; 825
+ MAS *AR4, *AR4-, B, A ; 826
+ MAS *AR4, *AR4-, B, B ; 827
+ MAS *AR4, *AR4-, B ; 828
+ MAS *AR4, *AR4+, A, A ; 829
+ MAS *AR4, *AR4+, A, B ; 830
+ MAS *AR4, *AR4+, A ; 831
+ MAS *AR4, *AR4+, B, A ; 832
+ MAS *AR4, *AR4+, B, B ; 833
+ MAS *AR4, *AR4+, B ; 834
+ MAS *AR4, *AR4+0%, A, A ; 835
+ MAS *AR4, *AR4+0%, A, B ; 836
+ MAS *AR4, *AR4+0%, A ; 837
+ MAS *AR4, *AR4+0%, B, A ; 838
+ MAS *AR4, *AR4+0%, B, B ; 839
+ MAS *AR4, *AR4+0%, B ; 840
+ MAS *AR4, *AR5, A, A ; 841
+ MAS *AR4, *AR5, A, B ; 842
+ MAS *AR4, *AR5, A ; 843
+ MAS *AR4, *AR5, B, A ; 844
+ MAS *AR4, *AR5, B, B ; 845
+ MAS *AR4, *AR5, B ; 846
+ MAS *AR4, *AR5-, A, A ; 847
+ MAS *AR4, *AR5-, A, B ; 848
+ MAS *AR4, *AR5-, A ; 849
+ MAS *AR4, *AR5-, B, A ; 850
+ MAS *AR4, *AR5-, B, B ; 851
+ MAS *AR4, *AR5-, B ; 852
+ MAS *AR4, *AR5+, A, A ; 853
+ MAS *AR4, *AR5+, A, B ; 854
+ MAS *AR4, *AR5+, A ; 855
+ MAS *AR4, *AR5+, B, A ; 856
+ MAS *AR4, *AR5+, B, B ; 857
+ MAS *AR4, *AR5+, B ; 858
+ MAS *AR4, *AR5+0%, A, A ; 859
+ MAS *AR4, *AR5+0%, A, B ; 860
+ MAS *AR4, *AR5+0%, A ; 861
+ MAS *AR4, *AR5+0%, B, A ; 862
+ MAS *AR4, *AR5+0%, B, B ; 863
+ MAS *AR4, *AR5+0%, B ; 864
+ MAS *AR4-, *AR2, A, A ; 865
+ MAS *AR4-, *AR2, A, B ; 866
+ MAS *AR4-, *AR2, A ; 867
+ MAS *AR4-, *AR2, B, A ; 868
+ MAS *AR4-, *AR2, B, B ; 869
+ MAS *AR4-, *AR2, B ; 870
+ MAS *AR4-, *AR2-, A, A ; 871
+ MAS *AR4-, *AR2-, A, B ; 872
+ MAS *AR4-, *AR2-, A ; 873
+ MAS *AR4-, *AR2-, B, A ; 874
+ MAS *AR4-, *AR2-, B, B ; 875
+ MAS *AR4-, *AR2-, B ; 876
+ MAS *AR4-, *AR2+, A, A ; 877
+ MAS *AR4-, *AR2+, A, B ; 878
+ MAS *AR4-, *AR2+, A ; 879
+ MAS *AR4-, *AR2+, B, A ; 880
+ MAS *AR4-, *AR2+, B, B ; 881
+ MAS *AR4-, *AR2+, B ; 882
+ MAS *AR4-, *AR2+0%, A, A ; 883
+ MAS *AR4-, *AR2+0%, A, B ; 884
+ MAS *AR4-, *AR2+0%, A ; 885
+ MAS *AR4-, *AR2+0%, B, A ; 886
+ MAS *AR4-, *AR2+0%, B, B ; 887
+ MAS *AR4-, *AR2+0%, B ; 888
+ MAS *AR4-, *AR3, A, A ; 889
+ MAS *AR4-, *AR3, A, B ; 890
+ MAS *AR4-, *AR3, A ; 891
+ MAS *AR4-, *AR3, B, A ; 892
+ MAS *AR4-, *AR3, B, B ; 893
+ MAS *AR4-, *AR3, B ; 894
+ MAS *AR4-, *AR3-, A, A ; 895
+ MAS *AR4-, *AR3-, A, B ; 896
+ MAS *AR4-, *AR3-, A ; 897
+ MAS *AR4-, *AR3-, B, A ; 898
+ MAS *AR4-, *AR3-, B, B ; 899
+ MAS *AR4-, *AR3-, B ; 900
+ MAS *AR4-, *AR3+, A, A ; 901
+ MAS *AR4-, *AR3+, A, B ; 902
+ MAS *AR4-, *AR3+, A ; 903
+ MAS *AR4-, *AR3+, B, A ; 904
+ MAS *AR4-, *AR3+, B, B ; 905
+ MAS *AR4-, *AR3+, B ; 906
+ MAS *AR4-, *AR3+0%, A, A ; 907
+ MAS *AR4-, *AR3+0%, A, B ; 908
+ MAS *AR4-, *AR3+0%, A ; 909
+ MAS *AR4-, *AR3+0%, B, A ; 910
+ MAS *AR4-, *AR3+0%, B, B ; 911
+ MAS *AR4-, *AR3+0%, B ; 912
+ MAS *AR4-, *AR4, A, A ; 913
+ MAS *AR4-, *AR4, A, B ; 914
+ MAS *AR4-, *AR4, A ; 915
+ MAS *AR4-, *AR4, B, A ; 916
+ MAS *AR4-, *AR4, B, B ; 917
+ MAS *AR4-, *AR4, B ; 918
+ MAS *AR4-, *AR4-, A, A ; 919
+ MAS *AR4-, *AR4-, A, B ; 920
+ MAS *AR4-, *AR4-, A ; 921
+ MAS *AR4-, *AR4-, B, A ; 922
+ MAS *AR4-, *AR4-, B, B ; 923
+ MAS *AR4-, *AR4-, B ; 924
+ MAS *AR4-, *AR4+, A, A ; 925
+ MAS *AR4-, *AR4+, A, B ; 926
+ MAS *AR4-, *AR4+, A ; 927
+ MAS *AR4-, *AR4+, B, A ; 928
+ MAS *AR4-, *AR4+, B, B ; 929
+ MAS *AR4-, *AR4+, B ; 930
+ MAS *AR4-, *AR4+0%, A, A ; 931
+ MAS *AR4-, *AR4+0%, A, B ; 932
+ MAS *AR4-, *AR4+0%, A ; 933
+ MAS *AR4-, *AR4+0%, B, A ; 934
+ MAS *AR4-, *AR4+0%, B, B ; 935
+ MAS *AR4-, *AR4+0%, B ; 936
+ MAS *AR4-, *AR5, A, A ; 937
+ MAS *AR4-, *AR5, A, B ; 938
+ MAS *AR4-, *AR5, A ; 939
+ MAS *AR4-, *AR5, B, A ; 940
+ MAS *AR4-, *AR5, B, B ; 941
+ MAS *AR4-, *AR5, B ; 942
+ MAS *AR4-, *AR5-, A, A ; 943
+ MAS *AR4-, *AR5-, A, B ; 944
+ MAS *AR4-, *AR5-, A ; 945
+ MAS *AR4-, *AR5-, B, A ; 946
+ MAS *AR4-, *AR5-, B, B ; 947
+ MAS *AR4-, *AR5-, B ; 948
+ MAS *AR4-, *AR5+, A, A ; 949
+ MAS *AR4-, *AR5+, A, B ; 950
+ MAS *AR4-, *AR5+, A ; 951
+ MAS *AR4-, *AR5+, B, A ; 952
+ MAS *AR4-, *AR5+, B, B ; 953
+ MAS *AR4-, *AR5+, B ; 954
+ MAS *AR4-, *AR5+0%, A, A ; 955
+ MAS *AR4-, *AR5+0%, A, B ; 956
+ MAS *AR4-, *AR5+0%, A ; 957
+ MAS *AR4-, *AR5+0%, B, A ; 958
+ MAS *AR4-, *AR5+0%, B, B ; 959
+ MAS *AR4-, *AR5+0%, B ; 960
+ MAS *AR4+, *AR2, A, A ; 961
+ MAS *AR4+, *AR2, A, B ; 962
+ MAS *AR4+, *AR2, A ; 963
+ MAS *AR4+, *AR2, B, A ; 964
+ MAS *AR4+, *AR2, B, B ; 965
+ MAS *AR4+, *AR2, B ; 966
+ MAS *AR4+, *AR2-, A, A ; 967
+ MAS *AR4+, *AR2-, A, B ; 968
+ MAS *AR4+, *AR2-, A ; 969
+ MAS *AR4+, *AR2-, B, A ; 970
+ MAS *AR4+, *AR2-, B, B ; 971
+ MAS *AR4+, *AR2-, B ; 972
+ MAS *AR4+, *AR2+, A, A ; 973
+ MAS *AR4+, *AR2+, A, B ; 974
+ MAS *AR4+, *AR2+, A ; 975
+ MAS *AR4+, *AR2+, B, A ; 976
+ MAS *AR4+, *AR2+, B, B ; 977
+ MAS *AR4+, *AR2+, B ; 978
+ MAS *AR4+, *AR2+0%, A, A ; 979
+ MAS *AR4+, *AR2+0%, A, B ; 980
+ MAS *AR4+, *AR2+0%, A ; 981
+ MAS *AR4+, *AR2+0%, B, A ; 982
+ MAS *AR4+, *AR2+0%, B, B ; 983
+ MAS *AR4+, *AR2+0%, B ; 984
+ MAS *AR4+, *AR3, A, A ; 985
+ MAS *AR4+, *AR3, A, B ; 986
+ MAS *AR4+, *AR3, A ; 987
+ MAS *AR4+, *AR3, B, A ; 988
+ MAS *AR4+, *AR3, B, B ; 989
+ MAS *AR4+, *AR3, B ; 990
+ MAS *AR4+, *AR3-, A, A ; 991
+ MAS *AR4+, *AR3-, A, B ; 992
+ MAS *AR4+, *AR3-, A ; 993
+ MAS *AR4+, *AR3-, B, A ; 994
+ MAS *AR4+, *AR3-, B, B ; 995
+ MAS *AR4+, *AR3-, B ; 996
+ MAS *AR4+, *AR3+, A, A ; 997
+ MAS *AR4+, *AR3+, A, B ; 998
+ MAS *AR4+, *AR3+, A ; 999
+ MAS *AR4+, *AR3+, B, A ; 1000
+ MAS *AR4+, *AR3+, B, B ; 1001
+ MAS *AR4+, *AR3+, B ; 1002
+ MAS *AR4+, *AR3+0%, A, A ; 1003
+ MAS *AR4+, *AR3+0%, A, B ; 1004
+ MAS *AR4+, *AR3+0%, A ; 1005
+ MAS *AR4+, *AR3+0%, B, A ; 1006
+ MAS *AR4+, *AR3+0%, B, B ; 1007
+ MAS *AR4+, *AR3+0%, B ; 1008
+ MAS *AR4+, *AR4, A, A ; 1009
+ MAS *AR4+, *AR4, A, B ; 1010
+ MAS *AR4+, *AR4, A ; 1011
+ MAS *AR4+, *AR4, B, A ; 1012
+ MAS *AR4+, *AR4, B, B ; 1013
+ MAS *AR4+, *AR4, B ; 1014
+ MAS *AR4+, *AR4-, A, A ; 1015
+ MAS *AR4+, *AR4-, A, B ; 1016
+ MAS *AR4+, *AR4-, A ; 1017
+ MAS *AR4+, *AR4-, B, A ; 1018
+ MAS *AR4+, *AR4-, B, B ; 1019
+ MAS *AR4+, *AR4-, B ; 1020
+ MAS *AR4+, *AR4+, A, A ; 1021
+ MAS *AR4+, *AR4+, A, B ; 1022
+ MAS *AR4+, *AR4+, A ; 1023
+ MAS *AR4+, *AR4+, B, A ; 1024
+ MAS *AR4+, *AR4+, B, B ; 1025
+ MAS *AR4+, *AR4+, B ; 1026
+ MAS *AR4+, *AR4+0%, A, A ; 1027
+ MAS *AR4+, *AR4+0%, A, B ; 1028
+ MAS *AR4+, *AR4+0%, A ; 1029
+ MAS *AR4+, *AR4+0%, B, A ; 1030
+ MAS *AR4+, *AR4+0%, B, B ; 1031
+ MAS *AR4+, *AR4+0%, B ; 1032
+ MAS *AR4+, *AR5, A, A ; 1033
+ MAS *AR4+, *AR5, A, B ; 1034
+ MAS *AR4+, *AR5, A ; 1035
+ MAS *AR4+, *AR5, B, A ; 1036
+ MAS *AR4+, *AR5, B, B ; 1037
+ MAS *AR4+, *AR5, B ; 1038
+ MAS *AR4+, *AR5-, A, A ; 1039
+ MAS *AR4+, *AR5-, A, B ; 1040
+ MAS *AR4+, *AR5-, A ; 1041
+ MAS *AR4+, *AR5-, B, A ; 1042
+ MAS *AR4+, *AR5-, B, B ; 1043
+ MAS *AR4+, *AR5-, B ; 1044
+ MAS *AR4+, *AR5+, A, A ; 1045
+ MAS *AR4+, *AR5+, A, B ; 1046
+ MAS *AR4+, *AR5+, A ; 1047
+ MAS *AR4+, *AR5+, B, A ; 1048
+ MAS *AR4+, *AR5+, B, B ; 1049
+ MAS *AR4+, *AR5+, B ; 1050
+ MAS *AR4+, *AR5+0%, A, A ; 1051
+ MAS *AR4+, *AR5+0%, A, B ; 1052
+ MAS *AR4+, *AR5+0%, A ; 1053
+ MAS *AR4+, *AR5+0%, B, A ; 1054
+ MAS *AR4+, *AR5+0%, B, B ; 1055
+ MAS *AR4+, *AR5+0%, B ; 1056
+ MAS *AR4+0%, *AR2, A, A ; 1057
+ MAS *AR4+0%, *AR2, A, B ; 1058
+ MAS *AR4+0%, *AR2, A ; 1059
+ MAS *AR4+0%, *AR2, B, A ; 1060
+ MAS *AR4+0%, *AR2, B, B ; 1061
+ MAS *AR4+0%, *AR2, B ; 1062
+ MAS *AR4+0%, *AR2-, A, A ; 1063
+ MAS *AR4+0%, *AR2-, A, B ; 1064
+ MAS *AR4+0%, *AR2-, A ; 1065
+ MAS *AR4+0%, *AR2-, B, A ; 1066
+ MAS *AR4+0%, *AR2-, B, B ; 1067
+ MAS *AR4+0%, *AR2-, B ; 1068
+ MAS *AR4+0%, *AR2+, A, A ; 1069
+ MAS *AR4+0%, *AR2+, A, B ; 1070
+ MAS *AR4+0%, *AR2+, A ; 1071
+ MAS *AR4+0%, *AR2+, B, A ; 1072
+ MAS *AR4+0%, *AR2+, B, B ; 1073
+ MAS *AR4+0%, *AR2+, B ; 1074
+ MAS *AR4+0%, *AR2+0%, A, A ; 1075
+ MAS *AR4+0%, *AR2+0%, A, B ; 1076
+ MAS *AR4+0%, *AR2+0%, A ; 1077
+ MAS *AR4+0%, *AR2+0%, B, A ; 1078
+ MAS *AR4+0%, *AR2+0%, B, B ; 1079
+ MAS *AR4+0%, *AR2+0%, B ; 1080
+ MAS *AR4+0%, *AR3, A, A ; 1081
+ MAS *AR4+0%, *AR3, A, B ; 1082
+ MAS *AR4+0%, *AR3, A ; 1083
+ MAS *AR4+0%, *AR3, B, A ; 1084
+ MAS *AR4+0%, *AR3, B, B ; 1085
+ MAS *AR4+0%, *AR3, B ; 1086
+ MAS *AR4+0%, *AR3-, A, A ; 1087
+ MAS *AR4+0%, *AR3-, A, B ; 1088
+ MAS *AR4+0%, *AR3-, A ; 1089
+ MAS *AR4+0%, *AR3-, B, A ; 1090
+ MAS *AR4+0%, *AR3-, B, B ; 1091
+ MAS *AR4+0%, *AR3-, B ; 1092
+ MAS *AR4+0%, *AR3+, A, A ; 1093
+ MAS *AR4+0%, *AR3+, A, B ; 1094
+ MAS *AR4+0%, *AR3+, A ; 1095
+ MAS *AR4+0%, *AR3+, B, A ; 1096
+ MAS *AR4+0%, *AR3+, B, B ; 1097
+ MAS *AR4+0%, *AR3+, B ; 1098
+ MAS *AR4+0%, *AR3+0%, A, A ; 1099
+ MAS *AR4+0%, *AR3+0%, A, B ; 1100
+ MAS *AR4+0%, *AR3+0%, A ; 1101
+ MAS *AR4+0%, *AR3+0%, B, A ; 1102
+ MAS *AR4+0%, *AR3+0%, B, B ; 1103
+ MAS *AR4+0%, *AR3+0%, B ; 1104
+ MAS *AR4+0%, *AR4, A, A ; 1105
+ MAS *AR4+0%, *AR4, A, B ; 1106
+ MAS *AR4+0%, *AR4, A ; 1107
+ MAS *AR4+0%, *AR4, B, A ; 1108
+ MAS *AR4+0%, *AR4, B, B ; 1109
+ MAS *AR4+0%, *AR4, B ; 1110
+ MAS *AR4+0%, *AR4-, A, A ; 1111
+ MAS *AR4+0%, *AR4-, A, B ; 1112
+ MAS *AR4+0%, *AR4-, A ; 1113
+ MAS *AR4+0%, *AR4-, B, A ; 1114
+ MAS *AR4+0%, *AR4-, B, B ; 1115
+ MAS *AR4+0%, *AR4-, B ; 1116
+ MAS *AR4+0%, *AR4+, A, A ; 1117
+ MAS *AR4+0%, *AR4+, A, B ; 1118
+ MAS *AR4+0%, *AR4+, A ; 1119
+ MAS *AR4+0%, *AR4+, B, A ; 1120
+ MAS *AR4+0%, *AR4+, B, B ; 1121
+ MAS *AR4+0%, *AR4+, B ; 1122
+ MAS *AR4+0%, *AR4+0%, A, A ; 1123
+ MAS *AR4+0%, *AR4+0%, A, B ; 1124
+ MAS *AR4+0%, *AR4+0%, A ; 1125
+ MAS *AR4+0%, *AR4+0%, B, A ; 1126
+ MAS *AR4+0%, *AR4+0%, B, B ; 1127
+ MAS *AR4+0%, *AR4+0%, B ; 1128
+ MAS *AR4+0%, *AR5, A, A ; 1129
+ MAS *AR4+0%, *AR5, A, B ; 1130
+ MAS *AR4+0%, *AR5, A ; 1131
+ MAS *AR4+0%, *AR5, B, A ; 1132
+ MAS *AR4+0%, *AR5, B, B ; 1133
+ MAS *AR4+0%, *AR5, B ; 1134
+ MAS *AR4+0%, *AR5-, A, A ; 1135
+ MAS *AR4+0%, *AR5-, A, B ; 1136
+ MAS *AR4+0%, *AR5-, A ; 1137
+ MAS *AR4+0%, *AR5-, B, A ; 1138
+ MAS *AR4+0%, *AR5-, B, B ; 1139
+ MAS *AR4+0%, *AR5-, B ; 1140
+ MAS *AR4+0%, *AR5+, A, A ; 1141
+ MAS *AR4+0%, *AR5+, A, B ; 1142
+ MAS *AR4+0%, *AR5+, A ; 1143
+ MAS *AR4+0%, *AR5+, B, A ; 1144
+ MAS *AR4+0%, *AR5+, B, B ; 1145
+ MAS *AR4+0%, *AR5+, B ; 1146
+ MAS *AR4+0%, *AR5+0%, A, A ; 1147
+ MAS *AR4+0%, *AR5+0%, A, B ; 1148
+ MAS *AR4+0%, *AR5+0%, A ; 1149
+ MAS *AR4+0%, *AR5+0%, B, A ; 1150
+ MAS *AR4+0%, *AR5+0%, B, B ; 1151
+ MAS *AR4+0%, *AR5+0%, B ; 1152
+ MAS *AR5, *AR2, A, A ; 1153
+ MAS *AR5, *AR2, A, B ; 1154
+ MAS *AR5, *AR2, A ; 1155
+ MAS *AR5, *AR2, B, A ; 1156
+ MAS *AR5, *AR2, B, B ; 1157
+ MAS *AR5, *AR2, B ; 1158
+ MAS *AR5, *AR2-, A, A ; 1159
+ MAS *AR5, *AR2-, A, B ; 1160
+ MAS *AR5, *AR2-, A ; 1161
+ MAS *AR5, *AR2-, B, A ; 1162
+ MAS *AR5, *AR2-, B, B ; 1163
+ MAS *AR5, *AR2-, B ; 1164
+ MAS *AR5, *AR2+, A, A ; 1165
+ MAS *AR5, *AR2+, A, B ; 1166
+ MAS *AR5, *AR2+, A ; 1167
+ MAS *AR5, *AR2+, B, A ; 1168
+ MAS *AR5, *AR2+, B, B ; 1169
+ MAS *AR5, *AR2+, B ; 1170
+ MAS *AR5, *AR2+0%, A, A ; 1171
+ MAS *AR5, *AR2+0%, A, B ; 1172
+ MAS *AR5, *AR2+0%, A ; 1173
+ MAS *AR5, *AR2+0%, B, A ; 1174
+ MAS *AR5, *AR2+0%, B, B ; 1175
+ MAS *AR5, *AR2+0%, B ; 1176
+ MAS *AR5, *AR3, A, A ; 1177
+ MAS *AR5, *AR3, A, B ; 1178
+ MAS *AR5, *AR3, A ; 1179
+ MAS *AR5, *AR3, B, A ; 1180
+ MAS *AR5, *AR3, B, B ; 1181
+ MAS *AR5, *AR3, B ; 1182
+ MAS *AR5, *AR3-, A, A ; 1183
+ MAS *AR5, *AR3-, A, B ; 1184
+ MAS *AR5, *AR3-, A ; 1185
+ MAS *AR5, *AR3-, B, A ; 1186
+ MAS *AR5, *AR3-, B, B ; 1187
+ MAS *AR5, *AR3-, B ; 1188
+ MAS *AR5, *AR3+, A, A ; 1189
+ MAS *AR5, *AR3+, A, B ; 1190
+ MAS *AR5, *AR3+, A ; 1191
+ MAS *AR5, *AR3+, B, A ; 1192
+ MAS *AR5, *AR3+, B, B ; 1193
+ MAS *AR5, *AR3+, B ; 1194
+ MAS *AR5, *AR3+0%, A, A ; 1195
+ MAS *AR5, *AR3+0%, A, B ; 1196
+ MAS *AR5, *AR3+0%, A ; 1197
+ MAS *AR5, *AR3+0%, B, A ; 1198
+ MAS *AR5, *AR3+0%, B, B ; 1199
+ MAS *AR5, *AR3+0%, B ; 1200
+ MAS *AR5, *AR4, A, A ; 1201
+ MAS *AR5, *AR4, A, B ; 1202
+ MAS *AR5, *AR4, A ; 1203
+ MAS *AR5, *AR4, B, A ; 1204
+ MAS *AR5, *AR4, B, B ; 1205
+ MAS *AR5, *AR4, B ; 1206
+ MAS *AR5, *AR4-, A, A ; 1207
+ MAS *AR5, *AR4-, A, B ; 1208
+ MAS *AR5, *AR4-, A ; 1209
+ MAS *AR5, *AR4-, B, A ; 1210
+ MAS *AR5, *AR4-, B, B ; 1211
+ MAS *AR5, *AR4-, B ; 1212
+ MAS *AR5, *AR4+, A, A ; 1213
+ MAS *AR5, *AR4+, A, B ; 1214
+ MAS *AR5, *AR4+, A ; 1215
+ MAS *AR5, *AR4+, B, A ; 1216
+ MAS *AR5, *AR4+, B, B ; 1217
+ MAS *AR5, *AR4+, B ; 1218
+ MAS *AR5, *AR4+0%, A, A ; 1219
+ MAS *AR5, *AR4+0%, A, B ; 1220
+ MAS *AR5, *AR4+0%, A ; 1221
+ MAS *AR5, *AR4+0%, B, A ; 1222
+ MAS *AR5, *AR4+0%, B, B ; 1223
+ MAS *AR5, *AR4+0%, B ; 1224
+ MAS *AR5, *AR5, A, A ; 1225
+ MAS *AR5, *AR5, A, B ; 1226
+ MAS *AR5, *AR5, A ; 1227
+ MAS *AR5, *AR5, B, A ; 1228
+ MAS *AR5, *AR5, B, B ; 1229
+ MAS *AR5, *AR5, B ; 1230
+ MAS *AR5, *AR5-, A, A ; 1231
+ MAS *AR5, *AR5-, A, B ; 1232
+ MAS *AR5, *AR5-, A ; 1233
+ MAS *AR5, *AR5-, B, A ; 1234
+ MAS *AR5, *AR5-, B, B ; 1235
+ MAS *AR5, *AR5-, B ; 1236
+ MAS *AR5, *AR5+, A, A ; 1237
+ MAS *AR5, *AR5+, A, B ; 1238
+ MAS *AR5, *AR5+, A ; 1239
+ MAS *AR5, *AR5+, B, A ; 1240
+ MAS *AR5, *AR5+, B, B ; 1241
+ MAS *AR5, *AR5+, B ; 1242
+ MAS *AR5, *AR5+0%, A, A ; 1243
+ MAS *AR5, *AR5+0%, A, B ; 1244
+ MAS *AR5, *AR5+0%, A ; 1245
+ MAS *AR5, *AR5+0%, B, A ; 1246
+ MAS *AR5, *AR5+0%, B, B ; 1247
+ MAS *AR5, *AR5+0%, B ; 1248
+ MAS *AR5-, *AR2, A, A ; 1249
+ MAS *AR5-, *AR2, A, B ; 1250
+ MAS *AR5-, *AR2, A ; 1251
+ MAS *AR5-, *AR2, B, A ; 1252
+ MAS *AR5-, *AR2, B, B ; 1253
+ MAS *AR5-, *AR2, B ; 1254
+ MAS *AR5-, *AR2-, A, A ; 1255
+ MAS *AR5-, *AR2-, A, B ; 1256
+ MAS *AR5-, *AR2-, A ; 1257
+ MAS *AR5-, *AR2-, B, A ; 1258
+ MAS *AR5-, *AR2-, B, B ; 1259
+ MAS *AR5-, *AR2-, B ; 1260
+ MAS *AR5-, *AR2+, A, A ; 1261
+ MAS *AR5-, *AR2+, A, B ; 1262
+ MAS *AR5-, *AR2+, A ; 1263
+ MAS *AR5-, *AR2+, B, A ; 1264
+ MAS *AR5-, *AR2+, B, B ; 1265
+ MAS *AR5-, *AR2+, B ; 1266
+ MAS *AR5-, *AR2+0%, A, A ; 1267
+ MAS *AR5-, *AR2+0%, A, B ; 1268
+ MAS *AR5-, *AR2+0%, A ; 1269
+ MAS *AR5-, *AR2+0%, B, A ; 1270
+ MAS *AR5-, *AR2+0%, B, B ; 1271
+ MAS *AR5-, *AR2+0%, B ; 1272
+ MAS *AR5-, *AR3, A, A ; 1273
+ MAS *AR5-, *AR3, A, B ; 1274
+ MAS *AR5-, *AR3, A ; 1275
+ MAS *AR5-, *AR3, B, A ; 1276
+ MAS *AR5-, *AR3, B, B ; 1277
+ MAS *AR5-, *AR3, B ; 1278
+ MAS *AR5-, *AR3-, A, A ; 1279
+ MAS *AR5-, *AR3-, A, B ; 1280
+ MAS *AR5-, *AR3-, A ; 1281
+ MAS *AR5-, *AR3-, B, A ; 1282
+ MAS *AR5-, *AR3-, B, B ; 1283
+ MAS *AR5-, *AR3-, B ; 1284
+ MAS *AR5-, *AR3+, A, A ; 1285
+ MAS *AR5-, *AR3+, A, B ; 1286
+ MAS *AR5-, *AR3+, A ; 1287
+ MAS *AR5-, *AR3+, B, A ; 1288
+ MAS *AR5-, *AR3+, B, B ; 1289
+ MAS *AR5-, *AR3+, B ; 1290
+ MAS *AR5-, *AR3+0%, A, A ; 1291
+ MAS *AR5-, *AR3+0%, A, B ; 1292
+ MAS *AR5-, *AR3+0%, A ; 1293
+ MAS *AR5-, *AR3+0%, B, A ; 1294
+ MAS *AR5-, *AR3+0%, B, B ; 1295
+ MAS *AR5-, *AR3+0%, B ; 1296
+ MAS *AR5-, *AR4, A, A ; 1297
+ MAS *AR5-, *AR4, A, B ; 1298
+ MAS *AR5-, *AR4, A ; 1299
+ MAS *AR5-, *AR4, B, A ; 1300
+ MAS *AR5-, *AR4, B, B ; 1301
+ MAS *AR5-, *AR4, B ; 1302
+ MAS *AR5-, *AR4-, A, A ; 1303
+ MAS *AR5-, *AR4-, A, B ; 1304
+ MAS *AR5-, *AR4-, A ; 1305
+ MAS *AR5-, *AR4-, B, A ; 1306
+ MAS *AR5-, *AR4-, B, B ; 1307
+ MAS *AR5-, *AR4-, B ; 1308
+ MAS *AR5-, *AR4+, A, A ; 1309
+ MAS *AR5-, *AR4+, A, B ; 1310
+ MAS *AR5-, *AR4+, A ; 1311
+ MAS *AR5-, *AR4+, B, A ; 1312
+ MAS *AR5-, *AR4+, B, B ; 1313
+ MAS *AR5-, *AR4+, B ; 1314
+ MAS *AR5-, *AR4+0%, A, A ; 1315
+ MAS *AR5-, *AR4+0%, A, B ; 1316
+ MAS *AR5-, *AR4+0%, A ; 1317
+ MAS *AR5-, *AR4+0%, B, A ; 1318
+ MAS *AR5-, *AR4+0%, B, B ; 1319
+ MAS *AR5-, *AR4+0%, B ; 1320
+ MAS *AR5-, *AR5, A, A ; 1321
+ MAS *AR5-, *AR5, A, B ; 1322
+ MAS *AR5-, *AR5, A ; 1323
+ MAS *AR5-, *AR5, B, A ; 1324
+ MAS *AR5-, *AR5, B, B ; 1325
+ MAS *AR5-, *AR5, B ; 1326
+ MAS *AR5-, *AR5-, A, A ; 1327
+ MAS *AR5-, *AR5-, A, B ; 1328
+ MAS *AR5-, *AR5-, A ; 1329
+ MAS *AR5-, *AR5-, B, A ; 1330
+ MAS *AR5-, *AR5-, B, B ; 1331
+ MAS *AR5-, *AR5-, B ; 1332
+ MAS *AR5-, *AR5+, A, A ; 1333
+ MAS *AR5-, *AR5+, A, B ; 1334
+ MAS *AR5-, *AR5+, A ; 1335
+ MAS *AR5-, *AR5+, B, A ; 1336
+ MAS *AR5-, *AR5+, B, B ; 1337
+ MAS *AR5-, *AR5+, B ; 1338
+ MAS *AR5-, *AR5+0%, A, A ; 1339
+ MAS *AR5-, *AR5+0%, A, B ; 1340
+ MAS *AR5-, *AR5+0%, A ; 1341
+ MAS *AR5-, *AR5+0%, B, A ; 1342
+ MAS *AR5-, *AR5+0%, B, B ; 1343
+ MAS *AR5-, *AR5+0%, B ; 1344
+ MAS *AR5+, *AR2, A, A ; 1345
+ MAS *AR5+, *AR2, A, B ; 1346
+ MAS *AR5+, *AR2, A ; 1347
+ MAS *AR5+, *AR2, B, A ; 1348
+ MAS *AR5+, *AR2, B, B ; 1349
+ MAS *AR5+, *AR2, B ; 1350
+ MAS *AR5+, *AR2-, A, A ; 1351
+ MAS *AR5+, *AR2-, A, B ; 1352
+ MAS *AR5+, *AR2-, A ; 1353
+ MAS *AR5+, *AR2-, B, A ; 1354
+ MAS *AR5+, *AR2-, B, B ; 1355
+ MAS *AR5+, *AR2-, B ; 1356
+ MAS *AR5+, *AR2+, A, A ; 1357
+ MAS *AR5+, *AR2+, A, B ; 1358
+ MAS *AR5+, *AR2+, A ; 1359
+ MAS *AR5+, *AR2+, B, A ; 1360
+ MAS *AR5+, *AR2+, B, B ; 1361
+ MAS *AR5+, *AR2+, B ; 1362
+ MAS *AR5+, *AR2+0%, A, A ; 1363
+ MAS *AR5+, *AR2+0%, A, B ; 1364
+ MAS *AR5+, *AR2+0%, A ; 1365
+ MAS *AR5+, *AR2+0%, B, A ; 1366
+ MAS *AR5+, *AR2+0%, B, B ; 1367
+ MAS *AR5+, *AR2+0%, B ; 1368
+ MAS *AR5+, *AR3, A, A ; 1369
+ MAS *AR5+, *AR3, A, B ; 1370
+ MAS *AR5+, *AR3, A ; 1371
+ MAS *AR5+, *AR3, B, A ; 1372
+ MAS *AR5+, *AR3, B, B ; 1373
+ MAS *AR5+, *AR3, B ; 1374
+ MAS *AR5+, *AR3-, A, A ; 1375
+ MAS *AR5+, *AR3-, A, B ; 1376
+ MAS *AR5+, *AR3-, A ; 1377
+ MAS *AR5+, *AR3-, B, A ; 1378
+ MAS *AR5+, *AR3-, B, B ; 1379
+ MAS *AR5+, *AR3-, B ; 1380
+ MAS *AR5+, *AR3+, A, A ; 1381
+ MAS *AR5+, *AR3+, A, B ; 1382
+ MAS *AR5+, *AR3+, A ; 1383
+ MAS *AR5+, *AR3+, B, A ; 1384
+ MAS *AR5+, *AR3+, B, B ; 1385
+ MAS *AR5+, *AR3+, B ; 1386
+ MAS *AR5+, *AR3+0%, A, A ; 1387
+ MAS *AR5+, *AR3+0%, A, B ; 1388
+ MAS *AR5+, *AR3+0%, A ; 1389
+ MAS *AR5+, *AR3+0%, B, A ; 1390
+ MAS *AR5+, *AR3+0%, B, B ; 1391
+ MAS *AR5+, *AR3+0%, B ; 1392
+ MAS *AR5+, *AR4, A, A ; 1393
+ MAS *AR5+, *AR4, A, B ; 1394
+ MAS *AR5+, *AR4, A ; 1395
+ MAS *AR5+, *AR4, B, A ; 1396
+ MAS *AR5+, *AR4, B, B ; 1397
+ MAS *AR5+, *AR4, B ; 1398
+ MAS *AR5+, *AR4-, A, A ; 1399
+ MAS *AR5+, *AR4-, A, B ; 1400
+ MAS *AR5+, *AR4-, A ; 1401
+ MAS *AR5+, *AR4-, B, A ; 1402
+ MAS *AR5+, *AR4-, B, B ; 1403
+ MAS *AR5+, *AR4-, B ; 1404
+ MAS *AR5+, *AR4+, A, A ; 1405
+ MAS *AR5+, *AR4+, A, B ; 1406
+ MAS *AR5+, *AR4+, A ; 1407
+ MAS *AR5+, *AR4+, B, A ; 1408
+ MAS *AR5+, *AR4+, B, B ; 1409
+ MAS *AR5+, *AR4+, B ; 1410
+ MAS *AR5+, *AR4+0%, A, A ; 1411
+ MAS *AR5+, *AR4+0%, A, B ; 1412
+ MAS *AR5+, *AR4+0%, A ; 1413
+ MAS *AR5+, *AR4+0%, B, A ; 1414
+ MAS *AR5+, *AR4+0%, B, B ; 1415
+ MAS *AR5+, *AR4+0%, B ; 1416
+ MAS *AR5+, *AR5, A, A ; 1417
+ MAS *AR5+, *AR5, A, B ; 1418
+ MAS *AR5+, *AR5, A ; 1419
+ MAS *AR5+, *AR5, B, A ; 1420
+ MAS *AR5+, *AR5, B, B ; 1421
+ MAS *AR5+, *AR5, B ; 1422
+ MAS *AR5+, *AR5-, A, A ; 1423
+ MAS *AR5+, *AR5-, A, B ; 1424
+ MAS *AR5+, *AR5-, A ; 1425
+ MAS *AR5+, *AR5-, B, A ; 1426
+ MAS *AR5+, *AR5-, B, B ; 1427
+ MAS *AR5+, *AR5-, B ; 1428
+ MAS *AR5+, *AR5+, A, A ; 1429
+ MAS *AR5+, *AR5+, A, B ; 1430
+ MAS *AR5+, *AR5+, A ; 1431
+ MAS *AR5+, *AR5+, B, A ; 1432
+ MAS *AR5+, *AR5+, B, B ; 1433
+ MAS *AR5+, *AR5+, B ; 1434
+ MAS *AR5+, *AR5+0%, A, A ; 1435
+ MAS *AR5+, *AR5+0%, A, B ; 1436
+ MAS *AR5+, *AR5+0%, A ; 1437
+ MAS *AR5+, *AR5+0%, B, A ; 1438
+ MAS *AR5+, *AR5+0%, B, B ; 1439
+ MAS *AR5+, *AR5+0%, B ; 1440
+ MAS *AR5+0%, *AR2, A, A ; 1441
+ MAS *AR5+0%, *AR2, A, B ; 1442
+ MAS *AR5+0%, *AR2, A ; 1443
+ MAS *AR5+0%, *AR2, B, A ; 1444
+ MAS *AR5+0%, *AR2, B, B ; 1445
+ MAS *AR5+0%, *AR2, B ; 1446
+ MAS *AR5+0%, *AR2-, A, A ; 1447
+ MAS *AR5+0%, *AR2-, A, B ; 1448
+ MAS *AR5+0%, *AR2-, A ; 1449
+ MAS *AR5+0%, *AR2-, B, A ; 1450
+ MAS *AR5+0%, *AR2-, B, B ; 1451
+ MAS *AR5+0%, *AR2-, B ; 1452
+ MAS *AR5+0%, *AR2+, A, A ; 1453
+ MAS *AR5+0%, *AR2+, A, B ; 1454
+ MAS *AR5+0%, *AR2+, A ; 1455
+ MAS *AR5+0%, *AR2+, B, A ; 1456
+ MAS *AR5+0%, *AR2+, B, B ; 1457
+ MAS *AR5+0%, *AR2+, B ; 1458
+ MAS *AR5+0%, *AR2+0%, A, A ; 1459
+ MAS *AR5+0%, *AR2+0%, A, B ; 1460
+ MAS *AR5+0%, *AR2+0%, A ; 1461
+ MAS *AR5+0%, *AR2+0%, B, A ; 1462
+ MAS *AR5+0%, *AR2+0%, B, B ; 1463
+ MAS *AR5+0%, *AR2+0%, B ; 1464
+ MAS *AR5+0%, *AR3, A, A ; 1465
+ MAS *AR5+0%, *AR3, A, B ; 1466
+ MAS *AR5+0%, *AR3, A ; 1467
+ MAS *AR5+0%, *AR3, B, A ; 1468
+ MAS *AR5+0%, *AR3, B, B ; 1469
+ MAS *AR5+0%, *AR3, B ; 1470
+ MAS *AR5+0%, *AR3-, A, A ; 1471
+ MAS *AR5+0%, *AR3-, A, B ; 1472
+ MAS *AR5+0%, *AR3-, A ; 1473
+ MAS *AR5+0%, *AR3-, B, A ; 1474
+ MAS *AR5+0%, *AR3-, B, B ; 1475
+ MAS *AR5+0%, *AR3-, B ; 1476
+ MAS *AR5+0%, *AR3+, A, A ; 1477
+ MAS *AR5+0%, *AR3+, A, B ; 1478
+ MAS *AR5+0%, *AR3+, A ; 1479
+ MAS *AR5+0%, *AR3+, B, A ; 1480
+ MAS *AR5+0%, *AR3+, B, B ; 1481
+ MAS *AR5+0%, *AR3+, B ; 1482
+ MAS *AR5+0%, *AR3+0%, A, A ; 1483
+ MAS *AR5+0%, *AR3+0%, A, B ; 1484
+ MAS *AR5+0%, *AR3+0%, A ; 1485
+ MAS *AR5+0%, *AR3+0%, B, A ; 1486
+ MAS *AR5+0%, *AR3+0%, B, B ; 1487
+ MAS *AR5+0%, *AR3+0%, B ; 1488
+ MAS *AR5+0%, *AR4, A, A ; 1489
+ MAS *AR5+0%, *AR4, A, B ; 1490
+ MAS *AR5+0%, *AR4, A ; 1491
+ MAS *AR5+0%, *AR4, B, A ; 1492
+ MAS *AR5+0%, *AR4, B, B ; 1493
+ MAS *AR5+0%, *AR4, B ; 1494
+ MAS *AR5+0%, *AR4-, A, A ; 1495
+ MAS *AR5+0%, *AR4-, A, B ; 1496
+ MAS *AR5+0%, *AR4-, A ; 1497
+ MAS *AR5+0%, *AR4-, B, A ; 1498
+ MAS *AR5+0%, *AR4-, B, B ; 1499
+ MAS *AR5+0%, *AR4-, B ; 1500
+ MAS *AR5+0%, *AR4+, A, A ; 1501
+ MAS *AR5+0%, *AR4+, A, B ; 1502
+ MAS *AR5+0%, *AR4+, A ; 1503
+ MAS *AR5+0%, *AR4+, B, A ; 1504
+ MAS *AR5+0%, *AR4+, B, B ; 1505
+ MAS *AR5+0%, *AR4+, B ; 1506
+ MAS *AR5+0%, *AR4+0%, A, A ; 1507
+ MAS *AR5+0%, *AR4+0%, A, B ; 1508
+ MAS *AR5+0%, *AR4+0%, A ; 1509
+ MAS *AR5+0%, *AR4+0%, B, A ; 1510
+ MAS *AR5+0%, *AR4+0%, B, B ; 1511
+ MAS *AR5+0%, *AR4+0%, B ; 1512
+ MAS *AR5+0%, *AR5, A, A ; 1513
+ MAS *AR5+0%, *AR5, A, B ; 1514
+ MAS *AR5+0%, *AR5, A ; 1515
+ MAS *AR5+0%, *AR5, B, A ; 1516
+ MAS *AR5+0%, *AR5, B, B ; 1517
+ MAS *AR5+0%, *AR5, B ; 1518
+ MAS *AR5+0%, *AR5-, A, A ; 1519
+ MAS *AR5+0%, *AR5-, A, B ; 1520
+ MAS *AR5+0%, *AR5-, A ; 1521
+ MAS *AR5+0%, *AR5-, B, A ; 1522
+ MAS *AR5+0%, *AR5-, B, B ; 1523
+ MAS *AR5+0%, *AR5-, B ; 1524
+ MAS *AR5+0%, *AR5+, A, A ; 1525
+ MAS *AR5+0%, *AR5+, A, B ; 1526
+ MAS *AR5+0%, *AR5+, A ; 1527
+ MAS *AR5+0%, *AR5+, B, A ; 1528
+ MAS *AR5+0%, *AR5+, B, B ; 1529
+ MAS *AR5+0%, *AR5+, B ; 1530
+ MAS *AR5+0%, *AR5+0%, A, A ; 1531
+ MAS *AR5+0%, *AR5+0%, A, B ; 1532
+ MAS *AR5+0%, *AR5+0%, A ; 1533
+ MAS *AR5+0%, *AR5+0%, B, A ; 1534
+ MAS *AR5+0%, *AR5+0%, B, B ; 1535
+ MAS *AR5+0%, *AR5+0%, B ; 1536
+ ; MAX : MAX AB : 2
+ MAX A ; 1
+ MAX B ; 2
+ ; MIN : MIN AB : 2
+ MIN A ; 1
+ MIN B ; 2
+ ; MPY : MPY Smem, AB : 2
+ MPY 5Dh, A ; 1
+ MPY 5Eh, B ; 2
+ ; MPYAd : MPYA AB : 2
+ MPYA A ; 1
+ MPYA B ; 2
+ ; MPYAsmem : MPYA Smem : 1
+ MPYA 5Fh ; 1
+ ; MPYAsmem_I : MPYA Smem_I : 120
+ MPYA *AR0 ; 1
+ MPYA *AR0- ; 2
+ MPYA *AR0+ ; 3
+ MPYA *AR0-0B ; 4
+ MPYA *AR0-0 ; 5
+ MPYA *AR0+0 ; 6
+ MPYA *AR0+0B ; 7
+ MPYA *AR0-% ; 8
+ MPYA *AR0-0% ; 9
+ MPYA *AR0+% ; 10
+ MPYA *AR0+0% ; 11
+ MPYA *AR0(0315Ch) ; 12
+ MPYA *+AR0(0315Dh) ; 13
+ MPYA *+AR0(0315Eh)% ; 14
+ MPYA *(0315Fh) ; 15
+ MPYA *AR1 ; 16
+ MPYA *AR1- ; 17
+ MPYA *AR1+ ; 18
+ MPYA *AR1-0B ; 19
+ MPYA *AR1-0 ; 20
+ MPYA *AR1+0 ; 21
+ MPYA *AR1+0B ; 22
+ MPYA *AR1-% ; 23
+ MPYA *AR1-0% ; 24
+ MPYA *AR1+% ; 25
+ MPYA *AR1+0% ; 26
+ MPYA *AR1(03160h) ; 27
+ MPYA *+AR1(03161h) ; 28
+ MPYA *+AR1(03162h)% ; 29
+ MPYA *(03163h) ; 30
+ MPYA *AR2 ; 31
+ MPYA *AR2- ; 32
+ MPYA *AR2+ ; 33
+ MPYA *AR2-0B ; 34
+ MPYA *AR2-0 ; 35
+ MPYA *AR2+0 ; 36
+ MPYA *AR2+0B ; 37
+ MPYA *AR2-% ; 38
+ MPYA *AR2-0% ; 39
+ MPYA *AR2+% ; 40
+ MPYA *AR2+0% ; 41
+ MPYA *AR2(03164h) ; 42
+ MPYA *+AR2(03165h) ; 43
+ MPYA *+AR2(03166h)% ; 44
+ MPYA *(03167h) ; 45
+ MPYA *AR3 ; 46
+ MPYA *AR3- ; 47
+ MPYA *AR3+ ; 48
+ MPYA *AR3-0B ; 49
+ MPYA *AR3-0 ; 50
+ MPYA *AR3+0 ; 51
+ MPYA *AR3+0B ; 52
+ MPYA *AR3-% ; 53
+ MPYA *AR3-0% ; 54
+ MPYA *AR3+% ; 55
+ MPYA *AR3+0% ; 56
+ MPYA *AR3(03168h) ; 57
+ MPYA *+AR3(03169h) ; 58
+ MPYA *+AR3(0316Ah)% ; 59
+ MPYA *(0316Bh) ; 60
+ MPYA *AR4 ; 61
+ MPYA *AR4- ; 62
+ MPYA *AR4+ ; 63
+ MPYA *AR4-0B ; 64
+ MPYA *AR4-0 ; 65
+ MPYA *AR4+0 ; 66
+ MPYA *AR4+0B ; 67
+ MPYA *AR4-% ; 68
+ MPYA *AR4-0% ; 69
+ MPYA *AR4+% ; 70
+ MPYA *AR4+0% ; 71
+ MPYA *AR4(0316Ch) ; 72
+ MPYA *+AR4(0316Dh) ; 73
+ MPYA *+AR4(0316Eh)% ; 74
+ MPYA *(0316Fh) ; 75
+ MPYA *AR5 ; 76
+ MPYA *AR5- ; 77
+ MPYA *AR5+ ; 78
+ MPYA *AR5-0B ; 79
+ MPYA *AR5-0 ; 80
+ MPYA *AR5+0 ; 81
+ MPYA *AR5+0B ; 82
+ MPYA *AR5-% ; 83
+ MPYA *AR5-0% ; 84
+ MPYA *AR5+% ; 85
+ MPYA *AR5+0% ; 86
+ MPYA *AR5(03170h) ; 87
+ MPYA *+AR5(03171h) ; 88
+ MPYA *+AR5(03172h)% ; 89
+ MPYA *(03173h) ; 90
+ MPYA *AR6 ; 91
+ MPYA *AR6- ; 92
+ MPYA *AR6+ ; 93
+ MPYA *AR6-0B ; 94
+ MPYA *AR6-0 ; 95
+ MPYA *AR6+0 ; 96
+ MPYA *AR6+0B ; 97
+ MPYA *AR6-% ; 98
+ MPYA *AR6-0% ; 99
+ MPYA *AR6+% ; 100
+ MPYA *AR6+0% ; 101
+ MPYA *AR6(03174h) ; 102
+ MPYA *+AR6(03175h) ; 103
+ MPYA *+AR6(03176h)% ; 104
+ MPYA *(03177h) ; 105
+ MPYA *AR7 ; 106
+ MPYA *AR7- ; 107
+ MPYA *AR7+ ; 108
+ MPYA *AR7-0B ; 109
+ MPYA *AR7-0 ; 110
+ MPYA *AR7+0 ; 111
+ MPYA *AR7+0B ; 112
+ MPYA *AR7-% ; 113
+ MPYA *AR7-0% ; 114
+ MPYA *AR7+% ; 115
+ MPYA *AR7+0% ; 116
+ MPYA *AR7(03178h) ; 117
+ MPYA *+AR7(03179h) ; 118
+ MPYA *+AR7(0317Ah)% ; 119
+ MPYA *(0317Bh) ; 120
+ ; MPYR : MPYR Smem, AB : 2
+ MPYR 60h, A ; 1
+ MPYR 61h, B ; 2
+ ; MPYR_I : MPYR Smem_I, AB : 240
+ MPYR *AR0, A ; 1
+ MPYR *AR0, B ; 2
+ MPYR *AR0-, A ; 3
+ MPYR *AR0-, B ; 4
+ MPYR *AR0+, A ; 5
+ MPYR *AR0+, B ; 6
+ MPYR *AR0-0B, A ; 7
+ MPYR *AR0-0B, B ; 8
+ MPYR *AR0-0, A ; 9
+ MPYR *AR0-0, B ; 10
+ MPYR *AR0+0, A ; 11
+ MPYR *AR0+0, B ; 12
+ MPYR *AR0+0B, A ; 13
+ MPYR *AR0+0B, B ; 14
+ MPYR *AR0-%, A ; 15
+ MPYR *AR0-%, B ; 16
+ MPYR *AR0-0%, A ; 17
+ MPYR *AR0-0%, B ; 18
+ MPYR *AR0+%, A ; 19
+ MPYR *AR0+%, B ; 20
+ MPYR *AR0+0%, A ; 21
+ MPYR *AR0+0%, B ; 22
+ MPYR *AR0(0317Ch), A ; 23
+ MPYR *AR0(0317Dh), B ; 24
+ MPYR *+AR0(0317Eh), A ; 25
+ MPYR *+AR0(0317Fh), B ; 26
+ MPYR *+AR0(03180h)%, A ; 27
+ MPYR *+AR0(03181h)%, B ; 28
+ MPYR *(03182h), A ; 29
+ MPYR *(03183h), B ; 30
+ MPYR *AR1, A ; 31
+ MPYR *AR1, B ; 32
+ MPYR *AR1-, A ; 33
+ MPYR *AR1-, B ; 34
+ MPYR *AR1+, A ; 35
+ MPYR *AR1+, B ; 36
+ MPYR *AR1-0B, A ; 37
+ MPYR *AR1-0B, B ; 38
+ MPYR *AR1-0, A ; 39
+ MPYR *AR1-0, B ; 40
+ MPYR *AR1+0, A ; 41
+ MPYR *AR1+0, B ; 42
+ MPYR *AR1+0B, A ; 43
+ MPYR *AR1+0B, B ; 44
+ MPYR *AR1-%, A ; 45
+ MPYR *AR1-%, B ; 46
+ MPYR *AR1-0%, A ; 47
+ MPYR *AR1-0%, B ; 48
+ MPYR *AR1+%, A ; 49
+ MPYR *AR1+%, B ; 50
+ MPYR *AR1+0%, A ; 51
+ MPYR *AR1+0%, B ; 52
+ MPYR *AR1(03184h), A ; 53
+ MPYR *AR1(03185h), B ; 54
+ MPYR *+AR1(03186h), A ; 55
+ MPYR *+AR1(03187h), B ; 56
+ MPYR *+AR1(03188h)%, A ; 57
+ MPYR *+AR1(03189h)%, B ; 58
+ MPYR *(0318Ah), A ; 59
+ MPYR *(0318Bh), B ; 60
+ MPYR *AR2, A ; 61
+ MPYR *AR2, B ; 62
+ MPYR *AR2-, A ; 63
+ MPYR *AR2-, B ; 64
+ MPYR *AR2+, A ; 65
+ MPYR *AR2+, B ; 66
+ MPYR *AR2-0B, A ; 67
+ MPYR *AR2-0B, B ; 68
+ MPYR *AR2-0, A ; 69
+ MPYR *AR2-0, B ; 70
+ MPYR *AR2+0, A ; 71
+ MPYR *AR2+0, B ; 72
+ MPYR *AR2+0B, A ; 73
+ MPYR *AR2+0B, B ; 74
+ MPYR *AR2-%, A ; 75
+ MPYR *AR2-%, B ; 76
+ MPYR *AR2-0%, A ; 77
+ MPYR *AR2-0%, B ; 78
+ MPYR *AR2+%, A ; 79
+ MPYR *AR2+%, B ; 80
+ MPYR *AR2+0%, A ; 81
+ MPYR *AR2+0%, B ; 82
+ MPYR *AR2(0318Ch), A ; 83
+ MPYR *AR2(0318Dh), B ; 84
+ MPYR *+AR2(0318Eh), A ; 85
+ MPYR *+AR2(0318Fh), B ; 86
+ MPYR *+AR2(03190h)%, A ; 87
+ MPYR *+AR2(03191h)%, B ; 88
+ MPYR *(03192h), A ; 89
+ MPYR *(03193h), B ; 90
+ MPYR *AR3, A ; 91
+ MPYR *AR3, B ; 92
+ MPYR *AR3-, A ; 93
+ MPYR *AR3-, B ; 94
+ MPYR *AR3+, A ; 95
+ MPYR *AR3+, B ; 96
+ MPYR *AR3-0B, A ; 97
+ MPYR *AR3-0B, B ; 98
+ MPYR *AR3-0, A ; 99
+ MPYR *AR3-0, B ; 100
+ MPYR *AR3+0, A ; 101
+ MPYR *AR3+0, B ; 102
+ MPYR *AR3+0B, A ; 103
+ MPYR *AR3+0B, B ; 104
+ MPYR *AR3-%, A ; 105
+ MPYR *AR3-%, B ; 106
+ MPYR *AR3-0%, A ; 107
+ MPYR *AR3-0%, B ; 108
+ MPYR *AR3+%, A ; 109
+ MPYR *AR3+%, B ; 110
+ MPYR *AR3+0%, A ; 111
+ MPYR *AR3+0%, B ; 112
+ MPYR *AR3(03194h), A ; 113
+ MPYR *AR3(03195h), B ; 114
+ MPYR *+AR3(03196h), A ; 115
+ MPYR *+AR3(03197h), B ; 116
+ MPYR *+AR3(03198h)%, A ; 117
+ MPYR *+AR3(03199h)%, B ; 118
+ MPYR *(0319Ah), A ; 119
+ MPYR *(0319Bh), B ; 120
+ MPYR *AR4, A ; 121
+ MPYR *AR4, B ; 122
+ MPYR *AR4-, A ; 123
+ MPYR *AR4-, B ; 124
+ MPYR *AR4+, A ; 125
+ MPYR *AR4+, B ; 126
+ MPYR *AR4-0B, A ; 127
+ MPYR *AR4-0B, B ; 128
+ MPYR *AR4-0, A ; 129
+ MPYR *AR4-0, B ; 130
+ MPYR *AR4+0, A ; 131
+ MPYR *AR4+0, B ; 132
+ MPYR *AR4+0B, A ; 133
+ MPYR *AR4+0B, B ; 134
+ MPYR *AR4-%, A ; 135
+ MPYR *AR4-%, B ; 136
+ MPYR *AR4-0%, A ; 137
+ MPYR *AR4-0%, B ; 138
+ MPYR *AR4+%, A ; 139
+ MPYR *AR4+%, B ; 140
+ MPYR *AR4+0%, A ; 141
+ MPYR *AR4+0%, B ; 142
+ MPYR *AR4(0319Ch), A ; 143
+ MPYR *AR4(0319Dh), B ; 144
+ MPYR *+AR4(0319Eh), A ; 145
+ MPYR *+AR4(0319Fh), B ; 146
+ MPYR *+AR4(031A0h)%, A ; 147
+ MPYR *+AR4(031A1h)%, B ; 148
+ MPYR *(031A2h), A ; 149
+ MPYR *(031A3h), B ; 150
+ MPYR *AR5, A ; 151
+ MPYR *AR5, B ; 152
+ MPYR *AR5-, A ; 153
+ MPYR *AR5-, B ; 154
+ MPYR *AR5+, A ; 155
+ MPYR *AR5+, B ; 156
+ MPYR *AR5-0B, A ; 157
+ MPYR *AR5-0B, B ; 158
+ MPYR *AR5-0, A ; 159
+ MPYR *AR5-0, B ; 160
+ MPYR *AR5+0, A ; 161
+ MPYR *AR5+0, B ; 162
+ MPYR *AR5+0B, A ; 163
+ MPYR *AR5+0B, B ; 164
+ MPYR *AR5-%, A ; 165
+ MPYR *AR5-%, B ; 166
+ MPYR *AR5-0%, A ; 167
+ MPYR *AR5-0%, B ; 168
+ MPYR *AR5+%, A ; 169
+ MPYR *AR5+%, B ; 170
+ MPYR *AR5+0%, A ; 171
+ MPYR *AR5+0%, B ; 172
+ MPYR *AR5(031A4h), A ; 173
+ MPYR *AR5(031A5h), B ; 174
+ MPYR *+AR5(031A6h), A ; 175
+ MPYR *+AR5(031A7h), B ; 176
+ MPYR *+AR5(031A8h)%, A ; 177
+ MPYR *+AR5(031A9h)%, B ; 178
+ MPYR *(031AAh), A ; 179
+ MPYR *(031ABh), B ; 180
+ MPYR *AR6, A ; 181
+ MPYR *AR6, B ; 182
+ MPYR *AR6-, A ; 183
+ MPYR *AR6-, B ; 184
+ MPYR *AR6+, A ; 185
+ MPYR *AR6+, B ; 186
+ MPYR *AR6-0B, A ; 187
+ MPYR *AR6-0B, B ; 188
+ MPYR *AR6-0, A ; 189
+ MPYR *AR6-0, B ; 190
+ MPYR *AR6+0, A ; 191
+ MPYR *AR6+0, B ; 192
+ MPYR *AR6+0B, A ; 193
+ MPYR *AR6+0B, B ; 194
+ MPYR *AR6-%, A ; 195
+ MPYR *AR6-%, B ; 196
+ MPYR *AR6-0%, A ; 197
+ MPYR *AR6-0%, B ; 198
+ MPYR *AR6+%, A ; 199
+ MPYR *AR6+%, B ; 200
+ MPYR *AR6+0%, A ; 201
+ MPYR *AR6+0%, B ; 202
+ MPYR *AR6(031ACh), A ; 203
+ MPYR *AR6(031ADh), B ; 204
+ MPYR *+AR6(031AEh), A ; 205
+ MPYR *+AR6(031AFh), B ; 206
+ MPYR *+AR6(031B0h)%, A ; 207
+ MPYR *+AR6(031B1h)%, B ; 208
+ MPYR *(031B2h), A ; 209
+ MPYR *(031B3h), B ; 210
+ MPYR *AR7, A ; 211
+ MPYR *AR7, B ; 212
+ MPYR *AR7-, A ; 213
+ MPYR *AR7-, B ; 214
+ MPYR *AR7+, A ; 215
+ MPYR *AR7+, B ; 216
+ MPYR *AR7-0B, A ; 217
+ MPYR *AR7-0B, B ; 218
+ MPYR *AR7-0, A ; 219
+ MPYR *AR7-0, B ; 220
+ MPYR *AR7+0, A ; 221
+ MPYR *AR7+0, B ; 222
+ MPYR *AR7+0B, A ; 223
+ MPYR *AR7+0B, B ; 224
+ MPYR *AR7-%, A ; 225
+ MPYR *AR7-%, B ; 226
+ MPYR *AR7-0%, A ; 227
+ MPYR *AR7-0%, B ; 228
+ MPYR *AR7+%, A ; 229
+ MPYR *AR7+%, B ; 230
+ MPYR *AR7+0%, A ; 231
+ MPYR *AR7+0%, B ; 232
+ MPYR *AR7(031B4h), A ; 233
+ MPYR *AR7(031B5h), B ; 234
+ MPYR *+AR7(031B6h), A ; 235
+ MPYR *+AR7(031B7h), B ; 236
+ MPYR *+AR7(031B8h)%, A ; 237
+ MPYR *+AR7(031B9h)%, B ; 238
+ MPYR *(031BAh), A ; 239
+ MPYR *(031BBh), B ; 240
+ ; MPYU : MPYU Smem, AB : 2
+ MPYU 62h, A ; 1
+ MPYU 63h, B ; 2
+ ; MPYU_I : MPYU Smem_I, AB : 240
+ MPYU *AR0, A ; 1
+ MPYU *AR0, B ; 2
+ MPYU *AR0-, A ; 3
+ MPYU *AR0-, B ; 4
+ MPYU *AR0+, A ; 5
+ MPYU *AR0+, B ; 6
+ MPYU *AR0-0B, A ; 7
+ MPYU *AR0-0B, B ; 8
+ MPYU *AR0-0, A ; 9
+ MPYU *AR0-0, B ; 10
+ MPYU *AR0+0, A ; 11
+ MPYU *AR0+0, B ; 12
+ MPYU *AR0+0B, A ; 13
+ MPYU *AR0+0B, B ; 14
+ MPYU *AR0-%, A ; 15
+ MPYU *AR0-%, B ; 16
+ MPYU *AR0-0%, A ; 17
+ MPYU *AR0-0%, B ; 18
+ MPYU *AR0+%, A ; 19
+ MPYU *AR0+%, B ; 20
+ MPYU *AR0+0%, A ; 21
+ MPYU *AR0+0%, B ; 22
+ MPYU *AR0(031BCh), A ; 23
+ MPYU *AR0(031BDh), B ; 24
+ MPYU *+AR0(031BEh), A ; 25
+ MPYU *+AR0(031BFh), B ; 26
+ MPYU *+AR0(031C0h)%, A ; 27
+ MPYU *+AR0(031C1h)%, B ; 28
+ MPYU *(031C2h), A ; 29
+ MPYU *(031C3h), B ; 30
+ MPYU *AR1, A ; 31
+ MPYU *AR1, B ; 32
+ MPYU *AR1-, A ; 33
+ MPYU *AR1-, B ; 34
+ MPYU *AR1+, A ; 35
+ MPYU *AR1+, B ; 36
+ MPYU *AR1-0B, A ; 37
+ MPYU *AR1-0B, B ; 38
+ MPYU *AR1-0, A ; 39
+ MPYU *AR1-0, B ; 40
+ MPYU *AR1+0, A ; 41
+ MPYU *AR1+0, B ; 42
+ MPYU *AR1+0B, A ; 43
+ MPYU *AR1+0B, B ; 44
+ MPYU *AR1-%, A ; 45
+ MPYU *AR1-%, B ; 46
+ MPYU *AR1-0%, A ; 47
+ MPYU *AR1-0%, B ; 48
+ MPYU *AR1+%, A ; 49
+ MPYU *AR1+%, B ; 50
+ MPYU *AR1+0%, A ; 51
+ MPYU *AR1+0%, B ; 52
+ MPYU *AR1(031C4h), A ; 53
+ MPYU *AR1(031C5h), B ; 54
+ MPYU *+AR1(031C6h), A ; 55
+ MPYU *+AR1(031C7h), B ; 56
+ MPYU *+AR1(031C8h)%, A ; 57
+ MPYU *+AR1(031C9h)%, B ; 58
+ MPYU *(031CAh), A ; 59
+ MPYU *(031CBh), B ; 60
+ MPYU *AR2, A ; 61
+ MPYU *AR2, B ; 62
+ MPYU *AR2-, A ; 63
+ MPYU *AR2-, B ; 64
+ MPYU *AR2+, A ; 65
+ MPYU *AR2+, B ; 66
+ MPYU *AR2-0B, A ; 67
+ MPYU *AR2-0B, B ; 68
+ MPYU *AR2-0, A ; 69
+ MPYU *AR2-0, B ; 70
+ MPYU *AR2+0, A ; 71
+ MPYU *AR2+0, B ; 72
+ MPYU *AR2+0B, A ; 73
+ MPYU *AR2+0B, B ; 74
+ MPYU *AR2-%, A ; 75
+ MPYU *AR2-%, B ; 76
+ MPYU *AR2-0%, A ; 77
+ MPYU *AR2-0%, B ; 78
+ MPYU *AR2+%, A ; 79
+ MPYU *AR2+%, B ; 80
+ MPYU *AR2+0%, A ; 81
+ MPYU *AR2+0%, B ; 82
+ MPYU *AR2(031CCh), A ; 83
+ MPYU *AR2(031CDh), B ; 84
+ MPYU *+AR2(031CEh), A ; 85
+ MPYU *+AR2(031CFh), B ; 86
+ MPYU *+AR2(031D0h)%, A ; 87
+ MPYU *+AR2(031D1h)%, B ; 88
+ MPYU *(031D2h), A ; 89
+ MPYU *(031D3h), B ; 90
+ MPYU *AR3, A ; 91
+ MPYU *AR3, B ; 92
+ MPYU *AR3-, A ; 93
+ MPYU *AR3-, B ; 94
+ MPYU *AR3+, A ; 95
+ MPYU *AR3+, B ; 96
+ MPYU *AR3-0B, A ; 97
+ MPYU *AR3-0B, B ; 98
+ MPYU *AR3-0, A ; 99
+ MPYU *AR3-0, B ; 100
+ MPYU *AR3+0, A ; 101
+ MPYU *AR3+0, B ; 102
+ MPYU *AR3+0B, A ; 103
+ MPYU *AR3+0B, B ; 104
+ MPYU *AR3-%, A ; 105
+ MPYU *AR3-%, B ; 106
+ MPYU *AR3-0%, A ; 107
+ MPYU *AR3-0%, B ; 108
+ MPYU *AR3+%, A ; 109
+ MPYU *AR3+%, B ; 110
+ MPYU *AR3+0%, A ; 111
+ MPYU *AR3+0%, B ; 112
+ MPYU *AR3(031D4h), A ; 113
+ MPYU *AR3(031D5h), B ; 114
+ MPYU *+AR3(031D6h), A ; 115
+ MPYU *+AR3(031D7h), B ; 116
+ MPYU *+AR3(031D8h)%, A ; 117
+ MPYU *+AR3(031D9h)%, B ; 118
+ MPYU *(031DAh), A ; 119
+ MPYU *(031DBh), B ; 120
+ MPYU *AR4, A ; 121
+ MPYU *AR4, B ; 122
+ MPYU *AR4-, A ; 123
+ MPYU *AR4-, B ; 124
+ MPYU *AR4+, A ; 125
+ MPYU *AR4+, B ; 126
+ MPYU *AR4-0B, A ; 127
+ MPYU *AR4-0B, B ; 128
+ MPYU *AR4-0, A ; 129
+ MPYU *AR4-0, B ; 130
+ MPYU *AR4+0, A ; 131
+ MPYU *AR4+0, B ; 132
+ MPYU *AR4+0B, A ; 133
+ MPYU *AR4+0B, B ; 134
+ MPYU *AR4-%, A ; 135
+ MPYU *AR4-%, B ; 136
+ MPYU *AR4-0%, A ; 137
+ MPYU *AR4-0%, B ; 138
+ MPYU *AR4+%, A ; 139
+ MPYU *AR4+%, B ; 140
+ MPYU *AR4+0%, A ; 141
+ MPYU *AR4+0%, B ; 142
+ MPYU *AR4(031DCh), A ; 143
+ MPYU *AR4(031DDh), B ; 144
+ MPYU *+AR4(031DEh), A ; 145
+ MPYU *+AR4(031DFh), B ; 146
+ MPYU *+AR4(031E0h)%, A ; 147
+ MPYU *+AR4(031E1h)%, B ; 148
+ MPYU *(031E2h), A ; 149
+ MPYU *(031E3h), B ; 150
+ MPYU *AR5, A ; 151
+ MPYU *AR5, B ; 152
+ MPYU *AR5-, A ; 153
+ MPYU *AR5-, B ; 154
+ MPYU *AR5+, A ; 155
+ MPYU *AR5+, B ; 156
+ MPYU *AR5-0B, A ; 157
+ MPYU *AR5-0B, B ; 158
+ MPYU *AR5-0, A ; 159
+ MPYU *AR5-0, B ; 160
+ MPYU *AR5+0, A ; 161
+ MPYU *AR5+0, B ; 162
+ MPYU *AR5+0B, A ; 163
+ MPYU *AR5+0B, B ; 164
+ MPYU *AR5-%, A ; 165
+ MPYU *AR5-%, B ; 166
+ MPYU *AR5-0%, A ; 167
+ MPYU *AR5-0%, B ; 168
+ MPYU *AR5+%, A ; 169
+ MPYU *AR5+%, B ; 170
+ MPYU *AR5+0%, A ; 171
+ MPYU *AR5+0%, B ; 172
+ MPYU *AR5(031E4h), A ; 173
+ MPYU *AR5(031E5h), B ; 174
+ MPYU *+AR5(031E6h), A ; 175
+ MPYU *+AR5(031E7h), B ; 176
+ MPYU *+AR5(031E8h)%, A ; 177
+ MPYU *+AR5(031E9h)%, B ; 178
+ MPYU *(031EAh), A ; 179
+ MPYU *(031EBh), B ; 180
+ MPYU *AR6, A ; 181
+ MPYU *AR6, B ; 182
+ MPYU *AR6-, A ; 183
+ MPYU *AR6-, B ; 184
+ MPYU *AR6+, A ; 185
+ MPYU *AR6+, B ; 186
+ MPYU *AR6-0B, A ; 187
+ MPYU *AR6-0B, B ; 188
+ MPYU *AR6-0, A ; 189
+ MPYU *AR6-0, B ; 190
+ MPYU *AR6+0, A ; 191
+ MPYU *AR6+0, B ; 192
+ MPYU *AR6+0B, A ; 193
+ MPYU *AR6+0B, B ; 194
+ MPYU *AR6-%, A ; 195
+ MPYU *AR6-%, B ; 196
+ MPYU *AR6-0%, A ; 197
+ MPYU *AR6-0%, B ; 198
+ MPYU *AR6+%, A ; 199
+ MPYU *AR6+%, B ; 200
+ MPYU *AR6+0%, A ; 201
+ MPYU *AR6+0%, B ; 202
+ MPYU *AR6(031ECh), A ; 203
+ MPYU *AR6(031EDh), B ; 204
+ MPYU *+AR6(031EEh), A ; 205
+ MPYU *+AR6(031EFh), B ; 206
+ MPYU *+AR6(031F0h)%, A ; 207
+ MPYU *+AR6(031F1h)%, B ; 208
+ MPYU *(031F2h), A ; 209
+ MPYU *(031F3h), B ; 210
+ MPYU *AR7, A ; 211
+ MPYU *AR7, B ; 212
+ MPYU *AR7-, A ; 213
+ MPYU *AR7-, B ; 214
+ MPYU *AR7+, A ; 215
+ MPYU *AR7+, B ; 216
+ MPYU *AR7-0B, A ; 217
+ MPYU *AR7-0B, B ; 218
+ MPYU *AR7-0, A ; 219
+ MPYU *AR7-0, B ; 220
+ MPYU *AR7+0, A ; 221
+ MPYU *AR7+0, B ; 222
+ MPYU *AR7+0B, A ; 223
+ MPYU *AR7+0B, B ; 224
+ MPYU *AR7-%, A ; 225
+ MPYU *AR7-%, B ; 226
+ MPYU *AR7-0%, A ; 227
+ MPYU *AR7-0%, B ; 228
+ MPYU *AR7+%, A ; 229
+ MPYU *AR7+%, B ; 230
+ MPYU *AR7+0%, A ; 231
+ MPYU *AR7+0%, B ; 232
+ MPYU *AR7(031F4h), A ; 233
+ MPYU *AR7(031F5h), B ; 234
+ MPYU *+AR7(031F6h), A ; 235
+ MPYU *+AR7(031F7h), B ; 236
+ MPYU *+AR7(031F8h)%, A ; 237
+ MPYU *+AR7(031F9h)%, B ; 238
+ MPYU *(031FAh), A ; 239
+ MPYU *(031FBh), B ; 240
+ ; MPY_I : MPY Smem_I, AB : 240
+ MPY *AR0, A ; 1
+ MPY *AR0, B ; 2
+ MPY *AR0-, A ; 3
+ MPY *AR0-, B ; 4
+ MPY *AR0+, A ; 5
+ MPY *AR0+, B ; 6
+ MPY *AR0-0B, A ; 7
+ MPY *AR0-0B, B ; 8
+ MPY *AR0-0, A ; 9
+ MPY *AR0-0, B ; 10
+ MPY *AR0+0, A ; 11
+ MPY *AR0+0, B ; 12
+ MPY *AR0+0B, A ; 13
+ MPY *AR0+0B, B ; 14
+ MPY *AR0-%, A ; 15
+ MPY *AR0-%, B ; 16
+ MPY *AR0-0%, A ; 17
+ MPY *AR0-0%, B ; 18
+ MPY *AR0+%, A ; 19
+ MPY *AR0+%, B ; 20
+ MPY *AR0+0%, A ; 21
+ MPY *AR0+0%, B ; 22
+ MPY *AR0(031FCh), A ; 23
+ MPY *AR0(031FDh), B ; 24
+ MPY *+AR0(031FEh), A ; 25
+ MPY *+AR0(031FFh), B ; 26
+ MPY *+AR0(03200h)%, A ; 27
+ MPY *+AR0(03201h)%, B ; 28
+ MPY *(03202h), A ; 29
+ MPY *(03203h), B ; 30
+ MPY *AR1, A ; 31
+ MPY *AR1, B ; 32
+ MPY *AR1-, A ; 33
+ MPY *AR1-, B ; 34
+ MPY *AR1+, A ; 35
+ MPY *AR1+, B ; 36
+ MPY *AR1-0B, A ; 37
+ MPY *AR1-0B, B ; 38
+ MPY *AR1-0, A ; 39
+ MPY *AR1-0, B ; 40
+ MPY *AR1+0, A ; 41
+ MPY *AR1+0, B ; 42
+ MPY *AR1+0B, A ; 43
+ MPY *AR1+0B, B ; 44
+ MPY *AR1-%, A ; 45
+ MPY *AR1-%, B ; 46
+ MPY *AR1-0%, A ; 47
+ MPY *AR1-0%, B ; 48
+ MPY *AR1+%, A ; 49
+ MPY *AR1+%, B ; 50
+ MPY *AR1+0%, A ; 51
+ MPY *AR1+0%, B ; 52
+ MPY *AR1(03204h), A ; 53
+ MPY *AR1(03205h), B ; 54
+ MPY *+AR1(03206h), A ; 55
+ MPY *+AR1(03207h), B ; 56
+ MPY *+AR1(03208h)%, A ; 57
+ MPY *+AR1(03209h)%, B ; 58
+ MPY *(0320Ah), A ; 59
+ MPY *(0320Bh), B ; 60
+ MPY *AR2, A ; 61
+ MPY *AR2, B ; 62
+ MPY *AR2-, A ; 63
+ MPY *AR2-, B ; 64
+ MPY *AR2+, A ; 65
+ MPY *AR2+, B ; 66
+ MPY *AR2-0B, A ; 67
+ MPY *AR2-0B, B ; 68
+ MPY *AR2-0, A ; 69
+ MPY *AR2-0, B ; 70
+ MPY *AR2+0, A ; 71
+ MPY *AR2+0, B ; 72
+ MPY *AR2+0B, A ; 73
+ MPY *AR2+0B, B ; 74
+ MPY *AR2-%, A ; 75
+ MPY *AR2-%, B ; 76
+ MPY *AR2-0%, A ; 77
+ MPY *AR2-0%, B ; 78
+ MPY *AR2+%, A ; 79
+ MPY *AR2+%, B ; 80
+ MPY *AR2+0%, A ; 81
+ MPY *AR2+0%, B ; 82
+ MPY *AR2(0320Ch), A ; 83
+ MPY *AR2(0320Dh), B ; 84
+ MPY *+AR2(0320Eh), A ; 85
+ MPY *+AR2(0320Fh), B ; 86
+ MPY *+AR2(03210h)%, A ; 87
+ MPY *+AR2(03211h)%, B ; 88
+ MPY *(03212h), A ; 89
+ MPY *(03213h), B ; 90
+ MPY *AR3, A ; 91
+ MPY *AR3, B ; 92
+ MPY *AR3-, A ; 93
+ MPY *AR3-, B ; 94
+ MPY *AR3+, A ; 95
+ MPY *AR3+, B ; 96
+ MPY *AR3-0B, A ; 97
+ MPY *AR3-0B, B ; 98
+ MPY *AR3-0, A ; 99
+ MPY *AR3-0, B ; 100
+ MPY *AR3+0, A ; 101
+ MPY *AR3+0, B ; 102
+ MPY *AR3+0B, A ; 103
+ MPY *AR3+0B, B ; 104
+ MPY *AR3-%, A ; 105
+ MPY *AR3-%, B ; 106
+ MPY *AR3-0%, A ; 107
+ MPY *AR3-0%, B ; 108
+ MPY *AR3+%, A ; 109
+ MPY *AR3+%, B ; 110
+ MPY *AR3+0%, A ; 111
+ MPY *AR3+0%, B ; 112
+ MPY *AR3(03214h), A ; 113
+ MPY *AR3(03215h), B ; 114
+ MPY *+AR3(03216h), A ; 115
+ MPY *+AR3(03217h), B ; 116
+ MPY *+AR3(03218h)%, A ; 117
+ MPY *+AR3(03219h)%, B ; 118
+ MPY *(0321Ah), A ; 119
+ MPY *(0321Bh), B ; 120
+ MPY *AR4, A ; 121
+ MPY *AR4, B ; 122
+ MPY *AR4-, A ; 123
+ MPY *AR4-, B ; 124
+ MPY *AR4+, A ; 125
+ MPY *AR4+, B ; 126
+ MPY *AR4-0B, A ; 127
+ MPY *AR4-0B, B ; 128
+ MPY *AR4-0, A ; 129
+ MPY *AR4-0, B ; 130
+ MPY *AR4+0, A ; 131
+ MPY *AR4+0, B ; 132
+ MPY *AR4+0B, A ; 133
+ MPY *AR4+0B, B ; 134
+ MPY *AR4-%, A ; 135
+ MPY *AR4-%, B ; 136
+ MPY *AR4-0%, A ; 137
+ MPY *AR4-0%, B ; 138
+ MPY *AR4+%, A ; 139
+ MPY *AR4+%, B ; 140
+ MPY *AR4+0%, A ; 141
+ MPY *AR4+0%, B ; 142
+ MPY *AR4(0321Ch), A ; 143
+ MPY *AR4(0321Dh), B ; 144
+ MPY *+AR4(0321Eh), A ; 145
+ MPY *+AR4(0321Fh), B ; 146
+ MPY *+AR4(03220h)%, A ; 147
+ MPY *+AR4(03221h)%, B ; 148
+ MPY *(03222h), A ; 149
+ MPY *(03223h), B ; 150
+ MPY *AR5, A ; 151
+ MPY *AR5, B ; 152
+ MPY *AR5-, A ; 153
+ MPY *AR5-, B ; 154
+ MPY *AR5+, A ; 155
+ MPY *AR5+, B ; 156
+ MPY *AR5-0B, A ; 157
+ MPY *AR5-0B, B ; 158
+ MPY *AR5-0, A ; 159
+ MPY *AR5-0, B ; 160
+ MPY *AR5+0, A ; 161
+ MPY *AR5+0, B ; 162
+ MPY *AR5+0B, A ; 163
+ MPY *AR5+0B, B ; 164
+ MPY *AR5-%, A ; 165
+ MPY *AR5-%, B ; 166
+ MPY *AR5-0%, A ; 167
+ MPY *AR5-0%, B ; 168
+ MPY *AR5+%, A ; 169
+ MPY *AR5+%, B ; 170
+ MPY *AR5+0%, A ; 171
+ MPY *AR5+0%, B ; 172
+ MPY *AR5(03224h), A ; 173
+ MPY *AR5(03225h), B ; 174
+ MPY *+AR5(03226h), A ; 175
+ MPY *+AR5(03227h), B ; 176
+ MPY *+AR5(03228h)%, A ; 177
+ MPY *+AR5(03229h)%, B ; 178
+ MPY *(0322Ah), A ; 179
+ MPY *(0322Bh), B ; 180
+ MPY *AR6, A ; 181
+ MPY *AR6, B ; 182
+ MPY *AR6-, A ; 183
+ MPY *AR6-, B ; 184
+ MPY *AR6+, A ; 185
+ MPY *AR6+, B ; 186
+ MPY *AR6-0B, A ; 187
+ MPY *AR6-0B, B ; 188
+ MPY *AR6-0, A ; 189
+ MPY *AR6-0, B ; 190
+ MPY *AR6+0, A ; 191
+ MPY *AR6+0, B ; 192
+ MPY *AR6+0B, A ; 193
+ MPY *AR6+0B, B ; 194
+ MPY *AR6-%, A ; 195
+ MPY *AR6-%, B ; 196
+ MPY *AR6-0%, A ; 197
+ MPY *AR6-0%, B ; 198
+ MPY *AR6+%, A ; 199
+ MPY *AR6+%, B ; 200
+ MPY *AR6+0%, A ; 201
+ MPY *AR6+0%, B ; 202
+ MPY *AR6(0322Ch), A ; 203
+ MPY *AR6(0322Dh), B ; 204
+ MPY *+AR6(0322Eh), A ; 205
+ MPY *+AR6(0322Fh), B ; 206
+ MPY *+AR6(03230h)%, A ; 207
+ MPY *+AR6(03231h)%, B ; 208
+ MPY *(03232h), A ; 209
+ MPY *(03233h), B ; 210
+ MPY *AR7, A ; 211
+ MPY *AR7, B ; 212
+ MPY *AR7-, A ; 213
+ MPY *AR7-, B ; 214
+ MPY *AR7+, A ; 215
+ MPY *AR7+, B ; 216
+ MPY *AR7-0B, A ; 217
+ MPY *AR7-0B, B ; 218
+ MPY *AR7-0, A ; 219
+ MPY *AR7-0, B ; 220
+ MPY *AR7+0, A ; 221
+ MPY *AR7+0, B ; 222
+ MPY *AR7+0B, A ; 223
+ MPY *AR7+0B, B ; 224
+ MPY *AR7-%, A ; 225
+ MPY *AR7-%, B ; 226
+ MPY *AR7-0%, A ; 227
+ MPY *AR7-0%, B ; 228
+ MPY *AR7+%, A ; 229
+ MPY *AR7+%, B ; 230
+ MPY *AR7+0%, A ; 231
+ MPY *AR7+0%, B ; 232
+ MPY *AR7(03234h), A ; 233
+ MPY *AR7(03235h), B ; 234
+ MPY *+AR7(03236h), A ; 235
+ MPY *+AR7(03237h), B ; 236
+ MPY *+AR7(03238h)%, A ; 237
+ MPY *+AR7(03239h)%, B ; 238
+ MPY *(0323Ah), A ; 239
+ MPY *(0323Bh), B ; 240
+ ; MPYlk : MPY lk, AB : 2
+ MPY #0323Ch, A ; 1
+ MPY #0323Dh, B ; 2
+ ; MPYsmemlk : MPY Smem, lk, AB : 2
+ MPY 64h, #0323Eh, A ; 1
+ MPY 65h, #0323Fh, B ; 2
+ ; MPYsmemlk_I : MPY Smem_I, lk, AB : 240
+ MPY *AR0, #03240h, A ; 1
+ MPY *AR0, #03241h, B ; 2
+ MPY *AR0-, #03242h, A ; 3
+ MPY *AR0-, #03243h, B ; 4
+ MPY *AR0+, #03244h, A ; 5
+ MPY *AR0+, #03245h, B ; 6
+ MPY *AR0-0B, #03246h, A ; 7
+ MPY *AR0-0B, #03247h, B ; 8
+ MPY *AR0-0, #03248h, A ; 9
+ MPY *AR0-0, #03249h, B ; 10
+ MPY *AR0+0, #0324Ah, A ; 11
+ MPY *AR0+0, #0324Bh, B ; 12
+ MPY *AR0+0B, #0324Ch, A ; 13
+ MPY *AR0+0B, #0324Dh, B ; 14
+ MPY *AR0-%, #0324Eh, A ; 15
+ MPY *AR0-%, #0324Fh, B ; 16
+ MPY *AR0-0%, #03250h, A ; 17
+ MPY *AR0-0%, #03251h, B ; 18
+ MPY *AR0+%, #03252h, A ; 19
+ MPY *AR0+%, #03253h, B ; 20
+ MPY *AR0+0%, #03254h, A ; 21
+ MPY *AR0+0%, #03255h, B ; 22
+ MPY *AR0(03256h), #03257h, A ; 23
+ MPY *AR0(03258h), #03259h, B ; 24
+ MPY *+AR0(0325Ah), #0325Bh, A ; 25
+ MPY *+AR0(0325Ch), #0325Dh, B ; 26
+ MPY *+AR0(0325Eh)%, #0325Fh, A ; 27
+ MPY *+AR0(03260h)%, #03261h, B ; 28
+ MPY *(03262h), #03263h, A ; 29
+ MPY *(03264h), #03265h, B ; 30
+ MPY *AR1, #03266h, A ; 31
+ MPY *AR1, #03267h, B ; 32
+ MPY *AR1-, #03268h, A ; 33
+ MPY *AR1-, #03269h, B ; 34
+ MPY *AR1+, #0326Ah, A ; 35
+ MPY *AR1+, #0326Bh, B ; 36
+ MPY *AR1-0B, #0326Ch, A ; 37
+ MPY *AR1-0B, #0326Dh, B ; 38
+ MPY *AR1-0, #0326Eh, A ; 39
+ MPY *AR1-0, #0326Fh, B ; 40
+ MPY *AR1+0, #03270h, A ; 41
+ MPY *AR1+0, #03271h, B ; 42
+ MPY *AR1+0B, #03272h, A ; 43
+ MPY *AR1+0B, #03273h, B ; 44
+ MPY *AR1-%, #03274h, A ; 45
+ MPY *AR1-%, #03275h, B ; 46
+ MPY *AR1-0%, #03276h, A ; 47
+ MPY *AR1-0%, #03277h, B ; 48
+ MPY *AR1+%, #03278h, A ; 49
+ MPY *AR1+%, #03279h, B ; 50
+ MPY *AR1+0%, #0327Ah, A ; 51
+ MPY *AR1+0%, #0327Bh, B ; 52
+ MPY *AR1(0327Ch), #0327Dh, A ; 53
+ MPY *AR1(0327Eh), #0327Fh, B ; 54
+ MPY *+AR1(03280h), #03281h, A ; 55
+ MPY *+AR1(03282h), #03283h, B ; 56
+ MPY *+AR1(03284h)%, #03285h, A ; 57
+ MPY *+AR1(03286h)%, #03287h, B ; 58
+ MPY *(03288h), #03289h, A ; 59
+ MPY *(0328Ah), #0328Bh, B ; 60
+ MPY *AR2, #0328Ch, A ; 61
+ MPY *AR2, #0328Dh, B ; 62
+ MPY *AR2-, #0328Eh, A ; 63
+ MPY *AR2-, #0328Fh, B ; 64
+ MPY *AR2+, #03290h, A ; 65
+ MPY *AR2+, #03291h, B ; 66
+ MPY *AR2-0B, #03292h, A ; 67
+ MPY *AR2-0B, #03293h, B ; 68
+ MPY *AR2-0, #03294h, A ; 69
+ MPY *AR2-0, #03295h, B ; 70
+ MPY *AR2+0, #03296h, A ; 71
+ MPY *AR2+0, #03297h, B ; 72
+ MPY *AR2+0B, #03298h, A ; 73
+ MPY *AR2+0B, #03299h, B ; 74
+ MPY *AR2-%, #0329Ah, A ; 75
+ MPY *AR2-%, #0329Bh, B ; 76
+ MPY *AR2-0%, #0329Ch, A ; 77
+ MPY *AR2-0%, #0329Dh, B ; 78
+ MPY *AR2+%, #0329Eh, A ; 79
+ MPY *AR2+%, #0329Fh, B ; 80
+ MPY *AR2+0%, #032A0h, A ; 81
+ MPY *AR2+0%, #032A1h, B ; 82
+ MPY *AR2(032A2h), #032A3h, A ; 83
+ MPY *AR2(032A4h), #032A5h, B ; 84
+ MPY *+AR2(032A6h), #032A7h, A ; 85
+ MPY *+AR2(032A8h), #032A9h, B ; 86
+ MPY *+AR2(032AAh)%, #032ABh, A ; 87
+ MPY *+AR2(032ACh)%, #032ADh, B ; 88
+ MPY *(032AEh), #032AFh, A ; 89
+ MPY *(032B0h), #032B1h, B ; 90
+ MPY *AR3, #032B2h, A ; 91
+ MPY *AR3, #032B3h, B ; 92
+ MPY *AR3-, #032B4h, A ; 93
+ MPY *AR3-, #032B5h, B ; 94
+ MPY *AR3+, #032B6h, A ; 95
+ MPY *AR3+, #032B7h, B ; 96
+ MPY *AR3-0B, #032B8h, A ; 97
+ MPY *AR3-0B, #032B9h, B ; 98
+ MPY *AR3-0, #032BAh, A ; 99
+ MPY *AR3-0, #032BBh, B ; 100
+ MPY *AR3+0, #032BCh, A ; 101
+ MPY *AR3+0, #032BDh, B ; 102
+ MPY *AR3+0B, #032BEh, A ; 103
+ MPY *AR3+0B, #032BFh, B ; 104
+ MPY *AR3-%, #032C0h, A ; 105
+ MPY *AR3-%, #032C1h, B ; 106
+ MPY *AR3-0%, #032C2h, A ; 107
+ MPY *AR3-0%, #032C3h, B ; 108
+ MPY *AR3+%, #032C4h, A ; 109
+ MPY *AR3+%, #032C5h, B ; 110
+ MPY *AR3+0%, #032C6h, A ; 111
+ MPY *AR3+0%, #032C7h, B ; 112
+ MPY *AR3(032C8h), #032C9h, A ; 113
+ MPY *AR3(032CAh), #032CBh, B ; 114
+ MPY *+AR3(032CCh), #032CDh, A ; 115
+ MPY *+AR3(032CEh), #032CFh, B ; 116
+ MPY *+AR3(032D0h)%, #032D1h, A ; 117
+ MPY *+AR3(032D2h)%, #032D3h, B ; 118
+ MPY *(032D4h), #032D5h, A ; 119
+ MPY *(032D6h), #032D7h, B ; 120
+ MPY *AR4, #032D8h, A ; 121
+ MPY *AR4, #032D9h, B ; 122
+ MPY *AR4-, #032DAh, A ; 123
+ MPY *AR4-, #032DBh, B ; 124
+ MPY *AR4+, #032DCh, A ; 125
+ MPY *AR4+, #032DDh, B ; 126
+ MPY *AR4-0B, #032DEh, A ; 127
+ MPY *AR4-0B, #032DFh, B ; 128
+ MPY *AR4-0, #032E0h, A ; 129
+ MPY *AR4-0, #032E1h, B ; 130
+ MPY *AR4+0, #032E2h, A ; 131
+ MPY *AR4+0, #032E3h, B ; 132
+ MPY *AR4+0B, #032E4h, A ; 133
+ MPY *AR4+0B, #032E5h, B ; 134
+ MPY *AR4-%, #032E6h, A ; 135
+ MPY *AR4-%, #032E7h, B ; 136
+ MPY *AR4-0%, #032E8h, A ; 137
+ MPY *AR4-0%, #032E9h, B ; 138
+ MPY *AR4+%, #032EAh, A ; 139
+ MPY *AR4+%, #032EBh, B ; 140
+ MPY *AR4+0%, #032ECh, A ; 141
+ MPY *AR4+0%, #032EDh, B ; 142
+ MPY *AR4(032EEh), #032EFh, A ; 143
+ MPY *AR4(032F0h), #032F1h, B ; 144
+ MPY *+AR4(032F2h), #032F3h, A ; 145
+ MPY *+AR4(032F4h), #032F5h, B ; 146
+ MPY *+AR4(032F6h)%, #032F7h, A ; 147
+ MPY *+AR4(032F8h)%, #032F9h, B ; 148
+ MPY *(032FAh), #032FBh, A ; 149
+ MPY *(032FCh), #032FDh, B ; 150
+ MPY *AR5, #032FEh, A ; 151
+ MPY *AR5, #032FFh, B ; 152
+ MPY *AR5-, #03300h, A ; 153
+ MPY *AR5-, #03301h, B ; 154
+ MPY *AR5+, #03302h, A ; 155
+ MPY *AR5+, #03303h, B ; 156
+ MPY *AR5-0B, #03304h, A ; 157
+ MPY *AR5-0B, #03305h, B ; 158
+ MPY *AR5-0, #03306h, A ; 159
+ MPY *AR5-0, #03307h, B ; 160
+ MPY *AR5+0, #03308h, A ; 161
+ MPY *AR5+0, #03309h, B ; 162
+ MPY *AR5+0B, #0330Ah, A ; 163
+ MPY *AR5+0B, #0330Bh, B ; 164
+ MPY *AR5-%, #0330Ch, A ; 165
+ MPY *AR5-%, #0330Dh, B ; 166
+ MPY *AR5-0%, #0330Eh, A ; 167
+ MPY *AR5-0%, #0330Fh, B ; 168
+ MPY *AR5+%, #03310h, A ; 169
+ MPY *AR5+%, #03311h, B ; 170
+ MPY *AR5+0%, #03312h, A ; 171
+ MPY *AR5+0%, #03313h, B ; 172
+ MPY *AR5(03314h), #03315h, A ; 173
+ MPY *AR5(03316h), #03317h, B ; 174
+ MPY *+AR5(03318h), #03319h, A ; 175
+ MPY *+AR5(0331Ah), #0331Bh, B ; 176
+ MPY *+AR5(0331Ch)%, #0331Dh, A ; 177
+ MPY *+AR5(0331Eh)%, #0331Fh, B ; 178
+ MPY *(03320h), #03321h, A ; 179
+ MPY *(03322h), #03323h, B ; 180
+ MPY *AR6, #03324h, A ; 181
+ MPY *AR6, #03325h, B ; 182
+ MPY *AR6-, #03326h, A ; 183
+ MPY *AR6-, #03327h, B ; 184
+ MPY *AR6+, #03328h, A ; 185
+ MPY *AR6+, #03329h, B ; 186
+ MPY *AR6-0B, #0332Ah, A ; 187
+ MPY *AR6-0B, #0332Bh, B ; 188
+ MPY *AR6-0, #0332Ch, A ; 189
+ MPY *AR6-0, #0332Dh, B ; 190
+ MPY *AR6+0, #0332Eh, A ; 191
+ MPY *AR6+0, #0332Fh, B ; 192
+ MPY *AR6+0B, #03330h, A ; 193
+ MPY *AR6+0B, #03331h, B ; 194
+ MPY *AR6-%, #03332h, A ; 195
+ MPY *AR6-%, #03333h, B ; 196
+ MPY *AR6-0%, #03334h, A ; 197
+ MPY *AR6-0%, #03335h, B ; 198
+ MPY *AR6+%, #03336h, A ; 199
+ MPY *AR6+%, #03337h, B ; 200
+ MPY *AR6+0%, #03338h, A ; 201
+ MPY *AR6+0%, #03339h, B ; 202
+ MPY *AR6(0333Ah), #0333Bh, A ; 203
+ MPY *AR6(0333Ch), #0333Dh, B ; 204
+ MPY *+AR6(0333Eh), #0333Fh, A ; 205
+ MPY *+AR6(03340h), #03341h, B ; 206
+ MPY *+AR6(03342h)%, #03343h, A ; 207
+ MPY *+AR6(03344h)%, #03345h, B ; 208
+ MPY *(03346h), #03347h, A ; 209
+ MPY *(03348h), #03349h, B ; 210
+ MPY *AR7, #0334Ah, A ; 211
+ MPY *AR7, #0334Bh, B ; 212
+ MPY *AR7-, #0334Ch, A ; 213
+ MPY *AR7-, #0334Dh, B ; 214
+ MPY *AR7+, #0334Eh, A ; 215
+ MPY *AR7+, #0334Fh, B ; 216
+ MPY *AR7-0B, #03350h, A ; 217
+ MPY *AR7-0B, #03351h, B ; 218
+ MPY *AR7-0, #03352h, A ; 219
+ MPY *AR7-0, #03353h, B ; 220
+ MPY *AR7+0, #03354h, A ; 221
+ MPY *AR7+0, #03355h, B ; 222
+ MPY *AR7+0B, #03356h, A ; 223
+ MPY *AR7+0B, #03357h, B ; 224
+ MPY *AR7-%, #03358h, A ; 225
+ MPY *AR7-%, #03359h, B ; 226
+ MPY *AR7-0%, #0335Ah, A ; 227
+ MPY *AR7-0%, #0335Bh, B ; 228
+ MPY *AR7+%, #0335Ch, A ; 229
+ MPY *AR7+%, #0335Dh, B ; 230
+ MPY *AR7+0%, #0335Eh, A ; 231
+ MPY *AR7+0%, #0335Fh, B ; 232
+ MPY *AR7(03360h), #03361h, A ; 233
+ MPY *AR7(03362h), #03363h, B ; 234
+ MPY *+AR7(03364h), #03365h, A ; 235
+ MPY *+AR7(03366h), #03367h, B ; 236
+ MPY *+AR7(03368h)%, #03369h, A ; 237
+ MPY *+AR7(0336Ah)%, #0336Bh, B ; 238
+ MPY *(0336Ch), #0336Dh, A ; 239
+ MPY *(0336Eh), #0336Fh, B ; 240
+ ; MPYxmym : MPY Xmem, Ymem, AB : 512
+ MPY *AR2, *AR2, A ; 1
+ MPY *AR2, *AR2, B ; 2
+ MPY *AR2, *AR2-, A ; 3
+ MPY *AR2, *AR2-, B ; 4
+ MPY *AR2, *AR2+, A ; 5
+ MPY *AR2, *AR2+, B ; 6
+ MPY *AR2, *AR2+0%, A ; 7
+ MPY *AR2, *AR2+0%, B ; 8
+ MPY *AR2, *AR3, A ; 9
+ MPY *AR2, *AR3, B ; 10
+ MPY *AR2, *AR3-, A ; 11
+ MPY *AR2, *AR3-, B ; 12
+ MPY *AR2, *AR3+, A ; 13
+ MPY *AR2, *AR3+, B ; 14
+ MPY *AR2, *AR3+0%, A ; 15
+ MPY *AR2, *AR3+0%, B ; 16
+ MPY *AR2, *AR4, A ; 17
+ MPY *AR2, *AR4, B ; 18
+ MPY *AR2, *AR4-, A ; 19
+ MPY *AR2, *AR4-, B ; 20
+ MPY *AR2, *AR4+, A ; 21
+ MPY *AR2, *AR4+, B ; 22
+ MPY *AR2, *AR4+0%, A ; 23
+ MPY *AR2, *AR4+0%, B ; 24
+ MPY *AR2, *AR5, A ; 25
+ MPY *AR2, *AR5, B ; 26
+ MPY *AR2, *AR5-, A ; 27
+ MPY *AR2, *AR5-, B ; 28
+ MPY *AR2, *AR5+, A ; 29
+ MPY *AR2, *AR5+, B ; 30
+ MPY *AR2, *AR5+0%, A ; 31
+ MPY *AR2, *AR5+0%, B ; 32
+ MPY *AR2-, *AR2, A ; 33
+ MPY *AR2-, *AR2, B ; 34
+ MPY *AR2-, *AR2-, A ; 35
+ MPY *AR2-, *AR2-, B ; 36
+ MPY *AR2-, *AR2+, A ; 37
+ MPY *AR2-, *AR2+, B ; 38
+ MPY *AR2-, *AR2+0%, A ; 39
+ MPY *AR2-, *AR2+0%, B ; 40
+ MPY *AR2-, *AR3, A ; 41
+ MPY *AR2-, *AR3, B ; 42
+ MPY *AR2-, *AR3-, A ; 43
+ MPY *AR2-, *AR3-, B ; 44
+ MPY *AR2-, *AR3+, A ; 45
+ MPY *AR2-, *AR3+, B ; 46
+ MPY *AR2-, *AR3+0%, A ; 47
+ MPY *AR2-, *AR3+0%, B ; 48
+ MPY *AR2-, *AR4, A ; 49
+ MPY *AR2-, *AR4, B ; 50
+ MPY *AR2-, *AR4-, A ; 51
+ MPY *AR2-, *AR4-, B ; 52
+ MPY *AR2-, *AR4+, A ; 53
+ MPY *AR2-, *AR4+, B ; 54
+ MPY *AR2-, *AR4+0%, A ; 55
+ MPY *AR2-, *AR4+0%, B ; 56
+ MPY *AR2-, *AR5, A ; 57
+ MPY *AR2-, *AR5, B ; 58
+ MPY *AR2-, *AR5-, A ; 59
+ MPY *AR2-, *AR5-, B ; 60
+ MPY *AR2-, *AR5+, A ; 61
+ MPY *AR2-, *AR5+, B ; 62
+ MPY *AR2-, *AR5+0%, A ; 63
+ MPY *AR2-, *AR5+0%, B ; 64
+ MPY *AR2+, *AR2, A ; 65
+ MPY *AR2+, *AR2, B ; 66
+ MPY *AR2+, *AR2-, A ; 67
+ MPY *AR2+, *AR2-, B ; 68
+ MPY *AR2+, *AR2+, A ; 69
+ MPY *AR2+, *AR2+, B ; 70
+ MPY *AR2+, *AR2+0%, A ; 71
+ MPY *AR2+, *AR2+0%, B ; 72
+ MPY *AR2+, *AR3, A ; 73
+ MPY *AR2+, *AR3, B ; 74
+ MPY *AR2+, *AR3-, A ; 75
+ MPY *AR2+, *AR3-, B ; 76
+ MPY *AR2+, *AR3+, A ; 77
+ MPY *AR2+, *AR3+, B ; 78
+ MPY *AR2+, *AR3+0%, A ; 79
+ MPY *AR2+, *AR3+0%, B ; 80
+ MPY *AR2+, *AR4, A ; 81
+ MPY *AR2+, *AR4, B ; 82
+ MPY *AR2+, *AR4-, A ; 83
+ MPY *AR2+, *AR4-, B ; 84
+ MPY *AR2+, *AR4+, A ; 85
+ MPY *AR2+, *AR4+, B ; 86
+ MPY *AR2+, *AR4+0%, A ; 87
+ MPY *AR2+, *AR4+0%, B ; 88
+ MPY *AR2+, *AR5, A ; 89
+ MPY *AR2+, *AR5, B ; 90
+ MPY *AR2+, *AR5-, A ; 91
+ MPY *AR2+, *AR5-, B ; 92
+ MPY *AR2+, *AR5+, A ; 93
+ MPY *AR2+, *AR5+, B ; 94
+ MPY *AR2+, *AR5+0%, A ; 95
+ MPY *AR2+, *AR5+0%, B ; 96
+ MPY *AR2+0%, *AR2, A ; 97
+ MPY *AR2+0%, *AR2, B ; 98
+ MPY *AR2+0%, *AR2-, A ; 99
+ MPY *AR2+0%, *AR2-, B ; 100
+ MPY *AR2+0%, *AR2+, A ; 101
+ MPY *AR2+0%, *AR2+, B ; 102
+ MPY *AR2+0%, *AR2+0%, A ; 103
+ MPY *AR2+0%, *AR2+0%, B ; 104
+ MPY *AR2+0%, *AR3, A ; 105
+ MPY *AR2+0%, *AR3, B ; 106
+ MPY *AR2+0%, *AR3-, A ; 107
+ MPY *AR2+0%, *AR3-, B ; 108
+ MPY *AR2+0%, *AR3+, A ; 109
+ MPY *AR2+0%, *AR3+, B ; 110
+ MPY *AR2+0%, *AR3+0%, A ; 111
+ MPY *AR2+0%, *AR3+0%, B ; 112
+ MPY *AR2+0%, *AR4, A ; 113
+ MPY *AR2+0%, *AR4, B ; 114
+ MPY *AR2+0%, *AR4-, A ; 115
+ MPY *AR2+0%, *AR4-, B ; 116
+ MPY *AR2+0%, *AR4+, A ; 117
+ MPY *AR2+0%, *AR4+, B ; 118
+ MPY *AR2+0%, *AR4+0%, A ; 119
+ MPY *AR2+0%, *AR4+0%, B ; 120
+ MPY *AR2+0%, *AR5, A ; 121
+ MPY *AR2+0%, *AR5, B ; 122
+ MPY *AR2+0%, *AR5-, A ; 123
+ MPY *AR2+0%, *AR5-, B ; 124
+ MPY *AR2+0%, *AR5+, A ; 125
+ MPY *AR2+0%, *AR5+, B ; 126
+ MPY *AR2+0%, *AR5+0%, A ; 127
+ MPY *AR2+0%, *AR5+0%, B ; 128
+ MPY *AR3, *AR2, A ; 129
+ MPY *AR3, *AR2, B ; 130
+ MPY *AR3, *AR2-, A ; 131
+ MPY *AR3, *AR2-, B ; 132
+ MPY *AR3, *AR2+, A ; 133
+ MPY *AR3, *AR2+, B ; 134
+ MPY *AR3, *AR2+0%, A ; 135
+ MPY *AR3, *AR2+0%, B ; 136
+ MPY *AR3, *AR3, A ; 137
+ MPY *AR3, *AR3, B ; 138
+ MPY *AR3, *AR3-, A ; 139
+ MPY *AR3, *AR3-, B ; 140
+ MPY *AR3, *AR3+, A ; 141
+ MPY *AR3, *AR3+, B ; 142
+ MPY *AR3, *AR3+0%, A ; 143
+ MPY *AR3, *AR3+0%, B ; 144
+ MPY *AR3, *AR4, A ; 145
+ MPY *AR3, *AR4, B ; 146
+ MPY *AR3, *AR4-, A ; 147
+ MPY *AR3, *AR4-, B ; 148
+ MPY *AR3, *AR4+, A ; 149
+ MPY *AR3, *AR4+, B ; 150
+ MPY *AR3, *AR4+0%, A ; 151
+ MPY *AR3, *AR4+0%, B ; 152
+ MPY *AR3, *AR5, A ; 153
+ MPY *AR3, *AR5, B ; 154
+ MPY *AR3, *AR5-, A ; 155
+ MPY *AR3, *AR5-, B ; 156
+ MPY *AR3, *AR5+, A ; 157
+ MPY *AR3, *AR5+, B ; 158
+ MPY *AR3, *AR5+0%, A ; 159
+ MPY *AR3, *AR5+0%, B ; 160
+ MPY *AR3-, *AR2, A ; 161
+ MPY *AR3-, *AR2, B ; 162
+ MPY *AR3-, *AR2-, A ; 163
+ MPY *AR3-, *AR2-, B ; 164
+ MPY *AR3-, *AR2+, A ; 165
+ MPY *AR3-, *AR2+, B ; 166
+ MPY *AR3-, *AR2+0%, A ; 167
+ MPY *AR3-, *AR2+0%, B ; 168
+ MPY *AR3-, *AR3, A ; 169
+ MPY *AR3-, *AR3, B ; 170
+ MPY *AR3-, *AR3-, A ; 171
+ MPY *AR3-, *AR3-, B ; 172
+ MPY *AR3-, *AR3+, A ; 173
+ MPY *AR3-, *AR3+, B ; 174
+ MPY *AR3-, *AR3+0%, A ; 175
+ MPY *AR3-, *AR3+0%, B ; 176
+ MPY *AR3-, *AR4, A ; 177
+ MPY *AR3-, *AR4, B ; 178
+ MPY *AR3-, *AR4-, A ; 179
+ MPY *AR3-, *AR4-, B ; 180
+ MPY *AR3-, *AR4+, A ; 181
+ MPY *AR3-, *AR4+, B ; 182
+ MPY *AR3-, *AR4+0%, A ; 183
+ MPY *AR3-, *AR4+0%, B ; 184
+ MPY *AR3-, *AR5, A ; 185
+ MPY *AR3-, *AR5, B ; 186
+ MPY *AR3-, *AR5-, A ; 187
+ MPY *AR3-, *AR5-, B ; 188
+ MPY *AR3-, *AR5+, A ; 189
+ MPY *AR3-, *AR5+, B ; 190
+ MPY *AR3-, *AR5+0%, A ; 191
+ MPY *AR3-, *AR5+0%, B ; 192
+ MPY *AR3+, *AR2, A ; 193
+ MPY *AR3+, *AR2, B ; 194
+ MPY *AR3+, *AR2-, A ; 195
+ MPY *AR3+, *AR2-, B ; 196
+ MPY *AR3+, *AR2+, A ; 197
+ MPY *AR3+, *AR2+, B ; 198
+ MPY *AR3+, *AR2+0%, A ; 199
+ MPY *AR3+, *AR2+0%, B ; 200
+ MPY *AR3+, *AR3, A ; 201
+ MPY *AR3+, *AR3, B ; 202
+ MPY *AR3+, *AR3-, A ; 203
+ MPY *AR3+, *AR3-, B ; 204
+ MPY *AR3+, *AR3+, A ; 205
+ MPY *AR3+, *AR3+, B ; 206
+ MPY *AR3+, *AR3+0%, A ; 207
+ MPY *AR3+, *AR3+0%, B ; 208
+ MPY *AR3+, *AR4, A ; 209
+ MPY *AR3+, *AR4, B ; 210
+ MPY *AR3+, *AR4-, A ; 211
+ MPY *AR3+, *AR4-, B ; 212
+ MPY *AR3+, *AR4+, A ; 213
+ MPY *AR3+, *AR4+, B ; 214
+ MPY *AR3+, *AR4+0%, A ; 215
+ MPY *AR3+, *AR4+0%, B ; 216
+ MPY *AR3+, *AR5, A ; 217
+ MPY *AR3+, *AR5, B ; 218
+ MPY *AR3+, *AR5-, A ; 219
+ MPY *AR3+, *AR5-, B ; 220
+ MPY *AR3+, *AR5+, A ; 221
+ MPY *AR3+, *AR5+, B ; 222
+ MPY *AR3+, *AR5+0%, A ; 223
+ MPY *AR3+, *AR5+0%, B ; 224
+ MPY *AR3+0%, *AR2, A ; 225
+ MPY *AR3+0%, *AR2, B ; 226
+ MPY *AR3+0%, *AR2-, A ; 227
+ MPY *AR3+0%, *AR2-, B ; 228
+ MPY *AR3+0%, *AR2+, A ; 229
+ MPY *AR3+0%, *AR2+, B ; 230
+ MPY *AR3+0%, *AR2+0%, A ; 231
+ MPY *AR3+0%, *AR2+0%, B ; 232
+ MPY *AR3+0%, *AR3, A ; 233
+ MPY *AR3+0%, *AR3, B ; 234
+ MPY *AR3+0%, *AR3-, A ; 235
+ MPY *AR3+0%, *AR3-, B ; 236
+ MPY *AR3+0%, *AR3+, A ; 237
+ MPY *AR3+0%, *AR3+, B ; 238
+ MPY *AR3+0%, *AR3+0%, A ; 239
+ MPY *AR3+0%, *AR3+0%, B ; 240
+ MPY *AR3+0%, *AR4, A ; 241
+ MPY *AR3+0%, *AR4, B ; 242
+ MPY *AR3+0%, *AR4-, A ; 243
+ MPY *AR3+0%, *AR4-, B ; 244
+ MPY *AR3+0%, *AR4+, A ; 245
+ MPY *AR3+0%, *AR4+, B ; 246
+ MPY *AR3+0%, *AR4+0%, A ; 247
+ MPY *AR3+0%, *AR4+0%, B ; 248
+ MPY *AR3+0%, *AR5, A ; 249
+ MPY *AR3+0%, *AR5, B ; 250
+ MPY *AR3+0%, *AR5-, A ; 251
+ MPY *AR3+0%, *AR5-, B ; 252
+ MPY *AR3+0%, *AR5+, A ; 253
+ MPY *AR3+0%, *AR5+, B ; 254
+ MPY *AR3+0%, *AR5+0%, A ; 255
+ MPY *AR3+0%, *AR5+0%, B ; 256
+ MPY *AR4, *AR2, A ; 257
+ MPY *AR4, *AR2, B ; 258
+ MPY *AR4, *AR2-, A ; 259
+ MPY *AR4, *AR2-, B ; 260
+ MPY *AR4, *AR2+, A ; 261
+ MPY *AR4, *AR2+, B ; 262
+ MPY *AR4, *AR2+0%, A ; 263
+ MPY *AR4, *AR2+0%, B ; 264
+ MPY *AR4, *AR3, A ; 265
+ MPY *AR4, *AR3, B ; 266
+ MPY *AR4, *AR3-, A ; 267
+ MPY *AR4, *AR3-, B ; 268
+ MPY *AR4, *AR3+, A ; 269
+ MPY *AR4, *AR3+, B ; 270
+ MPY *AR4, *AR3+0%, A ; 271
+ MPY *AR4, *AR3+0%, B ; 272
+ MPY *AR4, *AR4, A ; 273
+ MPY *AR4, *AR4, B ; 274
+ MPY *AR4, *AR4-, A ; 275
+ MPY *AR4, *AR4-, B ; 276
+ MPY *AR4, *AR4+, A ; 277
+ MPY *AR4, *AR4+, B ; 278
+ MPY *AR4, *AR4+0%, A ; 279
+ MPY *AR4, *AR4+0%, B ; 280
+ MPY *AR4, *AR5, A ; 281
+ MPY *AR4, *AR5, B ; 282
+ MPY *AR4, *AR5-, A ; 283
+ MPY *AR4, *AR5-, B ; 284
+ MPY *AR4, *AR5+, A ; 285
+ MPY *AR4, *AR5+, B ; 286
+ MPY *AR4, *AR5+0%, A ; 287
+ MPY *AR4, *AR5+0%, B ; 288
+ MPY *AR4-, *AR2, A ; 289
+ MPY *AR4-, *AR2, B ; 290
+ MPY *AR4-, *AR2-, A ; 291
+ MPY *AR4-, *AR2-, B ; 292
+ MPY *AR4-, *AR2+, A ; 293
+ MPY *AR4-, *AR2+, B ; 294
+ MPY *AR4-, *AR2+0%, A ; 295
+ MPY *AR4-, *AR2+0%, B ; 296
+ MPY *AR4-, *AR3, A ; 297
+ MPY *AR4-, *AR3, B ; 298
+ MPY *AR4-, *AR3-, A ; 299
+ MPY *AR4-, *AR3-, B ; 300
+ MPY *AR4-, *AR3+, A ; 301
+ MPY *AR4-, *AR3+, B ; 302
+ MPY *AR4-, *AR3+0%, A ; 303
+ MPY *AR4-, *AR3+0%, B ; 304
+ MPY *AR4-, *AR4, A ; 305
+ MPY *AR4-, *AR4, B ; 306
+ MPY *AR4-, *AR4-, A ; 307
+ MPY *AR4-, *AR4-, B ; 308
+ MPY *AR4-, *AR4+, A ; 309
+ MPY *AR4-, *AR4+, B ; 310
+ MPY *AR4-, *AR4+0%, A ; 311
+ MPY *AR4-, *AR4+0%, B ; 312
+ MPY *AR4-, *AR5, A ; 313
+ MPY *AR4-, *AR5, B ; 314
+ MPY *AR4-, *AR5-, A ; 315
+ MPY *AR4-, *AR5-, B ; 316
+ MPY *AR4-, *AR5+, A ; 317
+ MPY *AR4-, *AR5+, B ; 318
+ MPY *AR4-, *AR5+0%, A ; 319
+ MPY *AR4-, *AR5+0%, B ; 320
+ MPY *AR4+, *AR2, A ; 321
+ MPY *AR4+, *AR2, B ; 322
+ MPY *AR4+, *AR2-, A ; 323
+ MPY *AR4+, *AR2-, B ; 324
+ MPY *AR4+, *AR2+, A ; 325
+ MPY *AR4+, *AR2+, B ; 326
+ MPY *AR4+, *AR2+0%, A ; 327
+ MPY *AR4+, *AR2+0%, B ; 328
+ MPY *AR4+, *AR3, A ; 329
+ MPY *AR4+, *AR3, B ; 330
+ MPY *AR4+, *AR3-, A ; 331
+ MPY *AR4+, *AR3-, B ; 332
+ MPY *AR4+, *AR3+, A ; 333
+ MPY *AR4+, *AR3+, B ; 334
+ MPY *AR4+, *AR3+0%, A ; 335
+ MPY *AR4+, *AR3+0%, B ; 336
+ MPY *AR4+, *AR4, A ; 337
+ MPY *AR4+, *AR4, B ; 338
+ MPY *AR4+, *AR4-, A ; 339
+ MPY *AR4+, *AR4-, B ; 340
+ MPY *AR4+, *AR4+, A ; 341
+ MPY *AR4+, *AR4+, B ; 342
+ MPY *AR4+, *AR4+0%, A ; 343
+ MPY *AR4+, *AR4+0%, B ; 344
+ MPY *AR4+, *AR5, A ; 345
+ MPY *AR4+, *AR5, B ; 346
+ MPY *AR4+, *AR5-, A ; 347
+ MPY *AR4+, *AR5-, B ; 348
+ MPY *AR4+, *AR5+, A ; 349
+ MPY *AR4+, *AR5+, B ; 350
+ MPY *AR4+, *AR5+0%, A ; 351
+ MPY *AR4+, *AR5+0%, B ; 352
+ MPY *AR4+0%, *AR2, A ; 353
+ MPY *AR4+0%, *AR2, B ; 354
+ MPY *AR4+0%, *AR2-, A ; 355
+ MPY *AR4+0%, *AR2-, B ; 356
+ MPY *AR4+0%, *AR2+, A ; 357
+ MPY *AR4+0%, *AR2+, B ; 358
+ MPY *AR4+0%, *AR2+0%, A ; 359
+ MPY *AR4+0%, *AR2+0%, B ; 360
+ MPY *AR4+0%, *AR3, A ; 361
+ MPY *AR4+0%, *AR3, B ; 362
+ MPY *AR4+0%, *AR3-, A ; 363
+ MPY *AR4+0%, *AR3-, B ; 364
+ MPY *AR4+0%, *AR3+, A ; 365
+ MPY *AR4+0%, *AR3+, B ; 366
+ MPY *AR4+0%, *AR3+0%, A ; 367
+ MPY *AR4+0%, *AR3+0%, B ; 368
+ MPY *AR4+0%, *AR4, A ; 369
+ MPY *AR4+0%, *AR4, B ; 370
+ MPY *AR4+0%, *AR4-, A ; 371
+ MPY *AR4+0%, *AR4-, B ; 372
+ MPY *AR4+0%, *AR4+, A ; 373
+ MPY *AR4+0%, *AR4+, B ; 374
+ MPY *AR4+0%, *AR4+0%, A ; 375
+ MPY *AR4+0%, *AR4+0%, B ; 376
+ MPY *AR4+0%, *AR5, A ; 377
+ MPY *AR4+0%, *AR5, B ; 378
+ MPY *AR4+0%, *AR5-, A ; 379
+ MPY *AR4+0%, *AR5-, B ; 380
+ MPY *AR4+0%, *AR5+, A ; 381
+ MPY *AR4+0%, *AR5+, B ; 382
+ MPY *AR4+0%, *AR5+0%, A ; 383
+ MPY *AR4+0%, *AR5+0%, B ; 384
+ MPY *AR5, *AR2, A ; 385
+ MPY *AR5, *AR2, B ; 386
+ MPY *AR5, *AR2-, A ; 387
+ MPY *AR5, *AR2-, B ; 388
+ MPY *AR5, *AR2+, A ; 389
+ MPY *AR5, *AR2+, B ; 390
+ MPY *AR5, *AR2+0%, A ; 391
+ MPY *AR5, *AR2+0%, B ; 392
+ MPY *AR5, *AR3, A ; 393
+ MPY *AR5, *AR3, B ; 394
+ MPY *AR5, *AR3-, A ; 395
+ MPY *AR5, *AR3-, B ; 396
+ MPY *AR5, *AR3+, A ; 397
+ MPY *AR5, *AR3+, B ; 398
+ MPY *AR5, *AR3+0%, A ; 399
+ MPY *AR5, *AR3+0%, B ; 400
+ MPY *AR5, *AR4, A ; 401
+ MPY *AR5, *AR4, B ; 402
+ MPY *AR5, *AR4-, A ; 403
+ MPY *AR5, *AR4-, B ; 404
+ MPY *AR5, *AR4+, A ; 405
+ MPY *AR5, *AR4+, B ; 406
+ MPY *AR5, *AR4+0%, A ; 407
+ MPY *AR5, *AR4+0%, B ; 408
+ MPY *AR5, *AR5, A ; 409
+ MPY *AR5, *AR5, B ; 410
+ MPY *AR5, *AR5-, A ; 411
+ MPY *AR5, *AR5-, B ; 412
+ MPY *AR5, *AR5+, A ; 413
+ MPY *AR5, *AR5+, B ; 414
+ MPY *AR5, *AR5+0%, A ; 415
+ MPY *AR5, *AR5+0%, B ; 416
+ MPY *AR5-, *AR2, A ; 417
+ MPY *AR5-, *AR2, B ; 418
+ MPY *AR5-, *AR2-, A ; 419
+ MPY *AR5-, *AR2-, B ; 420
+ MPY *AR5-, *AR2+, A ; 421
+ MPY *AR5-, *AR2+, B ; 422
+ MPY *AR5-, *AR2+0%, A ; 423
+ MPY *AR5-, *AR2+0%, B ; 424
+ MPY *AR5-, *AR3, A ; 425
+ MPY *AR5-, *AR3, B ; 426
+ MPY *AR5-, *AR3-, A ; 427
+ MPY *AR5-, *AR3-, B ; 428
+ MPY *AR5-, *AR3+, A ; 429
+ MPY *AR5-, *AR3+, B ; 430
+ MPY *AR5-, *AR3+0%, A ; 431
+ MPY *AR5-, *AR3+0%, B ; 432
+ MPY *AR5-, *AR4, A ; 433
+ MPY *AR5-, *AR4, B ; 434
+ MPY *AR5-, *AR4-, A ; 435
+ MPY *AR5-, *AR4-, B ; 436
+ MPY *AR5-, *AR4+, A ; 437
+ MPY *AR5-, *AR4+, B ; 438
+ MPY *AR5-, *AR4+0%, A ; 439
+ MPY *AR5-, *AR4+0%, B ; 440
+ MPY *AR5-, *AR5, A ; 441
+ MPY *AR5-, *AR5, B ; 442
+ MPY *AR5-, *AR5-, A ; 443
+ MPY *AR5-, *AR5-, B ; 444
+ MPY *AR5-, *AR5+, A ; 445
+ MPY *AR5-, *AR5+, B ; 446
+ MPY *AR5-, *AR5+0%, A ; 447
+ MPY *AR5-, *AR5+0%, B ; 448
+ MPY *AR5+, *AR2, A ; 449
+ MPY *AR5+, *AR2, B ; 450
+ MPY *AR5+, *AR2-, A ; 451
+ MPY *AR5+, *AR2-, B ; 452
+ MPY *AR5+, *AR2+, A ; 453
+ MPY *AR5+, *AR2+, B ; 454
+ MPY *AR5+, *AR2+0%, A ; 455
+ MPY *AR5+, *AR2+0%, B ; 456
+ MPY *AR5+, *AR3, A ; 457
+ MPY *AR5+, *AR3, B ; 458
+ MPY *AR5+, *AR3-, A ; 459
+ MPY *AR5+, *AR3-, B ; 460
+ MPY *AR5+, *AR3+, A ; 461
+ MPY *AR5+, *AR3+, B ; 462
+ MPY *AR5+, *AR3+0%, A ; 463
+ MPY *AR5+, *AR3+0%, B ; 464
+ MPY *AR5+, *AR4, A ; 465
+ MPY *AR5+, *AR4, B ; 466
+ MPY *AR5+, *AR4-, A ; 467
+ MPY *AR5+, *AR4-, B ; 468
+ MPY *AR5+, *AR4+, A ; 469
+ MPY *AR5+, *AR4+, B ; 470
+ MPY *AR5+, *AR4+0%, A ; 471
+ MPY *AR5+, *AR4+0%, B ; 472
+ MPY *AR5+, *AR5, A ; 473
+ MPY *AR5+, *AR5, B ; 474
+ MPY *AR5+, *AR5-, A ; 475
+ MPY *AR5+, *AR5-, B ; 476
+ MPY *AR5+, *AR5+, A ; 477
+ MPY *AR5+, *AR5+, B ; 478
+ MPY *AR5+, *AR5+0%, A ; 479
+ MPY *AR5+, *AR5+0%, B ; 480
+ MPY *AR5+0%, *AR2, A ; 481
+ MPY *AR5+0%, *AR2, B ; 482
+ MPY *AR5+0%, *AR2-, A ; 483
+ MPY *AR5+0%, *AR2-, B ; 484
+ MPY *AR5+0%, *AR2+, A ; 485
+ MPY *AR5+0%, *AR2+, B ; 486
+ MPY *AR5+0%, *AR2+0%, A ; 487
+ MPY *AR5+0%, *AR2+0%, B ; 488
+ MPY *AR5+0%, *AR3, A ; 489
+ MPY *AR5+0%, *AR3, B ; 490
+ MPY *AR5+0%, *AR3-, A ; 491
+ MPY *AR5+0%, *AR3-, B ; 492
+ MPY *AR5+0%, *AR3+, A ; 493
+ MPY *AR5+0%, *AR3+, B ; 494
+ MPY *AR5+0%, *AR3+0%, A ; 495
+ MPY *AR5+0%, *AR3+0%, B ; 496
+ MPY *AR5+0%, *AR4, A ; 497
+ MPY *AR5+0%, *AR4, B ; 498
+ MPY *AR5+0%, *AR4-, A ; 499
+ MPY *AR5+0%, *AR4-, B ; 500
+ MPY *AR5+0%, *AR4+, A ; 501
+ MPY *AR5+0%, *AR4+, B ; 502
+ MPY *AR5+0%, *AR4+0%, A ; 503
+ MPY *AR5+0%, *AR4+0%, B ; 504
+ MPY *AR5+0%, *AR5, A ; 505
+ MPY *AR5+0%, *AR5, B ; 506
+ MPY *AR5+0%, *AR5-, A ; 507
+ MPY *AR5+0%, *AR5-, B ; 508
+ MPY *AR5+0%, *AR5+, A ; 509
+ MPY *AR5+0%, *AR5+, B ; 510
+ MPY *AR5+0%, *AR5+0%, A ; 511
+ MPY *AR5+0%, *AR5+0%, B ; 512
+ ; MVDD : MVDD Xmem, Ymem : 256
+ MVDD *AR2, *AR2 ; 1
+ MVDD *AR2, *AR2- ; 2
+ MVDD *AR2, *AR2+ ; 3
+ MVDD *AR2, *AR2+0% ; 4
+ MVDD *AR2, *AR3 ; 5
+ MVDD *AR2, *AR3- ; 6
+ MVDD *AR2, *AR3+ ; 7
+ MVDD *AR2, *AR3+0% ; 8
+ MVDD *AR2, *AR4 ; 9
+ MVDD *AR2, *AR4- ; 10
+ MVDD *AR2, *AR4+ ; 11
+ MVDD *AR2, *AR4+0% ; 12
+ MVDD *AR2, *AR5 ; 13
+ MVDD *AR2, *AR5- ; 14
+ MVDD *AR2, *AR5+ ; 15
+ MVDD *AR2, *AR5+0% ; 16
+ MVDD *AR2-, *AR2 ; 17
+ MVDD *AR2-, *AR2- ; 18
+ MVDD *AR2-, *AR2+ ; 19
+ MVDD *AR2-, *AR2+0% ; 20
+ MVDD *AR2-, *AR3 ; 21
+ MVDD *AR2-, *AR3- ; 22
+ MVDD *AR2-, *AR3+ ; 23
+ MVDD *AR2-, *AR3+0% ; 24
+ MVDD *AR2-, *AR4 ; 25
+ MVDD *AR2-, *AR4- ; 26
+ MVDD *AR2-, *AR4+ ; 27
+ MVDD *AR2-, *AR4+0% ; 28
+ MVDD *AR2-, *AR5 ; 29
+ MVDD *AR2-, *AR5- ; 30
+ MVDD *AR2-, *AR5+ ; 31
+ MVDD *AR2-, *AR5+0% ; 32
+ MVDD *AR2+, *AR2 ; 33
+ MVDD *AR2+, *AR2- ; 34
+ MVDD *AR2+, *AR2+ ; 35
+ MVDD *AR2+, *AR2+0% ; 36
+ MVDD *AR2+, *AR3 ; 37
+ MVDD *AR2+, *AR3- ; 38
+ MVDD *AR2+, *AR3+ ; 39
+ MVDD *AR2+, *AR3+0% ; 40
+ MVDD *AR2+, *AR4 ; 41
+ MVDD *AR2+, *AR4- ; 42
+ MVDD *AR2+, *AR4+ ; 43
+ MVDD *AR2+, *AR4+0% ; 44
+ MVDD *AR2+, *AR5 ; 45
+ MVDD *AR2+, *AR5- ; 46
+ MVDD *AR2+, *AR5+ ; 47
+ MVDD *AR2+, *AR5+0% ; 48
+ MVDD *AR2+0%, *AR2 ; 49
+ MVDD *AR2+0%, *AR2- ; 50
+ MVDD *AR2+0%, *AR2+ ; 51
+ MVDD *AR2+0%, *AR2+0% ; 52
+ MVDD *AR2+0%, *AR3 ; 53
+ MVDD *AR2+0%, *AR3- ; 54
+ MVDD *AR2+0%, *AR3+ ; 55
+ MVDD *AR2+0%, *AR3+0% ; 56
+ MVDD *AR2+0%, *AR4 ; 57
+ MVDD *AR2+0%, *AR4- ; 58
+ MVDD *AR2+0%, *AR4+ ; 59
+ MVDD *AR2+0%, *AR4+0% ; 60
+ MVDD *AR2+0%, *AR5 ; 61
+ MVDD *AR2+0%, *AR5- ; 62
+ MVDD *AR2+0%, *AR5+ ; 63
+ MVDD *AR2+0%, *AR5+0% ; 64
+ MVDD *AR3, *AR2 ; 65
+ MVDD *AR3, *AR2- ; 66
+ MVDD *AR3, *AR2+ ; 67
+ MVDD *AR3, *AR2+0% ; 68
+ MVDD *AR3, *AR3 ; 69
+ MVDD *AR3, *AR3- ; 70
+ MVDD *AR3, *AR3+ ; 71
+ MVDD *AR3, *AR3+0% ; 72
+ MVDD *AR3, *AR4 ; 73
+ MVDD *AR3, *AR4- ; 74
+ MVDD *AR3, *AR4+ ; 75
+ MVDD *AR3, *AR4+0% ; 76
+ MVDD *AR3, *AR5 ; 77
+ MVDD *AR3, *AR5- ; 78
+ MVDD *AR3, *AR5+ ; 79
+ MVDD *AR3, *AR5+0% ; 80
+ MVDD *AR3-, *AR2 ; 81
+ MVDD *AR3-, *AR2- ; 82
+ MVDD *AR3-, *AR2+ ; 83
+ MVDD *AR3-, *AR2+0% ; 84
+ MVDD *AR3-, *AR3 ; 85
+ MVDD *AR3-, *AR3- ; 86
+ MVDD *AR3-, *AR3+ ; 87
+ MVDD *AR3-, *AR3+0% ; 88
+ MVDD *AR3-, *AR4 ; 89
+ MVDD *AR3-, *AR4- ; 90
+ MVDD *AR3-, *AR4+ ; 91
+ MVDD *AR3-, *AR4+0% ; 92
+ MVDD *AR3-, *AR5 ; 93
+ MVDD *AR3-, *AR5- ; 94
+ MVDD *AR3-, *AR5+ ; 95
+ MVDD *AR3-, *AR5+0% ; 96
+ MVDD *AR3+, *AR2 ; 97
+ MVDD *AR3+, *AR2- ; 98
+ MVDD *AR3+, *AR2+ ; 99
+ MVDD *AR3+, *AR2+0% ; 100
+ MVDD *AR3+, *AR3 ; 101
+ MVDD *AR3+, *AR3- ; 102
+ MVDD *AR3+, *AR3+ ; 103
+ MVDD *AR3+, *AR3+0% ; 104
+ MVDD *AR3+, *AR4 ; 105
+ MVDD *AR3+, *AR4- ; 106
+ MVDD *AR3+, *AR4+ ; 107
+ MVDD *AR3+, *AR4+0% ; 108
+ MVDD *AR3+, *AR5 ; 109
+ MVDD *AR3+, *AR5- ; 110
+ MVDD *AR3+, *AR5+ ; 111
+ MVDD *AR3+, *AR5+0% ; 112
+ MVDD *AR3+0%, *AR2 ; 113
+ MVDD *AR3+0%, *AR2- ; 114
+ MVDD *AR3+0%, *AR2+ ; 115
+ MVDD *AR3+0%, *AR2+0% ; 116
+ MVDD *AR3+0%, *AR3 ; 117
+ MVDD *AR3+0%, *AR3- ; 118
+ MVDD *AR3+0%, *AR3+ ; 119
+ MVDD *AR3+0%, *AR3+0% ; 120
+ MVDD *AR3+0%, *AR4 ; 121
+ MVDD *AR3+0%, *AR4- ; 122
+ MVDD *AR3+0%, *AR4+ ; 123
+ MVDD *AR3+0%, *AR4+0% ; 124
+ MVDD *AR3+0%, *AR5 ; 125
+ MVDD *AR3+0%, *AR5- ; 126
+ MVDD *AR3+0%, *AR5+ ; 127
+ MVDD *AR3+0%, *AR5+0% ; 128
+ MVDD *AR4, *AR2 ; 129
+ MVDD *AR4, *AR2- ; 130
+ MVDD *AR4, *AR2+ ; 131
+ MVDD *AR4, *AR2+0% ; 132
+ MVDD *AR4, *AR3 ; 133
+ MVDD *AR4, *AR3- ; 134
+ MVDD *AR4, *AR3+ ; 135
+ MVDD *AR4, *AR3+0% ; 136
+ MVDD *AR4, *AR4 ; 137
+ MVDD *AR4, *AR4- ; 138
+ MVDD *AR4, *AR4+ ; 139
+ MVDD *AR4, *AR4+0% ; 140
+ MVDD *AR4, *AR5 ; 141
+ MVDD *AR4, *AR5- ; 142
+ MVDD *AR4, *AR5+ ; 143
+ MVDD *AR4, *AR5+0% ; 144
+ MVDD *AR4-, *AR2 ; 145
+ MVDD *AR4-, *AR2- ; 146
+ MVDD *AR4-, *AR2+ ; 147
+ MVDD *AR4-, *AR2+0% ; 148
+ MVDD *AR4-, *AR3 ; 149
+ MVDD *AR4-, *AR3- ; 150
+ MVDD *AR4-, *AR3+ ; 151
+ MVDD *AR4-, *AR3+0% ; 152
+ MVDD *AR4-, *AR4 ; 153
+ MVDD *AR4-, *AR4- ; 154
+ MVDD *AR4-, *AR4+ ; 155
+ MVDD *AR4-, *AR4+0% ; 156
+ MVDD *AR4-, *AR5 ; 157
+ MVDD *AR4-, *AR5- ; 158
+ MVDD *AR4-, *AR5+ ; 159
+ MVDD *AR4-, *AR5+0% ; 160
+ MVDD *AR4+, *AR2 ; 161
+ MVDD *AR4+, *AR2- ; 162
+ MVDD *AR4+, *AR2+ ; 163
+ MVDD *AR4+, *AR2+0% ; 164
+ MVDD *AR4+, *AR3 ; 165
+ MVDD *AR4+, *AR3- ; 166
+ MVDD *AR4+, *AR3+ ; 167
+ MVDD *AR4+, *AR3+0% ; 168
+ MVDD *AR4+, *AR4 ; 169
+ MVDD *AR4+, *AR4- ; 170
+ MVDD *AR4+, *AR4+ ; 171
+ MVDD *AR4+, *AR4+0% ; 172
+ MVDD *AR4+, *AR5 ; 173
+ MVDD *AR4+, *AR5- ; 174
+ MVDD *AR4+, *AR5+ ; 175
+ MVDD *AR4+, *AR5+0% ; 176
+ MVDD *AR4+0%, *AR2 ; 177
+ MVDD *AR4+0%, *AR2- ; 178
+ MVDD *AR4+0%, *AR2+ ; 179
+ MVDD *AR4+0%, *AR2+0% ; 180
+ MVDD *AR4+0%, *AR3 ; 181
+ MVDD *AR4+0%, *AR3- ; 182
+ MVDD *AR4+0%, *AR3+ ; 183
+ MVDD *AR4+0%, *AR3+0% ; 184
+ MVDD *AR4+0%, *AR4 ; 185
+ MVDD *AR4+0%, *AR4- ; 186
+ MVDD *AR4+0%, *AR4+ ; 187
+ MVDD *AR4+0%, *AR4+0% ; 188
+ MVDD *AR4+0%, *AR5 ; 189
+ MVDD *AR4+0%, *AR5- ; 190
+ MVDD *AR4+0%, *AR5+ ; 191
+ MVDD *AR4+0%, *AR5+0% ; 192
+ MVDD *AR5, *AR2 ; 193
+ MVDD *AR5, *AR2- ; 194
+ MVDD *AR5, *AR2+ ; 195
+ MVDD *AR5, *AR2+0% ; 196
+ MVDD *AR5, *AR3 ; 197
+ MVDD *AR5, *AR3- ; 198
+ MVDD *AR5, *AR3+ ; 199
+ MVDD *AR5, *AR3+0% ; 200
+ MVDD *AR5, *AR4 ; 201
+ MVDD *AR5, *AR4- ; 202
+ MVDD *AR5, *AR4+ ; 203
+ MVDD *AR5, *AR4+0% ; 204
+ MVDD *AR5, *AR5 ; 205
+ MVDD *AR5, *AR5- ; 206
+ MVDD *AR5, *AR5+ ; 207
+ MVDD *AR5, *AR5+0% ; 208
+ MVDD *AR5-, *AR2 ; 209
+ MVDD *AR5-, *AR2- ; 210
+ MVDD *AR5-, *AR2+ ; 211
+ MVDD *AR5-, *AR2+0% ; 212
+ MVDD *AR5-, *AR3 ; 213
+ MVDD *AR5-, *AR3- ; 214
+ MVDD *AR5-, *AR3+ ; 215
+ MVDD *AR5-, *AR3+0% ; 216
+ MVDD *AR5-, *AR4 ; 217
+ MVDD *AR5-, *AR4- ; 218
+ MVDD *AR5-, *AR4+ ; 219
+ MVDD *AR5-, *AR4+0% ; 220
+ MVDD *AR5-, *AR5 ; 221
+ MVDD *AR5-, *AR5- ; 222
+ MVDD *AR5-, *AR5+ ; 223
+ MVDD *AR5-, *AR5+0% ; 224
+ MVDD *AR5+, *AR2 ; 225
+ MVDD *AR5+, *AR2- ; 226
+ MVDD *AR5+, *AR2+ ; 227
+ MVDD *AR5+, *AR2+0% ; 228
+ MVDD *AR5+, *AR3 ; 229
+ MVDD *AR5+, *AR3- ; 230
+ MVDD *AR5+, *AR3+ ; 231
+ MVDD *AR5+, *AR3+0% ; 232
+ MVDD *AR5+, *AR4 ; 233
+ MVDD *AR5+, *AR4- ; 234
+ MVDD *AR5+, *AR4+ ; 235
+ MVDD *AR5+, *AR4+0% ; 236
+ MVDD *AR5+, *AR5 ; 237
+ MVDD *AR5+, *AR5- ; 238
+ MVDD *AR5+, *AR5+ ; 239
+ MVDD *AR5+, *AR5+0% ; 240
+ MVDD *AR5+0%, *AR2 ; 241
+ MVDD *AR5+0%, *AR2- ; 242
+ MVDD *AR5+0%, *AR2+ ; 243
+ MVDD *AR5+0%, *AR2+0% ; 244
+ MVDD *AR5+0%, *AR3 ; 245
+ MVDD *AR5+0%, *AR3- ; 246
+ MVDD *AR5+0%, *AR3+ ; 247
+ MVDD *AR5+0%, *AR3+0% ; 248
+ MVDD *AR5+0%, *AR4 ; 249
+ MVDD *AR5+0%, *AR4- ; 250
+ MVDD *AR5+0%, *AR4+ ; 251
+ MVDD *AR5+0%, *AR4+0% ; 252
+ MVDD *AR5+0%, *AR5 ; 253
+ MVDD *AR5+0%, *AR5- ; 254
+ MVDD *AR5+0%, *AR5+ ; 255
+ MVDD *AR5+0%, *AR5+0% ; 256
+ ; MVDK : MVDK Smem, Dmad : 1
+ MVDK 66h, 00060h ; 1
+ ; MVDK_I : MVDK Smem_I, Dmad : 120
+ MVDK *AR0, 00061h ; 1
+ MVDK *AR0-, 00062h ; 2
+ MVDK *AR0+, 00063h ; 3
+ MVDK *AR0-0B, 00064h ; 4
+ MVDK *AR0-0, 00065h ; 5
+ MVDK *AR0+0, 00066h ; 6
+ MVDK *AR0+0B, 00067h ; 7
+ MVDK *AR0-%, 00068h ; 8
+ MVDK *AR0-0%, 00069h ; 9
+ MVDK *AR0+%, 0006Ah ; 10
+ MVDK *AR0+0%, 0006Bh ; 11
+ MVDK *AR0(03370h), 0006Ch ; 12
+ MVDK *+AR0(03371h), 0006Dh ; 13
+ MVDK *+AR0(03372h)%, 0006Eh ; 14
+ MVDK *(03373h), 0006Fh ; 15
+ MVDK *AR1, 00070h ; 16
+ MVDK *AR1-, 00071h ; 17
+ MVDK *AR1+, 00072h ; 18
+ MVDK *AR1-0B, 00073h ; 19
+ MVDK *AR1-0, 00074h ; 20
+ MVDK *AR1+0, 00075h ; 21
+ MVDK *AR1+0B, 00076h ; 22
+ MVDK *AR1-%, 00077h ; 23
+ MVDK *AR1-0%, 00078h ; 24
+ MVDK *AR1+%, 00079h ; 25
+ MVDK *AR1+0%, 0007Ah ; 26
+ MVDK *AR1(03374h), 0007Bh ; 27
+ MVDK *+AR1(03375h), 0007Ch ; 28
+ MVDK *+AR1(03376h)%, 0007Dh ; 29
+ MVDK *(03377h), 0007Eh ; 30
+ MVDK *AR2, 0007Fh ; 31
+ MVDK *AR2-, 00080h ; 32
+ MVDK *AR2+, 00081h ; 33
+ MVDK *AR2-0B, 00082h ; 34
+ MVDK *AR2-0, 00083h ; 35
+ MVDK *AR2+0, 00084h ; 36
+ MVDK *AR2+0B, 00085h ; 37
+ MVDK *AR2-%, 00086h ; 38
+ MVDK *AR2-0%, 00087h ; 39
+ MVDK *AR2+%, 00088h ; 40
+ MVDK *AR2+0%, 00089h ; 41
+ MVDK *AR2(03378h), 0008Ah ; 42
+ MVDK *+AR2(03379h), 0008Bh ; 43
+ MVDK *+AR2(0337Ah)%, 0008Ch ; 44
+ MVDK *(0337Bh), 0008Dh ; 45
+ MVDK *AR3, 0008Eh ; 46
+ MVDK *AR3-, 0008Fh ; 47
+ MVDK *AR3+, 00090h ; 48
+ MVDK *AR3-0B, 00091h ; 49
+ MVDK *AR3-0, 00092h ; 50
+ MVDK *AR3+0, 00093h ; 51
+ MVDK *AR3+0B, 00094h ; 52
+ MVDK *AR3-%, 00095h ; 53
+ MVDK *AR3-0%, 00096h ; 54
+ MVDK *AR3+%, 00097h ; 55
+ MVDK *AR3+0%, 00098h ; 56
+ MVDK *AR3(0337Ch), 00099h ; 57
+ MVDK *+AR3(0337Dh), 0009Ah ; 58
+ MVDK *+AR3(0337Eh)%, 0009Bh ; 59
+ MVDK *(0337Fh), 0009Ch ; 60
+ MVDK *AR4, 0009Dh ; 61
+ MVDK *AR4-, 0009Eh ; 62
+ MVDK *AR4+, 0009Fh ; 63
+ MVDK *AR4-0B, 000A0h ; 64
+ MVDK *AR4-0, 000A1h ; 65
+ MVDK *AR4+0, 000A2h ; 66
+ MVDK *AR4+0B, 000A3h ; 67
+ MVDK *AR4-%, 000A4h ; 68
+ MVDK *AR4-0%, 000A5h ; 69
+ MVDK *AR4+%, 000A6h ; 70
+ MVDK *AR4+0%, 000A7h ; 71
+ MVDK *AR4(03380h), 000A8h ; 72
+ MVDK *+AR4(03381h), 000A9h ; 73
+ MVDK *+AR4(03382h)%, 000AAh ; 74
+ MVDK *(03383h), 000ABh ; 75
+ MVDK *AR5, 000ACh ; 76
+ MVDK *AR5-, 000ADh ; 77
+ MVDK *AR5+, 000AEh ; 78
+ MVDK *AR5-0B, 000AFh ; 79
+ MVDK *AR5-0, 000B0h ; 80
+ MVDK *AR5+0, 000B1h ; 81
+ MVDK *AR5+0B, 000B2h ; 82
+ MVDK *AR5-%, 000B3h ; 83
+ MVDK *AR5-0%, 000B4h ; 84
+ MVDK *AR5+%, 000B5h ; 85
+ MVDK *AR5+0%, 000B6h ; 86
+ MVDK *AR5(03384h), 000B7h ; 87
+ MVDK *+AR5(03385h), 000B8h ; 88
+ MVDK *+AR5(03386h)%, 000B9h ; 89
+ MVDK *(03387h), 000BAh ; 90
+ MVDK *AR6, 000BBh ; 91
+ MVDK *AR6-, 000BCh ; 92
+ MVDK *AR6+, 000BDh ; 93
+ MVDK *AR6-0B, 000BEh ; 94
+ MVDK *AR6-0, 000BFh ; 95
+ MVDK *AR6+0, 000C0h ; 96
+ MVDK *AR6+0B, 000C1h ; 97
+ MVDK *AR6-%, 000C2h ; 98
+ MVDK *AR6-0%, 000C3h ; 99
+ MVDK *AR6+%, 000C4h ; 100
+ MVDK *AR6+0%, 000C5h ; 101
+ MVDK *AR6(03388h), 000C6h ; 102
+ MVDK *+AR6(03389h), 000C7h ; 103
+ MVDK *+AR6(0338Ah)%, 000C8h ; 104
+ MVDK *(0338Bh), 000C9h ; 105
+ MVDK *AR7, 000CAh ; 106
+ MVDK *AR7-, 000CBh ; 107
+ MVDK *AR7+, 000CCh ; 108
+ MVDK *AR7-0B, 000CDh ; 109
+ MVDK *AR7-0, 000CEh ; 110
+ MVDK *AR7+0, 000CFh ; 111
+ MVDK *AR7+0B, 000D0h ; 112
+ MVDK *AR7-%, 000D1h ; 113
+ MVDK *AR7-0%, 000D2h ; 114
+ MVDK *AR7+%, 000D3h ; 115
+ MVDK *AR7+0%, 000D4h ; 116
+ MVDK *AR7(0338Ch), 000D5h ; 117
+ MVDK *+AR7(0338Dh), 000D6h ; 118
+ MVDK *+AR7(0338Eh)%, 000D7h ; 119
+ MVDK *(0338Fh), 000D8h ; 120
+ ; MVDM : MVDM Dmad, MMR : 1
+ MVDM 000D9h, 67h ; 1
+ ; MVDM_I : MVDM Dmad, MMR_I : 88
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ MVDM 000DAh, *AR0 ; 1
+ MVDM 000DBh, *AR0- ; 2
+ MVDM 000DCh, *AR0+ ; 3
+ MVDM 000DDh, *AR0-0B ; 4
+ MVDM 000DEh, *AR0-0 ; 5
+ MVDM 000DFh, *AR0+0 ; 6
+ MVDM 000E0h, *AR0+0B ; 7
+ MVDM 000E1h, *AR0-% ; 8
+ MVDM 000E2h, *AR0-0% ; 9
+ MVDM 000E3h, *AR0+% ; 10
+ MVDM 000E4h, *AR0+0% ; 11
+ MVDM 000E5h, *AR1 ; 12
+ MVDM 000E6h, *AR1- ; 13
+ MVDM 000E7h, *AR1+ ; 14
+ MVDM 000E8h, *AR1-0B ; 15
+ MVDM 000E9h, *AR1-0 ; 16
+ MVDM 000EAh, *AR1+0 ; 17
+ MVDM 000EBh, *AR1+0B ; 18
+ MVDM 000ECh, *AR1-% ; 19
+ MVDM 000EDh, *AR1-0% ; 20
+ MVDM 000EEh, *AR1+% ; 21
+ MVDM 000EFh, *AR1+0% ; 22
+ MVDM 000F0h, *AR2 ; 23
+ MVDM 000F1h, *AR2- ; 24
+ MVDM 000F2h, *AR2+ ; 25
+ MVDM 000F3h, *AR2-0B ; 26
+ MVDM 000F4h, *AR2-0 ; 27
+ MVDM 000F5h, *AR2+0 ; 28
+ MVDM 000F6h, *AR2+0B ; 29
+ MVDM 000F7h, *AR2-% ; 30
+ MVDM 000F8h, *AR2-0% ; 31
+ MVDM 000F9h, *AR2+% ; 32
+ MVDM 000FAh, *AR2+0% ; 33
+ MVDM 000FBh, *AR3 ; 34
+ MVDM 000FCh, *AR3- ; 35
+ MVDM 000FDh, *AR3+ ; 36
+ MVDM 000FEh, *AR3-0B ; 37
+ MVDM 000FFh, *AR3-0 ; 38
+ MVDM 00100h, *AR3+0 ; 39
+ MVDM 00101h, *AR3+0B ; 40
+ MVDM 00102h, *AR3-% ; 41
+ MVDM 00103h, *AR3-0% ; 42
+ MVDM 00104h, *AR3+% ; 43
+ MVDM 00105h, *AR3+0% ; 44
+ MVDM 00106h, *AR4 ; 45
+ MVDM 00107h, *AR4- ; 46
+ MVDM 00108h, *AR4+ ; 47
+ MVDM 00109h, *AR4-0B ; 48
+ MVDM 0010Ah, *AR4-0 ; 49
+ MVDM 0010Bh, *AR4+0 ; 50
+ MVDM 0010Ch, *AR4+0B ; 51
+ MVDM 0010Dh, *AR4-% ; 52
+ MVDM 0010Eh, *AR4-0% ; 53
+ MVDM 0010Fh, *AR4+% ; 54
+ MVDM 00110h, *AR4+0% ; 55
+ MVDM 00111h, *AR5 ; 56
+ MVDM 00112h, *AR5- ; 57
+ MVDM 00113h, *AR5+ ; 58
+ MVDM 00114h, *AR5-0B ; 59
+ MVDM 00115h, *AR5-0 ; 60
+ MVDM 00116h, *AR5+0 ; 61
+ MVDM 00117h, *AR5+0B ; 62
+ MVDM 00118h, *AR5-% ; 63
+ MVDM 00119h, *AR5-0% ; 64
+ MVDM 0011Ah, *AR5+% ; 65
+ MVDM 0011Bh, *AR5+0% ; 66
+ MVDM 0011Ch, *AR6 ; 67
+ MVDM 0011Dh, *AR6- ; 68
+ MVDM 0011Eh, *AR6+ ; 69
+ MVDM 0011Fh, *AR6-0B ; 70
+ MVDM 00120h, *AR6-0 ; 71
+ MVDM 00121h, *AR6+0 ; 72
+ MVDM 00122h, *AR6+0B ; 73
+ MVDM 00123h, *AR6-% ; 74
+ MVDM 00124h, *AR6-0% ; 75
+ MVDM 00125h, *AR6+% ; 76
+ MVDM 00126h, *AR6+0% ; 77
+ MVDM 00127h, *AR7 ; 78
+ MVDM 00128h, *AR7- ; 79
+ MVDM 00129h, *AR7+ ; 80
+ MVDM 0012Ah, *AR7-0B ; 81
+ MVDM 0012Bh, *AR7-0 ; 82
+ MVDM 0012Ch, *AR7+0 ; 83
+ MVDM 0012Dh, *AR7+0B ; 84
+ MVDM 0012Eh, *AR7-% ; 85
+ MVDM 0012Fh, *AR7-0% ; 86
+ MVDM 00130h, *AR7+% ; 87
+ MVDM 00131h, *AR7+0% ; 88
+ ; MVDP : MVDP Smem, Pmad : 1
+ MVDP 68h, 006B4h ; 1
+ ; MVDP_I : MVDP Smem_I, Pmad : 120
+ MVDP *AR0, 006B5h ; 1
+ MVDP *AR0-, 006B6h ; 2
+ MVDP *AR0+, 006B7h ; 3
+ MVDP *AR0-0B, 006B8h ; 4
+ MVDP *AR0-0, 006B9h ; 5
+ MVDP *AR0+0, 006BAh ; 6
+ MVDP *AR0+0B, 006BBh ; 7
+ MVDP *AR0-%, 006BCh ; 8
+ MVDP *AR0-0%, 006BDh ; 9
+ MVDP *AR0+%, 006BEh ; 10
+ MVDP *AR0+0%, 006BFh ; 11
+ MVDP *AR0(03390h), 006C0h ; 12
+ MVDP *+AR0(03391h), 006C1h ; 13
+ MVDP *+AR0(03392h)%, 006C2h ; 14
+ MVDP *(03393h), 006C3h ; 15
+ MVDP *AR1, 006C4h ; 16
+ MVDP *AR1-, 006C5h ; 17
+ MVDP *AR1+, 006C6h ; 18
+ MVDP *AR1-0B, 006C7h ; 19
+ MVDP *AR1-0, 006C8h ; 20
+ MVDP *AR1+0, 006C9h ; 21
+ MVDP *AR1+0B, 006CAh ; 22
+ MVDP *AR1-%, 006CBh ; 23
+ MVDP *AR1-0%, 006CCh ; 24
+ MVDP *AR1+%, 006CDh ; 25
+ MVDP *AR1+0%, 006CEh ; 26
+ MVDP *AR1(03394h), 006CFh ; 27
+ MVDP *+AR1(03395h), 006D0h ; 28
+ MVDP *+AR1(03396h)%, 006D1h ; 29
+ MVDP *(03397h), 006D2h ; 30
+ MVDP *AR2, 006D3h ; 31
+ MVDP *AR2-, 006D4h ; 32
+ MVDP *AR2+, 006D5h ; 33
+ MVDP *AR2-0B, 006D6h ; 34
+ MVDP *AR2-0, 006D7h ; 35
+ MVDP *AR2+0, 006D8h ; 36
+ MVDP *AR2+0B, 006D9h ; 37
+ MVDP *AR2-%, 006DAh ; 38
+ MVDP *AR2-0%, 006DBh ; 39
+ MVDP *AR2+%, 006DCh ; 40
+ MVDP *AR2+0%, 006DDh ; 41
+ MVDP *AR2(03398h), 006DEh ; 42
+ MVDP *+AR2(03399h), 006DFh ; 43
+ MVDP *+AR2(0339Ah)%, 006E0h ; 44
+ MVDP *(0339Bh), 006E1h ; 45
+ MVDP *AR3, 006E2h ; 46
+ MVDP *AR3-, 006E3h ; 47
+ MVDP *AR3+, 006E4h ; 48
+ MVDP *AR3-0B, 006E5h ; 49
+ MVDP *AR3-0, 006E6h ; 50
+ MVDP *AR3+0, 006E7h ; 51
+ MVDP *AR3+0B, 006E8h ; 52
+ MVDP *AR3-%, 006E9h ; 53
+ MVDP *AR3-0%, 006EAh ; 54
+ MVDP *AR3+%, 006EBh ; 55
+ MVDP *AR3+0%, 006ECh ; 56
+ MVDP *AR3(0339Ch), 006EDh ; 57
+ MVDP *+AR3(0339Dh), 006EEh ; 58
+ MVDP *+AR3(0339Eh)%, 006EFh ; 59
+ MVDP *(0339Fh), 006F0h ; 60
+ MVDP *AR4, 006F1h ; 61
+ MVDP *AR4-, 006F2h ; 62
+ MVDP *AR4+, 006F3h ; 63
+ MVDP *AR4-0B, 006F4h ; 64
+ MVDP *AR4-0, 006F5h ; 65
+ MVDP *AR4+0, 006F6h ; 66
+ MVDP *AR4+0B, 006F7h ; 67
+ MVDP *AR4-%, 006F8h ; 68
+ MVDP *AR4-0%, 006F9h ; 69
+ MVDP *AR4+%, 006FAh ; 70
+ MVDP *AR4+0%, 006FBh ; 71
+ MVDP *AR4(033A0h), 006FCh ; 72
+ MVDP *+AR4(033A1h), 006FDh ; 73
+ MVDP *+AR4(033A2h)%, 006FEh ; 74
+ MVDP *(033A3h), 006FFh ; 75
+ MVDP *AR5, 00700h ; 76
+ MVDP *AR5-, 00701h ; 77
+ MVDP *AR5+, 00702h ; 78
+ MVDP *AR5-0B, 00703h ; 79
+ MVDP *AR5-0, 00704h ; 80
+ MVDP *AR5+0, 00705h ; 81
+ MVDP *AR5+0B, 00706h ; 82
+ MVDP *AR5-%, 00707h ; 83
+ MVDP *AR5-0%, 00708h ; 84
+ MVDP *AR5+%, 00709h ; 85
+ MVDP *AR5+0%, 0070Ah ; 86
+ MVDP *AR5(033A4h), 0070Bh ; 87
+ MVDP *+AR5(033A5h), 0070Ch ; 88
+ MVDP *+AR5(033A6h)%, 0070Dh ; 89
+ MVDP *(033A7h), 0070Eh ; 90
+ MVDP *AR6, 0070Fh ; 91
+ MVDP *AR6-, 00710h ; 92
+ MVDP *AR6+, 00711h ; 93
+ MVDP *AR6-0B, 00712h ; 94
+ MVDP *AR6-0, 00713h ; 95
+ MVDP *AR6+0, 00714h ; 96
+ MVDP *AR6+0B, 00715h ; 97
+ MVDP *AR6-%, 00716h ; 98
+ MVDP *AR6-0%, 00717h ; 99
+ MVDP *AR6+%, 00718h ; 100
+ MVDP *AR6+0%, 00719h ; 101
+ MVDP *AR6(033A8h), 0071Ah ; 102
+ MVDP *+AR6(033A9h), 0071Bh ; 103
+ MVDP *+AR6(033AAh)%, 0071Ch ; 104
+ MVDP *(033ABh), 0071Dh ; 105
+ MVDP *AR7, 0071Eh ; 106
+ MVDP *AR7-, 0071Fh ; 107
+ MVDP *AR7+, 00720h ; 108
+ MVDP *AR7-0B, 00721h ; 109
+ MVDP *AR7-0, 00722h ; 110
+ MVDP *AR7+0, 00723h ; 111
+ MVDP *AR7+0B, 00724h ; 112
+ MVDP *AR7-%, 00725h ; 113
+ MVDP *AR7-0%, 00726h ; 114
+ MVDP *AR7+%, 00727h ; 115
+ MVDP *AR7+0%, 00728h ; 116
+ MVDP *AR7(033ACh), 00729h ; 117
+ MVDP *+AR7(033ADh), 0072Ah ; 118
+ MVDP *+AR7(033AEh)%, 0072Bh ; 119
+ MVDP *(033AFh), 0072Ch ; 120
+ ; MVKD : MVKD Dmad, Smem : 1
+ MVKD 00132h, 69h ; 1
+ ; MVKD_I : MVKD Dmad, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ MVKD 00133h, *AR0 ; 1
+ MVKD 00134h, *AR0- ; 2
+ MVKD 00135h, *AR0+ ; 3
+ MVKD 00136h, *+AR0 ; 4
+ MVKD 00137h, *AR0-0B ; 5
+ MVKD 00138h, *AR0-0 ; 6
+ MVKD 00139h, *AR0+0 ; 7
+ MVKD 0013Ah, *AR0+0B ; 8
+ MVKD 0013Bh, *AR0-% ; 9
+ MVKD 0013Ch, *AR0-0% ; 10
+ MVKD 0013Dh, *AR0+% ; 11
+ MVKD 0013Eh, *AR0+0% ; 12
+ MVKD 0013Fh, *AR0(033B0h) ; 13
+ MVKD 00140h, *+AR0(033B1h) ; 14
+ MVKD 00141h, *+AR0(033B2h)% ; 15
+ MVKD 00142h, *(033B3h) ; 16
+ MVKD 00143h, *AR1 ; 17
+ MVKD 00144h, *AR1- ; 18
+ MVKD 00145h, *AR1+ ; 19
+ MVKD 00146h, *+AR1 ; 20
+ MVKD 00147h, *AR1-0B ; 21
+ MVKD 00148h, *AR1-0 ; 22
+ MVKD 00149h, *AR1+0 ; 23
+ MVKD 0014Ah, *AR1+0B ; 24
+ MVKD 0014Bh, *AR1-% ; 25
+ MVKD 0014Ch, *AR1-0% ; 26
+ MVKD 0014Dh, *AR1+% ; 27
+ MVKD 0014Eh, *AR1+0% ; 28
+ MVKD 0014Fh, *AR1(033B4h) ; 29
+ MVKD 00150h, *+AR1(033B5h) ; 30
+ MVKD 00151h, *+AR1(033B6h)% ; 31
+ MVKD 00152h, *(033B7h) ; 32
+ MVKD 00153h, *AR2 ; 33
+ MVKD 00154h, *AR2- ; 34
+ MVKD 00155h, *AR2+ ; 35
+ MVKD 00156h, *+AR2 ; 36
+ MVKD 00157h, *AR2-0B ; 37
+ MVKD 00158h, *AR2-0 ; 38
+ MVKD 00159h, *AR2+0 ; 39
+ MVKD 0015Ah, *AR2+0B ; 40
+ MVKD 0015Bh, *AR2-% ; 41
+ MVKD 0015Ch, *AR2-0% ; 42
+ MVKD 0015Dh, *AR2+% ; 43
+ MVKD 0015Eh, *AR2+0% ; 44
+ MVKD 0015Fh, *AR2(033B8h) ; 45
+ MVKD 00160h, *+AR2(033B9h) ; 46
+ MVKD 00161h, *+AR2(033BAh)% ; 47
+ MVKD 00162h, *(033BBh) ; 48
+ MVKD 00163h, *AR3 ; 49
+ MVKD 00164h, *AR3- ; 50
+ MVKD 00165h, *AR3+ ; 51
+ MVKD 00166h, *+AR3 ; 52
+ MVKD 00167h, *AR3-0B ; 53
+ MVKD 00168h, *AR3-0 ; 54
+ MVKD 00169h, *AR3+0 ; 55
+ MVKD 0016Ah, *AR3+0B ; 56
+ MVKD 0016Bh, *AR3-% ; 57
+ MVKD 0016Ch, *AR3-0% ; 58
+ MVKD 0016Dh, *AR3+% ; 59
+ MVKD 0016Eh, *AR3+0% ; 60
+ MVKD 0016Fh, *AR3(033BCh) ; 61
+ MVKD 00170h, *+AR3(033BDh) ; 62
+ MVKD 00171h, *+AR3(033BEh)% ; 63
+ MVKD 00172h, *(033BFh) ; 64
+ MVKD 00173h, *AR4 ; 65
+ MVKD 00174h, *AR4- ; 66
+ MVKD 00175h, *AR4+ ; 67
+ MVKD 00176h, *+AR4 ; 68
+ MVKD 00177h, *AR4-0B ; 69
+ MVKD 00178h, *AR4-0 ; 70
+ MVKD 00179h, *AR4+0 ; 71
+ MVKD 0017Ah, *AR4+0B ; 72
+ MVKD 0017Bh, *AR4-% ; 73
+ MVKD 0017Ch, *AR4-0% ; 74
+ MVKD 0017Dh, *AR4+% ; 75
+ MVKD 0017Eh, *AR4+0% ; 76
+ MVKD 0017Fh, *AR4(033C0h) ; 77
+ MVKD 00180h, *+AR4(033C1h) ; 78
+ MVKD 00181h, *+AR4(033C2h)% ; 79
+ MVKD 00182h, *(033C3h) ; 80
+ MVKD 00183h, *AR5 ; 81
+ MVKD 00184h, *AR5- ; 82
+ MVKD 00185h, *AR5+ ; 83
+ MVKD 00186h, *+AR5 ; 84
+ MVKD 00187h, *AR5-0B ; 85
+ MVKD 00188h, *AR5-0 ; 86
+ MVKD 00189h, *AR5+0 ; 87
+ MVKD 0018Ah, *AR5+0B ; 88
+ MVKD 0018Bh, *AR5-% ; 89
+ MVKD 0018Ch, *AR5-0% ; 90
+ MVKD 0018Dh, *AR5+% ; 91
+ MVKD 0018Eh, *AR5+0% ; 92
+ MVKD 0018Fh, *AR5(033C4h) ; 93
+ MVKD 00190h, *+AR5(033C5h) ; 94
+ MVKD 00191h, *+AR5(033C6h)% ; 95
+ MVKD 00192h, *(033C7h) ; 96
+ MVKD 00193h, *AR6 ; 97
+ MVKD 00194h, *AR6- ; 98
+ MVKD 00195h, *AR6+ ; 99
+ MVKD 00196h, *+AR6 ; 100
+ MVKD 00197h, *AR6-0B ; 101
+ MVKD 00198h, *AR6-0 ; 102
+ MVKD 00199h, *AR6+0 ; 103
+ MVKD 0019Ah, *AR6+0B ; 104
+ MVKD 0019Bh, *AR6-% ; 105
+ MVKD 0019Ch, *AR6-0% ; 106
+ MVKD 0019Dh, *AR6+% ; 107
+ MVKD 0019Eh, *AR6+0% ; 108
+ MVKD 0019Fh, *AR6(033C8h) ; 109
+ MVKD 001A0h, *+AR6(033C9h) ; 110
+ MVKD 001A1h, *+AR6(033CAh)% ; 111
+ MVKD 001A2h, *(033CBh) ; 112
+ MVKD 001A3h, *AR7 ; 113
+ MVKD 001A4h, *AR7- ; 114
+ MVKD 001A5h, *AR7+ ; 115
+ MVKD 001A6h, *+AR7 ; 116
+ MVKD 001A7h, *AR7-0B ; 117
+ MVKD 001A8h, *AR7-0 ; 118
+ MVKD 001A9h, *AR7+0 ; 119
+ MVKD 001AAh, *AR7+0B ; 120
+ MVKD 001ABh, *AR7-% ; 121
+ MVKD 001ACh, *AR7-0% ; 122
+ MVKD 001ADh, *AR7+% ; 123
+ MVKD 001AEh, *AR7+0% ; 124
+ MVKD 001AFh, *AR7(033CCh) ; 125
+ MVKD 001B0h, *+AR7(033CDh) ; 126
+ MVKD 001B1h, *+AR7(033CEh)% ; 127
+ MVKD 001B2h, *(033CFh) ; 128
+ ; MVMD : MVMD MMR, Dmad : 1
+ MVMD 6Ah, 001B3h ; 1
+ ; MVMD_I : MVMD MMR_I, Dmad : 88
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ MVMD *AR0, 001B4h ; 1
+ MVMD *AR0-, 001B5h ; 2
+ MVMD *AR0+, 001B6h ; 3
+ MVMD *AR0-0B, 001B7h ; 4
+ MVMD *AR0-0, 001B8h ; 5
+ MVMD *AR0+0, 001B9h ; 6
+ MVMD *AR0+0B, 001BAh ; 7
+ MVMD *AR0-%, 001BBh ; 8
+ MVMD *AR0-0%, 001BCh ; 9
+ MVMD *AR0+%, 001BDh ; 10
+ MVMD *AR0+0%, 001BEh ; 11
+ MVMD *AR1, 001BFh ; 12
+ MVMD *AR1-, 001C0h ; 13
+ MVMD *AR1+, 001C1h ; 14
+ MVMD *AR1-0B, 001C2h ; 15
+ MVMD *AR1-0, 001C3h ; 16
+ MVMD *AR1+0, 001C4h ; 17
+ MVMD *AR1+0B, 001C5h ; 18
+ MVMD *AR1-%, 001C6h ; 19
+ MVMD *AR1-0%, 001C7h ; 20
+ MVMD *AR1+%, 001C8h ; 21
+ MVMD *AR1+0%, 001C9h ; 22
+ MVMD *AR2, 001CAh ; 23
+ MVMD *AR2-, 001CBh ; 24
+ MVMD *AR2+, 001CCh ; 25
+ MVMD *AR2-0B, 001CDh ; 26
+ MVMD *AR2-0, 001CEh ; 27
+ MVMD *AR2+0, 001CFh ; 28
+ MVMD *AR2+0B, 001D0h ; 29
+ MVMD *AR2-%, 001D1h ; 30
+ MVMD *AR2-0%, 001D2h ; 31
+ MVMD *AR2+%, 001D3h ; 32
+ MVMD *AR2+0%, 001D4h ; 33
+ MVMD *AR3, 001D5h ; 34
+ MVMD *AR3-, 001D6h ; 35
+ MVMD *AR3+, 001D7h ; 36
+ MVMD *AR3-0B, 001D8h ; 37
+ MVMD *AR3-0, 001D9h ; 38
+ MVMD *AR3+0, 001DAh ; 39
+ MVMD *AR3+0B, 001DBh ; 40
+ MVMD *AR3-%, 001DCh ; 41
+ MVMD *AR3-0%, 001DDh ; 42
+ MVMD *AR3+%, 001DEh ; 43
+ MVMD *AR3+0%, 001DFh ; 44
+ MVMD *AR4, 001E0h ; 45
+ MVMD *AR4-, 001E1h ; 46
+ MVMD *AR4+, 001E2h ; 47
+ MVMD *AR4-0B, 001E3h ; 48
+ MVMD *AR4-0, 001E4h ; 49
+ MVMD *AR4+0, 001E5h ; 50
+ MVMD *AR4+0B, 001E6h ; 51
+ MVMD *AR4-%, 001E7h ; 52
+ MVMD *AR4-0%, 001E8h ; 53
+ MVMD *AR4+%, 001E9h ; 54
+ MVMD *AR4+0%, 001EAh ; 55
+ MVMD *AR5, 001EBh ; 56
+ MVMD *AR5-, 001ECh ; 57
+ MVMD *AR5+, 001EDh ; 58
+ MVMD *AR5-0B, 001EEh ; 59
+ MVMD *AR5-0, 001EFh ; 60
+ MVMD *AR5+0, 001F0h ; 61
+ MVMD *AR5+0B, 001F1h ; 62
+ MVMD *AR5-%, 001F2h ; 63
+ MVMD *AR5-0%, 001F3h ; 64
+ MVMD *AR5+%, 001F4h ; 65
+ MVMD *AR5+0%, 001F5h ; 66
+ MVMD *AR6, 001F6h ; 67
+ MVMD *AR6-, 001F7h ; 68
+ MVMD *AR6+, 001F8h ; 69
+ MVMD *AR6-0B, 001F9h ; 70
+ MVMD *AR6-0, 001FAh ; 71
+ MVMD *AR6+0, 001FBh ; 72
+ MVMD *AR6+0B, 001FCh ; 73
+ MVMD *AR6-%, 001FDh ; 74
+ MVMD *AR6-0%, 001FEh ; 75
+ MVMD *AR6+%, 001FFh ; 76
+ MVMD *AR6+0%, 00200h ; 77
+ MVMD *AR7, 00201h ; 78
+ MVMD *AR7-, 00202h ; 79
+ MVMD *AR7+, 00203h ; 80
+ MVMD *AR7-0B, 00204h ; 81
+ MVMD *AR7-0, 00205h ; 82
+ MVMD *AR7+0, 00206h ; 83
+ MVMD *AR7+0B, 00207h ; 84
+ MVMD *AR7-%, 00208h ; 85
+ MVMD *AR7-0%, 00209h ; 86
+ MVMD *AR7+%, 0020Ah ; 87
+ MVMD *AR7+0%, 0020Bh ; 88
+ ; MVMM : MVMM MMRx, MMRy : 81
+ MVMM SP, SP ; 1
+ MVMM SP, AR0 ; 2
+ MVMM SP, AR1 ; 3
+ MVMM SP, AR2 ; 4
+ MVMM SP, AR3 ; 5
+ MVMM SP, AR4 ; 6
+ MVMM SP, AR5 ; 7
+ MVMM SP, AR6 ; 8
+ MVMM SP, AR7 ; 9
+ MVMM AR0, SP ; 10
+ MVMM AR0, AR0 ; 11
+ MVMM AR0, AR1 ; 12
+ MVMM AR0, AR2 ; 13
+ MVMM AR0, AR3 ; 14
+ MVMM AR0, AR4 ; 15
+ MVMM AR0, AR5 ; 16
+ MVMM AR0, AR6 ; 17
+ MVMM AR0, AR7 ; 18
+ MVMM AR1, SP ; 19
+ MVMM AR1, AR0 ; 20
+ MVMM AR1, AR1 ; 21
+ MVMM AR1, AR2 ; 22
+ MVMM AR1, AR3 ; 23
+ MVMM AR1, AR4 ; 24
+ MVMM AR1, AR5 ; 25
+ MVMM AR1, AR6 ; 26
+ MVMM AR1, AR7 ; 27
+ MVMM AR2, SP ; 28
+ MVMM AR2, AR0 ; 29
+ MVMM AR2, AR1 ; 30
+ MVMM AR2, AR2 ; 31
+ MVMM AR2, AR3 ; 32
+ MVMM AR2, AR4 ; 33
+ MVMM AR2, AR5 ; 34
+ MVMM AR2, AR6 ; 35
+ MVMM AR2, AR7 ; 36
+ MVMM AR3, SP ; 37
+ MVMM AR3, AR0 ; 38
+ MVMM AR3, AR1 ; 39
+ MVMM AR3, AR2 ; 40
+ MVMM AR3, AR3 ; 41
+ MVMM AR3, AR4 ; 42
+ MVMM AR3, AR5 ; 43
+ MVMM AR3, AR6 ; 44
+ MVMM AR3, AR7 ; 45
+ MVMM AR4, SP ; 46
+ MVMM AR4, AR0 ; 47
+ MVMM AR4, AR1 ; 48
+ MVMM AR4, AR2 ; 49
+ MVMM AR4, AR3 ; 50
+ MVMM AR4, AR4 ; 51
+ MVMM AR4, AR5 ; 52
+ MVMM AR4, AR6 ; 53
+ MVMM AR4, AR7 ; 54
+ MVMM AR5, SP ; 55
+ MVMM AR5, AR0 ; 56
+ MVMM AR5, AR1 ; 57
+ MVMM AR5, AR2 ; 58
+ MVMM AR5, AR3 ; 59
+ MVMM AR5, AR4 ; 60
+ MVMM AR5, AR5 ; 61
+ MVMM AR5, AR6 ; 62
+ MVMM AR5, AR7 ; 63
+ MVMM AR6, SP ; 64
+ MVMM AR6, AR0 ; 65
+ MVMM AR6, AR1 ; 66
+ MVMM AR6, AR2 ; 67
+ MVMM AR6, AR3 ; 68
+ MVMM AR6, AR4 ; 69
+ MVMM AR6, AR5 ; 70
+ MVMM AR6, AR6 ; 71
+ MVMM AR6, AR7 ; 72
+ MVMM AR7, SP ; 73
+ MVMM AR7, AR0 ; 74
+ MVMM AR7, AR1 ; 75
+ MVMM AR7, AR2 ; 76
+ MVMM AR7, AR3 ; 77
+ MVMM AR7, AR4 ; 78
+ MVMM AR7, AR5 ; 79
+ MVMM AR7, AR6 ; 80
+ MVMM AR7, AR7 ; 81
+ ; MVPD : MVPD Pmad, Smem : 1
+ MVPD 0072Dh, 6Bh ; 1
+ ; MVPD_I : MVPD Pmad, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ MVPD 0072Eh, *AR0 ; 1
+ MVPD 0072Fh, *AR0- ; 2
+ MVPD 00730h, *AR0+ ; 3
+ MVPD 00731h, *+AR0 ; 4
+ MVPD 00732h, *AR0-0B ; 5
+ MVPD 00733h, *AR0-0 ; 6
+ MVPD 00734h, *AR0+0 ; 7
+ MVPD 00735h, *AR0+0B ; 8
+ MVPD 00736h, *AR0-% ; 9
+ MVPD 00737h, *AR0-0% ; 10
+ MVPD 00738h, *AR0+% ; 11
+ MVPD 00739h, *AR0+0% ; 12
+ MVPD 0073Ah, *AR0(033D0h) ; 13
+ MVPD 0073Bh, *+AR0(033D1h) ; 14
+ MVPD 0073Ch, *+AR0(033D2h)% ; 15
+ MVPD 0073Dh, *(033D3h) ; 16
+ MVPD 0073Eh, *AR1 ; 17
+ MVPD 0073Fh, *AR1- ; 18
+ MVPD 00740h, *AR1+ ; 19
+ MVPD 00741h, *+AR1 ; 20
+ MVPD 00742h, *AR1-0B ; 21
+ MVPD 00743h, *AR1-0 ; 22
+ MVPD 00744h, *AR1+0 ; 23
+ MVPD 00745h, *AR1+0B ; 24
+ MVPD 00746h, *AR1-% ; 25
+ MVPD 00747h, *AR1-0% ; 26
+ MVPD 00748h, *AR1+% ; 27
+ MVPD 00749h, *AR1+0% ; 28
+ MVPD 0074Ah, *AR1(033D4h) ; 29
+ MVPD 0074Bh, *+AR1(033D5h) ; 30
+ MVPD 0074Ch, *+AR1(033D6h)% ; 31
+ MVPD 0074Dh, *(033D7h) ; 32
+ MVPD 0074Eh, *AR2 ; 33
+ MVPD 0074Fh, *AR2- ; 34
+ MVPD 00750h, *AR2+ ; 35
+ MVPD 00751h, *+AR2 ; 36
+ MVPD 00752h, *AR2-0B ; 37
+ MVPD 00753h, *AR2-0 ; 38
+ MVPD 00754h, *AR2+0 ; 39
+ MVPD 00755h, *AR2+0B ; 40
+ MVPD 00756h, *AR2-% ; 41
+ MVPD 00757h, *AR2-0% ; 42
+ MVPD 00758h, *AR2+% ; 43
+ MVPD 00759h, *AR2+0% ; 44
+ MVPD 0075Ah, *AR2(033D8h) ; 45
+ MVPD 0075Bh, *+AR2(033D9h) ; 46
+ MVPD 0075Ch, *+AR2(033DAh)% ; 47
+ MVPD 0075Dh, *(033DBh) ; 48
+ MVPD 0075Eh, *AR3 ; 49
+ MVPD 0075Fh, *AR3- ; 50
+ MVPD 00760h, *AR3+ ; 51
+ MVPD 00761h, *+AR3 ; 52
+ MVPD 00762h, *AR3-0B ; 53
+ MVPD 00763h, *AR3-0 ; 54
+ MVPD 00764h, *AR3+0 ; 55
+ MVPD 00765h, *AR3+0B ; 56
+ MVPD 00766h, *AR3-% ; 57
+ MVPD 00767h, *AR3-0% ; 58
+ MVPD 00768h, *AR3+% ; 59
+ MVPD 00769h, *AR3+0% ; 60
+ MVPD 0076Ah, *AR3(033DCh) ; 61
+ MVPD 0076Bh, *+AR3(033DDh) ; 62
+ MVPD 0076Ch, *+AR3(033DEh)% ; 63
+ MVPD 0076Dh, *(033DFh) ; 64
+ MVPD 0076Eh, *AR4 ; 65
+ MVPD 0076Fh, *AR4- ; 66
+ MVPD 00770h, *AR4+ ; 67
+ MVPD 00771h, *+AR4 ; 68
+ MVPD 00772h, *AR4-0B ; 69
+ MVPD 00773h, *AR4-0 ; 70
+ MVPD 00774h, *AR4+0 ; 71
+ MVPD 00775h, *AR4+0B ; 72
+ MVPD 00776h, *AR4-% ; 73
+ MVPD 00777h, *AR4-0% ; 74
+ MVPD 00778h, *AR4+% ; 75
+ MVPD 00779h, *AR4+0% ; 76
+ MVPD 0077Ah, *AR4(033E0h) ; 77
+ MVPD 0077Bh, *+AR4(033E1h) ; 78
+ MVPD 0077Ch, *+AR4(033E2h)% ; 79
+ MVPD 0077Dh, *(033E3h) ; 80
+ MVPD 0077Eh, *AR5 ; 81
+ MVPD 0077Fh, *AR5- ; 82
+ MVPD 00780h, *AR5+ ; 83
+ MVPD 00781h, *+AR5 ; 84
+ MVPD 00782h, *AR5-0B ; 85
+ MVPD 00783h, *AR5-0 ; 86
+ MVPD 00784h, *AR5+0 ; 87
+ MVPD 00785h, *AR5+0B ; 88
+ MVPD 00786h, *AR5-% ; 89
+ MVPD 00787h, *AR5-0% ; 90
+ MVPD 00788h, *AR5+% ; 91
+ MVPD 00789h, *AR5+0% ; 92
+ MVPD 0078Ah, *AR5(033E4h) ; 93
+ MVPD 0078Bh, *+AR5(033E5h) ; 94
+ MVPD 0078Ch, *+AR5(033E6h)% ; 95
+ MVPD 0078Dh, *(033E7h) ; 96
+ MVPD 0078Eh, *AR6 ; 97
+ MVPD 0078Fh, *AR6- ; 98
+ MVPD 00790h, *AR6+ ; 99
+ MVPD 00791h, *+AR6 ; 100
+ MVPD 00792h, *AR6-0B ; 101
+ MVPD 00793h, *AR6-0 ; 102
+ MVPD 00794h, *AR6+0 ; 103
+ MVPD 00795h, *AR6+0B ; 104
+ MVPD 00796h, *AR6-% ; 105
+ MVPD 00797h, *AR6-0% ; 106
+ MVPD 00798h, *AR6+% ; 107
+ MVPD 00799h, *AR6+0% ; 108
+ MVPD 0079Ah, *AR6(033E8h) ; 109
+ MVPD 0079Bh, *+AR6(033E9h) ; 110
+ MVPD 0079Ch, *+AR6(033EAh)% ; 111
+ MVPD 0079Dh, *(033EBh) ; 112
+ MVPD 0079Eh, *AR7 ; 113
+ MVPD 0079Fh, *AR7- ; 114
+ MVPD 007A0h, *AR7+ ; 115
+ MVPD 007A1h, *+AR7 ; 116
+ MVPD 007A2h, *AR7-0B ; 117
+ MVPD 007A3h, *AR7-0 ; 118
+ MVPD 007A4h, *AR7+0 ; 119
+ MVPD 007A5h, *AR7+0B ; 120
+ MVPD 007A6h, *AR7-% ; 121
+ MVPD 007A7h, *AR7-0% ; 122
+ MVPD 007A8h, *AR7+% ; 123
+ MVPD 007A9h, *AR7+0% ; 124
+ MVPD 007AAh, *AR7(033ECh) ; 125
+ MVPD 007ABh, *+AR7(033EDh) ; 126
+ MVPD 007ACh, *+AR7(033EEh)% ; 127
+ MVPD 007ADh, *(033EFh) ; 128
+ ; NEG : NEG AB, AB2 : 6
+ NEG A, A ; 1
+ NEG A, B ; 2
+ NEG A ; 3
+ NEG B, A ; 4
+ NEG B, B ; 5
+ NEG B ; 6
+ ; NOP : NOP : 1
+ NOP ; 1
+ ; NORM : NORM AB, AB2 : 6
+ NORM A, A ; 1
+ NORM A, B ; 2
+ NORM A ; 3
+ NORM B, A ; 4
+ NORM B, B ; 5
+ NORM B ; 6
+ ; OR16lk : OR lk, 16, AB, AB2 : 6
+ OR #033F0h, 16, A, A ; 1
+ OR #033F1h, 16, A, B ; 2
+ OR #033F2h, 16, A ; 3
+ OR #033F3h, 16, B, A ; 4
+ OR #033F4h, 16, B, B ; 5
+ OR #033F5h, 16, B ; 6
+ ; ORM : ORM lk, Smem : 1
+ ORM #033F6h, 6Ch ; 1
+ ; ORM_I : ORM lk, Smem_I : 120
+ ORM #033F7h, *AR0 ; 1
+ ORM #033F8h, *AR0- ; 2
+ ORM #033F9h, *AR0+ ; 3
+ ORM #033FAh, *AR0-0B ; 4
+ ORM #033FBh, *AR0-0 ; 5
+ ORM #033FCh, *AR0+0 ; 6
+ ORM #033FDh, *AR0+0B ; 7
+ ORM #033FEh, *AR0-% ; 8
+ ORM #033FFh, *AR0-0% ; 9
+ ORM #03400h, *AR0+% ; 10
+ ORM #03401h, *AR0+0% ; 11
+ ORM #03403h, *AR0(03402h) ; 12
+ ORM #03405h, *+AR0(03404h) ; 13
+ ORM #03407h, *+AR0(03406h)% ; 14
+ ORM #03409h, *(03408h) ; 15
+ ORM #0340Ah, *AR1 ; 16
+ ORM #0340Bh, *AR1- ; 17
+ ORM #0340Ch, *AR1+ ; 18
+ ORM #0340Dh, *AR1-0B ; 19
+ ORM #0340Eh, *AR1-0 ; 20
+ ORM #0340Fh, *AR1+0 ; 21
+ ORM #03410h, *AR1+0B ; 22
+ ORM #03411h, *AR1-% ; 23
+ ORM #03412h, *AR1-0% ; 24
+ ORM #03413h, *AR1+% ; 25
+ ORM #03414h, *AR1+0% ; 26
+ ORM #03416h, *AR1(03415h) ; 27
+ ORM #03418h, *+AR1(03417h) ; 28
+ ORM #0341Ah, *+AR1(03419h)% ; 29
+ ORM #0341Ch, *(0341Bh) ; 30
+ ORM #0341Dh, *AR2 ; 31
+ ORM #0341Eh, *AR2- ; 32
+ ORM #0341Fh, *AR2+ ; 33
+ ORM #03420h, *AR2-0B ; 34
+ ORM #03421h, *AR2-0 ; 35
+ ORM #03422h, *AR2+0 ; 36
+ ORM #03423h, *AR2+0B ; 37
+ ORM #03424h, *AR2-% ; 38
+ ORM #03425h, *AR2-0% ; 39
+ ORM #03426h, *AR2+% ; 40
+ ORM #03427h, *AR2+0% ; 41
+ ORM #03429h, *AR2(03428h) ; 42
+ ORM #0342Bh, *+AR2(0342Ah) ; 43
+ ORM #0342Dh, *+AR2(0342Ch)% ; 44
+ ORM #0342Fh, *(0342Eh) ; 45
+ ORM #03430h, *AR3 ; 46
+ ORM #03431h, *AR3- ; 47
+ ORM #03432h, *AR3+ ; 48
+ ORM #03433h, *AR3-0B ; 49
+ ORM #03434h, *AR3-0 ; 50
+ ORM #03435h, *AR3+0 ; 51
+ ORM #03436h, *AR3+0B ; 52
+ ORM #03437h, *AR3-% ; 53
+ ORM #03438h, *AR3-0% ; 54
+ ORM #03439h, *AR3+% ; 55
+ ORM #0343Ah, *AR3+0% ; 56
+ ORM #0343Ch, *AR3(0343Bh) ; 57
+ ORM #0343Eh, *+AR3(0343Dh) ; 58
+ ORM #03440h, *+AR3(0343Fh)% ; 59
+ ORM #03442h, *(03441h) ; 60
+ ORM #03443h, *AR4 ; 61
+ ORM #03444h, *AR4- ; 62
+ ORM #03445h, *AR4+ ; 63
+ ORM #03446h, *AR4-0B ; 64
+ ORM #03447h, *AR4-0 ; 65
+ ORM #03448h, *AR4+0 ; 66
+ ORM #03449h, *AR4+0B ; 67
+ ORM #0344Ah, *AR4-% ; 68
+ ORM #0344Bh, *AR4-0% ; 69
+ ORM #0344Ch, *AR4+% ; 70
+ ORM #0344Dh, *AR4+0% ; 71
+ ORM #0344Fh, *AR4(0344Eh) ; 72
+ ORM #03451h, *+AR4(03450h) ; 73
+ ORM #03453h, *+AR4(03452h)% ; 74
+ ORM #03455h, *(03454h) ; 75
+ ORM #03456h, *AR5 ; 76
+ ORM #03457h, *AR5- ; 77
+ ORM #03458h, *AR5+ ; 78
+ ORM #03459h, *AR5-0B ; 79
+ ORM #0345Ah, *AR5-0 ; 80
+ ORM #0345Bh, *AR5+0 ; 81
+ ORM #0345Ch, *AR5+0B ; 82
+ ORM #0345Dh, *AR5-% ; 83
+ ORM #0345Eh, *AR5-0% ; 84
+ ORM #0345Fh, *AR5+% ; 85
+ ORM #03460h, *AR5+0% ; 86
+ ORM #03462h, *AR5(03461h) ; 87
+ ORM #03464h, *+AR5(03463h) ; 88
+ ORM #03466h, *+AR5(03465h)% ; 89
+ ORM #03468h, *(03467h) ; 90
+ ORM #03469h, *AR6 ; 91
+ ORM #0346Ah, *AR6- ; 92
+ ORM #0346Bh, *AR6+ ; 93
+ ORM #0346Ch, *AR6-0B ; 94
+ ORM #0346Dh, *AR6-0 ; 95
+ ORM #0346Eh, *AR6+0 ; 96
+ ORM #0346Fh, *AR6+0B ; 97
+ ORM #03470h, *AR6-% ; 98
+ ORM #03471h, *AR6-0% ; 99
+ ORM #03472h, *AR6+% ; 100
+ ORM #03473h, *AR6+0% ; 101
+ ORM #03475h, *AR6(03474h) ; 102
+ ORM #03477h, *+AR6(03476h) ; 103
+ ORM #03479h, *+AR6(03478h)% ; 104
+ ORM #0347Bh, *(0347Ah) ; 105
+ ORM #0347Ch, *AR7 ; 106
+ ORM #0347Dh, *AR7- ; 107
+ ORM #0347Eh, *AR7+ ; 108
+ ORM #0347Fh, *AR7-0B ; 109
+ ORM #03480h, *AR7-0 ; 110
+ ORM #03481h, *AR7+0 ; 111
+ ORM #03482h, *AR7+0B ; 112
+ ORM #03483h, *AR7-% ; 113
+ ORM #03484h, *AR7-0% ; 114
+ ORM #03485h, *AR7+% ; 115
+ ORM #03486h, *AR7+0% ; 116
+ ORM #03488h, *AR7(03487h) ; 117
+ ORM #0348Ah, *+AR7(03489h) ; 118
+ ORM #0348Ch, *+AR7(0348Bh)% ; 119
+ ORM #0348Eh, *(0348Dh) ; 120
+ ; ORlkShift : OR lk, SHFT2, AB, AB2 : 102
+ OR #0348Fh, A, A ; 1
+ OR #03490h, A, B ; 2
+ OR #03491h, A ; 3
+ OR #03492h, B, A ; 4
+ OR #03493h, B, B ; 5
+ OR #03494h, B ; 6
+ OR #03495h, 0, A, A ; 7
+ OR #03496h, 0, A, B ; 8
+ OR #03497h, 0, A ; 9
+ OR #03498h, 0, B, A ; 10
+ OR #03499h, 0, B, B ; 11
+ OR #0349Ah, 0, B ; 12
+ OR #0349Bh, 1, A, A ; 13
+ OR #0349Ch, 1, A, B ; 14
+ OR #0349Dh, 1, A ; 15
+ OR #0349Eh, 1, B, A ; 16
+ OR #0349Fh, 1, B, B ; 17
+ OR #034A0h, 1, B ; 18
+ OR #034A1h, 2, A, A ; 19
+ OR #034A2h, 2, A, B ; 20
+ OR #034A3h, 2, A ; 21
+ OR #034A4h, 2, B, A ; 22
+ OR #034A5h, 2, B, B ; 23
+ OR #034A6h, 2, B ; 24
+ OR #034A7h, 3, A, A ; 25
+ OR #034A8h, 3, A, B ; 26
+ OR #034A9h, 3, A ; 27
+ OR #034AAh, 3, B, A ; 28
+ OR #034ABh, 3, B, B ; 29
+ OR #034ACh, 3, B ; 30
+ OR #034ADh, 4, A, A ; 31
+ OR #034AEh, 4, A, B ; 32
+ OR #034AFh, 4, A ; 33
+ OR #034B0h, 4, B, A ; 34
+ OR #034B1h, 4, B, B ; 35
+ OR #034B2h, 4, B ; 36
+ OR #034B3h, 5, A, A ; 37
+ OR #034B4h, 5, A, B ; 38
+ OR #034B5h, 5, A ; 39
+ OR #034B6h, 5, B, A ; 40
+ OR #034B7h, 5, B, B ; 41
+ OR #034B8h, 5, B ; 42
+ OR #034B9h, 6, A, A ; 43
+ OR #034BAh, 6, A, B ; 44
+ OR #034BBh, 6, A ; 45
+ OR #034BCh, 6, B, A ; 46
+ OR #034BDh, 6, B, B ; 47
+ OR #034BEh, 6, B ; 48
+ OR #034BFh, 7, A, A ; 49
+ OR #034C0h, 7, A, B ; 50
+ OR #034C1h, 7, A ; 51
+ OR #034C2h, 7, B, A ; 52
+ OR #034C3h, 7, B, B ; 53
+ OR #034C4h, 7, B ; 54
+ OR #034C5h, 8, A, A ; 55
+ OR #034C6h, 8, A, B ; 56
+ OR #034C7h, 8, A ; 57
+ OR #034C8h, 8, B, A ; 58
+ OR #034C9h, 8, B, B ; 59
+ OR #034CAh, 8, B ; 60
+ OR #034CBh, 9, A, A ; 61
+ OR #034CCh, 9, A, B ; 62
+ OR #034CDh, 9, A ; 63
+ OR #034CEh, 9, B, A ; 64
+ OR #034CFh, 9, B, B ; 65
+ OR #034D0h, 9, B ; 66
+ OR #034D1h, 10, A, A ; 67
+ OR #034D2h, 10, A, B ; 68
+ OR #034D3h, 10, A ; 69
+ OR #034D4h, 10, B, A ; 70
+ OR #034D5h, 10, B, B ; 71
+ OR #034D6h, 10, B ; 72
+ OR #034D7h, 11, A, A ; 73
+ OR #034D8h, 11, A, B ; 74
+ OR #034D9h, 11, A ; 75
+ OR #034DAh, 11, B, A ; 76
+ OR #034DBh, 11, B, B ; 77
+ OR #034DCh, 11, B ; 78
+ OR #034DDh, 12, A, A ; 79
+ OR #034DEh, 12, A, B ; 80
+ OR #034DFh, 12, A ; 81
+ OR #034E0h, 12, B, A ; 82
+ OR #034E1h, 12, B, B ; 83
+ OR #034E2h, 12, B ; 84
+ OR #034E3h, 13, A, A ; 85
+ OR #034E4h, 13, A, B ; 86
+ OR #034E5h, 13, A ; 87
+ OR #034E6h, 13, B, A ; 88
+ OR #034E7h, 13, B, B ; 89
+ OR #034E8h, 13, B ; 90
+ OR #034E9h, 14, A, A ; 91
+ OR #034EAh, 14, A, B ; 92
+ OR #034EBh, 14, A ; 93
+ OR #034ECh, 14, B, A ; 94
+ OR #034EDh, 14, B, B ; 95
+ OR #034EEh, 14, B ; 96
+ OR #034EFh, 15, A, A ; 97
+ OR #034F0h, 15, A, B ; 98
+ OR #034F1h, 15, A ; 99
+ OR #034F2h, 15, B, A ; 100
+ OR #034F3h, 15, B, B ; 101
+ OR #034F4h, 15, B ; 102
+ ; ORsdShift : OR AB, SHIFT, AB2 : 198
+ OR A, A ; 1
+ OR A, B ; 2
+ OR A ; 3
+ OR A, -16, A ; 4
+ OR A, -16, B ; 5
+ OR A, -16 ; 6
+ OR A, -15, A ; 7
+ OR A, -15, B ; 8
+ OR A, -15 ; 9
+ OR A, -14, A ; 10
+ OR A, -14, B ; 11
+ OR A, -14 ; 12
+ OR A, -13, A ; 13
+ OR A, -13, B ; 14
+ OR A, -13 ; 15
+ OR A, -12, A ; 16
+ OR A, -12, B ; 17
+ OR A, -12 ; 18
+ OR A, -11, A ; 19
+ OR A, -11, B ; 20
+ OR A, -11 ; 21
+ OR A, -10, A ; 22
+ OR A, -10, B ; 23
+ OR A, -10 ; 24
+ OR A, -9, A ; 25
+ OR A, -9, B ; 26
+ OR A, -9 ; 27
+ OR A, -8, A ; 28
+ OR A, -8, B ; 29
+ OR A, -8 ; 30
+ OR A, -7, A ; 31
+ OR A, -7, B ; 32
+ OR A, -7 ; 33
+ OR A, -6, A ; 34
+ OR A, -6, B ; 35
+ OR A, -6 ; 36
+ OR A, -5, A ; 37
+ OR A, -5, B ; 38
+ OR A, -5 ; 39
+ OR A, -4, A ; 40
+ OR A, -4, B ; 41
+ OR A, -4 ; 42
+ OR A, -3, A ; 43
+ OR A, -3, B ; 44
+ OR A, -3 ; 45
+ OR A, -2, A ; 46
+ OR A, -2, B ; 47
+ OR A, -2 ; 48
+ OR A, -1, A ; 49
+ OR A, -1, B ; 50
+ OR A, -1 ; 51
+ OR A, 0, A ; 52
+ OR A, 0, B ; 53
+ OR A, 0 ; 54
+ OR A, 1, A ; 55
+ OR A, 1, B ; 56
+ OR A, 1 ; 57
+ OR A, 2, A ; 58
+ OR A, 2, B ; 59
+ OR A, 2 ; 60
+ OR A, 3, A ; 61
+ OR A, 3, B ; 62
+ OR A, 3 ; 63
+ OR A, 4, A ; 64
+ OR A, 4, B ; 65
+ OR A, 4 ; 66
+ OR A, 5, A ; 67
+ OR A, 5, B ; 68
+ OR A, 5 ; 69
+ OR A, 6, A ; 70
+ OR A, 6, B ; 71
+ OR A, 6 ; 72
+ OR A, 7, A ; 73
+ OR A, 7, B ; 74
+ OR A, 7 ; 75
+ OR A, 8, A ; 76
+ OR A, 8, B ; 77
+ OR A, 8 ; 78
+ OR A, 9, A ; 79
+ OR A, 9, B ; 80
+ OR A, 9 ; 81
+ OR A, 10, A ; 82
+ OR A, 10, B ; 83
+ OR A, 10 ; 84
+ OR A, 11, A ; 85
+ OR A, 11, B ; 86
+ OR A, 11 ; 87
+ OR A, 12, A ; 88
+ OR A, 12, B ; 89
+ OR A, 12 ; 90
+ OR A, 13, A ; 91
+ OR A, 13, B ; 92
+ OR A, 13 ; 93
+ OR A, 14, A ; 94
+ OR A, 14, B ; 95
+ OR A, 14 ; 96
+ OR A, 15, A ; 97
+ OR A, 15, B ; 98
+ OR A, 15 ; 99
+ OR B, A ; 100
+ OR B, B ; 101
+ OR B ; 102
+ OR B, -16, A ; 103
+ OR B, -16, B ; 104
+ OR B, -16 ; 105
+ OR B, -15, A ; 106
+ OR B, -15, B ; 107
+ OR B, -15 ; 108
+ OR B, -14, A ; 109
+ OR B, -14, B ; 110
+ OR B, -14 ; 111
+ OR B, -13, A ; 112
+ OR B, -13, B ; 113
+ OR B, -13 ; 114
+ OR B, -12, A ; 115
+ OR B, -12, B ; 116
+ OR B, -12 ; 117
+ OR B, -11, A ; 118
+ OR B, -11, B ; 119
+ OR B, -11 ; 120
+ OR B, -10, A ; 121
+ OR B, -10, B ; 122
+ OR B, -10 ; 123
+ OR B, -9, A ; 124
+ OR B, -9, B ; 125
+ OR B, -9 ; 126
+ OR B, -8, A ; 127
+ OR B, -8, B ; 128
+ OR B, -8 ; 129
+ OR B, -7, A ; 130
+ OR B, -7, B ; 131
+ OR B, -7 ; 132
+ OR B, -6, A ; 133
+ OR B, -6, B ; 134
+ OR B, -6 ; 135
+ OR B, -5, A ; 136
+ OR B, -5, B ; 137
+ OR B, -5 ; 138
+ OR B, -4, A ; 139
+ OR B, -4, B ; 140
+ OR B, -4 ; 141
+ OR B, -3, A ; 142
+ OR B, -3, B ; 143
+ OR B, -3 ; 144
+ OR B, -2, A ; 145
+ OR B, -2, B ; 146
+ OR B, -2 ; 147
+ OR B, -1, A ; 148
+ OR B, -1, B ; 149
+ OR B, -1 ; 150
+ OR B, 0, A ; 151
+ OR B, 0, B ; 152
+ OR B, 0 ; 153
+ OR B, 1, A ; 154
+ OR B, 1, B ; 155
+ OR B, 1 ; 156
+ OR B, 2, A ; 157
+ OR B, 2, B ; 158
+ OR B, 2 ; 159
+ OR B, 3, A ; 160
+ OR B, 3, B ; 161
+ OR B, 3 ; 162
+ OR B, 4, A ; 163
+ OR B, 4, B ; 164
+ OR B, 4 ; 165
+ OR B, 5, A ; 166
+ OR B, 5, B ; 167
+ OR B, 5 ; 168
+ OR B, 6, A ; 169
+ OR B, 6, B ; 170
+ OR B, 6 ; 171
+ OR B, 7, A ; 172
+ OR B, 7, B ; 173
+ OR B, 7 ; 174
+ OR B, 8, A ; 175
+ OR B, 8, B ; 176
+ OR B, 8 ; 177
+ OR B, 9, A ; 178
+ OR B, 9, B ; 179
+ OR B, 9 ; 180
+ OR B, 10, A ; 181
+ OR B, 10, B ; 182
+ OR B, 10 ; 183
+ OR B, 11, A ; 184
+ OR B, 11, B ; 185
+ OR B, 11 ; 186
+ OR B, 12, A ; 187
+ OR B, 12, B ; 188
+ OR B, 12 ; 189
+ OR B, 13, A ; 190
+ OR B, 13, B ; 191
+ OR B, 13 ; 192
+ OR B, 14, A ; 193
+ OR B, 14, B ; 194
+ OR B, 14 ; 195
+ OR B, 15, A ; 196
+ OR B, 15, B ; 197
+ OR B, 15 ; 198
+ ; ORsmem : OR Smem, AB : 2
+ OR 6Dh, A ; 1
+ OR 6Eh, B ; 2
+ ; ORsmem_I : OR Smem_I, AB : 240
+ OR *AR0, A ; 1
+ OR *AR0, B ; 2
+ OR *AR0-, A ; 3
+ OR *AR0-, B ; 4
+ OR *AR0+, A ; 5
+ OR *AR0+, B ; 6
+ OR *AR0-0B, A ; 7
+ OR *AR0-0B, B ; 8
+ OR *AR0-0, A ; 9
+ OR *AR0-0, B ; 10
+ OR *AR0+0, A ; 11
+ OR *AR0+0, B ; 12
+ OR *AR0+0B, A ; 13
+ OR *AR0+0B, B ; 14
+ OR *AR0-%, A ; 15
+ OR *AR0-%, B ; 16
+ OR *AR0-0%, A ; 17
+ OR *AR0-0%, B ; 18
+ OR *AR0+%, A ; 19
+ OR *AR0+%, B ; 20
+ OR *AR0+0%, A ; 21
+ OR *AR0+0%, B ; 22
+ OR *AR0(034F5h), A ; 23
+ OR *AR0(034F6h), B ; 24
+ OR *+AR0(034F7h), A ; 25
+ OR *+AR0(034F8h), B ; 26
+ OR *+AR0(034F9h)%, A ; 27
+ OR *+AR0(034FAh)%, B ; 28
+ OR *(034FBh), A ; 29
+ OR *(034FCh), B ; 30
+ OR *AR1, A ; 31
+ OR *AR1, B ; 32
+ OR *AR1-, A ; 33
+ OR *AR1-, B ; 34
+ OR *AR1+, A ; 35
+ OR *AR1+, B ; 36
+ OR *AR1-0B, A ; 37
+ OR *AR1-0B, B ; 38
+ OR *AR1-0, A ; 39
+ OR *AR1-0, B ; 40
+ OR *AR1+0, A ; 41
+ OR *AR1+0, B ; 42
+ OR *AR1+0B, A ; 43
+ OR *AR1+0B, B ; 44
+ OR *AR1-%, A ; 45
+ OR *AR1-%, B ; 46
+ OR *AR1-0%, A ; 47
+ OR *AR1-0%, B ; 48
+ OR *AR1+%, A ; 49
+ OR *AR1+%, B ; 50
+ OR *AR1+0%, A ; 51
+ OR *AR1+0%, B ; 52
+ OR *AR1(034FDh), A ; 53
+ OR *AR1(034FEh), B ; 54
+ OR *+AR1(034FFh), A ; 55
+ OR *+AR1(03500h), B ; 56
+ OR *+AR1(03501h)%, A ; 57
+ OR *+AR1(03502h)%, B ; 58
+ OR *(03503h), A ; 59
+ OR *(03504h), B ; 60
+ OR *AR2, A ; 61
+ OR *AR2, B ; 62
+ OR *AR2-, A ; 63
+ OR *AR2-, B ; 64
+ OR *AR2+, A ; 65
+ OR *AR2+, B ; 66
+ OR *AR2-0B, A ; 67
+ OR *AR2-0B, B ; 68
+ OR *AR2-0, A ; 69
+ OR *AR2-0, B ; 70
+ OR *AR2+0, A ; 71
+ OR *AR2+0, B ; 72
+ OR *AR2+0B, A ; 73
+ OR *AR2+0B, B ; 74
+ OR *AR2-%, A ; 75
+ OR *AR2-%, B ; 76
+ OR *AR2-0%, A ; 77
+ OR *AR2-0%, B ; 78
+ OR *AR2+%, A ; 79
+ OR *AR2+%, B ; 80
+ OR *AR2+0%, A ; 81
+ OR *AR2+0%, B ; 82
+ OR *AR2(03505h), A ; 83
+ OR *AR2(03506h), B ; 84
+ OR *+AR2(03507h), A ; 85
+ OR *+AR2(03508h), B ; 86
+ OR *+AR2(03509h)%, A ; 87
+ OR *+AR2(0350Ah)%, B ; 88
+ OR *(0350Bh), A ; 89
+ OR *(0350Ch), B ; 90
+ OR *AR3, A ; 91
+ OR *AR3, B ; 92
+ OR *AR3-, A ; 93
+ OR *AR3-, B ; 94
+ OR *AR3+, A ; 95
+ OR *AR3+, B ; 96
+ OR *AR3-0B, A ; 97
+ OR *AR3-0B, B ; 98
+ OR *AR3-0, A ; 99
+ OR *AR3-0, B ; 100
+ OR *AR3+0, A ; 101
+ OR *AR3+0, B ; 102
+ OR *AR3+0B, A ; 103
+ OR *AR3+0B, B ; 104
+ OR *AR3-%, A ; 105
+ OR *AR3-%, B ; 106
+ OR *AR3-0%, A ; 107
+ OR *AR3-0%, B ; 108
+ OR *AR3+%, A ; 109
+ OR *AR3+%, B ; 110
+ OR *AR3+0%, A ; 111
+ OR *AR3+0%, B ; 112
+ OR *AR3(0350Dh), A ; 113
+ OR *AR3(0350Eh), B ; 114
+ OR *+AR3(0350Fh), A ; 115
+ OR *+AR3(03510h), B ; 116
+ OR *+AR3(03511h)%, A ; 117
+ OR *+AR3(03512h)%, B ; 118
+ OR *(03513h), A ; 119
+ OR *(03514h), B ; 120
+ OR *AR4, A ; 121
+ OR *AR4, B ; 122
+ OR *AR4-, A ; 123
+ OR *AR4-, B ; 124
+ OR *AR4+, A ; 125
+ OR *AR4+, B ; 126
+ OR *AR4-0B, A ; 127
+ OR *AR4-0B, B ; 128
+ OR *AR4-0, A ; 129
+ OR *AR4-0, B ; 130
+ OR *AR4+0, A ; 131
+ OR *AR4+0, B ; 132
+ OR *AR4+0B, A ; 133
+ OR *AR4+0B, B ; 134
+ OR *AR4-%, A ; 135
+ OR *AR4-%, B ; 136
+ OR *AR4-0%, A ; 137
+ OR *AR4-0%, B ; 138
+ OR *AR4+%, A ; 139
+ OR *AR4+%, B ; 140
+ OR *AR4+0%, A ; 141
+ OR *AR4+0%, B ; 142
+ OR *AR4(03515h), A ; 143
+ OR *AR4(03516h), B ; 144
+ OR *+AR4(03517h), A ; 145
+ OR *+AR4(03518h), B ; 146
+ OR *+AR4(03519h)%, A ; 147
+ OR *+AR4(0351Ah)%, B ; 148
+ OR *(0351Bh), A ; 149
+ OR *(0351Ch), B ; 150
+ OR *AR5, A ; 151
+ OR *AR5, B ; 152
+ OR *AR5-, A ; 153
+ OR *AR5-, B ; 154
+ OR *AR5+, A ; 155
+ OR *AR5+, B ; 156
+ OR *AR5-0B, A ; 157
+ OR *AR5-0B, B ; 158
+ OR *AR5-0, A ; 159
+ OR *AR5-0, B ; 160
+ OR *AR5+0, A ; 161
+ OR *AR5+0, B ; 162
+ OR *AR5+0B, A ; 163
+ OR *AR5+0B, B ; 164
+ OR *AR5-%, A ; 165
+ OR *AR5-%, B ; 166
+ OR *AR5-0%, A ; 167
+ OR *AR5-0%, B ; 168
+ OR *AR5+%, A ; 169
+ OR *AR5+%, B ; 170
+ OR *AR5+0%, A ; 171
+ OR *AR5+0%, B ; 172
+ OR *AR5(0351Dh), A ; 173
+ OR *AR5(0351Eh), B ; 174
+ OR *+AR5(0351Fh), A ; 175
+ OR *+AR5(03520h), B ; 176
+ OR *+AR5(03521h)%, A ; 177
+ OR *+AR5(03522h)%, B ; 178
+ OR *(03523h), A ; 179
+ OR *(03524h), B ; 180
+ OR *AR6, A ; 181
+ OR *AR6, B ; 182
+ OR *AR6-, A ; 183
+ OR *AR6-, B ; 184
+ OR *AR6+, A ; 185
+ OR *AR6+, B ; 186
+ OR *AR6-0B, A ; 187
+ OR *AR6-0B, B ; 188
+ OR *AR6-0, A ; 189
+ OR *AR6-0, B ; 190
+ OR *AR6+0, A ; 191
+ OR *AR6+0, B ; 192
+ OR *AR6+0B, A ; 193
+ OR *AR6+0B, B ; 194
+ OR *AR6-%, A ; 195
+ OR *AR6-%, B ; 196
+ OR *AR6-0%, A ; 197
+ OR *AR6-0%, B ; 198
+ OR *AR6+%, A ; 199
+ OR *AR6+%, B ; 200
+ OR *AR6+0%, A ; 201
+ OR *AR6+0%, B ; 202
+ OR *AR6(03525h), A ; 203
+ OR *AR6(03526h), B ; 204
+ OR *+AR6(03527h), A ; 205
+ OR *+AR6(03528h), B ; 206
+ OR *+AR6(03529h)%, A ; 207
+ OR *+AR6(0352Ah)%, B ; 208
+ OR *(0352Bh), A ; 209
+ OR *(0352Ch), B ; 210
+ OR *AR7, A ; 211
+ OR *AR7, B ; 212
+ OR *AR7-, A ; 213
+ OR *AR7-, B ; 214
+ OR *AR7+, A ; 215
+ OR *AR7+, B ; 216
+ OR *AR7-0B, A ; 217
+ OR *AR7-0B, B ; 218
+ OR *AR7-0, A ; 219
+ OR *AR7-0, B ; 220
+ OR *AR7+0, A ; 221
+ OR *AR7+0, B ; 222
+ OR *AR7+0B, A ; 223
+ OR *AR7+0B, B ; 224
+ OR *AR7-%, A ; 225
+ OR *AR7-%, B ; 226
+ OR *AR7-0%, A ; 227
+ OR *AR7-0%, B ; 228
+ OR *AR7+%, A ; 229
+ OR *AR7+%, B ; 230
+ OR *AR7+0%, A ; 231
+ OR *AR7+0%, B ; 232
+ OR *AR7(0352Dh), A ; 233
+ OR *AR7(0352Eh), B ; 234
+ OR *+AR7(0352Fh), A ; 235
+ OR *+AR7(03530h), B ; 236
+ OR *+AR7(03531h)%, A ; 237
+ OR *+AR7(03532h)%, B ; 238
+ OR *(03533h), A ; 239
+ OR *(03534h), B ; 240
+ ; POLY : POLY Smem : 1
+ POLY 6Fh ; 1
+ ; POLY_I : POLY Smem_I : 120
+ POLY *AR0 ; 1
+ POLY *AR0- ; 2
+ POLY *AR0+ ; 3
+ POLY *AR0-0B ; 4
+ POLY *AR0-0 ; 5
+ POLY *AR0+0 ; 6
+ POLY *AR0+0B ; 7
+ POLY *AR0-% ; 8
+ POLY *AR0-0% ; 9
+ POLY *AR0+% ; 10
+ POLY *AR0+0% ; 11
+ POLY *AR0(03535h) ; 12
+ POLY *+AR0(03536h) ; 13
+ POLY *+AR0(03537h)% ; 14
+ POLY *(03538h) ; 15
+ POLY *AR1 ; 16
+ POLY *AR1- ; 17
+ POLY *AR1+ ; 18
+ POLY *AR1-0B ; 19
+ POLY *AR1-0 ; 20
+ POLY *AR1+0 ; 21
+ POLY *AR1+0B ; 22
+ POLY *AR1-% ; 23
+ POLY *AR1-0% ; 24
+ POLY *AR1+% ; 25
+ POLY *AR1+0% ; 26
+ POLY *AR1(03539h) ; 27
+ POLY *+AR1(0353Ah) ; 28
+ POLY *+AR1(0353Bh)% ; 29
+ POLY *(0353Ch) ; 30
+ POLY *AR2 ; 31
+ POLY *AR2- ; 32
+ POLY *AR2+ ; 33
+ POLY *AR2-0B ; 34
+ POLY *AR2-0 ; 35
+ POLY *AR2+0 ; 36
+ POLY *AR2+0B ; 37
+ POLY *AR2-% ; 38
+ POLY *AR2-0% ; 39
+ POLY *AR2+% ; 40
+ POLY *AR2+0% ; 41
+ POLY *AR2(0353Dh) ; 42
+ POLY *+AR2(0353Eh) ; 43
+ POLY *+AR2(0353Fh)% ; 44
+ POLY *(03540h) ; 45
+ POLY *AR3 ; 46
+ POLY *AR3- ; 47
+ POLY *AR3+ ; 48
+ POLY *AR3-0B ; 49
+ POLY *AR3-0 ; 50
+ POLY *AR3+0 ; 51
+ POLY *AR3+0B ; 52
+ POLY *AR3-% ; 53
+ POLY *AR3-0% ; 54
+ POLY *AR3+% ; 55
+ POLY *AR3+0% ; 56
+ POLY *AR3(03541h) ; 57
+ POLY *+AR3(03542h) ; 58
+ POLY *+AR3(03543h)% ; 59
+ POLY *(03544h) ; 60
+ POLY *AR4 ; 61
+ POLY *AR4- ; 62
+ POLY *AR4+ ; 63
+ POLY *AR4-0B ; 64
+ POLY *AR4-0 ; 65
+ POLY *AR4+0 ; 66
+ POLY *AR4+0B ; 67
+ POLY *AR4-% ; 68
+ POLY *AR4-0% ; 69
+ POLY *AR4+% ; 70
+ POLY *AR4+0% ; 71
+ POLY *AR4(03545h) ; 72
+ POLY *+AR4(03546h) ; 73
+ POLY *+AR4(03547h)% ; 74
+ POLY *(03548h) ; 75
+ POLY *AR5 ; 76
+ POLY *AR5- ; 77
+ POLY *AR5+ ; 78
+ POLY *AR5-0B ; 79
+ POLY *AR5-0 ; 80
+ POLY *AR5+0 ; 81
+ POLY *AR5+0B ; 82
+ POLY *AR5-% ; 83
+ POLY *AR5-0% ; 84
+ POLY *AR5+% ; 85
+ POLY *AR5+0% ; 86
+ POLY *AR5(03549h) ; 87
+ POLY *+AR5(0354Ah) ; 88
+ POLY *+AR5(0354Bh)% ; 89
+ POLY *(0354Ch) ; 90
+ POLY *AR6 ; 91
+ POLY *AR6- ; 92
+ POLY *AR6+ ; 93
+ POLY *AR6-0B ; 94
+ POLY *AR6-0 ; 95
+ POLY *AR6+0 ; 96
+ POLY *AR6+0B ; 97
+ POLY *AR6-% ; 98
+ POLY *AR6-0% ; 99
+ POLY *AR6+% ; 100
+ POLY *AR6+0% ; 101
+ POLY *AR6(0354Dh) ; 102
+ POLY *+AR6(0354Eh) ; 103
+ POLY *+AR6(0354Fh)% ; 104
+ POLY *(03550h) ; 105
+ POLY *AR7 ; 106
+ POLY *AR7- ; 107
+ POLY *AR7+ ; 108
+ POLY *AR7-0B ; 109
+ POLY *AR7-0 ; 110
+ POLY *AR7+0 ; 111
+ POLY *AR7+0B ; 112
+ POLY *AR7-% ; 113
+ POLY *AR7-0% ; 114
+ POLY *AR7+% ; 115
+ POLY *AR7+0% ; 116
+ POLY *AR7(03551h) ; 117
+ POLY *+AR7(03552h) ; 118
+ POLY *+AR7(03553h)% ; 119
+ POLY *(03554h) ; 120
+ ; POPD : POPD Smem : 1
+ POPD 70h ; 1
+ ; POPD_I : POPD Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ POPD *AR0 ; 1
+ POPD *AR0- ; 2
+ POPD *AR0+ ; 3
+ POPD *+AR0 ; 4
+ POPD *AR0-0B ; 5
+ POPD *AR0-0 ; 6
+ POPD *AR0+0 ; 7
+ POPD *AR0+0B ; 8
+ POPD *AR0-% ; 9
+ POPD *AR0-0% ; 10
+ POPD *AR0+% ; 11
+ POPD *AR0+0% ; 12
+ POPD *AR0(03555h) ; 13
+ POPD *+AR0(03556h) ; 14
+ POPD *+AR0(03557h)% ; 15
+ POPD *(03558h) ; 16
+ POPD *AR1 ; 17
+ POPD *AR1- ; 18
+ POPD *AR1+ ; 19
+ POPD *+AR1 ; 20
+ POPD *AR1-0B ; 21
+ POPD *AR1-0 ; 22
+ POPD *AR1+0 ; 23
+ POPD *AR1+0B ; 24
+ POPD *AR1-% ; 25
+ POPD *AR1-0% ; 26
+ POPD *AR1+% ; 27
+ POPD *AR1+0% ; 28
+ POPD *AR1(03559h) ; 29
+ POPD *+AR1(0355Ah) ; 30
+ POPD *+AR1(0355Bh)% ; 31
+ POPD *(0355Ch) ; 32
+ POPD *AR2 ; 33
+ POPD *AR2- ; 34
+ POPD *AR2+ ; 35
+ POPD *+AR2 ; 36
+ POPD *AR2-0B ; 37
+ POPD *AR2-0 ; 38
+ POPD *AR2+0 ; 39
+ POPD *AR2+0B ; 40
+ POPD *AR2-% ; 41
+ POPD *AR2-0% ; 42
+ POPD *AR2+% ; 43
+ POPD *AR2+0% ; 44
+ POPD *AR2(0355Dh) ; 45
+ POPD *+AR2(0355Eh) ; 46
+ POPD *+AR2(0355Fh)% ; 47
+ POPD *(03560h) ; 48
+ POPD *AR3 ; 49
+ POPD *AR3- ; 50
+ POPD *AR3+ ; 51
+ POPD *+AR3 ; 52
+ POPD *AR3-0B ; 53
+ POPD *AR3-0 ; 54
+ POPD *AR3+0 ; 55
+ POPD *AR3+0B ; 56
+ POPD *AR3-% ; 57
+ POPD *AR3-0% ; 58
+ POPD *AR3+% ; 59
+ POPD *AR3+0% ; 60
+ POPD *AR3(03561h) ; 61
+ POPD *+AR3(03562h) ; 62
+ POPD *+AR3(03563h)% ; 63
+ POPD *(03564h) ; 64
+ POPD *AR4 ; 65
+ POPD *AR4- ; 66
+ POPD *AR4+ ; 67
+ POPD *+AR4 ; 68
+ POPD *AR4-0B ; 69
+ POPD *AR4-0 ; 70
+ POPD *AR4+0 ; 71
+ POPD *AR4+0B ; 72
+ POPD *AR4-% ; 73
+ POPD *AR4-0% ; 74
+ POPD *AR4+% ; 75
+ POPD *AR4+0% ; 76
+ POPD *AR4(03565h) ; 77
+ POPD *+AR4(03566h) ; 78
+ POPD *+AR4(03567h)% ; 79
+ POPD *(03568h) ; 80
+ POPD *AR5 ; 81
+ POPD *AR5- ; 82
+ POPD *AR5+ ; 83
+ POPD *+AR5 ; 84
+ POPD *AR5-0B ; 85
+ POPD *AR5-0 ; 86
+ POPD *AR5+0 ; 87
+ POPD *AR5+0B ; 88
+ POPD *AR5-% ; 89
+ POPD *AR5-0% ; 90
+ POPD *AR5+% ; 91
+ POPD *AR5+0% ; 92
+ POPD *AR5(03569h) ; 93
+ POPD *+AR5(0356Ah) ; 94
+ POPD *+AR5(0356Bh)% ; 95
+ POPD *(0356Ch) ; 96
+ POPD *AR6 ; 97
+ POPD *AR6- ; 98
+ POPD *AR6+ ; 99
+ POPD *+AR6 ; 100
+ POPD *AR6-0B ; 101
+ POPD *AR6-0 ; 102
+ POPD *AR6+0 ; 103
+ POPD *AR6+0B ; 104
+ POPD *AR6-% ; 105
+ POPD *AR6-0% ; 106
+ POPD *AR6+% ; 107
+ POPD *AR6+0% ; 108
+ POPD *AR6(0356Dh) ; 109
+ POPD *+AR6(0356Eh) ; 110
+ POPD *+AR6(0356Fh)% ; 111
+ POPD *(03570h) ; 112
+ POPD *AR7 ; 113
+ POPD *AR7- ; 114
+ POPD *AR7+ ; 115
+ POPD *+AR7 ; 116
+ POPD *AR7-0B ; 117
+ POPD *AR7-0 ; 118
+ POPD *AR7+0 ; 119
+ POPD *AR7+0B ; 120
+ POPD *AR7-% ; 121
+ POPD *AR7-0% ; 122
+ POPD *AR7+% ; 123
+ POPD *AR7+0% ; 124
+ POPD *AR7(03571h) ; 125
+ POPD *+AR7(03572h) ; 126
+ POPD *+AR7(03573h)% ; 127
+ POPD *(03574h) ; 128
+ ; POPM : POPM MMR : 1
+ POPM 71h ; 1
+ ; POPM_I : POPM MMR_I : 96
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ POPM *AR0 ; 1
+ POPM *AR0- ; 2
+ POPM *AR0+ ; 3
+ POPM *+AR0 ; 4
+ POPM *AR0-0B ; 5
+ POPM *AR0-0 ; 6
+ POPM *AR0+0 ; 7
+ POPM *AR0+0B ; 8
+ POPM *AR0-% ; 9
+ POPM *AR0-0% ; 10
+ POPM *AR0+% ; 11
+ POPM *AR0+0% ; 12
+ POPM *AR1 ; 13
+ POPM *AR1- ; 14
+ POPM *AR1+ ; 15
+ POPM *+AR1 ; 16
+ POPM *AR1-0B ; 17
+ POPM *AR1-0 ; 18
+ POPM *AR1+0 ; 19
+ POPM *AR1+0B ; 20
+ POPM *AR1-% ; 21
+ POPM *AR1-0% ; 22
+ POPM *AR1+% ; 23
+ POPM *AR1+0% ; 24
+ POPM *AR2 ; 25
+ POPM *AR2- ; 26
+ POPM *AR2+ ; 27
+ POPM *+AR2 ; 28
+ POPM *AR2-0B ; 29
+ POPM *AR2-0 ; 30
+ POPM *AR2+0 ; 31
+ POPM *AR2+0B ; 32
+ POPM *AR2-% ; 33
+ POPM *AR2-0% ; 34
+ POPM *AR2+% ; 35
+ POPM *AR2+0% ; 36
+ POPM *AR3 ; 37
+ POPM *AR3- ; 38
+ POPM *AR3+ ; 39
+ POPM *+AR3 ; 40
+ POPM *AR3-0B ; 41
+ POPM *AR3-0 ; 42
+ POPM *AR3+0 ; 43
+ POPM *AR3+0B ; 44
+ POPM *AR3-% ; 45
+ POPM *AR3-0% ; 46
+ POPM *AR3+% ; 47
+ POPM *AR3+0% ; 48
+ POPM *AR4 ; 49
+ POPM *AR4- ; 50
+ POPM *AR4+ ; 51
+ POPM *+AR4 ; 52
+ POPM *AR4-0B ; 53
+ POPM *AR4-0 ; 54
+ POPM *AR4+0 ; 55
+ POPM *AR4+0B ; 56
+ POPM *AR4-% ; 57
+ POPM *AR4-0% ; 58
+ POPM *AR4+% ; 59
+ POPM *AR4+0% ; 60
+ POPM *AR5 ; 61
+ POPM *AR5- ; 62
+ POPM *AR5+ ; 63
+ POPM *+AR5 ; 64
+ POPM *AR5-0B ; 65
+ POPM *AR5-0 ; 66
+ POPM *AR5+0 ; 67
+ POPM *AR5+0B ; 68
+ POPM *AR5-% ; 69
+ POPM *AR5-0% ; 70
+ POPM *AR5+% ; 71
+ POPM *AR5+0% ; 72
+ POPM *AR6 ; 73
+ POPM *AR6- ; 74
+ POPM *AR6+ ; 75
+ POPM *+AR6 ; 76
+ POPM *AR6-0B ; 77
+ POPM *AR6-0 ; 78
+ POPM *AR6+0 ; 79
+ POPM *AR6+0B ; 80
+ POPM *AR6-% ; 81
+ POPM *AR6-0% ; 82
+ POPM *AR6+% ; 83
+ POPM *AR6+0% ; 84
+ POPM *AR7 ; 85
+ POPM *AR7- ; 86
+ POPM *AR7+ ; 87
+ POPM *+AR7 ; 88
+ POPM *AR7-0B ; 89
+ POPM *AR7-0 ; 90
+ POPM *AR7+0 ; 91
+ POPM *AR7+0B ; 92
+ POPM *AR7-% ; 93
+ POPM *AR7-0% ; 94
+ POPM *AR7+% ; 95
+ POPM *AR7+0% ; 96
+ ; PORTR : PORTR PA, Smem : 1
+ PORTR 00000h, 72h ; 1
+ ; PORTR_I : PORTR PA, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ PORTR 00001h, *AR0 ; 1
+ PORTR 00002h, *AR0- ; 2
+ PORTR 00003h, *AR0+ ; 3
+ PORTR 00004h, *+AR0 ; 4
+ PORTR 00005h, *AR0-0B ; 5
+ PORTR 00006h, *AR0-0 ; 6
+ PORTR 00007h, *AR0+0 ; 7
+ PORTR 00008h, *AR0+0B ; 8
+ PORTR 00009h, *AR0-% ; 9
+ PORTR 0000Ah, *AR0-0% ; 10
+ PORTR 0000Bh, *AR0+% ; 11
+ PORTR 0000Ch, *AR0+0% ; 12
+ PORTR 0000Dh, *AR0(03575h) ; 13
+ PORTR 0000Eh, *+AR0(03576h) ; 14
+ PORTR 0000Fh, *+AR0(03577h)% ; 15
+ PORTR 00010h, *(03578h) ; 16
+ PORTR 00011h, *AR1 ; 17
+ PORTR 00012h, *AR1- ; 18
+ PORTR 00013h, *AR1+ ; 19
+ PORTR 00014h, *+AR1 ; 20
+ PORTR 00015h, *AR1-0B ; 21
+ PORTR 00016h, *AR1-0 ; 22
+ PORTR 00017h, *AR1+0 ; 23
+ PORTR 00018h, *AR1+0B ; 24
+ PORTR 00019h, *AR1-% ; 25
+ PORTR 0001Ah, *AR1-0% ; 26
+ PORTR 0001Bh, *AR1+% ; 27
+ PORTR 0001Ch, *AR1+0% ; 28
+ PORTR 0001Dh, *AR1(03579h) ; 29
+ PORTR 0001Eh, *+AR1(0357Ah) ; 30
+ PORTR 0001Fh, *+AR1(0357Bh)% ; 31
+ PORTR 00020h, *(0357Ch) ; 32
+ PORTR 00021h, *AR2 ; 33
+ PORTR 00022h, *AR2- ; 34
+ PORTR 00023h, *AR2+ ; 35
+ PORTR 00024h, *+AR2 ; 36
+ PORTR 00025h, *AR2-0B ; 37
+ PORTR 00026h, *AR2-0 ; 38
+ PORTR 00027h, *AR2+0 ; 39
+ PORTR 00028h, *AR2+0B ; 40
+ PORTR 00029h, *AR2-% ; 41
+ PORTR 0002Ah, *AR2-0% ; 42
+ PORTR 0002Bh, *AR2+% ; 43
+ PORTR 0002Ch, *AR2+0% ; 44
+ PORTR 0002Dh, *AR2(0357Dh) ; 45
+ PORTR 0002Eh, *+AR2(0357Eh) ; 46
+ PORTR 0002Fh, *+AR2(0357Fh)% ; 47
+ PORTR 00030h, *(03580h) ; 48
+ PORTR 00031h, *AR3 ; 49
+ PORTR 00032h, *AR3- ; 50
+ PORTR 00033h, *AR3+ ; 51
+ PORTR 00034h, *+AR3 ; 52
+ PORTR 00035h, *AR3-0B ; 53
+ PORTR 00036h, *AR3-0 ; 54
+ PORTR 00037h, *AR3+0 ; 55
+ PORTR 00038h, *AR3+0B ; 56
+ PORTR 00039h, *AR3-% ; 57
+ PORTR 0003Ah, *AR3-0% ; 58
+ PORTR 0003Bh, *AR3+% ; 59
+ PORTR 0003Ch, *AR3+0% ; 60
+ PORTR 0003Dh, *AR3(03581h) ; 61
+ PORTR 0003Eh, *+AR3(03582h) ; 62
+ PORTR 0003Fh, *+AR3(03583h)% ; 63
+ PORTR 00040h, *(03584h) ; 64
+ PORTR 00041h, *AR4 ; 65
+ PORTR 00042h, *AR4- ; 66
+ PORTR 00043h, *AR4+ ; 67
+ PORTR 00044h, *+AR4 ; 68
+ PORTR 00045h, *AR4-0B ; 69
+ PORTR 00046h, *AR4-0 ; 70
+ PORTR 00047h, *AR4+0 ; 71
+ PORTR 00048h, *AR4+0B ; 72
+ PORTR 00049h, *AR4-% ; 73
+ PORTR 0004Ah, *AR4-0% ; 74
+ PORTR 0004Bh, *AR4+% ; 75
+ PORTR 0004Ch, *AR4+0% ; 76
+ PORTR 0004Dh, *AR4(03585h) ; 77
+ PORTR 0004Eh, *+AR4(03586h) ; 78
+ PORTR 0004Fh, *+AR4(03587h)% ; 79
+ PORTR 00050h, *(03588h) ; 80
+ PORTR 00051h, *AR5 ; 81
+ PORTR 00052h, *AR5- ; 82
+ PORTR 00053h, *AR5+ ; 83
+ PORTR 00054h, *+AR5 ; 84
+ PORTR 00055h, *AR5-0B ; 85
+ PORTR 00056h, *AR5-0 ; 86
+ PORTR 00057h, *AR5+0 ; 87
+ PORTR 00058h, *AR5+0B ; 88
+ PORTR 00059h, *AR5-% ; 89
+ PORTR 0005Ah, *AR5-0% ; 90
+ PORTR 0005Bh, *AR5+% ; 91
+ PORTR 0005Ch, *AR5+0% ; 92
+ PORTR 0005Dh, *AR5(03589h) ; 93
+ PORTR 0005Eh, *+AR5(0358Ah) ; 94
+ PORTR 0005Fh, *+AR5(0358Bh)% ; 95
+ PORTR 00060h, *(0358Ch) ; 96
+ PORTR 00061h, *AR6 ; 97
+ PORTR 00062h, *AR6- ; 98
+ PORTR 00063h, *AR6+ ; 99
+ PORTR 00064h, *+AR6 ; 100
+ PORTR 00065h, *AR6-0B ; 101
+ PORTR 00066h, *AR6-0 ; 102
+ PORTR 00067h, *AR6+0 ; 103
+ PORTR 00068h, *AR6+0B ; 104
+ PORTR 00069h, *AR6-% ; 105
+ PORTR 0006Ah, *AR6-0% ; 106
+ PORTR 0006Bh, *AR6+% ; 107
+ PORTR 0006Ch, *AR6+0% ; 108
+ PORTR 0006Dh, *AR6(0358Dh) ; 109
+ PORTR 0006Eh, *+AR6(0358Eh) ; 110
+ PORTR 0006Fh, *+AR6(0358Fh)% ; 111
+ PORTR 00070h, *(03590h) ; 112
+ PORTR 00071h, *AR7 ; 113
+ PORTR 00072h, *AR7- ; 114
+ PORTR 00073h, *AR7+ ; 115
+ PORTR 00074h, *+AR7 ; 116
+ PORTR 00075h, *AR7-0B ; 117
+ PORTR 00076h, *AR7-0 ; 118
+ PORTR 00077h, *AR7+0 ; 119
+ PORTR 00078h, *AR7+0B ; 120
+ PORTR 00079h, *AR7-% ; 121
+ PORTR 0007Ah, *AR7-0% ; 122
+ PORTR 0007Bh, *AR7+% ; 123
+ PORTR 0007Ch, *AR7+0% ; 124
+ PORTR 0007Dh, *AR7(03591h) ; 125
+ PORTR 0007Eh, *+AR7(03592h) ; 126
+ PORTR 0007Fh, *+AR7(03593h)% ; 127
+ PORTR 00080h, *(03594h) ; 128
+ ; PORTW : PORTW Smem, PA : 1
+ PORTW 73h, 00081h ; 1
+ ; PORTW_I : PORTW Smem_I, PA : 120
+ PORTW *AR0, 00082h ; 1
+ PORTW *AR0-, 00083h ; 2
+ PORTW *AR0+, 00084h ; 3
+ PORTW *AR0-0B, 00085h ; 4
+ PORTW *AR0-0, 00086h ; 5
+ PORTW *AR0+0, 00087h ; 6
+ PORTW *AR0+0B, 00088h ; 7
+ PORTW *AR0-%, 00089h ; 8
+ PORTW *AR0-0%, 0008Ah ; 9
+ PORTW *AR0+%, 0008Bh ; 10
+ PORTW *AR0+0%, 0008Ch ; 11
+ PORTW *AR0(03595h), 0008Dh ; 12
+ PORTW *+AR0(03596h), 0008Eh ; 13
+ PORTW *+AR0(03597h)%, 0008Fh ; 14
+ PORTW *(03598h), 00090h ; 15
+ PORTW *AR1, 00091h ; 16
+ PORTW *AR1-, 00092h ; 17
+ PORTW *AR1+, 00093h ; 18
+ PORTW *AR1-0B, 00094h ; 19
+ PORTW *AR1-0, 00095h ; 20
+ PORTW *AR1+0, 00096h ; 21
+ PORTW *AR1+0B, 00097h ; 22
+ PORTW *AR1-%, 00098h ; 23
+ PORTW *AR1-0%, 00099h ; 24
+ PORTW *AR1+%, 0009Ah ; 25
+ PORTW *AR1+0%, 0009Bh ; 26
+ PORTW *AR1(03599h), 0009Ch ; 27
+ PORTW *+AR1(0359Ah), 0009Dh ; 28
+ PORTW *+AR1(0359Bh)%, 0009Eh ; 29
+ PORTW *(0359Ch), 0009Fh ; 30
+ PORTW *AR2, 000A0h ; 31
+ PORTW *AR2-, 000A1h ; 32
+ PORTW *AR2+, 000A2h ; 33
+ PORTW *AR2-0B, 000A3h ; 34
+ PORTW *AR2-0, 000A4h ; 35
+ PORTW *AR2+0, 000A5h ; 36
+ PORTW *AR2+0B, 000A6h ; 37
+ PORTW *AR2-%, 000A7h ; 38
+ PORTW *AR2-0%, 000A8h ; 39
+ PORTW *AR2+%, 000A9h ; 40
+ PORTW *AR2+0%, 000AAh ; 41
+ PORTW *AR2(0359Dh), 000ABh ; 42
+ PORTW *+AR2(0359Eh), 000ACh ; 43
+ PORTW *+AR2(0359Fh)%, 000ADh ; 44
+ PORTW *(035A0h), 000AEh ; 45
+ PORTW *AR3, 000AFh ; 46
+ PORTW *AR3-, 000B0h ; 47
+ PORTW *AR3+, 000B1h ; 48
+ PORTW *AR3-0B, 000B2h ; 49
+ PORTW *AR3-0, 000B3h ; 50
+ PORTW *AR3+0, 000B4h ; 51
+ PORTW *AR3+0B, 000B5h ; 52
+ PORTW *AR3-%, 000B6h ; 53
+ PORTW *AR3-0%, 000B7h ; 54
+ PORTW *AR3+%, 000B8h ; 55
+ PORTW *AR3+0%, 000B9h ; 56
+ PORTW *AR3(035A1h), 000BAh ; 57
+ PORTW *+AR3(035A2h), 000BBh ; 58
+ PORTW *+AR3(035A3h)%, 000BCh ; 59
+ PORTW *(035A4h), 000BDh ; 60
+ PORTW *AR4, 000BEh ; 61
+ PORTW *AR4-, 000BFh ; 62
+ PORTW *AR4+, 000C0h ; 63
+ PORTW *AR4-0B, 000C1h ; 64
+ PORTW *AR4-0, 000C2h ; 65
+ PORTW *AR4+0, 000C3h ; 66
+ PORTW *AR4+0B, 000C4h ; 67
+ PORTW *AR4-%, 000C5h ; 68
+ PORTW *AR4-0%, 000C6h ; 69
+ PORTW *AR4+%, 000C7h ; 70
+ PORTW *AR4+0%, 000C8h ; 71
+ PORTW *AR4(035A5h), 000C9h ; 72
+ PORTW *+AR4(035A6h), 000CAh ; 73
+ PORTW *+AR4(035A7h)%, 000CBh ; 74
+ PORTW *(035A8h), 000CCh ; 75
+ PORTW *AR5, 000CDh ; 76
+ PORTW *AR5-, 000CEh ; 77
+ PORTW *AR5+, 000CFh ; 78
+ PORTW *AR5-0B, 000D0h ; 79
+ PORTW *AR5-0, 000D1h ; 80
+ PORTW *AR5+0, 000D2h ; 81
+ PORTW *AR5+0B, 000D3h ; 82
+ PORTW *AR5-%, 000D4h ; 83
+ PORTW *AR5-0%, 000D5h ; 84
+ PORTW *AR5+%, 000D6h ; 85
+ PORTW *AR5+0%, 000D7h ; 86
+ PORTW *AR5(035A9h), 000D8h ; 87
+ PORTW *+AR5(035AAh), 000D9h ; 88
+ PORTW *+AR5(035ABh)%, 000DAh ; 89
+ PORTW *(035ACh), 000DBh ; 90
+ PORTW *AR6, 000DCh ; 91
+ PORTW *AR6-, 000DDh ; 92
+ PORTW *AR6+, 000DEh ; 93
+ PORTW *AR6-0B, 000DFh ; 94
+ PORTW *AR6-0, 000E0h ; 95
+ PORTW *AR6+0, 000E1h ; 96
+ PORTW *AR6+0B, 000E2h ; 97
+ PORTW *AR6-%, 000E3h ; 98
+ PORTW *AR6-0%, 000E4h ; 99
+ PORTW *AR6+%, 000E5h ; 100
+ PORTW *AR6+0%, 000E6h ; 101
+ PORTW *AR6(035ADh), 000E7h ; 102
+ PORTW *+AR6(035AEh), 000E8h ; 103
+ PORTW *+AR6(035AFh)%, 000E9h ; 104
+ PORTW *(035B0h), 000EAh ; 105
+ PORTW *AR7, 000EBh ; 106
+ PORTW *AR7-, 000ECh ; 107
+ PORTW *AR7+, 000EDh ; 108
+ PORTW *AR7-0B, 000EEh ; 109
+ PORTW *AR7-0, 000EFh ; 110
+ PORTW *AR7+0, 000F0h ; 111
+ PORTW *AR7+0B, 000F1h ; 112
+ PORTW *AR7-%, 000F2h ; 113
+ PORTW *AR7-0%, 000F3h ; 114
+ PORTW *AR7+%, 000F4h ; 115
+ PORTW *AR7+0%, 000F5h ; 116
+ PORTW *AR7(035B1h), 000F6h ; 117
+ PORTW *+AR7(035B2h), 000F7h ; 118
+ PORTW *+AR7(035B3h)%, 000F8h ; 119
+ PORTW *(035B4h), 000F9h ; 120
+ ; PSHD : PSHD Smem : 1
+ PSHD 74h ; 1
+ ; PSHD_I : PSHD Smem_I : 120
+ PSHD *AR0 ; 1
+ PSHD *AR0- ; 2
+ PSHD *AR0+ ; 3
+ PSHD *AR0-0B ; 4
+ PSHD *AR0-0 ; 5
+ PSHD *AR0+0 ; 6
+ PSHD *AR0+0B ; 7
+ PSHD *AR0-% ; 8
+ PSHD *AR0-0% ; 9
+ PSHD *AR0+% ; 10
+ PSHD *AR0+0% ; 11
+ PSHD *AR0(035B5h) ; 12
+ PSHD *+AR0(035B6h) ; 13
+ PSHD *+AR0(035B7h)% ; 14
+ PSHD *(035B8h) ; 15
+ PSHD *AR1 ; 16
+ PSHD *AR1- ; 17
+ PSHD *AR1+ ; 18
+ PSHD *AR1-0B ; 19
+ PSHD *AR1-0 ; 20
+ PSHD *AR1+0 ; 21
+ PSHD *AR1+0B ; 22
+ PSHD *AR1-% ; 23
+ PSHD *AR1-0% ; 24
+ PSHD *AR1+% ; 25
+ PSHD *AR1+0% ; 26
+ PSHD *AR1(035B9h) ; 27
+ PSHD *+AR1(035BAh) ; 28
+ PSHD *+AR1(035BBh)% ; 29
+ PSHD *(035BCh) ; 30
+ PSHD *AR2 ; 31
+ PSHD *AR2- ; 32
+ PSHD *AR2+ ; 33
+ PSHD *AR2-0B ; 34
+ PSHD *AR2-0 ; 35
+ PSHD *AR2+0 ; 36
+ PSHD *AR2+0B ; 37
+ PSHD *AR2-% ; 38
+ PSHD *AR2-0% ; 39
+ PSHD *AR2+% ; 40
+ PSHD *AR2+0% ; 41
+ PSHD *AR2(035BDh) ; 42
+ PSHD *+AR2(035BEh) ; 43
+ PSHD *+AR2(035BFh)% ; 44
+ PSHD *(035C0h) ; 45
+ PSHD *AR3 ; 46
+ PSHD *AR3- ; 47
+ PSHD *AR3+ ; 48
+ PSHD *AR3-0B ; 49
+ PSHD *AR3-0 ; 50
+ PSHD *AR3+0 ; 51
+ PSHD *AR3+0B ; 52
+ PSHD *AR3-% ; 53
+ PSHD *AR3-0% ; 54
+ PSHD *AR3+% ; 55
+ PSHD *AR3+0% ; 56
+ PSHD *AR3(035C1h) ; 57
+ PSHD *+AR3(035C2h) ; 58
+ PSHD *+AR3(035C3h)% ; 59
+ PSHD *(035C4h) ; 60
+ PSHD *AR4 ; 61
+ PSHD *AR4- ; 62
+ PSHD *AR4+ ; 63
+ PSHD *AR4-0B ; 64
+ PSHD *AR4-0 ; 65
+ PSHD *AR4+0 ; 66
+ PSHD *AR4+0B ; 67
+ PSHD *AR4-% ; 68
+ PSHD *AR4-0% ; 69
+ PSHD *AR4+% ; 70
+ PSHD *AR4+0% ; 71
+ PSHD *AR4(035C5h) ; 72
+ PSHD *+AR4(035C6h) ; 73
+ PSHD *+AR4(035C7h)% ; 74
+ PSHD *(035C8h) ; 75
+ PSHD *AR5 ; 76
+ PSHD *AR5- ; 77
+ PSHD *AR5+ ; 78
+ PSHD *AR5-0B ; 79
+ PSHD *AR5-0 ; 80
+ PSHD *AR5+0 ; 81
+ PSHD *AR5+0B ; 82
+ PSHD *AR5-% ; 83
+ PSHD *AR5-0% ; 84
+ PSHD *AR5+% ; 85
+ PSHD *AR5+0% ; 86
+ PSHD *AR5(035C9h) ; 87
+ PSHD *+AR5(035CAh) ; 88
+ PSHD *+AR5(035CBh)% ; 89
+ PSHD *(035CCh) ; 90
+ PSHD *AR6 ; 91
+ PSHD *AR6- ; 92
+ PSHD *AR6+ ; 93
+ PSHD *AR6-0B ; 94
+ PSHD *AR6-0 ; 95
+ PSHD *AR6+0 ; 96
+ PSHD *AR6+0B ; 97
+ PSHD *AR6-% ; 98
+ PSHD *AR6-0% ; 99
+ PSHD *AR6+% ; 100
+ PSHD *AR6+0% ; 101
+ PSHD *AR6(035CDh) ; 102
+ PSHD *+AR6(035CEh) ; 103
+ PSHD *+AR6(035CFh)% ; 104
+ PSHD *(035D0h) ; 105
+ PSHD *AR7 ; 106
+ PSHD *AR7- ; 107
+ PSHD *AR7+ ; 108
+ PSHD *AR7-0B ; 109
+ PSHD *AR7-0 ; 110
+ PSHD *AR7+0 ; 111
+ PSHD *AR7+0B ; 112
+ PSHD *AR7-% ; 113
+ PSHD *AR7-0% ; 114
+ PSHD *AR7+% ; 115
+ PSHD *AR7+0% ; 116
+ PSHD *AR7(035D1h) ; 117
+ PSHD *+AR7(035D2h) ; 118
+ PSHD *+AR7(035D3h)% ; 119
+ PSHD *(035D4h) ; 120
+ ; PSHM : PSHM MMR : 1
+ PSHM 75h ; 1
+ ; PSHM_I : PSHM MMR_I : 88
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ PSHM *AR0 ; 1
+ PSHM *AR0- ; 2
+ PSHM *AR0+ ; 3
+ PSHM *AR0-0B ; 4
+ PSHM *AR0-0 ; 5
+ PSHM *AR0+0 ; 6
+ PSHM *AR0+0B ; 7
+ PSHM *AR0-% ; 8
+ PSHM *AR0-0% ; 9
+ PSHM *AR0+% ; 10
+ PSHM *AR0+0% ; 11
+ PSHM *AR1 ; 12
+ PSHM *AR1- ; 13
+ PSHM *AR1+ ; 14
+ PSHM *AR1-0B ; 15
+ PSHM *AR1-0 ; 16
+ PSHM *AR1+0 ; 17
+ PSHM *AR1+0B ; 18
+ PSHM *AR1-% ; 19
+ PSHM *AR1-0% ; 20
+ PSHM *AR1+% ; 21
+ PSHM *AR1+0% ; 22
+ PSHM *AR2 ; 23
+ PSHM *AR2- ; 24
+ PSHM *AR2+ ; 25
+ PSHM *AR2-0B ; 26
+ PSHM *AR2-0 ; 27
+ PSHM *AR2+0 ; 28
+ PSHM *AR2+0B ; 29
+ PSHM *AR2-% ; 30
+ PSHM *AR2-0% ; 31
+ PSHM *AR2+% ; 32
+ PSHM *AR2+0% ; 33
+ PSHM *AR3 ; 34
+ PSHM *AR3- ; 35
+ PSHM *AR3+ ; 36
+ PSHM *AR3-0B ; 37
+ PSHM *AR3-0 ; 38
+ PSHM *AR3+0 ; 39
+ PSHM *AR3+0B ; 40
+ PSHM *AR3-% ; 41
+ PSHM *AR3-0% ; 42
+ PSHM *AR3+% ; 43
+ PSHM *AR3+0% ; 44
+ PSHM *AR4 ; 45
+ PSHM *AR4- ; 46
+ PSHM *AR4+ ; 47
+ PSHM *AR4-0B ; 48
+ PSHM *AR4-0 ; 49
+ PSHM *AR4+0 ; 50
+ PSHM *AR4+0B ; 51
+ PSHM *AR4-% ; 52
+ PSHM *AR4-0% ; 53
+ PSHM *AR4+% ; 54
+ PSHM *AR4+0% ; 55
+ PSHM *AR5 ; 56
+ PSHM *AR5- ; 57
+ PSHM *AR5+ ; 58
+ PSHM *AR5-0B ; 59
+ PSHM *AR5-0 ; 60
+ PSHM *AR5+0 ; 61
+ PSHM *AR5+0B ; 62
+ PSHM *AR5-% ; 63
+ PSHM *AR5-0% ; 64
+ PSHM *AR5+% ; 65
+ PSHM *AR5+0% ; 66
+ PSHM *AR6 ; 67
+ PSHM *AR6- ; 68
+ PSHM *AR6+ ; 69
+ PSHM *AR6-0B ; 70
+ PSHM *AR6-0 ; 71
+ PSHM *AR6+0 ; 72
+ PSHM *AR6+0B ; 73
+ PSHM *AR6-% ; 74
+ PSHM *AR6-0% ; 75
+ PSHM *AR6+% ; 76
+ PSHM *AR6+0% ; 77
+ PSHM *AR7 ; 78
+ PSHM *AR7- ; 79
+ PSHM *AR7+ ; 80
+ PSHM *AR7-0B ; 81
+ PSHM *AR7-0 ; 82
+ PSHM *AR7+0 ; 83
+ PSHM *AR7+0B ; 84
+ PSHM *AR7-% ; 85
+ PSHM *AR7-0% ; 86
+ PSHM *AR7+% ; 87
+ PSHM *AR7+0% ; 88
+ ; RC : RC cond7 : 159
+ RC UNC ; 1
+ RC ALT ; 2
+ RC BLT ; 3
+ RC ALEQ ; 4
+ RC BLEQ ; 5
+ RC AGT ; 6
+ RC BGT ; 7
+ RC ANEQ ; 8
+ RC BNEQ ; 9
+ RC AGEQ ; 10
+ RC BGEQ ; 11
+ RC AEQ ; 12
+ RC BEQ ; 13
+ RC ANOV ; 14
+ RC BNOV ; 15
+ RC AOV ; 16
+ RC BOV ; 17
+ RC NTC ; 18
+ RC TC ; 19
+ RC NC ; 20
+ RC C ; 21
+ RC NBIO ; 22
+ RC BIO ; 23
+ RC ALT, ANOV ; 24
+ RC ANOV, ALT ; 25
+ RC BLT, BNOV ; 26
+ RC BNOV, BLT ; 27
+ RC ALT, AOV ; 28
+ RC AOV, ALT ; 29
+ RC BLT, BOV ; 30
+ RC BOV, BLT ; 31
+ RC ALEQ, ANOV ; 32
+ RC ANOV, ALEQ ; 33
+ RC BLEQ, BNOV ; 34
+ RC BNOV, BLEQ ; 35
+ RC ALEQ, AOV ; 36
+ RC AOV, ALEQ ; 37
+ RC BLEQ, BOV ; 38
+ RC BOV, BLEQ ; 39
+ RC AGT, ANOV ; 40
+ RC ANOV, AGT ; 41
+ RC BGT, BNOV ; 42
+ RC BNOV, BGT ; 43
+ RC AGT, AOV ; 44
+ RC AOV, AGT ; 45
+ RC BGT, BOV ; 46
+ RC BOV, BGT ; 47
+ RC ANEQ, ANOV ; 48
+ RC ANOV, ANEQ ; 49
+ RC BNEQ, BNOV ; 50
+ RC BNOV, BNEQ ; 51
+ RC ANEQ, AOV ; 52
+ RC AOV, ANEQ ; 53
+ RC BNEQ, BOV ; 54
+ RC BOV, BNEQ ; 55
+ RC AGEQ, ANOV ; 56
+ RC ANOV, AGEQ ; 57
+ RC BGEQ, BNOV ; 58
+ RC BNOV, BGEQ ; 59
+ RC AGEQ, AOV ; 60
+ RC AOV, AGEQ ; 61
+ RC BGEQ, BOV ; 62
+ RC BOV, BGEQ ; 63
+ RC AEQ, ANOV ; 64
+ RC ANOV, AEQ ; 65
+ RC BEQ, BNOV ; 66
+ RC BNOV, BEQ ; 67
+ RC AEQ, AOV ; 68
+ RC AOV, AEQ ; 69
+ RC BEQ, BOV ; 70
+ RC BOV, BEQ ; 71
+ RC NTC, NC, NBIO ; 72
+ RC NTC, NBIO, NC ; 73
+ RC NC, NTC, NBIO ; 74
+ RC NC, NBIO, NTC ; 75
+ RC NBIO, NTC, NC ; 76
+ RC NBIO, NC, NTC ; 77
+ RC NC, NTC ; 78
+ RC NTC, NBIO ; 79
+ RC NBIO, NTC ; 80
+ RC NC, NBIO ; 81
+ RC NBIO, NC ; 82
+ RC NTC, NC, BIO ; 83
+ RC NTC, BIO, NC ; 84
+ RC NC, NTC, BIO ; 85
+ RC NC, BIO, NTC ; 86
+ RC BIO, NTC, NC ; 87
+ RC BIO, NC, NTC ; 88
+ RC NC, NTC ; 89
+ RC NTC, BIO ; 90
+ RC BIO, NTC ; 91
+ RC NC, BIO ; 92
+ RC BIO, NC ; 93
+ RC NTC, C, NBIO ; 94
+ RC NTC, NBIO, C ; 95
+ RC C, NTC, NBIO ; 96
+ RC C, NBIO, NTC ; 97
+ RC NBIO, NTC, C ; 98
+ RC NBIO, C, NTC ; 99
+ RC C, NTC ; 100
+ RC NTC, NBIO ; 101
+ RC NBIO, NTC ; 102
+ RC C, NBIO ; 103
+ RC NBIO, C ; 104
+ RC NTC, C, BIO ; 105
+ RC NTC, BIO, C ; 106
+ RC C, NTC, BIO ; 107
+ RC C, BIO, NTC ; 108
+ RC BIO, NTC, C ; 109
+ RC BIO, C, NTC ; 110
+ RC C, NTC ; 111
+ RC NTC, BIO ; 112
+ RC BIO, NTC ; 113
+ RC C, BIO ; 114
+ RC BIO, C ; 115
+ RC TC, NC, NBIO ; 116
+ RC TC, NBIO, NC ; 117
+ RC NC, TC, NBIO ; 118
+ RC NC, NBIO, TC ; 119
+ RC NBIO, TC, NC ; 120
+ RC NBIO, NC, TC ; 121
+ RC NC, TC ; 122
+ RC TC, NBIO ; 123
+ RC NBIO, TC ; 124
+ RC NC, NBIO ; 125
+ RC NBIO, NC ; 126
+ RC TC, NC, BIO ; 127
+ RC TC, BIO, NC ; 128
+ RC NC, TC, BIO ; 129
+ RC NC, BIO, TC ; 130
+ RC BIO, TC, NC ; 131
+ RC BIO, NC, TC ; 132
+ RC NC, TC ; 133
+ RC TC, BIO ; 134
+ RC BIO, TC ; 135
+ RC NC, BIO ; 136
+ RC BIO, NC ; 137
+ RC TC, C, NBIO ; 138
+ RC TC, NBIO, C ; 139
+ RC C, TC, NBIO ; 140
+ RC C, NBIO, TC ; 141
+ RC NBIO, TC, C ; 142
+ RC NBIO, C, TC ; 143
+ RC C, TC ; 144
+ RC TC, NBIO ; 145
+ RC NBIO, TC ; 146
+ RC C, NBIO ; 147
+ RC NBIO, C ; 148
+ RC TC, C, BIO ; 149
+ RC TC, BIO, C ; 150
+ RC C, TC, BIO ; 151
+ RC C, BIO, TC ; 152
+ RC BIO, TC, C ; 153
+ RC BIO, C, TC ; 154
+ RC C, TC ; 155
+ RC TC, BIO ; 156
+ RC BIO, TC ; 157
+ RC C, BIO ; 158
+ RC BIO, C ; 159
+ ; RCD : RCD cond7 : 159
+ RCD UNC ; 1
+ NOP
+ NOP
+ RCD ALT ; 2
+ NOP
+ NOP
+ RCD BLT ; 3
+ NOP
+ NOP
+ RCD ALEQ ; 4
+ NOP
+ NOP
+ RCD BLEQ ; 5
+ NOP
+ NOP
+ RCD AGT ; 6
+ NOP
+ NOP
+ RCD BGT ; 7
+ NOP
+ NOP
+ RCD ANEQ ; 8
+ NOP
+ NOP
+ RCD BNEQ ; 9
+ NOP
+ NOP
+ RCD AGEQ ; 10
+ NOP
+ NOP
+ RCD BGEQ ; 11
+ NOP
+ NOP
+ RCD AEQ ; 12
+ NOP
+ NOP
+ RCD BEQ ; 13
+ NOP
+ NOP
+ RCD ANOV ; 14
+ NOP
+ NOP
+ RCD BNOV ; 15
+ NOP
+ NOP
+ RCD AOV ; 16
+ NOP
+ NOP
+ RCD BOV ; 17
+ NOP
+ NOP
+ RCD NTC ; 18
+ NOP
+ NOP
+ RCD TC ; 19
+ NOP
+ NOP
+ RCD NC ; 20
+ NOP
+ NOP
+ RCD C ; 21
+ NOP
+ NOP
+ RCD NBIO ; 22
+ NOP
+ NOP
+ RCD BIO ; 23
+ NOP
+ NOP
+ RCD ALT, ANOV ; 24
+ NOP
+ NOP
+ RCD ANOV, ALT ; 25
+ NOP
+ NOP
+ RCD BLT, BNOV ; 26
+ NOP
+ NOP
+ RCD BNOV, BLT ; 27
+ NOP
+ NOP
+ RCD ALT, AOV ; 28
+ NOP
+ NOP
+ RCD AOV, ALT ; 29
+ NOP
+ NOP
+ RCD BLT, BOV ; 30
+ NOP
+ NOP
+ RCD BOV, BLT ; 31
+ NOP
+ NOP
+ RCD ALEQ, ANOV ; 32
+ NOP
+ NOP
+ RCD ANOV, ALEQ ; 33
+ NOP
+ NOP
+ RCD BLEQ, BNOV ; 34
+ NOP
+ NOP
+ RCD BNOV, BLEQ ; 35
+ NOP
+ NOP
+ RCD ALEQ, AOV ; 36
+ NOP
+ NOP
+ RCD AOV, ALEQ ; 37
+ NOP
+ NOP
+ RCD BLEQ, BOV ; 38
+ NOP
+ NOP
+ RCD BOV, BLEQ ; 39
+ NOP
+ NOP
+ RCD AGT, ANOV ; 40
+ NOP
+ NOP
+ RCD ANOV, AGT ; 41
+ NOP
+ NOP
+ RCD BGT, BNOV ; 42
+ NOP
+ NOP
+ RCD BNOV, BGT ; 43
+ NOP
+ NOP
+ RCD AGT, AOV ; 44
+ NOP
+ NOP
+ RCD AOV, AGT ; 45
+ NOP
+ NOP
+ RCD BGT, BOV ; 46
+ NOP
+ NOP
+ RCD BOV, BGT ; 47
+ NOP
+ NOP
+ RCD ANEQ, ANOV ; 48
+ NOP
+ NOP
+ RCD ANOV, ANEQ ; 49
+ NOP
+ NOP
+ RCD BNEQ, BNOV ; 50
+ NOP
+ NOP
+ RCD BNOV, BNEQ ; 51
+ NOP
+ NOP
+ RCD ANEQ, AOV ; 52
+ NOP
+ NOP
+ RCD AOV, ANEQ ; 53
+ NOP
+ NOP
+ RCD BNEQ, BOV ; 54
+ NOP
+ NOP
+ RCD BOV, BNEQ ; 55
+ NOP
+ NOP
+ RCD AGEQ, ANOV ; 56
+ NOP
+ NOP
+ RCD ANOV, AGEQ ; 57
+ NOP
+ NOP
+ RCD BGEQ, BNOV ; 58
+ NOP
+ NOP
+ RCD BNOV, BGEQ ; 59
+ NOP
+ NOP
+ RCD AGEQ, AOV ; 60
+ NOP
+ NOP
+ RCD AOV, AGEQ ; 61
+ NOP
+ NOP
+ RCD BGEQ, BOV ; 62
+ NOP
+ NOP
+ RCD BOV, BGEQ ; 63
+ NOP
+ NOP
+ RCD AEQ, ANOV ; 64
+ NOP
+ NOP
+ RCD ANOV, AEQ ; 65
+ NOP
+ NOP
+ RCD BEQ, BNOV ; 66
+ NOP
+ NOP
+ RCD BNOV, BEQ ; 67
+ NOP
+ NOP
+ RCD AEQ, AOV ; 68
+ NOP
+ NOP
+ RCD AOV, AEQ ; 69
+ NOP
+ NOP
+ RCD BEQ, BOV ; 70
+ NOP
+ NOP
+ RCD BOV, BEQ ; 71
+ NOP
+ NOP
+ RCD NTC, NC, NBIO ; 72
+ NOP
+ NOP
+ RCD NTC, NBIO, NC ; 73
+ NOP
+ NOP
+ RCD NC, NTC, NBIO ; 74
+ NOP
+ NOP
+ RCD NC, NBIO, NTC ; 75
+ NOP
+ NOP
+ RCD NBIO, NTC, NC ; 76
+ NOP
+ NOP
+ RCD NBIO, NC, NTC ; 77
+ NOP
+ NOP
+ RCD NC, NTC ; 78
+ NOP
+ NOP
+ RCD NTC, NBIO ; 79
+ NOP
+ NOP
+ RCD NBIO, NTC ; 80
+ NOP
+ NOP
+ RCD NC, NBIO ; 81
+ NOP
+ NOP
+ RCD NBIO, NC ; 82
+ NOP
+ NOP
+ RCD NTC, NC, BIO ; 83
+ NOP
+ NOP
+ RCD NTC, BIO, NC ; 84
+ NOP
+ NOP
+ RCD NC, NTC, BIO ; 85
+ NOP
+ NOP
+ RCD NC, BIO, NTC ; 86
+ NOP
+ NOP
+ RCD BIO, NTC, NC ; 87
+ NOP
+ NOP
+ RCD BIO, NC, NTC ; 88
+ NOP
+ NOP
+ RCD NC, NTC ; 89
+ NOP
+ NOP
+ RCD NTC, BIO ; 90
+ NOP
+ NOP
+ RCD BIO, NTC ; 91
+ NOP
+ NOP
+ RCD NC, BIO ; 92
+ NOP
+ NOP
+ RCD BIO, NC ; 93
+ NOP
+ NOP
+ RCD NTC, C, NBIO ; 94
+ NOP
+ NOP
+ RCD NTC, NBIO, C ; 95
+ NOP
+ NOP
+ RCD C, NTC, NBIO ; 96
+ NOP
+ NOP
+ RCD C, NBIO, NTC ; 97
+ NOP
+ NOP
+ RCD NBIO, NTC, C ; 98
+ NOP
+ NOP
+ RCD NBIO, C, NTC ; 99
+ NOP
+ NOP
+ RCD C, NTC ; 100
+ NOP
+ NOP
+ RCD NTC, NBIO ; 101
+ NOP
+ NOP
+ RCD NBIO, NTC ; 102
+ NOP
+ NOP
+ RCD C, NBIO ; 103
+ NOP
+ NOP
+ RCD NBIO, C ; 104
+ NOP
+ NOP
+ RCD NTC, C, BIO ; 105
+ NOP
+ NOP
+ RCD NTC, BIO, C ; 106
+ NOP
+ NOP
+ RCD C, NTC, BIO ; 107
+ NOP
+ NOP
+ RCD C, BIO, NTC ; 108
+ NOP
+ NOP
+ RCD BIO, NTC, C ; 109
+ NOP
+ NOP
+ RCD BIO, C, NTC ; 110
+ NOP
+ NOP
+ RCD C, NTC ; 111
+ NOP
+ NOP
+ RCD NTC, BIO ; 112
+ NOP
+ NOP
+ RCD BIO, NTC ; 113
+ NOP
+ NOP
+ RCD C, BIO ; 114
+ NOP
+ NOP
+ RCD BIO, C ; 115
+ NOP
+ NOP
+ RCD TC, NC, NBIO ; 116
+ NOP
+ NOP
+ RCD TC, NBIO, NC ; 117
+ NOP
+ NOP
+ RCD NC, TC, NBIO ; 118
+ NOP
+ NOP
+ RCD NC, NBIO, TC ; 119
+ NOP
+ NOP
+ RCD NBIO, TC, NC ; 120
+ NOP
+ NOP
+ RCD NBIO, NC, TC ; 121
+ NOP
+ NOP
+ RCD NC, TC ; 122
+ NOP
+ NOP
+ RCD TC, NBIO ; 123
+ NOP
+ NOP
+ RCD NBIO, TC ; 124
+ NOP
+ NOP
+ RCD NC, NBIO ; 125
+ NOP
+ NOP
+ RCD NBIO, NC ; 126
+ NOP
+ NOP
+ RCD TC, NC, BIO ; 127
+ NOP
+ NOP
+ RCD TC, BIO, NC ; 128
+ NOP
+ NOP
+ RCD NC, TC, BIO ; 129
+ NOP
+ NOP
+ RCD NC, BIO, TC ; 130
+ NOP
+ NOP
+ RCD BIO, TC, NC ; 131
+ NOP
+ NOP
+ RCD BIO, NC, TC ; 132
+ NOP
+ NOP
+ RCD NC, TC ; 133
+ NOP
+ NOP
+ RCD TC, BIO ; 134
+ NOP
+ NOP
+ RCD BIO, TC ; 135
+ NOP
+ NOP
+ RCD NC, BIO ; 136
+ NOP
+ NOP
+ RCD BIO, NC ; 137
+ NOP
+ NOP
+ RCD TC, C, NBIO ; 138
+ NOP
+ NOP
+ RCD TC, NBIO, C ; 139
+ NOP
+ NOP
+ RCD C, TC, NBIO ; 140
+ NOP
+ NOP
+ RCD C, NBIO, TC ; 141
+ NOP
+ NOP
+ RCD NBIO, TC, C ; 142
+ NOP
+ NOP
+ RCD NBIO, C, TC ; 143
+ NOP
+ NOP
+ RCD C, TC ; 144
+ NOP
+ NOP
+ RCD TC, NBIO ; 145
+ NOP
+ NOP
+ RCD NBIO, TC ; 146
+ NOP
+ NOP
+ RCD C, NBIO ; 147
+ NOP
+ NOP
+ RCD NBIO, C ; 148
+ NOP
+ NOP
+ RCD TC, C, BIO ; 149
+ NOP
+ NOP
+ RCD TC, BIO, C ; 150
+ NOP
+ NOP
+ RCD C, TC, BIO ; 151
+ NOP
+ NOP
+ RCD C, BIO, TC ; 152
+ NOP
+ NOP
+ RCD BIO, TC, C ; 153
+ NOP
+ NOP
+ RCD BIO, C, TC ; 154
+ NOP
+ NOP
+ RCD C, TC ; 155
+ NOP
+ NOP
+ RCD TC, BIO ; 156
+ NOP
+ NOP
+ RCD BIO, TC ; 157
+ NOP
+ NOP
+ RCD C, BIO ; 158
+ NOP
+ NOP
+ RCD BIO, C ; 159
+ NOP
+ NOP
+ ; READA : READA Smem : 1
+ READA 76h ; 1
+ ; READA_I : READA Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ READA *AR0 ; 1
+ READA *AR0- ; 2
+ READA *AR0+ ; 3
+ READA *+AR0 ; 4
+ READA *AR0-0B ; 5
+ READA *AR0-0 ; 6
+ READA *AR0+0 ; 7
+ READA *AR0+0B ; 8
+ READA *AR0-% ; 9
+ READA *AR0-0% ; 10
+ READA *AR0+% ; 11
+ READA *AR0+0% ; 12
+ READA *AR0(035D5h) ; 13
+ READA *+AR0(035D6h) ; 14
+ READA *+AR0(035D7h)% ; 15
+ READA *(035D8h) ; 16
+ READA *AR1 ; 17
+ READA *AR1- ; 18
+ READA *AR1+ ; 19
+ READA *+AR1 ; 20
+ READA *AR1-0B ; 21
+ READA *AR1-0 ; 22
+ READA *AR1+0 ; 23
+ READA *AR1+0B ; 24
+ READA *AR1-% ; 25
+ READA *AR1-0% ; 26
+ READA *AR1+% ; 27
+ READA *AR1+0% ; 28
+ READA *AR1(035D9h) ; 29
+ READA *+AR1(035DAh) ; 30
+ READA *+AR1(035DBh)% ; 31
+ READA *(035DCh) ; 32
+ READA *AR2 ; 33
+ READA *AR2- ; 34
+ READA *AR2+ ; 35
+ READA *+AR2 ; 36
+ READA *AR2-0B ; 37
+ READA *AR2-0 ; 38
+ READA *AR2+0 ; 39
+ READA *AR2+0B ; 40
+ READA *AR2-% ; 41
+ READA *AR2-0% ; 42
+ READA *AR2+% ; 43
+ READA *AR2+0% ; 44
+ READA *AR2(035DDh) ; 45
+ READA *+AR2(035DEh) ; 46
+ READA *+AR2(035DFh)% ; 47
+ READA *(035E0h) ; 48
+ READA *AR3 ; 49
+ READA *AR3- ; 50
+ READA *AR3+ ; 51
+ READA *+AR3 ; 52
+ READA *AR3-0B ; 53
+ READA *AR3-0 ; 54
+ READA *AR3+0 ; 55
+ READA *AR3+0B ; 56
+ READA *AR3-% ; 57
+ READA *AR3-0% ; 58
+ READA *AR3+% ; 59
+ READA *AR3+0% ; 60
+ READA *AR3(035E1h) ; 61
+ READA *+AR3(035E2h) ; 62
+ READA *+AR3(035E3h)% ; 63
+ READA *(035E4h) ; 64
+ READA *AR4 ; 65
+ READA *AR4- ; 66
+ READA *AR4+ ; 67
+ READA *+AR4 ; 68
+ READA *AR4-0B ; 69
+ READA *AR4-0 ; 70
+ READA *AR4+0 ; 71
+ READA *AR4+0B ; 72
+ READA *AR4-% ; 73
+ READA *AR4-0% ; 74
+ READA *AR4+% ; 75
+ READA *AR4+0% ; 76
+ READA *AR4(035E5h) ; 77
+ READA *+AR4(035E6h) ; 78
+ READA *+AR4(035E7h)% ; 79
+ READA *(035E8h) ; 80
+ READA *AR5 ; 81
+ READA *AR5- ; 82
+ READA *AR5+ ; 83
+ READA *+AR5 ; 84
+ READA *AR5-0B ; 85
+ READA *AR5-0 ; 86
+ READA *AR5+0 ; 87
+ READA *AR5+0B ; 88
+ READA *AR5-% ; 89
+ READA *AR5-0% ; 90
+ READA *AR5+% ; 91
+ READA *AR5+0% ; 92
+ READA *AR5(035E9h) ; 93
+ READA *+AR5(035EAh) ; 94
+ READA *+AR5(035EBh)% ; 95
+ READA *(035ECh) ; 96
+ READA *AR6 ; 97
+ READA *AR6- ; 98
+ READA *AR6+ ; 99
+ READA *+AR6 ; 100
+ READA *AR6-0B ; 101
+ READA *AR6-0 ; 102
+ READA *AR6+0 ; 103
+ READA *AR6+0B ; 104
+ READA *AR6-% ; 105
+ READA *AR6-0% ; 106
+ READA *AR6+% ; 107
+ READA *AR6+0% ; 108
+ READA *AR6(035EDh) ; 109
+ READA *+AR6(035EEh) ; 110
+ READA *+AR6(035EFh)% ; 111
+ READA *(035F0h) ; 112
+ READA *AR7 ; 113
+ READA *AR7- ; 114
+ READA *AR7+ ; 115
+ READA *+AR7 ; 116
+ READA *AR7-0B ; 117
+ READA *AR7-0 ; 118
+ READA *AR7+0 ; 119
+ READA *AR7+0B ; 120
+ READA *AR7-% ; 121
+ READA *AR7-0% ; 122
+ READA *AR7+% ; 123
+ READA *AR7+0% ; 124
+ READA *AR7(035F1h) ; 125
+ READA *+AR7(035F2h) ; 126
+ READA *+AR7(035F3h)% ; 127
+ READA *(035F4h) ; 128
+ ; RESET : RESET : 1
+ RESET ; 1
+ ; RET : RET : 1
+ RET ; 1
+ ; RETD : RETD : 1
+ RETD ; 1
+ NOP
+ NOP
+ ; RETE : RETE : 1
+ RETE ; 1
+ ; RETED : RETED : 1
+ RETED ; 1
+ NOP
+ NOP
+ ; RETF : RETF : 1
+ RETF ; 1
+ ; RETFD : RETFD : 1
+ RETFD ; 1
+ NOP
+ NOP
+ .if $isdefed("__allow_lp")
+ ; 0222: RND : 6
+ RND A, A ; 1
+ RND A, B ; 2
+ RND A ; 3
+ RND B, A ; 4
+ RND B, B ; 5
+ RND B ; 6
+ .endif
+ ; ROL : ROL AB : 2
+ ROL A ; 1
+ ROL B ; 2
+ ; ROLTC : ROLTC AB : 2
+ ROLTC A ; 1
+ ROLTC B ; 2
+ ; ROR : ROR AB : 2
+ ROR A ; 1
+ ROR B ; 2
+ ; RPTB : RPTB Pmad : 1
+ RPTB 007AEh ; 1
+ ; RPTBD : RPTBD Pmad : 1
+ RPTBD 007AFh ; 1
+ NOP
+ NOP
+ ; RPTZ : RPTZ AB, lk : 2
+ RPTZ A, #035F5h ; 1
+ NOP
+ RPTZ B, #035F6h ; 2
+ NOP
+ ; RPTk8 : RPT K8 : 256
+ RPT #0 ; 1
+ NOP
+ RPT #1 ; 2
+ NOP
+ RPT #2 ; 3
+ NOP
+ RPT #3 ; 4
+ NOP
+ RPT #4 ; 5
+ NOP
+ RPT #5 ; 6
+ NOP
+ RPT #6 ; 7
+ NOP
+ RPT #7 ; 8
+ NOP
+ RPT #8 ; 9
+ NOP
+ RPT #9 ; 10
+ NOP
+ RPT #10 ; 11
+ NOP
+ RPT #11 ; 12
+ NOP
+ RPT #12 ; 13
+ NOP
+ RPT #13 ; 14
+ NOP
+ RPT #14 ; 15
+ NOP
+ RPT #15 ; 16
+ NOP
+ RPT #16 ; 17
+ NOP
+ RPT #17 ; 18
+ NOP
+ RPT #18 ; 19
+ NOP
+ RPT #19 ; 20
+ NOP
+ RPT #20 ; 21
+ NOP
+ RPT #21 ; 22
+ NOP
+ RPT #22 ; 23
+ NOP
+ RPT #23 ; 24
+ NOP
+ RPT #24 ; 25
+ NOP
+ RPT #25 ; 26
+ NOP
+ RPT #26 ; 27
+ NOP
+ RPT #27 ; 28
+ NOP
+ RPT #28 ; 29
+ NOP
+ RPT #29 ; 30
+ NOP
+ RPT #30 ; 31
+ NOP
+ RPT #31 ; 32
+ NOP
+ RPT #32 ; 33
+ NOP
+ RPT #33 ; 34
+ NOP
+ RPT #34 ; 35
+ NOP
+ RPT #35 ; 36
+ NOP
+ RPT #36 ; 37
+ NOP
+ RPT #37 ; 38
+ NOP
+ RPT #38 ; 39
+ NOP
+ RPT #39 ; 40
+ NOP
+ RPT #40 ; 41
+ NOP
+ RPT #41 ; 42
+ NOP
+ RPT #42 ; 43
+ NOP
+ RPT #43 ; 44
+ NOP
+ RPT #44 ; 45
+ NOP
+ RPT #45 ; 46
+ NOP
+ RPT #46 ; 47
+ NOP
+ RPT #47 ; 48
+ NOP
+ RPT #48 ; 49
+ NOP
+ RPT #49 ; 50
+ NOP
+ RPT #50 ; 51
+ NOP
+ RPT #51 ; 52
+ NOP
+ RPT #52 ; 53
+ NOP
+ RPT #53 ; 54
+ NOP
+ RPT #54 ; 55
+ NOP
+ RPT #55 ; 56
+ NOP
+ RPT #56 ; 57
+ NOP
+ RPT #57 ; 58
+ NOP
+ RPT #58 ; 59
+ NOP
+ RPT #59 ; 60
+ NOP
+ RPT #60 ; 61
+ NOP
+ RPT #61 ; 62
+ NOP
+ RPT #62 ; 63
+ NOP
+ RPT #63 ; 64
+ NOP
+ RPT #64 ; 65
+ NOP
+ RPT #65 ; 66
+ NOP
+ RPT #66 ; 67
+ NOP
+ RPT #67 ; 68
+ NOP
+ RPT #68 ; 69
+ NOP
+ RPT #69 ; 70
+ NOP
+ RPT #70 ; 71
+ NOP
+ RPT #71 ; 72
+ NOP
+ RPT #72 ; 73
+ NOP
+ RPT #73 ; 74
+ NOP
+ RPT #74 ; 75
+ NOP
+ RPT #75 ; 76
+ NOP
+ RPT #76 ; 77
+ NOP
+ RPT #77 ; 78
+ NOP
+ RPT #78 ; 79
+ NOP
+ RPT #79 ; 80
+ NOP
+ RPT #80 ; 81
+ NOP
+ RPT #81 ; 82
+ NOP
+ RPT #82 ; 83
+ NOP
+ RPT #83 ; 84
+ NOP
+ RPT #84 ; 85
+ NOP
+ RPT #85 ; 86
+ NOP
+ RPT #86 ; 87
+ NOP
+ RPT #87 ; 88
+ NOP
+ RPT #88 ; 89
+ NOP
+ RPT #89 ; 90
+ NOP
+ RPT #90 ; 91
+ NOP
+ RPT #91 ; 92
+ NOP
+ RPT #92 ; 93
+ NOP
+ RPT #93 ; 94
+ NOP
+ RPT #94 ; 95
+ NOP
+ RPT #95 ; 96
+ NOP
+ RPT #96 ; 97
+ NOP
+ RPT #97 ; 98
+ NOP
+ RPT #98 ; 99
+ NOP
+ RPT #99 ; 100
+ NOP
+ RPT #100 ; 101
+ NOP
+ RPT #101 ; 102
+ NOP
+ RPT #102 ; 103
+ NOP
+ RPT #103 ; 104
+ NOP
+ RPT #104 ; 105
+ NOP
+ RPT #105 ; 106
+ NOP
+ RPT #106 ; 107
+ NOP
+ RPT #107 ; 108
+ NOP
+ RPT #108 ; 109
+ NOP
+ RPT #109 ; 110
+ NOP
+ RPT #110 ; 111
+ NOP
+ RPT #111 ; 112
+ NOP
+ RPT #112 ; 113
+ NOP
+ RPT #113 ; 114
+ NOP
+ RPT #114 ; 115
+ NOP
+ RPT #115 ; 116
+ NOP
+ RPT #116 ; 117
+ NOP
+ RPT #117 ; 118
+ NOP
+ RPT #118 ; 119
+ NOP
+ RPT #119 ; 120
+ NOP
+ RPT #120 ; 121
+ NOP
+ RPT #121 ; 122
+ NOP
+ RPT #122 ; 123
+ NOP
+ RPT #123 ; 124
+ NOP
+ RPT #124 ; 125
+ NOP
+ RPT #125 ; 126
+ NOP
+ RPT #126 ; 127
+ NOP
+ RPT #127 ; 128
+ NOP
+ RPT #128 ; 129
+ NOP
+ RPT #129 ; 130
+ NOP
+ RPT #130 ; 131
+ NOP
+ RPT #131 ; 132
+ NOP
+ RPT #132 ; 133
+ NOP
+ RPT #133 ; 134
+ NOP
+ RPT #134 ; 135
+ NOP
+ RPT #135 ; 136
+ NOP
+ RPT #136 ; 137
+ NOP
+ RPT #137 ; 138
+ NOP
+ RPT #138 ; 139
+ NOP
+ RPT #139 ; 140
+ NOP
+ RPT #140 ; 141
+ NOP
+ RPT #141 ; 142
+ NOP
+ RPT #142 ; 143
+ NOP
+ RPT #143 ; 144
+ NOP
+ RPT #144 ; 145
+ NOP
+ RPT #145 ; 146
+ NOP
+ RPT #146 ; 147
+ NOP
+ RPT #147 ; 148
+ NOP
+ RPT #148 ; 149
+ NOP
+ RPT #149 ; 150
+ NOP
+ RPT #150 ; 151
+ NOP
+ RPT #151 ; 152
+ NOP
+ RPT #152 ; 153
+ NOP
+ RPT #153 ; 154
+ NOP
+ RPT #154 ; 155
+ NOP
+ RPT #155 ; 156
+ NOP
+ RPT #156 ; 157
+ NOP
+ RPT #157 ; 158
+ NOP
+ RPT #158 ; 159
+ NOP
+ RPT #159 ; 160
+ NOP
+ RPT #160 ; 161
+ NOP
+ RPT #161 ; 162
+ NOP
+ RPT #162 ; 163
+ NOP
+ RPT #163 ; 164
+ NOP
+ RPT #164 ; 165
+ NOP
+ RPT #165 ; 166
+ NOP
+ RPT #166 ; 167
+ NOP
+ RPT #167 ; 168
+ NOP
+ RPT #168 ; 169
+ NOP
+ RPT #169 ; 170
+ NOP
+ RPT #170 ; 171
+ NOP
+ RPT #171 ; 172
+ NOP
+ RPT #172 ; 173
+ NOP
+ RPT #173 ; 174
+ NOP
+ RPT #174 ; 175
+ NOP
+ RPT #175 ; 176
+ NOP
+ RPT #176 ; 177
+ NOP
+ RPT #177 ; 178
+ NOP
+ RPT #178 ; 179
+ NOP
+ RPT #179 ; 180
+ NOP
+ RPT #180 ; 181
+ NOP
+ RPT #181 ; 182
+ NOP
+ RPT #182 ; 183
+ NOP
+ RPT #183 ; 184
+ NOP
+ RPT #184 ; 185
+ NOP
+ RPT #185 ; 186
+ NOP
+ RPT #186 ; 187
+ NOP
+ RPT #187 ; 188
+ NOP
+ RPT #188 ; 189
+ NOP
+ RPT #189 ; 190
+ NOP
+ RPT #190 ; 191
+ NOP
+ RPT #191 ; 192
+ NOP
+ RPT #192 ; 193
+ NOP
+ RPT #193 ; 194
+ NOP
+ RPT #194 ; 195
+ NOP
+ RPT #195 ; 196
+ NOP
+ RPT #196 ; 197
+ NOP
+ RPT #197 ; 198
+ NOP
+ RPT #198 ; 199
+ NOP
+ RPT #199 ; 200
+ NOP
+ RPT #200 ; 201
+ NOP
+ RPT #201 ; 202
+ NOP
+ RPT #202 ; 203
+ NOP
+ RPT #203 ; 204
+ NOP
+ RPT #204 ; 205
+ NOP
+ RPT #205 ; 206
+ NOP
+ RPT #206 ; 207
+ NOP
+ RPT #207 ; 208
+ NOP
+ RPT #208 ; 209
+ NOP
+ RPT #209 ; 210
+ NOP
+ RPT #210 ; 211
+ NOP
+ RPT #211 ; 212
+ NOP
+ RPT #212 ; 213
+ NOP
+ RPT #213 ; 214
+ NOP
+ RPT #214 ; 215
+ NOP
+ RPT #215 ; 216
+ NOP
+ RPT #216 ; 217
+ NOP
+ RPT #217 ; 218
+ NOP
+ RPT #218 ; 219
+ NOP
+ RPT #219 ; 220
+ NOP
+ RPT #220 ; 221
+ NOP
+ RPT #221 ; 222
+ NOP
+ RPT #222 ; 223
+ NOP
+ RPT #223 ; 224
+ NOP
+ RPT #224 ; 225
+ NOP
+ RPT #225 ; 226
+ NOP
+ RPT #226 ; 227
+ NOP
+ RPT #227 ; 228
+ NOP
+ RPT #228 ; 229
+ NOP
+ RPT #229 ; 230
+ NOP
+ RPT #230 ; 231
+ NOP
+ RPT #231 ; 232
+ NOP
+ RPT #232 ; 233
+ NOP
+ RPT #233 ; 234
+ NOP
+ RPT #234 ; 235
+ NOP
+ RPT #235 ; 236
+ NOP
+ RPT #236 ; 237
+ NOP
+ RPT #237 ; 238
+ NOP
+ RPT #238 ; 239
+ NOP
+ RPT #239 ; 240
+ NOP
+ RPT #240 ; 241
+ NOP
+ RPT #241 ; 242
+ NOP
+ RPT #242 ; 243
+ NOP
+ RPT #243 ; 244
+ NOP
+ RPT #244 ; 245
+ NOP
+ RPT #245 ; 246
+ NOP
+ RPT #246 ; 247
+ NOP
+ RPT #247 ; 248
+ NOP
+ RPT #248 ; 249
+ NOP
+ RPT #249 ; 250
+ NOP
+ RPT #250 ; 251
+ NOP
+ RPT #251 ; 252
+ NOP
+ RPT #252 ; 253
+ NOP
+ RPT #253 ; 254
+ NOP
+ RPT #254 ; 255
+ NOP
+ RPT #255 ; 256
+ NOP
+ ; RPTlk : RPT lk : 1
+ RPT #035F7h ; 1
+ NOP
+ ; RPTsmem : RPT Smem : 1
+ RPT 77h ; 1
+ NOP
+ ; RPTsmem_I : RPT Smem_I : 120
+ RPT *AR0 ; 1
+ NOP
+ RPT *AR0- ; 2
+ NOP
+ RPT *AR0+ ; 3
+ NOP
+ RPT *AR0-0B ; 4
+ NOP
+ RPT *AR0-0 ; 5
+ NOP
+ RPT *AR0+0 ; 6
+ NOP
+ RPT *AR0+0B ; 7
+ NOP
+ RPT *AR0-% ; 8
+ NOP
+ RPT *AR0-0% ; 9
+ NOP
+ RPT *AR0+% ; 10
+ NOP
+ RPT *AR0+0% ; 11
+ NOP
+ RPT *AR0(035F8h) ; 12
+ NOP
+ RPT *+AR0(035F9h) ; 13
+ NOP
+ RPT *+AR0(035FAh)% ; 14
+ NOP
+ RPT *(035FBh) ; 15
+ NOP
+ RPT *AR1 ; 16
+ NOP
+ RPT *AR1- ; 17
+ NOP
+ RPT *AR1+ ; 18
+ NOP
+ RPT *AR1-0B ; 19
+ NOP
+ RPT *AR1-0 ; 20
+ NOP
+ RPT *AR1+0 ; 21
+ NOP
+ RPT *AR1+0B ; 22
+ NOP
+ RPT *AR1-% ; 23
+ NOP
+ RPT *AR1-0% ; 24
+ NOP
+ RPT *AR1+% ; 25
+ NOP
+ RPT *AR1+0% ; 26
+ NOP
+ RPT *AR1(035FCh) ; 27
+ NOP
+ RPT *+AR1(035FDh) ; 28
+ NOP
+ RPT *+AR1(035FEh)% ; 29
+ NOP
+ RPT *(035FFh) ; 30
+ NOP
+ RPT *AR2 ; 31
+ NOP
+ RPT *AR2- ; 32
+ NOP
+ RPT *AR2+ ; 33
+ NOP
+ RPT *AR2-0B ; 34
+ NOP
+ RPT *AR2-0 ; 35
+ NOP
+ RPT *AR2+0 ; 36
+ NOP
+ RPT *AR2+0B ; 37
+ NOP
+ RPT *AR2-% ; 38
+ NOP
+ RPT *AR2-0% ; 39
+ NOP
+ RPT *AR2+% ; 40
+ NOP
+ RPT *AR2+0% ; 41
+ NOP
+ RPT *AR2(03600h) ; 42
+ NOP
+ RPT *+AR2(03601h) ; 43
+ NOP
+ RPT *+AR2(03602h)% ; 44
+ NOP
+ RPT *(03603h) ; 45
+ NOP
+ RPT *AR3 ; 46
+ NOP
+ RPT *AR3- ; 47
+ NOP
+ RPT *AR3+ ; 48
+ NOP
+ RPT *AR3-0B ; 49
+ NOP
+ RPT *AR3-0 ; 50
+ NOP
+ RPT *AR3+0 ; 51
+ NOP
+ RPT *AR3+0B ; 52
+ NOP
+ RPT *AR3-% ; 53
+ NOP
+ RPT *AR3-0% ; 54
+ NOP
+ RPT *AR3+% ; 55
+ NOP
+ RPT *AR3+0% ; 56
+ NOP
+ RPT *AR3(03604h) ; 57
+ NOP
+ RPT *+AR3(03605h) ; 58
+ NOP
+ RPT *+AR3(03606h)% ; 59
+ NOP
+ RPT *(03607h) ; 60
+ NOP
+ RPT *AR4 ; 61
+ NOP
+ RPT *AR4- ; 62
+ NOP
+ RPT *AR4+ ; 63
+ NOP
+ RPT *AR4-0B ; 64
+ NOP
+ RPT *AR4-0 ; 65
+ NOP
+ RPT *AR4+0 ; 66
+ NOP
+ RPT *AR4+0B ; 67
+ NOP
+ RPT *AR4-% ; 68
+ NOP
+ RPT *AR4-0% ; 69
+ NOP
+ RPT *AR4+% ; 70
+ NOP
+ RPT *AR4+0% ; 71
+ NOP
+ RPT *AR4(03608h) ; 72
+ NOP
+ RPT *+AR4(03609h) ; 73
+ NOP
+ RPT *+AR4(0360Ah)% ; 74
+ NOP
+ RPT *(0360Bh) ; 75
+ NOP
+ RPT *AR5 ; 76
+ NOP
+ RPT *AR5- ; 77
+ NOP
+ RPT *AR5+ ; 78
+ NOP
+ RPT *AR5-0B ; 79
+ NOP
+ RPT *AR5-0 ; 80
+ NOP
+ RPT *AR5+0 ; 81
+ NOP
+ RPT *AR5+0B ; 82
+ NOP
+ RPT *AR5-% ; 83
+ NOP
+ RPT *AR5-0% ; 84
+ NOP
+ RPT *AR5+% ; 85
+ NOP
+ RPT *AR5+0% ; 86
+ NOP
+ RPT *AR5(0360Ch) ; 87
+ NOP
+ RPT *+AR5(0360Dh) ; 88
+ NOP
+ RPT *+AR5(0360Eh)% ; 89
+ NOP
+ RPT *(0360Fh) ; 90
+ NOP
+ RPT *AR6 ; 91
+ NOP
+ RPT *AR6- ; 92
+ NOP
+ RPT *AR6+ ; 93
+ NOP
+ RPT *AR6-0B ; 94
+ NOP
+ RPT *AR6-0 ; 95
+ NOP
+ RPT *AR6+0 ; 96
+ NOP
+ RPT *AR6+0B ; 97
+ NOP
+ RPT *AR6-% ; 98
+ NOP
+ RPT *AR6-0% ; 99
+ NOP
+ RPT *AR6+% ; 100
+ NOP
+ RPT *AR6+0% ; 101
+ NOP
+ RPT *AR6(03610h) ; 102
+ NOP
+ RPT *+AR6(03611h) ; 103
+ NOP
+ RPT *+AR6(03612h)% ; 104
+ NOP
+ RPT *(03613h) ; 105
+ NOP
+ RPT *AR7 ; 106
+ NOP
+ RPT *AR7- ; 107
+ NOP
+ RPT *AR7+ ; 108
+ NOP
+ RPT *AR7-0B ; 109
+ NOP
+ RPT *AR7-0 ; 110
+ NOP
+ RPT *AR7+0 ; 111
+ NOP
+ RPT *AR7+0B ; 112
+ NOP
+ RPT *AR7-% ; 113
+ NOP
+ RPT *AR7-0% ; 114
+ NOP
+ RPT *AR7+% ; 115
+ NOP
+ RPT *AR7+0% ; 116
+ NOP
+ RPT *AR7(03614h) ; 117
+ NOP
+ RPT *+AR7(03615h) ; 118
+ NOP
+ RPT *+AR7(03616h)% ; 119
+ NOP
+ RPT *(03617h) ; 120
+ NOP
+ ; RSBX : RSBX N, SBIT : 32
+ RSBX 0, 0 ; 1
+ RSBX 0, 1 ; 2
+ RSBX 0, 2 ; 3
+ RSBX 0, 3 ; 4
+ RSBX 0, 4 ; 5
+ RSBX 0, 5 ; 6
+ RSBX 0, 6 ; 7
+ RSBX 0, 7 ; 8
+ RSBX 0, 8 ; 9
+ RSBX 0, 9 ; 10
+ RSBX 0, 10 ; 11
+ RSBX 0, 11 ; 12
+ RSBX 0, 12 ; 13
+ RSBX 0, 13 ; 14
+ RSBX 0, 14 ; 15
+ RSBX 0, 15 ; 16
+ RSBX 1, 0 ; 17
+ RSBX 1, 1 ; 18
+ RSBX 1, 2 ; 19
+ RSBX 1, 3 ; 20
+ RSBX 1, 4 ; 21
+ RSBX 1, 5 ; 22
+ RSBX 1, 6 ; 23
+ RSBX 1, 7 ; 24
+ RSBX 1, 8 ; 25
+ RSBX 1, 9 ; 26
+ RSBX 1, 10 ; 27
+ RSBX 1, 11 ; 28
+ RSBX 1, 12 ; 29
+ RSBX 1, 13 ; 30
+ RSBX 1, 14 ; 31
+ RSBX 1, 15 ; 32
+ ; SACCD : SACCD AB, Xmem, cond4 : 384
+ SACCD A, *AR2, ALT ; 1
+ SACCD A, *AR2, BLT ; 2
+ SACCD A, *AR2, ALEQ ; 3
+ SACCD A, *AR2, BLEQ ; 4
+ SACCD A, *AR2, AGT ; 5
+ SACCD A, *AR2, BGT ; 6
+ SACCD A, *AR2, ANEQ ; 7
+ SACCD A, *AR2, BNEQ ; 8
+ SACCD A, *AR2, AGEQ ; 9
+ SACCD A, *AR2, BGEQ ; 10
+ SACCD A, *AR2, AEQ ; 11
+ SACCD A, *AR2, BEQ ; 12
+ SACCD A, *AR2-, ALT ; 13
+ SACCD A, *AR2-, BLT ; 14
+ SACCD A, *AR2-, ALEQ ; 15
+ SACCD A, *AR2-, BLEQ ; 16
+ SACCD A, *AR2-, AGT ; 17
+ SACCD A, *AR2-, BGT ; 18
+ SACCD A, *AR2-, ANEQ ; 19
+ SACCD A, *AR2-, BNEQ ; 20
+ SACCD A, *AR2-, AGEQ ; 21
+ SACCD A, *AR2-, BGEQ ; 22
+ SACCD A, *AR2-, AEQ ; 23
+ SACCD A, *AR2-, BEQ ; 24
+ SACCD A, *AR2+, ALT ; 25
+ SACCD A, *AR2+, BLT ; 26
+ SACCD A, *AR2+, ALEQ ; 27
+ SACCD A, *AR2+, BLEQ ; 28
+ SACCD A, *AR2+, AGT ; 29
+ SACCD A, *AR2+, BGT ; 30
+ SACCD A, *AR2+, ANEQ ; 31
+ SACCD A, *AR2+, BNEQ ; 32
+ SACCD A, *AR2+, AGEQ ; 33
+ SACCD A, *AR2+, BGEQ ; 34
+ SACCD A, *AR2+, AEQ ; 35
+ SACCD A, *AR2+, BEQ ; 36
+ SACCD A, *AR2+0%, ALT ; 37
+ SACCD A, *AR2+0%, BLT ; 38
+ SACCD A, *AR2+0%, ALEQ ; 39
+ SACCD A, *AR2+0%, BLEQ ; 40
+ SACCD A, *AR2+0%, AGT ; 41
+ SACCD A, *AR2+0%, BGT ; 42
+ SACCD A, *AR2+0%, ANEQ ; 43
+ SACCD A, *AR2+0%, BNEQ ; 44
+ SACCD A, *AR2+0%, AGEQ ; 45
+ SACCD A, *AR2+0%, BGEQ ; 46
+ SACCD A, *AR2+0%, AEQ ; 47
+ SACCD A, *AR2+0%, BEQ ; 48
+ SACCD A, *AR3, ALT ; 49
+ SACCD A, *AR3, BLT ; 50
+ SACCD A, *AR3, ALEQ ; 51
+ SACCD A, *AR3, BLEQ ; 52
+ SACCD A, *AR3, AGT ; 53
+ SACCD A, *AR3, BGT ; 54
+ SACCD A, *AR3, ANEQ ; 55
+ SACCD A, *AR3, BNEQ ; 56
+ SACCD A, *AR3, AGEQ ; 57
+ SACCD A, *AR3, BGEQ ; 58
+ SACCD A, *AR3, AEQ ; 59
+ SACCD A, *AR3, BEQ ; 60
+ SACCD A, *AR3-, ALT ; 61
+ SACCD A, *AR3-, BLT ; 62
+ SACCD A, *AR3-, ALEQ ; 63
+ SACCD A, *AR3-, BLEQ ; 64
+ SACCD A, *AR3-, AGT ; 65
+ SACCD A, *AR3-, BGT ; 66
+ SACCD A, *AR3-, ANEQ ; 67
+ SACCD A, *AR3-, BNEQ ; 68
+ SACCD A, *AR3-, AGEQ ; 69
+ SACCD A, *AR3-, BGEQ ; 70
+ SACCD A, *AR3-, AEQ ; 71
+ SACCD A, *AR3-, BEQ ; 72
+ SACCD A, *AR3+, ALT ; 73
+ SACCD A, *AR3+, BLT ; 74
+ SACCD A, *AR3+, ALEQ ; 75
+ SACCD A, *AR3+, BLEQ ; 76
+ SACCD A, *AR3+, AGT ; 77
+ SACCD A, *AR3+, BGT ; 78
+ SACCD A, *AR3+, ANEQ ; 79
+ SACCD A, *AR3+, BNEQ ; 80
+ SACCD A, *AR3+, AGEQ ; 81
+ SACCD A, *AR3+, BGEQ ; 82
+ SACCD A, *AR3+, AEQ ; 83
+ SACCD A, *AR3+, BEQ ; 84
+ SACCD A, *AR3+0%, ALT ; 85
+ SACCD A, *AR3+0%, BLT ; 86
+ SACCD A, *AR3+0%, ALEQ ; 87
+ SACCD A, *AR3+0%, BLEQ ; 88
+ SACCD A, *AR3+0%, AGT ; 89
+ SACCD A, *AR3+0%, BGT ; 90
+ SACCD A, *AR3+0%, ANEQ ; 91
+ SACCD A, *AR3+0%, BNEQ ; 92
+ SACCD A, *AR3+0%, AGEQ ; 93
+ SACCD A, *AR3+0%, BGEQ ; 94
+ SACCD A, *AR3+0%, AEQ ; 95
+ SACCD A, *AR3+0%, BEQ ; 96
+ SACCD A, *AR4, ALT ; 97
+ SACCD A, *AR4, BLT ; 98
+ SACCD A, *AR4, ALEQ ; 99
+ SACCD A, *AR4, BLEQ ; 100
+ SACCD A, *AR4, AGT ; 101
+ SACCD A, *AR4, BGT ; 102
+ SACCD A, *AR4, ANEQ ; 103
+ SACCD A, *AR4, BNEQ ; 104
+ SACCD A, *AR4, AGEQ ; 105
+ SACCD A, *AR4, BGEQ ; 106
+ SACCD A, *AR4, AEQ ; 107
+ SACCD A, *AR4, BEQ ; 108
+ SACCD A, *AR4-, ALT ; 109
+ SACCD A, *AR4-, BLT ; 110
+ SACCD A, *AR4-, ALEQ ; 111
+ SACCD A, *AR4-, BLEQ ; 112
+ SACCD A, *AR4-, AGT ; 113
+ SACCD A, *AR4-, BGT ; 114
+ SACCD A, *AR4-, ANEQ ; 115
+ SACCD A, *AR4-, BNEQ ; 116
+ SACCD A, *AR4-, AGEQ ; 117
+ SACCD A, *AR4-, BGEQ ; 118
+ SACCD A, *AR4-, AEQ ; 119
+ SACCD A, *AR4-, BEQ ; 120
+ SACCD A, *AR4+, ALT ; 121
+ SACCD A, *AR4+, BLT ; 122
+ SACCD A, *AR4+, ALEQ ; 123
+ SACCD A, *AR4+, BLEQ ; 124
+ SACCD A, *AR4+, AGT ; 125
+ SACCD A, *AR4+, BGT ; 126
+ SACCD A, *AR4+, ANEQ ; 127
+ SACCD A, *AR4+, BNEQ ; 128
+ SACCD A, *AR4+, AGEQ ; 129
+ SACCD A, *AR4+, BGEQ ; 130
+ SACCD A, *AR4+, AEQ ; 131
+ SACCD A, *AR4+, BEQ ; 132
+ SACCD A, *AR4+0%, ALT ; 133
+ SACCD A, *AR4+0%, BLT ; 134
+ SACCD A, *AR4+0%, ALEQ ; 135
+ SACCD A, *AR4+0%, BLEQ ; 136
+ SACCD A, *AR4+0%, AGT ; 137
+ SACCD A, *AR4+0%, BGT ; 138
+ SACCD A, *AR4+0%, ANEQ ; 139
+ SACCD A, *AR4+0%, BNEQ ; 140
+ SACCD A, *AR4+0%, AGEQ ; 141
+ SACCD A, *AR4+0%, BGEQ ; 142
+ SACCD A, *AR4+0%, AEQ ; 143
+ SACCD A, *AR4+0%, BEQ ; 144
+ SACCD A, *AR5, ALT ; 145
+ SACCD A, *AR5, BLT ; 146
+ SACCD A, *AR5, ALEQ ; 147
+ SACCD A, *AR5, BLEQ ; 148
+ SACCD A, *AR5, AGT ; 149
+ SACCD A, *AR5, BGT ; 150
+ SACCD A, *AR5, ANEQ ; 151
+ SACCD A, *AR5, BNEQ ; 152
+ SACCD A, *AR5, AGEQ ; 153
+ SACCD A, *AR5, BGEQ ; 154
+ SACCD A, *AR5, AEQ ; 155
+ SACCD A, *AR5, BEQ ; 156
+ SACCD A, *AR5-, ALT ; 157
+ SACCD A, *AR5-, BLT ; 158
+ SACCD A, *AR5-, ALEQ ; 159
+ SACCD A, *AR5-, BLEQ ; 160
+ SACCD A, *AR5-, AGT ; 161
+ SACCD A, *AR5-, BGT ; 162
+ SACCD A, *AR5-, ANEQ ; 163
+ SACCD A, *AR5-, BNEQ ; 164
+ SACCD A, *AR5-, AGEQ ; 165
+ SACCD A, *AR5-, BGEQ ; 166
+ SACCD A, *AR5-, AEQ ; 167
+ SACCD A, *AR5-, BEQ ; 168
+ SACCD A, *AR5+, ALT ; 169
+ SACCD A, *AR5+, BLT ; 170
+ SACCD A, *AR5+, ALEQ ; 171
+ SACCD A, *AR5+, BLEQ ; 172
+ SACCD A, *AR5+, AGT ; 173
+ SACCD A, *AR5+, BGT ; 174
+ SACCD A, *AR5+, ANEQ ; 175
+ SACCD A, *AR5+, BNEQ ; 176
+ SACCD A, *AR5+, AGEQ ; 177
+ SACCD A, *AR5+, BGEQ ; 178
+ SACCD A, *AR5+, AEQ ; 179
+ SACCD A, *AR5+, BEQ ; 180
+ SACCD A, *AR5+0%, ALT ; 181
+ SACCD A, *AR5+0%, BLT ; 182
+ SACCD A, *AR5+0%, ALEQ ; 183
+ SACCD A, *AR5+0%, BLEQ ; 184
+ SACCD A, *AR5+0%, AGT ; 185
+ SACCD A, *AR5+0%, BGT ; 186
+ SACCD A, *AR5+0%, ANEQ ; 187
+ SACCD A, *AR5+0%, BNEQ ; 188
+ SACCD A, *AR5+0%, AGEQ ; 189
+ SACCD A, *AR5+0%, BGEQ ; 190
+ SACCD A, *AR5+0%, AEQ ; 191
+ SACCD A, *AR5+0%, BEQ ; 192
+ SACCD B, *AR2, ALT ; 193
+ SACCD B, *AR2, BLT ; 194
+ SACCD B, *AR2, ALEQ ; 195
+ SACCD B, *AR2, BLEQ ; 196
+ SACCD B, *AR2, AGT ; 197
+ SACCD B, *AR2, BGT ; 198
+ SACCD B, *AR2, ANEQ ; 199
+ SACCD B, *AR2, BNEQ ; 200
+ SACCD B, *AR2, AGEQ ; 201
+ SACCD B, *AR2, BGEQ ; 202
+ SACCD B, *AR2, AEQ ; 203
+ SACCD B, *AR2, BEQ ; 204
+ SACCD B, *AR2-, ALT ; 205
+ SACCD B, *AR2-, BLT ; 206
+ SACCD B, *AR2-, ALEQ ; 207
+ SACCD B, *AR2-, BLEQ ; 208
+ SACCD B, *AR2-, AGT ; 209
+ SACCD B, *AR2-, BGT ; 210
+ SACCD B, *AR2-, ANEQ ; 211
+ SACCD B, *AR2-, BNEQ ; 212
+ SACCD B, *AR2-, AGEQ ; 213
+ SACCD B, *AR2-, BGEQ ; 214
+ SACCD B, *AR2-, AEQ ; 215
+ SACCD B, *AR2-, BEQ ; 216
+ SACCD B, *AR2+, ALT ; 217
+ SACCD B, *AR2+, BLT ; 218
+ SACCD B, *AR2+, ALEQ ; 219
+ SACCD B, *AR2+, BLEQ ; 220
+ SACCD B, *AR2+, AGT ; 221
+ SACCD B, *AR2+, BGT ; 222
+ SACCD B, *AR2+, ANEQ ; 223
+ SACCD B, *AR2+, BNEQ ; 224
+ SACCD B, *AR2+, AGEQ ; 225
+ SACCD B, *AR2+, BGEQ ; 226
+ SACCD B, *AR2+, AEQ ; 227
+ SACCD B, *AR2+, BEQ ; 228
+ SACCD B, *AR2+0%, ALT ; 229
+ SACCD B, *AR2+0%, BLT ; 230
+ SACCD B, *AR2+0%, ALEQ ; 231
+ SACCD B, *AR2+0%, BLEQ ; 232
+ SACCD B, *AR2+0%, AGT ; 233
+ SACCD B, *AR2+0%, BGT ; 234
+ SACCD B, *AR2+0%, ANEQ ; 235
+ SACCD B, *AR2+0%, BNEQ ; 236
+ SACCD B, *AR2+0%, AGEQ ; 237
+ SACCD B, *AR2+0%, BGEQ ; 238
+ SACCD B, *AR2+0%, AEQ ; 239
+ SACCD B, *AR2+0%, BEQ ; 240
+ SACCD B, *AR3, ALT ; 241
+ SACCD B, *AR3, BLT ; 242
+ SACCD B, *AR3, ALEQ ; 243
+ SACCD B, *AR3, BLEQ ; 244
+ SACCD B, *AR3, AGT ; 245
+ SACCD B, *AR3, BGT ; 246
+ SACCD B, *AR3, ANEQ ; 247
+ SACCD B, *AR3, BNEQ ; 248
+ SACCD B, *AR3, AGEQ ; 249
+ SACCD B, *AR3, BGEQ ; 250
+ SACCD B, *AR3, AEQ ; 251
+ SACCD B, *AR3, BEQ ; 252
+ SACCD B, *AR3-, ALT ; 253
+ SACCD B, *AR3-, BLT ; 254
+ SACCD B, *AR3-, ALEQ ; 255
+ SACCD B, *AR3-, BLEQ ; 256
+ SACCD B, *AR3-, AGT ; 257
+ SACCD B, *AR3-, BGT ; 258
+ SACCD B, *AR3-, ANEQ ; 259
+ SACCD B, *AR3-, BNEQ ; 260
+ SACCD B, *AR3-, AGEQ ; 261
+ SACCD B, *AR3-, BGEQ ; 262
+ SACCD B, *AR3-, AEQ ; 263
+ SACCD B, *AR3-, BEQ ; 264
+ SACCD B, *AR3+, ALT ; 265
+ SACCD B, *AR3+, BLT ; 266
+ SACCD B, *AR3+, ALEQ ; 267
+ SACCD B, *AR3+, BLEQ ; 268
+ SACCD B, *AR3+, AGT ; 269
+ SACCD B, *AR3+, BGT ; 270
+ SACCD B, *AR3+, ANEQ ; 271
+ SACCD B, *AR3+, BNEQ ; 272
+ SACCD B, *AR3+, AGEQ ; 273
+ SACCD B, *AR3+, BGEQ ; 274
+ SACCD B, *AR3+, AEQ ; 275
+ SACCD B, *AR3+, BEQ ; 276
+ SACCD B, *AR3+0%, ALT ; 277
+ SACCD B, *AR3+0%, BLT ; 278
+ SACCD B, *AR3+0%, ALEQ ; 279
+ SACCD B, *AR3+0%, BLEQ ; 280
+ SACCD B, *AR3+0%, AGT ; 281
+ SACCD B, *AR3+0%, BGT ; 282
+ SACCD B, *AR3+0%, ANEQ ; 283
+ SACCD B, *AR3+0%, BNEQ ; 284
+ SACCD B, *AR3+0%, AGEQ ; 285
+ SACCD B, *AR3+0%, BGEQ ; 286
+ SACCD B, *AR3+0%, AEQ ; 287
+ SACCD B, *AR3+0%, BEQ ; 288
+ SACCD B, *AR4, ALT ; 289
+ SACCD B, *AR4, BLT ; 290
+ SACCD B, *AR4, ALEQ ; 291
+ SACCD B, *AR4, BLEQ ; 292
+ SACCD B, *AR4, AGT ; 293
+ SACCD B, *AR4, BGT ; 294
+ SACCD B, *AR4, ANEQ ; 295
+ SACCD B, *AR4, BNEQ ; 296
+ SACCD B, *AR4, AGEQ ; 297
+ SACCD B, *AR4, BGEQ ; 298
+ SACCD B, *AR4, AEQ ; 299
+ SACCD B, *AR4, BEQ ; 300
+ SACCD B, *AR4-, ALT ; 301
+ SACCD B, *AR4-, BLT ; 302
+ SACCD B, *AR4-, ALEQ ; 303
+ SACCD B, *AR4-, BLEQ ; 304
+ SACCD B, *AR4-, AGT ; 305
+ SACCD B, *AR4-, BGT ; 306
+ SACCD B, *AR4-, ANEQ ; 307
+ SACCD B, *AR4-, BNEQ ; 308
+ SACCD B, *AR4-, AGEQ ; 309
+ SACCD B, *AR4-, BGEQ ; 310
+ SACCD B, *AR4-, AEQ ; 311
+ SACCD B, *AR4-, BEQ ; 312
+ SACCD B, *AR4+, ALT ; 313
+ SACCD B, *AR4+, BLT ; 314
+ SACCD B, *AR4+, ALEQ ; 315
+ SACCD B, *AR4+, BLEQ ; 316
+ SACCD B, *AR4+, AGT ; 317
+ SACCD B, *AR4+, BGT ; 318
+ SACCD B, *AR4+, ANEQ ; 319
+ SACCD B, *AR4+, BNEQ ; 320
+ SACCD B, *AR4+, AGEQ ; 321
+ SACCD B, *AR4+, BGEQ ; 322
+ SACCD B, *AR4+, AEQ ; 323
+ SACCD B, *AR4+, BEQ ; 324
+ SACCD B, *AR4+0%, ALT ; 325
+ SACCD B, *AR4+0%, BLT ; 326
+ SACCD B, *AR4+0%, ALEQ ; 327
+ SACCD B, *AR4+0%, BLEQ ; 328
+ SACCD B, *AR4+0%, AGT ; 329
+ SACCD B, *AR4+0%, BGT ; 330
+ SACCD B, *AR4+0%, ANEQ ; 331
+ SACCD B, *AR4+0%, BNEQ ; 332
+ SACCD B, *AR4+0%, AGEQ ; 333
+ SACCD B, *AR4+0%, BGEQ ; 334
+ SACCD B, *AR4+0%, AEQ ; 335
+ SACCD B, *AR4+0%, BEQ ; 336
+ SACCD B, *AR5, ALT ; 337
+ SACCD B, *AR5, BLT ; 338
+ SACCD B, *AR5, ALEQ ; 339
+ SACCD B, *AR5, BLEQ ; 340
+ SACCD B, *AR5, AGT ; 341
+ SACCD B, *AR5, BGT ; 342
+ SACCD B, *AR5, ANEQ ; 343
+ SACCD B, *AR5, BNEQ ; 344
+ SACCD B, *AR5, AGEQ ; 345
+ SACCD B, *AR5, BGEQ ; 346
+ SACCD B, *AR5, AEQ ; 347
+ SACCD B, *AR5, BEQ ; 348
+ SACCD B, *AR5-, ALT ; 349
+ SACCD B, *AR5-, BLT ; 350
+ SACCD B, *AR5-, ALEQ ; 351
+ SACCD B, *AR5-, BLEQ ; 352
+ SACCD B, *AR5-, AGT ; 353
+ SACCD B, *AR5-, BGT ; 354
+ SACCD B, *AR5-, ANEQ ; 355
+ SACCD B, *AR5-, BNEQ ; 356
+ SACCD B, *AR5-, AGEQ ; 357
+ SACCD B, *AR5-, BGEQ ; 358
+ SACCD B, *AR5-, AEQ ; 359
+ SACCD B, *AR5-, BEQ ; 360
+ SACCD B, *AR5+, ALT ; 361
+ SACCD B, *AR5+, BLT ; 362
+ SACCD B, *AR5+, ALEQ ; 363
+ SACCD B, *AR5+, BLEQ ; 364
+ SACCD B, *AR5+, AGT ; 365
+ SACCD B, *AR5+, BGT ; 366
+ SACCD B, *AR5+, ANEQ ; 367
+ SACCD B, *AR5+, BNEQ ; 368
+ SACCD B, *AR5+, AGEQ ; 369
+ SACCD B, *AR5+, BGEQ ; 370
+ SACCD B, *AR5+, AEQ ; 371
+ SACCD B, *AR5+, BEQ ; 372
+ SACCD B, *AR5+0%, ALT ; 373
+ SACCD B, *AR5+0%, BLT ; 374
+ SACCD B, *AR5+0%, ALEQ ; 375
+ SACCD B, *AR5+0%, BLEQ ; 376
+ SACCD B, *AR5+0%, AGT ; 377
+ SACCD B, *AR5+0%, BGT ; 378
+ SACCD B, *AR5+0%, ANEQ ; 379
+ SACCD B, *AR5+0%, BNEQ ; 380
+ SACCD B, *AR5+0%, AGEQ ; 381
+ SACCD B, *AR5+0%, BGEQ ; 382
+ SACCD B, *AR5+0%, AEQ ; 383
+ SACCD B, *AR5+0%, BEQ ; 384
+ ; SAT : SAT AB : 2
+ SAT A ; 1
+ SAT B ; 2
+ ; SFTA : SFTA AB, SHIFT2, AB2 : 192
+ SFTA A, -16, A ; 1
+ SFTA A, -16, B ; 2
+ SFTA A, -16 ; 3
+ SFTA A, -15, A ; 4
+ SFTA A, -15, B ; 5
+ SFTA A, -15 ; 6
+ SFTA A, -14, A ; 7
+ SFTA A, -14, B ; 8
+ SFTA A, -14 ; 9
+ SFTA A, -13, A ; 10
+ SFTA A, -13, B ; 11
+ SFTA A, -13 ; 12
+ SFTA A, -12, A ; 13
+ SFTA A, -12, B ; 14
+ SFTA A, -12 ; 15
+ SFTA A, -11, A ; 16
+ SFTA A, -11, B ; 17
+ SFTA A, -11 ; 18
+ SFTA A, -10, A ; 19
+ SFTA A, -10, B ; 20
+ SFTA A, -10 ; 21
+ SFTA A, -9, A ; 22
+ SFTA A, -9, B ; 23
+ SFTA A, -9 ; 24
+ SFTA A, -8, A ; 25
+ SFTA A, -8, B ; 26
+ SFTA A, -8 ; 27
+ SFTA A, -7, A ; 28
+ SFTA A, -7, B ; 29
+ SFTA A, -7 ; 30
+ SFTA A, -6, A ; 31
+ SFTA A, -6, B ; 32
+ SFTA A, -6 ; 33
+ SFTA A, -5, A ; 34
+ SFTA A, -5, B ; 35
+ SFTA A, -5 ; 36
+ SFTA A, -4, A ; 37
+ SFTA A, -4, B ; 38
+ SFTA A, -4 ; 39
+ SFTA A, -3, A ; 40
+ SFTA A, -3, B ; 41
+ SFTA A, -3 ; 42
+ SFTA A, -2, A ; 43
+ SFTA A, -2, B ; 44
+ SFTA A, -2 ; 45
+ SFTA A, -1, A ; 46
+ SFTA A, -1, B ; 47
+ SFTA A, -1 ; 48
+ SFTA A, 0, A ; 49
+ SFTA A, 0, B ; 50
+ SFTA A, 0 ; 51
+ SFTA A, 1, A ; 52
+ SFTA A, 1, B ; 53
+ SFTA A, 1 ; 54
+ SFTA A, 2, A ; 55
+ SFTA A, 2, B ; 56
+ SFTA A, 2 ; 57
+ SFTA A, 3, A ; 58
+ SFTA A, 3, B ; 59
+ SFTA A, 3 ; 60
+ SFTA A, 4, A ; 61
+ SFTA A, 4, B ; 62
+ SFTA A, 4 ; 63
+ SFTA A, 5, A ; 64
+ SFTA A, 5, B ; 65
+ SFTA A, 5 ; 66
+ SFTA A, 6, A ; 67
+ SFTA A, 6, B ; 68
+ SFTA A, 6 ; 69
+ SFTA A, 7, A ; 70
+ SFTA A, 7, B ; 71
+ SFTA A, 7 ; 72
+ SFTA A, 8, A ; 73
+ SFTA A, 8, B ; 74
+ SFTA A, 8 ; 75
+ SFTA A, 9, A ; 76
+ SFTA A, 9, B ; 77
+ SFTA A, 9 ; 78
+ SFTA A, 10, A ; 79
+ SFTA A, 10, B ; 80
+ SFTA A, 10 ; 81
+ SFTA A, 11, A ; 82
+ SFTA A, 11, B ; 83
+ SFTA A, 11 ; 84
+ SFTA A, 12, A ; 85
+ SFTA A, 12, B ; 86
+ SFTA A, 12 ; 87
+ SFTA A, 13, A ; 88
+ SFTA A, 13, B ; 89
+ SFTA A, 13 ; 90
+ SFTA A, 14, A ; 91
+ SFTA A, 14, B ; 92
+ SFTA A, 14 ; 93
+ SFTA A, 15, A ; 94
+ SFTA A, 15, B ; 95
+ SFTA A, 15 ; 96
+ SFTA B, -16, A ; 97
+ SFTA B, -16, B ; 98
+ SFTA B, -16 ; 99
+ SFTA B, -15, A ; 100
+ SFTA B, -15, B ; 101
+ SFTA B, -15 ; 102
+ SFTA B, -14, A ; 103
+ SFTA B, -14, B ; 104
+ SFTA B, -14 ; 105
+ SFTA B, -13, A ; 106
+ SFTA B, -13, B ; 107
+ SFTA B, -13 ; 108
+ SFTA B, -12, A ; 109
+ SFTA B, -12, B ; 110
+ SFTA B, -12 ; 111
+ SFTA B, -11, A ; 112
+ SFTA B, -11, B ; 113
+ SFTA B, -11 ; 114
+ SFTA B, -10, A ; 115
+ SFTA B, -10, B ; 116
+ SFTA B, -10 ; 117
+ SFTA B, -9, A ; 118
+ SFTA B, -9, B ; 119
+ SFTA B, -9 ; 120
+ SFTA B, -8, A ; 121
+ SFTA B, -8, B ; 122
+ SFTA B, -8 ; 123
+ SFTA B, -7, A ; 124
+ SFTA B, -7, B ; 125
+ SFTA B, -7 ; 126
+ SFTA B, -6, A ; 127
+ SFTA B, -6, B ; 128
+ SFTA B, -6 ; 129
+ SFTA B, -5, A ; 130
+ SFTA B, -5, B ; 131
+ SFTA B, -5 ; 132
+ SFTA B, -4, A ; 133
+ SFTA B, -4, B ; 134
+ SFTA B, -4 ; 135
+ SFTA B, -3, A ; 136
+ SFTA B, -3, B ; 137
+ SFTA B, -3 ; 138
+ SFTA B, -2, A ; 139
+ SFTA B, -2, B ; 140
+ SFTA B, -2 ; 141
+ SFTA B, -1, A ; 142
+ SFTA B, -1, B ; 143
+ SFTA B, -1 ; 144
+ SFTA B, 0, A ; 145
+ SFTA B, 0, B ; 146
+ SFTA B, 0 ; 147
+ SFTA B, 1, A ; 148
+ SFTA B, 1, B ; 149
+ SFTA B, 1 ; 150
+ SFTA B, 2, A ; 151
+ SFTA B, 2, B ; 152
+ SFTA B, 2 ; 153
+ SFTA B, 3, A ; 154
+ SFTA B, 3, B ; 155
+ SFTA B, 3 ; 156
+ SFTA B, 4, A ; 157
+ SFTA B, 4, B ; 158
+ SFTA B, 4 ; 159
+ SFTA B, 5, A ; 160
+ SFTA B, 5, B ; 161
+ SFTA B, 5 ; 162
+ SFTA B, 6, A ; 163
+ SFTA B, 6, B ; 164
+ SFTA B, 6 ; 165
+ SFTA B, 7, A ; 166
+ SFTA B, 7, B ; 167
+ SFTA B, 7 ; 168
+ SFTA B, 8, A ; 169
+ SFTA B, 8, B ; 170
+ SFTA B, 8 ; 171
+ SFTA B, 9, A ; 172
+ SFTA B, 9, B ; 173
+ SFTA B, 9 ; 174
+ SFTA B, 10, A ; 175
+ SFTA B, 10, B ; 176
+ SFTA B, 10 ; 177
+ SFTA B, 11, A ; 178
+ SFTA B, 11, B ; 179
+ SFTA B, 11 ; 180
+ SFTA B, 12, A ; 181
+ SFTA B, 12, B ; 182
+ SFTA B, 12 ; 183
+ SFTA B, 13, A ; 184
+ SFTA B, 13, B ; 185
+ SFTA B, 13 ; 186
+ SFTA B, 14, A ; 187
+ SFTA B, 14, B ; 188
+ SFTA B, 14 ; 189
+ SFTA B, 15, A ; 190
+ SFTA B, 15, B ; 191
+ SFTA B, 15 ; 192
+ ; SFTC : SFTC AB : 2
+ SFTC A ; 1
+ SFTC B ; 2
+ ; SFTL : SFTL AB, SHIFT2, AB2 : 192
+ SFTL A, -16, A ; 1
+ SFTL A, -16, B ; 2
+ SFTL A, -16 ; 3
+ SFTL A, -15, A ; 4
+ SFTL A, -15, B ; 5
+ SFTL A, -15 ; 6
+ SFTL A, -14, A ; 7
+ SFTL A, -14, B ; 8
+ SFTL A, -14 ; 9
+ SFTL A, -13, A ; 10
+ SFTL A, -13, B ; 11
+ SFTL A, -13 ; 12
+ SFTL A, -12, A ; 13
+ SFTL A, -12, B ; 14
+ SFTL A, -12 ; 15
+ SFTL A, -11, A ; 16
+ SFTL A, -11, B ; 17
+ SFTL A, -11 ; 18
+ SFTL A, -10, A ; 19
+ SFTL A, -10, B ; 20
+ SFTL A, -10 ; 21
+ SFTL A, -9, A ; 22
+ SFTL A, -9, B ; 23
+ SFTL A, -9 ; 24
+ SFTL A, -8, A ; 25
+ SFTL A, -8, B ; 26
+ SFTL A, -8 ; 27
+ SFTL A, -7, A ; 28
+ SFTL A, -7, B ; 29
+ SFTL A, -7 ; 30
+ SFTL A, -6, A ; 31
+ SFTL A, -6, B ; 32
+ SFTL A, -6 ; 33
+ SFTL A, -5, A ; 34
+ SFTL A, -5, B ; 35
+ SFTL A, -5 ; 36
+ SFTL A, -4, A ; 37
+ SFTL A, -4, B ; 38
+ SFTL A, -4 ; 39
+ SFTL A, -3, A ; 40
+ SFTL A, -3, B ; 41
+ SFTL A, -3 ; 42
+ SFTL A, -2, A ; 43
+ SFTL A, -2, B ; 44
+ SFTL A, -2 ; 45
+ SFTL A, -1, A ; 46
+ SFTL A, -1, B ; 47
+ SFTL A, -1 ; 48
+ SFTL A, 0, A ; 49
+ SFTL A, 0, B ; 50
+ SFTL A, 0 ; 51
+ SFTL A, 1, A ; 52
+ SFTL A, 1, B ; 53
+ SFTL A, 1 ; 54
+ SFTL A, 2, A ; 55
+ SFTL A, 2, B ; 56
+ SFTL A, 2 ; 57
+ SFTL A, 3, A ; 58
+ SFTL A, 3, B ; 59
+ SFTL A, 3 ; 60
+ SFTL A, 4, A ; 61
+ SFTL A, 4, B ; 62
+ SFTL A, 4 ; 63
+ SFTL A, 5, A ; 64
+ SFTL A, 5, B ; 65
+ SFTL A, 5 ; 66
+ SFTL A, 6, A ; 67
+ SFTL A, 6, B ; 68
+ SFTL A, 6 ; 69
+ SFTL A, 7, A ; 70
+ SFTL A, 7, B ; 71
+ SFTL A, 7 ; 72
+ SFTL A, 8, A ; 73
+ SFTL A, 8, B ; 74
+ SFTL A, 8 ; 75
+ SFTL A, 9, A ; 76
+ SFTL A, 9, B ; 77
+ SFTL A, 9 ; 78
+ SFTL A, 10, A ; 79
+ SFTL A, 10, B ; 80
+ SFTL A, 10 ; 81
+ SFTL A, 11, A ; 82
+ SFTL A, 11, B ; 83
+ SFTL A, 11 ; 84
+ SFTL A, 12, A ; 85
+ SFTL A, 12, B ; 86
+ SFTL A, 12 ; 87
+ SFTL A, 13, A ; 88
+ SFTL A, 13, B ; 89
+ SFTL A, 13 ; 90
+ SFTL A, 14, A ; 91
+ SFTL A, 14, B ; 92
+ SFTL A, 14 ; 93
+ SFTL A, 15, A ; 94
+ SFTL A, 15, B ; 95
+ SFTL A, 15 ; 96
+ SFTL B, -16, A ; 97
+ SFTL B, -16, B ; 98
+ SFTL B, -16 ; 99
+ SFTL B, -15, A ; 100
+ SFTL B, -15, B ; 101
+ SFTL B, -15 ; 102
+ SFTL B, -14, A ; 103
+ SFTL B, -14, B ; 104
+ SFTL B, -14 ; 105
+ SFTL B, -13, A ; 106
+ SFTL B, -13, B ; 107
+ SFTL B, -13 ; 108
+ SFTL B, -12, A ; 109
+ SFTL B, -12, B ; 110
+ SFTL B, -12 ; 111
+ SFTL B, -11, A ; 112
+ SFTL B, -11, B ; 113
+ SFTL B, -11 ; 114
+ SFTL B, -10, A ; 115
+ SFTL B, -10, B ; 116
+ SFTL B, -10 ; 117
+ SFTL B, -9, A ; 118
+ SFTL B, -9, B ; 119
+ SFTL B, -9 ; 120
+ SFTL B, -8, A ; 121
+ SFTL B, -8, B ; 122
+ SFTL B, -8 ; 123
+ SFTL B, -7, A ; 124
+ SFTL B, -7, B ; 125
+ SFTL B, -7 ; 126
+ SFTL B, -6, A ; 127
+ SFTL B, -6, B ; 128
+ SFTL B, -6 ; 129
+ SFTL B, -5, A ; 130
+ SFTL B, -5, B ; 131
+ SFTL B, -5 ; 132
+ SFTL B, -4, A ; 133
+ SFTL B, -4, B ; 134
+ SFTL B, -4 ; 135
+ SFTL B, -3, A ; 136
+ SFTL B, -3, B ; 137
+ SFTL B, -3 ; 138
+ SFTL B, -2, A ; 139
+ SFTL B, -2, B ; 140
+ SFTL B, -2 ; 141
+ SFTL B, -1, A ; 142
+ SFTL B, -1, B ; 143
+ SFTL B, -1 ; 144
+ SFTL B, 0, A ; 145
+ SFTL B, 0, B ; 146
+ SFTL B, 0 ; 147
+ SFTL B, 1, A ; 148
+ SFTL B, 1, B ; 149
+ SFTL B, 1 ; 150
+ SFTL B, 2, A ; 151
+ SFTL B, 2, B ; 152
+ SFTL B, 2 ; 153
+ SFTL B, 3, A ; 154
+ SFTL B, 3, B ; 155
+ SFTL B, 3 ; 156
+ SFTL B, 4, A ; 157
+ SFTL B, 4, B ; 158
+ SFTL B, 4 ; 159
+ SFTL B, 5, A ; 160
+ SFTL B, 5, B ; 161
+ SFTL B, 5 ; 162
+ SFTL B, 6, A ; 163
+ SFTL B, 6, B ; 164
+ SFTL B, 6 ; 165
+ SFTL B, 7, A ; 166
+ SFTL B, 7, B ; 167
+ SFTL B, 7 ; 168
+ SFTL B, 8, A ; 169
+ SFTL B, 8, B ; 170
+ SFTL B, 8 ; 171
+ SFTL B, 9, A ; 172
+ SFTL B, 9, B ; 173
+ SFTL B, 9 ; 174
+ SFTL B, 10, A ; 175
+ SFTL B, 10, B ; 176
+ SFTL B, 10 ; 177
+ SFTL B, 11, A ; 178
+ SFTL B, 11, B ; 179
+ SFTL B, 11 ; 180
+ SFTL B, 12, A ; 181
+ SFTL B, 12, B ; 182
+ SFTL B, 12 ; 183
+ SFTL B, 13, A ; 184
+ SFTL B, 13, B ; 185
+ SFTL B, 13 ; 186
+ SFTL B, 14, A ; 187
+ SFTL B, 14, B ; 188
+ SFTL B, 14 ; 189
+ SFTL B, 15, A ; 190
+ SFTL B, 15, B ; 191
+ SFTL B, 15 ; 192
+ ; SQDST : SQDST Xmem, Ymem : 256
+ SQDST *AR2, *AR2 ; 1
+ SQDST *AR2, *AR2- ; 2
+ SQDST *AR2, *AR2+ ; 3
+ SQDST *AR2, *AR2+0% ; 4
+ SQDST *AR2, *AR3 ; 5
+ SQDST *AR2, *AR3- ; 6
+ SQDST *AR2, *AR3+ ; 7
+ SQDST *AR2, *AR3+0% ; 8
+ SQDST *AR2, *AR4 ; 9
+ SQDST *AR2, *AR4- ; 10
+ SQDST *AR2, *AR4+ ; 11
+ SQDST *AR2, *AR4+0% ; 12
+ SQDST *AR2, *AR5 ; 13
+ SQDST *AR2, *AR5- ; 14
+ SQDST *AR2, *AR5+ ; 15
+ SQDST *AR2, *AR5+0% ; 16
+ SQDST *AR2-, *AR2 ; 17
+ SQDST *AR2-, *AR2- ; 18
+ SQDST *AR2-, *AR2+ ; 19
+ SQDST *AR2-, *AR2+0% ; 20
+ SQDST *AR2-, *AR3 ; 21
+ SQDST *AR2-, *AR3- ; 22
+ SQDST *AR2-, *AR3+ ; 23
+ SQDST *AR2-, *AR3+0% ; 24
+ SQDST *AR2-, *AR4 ; 25
+ SQDST *AR2-, *AR4- ; 26
+ SQDST *AR2-, *AR4+ ; 27
+ SQDST *AR2-, *AR4+0% ; 28
+ SQDST *AR2-, *AR5 ; 29
+ SQDST *AR2-, *AR5- ; 30
+ SQDST *AR2-, *AR5+ ; 31
+ SQDST *AR2-, *AR5+0% ; 32
+ SQDST *AR2+, *AR2 ; 33
+ SQDST *AR2+, *AR2- ; 34
+ SQDST *AR2+, *AR2+ ; 35
+ SQDST *AR2+, *AR2+0% ; 36
+ SQDST *AR2+, *AR3 ; 37
+ SQDST *AR2+, *AR3- ; 38
+ SQDST *AR2+, *AR3+ ; 39
+ SQDST *AR2+, *AR3+0% ; 40
+ SQDST *AR2+, *AR4 ; 41
+ SQDST *AR2+, *AR4- ; 42
+ SQDST *AR2+, *AR4+ ; 43
+ SQDST *AR2+, *AR4+0% ; 44
+ SQDST *AR2+, *AR5 ; 45
+ SQDST *AR2+, *AR5- ; 46
+ SQDST *AR2+, *AR5+ ; 47
+ SQDST *AR2+, *AR5+0% ; 48
+ SQDST *AR2+0%, *AR2 ; 49
+ SQDST *AR2+0%, *AR2- ; 50
+ SQDST *AR2+0%, *AR2+ ; 51
+ SQDST *AR2+0%, *AR2+0% ; 52
+ SQDST *AR2+0%, *AR3 ; 53
+ SQDST *AR2+0%, *AR3- ; 54
+ SQDST *AR2+0%, *AR3+ ; 55
+ SQDST *AR2+0%, *AR3+0% ; 56
+ SQDST *AR2+0%, *AR4 ; 57
+ SQDST *AR2+0%, *AR4- ; 58
+ SQDST *AR2+0%, *AR4+ ; 59
+ SQDST *AR2+0%, *AR4+0% ; 60
+ SQDST *AR2+0%, *AR5 ; 61
+ SQDST *AR2+0%, *AR5- ; 62
+ SQDST *AR2+0%, *AR5+ ; 63
+ SQDST *AR2+0%, *AR5+0% ; 64
+ SQDST *AR3, *AR2 ; 65
+ SQDST *AR3, *AR2- ; 66
+ SQDST *AR3, *AR2+ ; 67
+ SQDST *AR3, *AR2+0% ; 68
+ SQDST *AR3, *AR3 ; 69
+ SQDST *AR3, *AR3- ; 70
+ SQDST *AR3, *AR3+ ; 71
+ SQDST *AR3, *AR3+0% ; 72
+ SQDST *AR3, *AR4 ; 73
+ SQDST *AR3, *AR4- ; 74
+ SQDST *AR3, *AR4+ ; 75
+ SQDST *AR3, *AR4+0% ; 76
+ SQDST *AR3, *AR5 ; 77
+ SQDST *AR3, *AR5- ; 78
+ SQDST *AR3, *AR5+ ; 79
+ SQDST *AR3, *AR5+0% ; 80
+ SQDST *AR3-, *AR2 ; 81
+ SQDST *AR3-, *AR2- ; 82
+ SQDST *AR3-, *AR2+ ; 83
+ SQDST *AR3-, *AR2+0% ; 84
+ SQDST *AR3-, *AR3 ; 85
+ SQDST *AR3-, *AR3- ; 86
+ SQDST *AR3-, *AR3+ ; 87
+ SQDST *AR3-, *AR3+0% ; 88
+ SQDST *AR3-, *AR4 ; 89
+ SQDST *AR3-, *AR4- ; 90
+ SQDST *AR3-, *AR4+ ; 91
+ SQDST *AR3-, *AR4+0% ; 92
+ SQDST *AR3-, *AR5 ; 93
+ SQDST *AR3-, *AR5- ; 94
+ SQDST *AR3-, *AR5+ ; 95
+ SQDST *AR3-, *AR5+0% ; 96
+ SQDST *AR3+, *AR2 ; 97
+ SQDST *AR3+, *AR2- ; 98
+ SQDST *AR3+, *AR2+ ; 99
+ SQDST *AR3+, *AR2+0% ; 100
+ SQDST *AR3+, *AR3 ; 101
+ SQDST *AR3+, *AR3- ; 102
+ SQDST *AR3+, *AR3+ ; 103
+ SQDST *AR3+, *AR3+0% ; 104
+ SQDST *AR3+, *AR4 ; 105
+ SQDST *AR3+, *AR4- ; 106
+ SQDST *AR3+, *AR4+ ; 107
+ SQDST *AR3+, *AR4+0% ; 108
+ SQDST *AR3+, *AR5 ; 109
+ SQDST *AR3+, *AR5- ; 110
+ SQDST *AR3+, *AR5+ ; 111
+ SQDST *AR3+, *AR5+0% ; 112
+ SQDST *AR3+0%, *AR2 ; 113
+ SQDST *AR3+0%, *AR2- ; 114
+ SQDST *AR3+0%, *AR2+ ; 115
+ SQDST *AR3+0%, *AR2+0% ; 116
+ SQDST *AR3+0%, *AR3 ; 117
+ SQDST *AR3+0%, *AR3- ; 118
+ SQDST *AR3+0%, *AR3+ ; 119
+ SQDST *AR3+0%, *AR3+0% ; 120
+ SQDST *AR3+0%, *AR4 ; 121
+ SQDST *AR3+0%, *AR4- ; 122
+ SQDST *AR3+0%, *AR4+ ; 123
+ SQDST *AR3+0%, *AR4+0% ; 124
+ SQDST *AR3+0%, *AR5 ; 125
+ SQDST *AR3+0%, *AR5- ; 126
+ SQDST *AR3+0%, *AR5+ ; 127
+ SQDST *AR3+0%, *AR5+0% ; 128
+ SQDST *AR4, *AR2 ; 129
+ SQDST *AR4, *AR2- ; 130
+ SQDST *AR4, *AR2+ ; 131
+ SQDST *AR4, *AR2+0% ; 132
+ SQDST *AR4, *AR3 ; 133
+ SQDST *AR4, *AR3- ; 134
+ SQDST *AR4, *AR3+ ; 135
+ SQDST *AR4, *AR3+0% ; 136
+ SQDST *AR4, *AR4 ; 137
+ SQDST *AR4, *AR4- ; 138
+ SQDST *AR4, *AR4+ ; 139
+ SQDST *AR4, *AR4+0% ; 140
+ SQDST *AR4, *AR5 ; 141
+ SQDST *AR4, *AR5- ; 142
+ SQDST *AR4, *AR5+ ; 143
+ SQDST *AR4, *AR5+0% ; 144
+ SQDST *AR4-, *AR2 ; 145
+ SQDST *AR4-, *AR2- ; 146
+ SQDST *AR4-, *AR2+ ; 147
+ SQDST *AR4-, *AR2+0% ; 148
+ SQDST *AR4-, *AR3 ; 149
+ SQDST *AR4-, *AR3- ; 150
+ SQDST *AR4-, *AR3+ ; 151
+ SQDST *AR4-, *AR3+0% ; 152
+ SQDST *AR4-, *AR4 ; 153
+ SQDST *AR4-, *AR4- ; 154
+ SQDST *AR4-, *AR4+ ; 155
+ SQDST *AR4-, *AR4+0% ; 156
+ SQDST *AR4-, *AR5 ; 157
+ SQDST *AR4-, *AR5- ; 158
+ SQDST *AR4-, *AR5+ ; 159
+ SQDST *AR4-, *AR5+0% ; 160
+ SQDST *AR4+, *AR2 ; 161
+ SQDST *AR4+, *AR2- ; 162
+ SQDST *AR4+, *AR2+ ; 163
+ SQDST *AR4+, *AR2+0% ; 164
+ SQDST *AR4+, *AR3 ; 165
+ SQDST *AR4+, *AR3- ; 166
+ SQDST *AR4+, *AR3+ ; 167
+ SQDST *AR4+, *AR3+0% ; 168
+ SQDST *AR4+, *AR4 ; 169
+ SQDST *AR4+, *AR4- ; 170
+ SQDST *AR4+, *AR4+ ; 171
+ SQDST *AR4+, *AR4+0% ; 172
+ SQDST *AR4+, *AR5 ; 173
+ SQDST *AR4+, *AR5- ; 174
+ SQDST *AR4+, *AR5+ ; 175
+ SQDST *AR4+, *AR5+0% ; 176
+ SQDST *AR4+0%, *AR2 ; 177
+ SQDST *AR4+0%, *AR2- ; 178
+ SQDST *AR4+0%, *AR2+ ; 179
+ SQDST *AR4+0%, *AR2+0% ; 180
+ SQDST *AR4+0%, *AR3 ; 181
+ SQDST *AR4+0%, *AR3- ; 182
+ SQDST *AR4+0%, *AR3+ ; 183
+ SQDST *AR4+0%, *AR3+0% ; 184
+ SQDST *AR4+0%, *AR4 ; 185
+ SQDST *AR4+0%, *AR4- ; 186
+ SQDST *AR4+0%, *AR4+ ; 187
+ SQDST *AR4+0%, *AR4+0% ; 188
+ SQDST *AR4+0%, *AR5 ; 189
+ SQDST *AR4+0%, *AR5- ; 190
+ SQDST *AR4+0%, *AR5+ ; 191
+ SQDST *AR4+0%, *AR5+0% ; 192
+ SQDST *AR5, *AR2 ; 193
+ SQDST *AR5, *AR2- ; 194
+ SQDST *AR5, *AR2+ ; 195
+ SQDST *AR5, *AR2+0% ; 196
+ SQDST *AR5, *AR3 ; 197
+ SQDST *AR5, *AR3- ; 198
+ SQDST *AR5, *AR3+ ; 199
+ SQDST *AR5, *AR3+0% ; 200
+ SQDST *AR5, *AR4 ; 201
+ SQDST *AR5, *AR4- ; 202
+ SQDST *AR5, *AR4+ ; 203
+ SQDST *AR5, *AR4+0% ; 204
+ SQDST *AR5, *AR5 ; 205
+ SQDST *AR5, *AR5- ; 206
+ SQDST *AR5, *AR5+ ; 207
+ SQDST *AR5, *AR5+0% ; 208
+ SQDST *AR5-, *AR2 ; 209
+ SQDST *AR5-, *AR2- ; 210
+ SQDST *AR5-, *AR2+ ; 211
+ SQDST *AR5-, *AR2+0% ; 212
+ SQDST *AR5-, *AR3 ; 213
+ SQDST *AR5-, *AR3- ; 214
+ SQDST *AR5-, *AR3+ ; 215
+ SQDST *AR5-, *AR3+0% ; 216
+ SQDST *AR5-, *AR4 ; 217
+ SQDST *AR5-, *AR4- ; 218
+ SQDST *AR5-, *AR4+ ; 219
+ SQDST *AR5-, *AR4+0% ; 220
+ SQDST *AR5-, *AR5 ; 221
+ SQDST *AR5-, *AR5- ; 222
+ SQDST *AR5-, *AR5+ ; 223
+ SQDST *AR5-, *AR5+0% ; 224
+ SQDST *AR5+, *AR2 ; 225
+ SQDST *AR5+, *AR2- ; 226
+ SQDST *AR5+, *AR2+ ; 227
+ SQDST *AR5+, *AR2+0% ; 228
+ SQDST *AR5+, *AR3 ; 229
+ SQDST *AR5+, *AR3- ; 230
+ SQDST *AR5+, *AR3+ ; 231
+ SQDST *AR5+, *AR3+0% ; 232
+ SQDST *AR5+, *AR4 ; 233
+ SQDST *AR5+, *AR4- ; 234
+ SQDST *AR5+, *AR4+ ; 235
+ SQDST *AR5+, *AR4+0% ; 236
+ SQDST *AR5+, *AR5 ; 237
+ SQDST *AR5+, *AR5- ; 238
+ SQDST *AR5+, *AR5+ ; 239
+ SQDST *AR5+, *AR5+0% ; 240
+ SQDST *AR5+0%, *AR2 ; 241
+ SQDST *AR5+0%, *AR2- ; 242
+ SQDST *AR5+0%, *AR2+ ; 243
+ SQDST *AR5+0%, *AR2+0% ; 244
+ SQDST *AR5+0%, *AR3 ; 245
+ SQDST *AR5+0%, *AR3- ; 246
+ SQDST *AR5+0%, *AR3+ ; 247
+ SQDST *AR5+0%, *AR3+0% ; 248
+ SQDST *AR5+0%, *AR4 ; 249
+ SQDST *AR5+0%, *AR4- ; 250
+ SQDST *AR5+0%, *AR4+ ; 251
+ SQDST *AR5+0%, *AR4+0% ; 252
+ SQDST *AR5+0%, *AR5 ; 253
+ SQDST *AR5+0%, *AR5- ; 254
+ SQDST *AR5+0%, *AR5+ ; 255
+ SQDST *AR5+0%, *AR5+0% ; 256
+ ; SQURA : SQURA Smem, AB : 2
+ SQURA 78h, A ; 1
+ SQURA 79h, B ; 2
+ ; SQURA_I : SQURA Smem_I, AB : 240
+ SQURA *AR0, A ; 1
+ SQURA *AR0, B ; 2
+ SQURA *AR0-, A ; 3
+ SQURA *AR0-, B ; 4
+ SQURA *AR0+, A ; 5
+ SQURA *AR0+, B ; 6
+ SQURA *AR0-0B, A ; 7
+ SQURA *AR0-0B, B ; 8
+ SQURA *AR0-0, A ; 9
+ SQURA *AR0-0, B ; 10
+ SQURA *AR0+0, A ; 11
+ SQURA *AR0+0, B ; 12
+ SQURA *AR0+0B, A ; 13
+ SQURA *AR0+0B, B ; 14
+ SQURA *AR0-%, A ; 15
+ SQURA *AR0-%, B ; 16
+ SQURA *AR0-0%, A ; 17
+ SQURA *AR0-0%, B ; 18
+ SQURA *AR0+%, A ; 19
+ SQURA *AR0+%, B ; 20
+ SQURA *AR0+0%, A ; 21
+ SQURA *AR0+0%, B ; 22
+ SQURA *AR0(03618h), A ; 23
+ SQURA *AR0(03619h), B ; 24
+ SQURA *+AR0(0361Ah), A ; 25
+ SQURA *+AR0(0361Bh), B ; 26
+ SQURA *+AR0(0361Ch)%, A ; 27
+ SQURA *+AR0(0361Dh)%, B ; 28
+ SQURA *(0361Eh), A ; 29
+ SQURA *(0361Fh), B ; 30
+ SQURA *AR1, A ; 31
+ SQURA *AR1, B ; 32
+ SQURA *AR1-, A ; 33
+ SQURA *AR1-, B ; 34
+ SQURA *AR1+, A ; 35
+ SQURA *AR1+, B ; 36
+ SQURA *AR1-0B, A ; 37
+ SQURA *AR1-0B, B ; 38
+ SQURA *AR1-0, A ; 39
+ SQURA *AR1-0, B ; 40
+ SQURA *AR1+0, A ; 41
+ SQURA *AR1+0, B ; 42
+ SQURA *AR1+0B, A ; 43
+ SQURA *AR1+0B, B ; 44
+ SQURA *AR1-%, A ; 45
+ SQURA *AR1-%, B ; 46
+ SQURA *AR1-0%, A ; 47
+ SQURA *AR1-0%, B ; 48
+ SQURA *AR1+%, A ; 49
+ SQURA *AR1+%, B ; 50
+ SQURA *AR1+0%, A ; 51
+ SQURA *AR1+0%, B ; 52
+ SQURA *AR1(03620h), A ; 53
+ SQURA *AR1(03621h), B ; 54
+ SQURA *+AR1(03622h), A ; 55
+ SQURA *+AR1(03623h), B ; 56
+ SQURA *+AR1(03624h)%, A ; 57
+ SQURA *+AR1(03625h)%, B ; 58
+ SQURA *(03626h), A ; 59
+ SQURA *(03627h), B ; 60
+ SQURA *AR2, A ; 61
+ SQURA *AR2, B ; 62
+ SQURA *AR2-, A ; 63
+ SQURA *AR2-, B ; 64
+ SQURA *AR2+, A ; 65
+ SQURA *AR2+, B ; 66
+ SQURA *AR2-0B, A ; 67
+ SQURA *AR2-0B, B ; 68
+ SQURA *AR2-0, A ; 69
+ SQURA *AR2-0, B ; 70
+ SQURA *AR2+0, A ; 71
+ SQURA *AR2+0, B ; 72
+ SQURA *AR2+0B, A ; 73
+ SQURA *AR2+0B, B ; 74
+ SQURA *AR2-%, A ; 75
+ SQURA *AR2-%, B ; 76
+ SQURA *AR2-0%, A ; 77
+ SQURA *AR2-0%, B ; 78
+ SQURA *AR2+%, A ; 79
+ SQURA *AR2+%, B ; 80
+ SQURA *AR2+0%, A ; 81
+ SQURA *AR2+0%, B ; 82
+ SQURA *AR2(03628h), A ; 83
+ SQURA *AR2(03629h), B ; 84
+ SQURA *+AR2(0362Ah), A ; 85
+ SQURA *+AR2(0362Bh), B ; 86
+ SQURA *+AR2(0362Ch)%, A ; 87
+ SQURA *+AR2(0362Dh)%, B ; 88
+ SQURA *(0362Eh), A ; 89
+ SQURA *(0362Fh), B ; 90
+ SQURA *AR3, A ; 91
+ SQURA *AR3, B ; 92
+ SQURA *AR3-, A ; 93
+ SQURA *AR3-, B ; 94
+ SQURA *AR3+, A ; 95
+ SQURA *AR3+, B ; 96
+ SQURA *AR3-0B, A ; 97
+ SQURA *AR3-0B, B ; 98
+ SQURA *AR3-0, A ; 99
+ SQURA *AR3-0, B ; 100
+ SQURA *AR3+0, A ; 101
+ SQURA *AR3+0, B ; 102
+ SQURA *AR3+0B, A ; 103
+ SQURA *AR3+0B, B ; 104
+ SQURA *AR3-%, A ; 105
+ SQURA *AR3-%, B ; 106
+ SQURA *AR3-0%, A ; 107
+ SQURA *AR3-0%, B ; 108
+ SQURA *AR3+%, A ; 109
+ SQURA *AR3+%, B ; 110
+ SQURA *AR3+0%, A ; 111
+ SQURA *AR3+0%, B ; 112
+ SQURA *AR3(03630h), A ; 113
+ SQURA *AR3(03631h), B ; 114
+ SQURA *+AR3(03632h), A ; 115
+ SQURA *+AR3(03633h), B ; 116
+ SQURA *+AR3(03634h)%, A ; 117
+ SQURA *+AR3(03635h)%, B ; 118
+ SQURA *(03636h), A ; 119
+ SQURA *(03637h), B ; 120
+ SQURA *AR4, A ; 121
+ SQURA *AR4, B ; 122
+ SQURA *AR4-, A ; 123
+ SQURA *AR4-, B ; 124
+ SQURA *AR4+, A ; 125
+ SQURA *AR4+, B ; 126
+ SQURA *AR4-0B, A ; 127
+ SQURA *AR4-0B, B ; 128
+ SQURA *AR4-0, A ; 129
+ SQURA *AR4-0, B ; 130
+ SQURA *AR4+0, A ; 131
+ SQURA *AR4+0, B ; 132
+ SQURA *AR4+0B, A ; 133
+ SQURA *AR4+0B, B ; 134
+ SQURA *AR4-%, A ; 135
+ SQURA *AR4-%, B ; 136
+ SQURA *AR4-0%, A ; 137
+ SQURA *AR4-0%, B ; 138
+ SQURA *AR4+%, A ; 139
+ SQURA *AR4+%, B ; 140
+ SQURA *AR4+0%, A ; 141
+ SQURA *AR4+0%, B ; 142
+ SQURA *AR4(03638h), A ; 143
+ SQURA *AR4(03639h), B ; 144
+ SQURA *+AR4(0363Ah), A ; 145
+ SQURA *+AR4(0363Bh), B ; 146
+ SQURA *+AR4(0363Ch)%, A ; 147
+ SQURA *+AR4(0363Dh)%, B ; 148
+ SQURA *(0363Eh), A ; 149
+ SQURA *(0363Fh), B ; 150
+ SQURA *AR5, A ; 151
+ SQURA *AR5, B ; 152
+ SQURA *AR5-, A ; 153
+ SQURA *AR5-, B ; 154
+ SQURA *AR5+, A ; 155
+ SQURA *AR5+, B ; 156
+ SQURA *AR5-0B, A ; 157
+ SQURA *AR5-0B, B ; 158
+ SQURA *AR5-0, A ; 159
+ SQURA *AR5-0, B ; 160
+ SQURA *AR5+0, A ; 161
+ SQURA *AR5+0, B ; 162
+ SQURA *AR5+0B, A ; 163
+ SQURA *AR5+0B, B ; 164
+ SQURA *AR5-%, A ; 165
+ SQURA *AR5-%, B ; 166
+ SQURA *AR5-0%, A ; 167
+ SQURA *AR5-0%, B ; 168
+ SQURA *AR5+%, A ; 169
+ SQURA *AR5+%, B ; 170
+ SQURA *AR5+0%, A ; 171
+ SQURA *AR5+0%, B ; 172
+ SQURA *AR5(03640h), A ; 173
+ SQURA *AR5(03641h), B ; 174
+ SQURA *+AR5(03642h), A ; 175
+ SQURA *+AR5(03643h), B ; 176
+ SQURA *+AR5(03644h)%, A ; 177
+ SQURA *+AR5(03645h)%, B ; 178
+ SQURA *(03646h), A ; 179
+ SQURA *(03647h), B ; 180
+ SQURA *AR6, A ; 181
+ SQURA *AR6, B ; 182
+ SQURA *AR6-, A ; 183
+ SQURA *AR6-, B ; 184
+ SQURA *AR6+, A ; 185
+ SQURA *AR6+, B ; 186
+ SQURA *AR6-0B, A ; 187
+ SQURA *AR6-0B, B ; 188
+ SQURA *AR6-0, A ; 189
+ SQURA *AR6-0, B ; 190
+ SQURA *AR6+0, A ; 191
+ SQURA *AR6+0, B ; 192
+ SQURA *AR6+0B, A ; 193
+ SQURA *AR6+0B, B ; 194
+ SQURA *AR6-%, A ; 195
+ SQURA *AR6-%, B ; 196
+ SQURA *AR6-0%, A ; 197
+ SQURA *AR6-0%, B ; 198
+ SQURA *AR6+%, A ; 199
+ SQURA *AR6+%, B ; 200
+ SQURA *AR6+0%, A ; 201
+ SQURA *AR6+0%, B ; 202
+ SQURA *AR6(03648h), A ; 203
+ SQURA *AR6(03649h), B ; 204
+ SQURA *+AR6(0364Ah), A ; 205
+ SQURA *+AR6(0364Bh), B ; 206
+ SQURA *+AR6(0364Ch)%, A ; 207
+ SQURA *+AR6(0364Dh)%, B ; 208
+ SQURA *(0364Eh), A ; 209
+ SQURA *(0364Fh), B ; 210
+ SQURA *AR7, A ; 211
+ SQURA *AR7, B ; 212
+ SQURA *AR7-, A ; 213
+ SQURA *AR7-, B ; 214
+ SQURA *AR7+, A ; 215
+ SQURA *AR7+, B ; 216
+ SQURA *AR7-0B, A ; 217
+ SQURA *AR7-0B, B ; 218
+ SQURA *AR7-0, A ; 219
+ SQURA *AR7-0, B ; 220
+ SQURA *AR7+0, A ; 221
+ SQURA *AR7+0, B ; 222
+ SQURA *AR7+0B, A ; 223
+ SQURA *AR7+0B, B ; 224
+ SQURA *AR7-%, A ; 225
+ SQURA *AR7-%, B ; 226
+ SQURA *AR7-0%, A ; 227
+ SQURA *AR7-0%, B ; 228
+ SQURA *AR7+%, A ; 229
+ SQURA *AR7+%, B ; 230
+ SQURA *AR7+0%, A ; 231
+ SQURA *AR7+0%, B ; 232
+ SQURA *AR7(03650h), A ; 233
+ SQURA *AR7(03651h), B ; 234
+ SQURA *+AR7(03652h), A ; 235
+ SQURA *+AR7(03653h), B ; 236
+ SQURA *+AR7(03654h)%, A ; 237
+ SQURA *+AR7(03655h)%, B ; 238
+ SQURA *(03656h), A ; 239
+ SQURA *(03657h), B ; 240
+ ; SQURS : SQURS Smem, AB : 2
+ SQURS 7Ah, A ; 1
+ SQURS 7Bh, B ; 2
+ ; SQURS_I : SQURS Smem_I, AB : 240
+ SQURS *AR0, A ; 1
+ SQURS *AR0, B ; 2
+ SQURS *AR0-, A ; 3
+ SQURS *AR0-, B ; 4
+ SQURS *AR0+, A ; 5
+ SQURS *AR0+, B ; 6
+ SQURS *AR0-0B, A ; 7
+ SQURS *AR0-0B, B ; 8
+ SQURS *AR0-0, A ; 9
+ SQURS *AR0-0, B ; 10
+ SQURS *AR0+0, A ; 11
+ SQURS *AR0+0, B ; 12
+ SQURS *AR0+0B, A ; 13
+ SQURS *AR0+0B, B ; 14
+ SQURS *AR0-%, A ; 15
+ SQURS *AR0-%, B ; 16
+ SQURS *AR0-0%, A ; 17
+ SQURS *AR0-0%, B ; 18
+ SQURS *AR0+%, A ; 19
+ SQURS *AR0+%, B ; 20
+ SQURS *AR0+0%, A ; 21
+ SQURS *AR0+0%, B ; 22
+ SQURS *AR0(03658h), A ; 23
+ SQURS *AR0(03659h), B ; 24
+ SQURS *+AR0(0365Ah), A ; 25
+ SQURS *+AR0(0365Bh), B ; 26
+ SQURS *+AR0(0365Ch)%, A ; 27
+ SQURS *+AR0(0365Dh)%, B ; 28
+ SQURS *(0365Eh), A ; 29
+ SQURS *(0365Fh), B ; 30
+ SQURS *AR1, A ; 31
+ SQURS *AR1, B ; 32
+ SQURS *AR1-, A ; 33
+ SQURS *AR1-, B ; 34
+ SQURS *AR1+, A ; 35
+ SQURS *AR1+, B ; 36
+ SQURS *AR1-0B, A ; 37
+ SQURS *AR1-0B, B ; 38
+ SQURS *AR1-0, A ; 39
+ SQURS *AR1-0, B ; 40
+ SQURS *AR1+0, A ; 41
+ SQURS *AR1+0, B ; 42
+ SQURS *AR1+0B, A ; 43
+ SQURS *AR1+0B, B ; 44
+ SQURS *AR1-%, A ; 45
+ SQURS *AR1-%, B ; 46
+ SQURS *AR1-0%, A ; 47
+ SQURS *AR1-0%, B ; 48
+ SQURS *AR1+%, A ; 49
+ SQURS *AR1+%, B ; 50
+ SQURS *AR1+0%, A ; 51
+ SQURS *AR1+0%, B ; 52
+ SQURS *AR1(03660h), A ; 53
+ SQURS *AR1(03661h), B ; 54
+ SQURS *+AR1(03662h), A ; 55
+ SQURS *+AR1(03663h), B ; 56
+ SQURS *+AR1(03664h)%, A ; 57
+ SQURS *+AR1(03665h)%, B ; 58
+ SQURS *(03666h), A ; 59
+ SQURS *(03667h), B ; 60
+ SQURS *AR2, A ; 61
+ SQURS *AR2, B ; 62
+ SQURS *AR2-, A ; 63
+ SQURS *AR2-, B ; 64
+ SQURS *AR2+, A ; 65
+ SQURS *AR2+, B ; 66
+ SQURS *AR2-0B, A ; 67
+ SQURS *AR2-0B, B ; 68
+ SQURS *AR2-0, A ; 69
+ SQURS *AR2-0, B ; 70
+ SQURS *AR2+0, A ; 71
+ SQURS *AR2+0, B ; 72
+ SQURS *AR2+0B, A ; 73
+ SQURS *AR2+0B, B ; 74
+ SQURS *AR2-%, A ; 75
+ SQURS *AR2-%, B ; 76
+ SQURS *AR2-0%, A ; 77
+ SQURS *AR2-0%, B ; 78
+ SQURS *AR2+%, A ; 79
+ SQURS *AR2+%, B ; 80
+ SQURS *AR2+0%, A ; 81
+ SQURS *AR2+0%, B ; 82
+ SQURS *AR2(03668h), A ; 83
+ SQURS *AR2(03669h), B ; 84
+ SQURS *+AR2(0366Ah), A ; 85
+ SQURS *+AR2(0366Bh), B ; 86
+ SQURS *+AR2(0366Ch)%, A ; 87
+ SQURS *+AR2(0366Dh)%, B ; 88
+ SQURS *(0366Eh), A ; 89
+ SQURS *(0366Fh), B ; 90
+ SQURS *AR3, A ; 91
+ SQURS *AR3, B ; 92
+ SQURS *AR3-, A ; 93
+ SQURS *AR3-, B ; 94
+ SQURS *AR3+, A ; 95
+ SQURS *AR3+, B ; 96
+ SQURS *AR3-0B, A ; 97
+ SQURS *AR3-0B, B ; 98
+ SQURS *AR3-0, A ; 99
+ SQURS *AR3-0, B ; 100
+ SQURS *AR3+0, A ; 101
+ SQURS *AR3+0, B ; 102
+ SQURS *AR3+0B, A ; 103
+ SQURS *AR3+0B, B ; 104
+ SQURS *AR3-%, A ; 105
+ SQURS *AR3-%, B ; 106
+ SQURS *AR3-0%, A ; 107
+ SQURS *AR3-0%, B ; 108
+ SQURS *AR3+%, A ; 109
+ SQURS *AR3+%, B ; 110
+ SQURS *AR3+0%, A ; 111
+ SQURS *AR3+0%, B ; 112
+ SQURS *AR3(03670h), A ; 113
+ SQURS *AR3(03671h), B ; 114
+ SQURS *+AR3(03672h), A ; 115
+ SQURS *+AR3(03673h), B ; 116
+ SQURS *+AR3(03674h)%, A ; 117
+ SQURS *+AR3(03675h)%, B ; 118
+ SQURS *(03676h), A ; 119
+ SQURS *(03677h), B ; 120
+ SQURS *AR4, A ; 121
+ SQURS *AR4, B ; 122
+ SQURS *AR4-, A ; 123
+ SQURS *AR4-, B ; 124
+ SQURS *AR4+, A ; 125
+ SQURS *AR4+, B ; 126
+ SQURS *AR4-0B, A ; 127
+ SQURS *AR4-0B, B ; 128
+ SQURS *AR4-0, A ; 129
+ SQURS *AR4-0, B ; 130
+ SQURS *AR4+0, A ; 131
+ SQURS *AR4+0, B ; 132
+ SQURS *AR4+0B, A ; 133
+ SQURS *AR4+0B, B ; 134
+ SQURS *AR4-%, A ; 135
+ SQURS *AR4-%, B ; 136
+ SQURS *AR4-0%, A ; 137
+ SQURS *AR4-0%, B ; 138
+ SQURS *AR4+%, A ; 139
+ SQURS *AR4+%, B ; 140
+ SQURS *AR4+0%, A ; 141
+ SQURS *AR4+0%, B ; 142
+ SQURS *AR4(03678h), A ; 143
+ SQURS *AR4(03679h), B ; 144
+ SQURS *+AR4(0367Ah), A ; 145
+ SQURS *+AR4(0367Bh), B ; 146
+ SQURS *+AR4(0367Ch)%, A ; 147
+ SQURS *+AR4(0367Dh)%, B ; 148
+ SQURS *(0367Eh), A ; 149
+ SQURS *(0367Fh), B ; 150
+ SQURS *AR5, A ; 151
+ SQURS *AR5, B ; 152
+ SQURS *AR5-, A ; 153
+ SQURS *AR5-, B ; 154
+ SQURS *AR5+, A ; 155
+ SQURS *AR5+, B ; 156
+ SQURS *AR5-0B, A ; 157
+ SQURS *AR5-0B, B ; 158
+ SQURS *AR5-0, A ; 159
+ SQURS *AR5-0, B ; 160
+ SQURS *AR5+0, A ; 161
+ SQURS *AR5+0, B ; 162
+ SQURS *AR5+0B, A ; 163
+ SQURS *AR5+0B, B ; 164
+ SQURS *AR5-%, A ; 165
+ SQURS *AR5-%, B ; 166
+ SQURS *AR5-0%, A ; 167
+ SQURS *AR5-0%, B ; 168
+ SQURS *AR5+%, A ; 169
+ SQURS *AR5+%, B ; 170
+ SQURS *AR5+0%, A ; 171
+ SQURS *AR5+0%, B ; 172
+ SQURS *AR5(03680h), A ; 173
+ SQURS *AR5(03681h), B ; 174
+ SQURS *+AR5(03682h), A ; 175
+ SQURS *+AR5(03683h), B ; 176
+ SQURS *+AR5(03684h)%, A ; 177
+ SQURS *+AR5(03685h)%, B ; 178
+ SQURS *(03686h), A ; 179
+ SQURS *(03687h), B ; 180
+ SQURS *AR6, A ; 181
+ SQURS *AR6, B ; 182
+ SQURS *AR6-, A ; 183
+ SQURS *AR6-, B ; 184
+ SQURS *AR6+, A ; 185
+ SQURS *AR6+, B ; 186
+ SQURS *AR6-0B, A ; 187
+ SQURS *AR6-0B, B ; 188
+ SQURS *AR6-0, A ; 189
+ SQURS *AR6-0, B ; 190
+ SQURS *AR6+0, A ; 191
+ SQURS *AR6+0, B ; 192
+ SQURS *AR6+0B, A ; 193
+ SQURS *AR6+0B, B ; 194
+ SQURS *AR6-%, A ; 195
+ SQURS *AR6-%, B ; 196
+ SQURS *AR6-0%, A ; 197
+ SQURS *AR6-0%, B ; 198
+ SQURS *AR6+%, A ; 199
+ SQURS *AR6+%, B ; 200
+ SQURS *AR6+0%, A ; 201
+ SQURS *AR6+0%, B ; 202
+ SQURS *AR6(03688h), A ; 203
+ SQURS *AR6(03689h), B ; 204
+ SQURS *+AR6(0368Ah), A ; 205
+ SQURS *+AR6(0368Bh), B ; 206
+ SQURS *+AR6(0368Ch)%, A ; 207
+ SQURS *+AR6(0368Dh)%, B ; 208
+ SQURS *(0368Eh), A ; 209
+ SQURS *(0368Fh), B ; 210
+ SQURS *AR7, A ; 211
+ SQURS *AR7, B ; 212
+ SQURS *AR7-, A ; 213
+ SQURS *AR7-, B ; 214
+ SQURS *AR7+, A ; 215
+ SQURS *AR7+, B ; 216
+ SQURS *AR7-0B, A ; 217
+ SQURS *AR7-0B, B ; 218
+ SQURS *AR7-0, A ; 219
+ SQURS *AR7-0, B ; 220
+ SQURS *AR7+0, A ; 221
+ SQURS *AR7+0, B ; 222
+ SQURS *AR7+0B, A ; 223
+ SQURS *AR7+0B, B ; 224
+ SQURS *AR7-%, A ; 225
+ SQURS *AR7-%, B ; 226
+ SQURS *AR7-0%, A ; 227
+ SQURS *AR7-0%, B ; 228
+ SQURS *AR7+%, A ; 229
+ SQURS *AR7+%, B ; 230
+ SQURS *AR7+0%, A ; 231
+ SQURS *AR7+0%, B ; 232
+ SQURS *AR7(03690h), A ; 233
+ SQURS *AR7(03691h), B ; 234
+ SQURS *+AR7(03692h), A ; 235
+ SQURS *+AR7(03693h), B ; 236
+ SQURS *+AR7(03694h)%, A ; 237
+ SQURS *+AR7(03695h)%, B ; 238
+ SQURS *(03696h), A ; 239
+ SQURS *(03697h), B ; 240
+ ; SQURd : SQUR A, AB : 2
+ SQUR A, A ; 1
+ SQUR A, B ; 2
+ ; SQURsmem : SQUR Smem, AB : 2
+ SQUR 7Ch, A ; 1
+ SQUR 7Dh, B ; 2
+ ; SQURsmem_I : SQUR Smem_I, AB : 240
+ SQUR *AR0, A ; 1
+ SQUR *AR0, B ; 2
+ SQUR *AR0-, A ; 3
+ SQUR *AR0-, B ; 4
+ SQUR *AR0+, A ; 5
+ SQUR *AR0+, B ; 6
+ SQUR *AR0-0B, A ; 7
+ SQUR *AR0-0B, B ; 8
+ SQUR *AR0-0, A ; 9
+ SQUR *AR0-0, B ; 10
+ SQUR *AR0+0, A ; 11
+ SQUR *AR0+0, B ; 12
+ SQUR *AR0+0B, A ; 13
+ SQUR *AR0+0B, B ; 14
+ SQUR *AR0-%, A ; 15
+ SQUR *AR0-%, B ; 16
+ SQUR *AR0-0%, A ; 17
+ SQUR *AR0-0%, B ; 18
+ SQUR *AR0+%, A ; 19
+ SQUR *AR0+%, B ; 20
+ SQUR *AR0+0%, A ; 21
+ SQUR *AR0+0%, B ; 22
+ SQUR *AR0(03698h), A ; 23
+ SQUR *AR0(03699h), B ; 24
+ SQUR *+AR0(0369Ah), A ; 25
+ SQUR *+AR0(0369Bh), B ; 26
+ SQUR *+AR0(0369Ch)%, A ; 27
+ SQUR *+AR0(0369Dh)%, B ; 28
+ SQUR *(0369Eh), A ; 29
+ SQUR *(0369Fh), B ; 30
+ SQUR *AR1, A ; 31
+ SQUR *AR1, B ; 32
+ SQUR *AR1-, A ; 33
+ SQUR *AR1-, B ; 34
+ SQUR *AR1+, A ; 35
+ SQUR *AR1+, B ; 36
+ SQUR *AR1-0B, A ; 37
+ SQUR *AR1-0B, B ; 38
+ SQUR *AR1-0, A ; 39
+ SQUR *AR1-0, B ; 40
+ SQUR *AR1+0, A ; 41
+ SQUR *AR1+0, B ; 42
+ SQUR *AR1+0B, A ; 43
+ SQUR *AR1+0B, B ; 44
+ SQUR *AR1-%, A ; 45
+ SQUR *AR1-%, B ; 46
+ SQUR *AR1-0%, A ; 47
+ SQUR *AR1-0%, B ; 48
+ SQUR *AR1+%, A ; 49
+ SQUR *AR1+%, B ; 50
+ SQUR *AR1+0%, A ; 51
+ SQUR *AR1+0%, B ; 52
+ SQUR *AR1(036A0h), A ; 53
+ SQUR *AR1(036A1h), B ; 54
+ SQUR *+AR1(036A2h), A ; 55
+ SQUR *+AR1(036A3h), B ; 56
+ SQUR *+AR1(036A4h)%, A ; 57
+ SQUR *+AR1(036A5h)%, B ; 58
+ SQUR *(036A6h), A ; 59
+ SQUR *(036A7h), B ; 60
+ SQUR *AR2, A ; 61
+ SQUR *AR2, B ; 62
+ SQUR *AR2-, A ; 63
+ SQUR *AR2-, B ; 64
+ SQUR *AR2+, A ; 65
+ SQUR *AR2+, B ; 66
+ SQUR *AR2-0B, A ; 67
+ SQUR *AR2-0B, B ; 68
+ SQUR *AR2-0, A ; 69
+ SQUR *AR2-0, B ; 70
+ SQUR *AR2+0, A ; 71
+ SQUR *AR2+0, B ; 72
+ SQUR *AR2+0B, A ; 73
+ SQUR *AR2+0B, B ; 74
+ SQUR *AR2-%, A ; 75
+ SQUR *AR2-%, B ; 76
+ SQUR *AR2-0%, A ; 77
+ SQUR *AR2-0%, B ; 78
+ SQUR *AR2+%, A ; 79
+ SQUR *AR2+%, B ; 80
+ SQUR *AR2+0%, A ; 81
+ SQUR *AR2+0%, B ; 82
+ SQUR *AR2(036A8h), A ; 83
+ SQUR *AR2(036A9h), B ; 84
+ SQUR *+AR2(036AAh), A ; 85
+ SQUR *+AR2(036ABh), B ; 86
+ SQUR *+AR2(036ACh)%, A ; 87
+ SQUR *+AR2(036ADh)%, B ; 88
+ SQUR *(036AEh), A ; 89
+ SQUR *(036AFh), B ; 90
+ SQUR *AR3, A ; 91
+ SQUR *AR3, B ; 92
+ SQUR *AR3-, A ; 93
+ SQUR *AR3-, B ; 94
+ SQUR *AR3+, A ; 95
+ SQUR *AR3+, B ; 96
+ SQUR *AR3-0B, A ; 97
+ SQUR *AR3-0B, B ; 98
+ SQUR *AR3-0, A ; 99
+ SQUR *AR3-0, B ; 100
+ SQUR *AR3+0, A ; 101
+ SQUR *AR3+0, B ; 102
+ SQUR *AR3+0B, A ; 103
+ SQUR *AR3+0B, B ; 104
+ SQUR *AR3-%, A ; 105
+ SQUR *AR3-%, B ; 106
+ SQUR *AR3-0%, A ; 107
+ SQUR *AR3-0%, B ; 108
+ SQUR *AR3+%, A ; 109
+ SQUR *AR3+%, B ; 110
+ SQUR *AR3+0%, A ; 111
+ SQUR *AR3+0%, B ; 112
+ SQUR *AR3(036B0h), A ; 113
+ SQUR *AR3(036B1h), B ; 114
+ SQUR *+AR3(036B2h), A ; 115
+ SQUR *+AR3(036B3h), B ; 116
+ SQUR *+AR3(036B4h)%, A ; 117
+ SQUR *+AR3(036B5h)%, B ; 118
+ SQUR *(036B6h), A ; 119
+ SQUR *(036B7h), B ; 120
+ SQUR *AR4, A ; 121
+ SQUR *AR4, B ; 122
+ SQUR *AR4-, A ; 123
+ SQUR *AR4-, B ; 124
+ SQUR *AR4+, A ; 125
+ SQUR *AR4+, B ; 126
+ SQUR *AR4-0B, A ; 127
+ SQUR *AR4-0B, B ; 128
+ SQUR *AR4-0, A ; 129
+ SQUR *AR4-0, B ; 130
+ SQUR *AR4+0, A ; 131
+ SQUR *AR4+0, B ; 132
+ SQUR *AR4+0B, A ; 133
+ SQUR *AR4+0B, B ; 134
+ SQUR *AR4-%, A ; 135
+ SQUR *AR4-%, B ; 136
+ SQUR *AR4-0%, A ; 137
+ SQUR *AR4-0%, B ; 138
+ SQUR *AR4+%, A ; 139
+ SQUR *AR4+%, B ; 140
+ SQUR *AR4+0%, A ; 141
+ SQUR *AR4+0%, B ; 142
+ SQUR *AR4(036B8h), A ; 143
+ SQUR *AR4(036B9h), B ; 144
+ SQUR *+AR4(036BAh), A ; 145
+ SQUR *+AR4(036BBh), B ; 146
+ SQUR *+AR4(036BCh)%, A ; 147
+ SQUR *+AR4(036BDh)%, B ; 148
+ SQUR *(036BEh), A ; 149
+ SQUR *(036BFh), B ; 150
+ SQUR *AR5, A ; 151
+ SQUR *AR5, B ; 152
+ SQUR *AR5-, A ; 153
+ SQUR *AR5-, B ; 154
+ SQUR *AR5+, A ; 155
+ SQUR *AR5+, B ; 156
+ SQUR *AR5-0B, A ; 157
+ SQUR *AR5-0B, B ; 158
+ SQUR *AR5-0, A ; 159
+ SQUR *AR5-0, B ; 160
+ SQUR *AR5+0, A ; 161
+ SQUR *AR5+0, B ; 162
+ SQUR *AR5+0B, A ; 163
+ SQUR *AR5+0B, B ; 164
+ SQUR *AR5-%, A ; 165
+ SQUR *AR5-%, B ; 166
+ SQUR *AR5-0%, A ; 167
+ SQUR *AR5-0%, B ; 168
+ SQUR *AR5+%, A ; 169
+ SQUR *AR5+%, B ; 170
+ SQUR *AR5+0%, A ; 171
+ SQUR *AR5+0%, B ; 172
+ SQUR *AR5(036C0h), A ; 173
+ SQUR *AR5(036C1h), B ; 174
+ SQUR *+AR5(036C2h), A ; 175
+ SQUR *+AR5(036C3h), B ; 176
+ SQUR *+AR5(036C4h)%, A ; 177
+ SQUR *+AR5(036C5h)%, B ; 178
+ SQUR *(036C6h), A ; 179
+ SQUR *(036C7h), B ; 180
+ SQUR *AR6, A ; 181
+ SQUR *AR6, B ; 182
+ SQUR *AR6-, A ; 183
+ SQUR *AR6-, B ; 184
+ SQUR *AR6+, A ; 185
+ SQUR *AR6+, B ; 186
+ SQUR *AR6-0B, A ; 187
+ SQUR *AR6-0B, B ; 188
+ SQUR *AR6-0, A ; 189
+ SQUR *AR6-0, B ; 190
+ SQUR *AR6+0, A ; 191
+ SQUR *AR6+0, B ; 192
+ SQUR *AR6+0B, A ; 193
+ SQUR *AR6+0B, B ; 194
+ SQUR *AR6-%, A ; 195
+ SQUR *AR6-%, B ; 196
+ SQUR *AR6-0%, A ; 197
+ SQUR *AR6-0%, B ; 198
+ SQUR *AR6+%, A ; 199
+ SQUR *AR6+%, B ; 200
+ SQUR *AR6+0%, A ; 201
+ SQUR *AR6+0%, B ; 202
+ SQUR *AR6(036C8h), A ; 203
+ SQUR *AR6(036C9h), B ; 204
+ SQUR *+AR6(036CAh), A ; 205
+ SQUR *+AR6(036CBh), B ; 206
+ SQUR *+AR6(036CCh)%, A ; 207
+ SQUR *+AR6(036CDh)%, B ; 208
+ SQUR *(036CEh), A ; 209
+ SQUR *(036CFh), B ; 210
+ SQUR *AR7, A ; 211
+ SQUR *AR7, B ; 212
+ SQUR *AR7-, A ; 213
+ SQUR *AR7-, B ; 214
+ SQUR *AR7+, A ; 215
+ SQUR *AR7+, B ; 216
+ SQUR *AR7-0B, A ; 217
+ SQUR *AR7-0B, B ; 218
+ SQUR *AR7-0, A ; 219
+ SQUR *AR7-0, B ; 220
+ SQUR *AR7+0, A ; 221
+ SQUR *AR7+0, B ; 222
+ SQUR *AR7+0B, A ; 223
+ SQUR *AR7+0B, B ; 224
+ SQUR *AR7-%, A ; 225
+ SQUR *AR7-%, B ; 226
+ SQUR *AR7-0%, A ; 227
+ SQUR *AR7-0%, B ; 228
+ SQUR *AR7+%, A ; 229
+ SQUR *AR7+%, B ; 230
+ SQUR *AR7+0%, A ; 231
+ SQUR *AR7+0%, B ; 232
+ SQUR *AR7(036D0h), A ; 233
+ SQUR *AR7(036D1h), B ; 234
+ SQUR *+AR7(036D2h), A ; 235
+ SQUR *+AR7(036D3h), B ; 236
+ SQUR *+AR7(036D4h)%, A ; 237
+ SQUR *+AR7(036D5h)%, B ; 238
+ SQUR *(036D6h), A ; 239
+ SQUR *(036D7h), B ; 240
+ ; SRCCD : SRCCD Xmem, cond4 : 192
+ SRCCD *AR2, ALT ; 1
+ SRCCD *AR2, BLT ; 2
+ SRCCD *AR2, ALEQ ; 3
+ SRCCD *AR2, BLEQ ; 4
+ SRCCD *AR2, AGT ; 5
+ SRCCD *AR2, BGT ; 6
+ SRCCD *AR2, ANEQ ; 7
+ SRCCD *AR2, BNEQ ; 8
+ SRCCD *AR2, AGEQ ; 9
+ SRCCD *AR2, BGEQ ; 10
+ SRCCD *AR2, AEQ ; 11
+ SRCCD *AR2, BEQ ; 12
+ SRCCD *AR2-, ALT ; 13
+ SRCCD *AR2-, BLT ; 14
+ SRCCD *AR2-, ALEQ ; 15
+ SRCCD *AR2-, BLEQ ; 16
+ SRCCD *AR2-, AGT ; 17
+ SRCCD *AR2-, BGT ; 18
+ SRCCD *AR2-, ANEQ ; 19
+ SRCCD *AR2-, BNEQ ; 20
+ SRCCD *AR2-, AGEQ ; 21
+ SRCCD *AR2-, BGEQ ; 22
+ SRCCD *AR2-, AEQ ; 23
+ SRCCD *AR2-, BEQ ; 24
+ SRCCD *AR2+, ALT ; 25
+ SRCCD *AR2+, BLT ; 26
+ SRCCD *AR2+, ALEQ ; 27
+ SRCCD *AR2+, BLEQ ; 28
+ SRCCD *AR2+, AGT ; 29
+ SRCCD *AR2+, BGT ; 30
+ SRCCD *AR2+, ANEQ ; 31
+ SRCCD *AR2+, BNEQ ; 32
+ SRCCD *AR2+, AGEQ ; 33
+ SRCCD *AR2+, BGEQ ; 34
+ SRCCD *AR2+, AEQ ; 35
+ SRCCD *AR2+, BEQ ; 36
+ SRCCD *AR2+0%, ALT ; 37
+ SRCCD *AR2+0%, BLT ; 38
+ SRCCD *AR2+0%, ALEQ ; 39
+ SRCCD *AR2+0%, BLEQ ; 40
+ SRCCD *AR2+0%, AGT ; 41
+ SRCCD *AR2+0%, BGT ; 42
+ SRCCD *AR2+0%, ANEQ ; 43
+ SRCCD *AR2+0%, BNEQ ; 44
+ SRCCD *AR2+0%, AGEQ ; 45
+ SRCCD *AR2+0%, BGEQ ; 46
+ SRCCD *AR2+0%, AEQ ; 47
+ SRCCD *AR2+0%, BEQ ; 48
+ SRCCD *AR3, ALT ; 49
+ SRCCD *AR3, BLT ; 50
+ SRCCD *AR3, ALEQ ; 51
+ SRCCD *AR3, BLEQ ; 52
+ SRCCD *AR3, AGT ; 53
+ SRCCD *AR3, BGT ; 54
+ SRCCD *AR3, ANEQ ; 55
+ SRCCD *AR3, BNEQ ; 56
+ SRCCD *AR3, AGEQ ; 57
+ SRCCD *AR3, BGEQ ; 58
+ SRCCD *AR3, AEQ ; 59
+ SRCCD *AR3, BEQ ; 60
+ SRCCD *AR3-, ALT ; 61
+ SRCCD *AR3-, BLT ; 62
+ SRCCD *AR3-, ALEQ ; 63
+ SRCCD *AR3-, BLEQ ; 64
+ SRCCD *AR3-, AGT ; 65
+ SRCCD *AR3-, BGT ; 66
+ SRCCD *AR3-, ANEQ ; 67
+ SRCCD *AR3-, BNEQ ; 68
+ SRCCD *AR3-, AGEQ ; 69
+ SRCCD *AR3-, BGEQ ; 70
+ SRCCD *AR3-, AEQ ; 71
+ SRCCD *AR3-, BEQ ; 72
+ SRCCD *AR3+, ALT ; 73
+ SRCCD *AR3+, BLT ; 74
+ SRCCD *AR3+, ALEQ ; 75
+ SRCCD *AR3+, BLEQ ; 76
+ SRCCD *AR3+, AGT ; 77
+ SRCCD *AR3+, BGT ; 78
+ SRCCD *AR3+, ANEQ ; 79
+ SRCCD *AR3+, BNEQ ; 80
+ SRCCD *AR3+, AGEQ ; 81
+ SRCCD *AR3+, BGEQ ; 82
+ SRCCD *AR3+, AEQ ; 83
+ SRCCD *AR3+, BEQ ; 84
+ SRCCD *AR3+0%, ALT ; 85
+ SRCCD *AR3+0%, BLT ; 86
+ SRCCD *AR3+0%, ALEQ ; 87
+ SRCCD *AR3+0%, BLEQ ; 88
+ SRCCD *AR3+0%, AGT ; 89
+ SRCCD *AR3+0%, BGT ; 90
+ SRCCD *AR3+0%, ANEQ ; 91
+ SRCCD *AR3+0%, BNEQ ; 92
+ SRCCD *AR3+0%, AGEQ ; 93
+ SRCCD *AR3+0%, BGEQ ; 94
+ SRCCD *AR3+0%, AEQ ; 95
+ SRCCD *AR3+0%, BEQ ; 96
+ SRCCD *AR4, ALT ; 97
+ SRCCD *AR4, BLT ; 98
+ SRCCD *AR4, ALEQ ; 99
+ SRCCD *AR4, BLEQ ; 100
+ SRCCD *AR4, AGT ; 101
+ SRCCD *AR4, BGT ; 102
+ SRCCD *AR4, ANEQ ; 103
+ SRCCD *AR4, BNEQ ; 104
+ SRCCD *AR4, AGEQ ; 105
+ SRCCD *AR4, BGEQ ; 106
+ SRCCD *AR4, AEQ ; 107
+ SRCCD *AR4, BEQ ; 108
+ SRCCD *AR4-, ALT ; 109
+ SRCCD *AR4-, BLT ; 110
+ SRCCD *AR4-, ALEQ ; 111
+ SRCCD *AR4-, BLEQ ; 112
+ SRCCD *AR4-, AGT ; 113
+ SRCCD *AR4-, BGT ; 114
+ SRCCD *AR4-, ANEQ ; 115
+ SRCCD *AR4-, BNEQ ; 116
+ SRCCD *AR4-, AGEQ ; 117
+ SRCCD *AR4-, BGEQ ; 118
+ SRCCD *AR4-, AEQ ; 119
+ SRCCD *AR4-, BEQ ; 120
+ SRCCD *AR4+, ALT ; 121
+ SRCCD *AR4+, BLT ; 122
+ SRCCD *AR4+, ALEQ ; 123
+ SRCCD *AR4+, BLEQ ; 124
+ SRCCD *AR4+, AGT ; 125
+ SRCCD *AR4+, BGT ; 126
+ SRCCD *AR4+, ANEQ ; 127
+ SRCCD *AR4+, BNEQ ; 128
+ SRCCD *AR4+, AGEQ ; 129
+ SRCCD *AR4+, BGEQ ; 130
+ SRCCD *AR4+, AEQ ; 131
+ SRCCD *AR4+, BEQ ; 132
+ SRCCD *AR4+0%, ALT ; 133
+ SRCCD *AR4+0%, BLT ; 134
+ SRCCD *AR4+0%, ALEQ ; 135
+ SRCCD *AR4+0%, BLEQ ; 136
+ SRCCD *AR4+0%, AGT ; 137
+ SRCCD *AR4+0%, BGT ; 138
+ SRCCD *AR4+0%, ANEQ ; 139
+ SRCCD *AR4+0%, BNEQ ; 140
+ SRCCD *AR4+0%, AGEQ ; 141
+ SRCCD *AR4+0%, BGEQ ; 142
+ SRCCD *AR4+0%, AEQ ; 143
+ SRCCD *AR4+0%, BEQ ; 144
+ SRCCD *AR5, ALT ; 145
+ SRCCD *AR5, BLT ; 146
+ SRCCD *AR5, ALEQ ; 147
+ SRCCD *AR5, BLEQ ; 148
+ SRCCD *AR5, AGT ; 149
+ SRCCD *AR5, BGT ; 150
+ SRCCD *AR5, ANEQ ; 151
+ SRCCD *AR5, BNEQ ; 152
+ SRCCD *AR5, AGEQ ; 153
+ SRCCD *AR5, BGEQ ; 154
+ SRCCD *AR5, AEQ ; 155
+ SRCCD *AR5, BEQ ; 156
+ SRCCD *AR5-, ALT ; 157
+ SRCCD *AR5-, BLT ; 158
+ SRCCD *AR5-, ALEQ ; 159
+ SRCCD *AR5-, BLEQ ; 160
+ SRCCD *AR5-, AGT ; 161
+ SRCCD *AR5-, BGT ; 162
+ SRCCD *AR5-, ANEQ ; 163
+ SRCCD *AR5-, BNEQ ; 164
+ SRCCD *AR5-, AGEQ ; 165
+ SRCCD *AR5-, BGEQ ; 166
+ SRCCD *AR5-, AEQ ; 167
+ SRCCD *AR5-, BEQ ; 168
+ SRCCD *AR5+, ALT ; 169
+ SRCCD *AR5+, BLT ; 170
+ SRCCD *AR5+, ALEQ ; 171
+ SRCCD *AR5+, BLEQ ; 172
+ SRCCD *AR5+, AGT ; 173
+ SRCCD *AR5+, BGT ; 174
+ SRCCD *AR5+, ANEQ ; 175
+ SRCCD *AR5+, BNEQ ; 176
+ SRCCD *AR5+, AGEQ ; 177
+ SRCCD *AR5+, BGEQ ; 178
+ SRCCD *AR5+, AEQ ; 179
+ SRCCD *AR5+, BEQ ; 180
+ SRCCD *AR5+0%, ALT ; 181
+ SRCCD *AR5+0%, BLT ; 182
+ SRCCD *AR5+0%, ALEQ ; 183
+ SRCCD *AR5+0%, BLEQ ; 184
+ SRCCD *AR5+0%, AGT ; 185
+ SRCCD *AR5+0%, BGT ; 186
+ SRCCD *AR5+0%, ANEQ ; 187
+ SRCCD *AR5+0%, BNEQ ; 188
+ SRCCD *AR5+0%, AGEQ ; 189
+ SRCCD *AR5+0%, BGEQ ; 190
+ SRCCD *AR5+0%, AEQ ; 191
+ SRCCD *AR5+0%, BEQ ; 192
+ ; SSBX : SSBX N, SBIT : 32
+ SSBX 0, 0 ; 1
+ SSBX 0, 1 ; 2
+ SSBX 0, 2 ; 3
+ SSBX 0, 3 ; 4
+ SSBX 0, 4 ; 5
+ SSBX 0, 5 ; 6
+ SSBX 0, 6 ; 7
+ SSBX 0, 7 ; 8
+ SSBX 0, 8 ; 9
+ SSBX 0, 9 ; 10
+ SSBX 0, 10 ; 11
+ SSBX 0, 11 ; 12
+ SSBX 0, 12 ; 13
+ SSBX 0, 13 ; 14
+ SSBX 0, 14 ; 15
+ SSBX 0, 15 ; 16
+ SSBX 1, 0 ; 17
+ SSBX 1, 1 ; 18
+ SSBX 1, 2 ; 19
+ SSBX 1, 3 ; 20
+ SSBX 1, 4 ; 21
+ SSBX 1, 5 ; 22
+ SSBX 1, 6 ; 23
+ SSBX 1, 7 ; 24
+ SSBX 1, 8 ; 25
+ SSBX 1, 9 ; 26
+ SSBX 1, 10 ; 27
+ SSBX 1, 11 ; 28
+ SSBX 1, 12 ; 29
+ SSBX 1, 13 ; 30
+ SSBX 1, 14 ; 31
+ SSBX 1, 15 ; 32
+ ; STHasm : STH AB, ASM, Smem : 2
+ STH A, ASM, 7Eh ; 1
+ STH B, ASM, 7Fh ; 2
+ ; STHasm_I : STH AB, ASM, Smem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STH A, ASM, *AR0 ; 1
+ STH A, ASM, *AR0- ; 2
+ STH A, ASM, *AR0+ ; 3
+ STH A, ASM, *+AR0 ; 4
+ STH A, ASM, *AR0-0B ; 5
+ STH A, ASM, *AR0-0 ; 6
+ STH A, ASM, *AR0+0 ; 7
+ STH A, ASM, *AR0+0B ; 8
+ STH A, ASM, *AR0-% ; 9
+ STH A, ASM, *AR0-0% ; 10
+ STH A, ASM, *AR0+% ; 11
+ STH A, ASM, *AR0+0% ; 12
+ STH A, ASM, *AR0(036D8h) ; 13
+ STH A, ASM, *+AR0(036D9h) ; 14
+ STH A, ASM, *+AR0(036DAh)% ; 15
+ STH A, ASM, *(036DBh) ; 16
+ STH A, ASM, *AR1 ; 17
+ STH A, ASM, *AR1- ; 18
+ STH A, ASM, *AR1+ ; 19
+ STH A, ASM, *+AR1 ; 20
+ STH A, ASM, *AR1-0B ; 21
+ STH A, ASM, *AR1-0 ; 22
+ STH A, ASM, *AR1+0 ; 23
+ STH A, ASM, *AR1+0B ; 24
+ STH A, ASM, *AR1-% ; 25
+ STH A, ASM, *AR1-0% ; 26
+ STH A, ASM, *AR1+% ; 27
+ STH A, ASM, *AR1+0% ; 28
+ STH A, ASM, *AR1(036DCh) ; 29
+ STH A, ASM, *+AR1(036DDh) ; 30
+ STH A, ASM, *+AR1(036DEh)% ; 31
+ STH A, ASM, *(036DFh) ; 32
+ STH A, ASM, *AR2 ; 33
+ STH A, ASM, *AR2- ; 34
+ STH A, ASM, *AR2+ ; 35
+ STH A, ASM, *+AR2 ; 36
+ STH A, ASM, *AR2-0B ; 37
+ STH A, ASM, *AR2-0 ; 38
+ STH A, ASM, *AR2+0 ; 39
+ STH A, ASM, *AR2+0B ; 40
+ STH A, ASM, *AR2-% ; 41
+ STH A, ASM, *AR2-0% ; 42
+ STH A, ASM, *AR2+% ; 43
+ STH A, ASM, *AR2+0% ; 44
+ STH A, ASM, *AR2(036E0h) ; 45
+ STH A, ASM, *+AR2(036E1h) ; 46
+ STH A, ASM, *+AR2(036E2h)% ; 47
+ STH A, ASM, *(036E3h) ; 48
+ STH A, ASM, *AR3 ; 49
+ STH A, ASM, *AR3- ; 50
+ STH A, ASM, *AR3+ ; 51
+ STH A, ASM, *+AR3 ; 52
+ STH A, ASM, *AR3-0B ; 53
+ STH A, ASM, *AR3-0 ; 54
+ STH A, ASM, *AR3+0 ; 55
+ STH A, ASM, *AR3+0B ; 56
+ STH A, ASM, *AR3-% ; 57
+ STH A, ASM, *AR3-0% ; 58
+ STH A, ASM, *AR3+% ; 59
+ STH A, ASM, *AR3+0% ; 60
+ STH A, ASM, *AR3(036E4h) ; 61
+ STH A, ASM, *+AR3(036E5h) ; 62
+ STH A, ASM, *+AR3(036E6h)% ; 63
+ STH A, ASM, *(036E7h) ; 64
+ STH A, ASM, *AR4 ; 65
+ STH A, ASM, *AR4- ; 66
+ STH A, ASM, *AR4+ ; 67
+ STH A, ASM, *+AR4 ; 68
+ STH A, ASM, *AR4-0B ; 69
+ STH A, ASM, *AR4-0 ; 70
+ STH A, ASM, *AR4+0 ; 71
+ STH A, ASM, *AR4+0B ; 72
+ STH A, ASM, *AR4-% ; 73
+ STH A, ASM, *AR4-0% ; 74
+ STH A, ASM, *AR4+% ; 75
+ STH A, ASM, *AR4+0% ; 76
+ STH A, ASM, *AR4(036E8h) ; 77
+ STH A, ASM, *+AR4(036E9h) ; 78
+ STH A, ASM, *+AR4(036EAh)% ; 79
+ STH A, ASM, *(036EBh) ; 80
+ STH A, ASM, *AR5 ; 81
+ STH A, ASM, *AR5- ; 82
+ STH A, ASM, *AR5+ ; 83
+ STH A, ASM, *+AR5 ; 84
+ STH A, ASM, *AR5-0B ; 85
+ STH A, ASM, *AR5-0 ; 86
+ STH A, ASM, *AR5+0 ; 87
+ STH A, ASM, *AR5+0B ; 88
+ STH A, ASM, *AR5-% ; 89
+ STH A, ASM, *AR5-0% ; 90
+ STH A, ASM, *AR5+% ; 91
+ STH A, ASM, *AR5+0% ; 92
+ STH A, ASM, *AR5(036ECh) ; 93
+ STH A, ASM, *+AR5(036EDh) ; 94
+ STH A, ASM, *+AR5(036EEh)% ; 95
+ STH A, ASM, *(036EFh) ; 96
+ STH A, ASM, *AR6 ; 97
+ STH A, ASM, *AR6- ; 98
+ STH A, ASM, *AR6+ ; 99
+ STH A, ASM, *+AR6 ; 100
+ STH A, ASM, *AR6-0B ; 101
+ STH A, ASM, *AR6-0 ; 102
+ STH A, ASM, *AR6+0 ; 103
+ STH A, ASM, *AR6+0B ; 104
+ STH A, ASM, *AR6-% ; 105
+ STH A, ASM, *AR6-0% ; 106
+ STH A, ASM, *AR6+% ; 107
+ STH A, ASM, *AR6+0% ; 108
+ STH A, ASM, *AR6(036F0h) ; 109
+ STH A, ASM, *+AR6(036F1h) ; 110
+ STH A, ASM, *+AR6(036F2h)% ; 111
+ STH A, ASM, *(036F3h) ; 112
+ STH A, ASM, *AR7 ; 113
+ STH A, ASM, *AR7- ; 114
+ STH A, ASM, *AR7+ ; 115
+ STH A, ASM, *+AR7 ; 116
+ STH A, ASM, *AR7-0B ; 117
+ STH A, ASM, *AR7-0 ; 118
+ STH A, ASM, *AR7+0 ; 119
+ STH A, ASM, *AR7+0B ; 120
+ STH A, ASM, *AR7-% ; 121
+ STH A, ASM, *AR7-0% ; 122
+ STH A, ASM, *AR7+% ; 123
+ STH A, ASM, *AR7+0% ; 124
+ STH A, ASM, *AR7(036F4h) ; 125
+ STH A, ASM, *+AR7(036F5h) ; 126
+ STH A, ASM, *+AR7(036F6h)% ; 127
+ STH A, ASM, *(036F7h) ; 128
+ STH B, ASM, *AR0 ; 129
+ STH B, ASM, *AR0- ; 130
+ STH B, ASM, *AR0+ ; 131
+ STH B, ASM, *+AR0 ; 132
+ STH B, ASM, *AR0-0B ; 133
+ STH B, ASM, *AR0-0 ; 134
+ STH B, ASM, *AR0+0 ; 135
+ STH B, ASM, *AR0+0B ; 136
+ STH B, ASM, *AR0-% ; 137
+ STH B, ASM, *AR0-0% ; 138
+ STH B, ASM, *AR0+% ; 139
+ STH B, ASM, *AR0+0% ; 140
+ STH B, ASM, *AR0(036F8h) ; 141
+ STH B, ASM, *+AR0(036F9h) ; 142
+ STH B, ASM, *+AR0(036FAh)% ; 143
+ STH B, ASM, *(036FBh) ; 144
+ STH B, ASM, *AR1 ; 145
+ STH B, ASM, *AR1- ; 146
+ STH B, ASM, *AR1+ ; 147
+ STH B, ASM, *+AR1 ; 148
+ STH B, ASM, *AR1-0B ; 149
+ STH B, ASM, *AR1-0 ; 150
+ STH B, ASM, *AR1+0 ; 151
+ STH B, ASM, *AR1+0B ; 152
+ STH B, ASM, *AR1-% ; 153
+ STH B, ASM, *AR1-0% ; 154
+ STH B, ASM, *AR1+% ; 155
+ STH B, ASM, *AR1+0% ; 156
+ STH B, ASM, *AR1(036FCh) ; 157
+ STH B, ASM, *+AR1(036FDh) ; 158
+ STH B, ASM, *+AR1(036FEh)% ; 159
+ STH B, ASM, *(036FFh) ; 160
+ STH B, ASM, *AR2 ; 161
+ STH B, ASM, *AR2- ; 162
+ STH B, ASM, *AR2+ ; 163
+ STH B, ASM, *+AR2 ; 164
+ STH B, ASM, *AR2-0B ; 165
+ STH B, ASM, *AR2-0 ; 166
+ STH B, ASM, *AR2+0 ; 167
+ STH B, ASM, *AR2+0B ; 168
+ STH B, ASM, *AR2-% ; 169
+ STH B, ASM, *AR2-0% ; 170
+ STH B, ASM, *AR2+% ; 171
+ STH B, ASM, *AR2+0% ; 172
+ STH B, ASM, *AR2(03700h) ; 173
+ STH B, ASM, *+AR2(03701h) ; 174
+ STH B, ASM, *+AR2(03702h)% ; 175
+ STH B, ASM, *(03703h) ; 176
+ STH B, ASM, *AR3 ; 177
+ STH B, ASM, *AR3- ; 178
+ STH B, ASM, *AR3+ ; 179
+ STH B, ASM, *+AR3 ; 180
+ STH B, ASM, *AR3-0B ; 181
+ STH B, ASM, *AR3-0 ; 182
+ STH B, ASM, *AR3+0 ; 183
+ STH B, ASM, *AR3+0B ; 184
+ STH B, ASM, *AR3-% ; 185
+ STH B, ASM, *AR3-0% ; 186
+ STH B, ASM, *AR3+% ; 187
+ STH B, ASM, *AR3+0% ; 188
+ STH B, ASM, *AR3(03704h) ; 189
+ STH B, ASM, *+AR3(03705h) ; 190
+ STH B, ASM, *+AR3(03706h)% ; 191
+ STH B, ASM, *(03707h) ; 192
+ STH B, ASM, *AR4 ; 193
+ STH B, ASM, *AR4- ; 194
+ STH B, ASM, *AR4+ ; 195
+ STH B, ASM, *+AR4 ; 196
+ STH B, ASM, *AR4-0B ; 197
+ STH B, ASM, *AR4-0 ; 198
+ STH B, ASM, *AR4+0 ; 199
+ STH B, ASM, *AR4+0B ; 200
+ STH B, ASM, *AR4-% ; 201
+ STH B, ASM, *AR4-0% ; 202
+ STH B, ASM, *AR4+% ; 203
+ STH B, ASM, *AR4+0% ; 204
+ STH B, ASM, *AR4(03708h) ; 205
+ STH B, ASM, *+AR4(03709h) ; 206
+ STH B, ASM, *+AR4(0370Ah)% ; 207
+ STH B, ASM, *(0370Bh) ; 208
+ STH B, ASM, *AR5 ; 209
+ STH B, ASM, *AR5- ; 210
+ STH B, ASM, *AR5+ ; 211
+ STH B, ASM, *+AR5 ; 212
+ STH B, ASM, *AR5-0B ; 213
+ STH B, ASM, *AR5-0 ; 214
+ STH B, ASM, *AR5+0 ; 215
+ STH B, ASM, *AR5+0B ; 216
+ STH B, ASM, *AR5-% ; 217
+ STH B, ASM, *AR5-0% ; 218
+ STH B, ASM, *AR5+% ; 219
+ STH B, ASM, *AR5+0% ; 220
+ STH B, ASM, *AR5(0370Ch) ; 221
+ STH B, ASM, *+AR5(0370Dh) ; 222
+ STH B, ASM, *+AR5(0370Eh)% ; 223
+ STH B, ASM, *(0370Fh) ; 224
+ STH B, ASM, *AR6 ; 225
+ STH B, ASM, *AR6- ; 226
+ STH B, ASM, *AR6+ ; 227
+ STH B, ASM, *+AR6 ; 228
+ STH B, ASM, *AR6-0B ; 229
+ STH B, ASM, *AR6-0 ; 230
+ STH B, ASM, *AR6+0 ; 231
+ STH B, ASM, *AR6+0B ; 232
+ STH B, ASM, *AR6-% ; 233
+ STH B, ASM, *AR6-0% ; 234
+ STH B, ASM, *AR6+% ; 235
+ STH B, ASM, *AR6+0% ; 236
+ STH B, ASM, *AR6(03710h) ; 237
+ STH B, ASM, *+AR6(03711h) ; 238
+ STH B, ASM, *+AR6(03712h)% ; 239
+ STH B, ASM, *(03713h) ; 240
+ STH B, ASM, *AR7 ; 241
+ STH B, ASM, *AR7- ; 242
+ STH B, ASM, *AR7+ ; 243
+ STH B, ASM, *+AR7 ; 244
+ STH B, ASM, *AR7-0B ; 245
+ STH B, ASM, *AR7-0 ; 246
+ STH B, ASM, *AR7+0 ; 247
+ STH B, ASM, *AR7+0B ; 248
+ STH B, ASM, *AR7-% ; 249
+ STH B, ASM, *AR7-0% ; 250
+ STH B, ASM, *AR7+% ; 251
+ STH B, ASM, *AR7+0% ; 252
+ STH B, ASM, *AR7(03714h) ; 253
+ STH B, ASM, *+AR7(03715h) ; 254
+ STH B, ASM, *+AR7(03716h)% ; 255
+ STH B, ASM, *(03717h) ; 256
+ ; STHsmem : STH AB, Smem : 2
+ STH A, 00h ; 1
+ STH B, 01h ; 2
+ ; STHsmemShift : STH AB, SHIFT, Smem : 66
+ STH A, 02h ; 1
+ STH A, -16, 03h ; 2
+ STH A, -15, 04h ; 3
+ STH A, -14, 05h ; 4
+ STH A, -13, 06h ; 5
+ STH A, -12, 07h ; 6
+ STH A, -11, 08h ; 7
+ STH A, -10, 09h ; 8
+ STH A, -9, 0Ah ; 9
+ STH A, -8, 0Bh ; 10
+ STH A, -7, 0Ch ; 11
+ STH A, -6, 0Dh ; 12
+ STH A, -5, 0Eh ; 13
+ STH A, -4, 0Fh ; 14
+ STH A, -3, 10h ; 15
+ STH A, -2, 11h ; 16
+ STH A, -1, 12h ; 17
+ STH A, 0, 13h ; 18
+ STH A, 1, 14h ; 19
+ STH A, 2, 15h ; 20
+ STH A, 3, 16h ; 21
+ STH A, 4, 17h ; 22
+ STH A, 5, 18h ; 23
+ STH A, 6, 19h ; 24
+ STH A, 7, 1Ah ; 25
+ STH A, 8, 1Bh ; 26
+ STH A, 9, 1Ch ; 27
+ STH A, 10, 1Dh ; 28
+ STH A, 11, 1Eh ; 29
+ STH A, 12, 1Fh ; 30
+ STH A, 13, 20h ; 31
+ STH A, 14, 21h ; 32
+ STH A, 15, 22h ; 33
+ STH B, 23h ; 34
+ STH B, -16, 24h ; 35
+ STH B, -15, 25h ; 36
+ STH B, -14, 26h ; 37
+ STH B, -13, 27h ; 38
+ STH B, -12, 28h ; 39
+ STH B, -11, 29h ; 40
+ STH B, -10, 2Ah ; 41
+ STH B, -9, 2Bh ; 42
+ STH B, -8, 2Ch ; 43
+ STH B, -7, 2Dh ; 44
+ STH B, -6, 2Eh ; 45
+ STH B, -5, 2Fh ; 46
+ STH B, -4, 30h ; 47
+ STH B, -3, 31h ; 48
+ STH B, -2, 32h ; 49
+ STH B, -1, 33h ; 50
+ STH B, 0, 34h ; 51
+ STH B, 1, 35h ; 52
+ STH B, 2, 36h ; 53
+ STH B, 3, 37h ; 54
+ STH B, 4, 38h ; 55
+ STH B, 5, 39h ; 56
+ STH B, 6, 3Ah ; 57
+ STH B, 7, 3Bh ; 58
+ STH B, 8, 3Ch ; 59
+ STH B, 9, 3Dh ; 60
+ STH B, 10, 3Eh ; 61
+ STH B, 11, 3Fh ; 62
+ STH B, 12, 40h ; 63
+ STH B, 13, 41h ; 64
+ STH B, 14, 42h ; 65
+ STH B, 15, 43h ; 66
+ ; STHsmemShift_I : STH AB, SHIFT, Smem_I : 8448
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STH A, *AR0 ; 1
+ STH A, *AR0- ; 2
+ STH A, *AR0+ ; 3
+ STH A, *+AR0 ; 4
+ STH A, *AR0-0B ; 5
+ STH A, *AR0-0 ; 6
+ STH A, *AR0+0 ; 7
+ STH A, *AR0+0B ; 8
+ STH A, *AR0-% ; 9
+ STH A, *AR0-0% ; 10
+ STH A, *AR0+% ; 11
+ STH A, *AR0+0% ; 12
+ STH A, *AR0(03718h) ; 13
+ STH A, *+AR0(03719h) ; 14
+ STH A, *+AR0(0371Ah)% ; 15
+ STH A, *(0371Bh) ; 16
+ STH A, *AR1 ; 17
+ STH A, *AR1- ; 18
+ STH A, *AR1+ ; 19
+ STH A, *+AR1 ; 20
+ STH A, *AR1-0B ; 21
+ STH A, *AR1-0 ; 22
+ STH A, *AR1+0 ; 23
+ STH A, *AR1+0B ; 24
+ STH A, *AR1-% ; 25
+ STH A, *AR1-0% ; 26
+ STH A, *AR1+% ; 27
+ STH A, *AR1+0% ; 28
+ STH A, *AR1(0371Ch) ; 29
+ STH A, *+AR1(0371Dh) ; 30
+ STH A, *+AR1(0371Eh)% ; 31
+ STH A, *(0371Fh) ; 32
+ STH A, *AR2 ; 33
+ STH A, *AR2- ; 34
+ STH A, *AR2+ ; 35
+ STH A, *+AR2 ; 36
+ STH A, *AR2-0B ; 37
+ STH A, *AR2-0 ; 38
+ STH A, *AR2+0 ; 39
+ STH A, *AR2+0B ; 40
+ STH A, *AR2-% ; 41
+ STH A, *AR2-0% ; 42
+ STH A, *AR2+% ; 43
+ STH A, *AR2+0% ; 44
+ STH A, *AR2(03720h) ; 45
+ STH A, *+AR2(03721h) ; 46
+ STH A, *+AR2(03722h)% ; 47
+ STH A, *(03723h) ; 48
+ STH A, *AR3 ; 49
+ STH A, *AR3- ; 50
+ STH A, *AR3+ ; 51
+ STH A, *+AR3 ; 52
+ STH A, *AR3-0B ; 53
+ STH A, *AR3-0 ; 54
+ STH A, *AR3+0 ; 55
+ STH A, *AR3+0B ; 56
+ STH A, *AR3-% ; 57
+ STH A, *AR3-0% ; 58
+ STH A, *AR3+% ; 59
+ STH A, *AR3+0% ; 60
+ STH A, *AR3(03724h) ; 61
+ STH A, *+AR3(03725h) ; 62
+ STH A, *+AR3(03726h)% ; 63
+ STH A, *(03727h) ; 64
+ STH A, *AR4 ; 65
+ STH A, *AR4- ; 66
+ STH A, *AR4+ ; 67
+ STH A, *+AR4 ; 68
+ STH A, *AR4-0B ; 69
+ STH A, *AR4-0 ; 70
+ STH A, *AR4+0 ; 71
+ STH A, *AR4+0B ; 72
+ STH A, *AR4-% ; 73
+ STH A, *AR4-0% ; 74
+ STH A, *AR4+% ; 75
+ STH A, *AR4+0% ; 76
+ STH A, *AR4(03728h) ; 77
+ STH A, *+AR4(03729h) ; 78
+ STH A, *+AR4(0372Ah)% ; 79
+ STH A, *(0372Bh) ; 80
+ STH A, *AR5 ; 81
+ STH A, *AR5- ; 82
+ STH A, *AR5+ ; 83
+ STH A, *+AR5 ; 84
+ STH A, *AR5-0B ; 85
+ STH A, *AR5-0 ; 86
+ STH A, *AR5+0 ; 87
+ STH A, *AR5+0B ; 88
+ STH A, *AR5-% ; 89
+ STH A, *AR5-0% ; 90
+ STH A, *AR5+% ; 91
+ STH A, *AR5+0% ; 92
+ STH A, *AR5(0372Ch) ; 93
+ STH A, *+AR5(0372Dh) ; 94
+ STH A, *+AR5(0372Eh)% ; 95
+ STH A, *(0372Fh) ; 96
+ STH A, *AR6 ; 97
+ STH A, *AR6- ; 98
+ STH A, *AR6+ ; 99
+ STH A, *+AR6 ; 100
+ STH A, *AR6-0B ; 101
+ STH A, *AR6-0 ; 102
+ STH A, *AR6+0 ; 103
+ STH A, *AR6+0B ; 104
+ STH A, *AR6-% ; 105
+ STH A, *AR6-0% ; 106
+ STH A, *AR6+% ; 107
+ STH A, *AR6+0% ; 108
+ STH A, *AR6(03730h) ; 109
+ STH A, *+AR6(03731h) ; 110
+ STH A, *+AR6(03732h)% ; 111
+ STH A, *(03733h) ; 112
+ STH A, *AR7 ; 113
+ STH A, *AR7- ; 114
+ STH A, *AR7+ ; 115
+ STH A, *+AR7 ; 116
+ STH A, *AR7-0B ; 117
+ STH A, *AR7-0 ; 118
+ STH A, *AR7+0 ; 119
+ STH A, *AR7+0B ; 120
+ STH A, *AR7-% ; 121
+ STH A, *AR7-0% ; 122
+ STH A, *AR7+% ; 123
+ STH A, *AR7+0% ; 124
+ STH A, *AR7(03734h) ; 125
+ STH A, *+AR7(03735h) ; 126
+ STH A, *+AR7(03736h)% ; 127
+ STH A, *(03737h) ; 128
+ STH A, -16, *AR0 ; 129
+ STH A, -16, *AR0- ; 130
+ STH A, -16, *AR0+ ; 131
+ STH A, -16, *+AR0 ; 132
+ STH A, -16, *AR0-0B ; 133
+ STH A, -16, *AR0-0 ; 134
+ STH A, -16, *AR0+0 ; 135
+ STH A, -16, *AR0+0B ; 136
+ STH A, -16, *AR0-% ; 137
+ STH A, -16, *AR0-0% ; 138
+ STH A, -16, *AR0+% ; 139
+ STH A, -16, *AR0+0% ; 140
+ STH A, -16, *AR0(03738h) ; 141
+ STH A, -16, *+AR0(03739h) ; 142
+ STH A, -16, *+AR0(0373Ah)% ; 143
+ STH A, -16, *(0373Bh) ; 144
+ STH A, -16, *AR1 ; 145
+ STH A, -16, *AR1- ; 146
+ STH A, -16, *AR1+ ; 147
+ STH A, -16, *+AR1 ; 148
+ STH A, -16, *AR1-0B ; 149
+ STH A, -16, *AR1-0 ; 150
+ STH A, -16, *AR1+0 ; 151
+ STH A, -16, *AR1+0B ; 152
+ STH A, -16, *AR1-% ; 153
+ STH A, -16, *AR1-0% ; 154
+ STH A, -16, *AR1+% ; 155
+ STH A, -16, *AR1+0% ; 156
+ STH A, -16, *AR1(0373Ch) ; 157
+ STH A, -16, *+AR1(0373Dh) ; 158
+ STH A, -16, *+AR1(0373Eh)% ; 159
+ STH A, -16, *(0373Fh) ; 160
+ STH A, -16, *AR2 ; 161
+ STH A, -16, *AR2- ; 162
+ STH A, -16, *AR2+ ; 163
+ STH A, -16, *+AR2 ; 164
+ STH A, -16, *AR2-0B ; 165
+ STH A, -16, *AR2-0 ; 166
+ STH A, -16, *AR2+0 ; 167
+ STH A, -16, *AR2+0B ; 168
+ STH A, -16, *AR2-% ; 169
+ STH A, -16, *AR2-0% ; 170
+ STH A, -16, *AR2+% ; 171
+ STH A, -16, *AR2+0% ; 172
+ STH A, -16, *AR2(03740h) ; 173
+ STH A, -16, *+AR2(03741h) ; 174
+ STH A, -16, *+AR2(03742h)% ; 175
+ STH A, -16, *(03743h) ; 176
+ STH A, -16, *AR3 ; 177
+ STH A, -16, *AR3- ; 178
+ STH A, -16, *AR3+ ; 179
+ STH A, -16, *+AR3 ; 180
+ STH A, -16, *AR3-0B ; 181
+ STH A, -16, *AR3-0 ; 182
+ STH A, -16, *AR3+0 ; 183
+ STH A, -16, *AR3+0B ; 184
+ STH A, -16, *AR3-% ; 185
+ STH A, -16, *AR3-0% ; 186
+ STH A, -16, *AR3+% ; 187
+ STH A, -16, *AR3+0% ; 188
+ STH A, -16, *AR3(03744h) ; 189
+ STH A, -16, *+AR3(03745h) ; 190
+ STH A, -16, *+AR3(03746h)% ; 191
+ STH A, -16, *(03747h) ; 192
+ STH A, -16, *AR4 ; 193
+ STH A, -16, *AR4- ; 194
+ STH A, -16, *AR4+ ; 195
+ STH A, -16, *+AR4 ; 196
+ STH A, -16, *AR4-0B ; 197
+ STH A, -16, *AR4-0 ; 198
+ STH A, -16, *AR4+0 ; 199
+ STH A, -16, *AR4+0B ; 200
+ STH A, -16, *AR4-% ; 201
+ STH A, -16, *AR4-0% ; 202
+ STH A, -16, *AR4+% ; 203
+ STH A, -16, *AR4+0% ; 204
+ STH A, -16, *AR4(03748h) ; 205
+ STH A, -16, *+AR4(03749h) ; 206
+ STH A, -16, *+AR4(0374Ah)% ; 207
+ STH A, -16, *(0374Bh) ; 208
+ STH A, -16, *AR5 ; 209
+ STH A, -16, *AR5- ; 210
+ STH A, -16, *AR5+ ; 211
+ STH A, -16, *+AR5 ; 212
+ STH A, -16, *AR5-0B ; 213
+ STH A, -16, *AR5-0 ; 214
+ STH A, -16, *AR5+0 ; 215
+ STH A, -16, *AR5+0B ; 216
+ STH A, -16, *AR5-% ; 217
+ STH A, -16, *AR5-0% ; 218
+ STH A, -16, *AR5+% ; 219
+ STH A, -16, *AR5+0% ; 220
+ STH A, -16, *AR5(0374Ch) ; 221
+ STH A, -16, *+AR5(0374Dh) ; 222
+ STH A, -16, *+AR5(0374Eh)% ; 223
+ STH A, -16, *(0374Fh) ; 224
+ STH A, -16, *AR6 ; 225
+ STH A, -16, *AR6- ; 226
+ STH A, -16, *AR6+ ; 227
+ STH A, -16, *+AR6 ; 228
+ STH A, -16, *AR6-0B ; 229
+ STH A, -16, *AR6-0 ; 230
+ STH A, -16, *AR6+0 ; 231
+ STH A, -16, *AR6+0B ; 232
+ STH A, -16, *AR6-% ; 233
+ STH A, -16, *AR6-0% ; 234
+ STH A, -16, *AR6+% ; 235
+ STH A, -16, *AR6+0% ; 236
+ STH A, -16, *AR6(03750h) ; 237
+ STH A, -16, *+AR6(03751h) ; 238
+ STH A, -16, *+AR6(03752h)% ; 239
+ STH A, -16, *(03753h) ; 240
+ STH A, -16, *AR7 ; 241
+ STH A, -16, *AR7- ; 242
+ STH A, -16, *AR7+ ; 243
+ STH A, -16, *+AR7 ; 244
+ STH A, -16, *AR7-0B ; 245
+ STH A, -16, *AR7-0 ; 246
+ STH A, -16, *AR7+0 ; 247
+ STH A, -16, *AR7+0B ; 248
+ STH A, -16, *AR7-% ; 249
+ STH A, -16, *AR7-0% ; 250
+ STH A, -16, *AR7+% ; 251
+ STH A, -16, *AR7+0% ; 252
+ STH A, -16, *AR7(03754h) ; 253
+ STH A, -16, *+AR7(03755h) ; 254
+ STH A, -16, *+AR7(03756h)% ; 255
+ STH A, -16, *(03757h) ; 256
+ STH A, -15, *AR0 ; 257
+ STH A, -15, *AR0- ; 258
+ STH A, -15, *AR0+ ; 259
+ STH A, -15, *+AR0 ; 260
+ STH A, -15, *AR0-0B ; 261
+ STH A, -15, *AR0-0 ; 262
+ STH A, -15, *AR0+0 ; 263
+ STH A, -15, *AR0+0B ; 264
+ STH A, -15, *AR0-% ; 265
+ STH A, -15, *AR0-0% ; 266
+ STH A, -15, *AR0+% ; 267
+ STH A, -15, *AR0+0% ; 268
+ STH A, -15, *AR0(03758h) ; 269
+ STH A, -15, *+AR0(03759h) ; 270
+ STH A, -15, *+AR0(0375Ah)% ; 271
+ STH A, -15, *(0375Bh) ; 272
+ STH A, -15, *AR1 ; 273
+ STH A, -15, *AR1- ; 274
+ STH A, -15, *AR1+ ; 275
+ STH A, -15, *+AR1 ; 276
+ STH A, -15, *AR1-0B ; 277
+ STH A, -15, *AR1-0 ; 278
+ STH A, -15, *AR1+0 ; 279
+ STH A, -15, *AR1+0B ; 280
+ STH A, -15, *AR1-% ; 281
+ STH A, -15, *AR1-0% ; 282
+ STH A, -15, *AR1+% ; 283
+ STH A, -15, *AR1+0% ; 284
+ STH A, -15, *AR1(0375Ch) ; 285
+ STH A, -15, *+AR1(0375Dh) ; 286
+ STH A, -15, *+AR1(0375Eh)% ; 287
+ STH A, -15, *(0375Fh) ; 288
+ STH A, -15, *AR2 ; 289
+ STH A, -15, *AR2- ; 290
+ STH A, -15, *AR2+ ; 291
+ STH A, -15, *+AR2 ; 292
+ STH A, -15, *AR2-0B ; 293
+ STH A, -15, *AR2-0 ; 294
+ STH A, -15, *AR2+0 ; 295
+ STH A, -15, *AR2+0B ; 296
+ STH A, -15, *AR2-% ; 297
+ STH A, -15, *AR2-0% ; 298
+ STH A, -15, *AR2+% ; 299
+ STH A, -15, *AR2+0% ; 300
+ STH A, -15, *AR2(03760h) ; 301
+ STH A, -15, *+AR2(03761h) ; 302
+ STH A, -15, *+AR2(03762h)% ; 303
+ STH A, -15, *(03763h) ; 304
+ STH A, -15, *AR3 ; 305
+ STH A, -15, *AR3- ; 306
+ STH A, -15, *AR3+ ; 307
+ STH A, -15, *+AR3 ; 308
+ STH A, -15, *AR3-0B ; 309
+ STH A, -15, *AR3-0 ; 310
+ STH A, -15, *AR3+0 ; 311
+ STH A, -15, *AR3+0B ; 312
+ STH A, -15, *AR3-% ; 313
+ STH A, -15, *AR3-0% ; 314
+ STH A, -15, *AR3+% ; 315
+ STH A, -15, *AR3+0% ; 316
+ STH A, -15, *AR3(03764h) ; 317
+ STH A, -15, *+AR3(03765h) ; 318
+ STH A, -15, *+AR3(03766h)% ; 319
+ STH A, -15, *(03767h) ; 320
+ STH A, -15, *AR4 ; 321
+ STH A, -15, *AR4- ; 322
+ STH A, -15, *AR4+ ; 323
+ STH A, -15, *+AR4 ; 324
+ STH A, -15, *AR4-0B ; 325
+ STH A, -15, *AR4-0 ; 326
+ STH A, -15, *AR4+0 ; 327
+ STH A, -15, *AR4+0B ; 328
+ STH A, -15, *AR4-% ; 329
+ STH A, -15, *AR4-0% ; 330
+ STH A, -15, *AR4+% ; 331
+ STH A, -15, *AR4+0% ; 332
+ STH A, -15, *AR4(03768h) ; 333
+ STH A, -15, *+AR4(03769h) ; 334
+ STH A, -15, *+AR4(0376Ah)% ; 335
+ STH A, -15, *(0376Bh) ; 336
+ STH A, -15, *AR5 ; 337
+ STH A, -15, *AR5- ; 338
+ STH A, -15, *AR5+ ; 339
+ STH A, -15, *+AR5 ; 340
+ STH A, -15, *AR5-0B ; 341
+ STH A, -15, *AR5-0 ; 342
+ STH A, -15, *AR5+0 ; 343
+ STH A, -15, *AR5+0B ; 344
+ STH A, -15, *AR5-% ; 345
+ STH A, -15, *AR5-0% ; 346
+ STH A, -15, *AR5+% ; 347
+ STH A, -15, *AR5+0% ; 348
+ STH A, -15, *AR5(0376Ch) ; 349
+ STH A, -15, *+AR5(0376Dh) ; 350
+ STH A, -15, *+AR5(0376Eh)% ; 351
+ STH A, -15, *(0376Fh) ; 352
+ STH A, -15, *AR6 ; 353
+ STH A, -15, *AR6- ; 354
+ STH A, -15, *AR6+ ; 355
+ STH A, -15, *+AR6 ; 356
+ STH A, -15, *AR6-0B ; 357
+ STH A, -15, *AR6-0 ; 358
+ STH A, -15, *AR6+0 ; 359
+ STH A, -15, *AR6+0B ; 360
+ STH A, -15, *AR6-% ; 361
+ STH A, -15, *AR6-0% ; 362
+ STH A, -15, *AR6+% ; 363
+ STH A, -15, *AR6+0% ; 364
+ STH A, -15, *AR6(03770h) ; 365
+ STH A, -15, *+AR6(03771h) ; 366
+ STH A, -15, *+AR6(03772h)% ; 367
+ STH A, -15, *(03773h) ; 368
+ STH A, -15, *AR7 ; 369
+ STH A, -15, *AR7- ; 370
+ STH A, -15, *AR7+ ; 371
+ STH A, -15, *+AR7 ; 372
+ STH A, -15, *AR7-0B ; 373
+ STH A, -15, *AR7-0 ; 374
+ STH A, -15, *AR7+0 ; 375
+ STH A, -15, *AR7+0B ; 376
+ STH A, -15, *AR7-% ; 377
+ STH A, -15, *AR7-0% ; 378
+ STH A, -15, *AR7+% ; 379
+ STH A, -15, *AR7+0% ; 380
+ STH A, -15, *AR7(03774h) ; 381
+ STH A, -15, *+AR7(03775h) ; 382
+ STH A, -15, *+AR7(03776h)% ; 383
+ STH A, -15, *(03777h) ; 384
+ STH A, -14, *AR0 ; 385
+ STH A, -14, *AR0- ; 386
+ STH A, -14, *AR0+ ; 387
+ STH A, -14, *+AR0 ; 388
+ STH A, -14, *AR0-0B ; 389
+ STH A, -14, *AR0-0 ; 390
+ STH A, -14, *AR0+0 ; 391
+ STH A, -14, *AR0+0B ; 392
+ STH A, -14, *AR0-% ; 393
+ STH A, -14, *AR0-0% ; 394
+ STH A, -14, *AR0+% ; 395
+ STH A, -14, *AR0+0% ; 396
+ STH A, -14, *AR0(03778h) ; 397
+ STH A, -14, *+AR0(03779h) ; 398
+ STH A, -14, *+AR0(0377Ah)% ; 399
+ STH A, -14, *(0377Bh) ; 400
+ STH A, -14, *AR1 ; 401
+ STH A, -14, *AR1- ; 402
+ STH A, -14, *AR1+ ; 403
+ STH A, -14, *+AR1 ; 404
+ STH A, -14, *AR1-0B ; 405
+ STH A, -14, *AR1-0 ; 406
+ STH A, -14, *AR1+0 ; 407
+ STH A, -14, *AR1+0B ; 408
+ STH A, -14, *AR1-% ; 409
+ STH A, -14, *AR1-0% ; 410
+ STH A, -14, *AR1+% ; 411
+ STH A, -14, *AR1+0% ; 412
+ STH A, -14, *AR1(0377Ch) ; 413
+ STH A, -14, *+AR1(0377Dh) ; 414
+ STH A, -14, *+AR1(0377Eh)% ; 415
+ STH A, -14, *(0377Fh) ; 416
+ STH A, -14, *AR2 ; 417
+ STH A, -14, *AR2- ; 418
+ STH A, -14, *AR2+ ; 419
+ STH A, -14, *+AR2 ; 420
+ STH A, -14, *AR2-0B ; 421
+ STH A, -14, *AR2-0 ; 422
+ STH A, -14, *AR2+0 ; 423
+ STH A, -14, *AR2+0B ; 424
+ STH A, -14, *AR2-% ; 425
+ STH A, -14, *AR2-0% ; 426
+ STH A, -14, *AR2+% ; 427
+ STH A, -14, *AR2+0% ; 428
+ STH A, -14, *AR2(03780h) ; 429
+ STH A, -14, *+AR2(03781h) ; 430
+ STH A, -14, *+AR2(03782h)% ; 431
+ STH A, -14, *(03783h) ; 432
+ STH A, -14, *AR3 ; 433
+ STH A, -14, *AR3- ; 434
+ STH A, -14, *AR3+ ; 435
+ STH A, -14, *+AR3 ; 436
+ STH A, -14, *AR3-0B ; 437
+ STH A, -14, *AR3-0 ; 438
+ STH A, -14, *AR3+0 ; 439
+ STH A, -14, *AR3+0B ; 440
+ STH A, -14, *AR3-% ; 441
+ STH A, -14, *AR3-0% ; 442
+ STH A, -14, *AR3+% ; 443
+ STH A, -14, *AR3+0% ; 444
+ STH A, -14, *AR3(03784h) ; 445
+ STH A, -14, *+AR3(03785h) ; 446
+ STH A, -14, *+AR3(03786h)% ; 447
+ STH A, -14, *(03787h) ; 448
+ STH A, -14, *AR4 ; 449
+ STH A, -14, *AR4- ; 450
+ STH A, -14, *AR4+ ; 451
+ STH A, -14, *+AR4 ; 452
+ STH A, -14, *AR4-0B ; 453
+ STH A, -14, *AR4-0 ; 454
+ STH A, -14, *AR4+0 ; 455
+ STH A, -14, *AR4+0B ; 456
+ STH A, -14, *AR4-% ; 457
+ STH A, -14, *AR4-0% ; 458
+ STH A, -14, *AR4+% ; 459
+ STH A, -14, *AR4+0% ; 460
+ STH A, -14, *AR4(03788h) ; 461
+ STH A, -14, *+AR4(03789h) ; 462
+ STH A, -14, *+AR4(0378Ah)% ; 463
+ STH A, -14, *(0378Bh) ; 464
+ STH A, -14, *AR5 ; 465
+ STH A, -14, *AR5- ; 466
+ STH A, -14, *AR5+ ; 467
+ STH A, -14, *+AR5 ; 468
+ STH A, -14, *AR5-0B ; 469
+ STH A, -14, *AR5-0 ; 470
+ STH A, -14, *AR5+0 ; 471
+ STH A, -14, *AR5+0B ; 472
+ STH A, -14, *AR5-% ; 473
+ STH A, -14, *AR5-0% ; 474
+ STH A, -14, *AR5+% ; 475
+ STH A, -14, *AR5+0% ; 476
+ STH A, -14, *AR5(0378Ch) ; 477
+ STH A, -14, *+AR5(0378Dh) ; 478
+ STH A, -14, *+AR5(0378Eh)% ; 479
+ STH A, -14, *(0378Fh) ; 480
+ STH A, -14, *AR6 ; 481
+ STH A, -14, *AR6- ; 482
+ STH A, -14, *AR6+ ; 483
+ STH A, -14, *+AR6 ; 484
+ STH A, -14, *AR6-0B ; 485
+ STH A, -14, *AR6-0 ; 486
+ STH A, -14, *AR6+0 ; 487
+ STH A, -14, *AR6+0B ; 488
+ STH A, -14, *AR6-% ; 489
+ STH A, -14, *AR6-0% ; 490
+ STH A, -14, *AR6+% ; 491
+ STH A, -14, *AR6+0% ; 492
+ STH A, -14, *AR6(03790h) ; 493
+ STH A, -14, *+AR6(03791h) ; 494
+ STH A, -14, *+AR6(03792h)% ; 495
+ STH A, -14, *(03793h) ; 496
+ STH A, -14, *AR7 ; 497
+ STH A, -14, *AR7- ; 498
+ STH A, -14, *AR7+ ; 499
+ STH A, -14, *+AR7 ; 500
+ STH A, -14, *AR7-0B ; 501
+ STH A, -14, *AR7-0 ; 502
+ STH A, -14, *AR7+0 ; 503
+ STH A, -14, *AR7+0B ; 504
+ STH A, -14, *AR7-% ; 505
+ STH A, -14, *AR7-0% ; 506
+ STH A, -14, *AR7+% ; 507
+ STH A, -14, *AR7+0% ; 508
+ STH A, -14, *AR7(03794h) ; 509
+ STH A, -14, *+AR7(03795h) ; 510
+ STH A, -14, *+AR7(03796h)% ; 511
+ STH A, -14, *(03797h) ; 512
+ STH A, -13, *AR0 ; 513
+ STH A, -13, *AR0- ; 514
+ STH A, -13, *AR0+ ; 515
+ STH A, -13, *+AR0 ; 516
+ STH A, -13, *AR0-0B ; 517
+ STH A, -13, *AR0-0 ; 518
+ STH A, -13, *AR0+0 ; 519
+ STH A, -13, *AR0+0B ; 520
+ STH A, -13, *AR0-% ; 521
+ STH A, -13, *AR0-0% ; 522
+ STH A, -13, *AR0+% ; 523
+ STH A, -13, *AR0+0% ; 524
+ STH A, -13, *AR0(03798h) ; 525
+ STH A, -13, *+AR0(03799h) ; 526
+ STH A, -13, *+AR0(0379Ah)% ; 527
+ STH A, -13, *(0379Bh) ; 528
+ STH A, -13, *AR1 ; 529
+ STH A, -13, *AR1- ; 530
+ STH A, -13, *AR1+ ; 531
+ STH A, -13, *+AR1 ; 532
+ STH A, -13, *AR1-0B ; 533
+ STH A, -13, *AR1-0 ; 534
+ STH A, -13, *AR1+0 ; 535
+ STH A, -13, *AR1+0B ; 536
+ STH A, -13, *AR1-% ; 537
+ STH A, -13, *AR1-0% ; 538
+ STH A, -13, *AR1+% ; 539
+ STH A, -13, *AR1+0% ; 540
+ STH A, -13, *AR1(0379Ch) ; 541
+ STH A, -13, *+AR1(0379Dh) ; 542
+ STH A, -13, *+AR1(0379Eh)% ; 543
+ STH A, -13, *(0379Fh) ; 544
+ STH A, -13, *AR2 ; 545
+ STH A, -13, *AR2- ; 546
+ STH A, -13, *AR2+ ; 547
+ STH A, -13, *+AR2 ; 548
+ STH A, -13, *AR2-0B ; 549
+ STH A, -13, *AR2-0 ; 550
+ STH A, -13, *AR2+0 ; 551
+ STH A, -13, *AR2+0B ; 552
+ STH A, -13, *AR2-% ; 553
+ STH A, -13, *AR2-0% ; 554
+ STH A, -13, *AR2+% ; 555
+ STH A, -13, *AR2+0% ; 556
+ STH A, -13, *AR2(037A0h) ; 557
+ STH A, -13, *+AR2(037A1h) ; 558
+ STH A, -13, *+AR2(037A2h)% ; 559
+ STH A, -13, *(037A3h) ; 560
+ STH A, -13, *AR3 ; 561
+ STH A, -13, *AR3- ; 562
+ STH A, -13, *AR3+ ; 563
+ STH A, -13, *+AR3 ; 564
+ STH A, -13, *AR3-0B ; 565
+ STH A, -13, *AR3-0 ; 566
+ STH A, -13, *AR3+0 ; 567
+ STH A, -13, *AR3+0B ; 568
+ STH A, -13, *AR3-% ; 569
+ STH A, -13, *AR3-0% ; 570
+ STH A, -13, *AR3+% ; 571
+ STH A, -13, *AR3+0% ; 572
+ STH A, -13, *AR3(037A4h) ; 573
+ STH A, -13, *+AR3(037A5h) ; 574
+ STH A, -13, *+AR3(037A6h)% ; 575
+ STH A, -13, *(037A7h) ; 576
+ STH A, -13, *AR4 ; 577
+ STH A, -13, *AR4- ; 578
+ STH A, -13, *AR4+ ; 579
+ STH A, -13, *+AR4 ; 580
+ STH A, -13, *AR4-0B ; 581
+ STH A, -13, *AR4-0 ; 582
+ STH A, -13, *AR4+0 ; 583
+ STH A, -13, *AR4+0B ; 584
+ STH A, -13, *AR4-% ; 585
+ STH A, -13, *AR4-0% ; 586
+ STH A, -13, *AR4+% ; 587
+ STH A, -13, *AR4+0% ; 588
+ STH A, -13, *AR4(037A8h) ; 589
+ STH A, -13, *+AR4(037A9h) ; 590
+ STH A, -13, *+AR4(037AAh)% ; 591
+ STH A, -13, *(037ABh) ; 592
+ STH A, -13, *AR5 ; 593
+ STH A, -13, *AR5- ; 594
+ STH A, -13, *AR5+ ; 595
+ STH A, -13, *+AR5 ; 596
+ STH A, -13, *AR5-0B ; 597
+ STH A, -13, *AR5-0 ; 598
+ STH A, -13, *AR5+0 ; 599
+ STH A, -13, *AR5+0B ; 600
+ STH A, -13, *AR5-% ; 601
+ STH A, -13, *AR5-0% ; 602
+ STH A, -13, *AR5+% ; 603
+ STH A, -13, *AR5+0% ; 604
+ STH A, -13, *AR5(037ACh) ; 605
+ STH A, -13, *+AR5(037ADh) ; 606
+ STH A, -13, *+AR5(037AEh)% ; 607
+ STH A, -13, *(037AFh) ; 608
+ STH A, -13, *AR6 ; 609
+ STH A, -13, *AR6- ; 610
+ STH A, -13, *AR6+ ; 611
+ STH A, -13, *+AR6 ; 612
+ STH A, -13, *AR6-0B ; 613
+ STH A, -13, *AR6-0 ; 614
+ STH A, -13, *AR6+0 ; 615
+ STH A, -13, *AR6+0B ; 616
+ STH A, -13, *AR6-% ; 617
+ STH A, -13, *AR6-0% ; 618
+ STH A, -13, *AR6+% ; 619
+ STH A, -13, *AR6+0% ; 620
+ STH A, -13, *AR6(037B0h) ; 621
+ STH A, -13, *+AR6(037B1h) ; 622
+ STH A, -13, *+AR6(037B2h)% ; 623
+ STH A, -13, *(037B3h) ; 624
+ STH A, -13, *AR7 ; 625
+ STH A, -13, *AR7- ; 626
+ STH A, -13, *AR7+ ; 627
+ STH A, -13, *+AR7 ; 628
+ STH A, -13, *AR7-0B ; 629
+ STH A, -13, *AR7-0 ; 630
+ STH A, -13, *AR7+0 ; 631
+ STH A, -13, *AR7+0B ; 632
+ STH A, -13, *AR7-% ; 633
+ STH A, -13, *AR7-0% ; 634
+ STH A, -13, *AR7+% ; 635
+ STH A, -13, *AR7+0% ; 636
+ STH A, -13, *AR7(037B4h) ; 637
+ STH A, -13, *+AR7(037B5h) ; 638
+ STH A, -13, *+AR7(037B6h)% ; 639
+ STH A, -13, *(037B7h) ; 640
+ STH A, -12, *AR0 ; 641
+ STH A, -12, *AR0- ; 642
+ STH A, -12, *AR0+ ; 643
+ STH A, -12, *+AR0 ; 644
+ STH A, -12, *AR0-0B ; 645
+ STH A, -12, *AR0-0 ; 646
+ STH A, -12, *AR0+0 ; 647
+ STH A, -12, *AR0+0B ; 648
+ STH A, -12, *AR0-% ; 649
+ STH A, -12, *AR0-0% ; 650
+ STH A, -12, *AR0+% ; 651
+ STH A, -12, *AR0+0% ; 652
+ STH A, -12, *AR0(037B8h) ; 653
+ STH A, -12, *+AR0(037B9h) ; 654
+ STH A, -12, *+AR0(037BAh)% ; 655
+ STH A, -12, *(037BBh) ; 656
+ STH A, -12, *AR1 ; 657
+ STH A, -12, *AR1- ; 658
+ STH A, -12, *AR1+ ; 659
+ STH A, -12, *+AR1 ; 660
+ STH A, -12, *AR1-0B ; 661
+ STH A, -12, *AR1-0 ; 662
+ STH A, -12, *AR1+0 ; 663
+ STH A, -12, *AR1+0B ; 664
+ STH A, -12, *AR1-% ; 665
+ STH A, -12, *AR1-0% ; 666
+ STH A, -12, *AR1+% ; 667
+ STH A, -12, *AR1+0% ; 668
+ STH A, -12, *AR1(037BCh) ; 669
+ STH A, -12, *+AR1(037BDh) ; 670
+ STH A, -12, *+AR1(037BEh)% ; 671
+ STH A, -12, *(037BFh) ; 672
+ STH A, -12, *AR2 ; 673
+ STH A, -12, *AR2- ; 674
+ STH A, -12, *AR2+ ; 675
+ STH A, -12, *+AR2 ; 676
+ STH A, -12, *AR2-0B ; 677
+ STH A, -12, *AR2-0 ; 678
+ STH A, -12, *AR2+0 ; 679
+ STH A, -12, *AR2+0B ; 680
+ STH A, -12, *AR2-% ; 681
+ STH A, -12, *AR2-0% ; 682
+ STH A, -12, *AR2+% ; 683
+ STH A, -12, *AR2+0% ; 684
+ STH A, -12, *AR2(037C0h) ; 685
+ STH A, -12, *+AR2(037C1h) ; 686
+ STH A, -12, *+AR2(037C2h)% ; 687
+ STH A, -12, *(037C3h) ; 688
+ STH A, -12, *AR3 ; 689
+ STH A, -12, *AR3- ; 690
+ STH A, -12, *AR3+ ; 691
+ STH A, -12, *+AR3 ; 692
+ STH A, -12, *AR3-0B ; 693
+ STH A, -12, *AR3-0 ; 694
+ STH A, -12, *AR3+0 ; 695
+ STH A, -12, *AR3+0B ; 696
+ STH A, -12, *AR3-% ; 697
+ STH A, -12, *AR3-0% ; 698
+ STH A, -12, *AR3+% ; 699
+ STH A, -12, *AR3+0% ; 700
+ STH A, -12, *AR3(037C4h) ; 701
+ STH A, -12, *+AR3(037C5h) ; 702
+ STH A, -12, *+AR3(037C6h)% ; 703
+ STH A, -12, *(037C7h) ; 704
+ STH A, -12, *AR4 ; 705
+ STH A, -12, *AR4- ; 706
+ STH A, -12, *AR4+ ; 707
+ STH A, -12, *+AR4 ; 708
+ STH A, -12, *AR4-0B ; 709
+ STH A, -12, *AR4-0 ; 710
+ STH A, -12, *AR4+0 ; 711
+ STH A, -12, *AR4+0B ; 712
+ STH A, -12, *AR4-% ; 713
+ STH A, -12, *AR4-0% ; 714
+ STH A, -12, *AR4+% ; 715
+ STH A, -12, *AR4+0% ; 716
+ STH A, -12, *AR4(037C8h) ; 717
+ STH A, -12, *+AR4(037C9h) ; 718
+ STH A, -12, *+AR4(037CAh)% ; 719
+ STH A, -12, *(037CBh) ; 720
+ STH A, -12, *AR5 ; 721
+ STH A, -12, *AR5- ; 722
+ STH A, -12, *AR5+ ; 723
+ STH A, -12, *+AR5 ; 724
+ STH A, -12, *AR5-0B ; 725
+ STH A, -12, *AR5-0 ; 726
+ STH A, -12, *AR5+0 ; 727
+ STH A, -12, *AR5+0B ; 728
+ STH A, -12, *AR5-% ; 729
+ STH A, -12, *AR5-0% ; 730
+ STH A, -12, *AR5+% ; 731
+ STH A, -12, *AR5+0% ; 732
+ STH A, -12, *AR5(037CCh) ; 733
+ STH A, -12, *+AR5(037CDh) ; 734
+ STH A, -12, *+AR5(037CEh)% ; 735
+ STH A, -12, *(037CFh) ; 736
+ STH A, -12, *AR6 ; 737
+ STH A, -12, *AR6- ; 738
+ STH A, -12, *AR6+ ; 739
+ STH A, -12, *+AR6 ; 740
+ STH A, -12, *AR6-0B ; 741
+ STH A, -12, *AR6-0 ; 742
+ STH A, -12, *AR6+0 ; 743
+ STH A, -12, *AR6+0B ; 744
+ STH A, -12, *AR6-% ; 745
+ STH A, -12, *AR6-0% ; 746
+ STH A, -12, *AR6+% ; 747
+ STH A, -12, *AR6+0% ; 748
+ STH A, -12, *AR6(037D0h) ; 749
+ STH A, -12, *+AR6(037D1h) ; 750
+ STH A, -12, *+AR6(037D2h)% ; 751
+ STH A, -12, *(037D3h) ; 752
+ STH A, -12, *AR7 ; 753
+ STH A, -12, *AR7- ; 754
+ STH A, -12, *AR7+ ; 755
+ STH A, -12, *+AR7 ; 756
+ STH A, -12, *AR7-0B ; 757
+ STH A, -12, *AR7-0 ; 758
+ STH A, -12, *AR7+0 ; 759
+ STH A, -12, *AR7+0B ; 760
+ STH A, -12, *AR7-% ; 761
+ STH A, -12, *AR7-0% ; 762
+ STH A, -12, *AR7+% ; 763
+ STH A, -12, *AR7+0% ; 764
+ STH A, -12, *AR7(037D4h) ; 765
+ STH A, -12, *+AR7(037D5h) ; 766
+ STH A, -12, *+AR7(037D6h)% ; 767
+ STH A, -12, *(037D7h) ; 768
+ STH A, -11, *AR0 ; 769
+ STH A, -11, *AR0- ; 770
+ STH A, -11, *AR0+ ; 771
+ STH A, -11, *+AR0 ; 772
+ STH A, -11, *AR0-0B ; 773
+ STH A, -11, *AR0-0 ; 774
+ STH A, -11, *AR0+0 ; 775
+ STH A, -11, *AR0+0B ; 776
+ STH A, -11, *AR0-% ; 777
+ STH A, -11, *AR0-0% ; 778
+ STH A, -11, *AR0+% ; 779
+ STH A, -11, *AR0+0% ; 780
+ STH A, -11, *AR0(037D8h) ; 781
+ STH A, -11, *+AR0(037D9h) ; 782
+ STH A, -11, *+AR0(037DAh)% ; 783
+ STH A, -11, *(037DBh) ; 784
+ STH A, -11, *AR1 ; 785
+ STH A, -11, *AR1- ; 786
+ STH A, -11, *AR1+ ; 787
+ STH A, -11, *+AR1 ; 788
+ STH A, -11, *AR1-0B ; 789
+ STH A, -11, *AR1-0 ; 790
+ STH A, -11, *AR1+0 ; 791
+ STH A, -11, *AR1+0B ; 792
+ STH A, -11, *AR1-% ; 793
+ STH A, -11, *AR1-0% ; 794
+ STH A, -11, *AR1+% ; 795
+ STH A, -11, *AR1+0% ; 796
+ STH A, -11, *AR1(037DCh) ; 797
+ STH A, -11, *+AR1(037DDh) ; 798
+ STH A, -11, *+AR1(037DEh)% ; 799
+ STH A, -11, *(037DFh) ; 800
+ STH A, -11, *AR2 ; 801
+ STH A, -11, *AR2- ; 802
+ STH A, -11, *AR2+ ; 803
+ STH A, -11, *+AR2 ; 804
+ STH A, -11, *AR2-0B ; 805
+ STH A, -11, *AR2-0 ; 806
+ STH A, -11, *AR2+0 ; 807
+ STH A, -11, *AR2+0B ; 808
+ STH A, -11, *AR2-% ; 809
+ STH A, -11, *AR2-0% ; 810
+ STH A, -11, *AR2+% ; 811
+ STH A, -11, *AR2+0% ; 812
+ STH A, -11, *AR2(037E0h) ; 813
+ STH A, -11, *+AR2(037E1h) ; 814
+ STH A, -11, *+AR2(037E2h)% ; 815
+ STH A, -11, *(037E3h) ; 816
+ STH A, -11, *AR3 ; 817
+ STH A, -11, *AR3- ; 818
+ STH A, -11, *AR3+ ; 819
+ STH A, -11, *+AR3 ; 820
+ STH A, -11, *AR3-0B ; 821
+ STH A, -11, *AR3-0 ; 822
+ STH A, -11, *AR3+0 ; 823
+ STH A, -11, *AR3+0B ; 824
+ STH A, -11, *AR3-% ; 825
+ STH A, -11, *AR3-0% ; 826
+ STH A, -11, *AR3+% ; 827
+ STH A, -11, *AR3+0% ; 828
+ STH A, -11, *AR3(037E4h) ; 829
+ STH A, -11, *+AR3(037E5h) ; 830
+ STH A, -11, *+AR3(037E6h)% ; 831
+ STH A, -11, *(037E7h) ; 832
+ STH A, -11, *AR4 ; 833
+ STH A, -11, *AR4- ; 834
+ STH A, -11, *AR4+ ; 835
+ STH A, -11, *+AR4 ; 836
+ STH A, -11, *AR4-0B ; 837
+ STH A, -11, *AR4-0 ; 838
+ STH A, -11, *AR4+0 ; 839
+ STH A, -11, *AR4+0B ; 840
+ STH A, -11, *AR4-% ; 841
+ STH A, -11, *AR4-0% ; 842
+ STH A, -11, *AR4+% ; 843
+ STH A, -11, *AR4+0% ; 844
+ STH A, -11, *AR4(037E8h) ; 845
+ STH A, -11, *+AR4(037E9h) ; 846
+ STH A, -11, *+AR4(037EAh)% ; 847
+ STH A, -11, *(037EBh) ; 848
+ STH A, -11, *AR5 ; 849
+ STH A, -11, *AR5- ; 850
+ STH A, -11, *AR5+ ; 851
+ STH A, -11, *+AR5 ; 852
+ STH A, -11, *AR5-0B ; 853
+ STH A, -11, *AR5-0 ; 854
+ STH A, -11, *AR5+0 ; 855
+ STH A, -11, *AR5+0B ; 856
+ STH A, -11, *AR5-% ; 857
+ STH A, -11, *AR5-0% ; 858
+ STH A, -11, *AR5+% ; 859
+ STH A, -11, *AR5+0% ; 860
+ STH A, -11, *AR5(037ECh) ; 861
+ STH A, -11, *+AR5(037EDh) ; 862
+ STH A, -11, *+AR5(037EEh)% ; 863
+ STH A, -11, *(037EFh) ; 864
+ STH A, -11, *AR6 ; 865
+ STH A, -11, *AR6- ; 866
+ STH A, -11, *AR6+ ; 867
+ STH A, -11, *+AR6 ; 868
+ STH A, -11, *AR6-0B ; 869
+ STH A, -11, *AR6-0 ; 870
+ STH A, -11, *AR6+0 ; 871
+ STH A, -11, *AR6+0B ; 872
+ STH A, -11, *AR6-% ; 873
+ STH A, -11, *AR6-0% ; 874
+ STH A, -11, *AR6+% ; 875
+ STH A, -11, *AR6+0% ; 876
+ STH A, -11, *AR6(037F0h) ; 877
+ STH A, -11, *+AR6(037F1h) ; 878
+ STH A, -11, *+AR6(037F2h)% ; 879
+ STH A, -11, *(037F3h) ; 880
+ STH A, -11, *AR7 ; 881
+ STH A, -11, *AR7- ; 882
+ STH A, -11, *AR7+ ; 883
+ STH A, -11, *+AR7 ; 884
+ STH A, -11, *AR7-0B ; 885
+ STH A, -11, *AR7-0 ; 886
+ STH A, -11, *AR7+0 ; 887
+ STH A, -11, *AR7+0B ; 888
+ STH A, -11, *AR7-% ; 889
+ STH A, -11, *AR7-0% ; 890
+ STH A, -11, *AR7+% ; 891
+ STH A, -11, *AR7+0% ; 892
+ STH A, -11, *AR7(037F4h) ; 893
+ STH A, -11, *+AR7(037F5h) ; 894
+ STH A, -11, *+AR7(037F6h)% ; 895
+ STH A, -11, *(037F7h) ; 896
+ STH A, -10, *AR0 ; 897
+ STH A, -10, *AR0- ; 898
+ STH A, -10, *AR0+ ; 899
+ STH A, -10, *+AR0 ; 900
+ STH A, -10, *AR0-0B ; 901
+ STH A, -10, *AR0-0 ; 902
+ STH A, -10, *AR0+0 ; 903
+ STH A, -10, *AR0+0B ; 904
+ STH A, -10, *AR0-% ; 905
+ STH A, -10, *AR0-0% ; 906
+ STH A, -10, *AR0+% ; 907
+ STH A, -10, *AR0+0% ; 908
+ STH A, -10, *AR0(037F8h) ; 909
+ STH A, -10, *+AR0(037F9h) ; 910
+ STH A, -10, *+AR0(037FAh)% ; 911
+ STH A, -10, *(037FBh) ; 912
+ STH A, -10, *AR1 ; 913
+ STH A, -10, *AR1- ; 914
+ STH A, -10, *AR1+ ; 915
+ STH A, -10, *+AR1 ; 916
+ STH A, -10, *AR1-0B ; 917
+ STH A, -10, *AR1-0 ; 918
+ STH A, -10, *AR1+0 ; 919
+ STH A, -10, *AR1+0B ; 920
+ STH A, -10, *AR1-% ; 921
+ STH A, -10, *AR1-0% ; 922
+ STH A, -10, *AR1+% ; 923
+ STH A, -10, *AR1+0% ; 924
+ STH A, -10, *AR1(037FCh) ; 925
+ STH A, -10, *+AR1(037FDh) ; 926
+ STH A, -10, *+AR1(037FEh)% ; 927
+ STH A, -10, *(037FFh) ; 928
+ STH A, -10, *AR2 ; 929
+ STH A, -10, *AR2- ; 930
+ STH A, -10, *AR2+ ; 931
+ STH A, -10, *+AR2 ; 932
+ STH A, -10, *AR2-0B ; 933
+ STH A, -10, *AR2-0 ; 934
+ STH A, -10, *AR2+0 ; 935
+ STH A, -10, *AR2+0B ; 936
+ STH A, -10, *AR2-% ; 937
+ STH A, -10, *AR2-0% ; 938
+ STH A, -10, *AR2+% ; 939
+ STH A, -10, *AR2+0% ; 940
+ STH A, -10, *AR2(03800h) ; 941
+ STH A, -10, *+AR2(03801h) ; 942
+ STH A, -10, *+AR2(03802h)% ; 943
+ STH A, -10, *(03803h) ; 944
+ STH A, -10, *AR3 ; 945
+ STH A, -10, *AR3- ; 946
+ STH A, -10, *AR3+ ; 947
+ STH A, -10, *+AR3 ; 948
+ STH A, -10, *AR3-0B ; 949
+ STH A, -10, *AR3-0 ; 950
+ STH A, -10, *AR3+0 ; 951
+ STH A, -10, *AR3+0B ; 952
+ STH A, -10, *AR3-% ; 953
+ STH A, -10, *AR3-0% ; 954
+ STH A, -10, *AR3+% ; 955
+ STH A, -10, *AR3+0% ; 956
+ STH A, -10, *AR3(03804h) ; 957
+ STH A, -10, *+AR3(03805h) ; 958
+ STH A, -10, *+AR3(03806h)% ; 959
+ STH A, -10, *(03807h) ; 960
+ STH A, -10, *AR4 ; 961
+ STH A, -10, *AR4- ; 962
+ STH A, -10, *AR4+ ; 963
+ STH A, -10, *+AR4 ; 964
+ STH A, -10, *AR4-0B ; 965
+ STH A, -10, *AR4-0 ; 966
+ STH A, -10, *AR4+0 ; 967
+ STH A, -10, *AR4+0B ; 968
+ STH A, -10, *AR4-% ; 969
+ STH A, -10, *AR4-0% ; 970
+ STH A, -10, *AR4+% ; 971
+ STH A, -10, *AR4+0% ; 972
+ STH A, -10, *AR4(03808h) ; 973
+ STH A, -10, *+AR4(03809h) ; 974
+ STH A, -10, *+AR4(0380Ah)% ; 975
+ STH A, -10, *(0380Bh) ; 976
+ STH A, -10, *AR5 ; 977
+ STH A, -10, *AR5- ; 978
+ STH A, -10, *AR5+ ; 979
+ STH A, -10, *+AR5 ; 980
+ STH A, -10, *AR5-0B ; 981
+ STH A, -10, *AR5-0 ; 982
+ STH A, -10, *AR5+0 ; 983
+ STH A, -10, *AR5+0B ; 984
+ STH A, -10, *AR5-% ; 985
+ STH A, -10, *AR5-0% ; 986
+ STH A, -10, *AR5+% ; 987
+ STH A, -10, *AR5+0% ; 988
+ STH A, -10, *AR5(0380Ch) ; 989
+ STH A, -10, *+AR5(0380Dh) ; 990
+ STH A, -10, *+AR5(0380Eh)% ; 991
+ STH A, -10, *(0380Fh) ; 992
+ STH A, -10, *AR6 ; 993
+ STH A, -10, *AR6- ; 994
+ STH A, -10, *AR6+ ; 995
+ STH A, -10, *+AR6 ; 996
+ STH A, -10, *AR6-0B ; 997
+ STH A, -10, *AR6-0 ; 998
+ STH A, -10, *AR6+0 ; 999
+ STH A, -10, *AR6+0B ; 1000
+ STH A, -10, *AR6-% ; 1001
+ STH A, -10, *AR6-0% ; 1002
+ STH A, -10, *AR6+% ; 1003
+ STH A, -10, *AR6+0% ; 1004
+ STH A, -10, *AR6(03810h) ; 1005
+ STH A, -10, *+AR6(03811h) ; 1006
+ STH A, -10, *+AR6(03812h)% ; 1007
+ STH A, -10, *(03813h) ; 1008
+ STH A, -10, *AR7 ; 1009
+ STH A, -10, *AR7- ; 1010
+ STH A, -10, *AR7+ ; 1011
+ STH A, -10, *+AR7 ; 1012
+ STH A, -10, *AR7-0B ; 1013
+ STH A, -10, *AR7-0 ; 1014
+ STH A, -10, *AR7+0 ; 1015
+ STH A, -10, *AR7+0B ; 1016
+ STH A, -10, *AR7-% ; 1017
+ STH A, -10, *AR7-0% ; 1018
+ STH A, -10, *AR7+% ; 1019
+ STH A, -10, *AR7+0% ; 1020
+ STH A, -10, *AR7(03814h) ; 1021
+ STH A, -10, *+AR7(03815h) ; 1022
+ STH A, -10, *+AR7(03816h)% ; 1023
+ STH A, -10, *(03817h) ; 1024
+ STH A, -9, *AR0 ; 1025
+ STH A, -9, *AR0- ; 1026
+ STH A, -9, *AR0+ ; 1027
+ STH A, -9, *+AR0 ; 1028
+ STH A, -9, *AR0-0B ; 1029
+ STH A, -9, *AR0-0 ; 1030
+ STH A, -9, *AR0+0 ; 1031
+ STH A, -9, *AR0+0B ; 1032
+ STH A, -9, *AR0-% ; 1033
+ STH A, -9, *AR0-0% ; 1034
+ STH A, -9, *AR0+% ; 1035
+ STH A, -9, *AR0+0% ; 1036
+ STH A, -9, *AR0(03818h) ; 1037
+ STH A, -9, *+AR0(03819h) ; 1038
+ STH A, -9, *+AR0(0381Ah)% ; 1039
+ STH A, -9, *(0381Bh) ; 1040
+ STH A, -9, *AR1 ; 1041
+ STH A, -9, *AR1- ; 1042
+ STH A, -9, *AR1+ ; 1043
+ STH A, -9, *+AR1 ; 1044
+ STH A, -9, *AR1-0B ; 1045
+ STH A, -9, *AR1-0 ; 1046
+ STH A, -9, *AR1+0 ; 1047
+ STH A, -9, *AR1+0B ; 1048
+ STH A, -9, *AR1-% ; 1049
+ STH A, -9, *AR1-0% ; 1050
+ STH A, -9, *AR1+% ; 1051
+ STH A, -9, *AR1+0% ; 1052
+ STH A, -9, *AR1(0381Ch) ; 1053
+ STH A, -9, *+AR1(0381Dh) ; 1054
+ STH A, -9, *+AR1(0381Eh)% ; 1055
+ STH A, -9, *(0381Fh) ; 1056
+ STH A, -9, *AR2 ; 1057
+ STH A, -9, *AR2- ; 1058
+ STH A, -9, *AR2+ ; 1059
+ STH A, -9, *+AR2 ; 1060
+ STH A, -9, *AR2-0B ; 1061
+ STH A, -9, *AR2-0 ; 1062
+ STH A, -9, *AR2+0 ; 1063
+ STH A, -9, *AR2+0B ; 1064
+ STH A, -9, *AR2-% ; 1065
+ STH A, -9, *AR2-0% ; 1066
+ STH A, -9, *AR2+% ; 1067
+ STH A, -9, *AR2+0% ; 1068
+ STH A, -9, *AR2(03820h) ; 1069
+ STH A, -9, *+AR2(03821h) ; 1070
+ STH A, -9, *+AR2(03822h)% ; 1071
+ STH A, -9, *(03823h) ; 1072
+ STH A, -9, *AR3 ; 1073
+ STH A, -9, *AR3- ; 1074
+ STH A, -9, *AR3+ ; 1075
+ STH A, -9, *+AR3 ; 1076
+ STH A, -9, *AR3-0B ; 1077
+ STH A, -9, *AR3-0 ; 1078
+ STH A, -9, *AR3+0 ; 1079
+ STH A, -9, *AR3+0B ; 1080
+ STH A, -9, *AR3-% ; 1081
+ STH A, -9, *AR3-0% ; 1082
+ STH A, -9, *AR3+% ; 1083
+ STH A, -9, *AR3+0% ; 1084
+ STH A, -9, *AR3(03824h) ; 1085
+ STH A, -9, *+AR3(03825h) ; 1086
+ STH A, -9, *+AR3(03826h)% ; 1087
+ STH A, -9, *(03827h) ; 1088
+ STH A, -9, *AR4 ; 1089
+ STH A, -9, *AR4- ; 1090
+ STH A, -9, *AR4+ ; 1091
+ STH A, -9, *+AR4 ; 1092
+ STH A, -9, *AR4-0B ; 1093
+ STH A, -9, *AR4-0 ; 1094
+ STH A, -9, *AR4+0 ; 1095
+ STH A, -9, *AR4+0B ; 1096
+ STH A, -9, *AR4-% ; 1097
+ STH A, -9, *AR4-0% ; 1098
+ STH A, -9, *AR4+% ; 1099
+ STH A, -9, *AR4+0% ; 1100
+ STH A, -9, *AR4(03828h) ; 1101
+ STH A, -9, *+AR4(03829h) ; 1102
+ STH A, -9, *+AR4(0382Ah)% ; 1103
+ STH A, -9, *(0382Bh) ; 1104
+ STH A, -9, *AR5 ; 1105
+ STH A, -9, *AR5- ; 1106
+ STH A, -9, *AR5+ ; 1107
+ STH A, -9, *+AR5 ; 1108
+ STH A, -9, *AR5-0B ; 1109
+ STH A, -9, *AR5-0 ; 1110
+ STH A, -9, *AR5+0 ; 1111
+ STH A, -9, *AR5+0B ; 1112
+ STH A, -9, *AR5-% ; 1113
+ STH A, -9, *AR5-0% ; 1114
+ STH A, -9, *AR5+% ; 1115
+ STH A, -9, *AR5+0% ; 1116
+ STH A, -9, *AR5(0382Ch) ; 1117
+ STH A, -9, *+AR5(0382Dh) ; 1118
+ STH A, -9, *+AR5(0382Eh)% ; 1119
+ STH A, -9, *(0382Fh) ; 1120
+ STH A, -9, *AR6 ; 1121
+ STH A, -9, *AR6- ; 1122
+ STH A, -9, *AR6+ ; 1123
+ STH A, -9, *+AR6 ; 1124
+ STH A, -9, *AR6-0B ; 1125
+ STH A, -9, *AR6-0 ; 1126
+ STH A, -9, *AR6+0 ; 1127
+ STH A, -9, *AR6+0B ; 1128
+ STH A, -9, *AR6-% ; 1129
+ STH A, -9, *AR6-0% ; 1130
+ STH A, -9, *AR6+% ; 1131
+ STH A, -9, *AR6+0% ; 1132
+ STH A, -9, *AR6(03830h) ; 1133
+ STH A, -9, *+AR6(03831h) ; 1134
+ STH A, -9, *+AR6(03832h)% ; 1135
+ STH A, -9, *(03833h) ; 1136
+ STH A, -9, *AR7 ; 1137
+ STH A, -9, *AR7- ; 1138
+ STH A, -9, *AR7+ ; 1139
+ STH A, -9, *+AR7 ; 1140
+ STH A, -9, *AR7-0B ; 1141
+ STH A, -9, *AR7-0 ; 1142
+ STH A, -9, *AR7+0 ; 1143
+ STH A, -9, *AR7+0B ; 1144
+ STH A, -9, *AR7-% ; 1145
+ STH A, -9, *AR7-0% ; 1146
+ STH A, -9, *AR7+% ; 1147
+ STH A, -9, *AR7+0% ; 1148
+ STH A, -9, *AR7(03834h) ; 1149
+ STH A, -9, *+AR7(03835h) ; 1150
+ STH A, -9, *+AR7(03836h)% ; 1151
+ STH A, -9, *(03837h) ; 1152
+ STH A, -8, *AR0 ; 1153
+ STH A, -8, *AR0- ; 1154
+ STH A, -8, *AR0+ ; 1155
+ STH A, -8, *+AR0 ; 1156
+ STH A, -8, *AR0-0B ; 1157
+ STH A, -8, *AR0-0 ; 1158
+ STH A, -8, *AR0+0 ; 1159
+ STH A, -8, *AR0+0B ; 1160
+ STH A, -8, *AR0-% ; 1161
+ STH A, -8, *AR0-0% ; 1162
+ STH A, -8, *AR0+% ; 1163
+ STH A, -8, *AR0+0% ; 1164
+ STH A, -8, *AR0(03838h) ; 1165
+ STH A, -8, *+AR0(03839h) ; 1166
+ STH A, -8, *+AR0(0383Ah)% ; 1167
+ STH A, -8, *(0383Bh) ; 1168
+ STH A, -8, *AR1 ; 1169
+ STH A, -8, *AR1- ; 1170
+ STH A, -8, *AR1+ ; 1171
+ STH A, -8, *+AR1 ; 1172
+ STH A, -8, *AR1-0B ; 1173
+ STH A, -8, *AR1-0 ; 1174
+ STH A, -8, *AR1+0 ; 1175
+ STH A, -8, *AR1+0B ; 1176
+ STH A, -8, *AR1-% ; 1177
+ STH A, -8, *AR1-0% ; 1178
+ STH A, -8, *AR1+% ; 1179
+ STH A, -8, *AR1+0% ; 1180
+ STH A, -8, *AR1(0383Ch) ; 1181
+ STH A, -8, *+AR1(0383Dh) ; 1182
+ STH A, -8, *+AR1(0383Eh)% ; 1183
+ STH A, -8, *(0383Fh) ; 1184
+ STH A, -8, *AR2 ; 1185
+ STH A, -8, *AR2- ; 1186
+ STH A, -8, *AR2+ ; 1187
+ STH A, -8, *+AR2 ; 1188
+ STH A, -8, *AR2-0B ; 1189
+ STH A, -8, *AR2-0 ; 1190
+ STH A, -8, *AR2+0 ; 1191
+ STH A, -8, *AR2+0B ; 1192
+ STH A, -8, *AR2-% ; 1193
+ STH A, -8, *AR2-0% ; 1194
+ STH A, -8, *AR2+% ; 1195
+ STH A, -8, *AR2+0% ; 1196
+ STH A, -8, *AR2(03840h) ; 1197
+ STH A, -8, *+AR2(03841h) ; 1198
+ STH A, -8, *+AR2(03842h)% ; 1199
+ STH A, -8, *(03843h) ; 1200
+ STH A, -8, *AR3 ; 1201
+ STH A, -8, *AR3- ; 1202
+ STH A, -8, *AR3+ ; 1203
+ STH A, -8, *+AR3 ; 1204
+ STH A, -8, *AR3-0B ; 1205
+ STH A, -8, *AR3-0 ; 1206
+ STH A, -8, *AR3+0 ; 1207
+ STH A, -8, *AR3+0B ; 1208
+ STH A, -8, *AR3-% ; 1209
+ STH A, -8, *AR3-0% ; 1210
+ STH A, -8, *AR3+% ; 1211
+ STH A, -8, *AR3+0% ; 1212
+ STH A, -8, *AR3(03844h) ; 1213
+ STH A, -8, *+AR3(03845h) ; 1214
+ STH A, -8, *+AR3(03846h)% ; 1215
+ STH A, -8, *(03847h) ; 1216
+ STH A, -8, *AR4 ; 1217
+ STH A, -8, *AR4- ; 1218
+ STH A, -8, *AR4+ ; 1219
+ STH A, -8, *+AR4 ; 1220
+ STH A, -8, *AR4-0B ; 1221
+ STH A, -8, *AR4-0 ; 1222
+ STH A, -8, *AR4+0 ; 1223
+ STH A, -8, *AR4+0B ; 1224
+ STH A, -8, *AR4-% ; 1225
+ STH A, -8, *AR4-0% ; 1226
+ STH A, -8, *AR4+% ; 1227
+ STH A, -8, *AR4+0% ; 1228
+ STH A, -8, *AR4(03848h) ; 1229
+ STH A, -8, *+AR4(03849h) ; 1230
+ STH A, -8, *+AR4(0384Ah)% ; 1231
+ STH A, -8, *(0384Bh) ; 1232
+ STH A, -8, *AR5 ; 1233
+ STH A, -8, *AR5- ; 1234
+ STH A, -8, *AR5+ ; 1235
+ STH A, -8, *+AR5 ; 1236
+ STH A, -8, *AR5-0B ; 1237
+ STH A, -8, *AR5-0 ; 1238
+ STH A, -8, *AR5+0 ; 1239
+ STH A, -8, *AR5+0B ; 1240
+ STH A, -8, *AR5-% ; 1241
+ STH A, -8, *AR5-0% ; 1242
+ STH A, -8, *AR5+% ; 1243
+ STH A, -8, *AR5+0% ; 1244
+ STH A, -8, *AR5(0384Ch) ; 1245
+ STH A, -8, *+AR5(0384Dh) ; 1246
+ STH A, -8, *+AR5(0384Eh)% ; 1247
+ STH A, -8, *(0384Fh) ; 1248
+ STH A, -8, *AR6 ; 1249
+ STH A, -8, *AR6- ; 1250
+ STH A, -8, *AR6+ ; 1251
+ STH A, -8, *+AR6 ; 1252
+ STH A, -8, *AR6-0B ; 1253
+ STH A, -8, *AR6-0 ; 1254
+ STH A, -8, *AR6+0 ; 1255
+ STH A, -8, *AR6+0B ; 1256
+ STH A, -8, *AR6-% ; 1257
+ STH A, -8, *AR6-0% ; 1258
+ STH A, -8, *AR6+% ; 1259
+ STH A, -8, *AR6+0% ; 1260
+ STH A, -8, *AR6(03850h) ; 1261
+ STH A, -8, *+AR6(03851h) ; 1262
+ STH A, -8, *+AR6(03852h)% ; 1263
+ STH A, -8, *(03853h) ; 1264
+ STH A, -8, *AR7 ; 1265
+ STH A, -8, *AR7- ; 1266
+ STH A, -8, *AR7+ ; 1267
+ STH A, -8, *+AR7 ; 1268
+ STH A, -8, *AR7-0B ; 1269
+ STH A, -8, *AR7-0 ; 1270
+ STH A, -8, *AR7+0 ; 1271
+ STH A, -8, *AR7+0B ; 1272
+ STH A, -8, *AR7-% ; 1273
+ STH A, -8, *AR7-0% ; 1274
+ STH A, -8, *AR7+% ; 1275
+ STH A, -8, *AR7+0% ; 1276
+ STH A, -8, *AR7(03854h) ; 1277
+ STH A, -8, *+AR7(03855h) ; 1278
+ STH A, -8, *+AR7(03856h)% ; 1279
+ STH A, -8, *(03857h) ; 1280
+ STH A, -7, *AR0 ; 1281
+ STH A, -7, *AR0- ; 1282
+ STH A, -7, *AR0+ ; 1283
+ STH A, -7, *+AR0 ; 1284
+ STH A, -7, *AR0-0B ; 1285
+ STH A, -7, *AR0-0 ; 1286
+ STH A, -7, *AR0+0 ; 1287
+ STH A, -7, *AR0+0B ; 1288
+ STH A, -7, *AR0-% ; 1289
+ STH A, -7, *AR0-0% ; 1290
+ STH A, -7, *AR0+% ; 1291
+ STH A, -7, *AR0+0% ; 1292
+ STH A, -7, *AR0(03858h) ; 1293
+ STH A, -7, *+AR0(03859h) ; 1294
+ STH A, -7, *+AR0(0385Ah)% ; 1295
+ STH A, -7, *(0385Bh) ; 1296
+ STH A, -7, *AR1 ; 1297
+ STH A, -7, *AR1- ; 1298
+ STH A, -7, *AR1+ ; 1299
+ STH A, -7, *+AR1 ; 1300
+ STH A, -7, *AR1-0B ; 1301
+ STH A, -7, *AR1-0 ; 1302
+ STH A, -7, *AR1+0 ; 1303
+ STH A, -7, *AR1+0B ; 1304
+ STH A, -7, *AR1-% ; 1305
+ STH A, -7, *AR1-0% ; 1306
+ STH A, -7, *AR1+% ; 1307
+ STH A, -7, *AR1+0% ; 1308
+ STH A, -7, *AR1(0385Ch) ; 1309
+ STH A, -7, *+AR1(0385Dh) ; 1310
+ STH A, -7, *+AR1(0385Eh)% ; 1311
+ STH A, -7, *(0385Fh) ; 1312
+ STH A, -7, *AR2 ; 1313
+ STH A, -7, *AR2- ; 1314
+ STH A, -7, *AR2+ ; 1315
+ STH A, -7, *+AR2 ; 1316
+ STH A, -7, *AR2-0B ; 1317
+ STH A, -7, *AR2-0 ; 1318
+ STH A, -7, *AR2+0 ; 1319
+ STH A, -7, *AR2+0B ; 1320
+ STH A, -7, *AR2-% ; 1321
+ STH A, -7, *AR2-0% ; 1322
+ STH A, -7, *AR2+% ; 1323
+ STH A, -7, *AR2+0% ; 1324
+ STH A, -7, *AR2(03860h) ; 1325
+ STH A, -7, *+AR2(03861h) ; 1326
+ STH A, -7, *+AR2(03862h)% ; 1327
+ STH A, -7, *(03863h) ; 1328
+ STH A, -7, *AR3 ; 1329
+ STH A, -7, *AR3- ; 1330
+ STH A, -7, *AR3+ ; 1331
+ STH A, -7, *+AR3 ; 1332
+ STH A, -7, *AR3-0B ; 1333
+ STH A, -7, *AR3-0 ; 1334
+ STH A, -7, *AR3+0 ; 1335
+ STH A, -7, *AR3+0B ; 1336
+ STH A, -7, *AR3-% ; 1337
+ STH A, -7, *AR3-0% ; 1338
+ STH A, -7, *AR3+% ; 1339
+ STH A, -7, *AR3+0% ; 1340
+ STH A, -7, *AR3(03864h) ; 1341
+ STH A, -7, *+AR3(03865h) ; 1342
+ STH A, -7, *+AR3(03866h)% ; 1343
+ STH A, -7, *(03867h) ; 1344
+ STH A, -7, *AR4 ; 1345
+ STH A, -7, *AR4- ; 1346
+ STH A, -7, *AR4+ ; 1347
+ STH A, -7, *+AR4 ; 1348
+ STH A, -7, *AR4-0B ; 1349
+ STH A, -7, *AR4-0 ; 1350
+ STH A, -7, *AR4+0 ; 1351
+ STH A, -7, *AR4+0B ; 1352
+ STH A, -7, *AR4-% ; 1353
+ STH A, -7, *AR4-0% ; 1354
+ STH A, -7, *AR4+% ; 1355
+ STH A, -7, *AR4+0% ; 1356
+ STH A, -7, *AR4(03868h) ; 1357
+ STH A, -7, *+AR4(03869h) ; 1358
+ STH A, -7, *+AR4(0386Ah)% ; 1359
+ STH A, -7, *(0386Bh) ; 1360
+ STH A, -7, *AR5 ; 1361
+ STH A, -7, *AR5- ; 1362
+ STH A, -7, *AR5+ ; 1363
+ STH A, -7, *+AR5 ; 1364
+ STH A, -7, *AR5-0B ; 1365
+ STH A, -7, *AR5-0 ; 1366
+ STH A, -7, *AR5+0 ; 1367
+ STH A, -7, *AR5+0B ; 1368
+ STH A, -7, *AR5-% ; 1369
+ STH A, -7, *AR5-0% ; 1370
+ STH A, -7, *AR5+% ; 1371
+ STH A, -7, *AR5+0% ; 1372
+ STH A, -7, *AR5(0386Ch) ; 1373
+ STH A, -7, *+AR5(0386Dh) ; 1374
+ STH A, -7, *+AR5(0386Eh)% ; 1375
+ STH A, -7, *(0386Fh) ; 1376
+ STH A, -7, *AR6 ; 1377
+ STH A, -7, *AR6- ; 1378
+ STH A, -7, *AR6+ ; 1379
+ STH A, -7, *+AR6 ; 1380
+ STH A, -7, *AR6-0B ; 1381
+ STH A, -7, *AR6-0 ; 1382
+ STH A, -7, *AR6+0 ; 1383
+ STH A, -7, *AR6+0B ; 1384
+ STH A, -7, *AR6-% ; 1385
+ STH A, -7, *AR6-0% ; 1386
+ STH A, -7, *AR6+% ; 1387
+ STH A, -7, *AR6+0% ; 1388
+ STH A, -7, *AR6(03870h) ; 1389
+ STH A, -7, *+AR6(03871h) ; 1390
+ STH A, -7, *+AR6(03872h)% ; 1391
+ STH A, -7, *(03873h) ; 1392
+ STH A, -7, *AR7 ; 1393
+ STH A, -7, *AR7- ; 1394
+ STH A, -7, *AR7+ ; 1395
+ STH A, -7, *+AR7 ; 1396
+ STH A, -7, *AR7-0B ; 1397
+ STH A, -7, *AR7-0 ; 1398
+ STH A, -7, *AR7+0 ; 1399
+ STH A, -7, *AR7+0B ; 1400
+ STH A, -7, *AR7-% ; 1401
+ STH A, -7, *AR7-0% ; 1402
+ STH A, -7, *AR7+% ; 1403
+ STH A, -7, *AR7+0% ; 1404
+ STH A, -7, *AR7(03874h) ; 1405
+ STH A, -7, *+AR7(03875h) ; 1406
+ STH A, -7, *+AR7(03876h)% ; 1407
+ STH A, -7, *(03877h) ; 1408
+ STH A, -6, *AR0 ; 1409
+ STH A, -6, *AR0- ; 1410
+ STH A, -6, *AR0+ ; 1411
+ STH A, -6, *+AR0 ; 1412
+ STH A, -6, *AR0-0B ; 1413
+ STH A, -6, *AR0-0 ; 1414
+ STH A, -6, *AR0+0 ; 1415
+ STH A, -6, *AR0+0B ; 1416
+ STH A, -6, *AR0-% ; 1417
+ STH A, -6, *AR0-0% ; 1418
+ STH A, -6, *AR0+% ; 1419
+ STH A, -6, *AR0+0% ; 1420
+ STH A, -6, *AR0(03878h) ; 1421
+ STH A, -6, *+AR0(03879h) ; 1422
+ STH A, -6, *+AR0(0387Ah)% ; 1423
+ STH A, -6, *(0387Bh) ; 1424
+ STH A, -6, *AR1 ; 1425
+ STH A, -6, *AR1- ; 1426
+ STH A, -6, *AR1+ ; 1427
+ STH A, -6, *+AR1 ; 1428
+ STH A, -6, *AR1-0B ; 1429
+ STH A, -6, *AR1-0 ; 1430
+ STH A, -6, *AR1+0 ; 1431
+ STH A, -6, *AR1+0B ; 1432
+ STH A, -6, *AR1-% ; 1433
+ STH A, -6, *AR1-0% ; 1434
+ STH A, -6, *AR1+% ; 1435
+ STH A, -6, *AR1+0% ; 1436
+ STH A, -6, *AR1(0387Ch) ; 1437
+ STH A, -6, *+AR1(0387Dh) ; 1438
+ STH A, -6, *+AR1(0387Eh)% ; 1439
+ STH A, -6, *(0387Fh) ; 1440
+ STH A, -6, *AR2 ; 1441
+ STH A, -6, *AR2- ; 1442
+ STH A, -6, *AR2+ ; 1443
+ STH A, -6, *+AR2 ; 1444
+ STH A, -6, *AR2-0B ; 1445
+ STH A, -6, *AR2-0 ; 1446
+ STH A, -6, *AR2+0 ; 1447
+ STH A, -6, *AR2+0B ; 1448
+ STH A, -6, *AR2-% ; 1449
+ STH A, -6, *AR2-0% ; 1450
+ STH A, -6, *AR2+% ; 1451
+ STH A, -6, *AR2+0% ; 1452
+ STH A, -6, *AR2(03880h) ; 1453
+ STH A, -6, *+AR2(03881h) ; 1454
+ STH A, -6, *+AR2(03882h)% ; 1455
+ STH A, -6, *(03883h) ; 1456
+ STH A, -6, *AR3 ; 1457
+ STH A, -6, *AR3- ; 1458
+ STH A, -6, *AR3+ ; 1459
+ STH A, -6, *+AR3 ; 1460
+ STH A, -6, *AR3-0B ; 1461
+ STH A, -6, *AR3-0 ; 1462
+ STH A, -6, *AR3+0 ; 1463
+ STH A, -6, *AR3+0B ; 1464
+ STH A, -6, *AR3-% ; 1465
+ STH A, -6, *AR3-0% ; 1466
+ STH A, -6, *AR3+% ; 1467
+ STH A, -6, *AR3+0% ; 1468
+ STH A, -6, *AR3(03884h) ; 1469
+ STH A, -6, *+AR3(03885h) ; 1470
+ STH A, -6, *+AR3(03886h)% ; 1471
+ STH A, -6, *(03887h) ; 1472
+ STH A, -6, *AR4 ; 1473
+ STH A, -6, *AR4- ; 1474
+ STH A, -6, *AR4+ ; 1475
+ STH A, -6, *+AR4 ; 1476
+ STH A, -6, *AR4-0B ; 1477
+ STH A, -6, *AR4-0 ; 1478
+ STH A, -6, *AR4+0 ; 1479
+ STH A, -6, *AR4+0B ; 1480
+ STH A, -6, *AR4-% ; 1481
+ STH A, -6, *AR4-0% ; 1482
+ STH A, -6, *AR4+% ; 1483
+ STH A, -6, *AR4+0% ; 1484
+ STH A, -6, *AR4(03888h) ; 1485
+ STH A, -6, *+AR4(03889h) ; 1486
+ STH A, -6, *+AR4(0388Ah)% ; 1487
+ STH A, -6, *(0388Bh) ; 1488
+ STH A, -6, *AR5 ; 1489
+ STH A, -6, *AR5- ; 1490
+ STH A, -6, *AR5+ ; 1491
+ STH A, -6, *+AR5 ; 1492
+ STH A, -6, *AR5-0B ; 1493
+ STH A, -6, *AR5-0 ; 1494
+ STH A, -6, *AR5+0 ; 1495
+ STH A, -6, *AR5+0B ; 1496
+ STH A, -6, *AR5-% ; 1497
+ STH A, -6, *AR5-0% ; 1498
+ STH A, -6, *AR5+% ; 1499
+ STH A, -6, *AR5+0% ; 1500
+ STH A, -6, *AR5(0388Ch) ; 1501
+ STH A, -6, *+AR5(0388Dh) ; 1502
+ STH A, -6, *+AR5(0388Eh)% ; 1503
+ STH A, -6, *(0388Fh) ; 1504
+ STH A, -6, *AR6 ; 1505
+ STH A, -6, *AR6- ; 1506
+ STH A, -6, *AR6+ ; 1507
+ STH A, -6, *+AR6 ; 1508
+ STH A, -6, *AR6-0B ; 1509
+ STH A, -6, *AR6-0 ; 1510
+ STH A, -6, *AR6+0 ; 1511
+ STH A, -6, *AR6+0B ; 1512
+ STH A, -6, *AR6-% ; 1513
+ STH A, -6, *AR6-0% ; 1514
+ STH A, -6, *AR6+% ; 1515
+ STH A, -6, *AR6+0% ; 1516
+ STH A, -6, *AR6(03890h) ; 1517
+ STH A, -6, *+AR6(03891h) ; 1518
+ STH A, -6, *+AR6(03892h)% ; 1519
+ STH A, -6, *(03893h) ; 1520
+ STH A, -6, *AR7 ; 1521
+ STH A, -6, *AR7- ; 1522
+ STH A, -6, *AR7+ ; 1523
+ STH A, -6, *+AR7 ; 1524
+ STH A, -6, *AR7-0B ; 1525
+ STH A, -6, *AR7-0 ; 1526
+ STH A, -6, *AR7+0 ; 1527
+ STH A, -6, *AR7+0B ; 1528
+ STH A, -6, *AR7-% ; 1529
+ STH A, -6, *AR7-0% ; 1530
+ STH A, -6, *AR7+% ; 1531
+ STH A, -6, *AR7+0% ; 1532
+ STH A, -6, *AR7(03894h) ; 1533
+ STH A, -6, *+AR7(03895h) ; 1534
+ STH A, -6, *+AR7(03896h)% ; 1535
+ STH A, -6, *(03897h) ; 1536
+ STH A, -5, *AR0 ; 1537
+ STH A, -5, *AR0- ; 1538
+ STH A, -5, *AR0+ ; 1539
+ STH A, -5, *+AR0 ; 1540
+ STH A, -5, *AR0-0B ; 1541
+ STH A, -5, *AR0-0 ; 1542
+ STH A, -5, *AR0+0 ; 1543
+ STH A, -5, *AR0+0B ; 1544
+ STH A, -5, *AR0-% ; 1545
+ STH A, -5, *AR0-0% ; 1546
+ STH A, -5, *AR0+% ; 1547
+ STH A, -5, *AR0+0% ; 1548
+ STH A, -5, *AR0(03898h) ; 1549
+ STH A, -5, *+AR0(03899h) ; 1550
+ STH A, -5, *+AR0(0389Ah)% ; 1551
+ STH A, -5, *(0389Bh) ; 1552
+ STH A, -5, *AR1 ; 1553
+ STH A, -5, *AR1- ; 1554
+ STH A, -5, *AR1+ ; 1555
+ STH A, -5, *+AR1 ; 1556
+ STH A, -5, *AR1-0B ; 1557
+ STH A, -5, *AR1-0 ; 1558
+ STH A, -5, *AR1+0 ; 1559
+ STH A, -5, *AR1+0B ; 1560
+ STH A, -5, *AR1-% ; 1561
+ STH A, -5, *AR1-0% ; 1562
+ STH A, -5, *AR1+% ; 1563
+ STH A, -5, *AR1+0% ; 1564
+ STH A, -5, *AR1(0389Ch) ; 1565
+ STH A, -5, *+AR1(0389Dh) ; 1566
+ STH A, -5, *+AR1(0389Eh)% ; 1567
+ STH A, -5, *(0389Fh) ; 1568
+ STH A, -5, *AR2 ; 1569
+ STH A, -5, *AR2- ; 1570
+ STH A, -5, *AR2+ ; 1571
+ STH A, -5, *+AR2 ; 1572
+ STH A, -5, *AR2-0B ; 1573
+ STH A, -5, *AR2-0 ; 1574
+ STH A, -5, *AR2+0 ; 1575
+ STH A, -5, *AR2+0B ; 1576
+ STH A, -5, *AR2-% ; 1577
+ STH A, -5, *AR2-0% ; 1578
+ STH A, -5, *AR2+% ; 1579
+ STH A, -5, *AR2+0% ; 1580
+ STH A, -5, *AR2(038A0h) ; 1581
+ STH A, -5, *+AR2(038A1h) ; 1582
+ STH A, -5, *+AR2(038A2h)% ; 1583
+ STH A, -5, *(038A3h) ; 1584
+ STH A, -5, *AR3 ; 1585
+ STH A, -5, *AR3- ; 1586
+ STH A, -5, *AR3+ ; 1587
+ STH A, -5, *+AR3 ; 1588
+ STH A, -5, *AR3-0B ; 1589
+ STH A, -5, *AR3-0 ; 1590
+ STH A, -5, *AR3+0 ; 1591
+ STH A, -5, *AR3+0B ; 1592
+ STH A, -5, *AR3-% ; 1593
+ STH A, -5, *AR3-0% ; 1594
+ STH A, -5, *AR3+% ; 1595
+ STH A, -5, *AR3+0% ; 1596
+ STH A, -5, *AR3(038A4h) ; 1597
+ STH A, -5, *+AR3(038A5h) ; 1598
+ STH A, -5, *+AR3(038A6h)% ; 1599
+ STH A, -5, *(038A7h) ; 1600
+ STH A, -5, *AR4 ; 1601
+ STH A, -5, *AR4- ; 1602
+ STH A, -5, *AR4+ ; 1603
+ STH A, -5, *+AR4 ; 1604
+ STH A, -5, *AR4-0B ; 1605
+ STH A, -5, *AR4-0 ; 1606
+ STH A, -5, *AR4+0 ; 1607
+ STH A, -5, *AR4+0B ; 1608
+ STH A, -5, *AR4-% ; 1609
+ STH A, -5, *AR4-0% ; 1610
+ STH A, -5, *AR4+% ; 1611
+ STH A, -5, *AR4+0% ; 1612
+ STH A, -5, *AR4(038A8h) ; 1613
+ STH A, -5, *+AR4(038A9h) ; 1614
+ STH A, -5, *+AR4(038AAh)% ; 1615
+ STH A, -5, *(038ABh) ; 1616
+ STH A, -5, *AR5 ; 1617
+ STH A, -5, *AR5- ; 1618
+ STH A, -5, *AR5+ ; 1619
+ STH A, -5, *+AR5 ; 1620
+ STH A, -5, *AR5-0B ; 1621
+ STH A, -5, *AR5-0 ; 1622
+ STH A, -5, *AR5+0 ; 1623
+ STH A, -5, *AR5+0B ; 1624
+ STH A, -5, *AR5-% ; 1625
+ STH A, -5, *AR5-0% ; 1626
+ STH A, -5, *AR5+% ; 1627
+ STH A, -5, *AR5+0% ; 1628
+ STH A, -5, *AR5(038ACh) ; 1629
+ STH A, -5, *+AR5(038ADh) ; 1630
+ STH A, -5, *+AR5(038AEh)% ; 1631
+ STH A, -5, *(038AFh) ; 1632
+ STH A, -5, *AR6 ; 1633
+ STH A, -5, *AR6- ; 1634
+ STH A, -5, *AR6+ ; 1635
+ STH A, -5, *+AR6 ; 1636
+ STH A, -5, *AR6-0B ; 1637
+ STH A, -5, *AR6-0 ; 1638
+ STH A, -5, *AR6+0 ; 1639
+ STH A, -5, *AR6+0B ; 1640
+ STH A, -5, *AR6-% ; 1641
+ STH A, -5, *AR6-0% ; 1642
+ STH A, -5, *AR6+% ; 1643
+ STH A, -5, *AR6+0% ; 1644
+ STH A, -5, *AR6(038B0h) ; 1645
+ STH A, -5, *+AR6(038B1h) ; 1646
+ STH A, -5, *+AR6(038B2h)% ; 1647
+ STH A, -5, *(038B3h) ; 1648
+ STH A, -5, *AR7 ; 1649
+ STH A, -5, *AR7- ; 1650
+ STH A, -5, *AR7+ ; 1651
+ STH A, -5, *+AR7 ; 1652
+ STH A, -5, *AR7-0B ; 1653
+ STH A, -5, *AR7-0 ; 1654
+ STH A, -5, *AR7+0 ; 1655
+ STH A, -5, *AR7+0B ; 1656
+ STH A, -5, *AR7-% ; 1657
+ STH A, -5, *AR7-0% ; 1658
+ STH A, -5, *AR7+% ; 1659
+ STH A, -5, *AR7+0% ; 1660
+ STH A, -5, *AR7(038B4h) ; 1661
+ STH A, -5, *+AR7(038B5h) ; 1662
+ STH A, -5, *+AR7(038B6h)% ; 1663
+ STH A, -5, *(038B7h) ; 1664
+ STH A, -4, *AR0 ; 1665
+ STH A, -4, *AR0- ; 1666
+ STH A, -4, *AR0+ ; 1667
+ STH A, -4, *+AR0 ; 1668
+ STH A, -4, *AR0-0B ; 1669
+ STH A, -4, *AR0-0 ; 1670
+ STH A, -4, *AR0+0 ; 1671
+ STH A, -4, *AR0+0B ; 1672
+ STH A, -4, *AR0-% ; 1673
+ STH A, -4, *AR0-0% ; 1674
+ STH A, -4, *AR0+% ; 1675
+ STH A, -4, *AR0+0% ; 1676
+ STH A, -4, *AR0(038B8h) ; 1677
+ STH A, -4, *+AR0(038B9h) ; 1678
+ STH A, -4, *+AR0(038BAh)% ; 1679
+ STH A, -4, *(038BBh) ; 1680
+ STH A, -4, *AR1 ; 1681
+ STH A, -4, *AR1- ; 1682
+ STH A, -4, *AR1+ ; 1683
+ STH A, -4, *+AR1 ; 1684
+ STH A, -4, *AR1-0B ; 1685
+ STH A, -4, *AR1-0 ; 1686
+ STH A, -4, *AR1+0 ; 1687
+ STH A, -4, *AR1+0B ; 1688
+ STH A, -4, *AR1-% ; 1689
+ STH A, -4, *AR1-0% ; 1690
+ STH A, -4, *AR1+% ; 1691
+ STH A, -4, *AR1+0% ; 1692
+ STH A, -4, *AR1(038BCh) ; 1693
+ STH A, -4, *+AR1(038BDh) ; 1694
+ STH A, -4, *+AR1(038BEh)% ; 1695
+ STH A, -4, *(038BFh) ; 1696
+ STH A, -4, *AR2 ; 1697
+ STH A, -4, *AR2- ; 1698
+ STH A, -4, *AR2+ ; 1699
+ STH A, -4, *+AR2 ; 1700
+ STH A, -4, *AR2-0B ; 1701
+ STH A, -4, *AR2-0 ; 1702
+ STH A, -4, *AR2+0 ; 1703
+ STH A, -4, *AR2+0B ; 1704
+ STH A, -4, *AR2-% ; 1705
+ STH A, -4, *AR2-0% ; 1706
+ STH A, -4, *AR2+% ; 1707
+ STH A, -4, *AR2+0% ; 1708
+ STH A, -4, *AR2(038C0h) ; 1709
+ STH A, -4, *+AR2(038C1h) ; 1710
+ STH A, -4, *+AR2(038C2h)% ; 1711
+ STH A, -4, *(038C3h) ; 1712
+ STH A, -4, *AR3 ; 1713
+ STH A, -4, *AR3- ; 1714
+ STH A, -4, *AR3+ ; 1715
+ STH A, -4, *+AR3 ; 1716
+ STH A, -4, *AR3-0B ; 1717
+ STH A, -4, *AR3-0 ; 1718
+ STH A, -4, *AR3+0 ; 1719
+ STH A, -4, *AR3+0B ; 1720
+ STH A, -4, *AR3-% ; 1721
+ STH A, -4, *AR3-0% ; 1722
+ STH A, -4, *AR3+% ; 1723
+ STH A, -4, *AR3+0% ; 1724
+ STH A, -4, *AR3(038C4h) ; 1725
+ STH A, -4, *+AR3(038C5h) ; 1726
+ STH A, -4, *+AR3(038C6h)% ; 1727
+ STH A, -4, *(038C7h) ; 1728
+ STH A, -4, *AR4 ; 1729
+ STH A, -4, *AR4- ; 1730
+ STH A, -4, *AR4+ ; 1731
+ STH A, -4, *+AR4 ; 1732
+ STH A, -4, *AR4-0B ; 1733
+ STH A, -4, *AR4-0 ; 1734
+ STH A, -4, *AR4+0 ; 1735
+ STH A, -4, *AR4+0B ; 1736
+ STH A, -4, *AR4-% ; 1737
+ STH A, -4, *AR4-0% ; 1738
+ STH A, -4, *AR4+% ; 1739
+ STH A, -4, *AR4+0% ; 1740
+ STH A, -4, *AR4(038C8h) ; 1741
+ STH A, -4, *+AR4(038C9h) ; 1742
+ STH A, -4, *+AR4(038CAh)% ; 1743
+ STH A, -4, *(038CBh) ; 1744
+ STH A, -4, *AR5 ; 1745
+ STH A, -4, *AR5- ; 1746
+ STH A, -4, *AR5+ ; 1747
+ STH A, -4, *+AR5 ; 1748
+ STH A, -4, *AR5-0B ; 1749
+ STH A, -4, *AR5-0 ; 1750
+ STH A, -4, *AR5+0 ; 1751
+ STH A, -4, *AR5+0B ; 1752
+ STH A, -4, *AR5-% ; 1753
+ STH A, -4, *AR5-0% ; 1754
+ STH A, -4, *AR5+% ; 1755
+ STH A, -4, *AR5+0% ; 1756
+ STH A, -4, *AR5(038CCh) ; 1757
+ STH A, -4, *+AR5(038CDh) ; 1758
+ STH A, -4, *+AR5(038CEh)% ; 1759
+ STH A, -4, *(038CFh) ; 1760
+ STH A, -4, *AR6 ; 1761
+ STH A, -4, *AR6- ; 1762
+ STH A, -4, *AR6+ ; 1763
+ STH A, -4, *+AR6 ; 1764
+ STH A, -4, *AR6-0B ; 1765
+ STH A, -4, *AR6-0 ; 1766
+ STH A, -4, *AR6+0 ; 1767
+ STH A, -4, *AR6+0B ; 1768
+ STH A, -4, *AR6-% ; 1769
+ STH A, -4, *AR6-0% ; 1770
+ STH A, -4, *AR6+% ; 1771
+ STH A, -4, *AR6+0% ; 1772
+ STH A, -4, *AR6(038D0h) ; 1773
+ STH A, -4, *+AR6(038D1h) ; 1774
+ STH A, -4, *+AR6(038D2h)% ; 1775
+ STH A, -4, *(038D3h) ; 1776
+ STH A, -4, *AR7 ; 1777
+ STH A, -4, *AR7- ; 1778
+ STH A, -4, *AR7+ ; 1779
+ STH A, -4, *+AR7 ; 1780
+ STH A, -4, *AR7-0B ; 1781
+ STH A, -4, *AR7-0 ; 1782
+ STH A, -4, *AR7+0 ; 1783
+ STH A, -4, *AR7+0B ; 1784
+ STH A, -4, *AR7-% ; 1785
+ STH A, -4, *AR7-0% ; 1786
+ STH A, -4, *AR7+% ; 1787
+ STH A, -4, *AR7+0% ; 1788
+ STH A, -4, *AR7(038D4h) ; 1789
+ STH A, -4, *+AR7(038D5h) ; 1790
+ STH A, -4, *+AR7(038D6h)% ; 1791
+ STH A, -4, *(038D7h) ; 1792
+ STH A, -3, *AR0 ; 1793
+ STH A, -3, *AR0- ; 1794
+ STH A, -3, *AR0+ ; 1795
+ STH A, -3, *+AR0 ; 1796
+ STH A, -3, *AR0-0B ; 1797
+ STH A, -3, *AR0-0 ; 1798
+ STH A, -3, *AR0+0 ; 1799
+ STH A, -3, *AR0+0B ; 1800
+ STH A, -3, *AR0-% ; 1801
+ STH A, -3, *AR0-0% ; 1802
+ STH A, -3, *AR0+% ; 1803
+ STH A, -3, *AR0+0% ; 1804
+ STH A, -3, *AR0(038D8h) ; 1805
+ STH A, -3, *+AR0(038D9h) ; 1806
+ STH A, -3, *+AR0(038DAh)% ; 1807
+ STH A, -3, *(038DBh) ; 1808
+ STH A, -3, *AR1 ; 1809
+ STH A, -3, *AR1- ; 1810
+ STH A, -3, *AR1+ ; 1811
+ STH A, -3, *+AR1 ; 1812
+ STH A, -3, *AR1-0B ; 1813
+ STH A, -3, *AR1-0 ; 1814
+ STH A, -3, *AR1+0 ; 1815
+ STH A, -3, *AR1+0B ; 1816
+ STH A, -3, *AR1-% ; 1817
+ STH A, -3, *AR1-0% ; 1818
+ STH A, -3, *AR1+% ; 1819
+ STH A, -3, *AR1+0% ; 1820
+ STH A, -3, *AR1(038DCh) ; 1821
+ STH A, -3, *+AR1(038DDh) ; 1822
+ STH A, -3, *+AR1(038DEh)% ; 1823
+ STH A, -3, *(038DFh) ; 1824
+ STH A, -3, *AR2 ; 1825
+ STH A, -3, *AR2- ; 1826
+ STH A, -3, *AR2+ ; 1827
+ STH A, -3, *+AR2 ; 1828
+ STH A, -3, *AR2-0B ; 1829
+ STH A, -3, *AR2-0 ; 1830
+ STH A, -3, *AR2+0 ; 1831
+ STH A, -3, *AR2+0B ; 1832
+ STH A, -3, *AR2-% ; 1833
+ STH A, -3, *AR2-0% ; 1834
+ STH A, -3, *AR2+% ; 1835
+ STH A, -3, *AR2+0% ; 1836
+ STH A, -3, *AR2(038E0h) ; 1837
+ STH A, -3, *+AR2(038E1h) ; 1838
+ STH A, -3, *+AR2(038E2h)% ; 1839
+ STH A, -3, *(038E3h) ; 1840
+ STH A, -3, *AR3 ; 1841
+ STH A, -3, *AR3- ; 1842
+ STH A, -3, *AR3+ ; 1843
+ STH A, -3, *+AR3 ; 1844
+ STH A, -3, *AR3-0B ; 1845
+ STH A, -3, *AR3-0 ; 1846
+ STH A, -3, *AR3+0 ; 1847
+ STH A, -3, *AR3+0B ; 1848
+ STH A, -3, *AR3-% ; 1849
+ STH A, -3, *AR3-0% ; 1850
+ STH A, -3, *AR3+% ; 1851
+ STH A, -3, *AR3+0% ; 1852
+ STH A, -3, *AR3(038E4h) ; 1853
+ STH A, -3, *+AR3(038E5h) ; 1854
+ STH A, -3, *+AR3(038E6h)% ; 1855
+ STH A, -3, *(038E7h) ; 1856
+ STH A, -3, *AR4 ; 1857
+ STH A, -3, *AR4- ; 1858
+ STH A, -3, *AR4+ ; 1859
+ STH A, -3, *+AR4 ; 1860
+ STH A, -3, *AR4-0B ; 1861
+ STH A, -3, *AR4-0 ; 1862
+ STH A, -3, *AR4+0 ; 1863
+ STH A, -3, *AR4+0B ; 1864
+ STH A, -3, *AR4-% ; 1865
+ STH A, -3, *AR4-0% ; 1866
+ STH A, -3, *AR4+% ; 1867
+ STH A, -3, *AR4+0% ; 1868
+ STH A, -3, *AR4(038E8h) ; 1869
+ STH A, -3, *+AR4(038E9h) ; 1870
+ STH A, -3, *+AR4(038EAh)% ; 1871
+ STH A, -3, *(038EBh) ; 1872
+ STH A, -3, *AR5 ; 1873
+ STH A, -3, *AR5- ; 1874
+ STH A, -3, *AR5+ ; 1875
+ STH A, -3, *+AR5 ; 1876
+ STH A, -3, *AR5-0B ; 1877
+ STH A, -3, *AR5-0 ; 1878
+ STH A, -3, *AR5+0 ; 1879
+ STH A, -3, *AR5+0B ; 1880
+ STH A, -3, *AR5-% ; 1881
+ STH A, -3, *AR5-0% ; 1882
+ STH A, -3, *AR5+% ; 1883
+ STH A, -3, *AR5+0% ; 1884
+ STH A, -3, *AR5(038ECh) ; 1885
+ STH A, -3, *+AR5(038EDh) ; 1886
+ STH A, -3, *+AR5(038EEh)% ; 1887
+ STH A, -3, *(038EFh) ; 1888
+ STH A, -3, *AR6 ; 1889
+ STH A, -3, *AR6- ; 1890
+ STH A, -3, *AR6+ ; 1891
+ STH A, -3, *+AR6 ; 1892
+ STH A, -3, *AR6-0B ; 1893
+ STH A, -3, *AR6-0 ; 1894
+ STH A, -3, *AR6+0 ; 1895
+ STH A, -3, *AR6+0B ; 1896
+ STH A, -3, *AR6-% ; 1897
+ STH A, -3, *AR6-0% ; 1898
+ STH A, -3, *AR6+% ; 1899
+ STH A, -3, *AR6+0% ; 1900
+ STH A, -3, *AR6(038F0h) ; 1901
+ STH A, -3, *+AR6(038F1h) ; 1902
+ STH A, -3, *+AR6(038F2h)% ; 1903
+ STH A, -3, *(038F3h) ; 1904
+ STH A, -3, *AR7 ; 1905
+ STH A, -3, *AR7- ; 1906
+ STH A, -3, *AR7+ ; 1907
+ STH A, -3, *+AR7 ; 1908
+ STH A, -3, *AR7-0B ; 1909
+ STH A, -3, *AR7-0 ; 1910
+ STH A, -3, *AR7+0 ; 1911
+ STH A, -3, *AR7+0B ; 1912
+ STH A, -3, *AR7-% ; 1913
+ STH A, -3, *AR7-0% ; 1914
+ STH A, -3, *AR7+% ; 1915
+ STH A, -3, *AR7+0% ; 1916
+ STH A, -3, *AR7(038F4h) ; 1917
+ STH A, -3, *+AR7(038F5h) ; 1918
+ STH A, -3, *+AR7(038F6h)% ; 1919
+ STH A, -3, *(038F7h) ; 1920
+ STH A, -2, *AR0 ; 1921
+ STH A, -2, *AR0- ; 1922
+ STH A, -2, *AR0+ ; 1923
+ STH A, -2, *+AR0 ; 1924
+ STH A, -2, *AR0-0B ; 1925
+ STH A, -2, *AR0-0 ; 1926
+ STH A, -2, *AR0+0 ; 1927
+ STH A, -2, *AR0+0B ; 1928
+ STH A, -2, *AR0-% ; 1929
+ STH A, -2, *AR0-0% ; 1930
+ STH A, -2, *AR0+% ; 1931
+ STH A, -2, *AR0+0% ; 1932
+ STH A, -2, *AR0(038F8h) ; 1933
+ STH A, -2, *+AR0(038F9h) ; 1934
+ STH A, -2, *+AR0(038FAh)% ; 1935
+ STH A, -2, *(038FBh) ; 1936
+ STH A, -2, *AR1 ; 1937
+ STH A, -2, *AR1- ; 1938
+ STH A, -2, *AR1+ ; 1939
+ STH A, -2, *+AR1 ; 1940
+ STH A, -2, *AR1-0B ; 1941
+ STH A, -2, *AR1-0 ; 1942
+ STH A, -2, *AR1+0 ; 1943
+ STH A, -2, *AR1+0B ; 1944
+ STH A, -2, *AR1-% ; 1945
+ STH A, -2, *AR1-0% ; 1946
+ STH A, -2, *AR1+% ; 1947
+ STH A, -2, *AR1+0% ; 1948
+ STH A, -2, *AR1(038FCh) ; 1949
+ STH A, -2, *+AR1(038FDh) ; 1950
+ STH A, -2, *+AR1(038FEh)% ; 1951
+ STH A, -2, *(038FFh) ; 1952
+ STH A, -2, *AR2 ; 1953
+ STH A, -2, *AR2- ; 1954
+ STH A, -2, *AR2+ ; 1955
+ STH A, -2, *+AR2 ; 1956
+ STH A, -2, *AR2-0B ; 1957
+ STH A, -2, *AR2-0 ; 1958
+ STH A, -2, *AR2+0 ; 1959
+ STH A, -2, *AR2+0B ; 1960
+ STH A, -2, *AR2-% ; 1961
+ STH A, -2, *AR2-0% ; 1962
+ STH A, -2, *AR2+% ; 1963
+ STH A, -2, *AR2+0% ; 1964
+ STH A, -2, *AR2(03900h) ; 1965
+ STH A, -2, *+AR2(03901h) ; 1966
+ STH A, -2, *+AR2(03902h)% ; 1967
+ STH A, -2, *(03903h) ; 1968
+ STH A, -2, *AR3 ; 1969
+ STH A, -2, *AR3- ; 1970
+ STH A, -2, *AR3+ ; 1971
+ STH A, -2, *+AR3 ; 1972
+ STH A, -2, *AR3-0B ; 1973
+ STH A, -2, *AR3-0 ; 1974
+ STH A, -2, *AR3+0 ; 1975
+ STH A, -2, *AR3+0B ; 1976
+ STH A, -2, *AR3-% ; 1977
+ STH A, -2, *AR3-0% ; 1978
+ STH A, -2, *AR3+% ; 1979
+ STH A, -2, *AR3+0% ; 1980
+ STH A, -2, *AR3(03904h) ; 1981
+ STH A, -2, *+AR3(03905h) ; 1982
+ STH A, -2, *+AR3(03906h)% ; 1983
+ STH A, -2, *(03907h) ; 1984
+ STH A, -2, *AR4 ; 1985
+ STH A, -2, *AR4- ; 1986
+ STH A, -2, *AR4+ ; 1987
+ STH A, -2, *+AR4 ; 1988
+ STH A, -2, *AR4-0B ; 1989
+ STH A, -2, *AR4-0 ; 1990
+ STH A, -2, *AR4+0 ; 1991
+ STH A, -2, *AR4+0B ; 1992
+ STH A, -2, *AR4-% ; 1993
+ STH A, -2, *AR4-0% ; 1994
+ STH A, -2, *AR4+% ; 1995
+ STH A, -2, *AR4+0% ; 1996
+ STH A, -2, *AR4(03908h) ; 1997
+ STH A, -2, *+AR4(03909h) ; 1998
+ STH A, -2, *+AR4(0390Ah)% ; 1999
+ STH A, -2, *(0390Bh) ; 2000
+ STH A, -2, *AR5 ; 2001
+ STH A, -2, *AR5- ; 2002
+ STH A, -2, *AR5+ ; 2003
+ STH A, -2, *+AR5 ; 2004
+ STH A, -2, *AR5-0B ; 2005
+ STH A, -2, *AR5-0 ; 2006
+ STH A, -2, *AR5+0 ; 2007
+ STH A, -2, *AR5+0B ; 2008
+ STH A, -2, *AR5-% ; 2009
+ STH A, -2, *AR5-0% ; 2010
+ STH A, -2, *AR5+% ; 2011
+ STH A, -2, *AR5+0% ; 2012
+ STH A, -2, *AR5(0390Ch) ; 2013
+ STH A, -2, *+AR5(0390Dh) ; 2014
+ STH A, -2, *+AR5(0390Eh)% ; 2015
+ STH A, -2, *(0390Fh) ; 2016
+ STH A, -2, *AR6 ; 2017
+ STH A, -2, *AR6- ; 2018
+ STH A, -2, *AR6+ ; 2019
+ STH A, -2, *+AR6 ; 2020
+ STH A, -2, *AR6-0B ; 2021
+ STH A, -2, *AR6-0 ; 2022
+ STH A, -2, *AR6+0 ; 2023
+ STH A, -2, *AR6+0B ; 2024
+ STH A, -2, *AR6-% ; 2025
+ STH A, -2, *AR6-0% ; 2026
+ STH A, -2, *AR6+% ; 2027
+ STH A, -2, *AR6+0% ; 2028
+ STH A, -2, *AR6(03910h) ; 2029
+ STH A, -2, *+AR6(03911h) ; 2030
+ STH A, -2, *+AR6(03912h)% ; 2031
+ STH A, -2, *(03913h) ; 2032
+ STH A, -2, *AR7 ; 2033
+ STH A, -2, *AR7- ; 2034
+ STH A, -2, *AR7+ ; 2035
+ STH A, -2, *+AR7 ; 2036
+ STH A, -2, *AR7-0B ; 2037
+ STH A, -2, *AR7-0 ; 2038
+ STH A, -2, *AR7+0 ; 2039
+ STH A, -2, *AR7+0B ; 2040
+ STH A, -2, *AR7-% ; 2041
+ STH A, -2, *AR7-0% ; 2042
+ STH A, -2, *AR7+% ; 2043
+ STH A, -2, *AR7+0% ; 2044
+ STH A, -2, *AR7(03914h) ; 2045
+ STH A, -2, *+AR7(03915h) ; 2046
+ STH A, -2, *+AR7(03916h)% ; 2047
+ STH A, -2, *(03917h) ; 2048
+ STH A, -1, *AR0 ; 2049
+ STH A, -1, *AR0- ; 2050
+ STH A, -1, *AR0+ ; 2051
+ STH A, -1, *+AR0 ; 2052
+ STH A, -1, *AR0-0B ; 2053
+ STH A, -1, *AR0-0 ; 2054
+ STH A, -1, *AR0+0 ; 2055
+ STH A, -1, *AR0+0B ; 2056
+ STH A, -1, *AR0-% ; 2057
+ STH A, -1, *AR0-0% ; 2058
+ STH A, -1, *AR0+% ; 2059
+ STH A, -1, *AR0+0% ; 2060
+ STH A, -1, *AR0(03918h) ; 2061
+ STH A, -1, *+AR0(03919h) ; 2062
+ STH A, -1, *+AR0(0391Ah)% ; 2063
+ STH A, -1, *(0391Bh) ; 2064
+ STH A, -1, *AR1 ; 2065
+ STH A, -1, *AR1- ; 2066
+ STH A, -1, *AR1+ ; 2067
+ STH A, -1, *+AR1 ; 2068
+ STH A, -1, *AR1-0B ; 2069
+ STH A, -1, *AR1-0 ; 2070
+ STH A, -1, *AR1+0 ; 2071
+ STH A, -1, *AR1+0B ; 2072
+ STH A, -1, *AR1-% ; 2073
+ STH A, -1, *AR1-0% ; 2074
+ STH A, -1, *AR1+% ; 2075
+ STH A, -1, *AR1+0% ; 2076
+ STH A, -1, *AR1(0391Ch) ; 2077
+ STH A, -1, *+AR1(0391Dh) ; 2078
+ STH A, -1, *+AR1(0391Eh)% ; 2079
+ STH A, -1, *(0391Fh) ; 2080
+ STH A, -1, *AR2 ; 2081
+ STH A, -1, *AR2- ; 2082
+ STH A, -1, *AR2+ ; 2083
+ STH A, -1, *+AR2 ; 2084
+ STH A, -1, *AR2-0B ; 2085
+ STH A, -1, *AR2-0 ; 2086
+ STH A, -1, *AR2+0 ; 2087
+ STH A, -1, *AR2+0B ; 2088
+ STH A, -1, *AR2-% ; 2089
+ STH A, -1, *AR2-0% ; 2090
+ STH A, -1, *AR2+% ; 2091
+ STH A, -1, *AR2+0% ; 2092
+ STH A, -1, *AR2(03920h) ; 2093
+ STH A, -1, *+AR2(03921h) ; 2094
+ STH A, -1, *+AR2(03922h)% ; 2095
+ STH A, -1, *(03923h) ; 2096
+ STH A, -1, *AR3 ; 2097
+ STH A, -1, *AR3- ; 2098
+ STH A, -1, *AR3+ ; 2099
+ STH A, -1, *+AR3 ; 2100
+ STH A, -1, *AR3-0B ; 2101
+ STH A, -1, *AR3-0 ; 2102
+ STH A, -1, *AR3+0 ; 2103
+ STH A, -1, *AR3+0B ; 2104
+ STH A, -1, *AR3-% ; 2105
+ STH A, -1, *AR3-0% ; 2106
+ STH A, -1, *AR3+% ; 2107
+ STH A, -1, *AR3+0% ; 2108
+ STH A, -1, *AR3(03924h) ; 2109
+ STH A, -1, *+AR3(03925h) ; 2110
+ STH A, -1, *+AR3(03926h)% ; 2111
+ STH A, -1, *(03927h) ; 2112
+ STH A, -1, *AR4 ; 2113
+ STH A, -1, *AR4- ; 2114
+ STH A, -1, *AR4+ ; 2115
+ STH A, -1, *+AR4 ; 2116
+ STH A, -1, *AR4-0B ; 2117
+ STH A, -1, *AR4-0 ; 2118
+ STH A, -1, *AR4+0 ; 2119
+ STH A, -1, *AR4+0B ; 2120
+ STH A, -1, *AR4-% ; 2121
+ STH A, -1, *AR4-0% ; 2122
+ STH A, -1, *AR4+% ; 2123
+ STH A, -1, *AR4+0% ; 2124
+ STH A, -1, *AR4(03928h) ; 2125
+ STH A, -1, *+AR4(03929h) ; 2126
+ STH A, -1, *+AR4(0392Ah)% ; 2127
+ STH A, -1, *(0392Bh) ; 2128
+ STH A, -1, *AR5 ; 2129
+ STH A, -1, *AR5- ; 2130
+ STH A, -1, *AR5+ ; 2131
+ STH A, -1, *+AR5 ; 2132
+ STH A, -1, *AR5-0B ; 2133
+ STH A, -1, *AR5-0 ; 2134
+ STH A, -1, *AR5+0 ; 2135
+ STH A, -1, *AR5+0B ; 2136
+ STH A, -1, *AR5-% ; 2137
+ STH A, -1, *AR5-0% ; 2138
+ STH A, -1, *AR5+% ; 2139
+ STH A, -1, *AR5+0% ; 2140
+ STH A, -1, *AR5(0392Ch) ; 2141
+ STH A, -1, *+AR5(0392Dh) ; 2142
+ STH A, -1, *+AR5(0392Eh)% ; 2143
+ STH A, -1, *(0392Fh) ; 2144
+ STH A, -1, *AR6 ; 2145
+ STH A, -1, *AR6- ; 2146
+ STH A, -1, *AR6+ ; 2147
+ STH A, -1, *+AR6 ; 2148
+ STH A, -1, *AR6-0B ; 2149
+ STH A, -1, *AR6-0 ; 2150
+ STH A, -1, *AR6+0 ; 2151
+ STH A, -1, *AR6+0B ; 2152
+ STH A, -1, *AR6-% ; 2153
+ STH A, -1, *AR6-0% ; 2154
+ STH A, -1, *AR6+% ; 2155
+ STH A, -1, *AR6+0% ; 2156
+ STH A, -1, *AR6(03930h) ; 2157
+ STH A, -1, *+AR6(03931h) ; 2158
+ STH A, -1, *+AR6(03932h)% ; 2159
+ STH A, -1, *(03933h) ; 2160
+ STH A, -1, *AR7 ; 2161
+ STH A, -1, *AR7- ; 2162
+ STH A, -1, *AR7+ ; 2163
+ STH A, -1, *+AR7 ; 2164
+ STH A, -1, *AR7-0B ; 2165
+ STH A, -1, *AR7-0 ; 2166
+ STH A, -1, *AR7+0 ; 2167
+ STH A, -1, *AR7+0B ; 2168
+ STH A, -1, *AR7-% ; 2169
+ STH A, -1, *AR7-0% ; 2170
+ STH A, -1, *AR7+% ; 2171
+ STH A, -1, *AR7+0% ; 2172
+ STH A, -1, *AR7(03934h) ; 2173
+ STH A, -1, *+AR7(03935h) ; 2174
+ STH A, -1, *+AR7(03936h)% ; 2175
+ STH A, -1, *(03937h) ; 2176
+ STH A, 0, *AR0 ; 2177
+ STH A, 0, *AR0- ; 2178
+ STH A, 0, *AR0+ ; 2179
+ STH A, 0, *+AR0 ; 2180
+ STH A, 0, *AR0-0B ; 2181
+ STH A, 0, *AR0-0 ; 2182
+ STH A, 0, *AR0+0 ; 2183
+ STH A, 0, *AR0+0B ; 2184
+ STH A, 0, *AR0-% ; 2185
+ STH A, 0, *AR0-0% ; 2186
+ STH A, 0, *AR0+% ; 2187
+ STH A, 0, *AR0+0% ; 2188
+ STH A, 0, *AR0(03938h) ; 2189
+ STH A, 0, *+AR0(03939h) ; 2190
+ STH A, 0, *+AR0(0393Ah)% ; 2191
+ STH A, 0, *(0393Bh) ; 2192
+ STH A, 0, *AR1 ; 2193
+ STH A, 0, *AR1- ; 2194
+ STH A, 0, *AR1+ ; 2195
+ STH A, 0, *+AR1 ; 2196
+ STH A, 0, *AR1-0B ; 2197
+ STH A, 0, *AR1-0 ; 2198
+ STH A, 0, *AR1+0 ; 2199
+ STH A, 0, *AR1+0B ; 2200
+ STH A, 0, *AR1-% ; 2201
+ STH A, 0, *AR1-0% ; 2202
+ STH A, 0, *AR1+% ; 2203
+ STH A, 0, *AR1+0% ; 2204
+ STH A, 0, *AR1(0393Ch) ; 2205
+ STH A, 0, *+AR1(0393Dh) ; 2206
+ STH A, 0, *+AR1(0393Eh)% ; 2207
+ STH A, 0, *(0393Fh) ; 2208
+ STH A, 0, *AR2 ; 2209
+ STH A, 0, *AR2- ; 2210
+ STH A, 0, *AR2+ ; 2211
+ STH A, 0, *+AR2 ; 2212
+ STH A, 0, *AR2-0B ; 2213
+ STH A, 0, *AR2-0 ; 2214
+ STH A, 0, *AR2+0 ; 2215
+ STH A, 0, *AR2+0B ; 2216
+ STH A, 0, *AR2-% ; 2217
+ STH A, 0, *AR2-0% ; 2218
+ STH A, 0, *AR2+% ; 2219
+ STH A, 0, *AR2+0% ; 2220
+ STH A, 0, *AR2(03940h) ; 2221
+ STH A, 0, *+AR2(03941h) ; 2222
+ STH A, 0, *+AR2(03942h)% ; 2223
+ STH A, 0, *(03943h) ; 2224
+ STH A, 0, *AR3 ; 2225
+ STH A, 0, *AR3- ; 2226
+ STH A, 0, *AR3+ ; 2227
+ STH A, 0, *+AR3 ; 2228
+ STH A, 0, *AR3-0B ; 2229
+ STH A, 0, *AR3-0 ; 2230
+ STH A, 0, *AR3+0 ; 2231
+ STH A, 0, *AR3+0B ; 2232
+ STH A, 0, *AR3-% ; 2233
+ STH A, 0, *AR3-0% ; 2234
+ STH A, 0, *AR3+% ; 2235
+ STH A, 0, *AR3+0% ; 2236
+ STH A, 0, *AR3(03944h) ; 2237
+ STH A, 0, *+AR3(03945h) ; 2238
+ STH A, 0, *+AR3(03946h)% ; 2239
+ STH A, 0, *(03947h) ; 2240
+ STH A, 0, *AR4 ; 2241
+ STH A, 0, *AR4- ; 2242
+ STH A, 0, *AR4+ ; 2243
+ STH A, 0, *+AR4 ; 2244
+ STH A, 0, *AR4-0B ; 2245
+ STH A, 0, *AR4-0 ; 2246
+ STH A, 0, *AR4+0 ; 2247
+ STH A, 0, *AR4+0B ; 2248
+ STH A, 0, *AR4-% ; 2249
+ STH A, 0, *AR4-0% ; 2250
+ STH A, 0, *AR4+% ; 2251
+ STH A, 0, *AR4+0% ; 2252
+ STH A, 0, *AR4(03948h) ; 2253
+ STH A, 0, *+AR4(03949h) ; 2254
+ STH A, 0, *+AR4(0394Ah)% ; 2255
+ STH A, 0, *(0394Bh) ; 2256
+ STH A, 0, *AR5 ; 2257
+ STH A, 0, *AR5- ; 2258
+ STH A, 0, *AR5+ ; 2259
+ STH A, 0, *+AR5 ; 2260
+ STH A, 0, *AR5-0B ; 2261
+ STH A, 0, *AR5-0 ; 2262
+ STH A, 0, *AR5+0 ; 2263
+ STH A, 0, *AR5+0B ; 2264
+ STH A, 0, *AR5-% ; 2265
+ STH A, 0, *AR5-0% ; 2266
+ STH A, 0, *AR5+% ; 2267
+ STH A, 0, *AR5+0% ; 2268
+ STH A, 0, *AR5(0394Ch) ; 2269
+ STH A, 0, *+AR5(0394Dh) ; 2270
+ STH A, 0, *+AR5(0394Eh)% ; 2271
+ STH A, 0, *(0394Fh) ; 2272
+ STH A, 0, *AR6 ; 2273
+ STH A, 0, *AR6- ; 2274
+ STH A, 0, *AR6+ ; 2275
+ STH A, 0, *+AR6 ; 2276
+ STH A, 0, *AR6-0B ; 2277
+ STH A, 0, *AR6-0 ; 2278
+ STH A, 0, *AR6+0 ; 2279
+ STH A, 0, *AR6+0B ; 2280
+ STH A, 0, *AR6-% ; 2281
+ STH A, 0, *AR6-0% ; 2282
+ STH A, 0, *AR6+% ; 2283
+ STH A, 0, *AR6+0% ; 2284
+ STH A, 0, *AR6(03950h) ; 2285
+ STH A, 0, *+AR6(03951h) ; 2286
+ STH A, 0, *+AR6(03952h)% ; 2287
+ STH A, 0, *(03953h) ; 2288
+ STH A, 0, *AR7 ; 2289
+ STH A, 0, *AR7- ; 2290
+ STH A, 0, *AR7+ ; 2291
+ STH A, 0, *+AR7 ; 2292
+ STH A, 0, *AR7-0B ; 2293
+ STH A, 0, *AR7-0 ; 2294
+ STH A, 0, *AR7+0 ; 2295
+ STH A, 0, *AR7+0B ; 2296
+ STH A, 0, *AR7-% ; 2297
+ STH A, 0, *AR7-0% ; 2298
+ STH A, 0, *AR7+% ; 2299
+ STH A, 0, *AR7+0% ; 2300
+ STH A, 0, *AR7(03954h) ; 2301
+ STH A, 0, *+AR7(03955h) ; 2302
+ STH A, 0, *+AR7(03956h)% ; 2303
+ STH A, 0, *(03957h) ; 2304
+ STH A, 1, *AR0 ; 2305
+ STH A, 1, *AR0- ; 2306
+ STH A, 1, *AR0+ ; 2307
+ STH A, 1, *+AR0 ; 2308
+ STH A, 1, *AR0-0B ; 2309
+ STH A, 1, *AR0-0 ; 2310
+ STH A, 1, *AR0+0 ; 2311
+ STH A, 1, *AR0+0B ; 2312
+ STH A, 1, *AR0-% ; 2313
+ STH A, 1, *AR0-0% ; 2314
+ STH A, 1, *AR0+% ; 2315
+ STH A, 1, *AR0+0% ; 2316
+ STH A, 1, *AR0(03958h) ; 2317
+ STH A, 1, *+AR0(03959h) ; 2318
+ STH A, 1, *+AR0(0395Ah)% ; 2319
+ STH A, 1, *(0395Bh) ; 2320
+ STH A, 1, *AR1 ; 2321
+ STH A, 1, *AR1- ; 2322
+ STH A, 1, *AR1+ ; 2323
+ STH A, 1, *+AR1 ; 2324
+ STH A, 1, *AR1-0B ; 2325
+ STH A, 1, *AR1-0 ; 2326
+ STH A, 1, *AR1+0 ; 2327
+ STH A, 1, *AR1+0B ; 2328
+ STH A, 1, *AR1-% ; 2329
+ STH A, 1, *AR1-0% ; 2330
+ STH A, 1, *AR1+% ; 2331
+ STH A, 1, *AR1+0% ; 2332
+ STH A, 1, *AR1(0395Ch) ; 2333
+ STH A, 1, *+AR1(0395Dh) ; 2334
+ STH A, 1, *+AR1(0395Eh)% ; 2335
+ STH A, 1, *(0395Fh) ; 2336
+ STH A, 1, *AR2 ; 2337
+ STH A, 1, *AR2- ; 2338
+ STH A, 1, *AR2+ ; 2339
+ STH A, 1, *+AR2 ; 2340
+ STH A, 1, *AR2-0B ; 2341
+ STH A, 1, *AR2-0 ; 2342
+ STH A, 1, *AR2+0 ; 2343
+ STH A, 1, *AR2+0B ; 2344
+ STH A, 1, *AR2-% ; 2345
+ STH A, 1, *AR2-0% ; 2346
+ STH A, 1, *AR2+% ; 2347
+ STH A, 1, *AR2+0% ; 2348
+ STH A, 1, *AR2(03960h) ; 2349
+ STH A, 1, *+AR2(03961h) ; 2350
+ STH A, 1, *+AR2(03962h)% ; 2351
+ STH A, 1, *(03963h) ; 2352
+ STH A, 1, *AR3 ; 2353
+ STH A, 1, *AR3- ; 2354
+ STH A, 1, *AR3+ ; 2355
+ STH A, 1, *+AR3 ; 2356
+ STH A, 1, *AR3-0B ; 2357
+ STH A, 1, *AR3-0 ; 2358
+ STH A, 1, *AR3+0 ; 2359
+ STH A, 1, *AR3+0B ; 2360
+ STH A, 1, *AR3-% ; 2361
+ STH A, 1, *AR3-0% ; 2362
+ STH A, 1, *AR3+% ; 2363
+ STH A, 1, *AR3+0% ; 2364
+ STH A, 1, *AR3(03964h) ; 2365
+ STH A, 1, *+AR3(03965h) ; 2366
+ STH A, 1, *+AR3(03966h)% ; 2367
+ STH A, 1, *(03967h) ; 2368
+ STH A, 1, *AR4 ; 2369
+ STH A, 1, *AR4- ; 2370
+ STH A, 1, *AR4+ ; 2371
+ STH A, 1, *+AR4 ; 2372
+ STH A, 1, *AR4-0B ; 2373
+ STH A, 1, *AR4-0 ; 2374
+ STH A, 1, *AR4+0 ; 2375
+ STH A, 1, *AR4+0B ; 2376
+ STH A, 1, *AR4-% ; 2377
+ STH A, 1, *AR4-0% ; 2378
+ STH A, 1, *AR4+% ; 2379
+ STH A, 1, *AR4+0% ; 2380
+ STH A, 1, *AR4(03968h) ; 2381
+ STH A, 1, *+AR4(03969h) ; 2382
+ STH A, 1, *+AR4(0396Ah)% ; 2383
+ STH A, 1, *(0396Bh) ; 2384
+ STH A, 1, *AR5 ; 2385
+ STH A, 1, *AR5- ; 2386
+ STH A, 1, *AR5+ ; 2387
+ STH A, 1, *+AR5 ; 2388
+ STH A, 1, *AR5-0B ; 2389
+ STH A, 1, *AR5-0 ; 2390
+ STH A, 1, *AR5+0 ; 2391
+ STH A, 1, *AR5+0B ; 2392
+ STH A, 1, *AR5-% ; 2393
+ STH A, 1, *AR5-0% ; 2394
+ STH A, 1, *AR5+% ; 2395
+ STH A, 1, *AR5+0% ; 2396
+ STH A, 1, *AR5(0396Ch) ; 2397
+ STH A, 1, *+AR5(0396Dh) ; 2398
+ STH A, 1, *+AR5(0396Eh)% ; 2399
+ STH A, 1, *(0396Fh) ; 2400
+ STH A, 1, *AR6 ; 2401
+ STH A, 1, *AR6- ; 2402
+ STH A, 1, *AR6+ ; 2403
+ STH A, 1, *+AR6 ; 2404
+ STH A, 1, *AR6-0B ; 2405
+ STH A, 1, *AR6-0 ; 2406
+ STH A, 1, *AR6+0 ; 2407
+ STH A, 1, *AR6+0B ; 2408
+ STH A, 1, *AR6-% ; 2409
+ STH A, 1, *AR6-0% ; 2410
+ STH A, 1, *AR6+% ; 2411
+ STH A, 1, *AR6+0% ; 2412
+ STH A, 1, *AR6(03970h) ; 2413
+ STH A, 1, *+AR6(03971h) ; 2414
+ STH A, 1, *+AR6(03972h)% ; 2415
+ STH A, 1, *(03973h) ; 2416
+ STH A, 1, *AR7 ; 2417
+ STH A, 1, *AR7- ; 2418
+ STH A, 1, *AR7+ ; 2419
+ STH A, 1, *+AR7 ; 2420
+ STH A, 1, *AR7-0B ; 2421
+ STH A, 1, *AR7-0 ; 2422
+ STH A, 1, *AR7+0 ; 2423
+ STH A, 1, *AR7+0B ; 2424
+ STH A, 1, *AR7-% ; 2425
+ STH A, 1, *AR7-0% ; 2426
+ STH A, 1, *AR7+% ; 2427
+ STH A, 1, *AR7+0% ; 2428
+ STH A, 1, *AR7(03974h) ; 2429
+ STH A, 1, *+AR7(03975h) ; 2430
+ STH A, 1, *+AR7(03976h)% ; 2431
+ STH A, 1, *(03977h) ; 2432
+ STH A, 2, *AR0 ; 2433
+ STH A, 2, *AR0- ; 2434
+ STH A, 2, *AR0+ ; 2435
+ STH A, 2, *+AR0 ; 2436
+ STH A, 2, *AR0-0B ; 2437
+ STH A, 2, *AR0-0 ; 2438
+ STH A, 2, *AR0+0 ; 2439
+ STH A, 2, *AR0+0B ; 2440
+ STH A, 2, *AR0-% ; 2441
+ STH A, 2, *AR0-0% ; 2442
+ STH A, 2, *AR0+% ; 2443
+ STH A, 2, *AR0+0% ; 2444
+ STH A, 2, *AR0(03978h) ; 2445
+ STH A, 2, *+AR0(03979h) ; 2446
+ STH A, 2, *+AR0(0397Ah)% ; 2447
+ STH A, 2, *(0397Bh) ; 2448
+ STH A, 2, *AR1 ; 2449
+ STH A, 2, *AR1- ; 2450
+ STH A, 2, *AR1+ ; 2451
+ STH A, 2, *+AR1 ; 2452
+ STH A, 2, *AR1-0B ; 2453
+ STH A, 2, *AR1-0 ; 2454
+ STH A, 2, *AR1+0 ; 2455
+ STH A, 2, *AR1+0B ; 2456
+ STH A, 2, *AR1-% ; 2457
+ STH A, 2, *AR1-0% ; 2458
+ STH A, 2, *AR1+% ; 2459
+ STH A, 2, *AR1+0% ; 2460
+ STH A, 2, *AR1(0397Ch) ; 2461
+ STH A, 2, *+AR1(0397Dh) ; 2462
+ STH A, 2, *+AR1(0397Eh)% ; 2463
+ STH A, 2, *(0397Fh) ; 2464
+ STH A, 2, *AR2 ; 2465
+ STH A, 2, *AR2- ; 2466
+ STH A, 2, *AR2+ ; 2467
+ STH A, 2, *+AR2 ; 2468
+ STH A, 2, *AR2-0B ; 2469
+ STH A, 2, *AR2-0 ; 2470
+ STH A, 2, *AR2+0 ; 2471
+ STH A, 2, *AR2+0B ; 2472
+ STH A, 2, *AR2-% ; 2473
+ STH A, 2, *AR2-0% ; 2474
+ STH A, 2, *AR2+% ; 2475
+ STH A, 2, *AR2+0% ; 2476
+ STH A, 2, *AR2(03980h) ; 2477
+ STH A, 2, *+AR2(03981h) ; 2478
+ STH A, 2, *+AR2(03982h)% ; 2479
+ STH A, 2, *(03983h) ; 2480
+ STH A, 2, *AR3 ; 2481
+ STH A, 2, *AR3- ; 2482
+ STH A, 2, *AR3+ ; 2483
+ STH A, 2, *+AR3 ; 2484
+ STH A, 2, *AR3-0B ; 2485
+ STH A, 2, *AR3-0 ; 2486
+ STH A, 2, *AR3+0 ; 2487
+ STH A, 2, *AR3+0B ; 2488
+ STH A, 2, *AR3-% ; 2489
+ STH A, 2, *AR3-0% ; 2490
+ STH A, 2, *AR3+% ; 2491
+ STH A, 2, *AR3+0% ; 2492
+ STH A, 2, *AR3(03984h) ; 2493
+ STH A, 2, *+AR3(03985h) ; 2494
+ STH A, 2, *+AR3(03986h)% ; 2495
+ STH A, 2, *(03987h) ; 2496
+ STH A, 2, *AR4 ; 2497
+ STH A, 2, *AR4- ; 2498
+ STH A, 2, *AR4+ ; 2499
+ STH A, 2, *+AR4 ; 2500
+ STH A, 2, *AR4-0B ; 2501
+ STH A, 2, *AR4-0 ; 2502
+ STH A, 2, *AR4+0 ; 2503
+ STH A, 2, *AR4+0B ; 2504
+ STH A, 2, *AR4-% ; 2505
+ STH A, 2, *AR4-0% ; 2506
+ STH A, 2, *AR4+% ; 2507
+ STH A, 2, *AR4+0% ; 2508
+ STH A, 2, *AR4(03988h) ; 2509
+ STH A, 2, *+AR4(03989h) ; 2510
+ STH A, 2, *+AR4(0398Ah)% ; 2511
+ STH A, 2, *(0398Bh) ; 2512
+ STH A, 2, *AR5 ; 2513
+ STH A, 2, *AR5- ; 2514
+ STH A, 2, *AR5+ ; 2515
+ STH A, 2, *+AR5 ; 2516
+ STH A, 2, *AR5-0B ; 2517
+ STH A, 2, *AR5-0 ; 2518
+ STH A, 2, *AR5+0 ; 2519
+ STH A, 2, *AR5+0B ; 2520
+ STH A, 2, *AR5-% ; 2521
+ STH A, 2, *AR5-0% ; 2522
+ STH A, 2, *AR5+% ; 2523
+ STH A, 2, *AR5+0% ; 2524
+ STH A, 2, *AR5(0398Ch) ; 2525
+ STH A, 2, *+AR5(0398Dh) ; 2526
+ STH A, 2, *+AR5(0398Eh)% ; 2527
+ STH A, 2, *(0398Fh) ; 2528
+ STH A, 2, *AR6 ; 2529
+ STH A, 2, *AR6- ; 2530
+ STH A, 2, *AR6+ ; 2531
+ STH A, 2, *+AR6 ; 2532
+ STH A, 2, *AR6-0B ; 2533
+ STH A, 2, *AR6-0 ; 2534
+ STH A, 2, *AR6+0 ; 2535
+ STH A, 2, *AR6+0B ; 2536
+ STH A, 2, *AR6-% ; 2537
+ STH A, 2, *AR6-0% ; 2538
+ STH A, 2, *AR6+% ; 2539
+ STH A, 2, *AR6+0% ; 2540
+ STH A, 2, *AR6(03990h) ; 2541
+ STH A, 2, *+AR6(03991h) ; 2542
+ STH A, 2, *+AR6(03992h)% ; 2543
+ STH A, 2, *(03993h) ; 2544
+ STH A, 2, *AR7 ; 2545
+ STH A, 2, *AR7- ; 2546
+ STH A, 2, *AR7+ ; 2547
+ STH A, 2, *+AR7 ; 2548
+ STH A, 2, *AR7-0B ; 2549
+ STH A, 2, *AR7-0 ; 2550
+ STH A, 2, *AR7+0 ; 2551
+ STH A, 2, *AR7+0B ; 2552
+ STH A, 2, *AR7-% ; 2553
+ STH A, 2, *AR7-0% ; 2554
+ STH A, 2, *AR7+% ; 2555
+ STH A, 2, *AR7+0% ; 2556
+ STH A, 2, *AR7(03994h) ; 2557
+ STH A, 2, *+AR7(03995h) ; 2558
+ STH A, 2, *+AR7(03996h)% ; 2559
+ STH A, 2, *(03997h) ; 2560
+ STH A, 3, *AR0 ; 2561
+ STH A, 3, *AR0- ; 2562
+ STH A, 3, *AR0+ ; 2563
+ STH A, 3, *+AR0 ; 2564
+ STH A, 3, *AR0-0B ; 2565
+ STH A, 3, *AR0-0 ; 2566
+ STH A, 3, *AR0+0 ; 2567
+ STH A, 3, *AR0+0B ; 2568
+ STH A, 3, *AR0-% ; 2569
+ STH A, 3, *AR0-0% ; 2570
+ STH A, 3, *AR0+% ; 2571
+ STH A, 3, *AR0+0% ; 2572
+ STH A, 3, *AR0(03998h) ; 2573
+ STH A, 3, *+AR0(03999h) ; 2574
+ STH A, 3, *+AR0(0399Ah)% ; 2575
+ STH A, 3, *(0399Bh) ; 2576
+ STH A, 3, *AR1 ; 2577
+ STH A, 3, *AR1- ; 2578
+ STH A, 3, *AR1+ ; 2579
+ STH A, 3, *+AR1 ; 2580
+ STH A, 3, *AR1-0B ; 2581
+ STH A, 3, *AR1-0 ; 2582
+ STH A, 3, *AR1+0 ; 2583
+ STH A, 3, *AR1+0B ; 2584
+ STH A, 3, *AR1-% ; 2585
+ STH A, 3, *AR1-0% ; 2586
+ STH A, 3, *AR1+% ; 2587
+ STH A, 3, *AR1+0% ; 2588
+ STH A, 3, *AR1(0399Ch) ; 2589
+ STH A, 3, *+AR1(0399Dh) ; 2590
+ STH A, 3, *+AR1(0399Eh)% ; 2591
+ STH A, 3, *(0399Fh) ; 2592
+ STH A, 3, *AR2 ; 2593
+ STH A, 3, *AR2- ; 2594
+ STH A, 3, *AR2+ ; 2595
+ STH A, 3, *+AR2 ; 2596
+ STH A, 3, *AR2-0B ; 2597
+ STH A, 3, *AR2-0 ; 2598
+ STH A, 3, *AR2+0 ; 2599
+ STH A, 3, *AR2+0B ; 2600
+ STH A, 3, *AR2-% ; 2601
+ STH A, 3, *AR2-0% ; 2602
+ STH A, 3, *AR2+% ; 2603
+ STH A, 3, *AR2+0% ; 2604
+ STH A, 3, *AR2(039A0h) ; 2605
+ STH A, 3, *+AR2(039A1h) ; 2606
+ STH A, 3, *+AR2(039A2h)% ; 2607
+ STH A, 3, *(039A3h) ; 2608
+ STH A, 3, *AR3 ; 2609
+ STH A, 3, *AR3- ; 2610
+ STH A, 3, *AR3+ ; 2611
+ STH A, 3, *+AR3 ; 2612
+ STH A, 3, *AR3-0B ; 2613
+ STH A, 3, *AR3-0 ; 2614
+ STH A, 3, *AR3+0 ; 2615
+ STH A, 3, *AR3+0B ; 2616
+ STH A, 3, *AR3-% ; 2617
+ STH A, 3, *AR3-0% ; 2618
+ STH A, 3, *AR3+% ; 2619
+ STH A, 3, *AR3+0% ; 2620
+ STH A, 3, *AR3(039A4h) ; 2621
+ STH A, 3, *+AR3(039A5h) ; 2622
+ STH A, 3, *+AR3(039A6h)% ; 2623
+ STH A, 3, *(039A7h) ; 2624
+ STH A, 3, *AR4 ; 2625
+ STH A, 3, *AR4- ; 2626
+ STH A, 3, *AR4+ ; 2627
+ STH A, 3, *+AR4 ; 2628
+ STH A, 3, *AR4-0B ; 2629
+ STH A, 3, *AR4-0 ; 2630
+ STH A, 3, *AR4+0 ; 2631
+ STH A, 3, *AR4+0B ; 2632
+ STH A, 3, *AR4-% ; 2633
+ STH A, 3, *AR4-0% ; 2634
+ STH A, 3, *AR4+% ; 2635
+ STH A, 3, *AR4+0% ; 2636
+ STH A, 3, *AR4(039A8h) ; 2637
+ STH A, 3, *+AR4(039A9h) ; 2638
+ STH A, 3, *+AR4(039AAh)% ; 2639
+ STH A, 3, *(039ABh) ; 2640
+ STH A, 3, *AR5 ; 2641
+ STH A, 3, *AR5- ; 2642
+ STH A, 3, *AR5+ ; 2643
+ STH A, 3, *+AR5 ; 2644
+ STH A, 3, *AR5-0B ; 2645
+ STH A, 3, *AR5-0 ; 2646
+ STH A, 3, *AR5+0 ; 2647
+ STH A, 3, *AR5+0B ; 2648
+ STH A, 3, *AR5-% ; 2649
+ STH A, 3, *AR5-0% ; 2650
+ STH A, 3, *AR5+% ; 2651
+ STH A, 3, *AR5+0% ; 2652
+ STH A, 3, *AR5(039ACh) ; 2653
+ STH A, 3, *+AR5(039ADh) ; 2654
+ STH A, 3, *+AR5(039AEh)% ; 2655
+ STH A, 3, *(039AFh) ; 2656
+ STH A, 3, *AR6 ; 2657
+ STH A, 3, *AR6- ; 2658
+ STH A, 3, *AR6+ ; 2659
+ STH A, 3, *+AR6 ; 2660
+ STH A, 3, *AR6-0B ; 2661
+ STH A, 3, *AR6-0 ; 2662
+ STH A, 3, *AR6+0 ; 2663
+ STH A, 3, *AR6+0B ; 2664
+ STH A, 3, *AR6-% ; 2665
+ STH A, 3, *AR6-0% ; 2666
+ STH A, 3, *AR6+% ; 2667
+ STH A, 3, *AR6+0% ; 2668
+ STH A, 3, *AR6(039B0h) ; 2669
+ STH A, 3, *+AR6(039B1h) ; 2670
+ STH A, 3, *+AR6(039B2h)% ; 2671
+ STH A, 3, *(039B3h) ; 2672
+ STH A, 3, *AR7 ; 2673
+ STH A, 3, *AR7- ; 2674
+ STH A, 3, *AR7+ ; 2675
+ STH A, 3, *+AR7 ; 2676
+ STH A, 3, *AR7-0B ; 2677
+ STH A, 3, *AR7-0 ; 2678
+ STH A, 3, *AR7+0 ; 2679
+ STH A, 3, *AR7+0B ; 2680
+ STH A, 3, *AR7-% ; 2681
+ STH A, 3, *AR7-0% ; 2682
+ STH A, 3, *AR7+% ; 2683
+ STH A, 3, *AR7+0% ; 2684
+ STH A, 3, *AR7(039B4h) ; 2685
+ STH A, 3, *+AR7(039B5h) ; 2686
+ STH A, 3, *+AR7(039B6h)% ; 2687
+ STH A, 3, *(039B7h) ; 2688
+ STH A, 4, *AR0 ; 2689
+ STH A, 4, *AR0- ; 2690
+ STH A, 4, *AR0+ ; 2691
+ STH A, 4, *+AR0 ; 2692
+ STH A, 4, *AR0-0B ; 2693
+ STH A, 4, *AR0-0 ; 2694
+ STH A, 4, *AR0+0 ; 2695
+ STH A, 4, *AR0+0B ; 2696
+ STH A, 4, *AR0-% ; 2697
+ STH A, 4, *AR0-0% ; 2698
+ STH A, 4, *AR0+% ; 2699
+ STH A, 4, *AR0+0% ; 2700
+ STH A, 4, *AR0(039B8h) ; 2701
+ STH A, 4, *+AR0(039B9h) ; 2702
+ STH A, 4, *+AR0(039BAh)% ; 2703
+ STH A, 4, *(039BBh) ; 2704
+ STH A, 4, *AR1 ; 2705
+ STH A, 4, *AR1- ; 2706
+ STH A, 4, *AR1+ ; 2707
+ STH A, 4, *+AR1 ; 2708
+ STH A, 4, *AR1-0B ; 2709
+ STH A, 4, *AR1-0 ; 2710
+ STH A, 4, *AR1+0 ; 2711
+ STH A, 4, *AR1+0B ; 2712
+ STH A, 4, *AR1-% ; 2713
+ STH A, 4, *AR1-0% ; 2714
+ STH A, 4, *AR1+% ; 2715
+ STH A, 4, *AR1+0% ; 2716
+ STH A, 4, *AR1(039BCh) ; 2717
+ STH A, 4, *+AR1(039BDh) ; 2718
+ STH A, 4, *+AR1(039BEh)% ; 2719
+ STH A, 4, *(039BFh) ; 2720
+ STH A, 4, *AR2 ; 2721
+ STH A, 4, *AR2- ; 2722
+ STH A, 4, *AR2+ ; 2723
+ STH A, 4, *+AR2 ; 2724
+ STH A, 4, *AR2-0B ; 2725
+ STH A, 4, *AR2-0 ; 2726
+ STH A, 4, *AR2+0 ; 2727
+ STH A, 4, *AR2+0B ; 2728
+ STH A, 4, *AR2-% ; 2729
+ STH A, 4, *AR2-0% ; 2730
+ STH A, 4, *AR2+% ; 2731
+ STH A, 4, *AR2+0% ; 2732
+ STH A, 4, *AR2(039C0h) ; 2733
+ STH A, 4, *+AR2(039C1h) ; 2734
+ STH A, 4, *+AR2(039C2h)% ; 2735
+ STH A, 4, *(039C3h) ; 2736
+ STH A, 4, *AR3 ; 2737
+ STH A, 4, *AR3- ; 2738
+ STH A, 4, *AR3+ ; 2739
+ STH A, 4, *+AR3 ; 2740
+ STH A, 4, *AR3-0B ; 2741
+ STH A, 4, *AR3-0 ; 2742
+ STH A, 4, *AR3+0 ; 2743
+ STH A, 4, *AR3+0B ; 2744
+ STH A, 4, *AR3-% ; 2745
+ STH A, 4, *AR3-0% ; 2746
+ STH A, 4, *AR3+% ; 2747
+ STH A, 4, *AR3+0% ; 2748
+ STH A, 4, *AR3(039C4h) ; 2749
+ STH A, 4, *+AR3(039C5h) ; 2750
+ STH A, 4, *+AR3(039C6h)% ; 2751
+ STH A, 4, *(039C7h) ; 2752
+ STH A, 4, *AR4 ; 2753
+ STH A, 4, *AR4- ; 2754
+ STH A, 4, *AR4+ ; 2755
+ STH A, 4, *+AR4 ; 2756
+ STH A, 4, *AR4-0B ; 2757
+ STH A, 4, *AR4-0 ; 2758
+ STH A, 4, *AR4+0 ; 2759
+ STH A, 4, *AR4+0B ; 2760
+ STH A, 4, *AR4-% ; 2761
+ STH A, 4, *AR4-0% ; 2762
+ STH A, 4, *AR4+% ; 2763
+ STH A, 4, *AR4+0% ; 2764
+ STH A, 4, *AR4(039C8h) ; 2765
+ STH A, 4, *+AR4(039C9h) ; 2766
+ STH A, 4, *+AR4(039CAh)% ; 2767
+ STH A, 4, *(039CBh) ; 2768
+ STH A, 4, *AR5 ; 2769
+ STH A, 4, *AR5- ; 2770
+ STH A, 4, *AR5+ ; 2771
+ STH A, 4, *+AR5 ; 2772
+ STH A, 4, *AR5-0B ; 2773
+ STH A, 4, *AR5-0 ; 2774
+ STH A, 4, *AR5+0 ; 2775
+ STH A, 4, *AR5+0B ; 2776
+ STH A, 4, *AR5-% ; 2777
+ STH A, 4, *AR5-0% ; 2778
+ STH A, 4, *AR5+% ; 2779
+ STH A, 4, *AR5+0% ; 2780
+ STH A, 4, *AR5(039CCh) ; 2781
+ STH A, 4, *+AR5(039CDh) ; 2782
+ STH A, 4, *+AR5(039CEh)% ; 2783
+ STH A, 4, *(039CFh) ; 2784
+ STH A, 4, *AR6 ; 2785
+ STH A, 4, *AR6- ; 2786
+ STH A, 4, *AR6+ ; 2787
+ STH A, 4, *+AR6 ; 2788
+ STH A, 4, *AR6-0B ; 2789
+ STH A, 4, *AR6-0 ; 2790
+ STH A, 4, *AR6+0 ; 2791
+ STH A, 4, *AR6+0B ; 2792
+ STH A, 4, *AR6-% ; 2793
+ STH A, 4, *AR6-0% ; 2794
+ STH A, 4, *AR6+% ; 2795
+ STH A, 4, *AR6+0% ; 2796
+ STH A, 4, *AR6(039D0h) ; 2797
+ STH A, 4, *+AR6(039D1h) ; 2798
+ STH A, 4, *+AR6(039D2h)% ; 2799
+ STH A, 4, *(039D3h) ; 2800
+ STH A, 4, *AR7 ; 2801
+ STH A, 4, *AR7- ; 2802
+ STH A, 4, *AR7+ ; 2803
+ STH A, 4, *+AR7 ; 2804
+ STH A, 4, *AR7-0B ; 2805
+ STH A, 4, *AR7-0 ; 2806
+ STH A, 4, *AR7+0 ; 2807
+ STH A, 4, *AR7+0B ; 2808
+ STH A, 4, *AR7-% ; 2809
+ STH A, 4, *AR7-0% ; 2810
+ STH A, 4, *AR7+% ; 2811
+ STH A, 4, *AR7+0% ; 2812
+ STH A, 4, *AR7(039D4h) ; 2813
+ STH A, 4, *+AR7(039D5h) ; 2814
+ STH A, 4, *+AR7(039D6h)% ; 2815
+ STH A, 4, *(039D7h) ; 2816
+ STH A, 5, *AR0 ; 2817
+ STH A, 5, *AR0- ; 2818
+ STH A, 5, *AR0+ ; 2819
+ STH A, 5, *+AR0 ; 2820
+ STH A, 5, *AR0-0B ; 2821
+ STH A, 5, *AR0-0 ; 2822
+ STH A, 5, *AR0+0 ; 2823
+ STH A, 5, *AR0+0B ; 2824
+ STH A, 5, *AR0-% ; 2825
+ STH A, 5, *AR0-0% ; 2826
+ STH A, 5, *AR0+% ; 2827
+ STH A, 5, *AR0+0% ; 2828
+ STH A, 5, *AR0(039D8h) ; 2829
+ STH A, 5, *+AR0(039D9h) ; 2830
+ STH A, 5, *+AR0(039DAh)% ; 2831
+ STH A, 5, *(039DBh) ; 2832
+ STH A, 5, *AR1 ; 2833
+ STH A, 5, *AR1- ; 2834
+ STH A, 5, *AR1+ ; 2835
+ STH A, 5, *+AR1 ; 2836
+ STH A, 5, *AR1-0B ; 2837
+ STH A, 5, *AR1-0 ; 2838
+ STH A, 5, *AR1+0 ; 2839
+ STH A, 5, *AR1+0B ; 2840
+ STH A, 5, *AR1-% ; 2841
+ STH A, 5, *AR1-0% ; 2842
+ STH A, 5, *AR1+% ; 2843
+ STH A, 5, *AR1+0% ; 2844
+ STH A, 5, *AR1(039DCh) ; 2845
+ STH A, 5, *+AR1(039DDh) ; 2846
+ STH A, 5, *+AR1(039DEh)% ; 2847
+ STH A, 5, *(039DFh) ; 2848
+ STH A, 5, *AR2 ; 2849
+ STH A, 5, *AR2- ; 2850
+ STH A, 5, *AR2+ ; 2851
+ STH A, 5, *+AR2 ; 2852
+ STH A, 5, *AR2-0B ; 2853
+ STH A, 5, *AR2-0 ; 2854
+ STH A, 5, *AR2+0 ; 2855
+ STH A, 5, *AR2+0B ; 2856
+ STH A, 5, *AR2-% ; 2857
+ STH A, 5, *AR2-0% ; 2858
+ STH A, 5, *AR2+% ; 2859
+ STH A, 5, *AR2+0% ; 2860
+ STH A, 5, *AR2(039E0h) ; 2861
+ STH A, 5, *+AR2(039E1h) ; 2862
+ STH A, 5, *+AR2(039E2h)% ; 2863
+ STH A, 5, *(039E3h) ; 2864
+ STH A, 5, *AR3 ; 2865
+ STH A, 5, *AR3- ; 2866
+ STH A, 5, *AR3+ ; 2867
+ STH A, 5, *+AR3 ; 2868
+ STH A, 5, *AR3-0B ; 2869
+ STH A, 5, *AR3-0 ; 2870
+ STH A, 5, *AR3+0 ; 2871
+ STH A, 5, *AR3+0B ; 2872
+ STH A, 5, *AR3-% ; 2873
+ STH A, 5, *AR3-0% ; 2874
+ STH A, 5, *AR3+% ; 2875
+ STH A, 5, *AR3+0% ; 2876
+ STH A, 5, *AR3(039E4h) ; 2877
+ STH A, 5, *+AR3(039E5h) ; 2878
+ STH A, 5, *+AR3(039E6h)% ; 2879
+ STH A, 5, *(039E7h) ; 2880
+ STH A, 5, *AR4 ; 2881
+ STH A, 5, *AR4- ; 2882
+ STH A, 5, *AR4+ ; 2883
+ STH A, 5, *+AR4 ; 2884
+ STH A, 5, *AR4-0B ; 2885
+ STH A, 5, *AR4-0 ; 2886
+ STH A, 5, *AR4+0 ; 2887
+ STH A, 5, *AR4+0B ; 2888
+ STH A, 5, *AR4-% ; 2889
+ STH A, 5, *AR4-0% ; 2890
+ STH A, 5, *AR4+% ; 2891
+ STH A, 5, *AR4+0% ; 2892
+ STH A, 5, *AR4(039E8h) ; 2893
+ STH A, 5, *+AR4(039E9h) ; 2894
+ STH A, 5, *+AR4(039EAh)% ; 2895
+ STH A, 5, *(039EBh) ; 2896
+ STH A, 5, *AR5 ; 2897
+ STH A, 5, *AR5- ; 2898
+ STH A, 5, *AR5+ ; 2899
+ STH A, 5, *+AR5 ; 2900
+ STH A, 5, *AR5-0B ; 2901
+ STH A, 5, *AR5-0 ; 2902
+ STH A, 5, *AR5+0 ; 2903
+ STH A, 5, *AR5+0B ; 2904
+ STH A, 5, *AR5-% ; 2905
+ STH A, 5, *AR5-0% ; 2906
+ STH A, 5, *AR5+% ; 2907
+ STH A, 5, *AR5+0% ; 2908
+ STH A, 5, *AR5(039ECh) ; 2909
+ STH A, 5, *+AR5(039EDh) ; 2910
+ STH A, 5, *+AR5(039EEh)% ; 2911
+ STH A, 5, *(039EFh) ; 2912
+ STH A, 5, *AR6 ; 2913
+ STH A, 5, *AR6- ; 2914
+ STH A, 5, *AR6+ ; 2915
+ STH A, 5, *+AR6 ; 2916
+ STH A, 5, *AR6-0B ; 2917
+ STH A, 5, *AR6-0 ; 2918
+ STH A, 5, *AR6+0 ; 2919
+ STH A, 5, *AR6+0B ; 2920
+ STH A, 5, *AR6-% ; 2921
+ STH A, 5, *AR6-0% ; 2922
+ STH A, 5, *AR6+% ; 2923
+ STH A, 5, *AR6+0% ; 2924
+ STH A, 5, *AR6(039F0h) ; 2925
+ STH A, 5, *+AR6(039F1h) ; 2926
+ STH A, 5, *+AR6(039F2h)% ; 2927
+ STH A, 5, *(039F3h) ; 2928
+ STH A, 5, *AR7 ; 2929
+ STH A, 5, *AR7- ; 2930
+ STH A, 5, *AR7+ ; 2931
+ STH A, 5, *+AR7 ; 2932
+ STH A, 5, *AR7-0B ; 2933
+ STH A, 5, *AR7-0 ; 2934
+ STH A, 5, *AR7+0 ; 2935
+ STH A, 5, *AR7+0B ; 2936
+ STH A, 5, *AR7-% ; 2937
+ STH A, 5, *AR7-0% ; 2938
+ STH A, 5, *AR7+% ; 2939
+ STH A, 5, *AR7+0% ; 2940
+ STH A, 5, *AR7(039F4h) ; 2941
+ STH A, 5, *+AR7(039F5h) ; 2942
+ STH A, 5, *+AR7(039F6h)% ; 2943
+ STH A, 5, *(039F7h) ; 2944
+ STH A, 6, *AR0 ; 2945
+ STH A, 6, *AR0- ; 2946
+ STH A, 6, *AR0+ ; 2947
+ STH A, 6, *+AR0 ; 2948
+ STH A, 6, *AR0-0B ; 2949
+ STH A, 6, *AR0-0 ; 2950
+ STH A, 6, *AR0+0 ; 2951
+ STH A, 6, *AR0+0B ; 2952
+ STH A, 6, *AR0-% ; 2953
+ STH A, 6, *AR0-0% ; 2954
+ STH A, 6, *AR0+% ; 2955
+ STH A, 6, *AR0+0% ; 2956
+ STH A, 6, *AR0(039F8h) ; 2957
+ STH A, 6, *+AR0(039F9h) ; 2958
+ STH A, 6, *+AR0(039FAh)% ; 2959
+ STH A, 6, *(039FBh) ; 2960
+ STH A, 6, *AR1 ; 2961
+ STH A, 6, *AR1- ; 2962
+ STH A, 6, *AR1+ ; 2963
+ STH A, 6, *+AR1 ; 2964
+ STH A, 6, *AR1-0B ; 2965
+ STH A, 6, *AR1-0 ; 2966
+ STH A, 6, *AR1+0 ; 2967
+ STH A, 6, *AR1+0B ; 2968
+ STH A, 6, *AR1-% ; 2969
+ STH A, 6, *AR1-0% ; 2970
+ STH A, 6, *AR1+% ; 2971
+ STH A, 6, *AR1+0% ; 2972
+ STH A, 6, *AR1(039FCh) ; 2973
+ STH A, 6, *+AR1(039FDh) ; 2974
+ STH A, 6, *+AR1(039FEh)% ; 2975
+ STH A, 6, *(039FFh) ; 2976
+ STH A, 6, *AR2 ; 2977
+ STH A, 6, *AR2- ; 2978
+ STH A, 6, *AR2+ ; 2979
+ STH A, 6, *+AR2 ; 2980
+ STH A, 6, *AR2-0B ; 2981
+ STH A, 6, *AR2-0 ; 2982
+ STH A, 6, *AR2+0 ; 2983
+ STH A, 6, *AR2+0B ; 2984
+ STH A, 6, *AR2-% ; 2985
+ STH A, 6, *AR2-0% ; 2986
+ STH A, 6, *AR2+% ; 2987
+ STH A, 6, *AR2+0% ; 2988
+ STH A, 6, *AR2(03A00h) ; 2989
+ STH A, 6, *+AR2(03A01h) ; 2990
+ STH A, 6, *+AR2(03A02h)% ; 2991
+ STH A, 6, *(03A03h) ; 2992
+ STH A, 6, *AR3 ; 2993
+ STH A, 6, *AR3- ; 2994
+ STH A, 6, *AR3+ ; 2995
+ STH A, 6, *+AR3 ; 2996
+ STH A, 6, *AR3-0B ; 2997
+ STH A, 6, *AR3-0 ; 2998
+ STH A, 6, *AR3+0 ; 2999
+ STH A, 6, *AR3+0B ; 3000
+ STH A, 6, *AR3-% ; 3001
+ STH A, 6, *AR3-0% ; 3002
+ STH A, 6, *AR3+% ; 3003
+ STH A, 6, *AR3+0% ; 3004
+ STH A, 6, *AR3(03A04h) ; 3005
+ STH A, 6, *+AR3(03A05h) ; 3006
+ STH A, 6, *+AR3(03A06h)% ; 3007
+ STH A, 6, *(03A07h) ; 3008
+ STH A, 6, *AR4 ; 3009
+ STH A, 6, *AR4- ; 3010
+ STH A, 6, *AR4+ ; 3011
+ STH A, 6, *+AR4 ; 3012
+ STH A, 6, *AR4-0B ; 3013
+ STH A, 6, *AR4-0 ; 3014
+ STH A, 6, *AR4+0 ; 3015
+ STH A, 6, *AR4+0B ; 3016
+ STH A, 6, *AR4-% ; 3017
+ STH A, 6, *AR4-0% ; 3018
+ STH A, 6, *AR4+% ; 3019
+ STH A, 6, *AR4+0% ; 3020
+ STH A, 6, *AR4(03A08h) ; 3021
+ STH A, 6, *+AR4(03A09h) ; 3022
+ STH A, 6, *+AR4(03A0Ah)% ; 3023
+ STH A, 6, *(03A0Bh) ; 3024
+ STH A, 6, *AR5 ; 3025
+ STH A, 6, *AR5- ; 3026
+ STH A, 6, *AR5+ ; 3027
+ STH A, 6, *+AR5 ; 3028
+ STH A, 6, *AR5-0B ; 3029
+ STH A, 6, *AR5-0 ; 3030
+ STH A, 6, *AR5+0 ; 3031
+ STH A, 6, *AR5+0B ; 3032
+ STH A, 6, *AR5-% ; 3033
+ STH A, 6, *AR5-0% ; 3034
+ STH A, 6, *AR5+% ; 3035
+ STH A, 6, *AR5+0% ; 3036
+ STH A, 6, *AR5(03A0Ch) ; 3037
+ STH A, 6, *+AR5(03A0Dh) ; 3038
+ STH A, 6, *+AR5(03A0Eh)% ; 3039
+ STH A, 6, *(03A0Fh) ; 3040
+ STH A, 6, *AR6 ; 3041
+ STH A, 6, *AR6- ; 3042
+ STH A, 6, *AR6+ ; 3043
+ STH A, 6, *+AR6 ; 3044
+ STH A, 6, *AR6-0B ; 3045
+ STH A, 6, *AR6-0 ; 3046
+ STH A, 6, *AR6+0 ; 3047
+ STH A, 6, *AR6+0B ; 3048
+ STH A, 6, *AR6-% ; 3049
+ STH A, 6, *AR6-0% ; 3050
+ STH A, 6, *AR6+% ; 3051
+ STH A, 6, *AR6+0% ; 3052
+ STH A, 6, *AR6(03A10h) ; 3053
+ STH A, 6, *+AR6(03A11h) ; 3054
+ STH A, 6, *+AR6(03A12h)% ; 3055
+ STH A, 6, *(03A13h) ; 3056
+ STH A, 6, *AR7 ; 3057
+ STH A, 6, *AR7- ; 3058
+ STH A, 6, *AR7+ ; 3059
+ STH A, 6, *+AR7 ; 3060
+ STH A, 6, *AR7-0B ; 3061
+ STH A, 6, *AR7-0 ; 3062
+ STH A, 6, *AR7+0 ; 3063
+ STH A, 6, *AR7+0B ; 3064
+ STH A, 6, *AR7-% ; 3065
+ STH A, 6, *AR7-0% ; 3066
+ STH A, 6, *AR7+% ; 3067
+ STH A, 6, *AR7+0% ; 3068
+ STH A, 6, *AR7(03A14h) ; 3069
+ STH A, 6, *+AR7(03A15h) ; 3070
+ STH A, 6, *+AR7(03A16h)% ; 3071
+ STH A, 6, *(03A17h) ; 3072
+ STH A, 7, *AR0 ; 3073
+ STH A, 7, *AR0- ; 3074
+ STH A, 7, *AR0+ ; 3075
+ STH A, 7, *+AR0 ; 3076
+ STH A, 7, *AR0-0B ; 3077
+ STH A, 7, *AR0-0 ; 3078
+ STH A, 7, *AR0+0 ; 3079
+ STH A, 7, *AR0+0B ; 3080
+ STH A, 7, *AR0-% ; 3081
+ STH A, 7, *AR0-0% ; 3082
+ STH A, 7, *AR0+% ; 3083
+ STH A, 7, *AR0+0% ; 3084
+ STH A, 7, *AR0(03A18h) ; 3085
+ STH A, 7, *+AR0(03A19h) ; 3086
+ STH A, 7, *+AR0(03A1Ah)% ; 3087
+ STH A, 7, *(03A1Bh) ; 3088
+ STH A, 7, *AR1 ; 3089
+ STH A, 7, *AR1- ; 3090
+ STH A, 7, *AR1+ ; 3091
+ STH A, 7, *+AR1 ; 3092
+ STH A, 7, *AR1-0B ; 3093
+ STH A, 7, *AR1-0 ; 3094
+ STH A, 7, *AR1+0 ; 3095
+ STH A, 7, *AR1+0B ; 3096
+ STH A, 7, *AR1-% ; 3097
+ STH A, 7, *AR1-0% ; 3098
+ STH A, 7, *AR1+% ; 3099
+ STH A, 7, *AR1+0% ; 3100
+ STH A, 7, *AR1(03A1Ch) ; 3101
+ STH A, 7, *+AR1(03A1Dh) ; 3102
+ STH A, 7, *+AR1(03A1Eh)% ; 3103
+ STH A, 7, *(03A1Fh) ; 3104
+ STH A, 7, *AR2 ; 3105
+ STH A, 7, *AR2- ; 3106
+ STH A, 7, *AR2+ ; 3107
+ STH A, 7, *+AR2 ; 3108
+ STH A, 7, *AR2-0B ; 3109
+ STH A, 7, *AR2-0 ; 3110
+ STH A, 7, *AR2+0 ; 3111
+ STH A, 7, *AR2+0B ; 3112
+ STH A, 7, *AR2-% ; 3113
+ STH A, 7, *AR2-0% ; 3114
+ STH A, 7, *AR2+% ; 3115
+ STH A, 7, *AR2+0% ; 3116
+ STH A, 7, *AR2(03A20h) ; 3117
+ STH A, 7, *+AR2(03A21h) ; 3118
+ STH A, 7, *+AR2(03A22h)% ; 3119
+ STH A, 7, *(03A23h) ; 3120
+ STH A, 7, *AR3 ; 3121
+ STH A, 7, *AR3- ; 3122
+ STH A, 7, *AR3+ ; 3123
+ STH A, 7, *+AR3 ; 3124
+ STH A, 7, *AR3-0B ; 3125
+ STH A, 7, *AR3-0 ; 3126
+ STH A, 7, *AR3+0 ; 3127
+ STH A, 7, *AR3+0B ; 3128
+ STH A, 7, *AR3-% ; 3129
+ STH A, 7, *AR3-0% ; 3130
+ STH A, 7, *AR3+% ; 3131
+ STH A, 7, *AR3+0% ; 3132
+ STH A, 7, *AR3(03A24h) ; 3133
+ STH A, 7, *+AR3(03A25h) ; 3134
+ STH A, 7, *+AR3(03A26h)% ; 3135
+ STH A, 7, *(03A27h) ; 3136
+ STH A, 7, *AR4 ; 3137
+ STH A, 7, *AR4- ; 3138
+ STH A, 7, *AR4+ ; 3139
+ STH A, 7, *+AR4 ; 3140
+ STH A, 7, *AR4-0B ; 3141
+ STH A, 7, *AR4-0 ; 3142
+ STH A, 7, *AR4+0 ; 3143
+ STH A, 7, *AR4+0B ; 3144
+ STH A, 7, *AR4-% ; 3145
+ STH A, 7, *AR4-0% ; 3146
+ STH A, 7, *AR4+% ; 3147
+ STH A, 7, *AR4+0% ; 3148
+ STH A, 7, *AR4(03A28h) ; 3149
+ STH A, 7, *+AR4(03A29h) ; 3150
+ STH A, 7, *+AR4(03A2Ah)% ; 3151
+ STH A, 7, *(03A2Bh) ; 3152
+ STH A, 7, *AR5 ; 3153
+ STH A, 7, *AR5- ; 3154
+ STH A, 7, *AR5+ ; 3155
+ STH A, 7, *+AR5 ; 3156
+ STH A, 7, *AR5-0B ; 3157
+ STH A, 7, *AR5-0 ; 3158
+ STH A, 7, *AR5+0 ; 3159
+ STH A, 7, *AR5+0B ; 3160
+ STH A, 7, *AR5-% ; 3161
+ STH A, 7, *AR5-0% ; 3162
+ STH A, 7, *AR5+% ; 3163
+ STH A, 7, *AR5+0% ; 3164
+ STH A, 7, *AR5(03A2Ch) ; 3165
+ STH A, 7, *+AR5(03A2Dh) ; 3166
+ STH A, 7, *+AR5(03A2Eh)% ; 3167
+ STH A, 7, *(03A2Fh) ; 3168
+ STH A, 7, *AR6 ; 3169
+ STH A, 7, *AR6- ; 3170
+ STH A, 7, *AR6+ ; 3171
+ STH A, 7, *+AR6 ; 3172
+ STH A, 7, *AR6-0B ; 3173
+ STH A, 7, *AR6-0 ; 3174
+ STH A, 7, *AR6+0 ; 3175
+ STH A, 7, *AR6+0B ; 3176
+ STH A, 7, *AR6-% ; 3177
+ STH A, 7, *AR6-0% ; 3178
+ STH A, 7, *AR6+% ; 3179
+ STH A, 7, *AR6+0% ; 3180
+ STH A, 7, *AR6(03A30h) ; 3181
+ STH A, 7, *+AR6(03A31h) ; 3182
+ STH A, 7, *+AR6(03A32h)% ; 3183
+ STH A, 7, *(03A33h) ; 3184
+ STH A, 7, *AR7 ; 3185
+ STH A, 7, *AR7- ; 3186
+ STH A, 7, *AR7+ ; 3187
+ STH A, 7, *+AR7 ; 3188
+ STH A, 7, *AR7-0B ; 3189
+ STH A, 7, *AR7-0 ; 3190
+ STH A, 7, *AR7+0 ; 3191
+ STH A, 7, *AR7+0B ; 3192
+ STH A, 7, *AR7-% ; 3193
+ STH A, 7, *AR7-0% ; 3194
+ STH A, 7, *AR7+% ; 3195
+ STH A, 7, *AR7+0% ; 3196
+ STH A, 7, *AR7(03A34h) ; 3197
+ STH A, 7, *+AR7(03A35h) ; 3198
+ STH A, 7, *+AR7(03A36h)% ; 3199
+ STH A, 7, *(03A37h) ; 3200
+ STH A, 8, *AR0 ; 3201
+ STH A, 8, *AR0- ; 3202
+ STH A, 8, *AR0+ ; 3203
+ STH A, 8, *+AR0 ; 3204
+ STH A, 8, *AR0-0B ; 3205
+ STH A, 8, *AR0-0 ; 3206
+ STH A, 8, *AR0+0 ; 3207
+ STH A, 8, *AR0+0B ; 3208
+ STH A, 8, *AR0-% ; 3209
+ STH A, 8, *AR0-0% ; 3210
+ STH A, 8, *AR0+% ; 3211
+ STH A, 8, *AR0+0% ; 3212
+ STH A, 8, *AR0(03A38h) ; 3213
+ STH A, 8, *+AR0(03A39h) ; 3214
+ STH A, 8, *+AR0(03A3Ah)% ; 3215
+ STH A, 8, *(03A3Bh) ; 3216
+ STH A, 8, *AR1 ; 3217
+ STH A, 8, *AR1- ; 3218
+ STH A, 8, *AR1+ ; 3219
+ STH A, 8, *+AR1 ; 3220
+ STH A, 8, *AR1-0B ; 3221
+ STH A, 8, *AR1-0 ; 3222
+ STH A, 8, *AR1+0 ; 3223
+ STH A, 8, *AR1+0B ; 3224
+ STH A, 8, *AR1-% ; 3225
+ STH A, 8, *AR1-0% ; 3226
+ STH A, 8, *AR1+% ; 3227
+ STH A, 8, *AR1+0% ; 3228
+ STH A, 8, *AR1(03A3Ch) ; 3229
+ STH A, 8, *+AR1(03A3Dh) ; 3230
+ STH A, 8, *+AR1(03A3Eh)% ; 3231
+ STH A, 8, *(03A3Fh) ; 3232
+ STH A, 8, *AR2 ; 3233
+ STH A, 8, *AR2- ; 3234
+ STH A, 8, *AR2+ ; 3235
+ STH A, 8, *+AR2 ; 3236
+ STH A, 8, *AR2-0B ; 3237
+ STH A, 8, *AR2-0 ; 3238
+ STH A, 8, *AR2+0 ; 3239
+ STH A, 8, *AR2+0B ; 3240
+ STH A, 8, *AR2-% ; 3241
+ STH A, 8, *AR2-0% ; 3242
+ STH A, 8, *AR2+% ; 3243
+ STH A, 8, *AR2+0% ; 3244
+ STH A, 8, *AR2(03A40h) ; 3245
+ STH A, 8, *+AR2(03A41h) ; 3246
+ STH A, 8, *+AR2(03A42h)% ; 3247
+ STH A, 8, *(03A43h) ; 3248
+ STH A, 8, *AR3 ; 3249
+ STH A, 8, *AR3- ; 3250
+ STH A, 8, *AR3+ ; 3251
+ STH A, 8, *+AR3 ; 3252
+ STH A, 8, *AR3-0B ; 3253
+ STH A, 8, *AR3-0 ; 3254
+ STH A, 8, *AR3+0 ; 3255
+ STH A, 8, *AR3+0B ; 3256
+ STH A, 8, *AR3-% ; 3257
+ STH A, 8, *AR3-0% ; 3258
+ STH A, 8, *AR3+% ; 3259
+ STH A, 8, *AR3+0% ; 3260
+ STH A, 8, *AR3(03A44h) ; 3261
+ STH A, 8, *+AR3(03A45h) ; 3262
+ STH A, 8, *+AR3(03A46h)% ; 3263
+ STH A, 8, *(03A47h) ; 3264
+ STH A, 8, *AR4 ; 3265
+ STH A, 8, *AR4- ; 3266
+ STH A, 8, *AR4+ ; 3267
+ STH A, 8, *+AR4 ; 3268
+ STH A, 8, *AR4-0B ; 3269
+ STH A, 8, *AR4-0 ; 3270
+ STH A, 8, *AR4+0 ; 3271
+ STH A, 8, *AR4+0B ; 3272
+ STH A, 8, *AR4-% ; 3273
+ STH A, 8, *AR4-0% ; 3274
+ STH A, 8, *AR4+% ; 3275
+ STH A, 8, *AR4+0% ; 3276
+ STH A, 8, *AR4(03A48h) ; 3277
+ STH A, 8, *+AR4(03A49h) ; 3278
+ STH A, 8, *+AR4(03A4Ah)% ; 3279
+ STH A, 8, *(03A4Bh) ; 3280
+ STH A, 8, *AR5 ; 3281
+ STH A, 8, *AR5- ; 3282
+ STH A, 8, *AR5+ ; 3283
+ STH A, 8, *+AR5 ; 3284
+ STH A, 8, *AR5-0B ; 3285
+ STH A, 8, *AR5-0 ; 3286
+ STH A, 8, *AR5+0 ; 3287
+ STH A, 8, *AR5+0B ; 3288
+ STH A, 8, *AR5-% ; 3289
+ STH A, 8, *AR5-0% ; 3290
+ STH A, 8, *AR5+% ; 3291
+ STH A, 8, *AR5+0% ; 3292
+ STH A, 8, *AR5(03A4Ch) ; 3293
+ STH A, 8, *+AR5(03A4Dh) ; 3294
+ STH A, 8, *+AR5(03A4Eh)% ; 3295
+ STH A, 8, *(03A4Fh) ; 3296
+ STH A, 8, *AR6 ; 3297
+ STH A, 8, *AR6- ; 3298
+ STH A, 8, *AR6+ ; 3299
+ STH A, 8, *+AR6 ; 3300
+ STH A, 8, *AR6-0B ; 3301
+ STH A, 8, *AR6-0 ; 3302
+ STH A, 8, *AR6+0 ; 3303
+ STH A, 8, *AR6+0B ; 3304
+ STH A, 8, *AR6-% ; 3305
+ STH A, 8, *AR6-0% ; 3306
+ STH A, 8, *AR6+% ; 3307
+ STH A, 8, *AR6+0% ; 3308
+ STH A, 8, *AR6(03A50h) ; 3309
+ STH A, 8, *+AR6(03A51h) ; 3310
+ STH A, 8, *+AR6(03A52h)% ; 3311
+ STH A, 8, *(03A53h) ; 3312
+ STH A, 8, *AR7 ; 3313
+ STH A, 8, *AR7- ; 3314
+ STH A, 8, *AR7+ ; 3315
+ STH A, 8, *+AR7 ; 3316
+ STH A, 8, *AR7-0B ; 3317
+ STH A, 8, *AR7-0 ; 3318
+ STH A, 8, *AR7+0 ; 3319
+ STH A, 8, *AR7+0B ; 3320
+ STH A, 8, *AR7-% ; 3321
+ STH A, 8, *AR7-0% ; 3322
+ STH A, 8, *AR7+% ; 3323
+ STH A, 8, *AR7+0% ; 3324
+ STH A, 8, *AR7(03A54h) ; 3325
+ STH A, 8, *+AR7(03A55h) ; 3326
+ STH A, 8, *+AR7(03A56h)% ; 3327
+ STH A, 8, *(03A57h) ; 3328
+ STH A, 9, *AR0 ; 3329
+ STH A, 9, *AR0- ; 3330
+ STH A, 9, *AR0+ ; 3331
+ STH A, 9, *+AR0 ; 3332
+ STH A, 9, *AR0-0B ; 3333
+ STH A, 9, *AR0-0 ; 3334
+ STH A, 9, *AR0+0 ; 3335
+ STH A, 9, *AR0+0B ; 3336
+ STH A, 9, *AR0-% ; 3337
+ STH A, 9, *AR0-0% ; 3338
+ STH A, 9, *AR0+% ; 3339
+ STH A, 9, *AR0+0% ; 3340
+ STH A, 9, *AR0(03A58h) ; 3341
+ STH A, 9, *+AR0(03A59h) ; 3342
+ STH A, 9, *+AR0(03A5Ah)% ; 3343
+ STH A, 9, *(03A5Bh) ; 3344
+ STH A, 9, *AR1 ; 3345
+ STH A, 9, *AR1- ; 3346
+ STH A, 9, *AR1+ ; 3347
+ STH A, 9, *+AR1 ; 3348
+ STH A, 9, *AR1-0B ; 3349
+ STH A, 9, *AR1-0 ; 3350
+ STH A, 9, *AR1+0 ; 3351
+ STH A, 9, *AR1+0B ; 3352
+ STH A, 9, *AR1-% ; 3353
+ STH A, 9, *AR1-0% ; 3354
+ STH A, 9, *AR1+% ; 3355
+ STH A, 9, *AR1+0% ; 3356
+ STH A, 9, *AR1(03A5Ch) ; 3357
+ STH A, 9, *+AR1(03A5Dh) ; 3358
+ STH A, 9, *+AR1(03A5Eh)% ; 3359
+ STH A, 9, *(03A5Fh) ; 3360
+ STH A, 9, *AR2 ; 3361
+ STH A, 9, *AR2- ; 3362
+ STH A, 9, *AR2+ ; 3363
+ STH A, 9, *+AR2 ; 3364
+ STH A, 9, *AR2-0B ; 3365
+ STH A, 9, *AR2-0 ; 3366
+ STH A, 9, *AR2+0 ; 3367
+ STH A, 9, *AR2+0B ; 3368
+ STH A, 9, *AR2-% ; 3369
+ STH A, 9, *AR2-0% ; 3370
+ STH A, 9, *AR2+% ; 3371
+ STH A, 9, *AR2+0% ; 3372
+ STH A, 9, *AR2(03A60h) ; 3373
+ STH A, 9, *+AR2(03A61h) ; 3374
+ STH A, 9, *+AR2(03A62h)% ; 3375
+ STH A, 9, *(03A63h) ; 3376
+ STH A, 9, *AR3 ; 3377
+ STH A, 9, *AR3- ; 3378
+ STH A, 9, *AR3+ ; 3379
+ STH A, 9, *+AR3 ; 3380
+ STH A, 9, *AR3-0B ; 3381
+ STH A, 9, *AR3-0 ; 3382
+ STH A, 9, *AR3+0 ; 3383
+ STH A, 9, *AR3+0B ; 3384
+ STH A, 9, *AR3-% ; 3385
+ STH A, 9, *AR3-0% ; 3386
+ STH A, 9, *AR3+% ; 3387
+ STH A, 9, *AR3+0% ; 3388
+ STH A, 9, *AR3(03A64h) ; 3389
+ STH A, 9, *+AR3(03A65h) ; 3390
+ STH A, 9, *+AR3(03A66h)% ; 3391
+ STH A, 9, *(03A67h) ; 3392
+ STH A, 9, *AR4 ; 3393
+ STH A, 9, *AR4- ; 3394
+ STH A, 9, *AR4+ ; 3395
+ STH A, 9, *+AR4 ; 3396
+ STH A, 9, *AR4-0B ; 3397
+ STH A, 9, *AR4-0 ; 3398
+ STH A, 9, *AR4+0 ; 3399
+ STH A, 9, *AR4+0B ; 3400
+ STH A, 9, *AR4-% ; 3401
+ STH A, 9, *AR4-0% ; 3402
+ STH A, 9, *AR4+% ; 3403
+ STH A, 9, *AR4+0% ; 3404
+ STH A, 9, *AR4(03A68h) ; 3405
+ STH A, 9, *+AR4(03A69h) ; 3406
+ STH A, 9, *+AR4(03A6Ah)% ; 3407
+ STH A, 9, *(03A6Bh) ; 3408
+ STH A, 9, *AR5 ; 3409
+ STH A, 9, *AR5- ; 3410
+ STH A, 9, *AR5+ ; 3411
+ STH A, 9, *+AR5 ; 3412
+ STH A, 9, *AR5-0B ; 3413
+ STH A, 9, *AR5-0 ; 3414
+ STH A, 9, *AR5+0 ; 3415
+ STH A, 9, *AR5+0B ; 3416
+ STH A, 9, *AR5-% ; 3417
+ STH A, 9, *AR5-0% ; 3418
+ STH A, 9, *AR5+% ; 3419
+ STH A, 9, *AR5+0% ; 3420
+ STH A, 9, *AR5(03A6Ch) ; 3421
+ STH A, 9, *+AR5(03A6Dh) ; 3422
+ STH A, 9, *+AR5(03A6Eh)% ; 3423
+ STH A, 9, *(03A6Fh) ; 3424
+ STH A, 9, *AR6 ; 3425
+ STH A, 9, *AR6- ; 3426
+ STH A, 9, *AR6+ ; 3427
+ STH A, 9, *+AR6 ; 3428
+ STH A, 9, *AR6-0B ; 3429
+ STH A, 9, *AR6-0 ; 3430
+ STH A, 9, *AR6+0 ; 3431
+ STH A, 9, *AR6+0B ; 3432
+ STH A, 9, *AR6-% ; 3433
+ STH A, 9, *AR6-0% ; 3434
+ STH A, 9, *AR6+% ; 3435
+ STH A, 9, *AR6+0% ; 3436
+ STH A, 9, *AR6(03A70h) ; 3437
+ STH A, 9, *+AR6(03A71h) ; 3438
+ STH A, 9, *+AR6(03A72h)% ; 3439
+ STH A, 9, *(03A73h) ; 3440
+ STH A, 9, *AR7 ; 3441
+ STH A, 9, *AR7- ; 3442
+ STH A, 9, *AR7+ ; 3443
+ STH A, 9, *+AR7 ; 3444
+ STH A, 9, *AR7-0B ; 3445
+ STH A, 9, *AR7-0 ; 3446
+ STH A, 9, *AR7+0 ; 3447
+ STH A, 9, *AR7+0B ; 3448
+ STH A, 9, *AR7-% ; 3449
+ STH A, 9, *AR7-0% ; 3450
+ STH A, 9, *AR7+% ; 3451
+ STH A, 9, *AR7+0% ; 3452
+ STH A, 9, *AR7(03A74h) ; 3453
+ STH A, 9, *+AR7(03A75h) ; 3454
+ STH A, 9, *+AR7(03A76h)% ; 3455
+ STH A, 9, *(03A77h) ; 3456
+ STH A, 10, *AR0 ; 3457
+ STH A, 10, *AR0- ; 3458
+ STH A, 10, *AR0+ ; 3459
+ STH A, 10, *+AR0 ; 3460
+ STH A, 10, *AR0-0B ; 3461
+ STH A, 10, *AR0-0 ; 3462
+ STH A, 10, *AR0+0 ; 3463
+ STH A, 10, *AR0+0B ; 3464
+ STH A, 10, *AR0-% ; 3465
+ STH A, 10, *AR0-0% ; 3466
+ STH A, 10, *AR0+% ; 3467
+ STH A, 10, *AR0+0% ; 3468
+ STH A, 10, *AR0(03A78h) ; 3469
+ STH A, 10, *+AR0(03A79h) ; 3470
+ STH A, 10, *+AR0(03A7Ah)% ; 3471
+ STH A, 10, *(03A7Bh) ; 3472
+ STH A, 10, *AR1 ; 3473
+ STH A, 10, *AR1- ; 3474
+ STH A, 10, *AR1+ ; 3475
+ STH A, 10, *+AR1 ; 3476
+ STH A, 10, *AR1-0B ; 3477
+ STH A, 10, *AR1-0 ; 3478
+ STH A, 10, *AR1+0 ; 3479
+ STH A, 10, *AR1+0B ; 3480
+ STH A, 10, *AR1-% ; 3481
+ STH A, 10, *AR1-0% ; 3482
+ STH A, 10, *AR1+% ; 3483
+ STH A, 10, *AR1+0% ; 3484
+ STH A, 10, *AR1(03A7Ch) ; 3485
+ STH A, 10, *+AR1(03A7Dh) ; 3486
+ STH A, 10, *+AR1(03A7Eh)% ; 3487
+ STH A, 10, *(03A7Fh) ; 3488
+ STH A, 10, *AR2 ; 3489
+ STH A, 10, *AR2- ; 3490
+ STH A, 10, *AR2+ ; 3491
+ STH A, 10, *+AR2 ; 3492
+ STH A, 10, *AR2-0B ; 3493
+ STH A, 10, *AR2-0 ; 3494
+ STH A, 10, *AR2+0 ; 3495
+ STH A, 10, *AR2+0B ; 3496
+ STH A, 10, *AR2-% ; 3497
+ STH A, 10, *AR2-0% ; 3498
+ STH A, 10, *AR2+% ; 3499
+ STH A, 10, *AR2+0% ; 3500
+ STH A, 10, *AR2(03A80h) ; 3501
+ STH A, 10, *+AR2(03A81h) ; 3502
+ STH A, 10, *+AR2(03A82h)% ; 3503
+ STH A, 10, *(03A83h) ; 3504
+ STH A, 10, *AR3 ; 3505
+ STH A, 10, *AR3- ; 3506
+ STH A, 10, *AR3+ ; 3507
+ STH A, 10, *+AR3 ; 3508
+ STH A, 10, *AR3-0B ; 3509
+ STH A, 10, *AR3-0 ; 3510
+ STH A, 10, *AR3+0 ; 3511
+ STH A, 10, *AR3+0B ; 3512
+ STH A, 10, *AR3-% ; 3513
+ STH A, 10, *AR3-0% ; 3514
+ STH A, 10, *AR3+% ; 3515
+ STH A, 10, *AR3+0% ; 3516
+ STH A, 10, *AR3(03A84h) ; 3517
+ STH A, 10, *+AR3(03A85h) ; 3518
+ STH A, 10, *+AR3(03A86h)% ; 3519
+ STH A, 10, *(03A87h) ; 3520
+ STH A, 10, *AR4 ; 3521
+ STH A, 10, *AR4- ; 3522
+ STH A, 10, *AR4+ ; 3523
+ STH A, 10, *+AR4 ; 3524
+ STH A, 10, *AR4-0B ; 3525
+ STH A, 10, *AR4-0 ; 3526
+ STH A, 10, *AR4+0 ; 3527
+ STH A, 10, *AR4+0B ; 3528
+ STH A, 10, *AR4-% ; 3529
+ STH A, 10, *AR4-0% ; 3530
+ STH A, 10, *AR4+% ; 3531
+ STH A, 10, *AR4+0% ; 3532
+ STH A, 10, *AR4(03A88h) ; 3533
+ STH A, 10, *+AR4(03A89h) ; 3534
+ STH A, 10, *+AR4(03A8Ah)% ; 3535
+ STH A, 10, *(03A8Bh) ; 3536
+ STH A, 10, *AR5 ; 3537
+ STH A, 10, *AR5- ; 3538
+ STH A, 10, *AR5+ ; 3539
+ STH A, 10, *+AR5 ; 3540
+ STH A, 10, *AR5-0B ; 3541
+ STH A, 10, *AR5-0 ; 3542
+ STH A, 10, *AR5+0 ; 3543
+ STH A, 10, *AR5+0B ; 3544
+ STH A, 10, *AR5-% ; 3545
+ STH A, 10, *AR5-0% ; 3546
+ STH A, 10, *AR5+% ; 3547
+ STH A, 10, *AR5+0% ; 3548
+ STH A, 10, *AR5(03A8Ch) ; 3549
+ STH A, 10, *+AR5(03A8Dh) ; 3550
+ STH A, 10, *+AR5(03A8Eh)% ; 3551
+ STH A, 10, *(03A8Fh) ; 3552
+ STH A, 10, *AR6 ; 3553
+ STH A, 10, *AR6- ; 3554
+ STH A, 10, *AR6+ ; 3555
+ STH A, 10, *+AR6 ; 3556
+ STH A, 10, *AR6-0B ; 3557
+ STH A, 10, *AR6-0 ; 3558
+ STH A, 10, *AR6+0 ; 3559
+ STH A, 10, *AR6+0B ; 3560
+ STH A, 10, *AR6-% ; 3561
+ STH A, 10, *AR6-0% ; 3562
+ STH A, 10, *AR6+% ; 3563
+ STH A, 10, *AR6+0% ; 3564
+ STH A, 10, *AR6(03A90h) ; 3565
+ STH A, 10, *+AR6(03A91h) ; 3566
+ STH A, 10, *+AR6(03A92h)% ; 3567
+ STH A, 10, *(03A93h) ; 3568
+ STH A, 10, *AR7 ; 3569
+ STH A, 10, *AR7- ; 3570
+ STH A, 10, *AR7+ ; 3571
+ STH A, 10, *+AR7 ; 3572
+ STH A, 10, *AR7-0B ; 3573
+ STH A, 10, *AR7-0 ; 3574
+ STH A, 10, *AR7+0 ; 3575
+ STH A, 10, *AR7+0B ; 3576
+ STH A, 10, *AR7-% ; 3577
+ STH A, 10, *AR7-0% ; 3578
+ STH A, 10, *AR7+% ; 3579
+ STH A, 10, *AR7+0% ; 3580
+ STH A, 10, *AR7(03A94h) ; 3581
+ STH A, 10, *+AR7(03A95h) ; 3582
+ STH A, 10, *+AR7(03A96h)% ; 3583
+ STH A, 10, *(03A97h) ; 3584
+ STH A, 11, *AR0 ; 3585
+ STH A, 11, *AR0- ; 3586
+ STH A, 11, *AR0+ ; 3587
+ STH A, 11, *+AR0 ; 3588
+ STH A, 11, *AR0-0B ; 3589
+ STH A, 11, *AR0-0 ; 3590
+ STH A, 11, *AR0+0 ; 3591
+ STH A, 11, *AR0+0B ; 3592
+ STH A, 11, *AR0-% ; 3593
+ STH A, 11, *AR0-0% ; 3594
+ STH A, 11, *AR0+% ; 3595
+ STH A, 11, *AR0+0% ; 3596
+ STH A, 11, *AR0(03A98h) ; 3597
+ STH A, 11, *+AR0(03A99h) ; 3598
+ STH A, 11, *+AR0(03A9Ah)% ; 3599
+ STH A, 11, *(03A9Bh) ; 3600
+ STH A, 11, *AR1 ; 3601
+ STH A, 11, *AR1- ; 3602
+ STH A, 11, *AR1+ ; 3603
+ STH A, 11, *+AR1 ; 3604
+ STH A, 11, *AR1-0B ; 3605
+ STH A, 11, *AR1-0 ; 3606
+ STH A, 11, *AR1+0 ; 3607
+ STH A, 11, *AR1+0B ; 3608
+ STH A, 11, *AR1-% ; 3609
+ STH A, 11, *AR1-0% ; 3610
+ STH A, 11, *AR1+% ; 3611
+ STH A, 11, *AR1+0% ; 3612
+ STH A, 11, *AR1(03A9Ch) ; 3613
+ STH A, 11, *+AR1(03A9Dh) ; 3614
+ STH A, 11, *+AR1(03A9Eh)% ; 3615
+ STH A, 11, *(03A9Fh) ; 3616
+ STH A, 11, *AR2 ; 3617
+ STH A, 11, *AR2- ; 3618
+ STH A, 11, *AR2+ ; 3619
+ STH A, 11, *+AR2 ; 3620
+ STH A, 11, *AR2-0B ; 3621
+ STH A, 11, *AR2-0 ; 3622
+ STH A, 11, *AR2+0 ; 3623
+ STH A, 11, *AR2+0B ; 3624
+ STH A, 11, *AR2-% ; 3625
+ STH A, 11, *AR2-0% ; 3626
+ STH A, 11, *AR2+% ; 3627
+ STH A, 11, *AR2+0% ; 3628
+ STH A, 11, *AR2(03AA0h) ; 3629
+ STH A, 11, *+AR2(03AA1h) ; 3630
+ STH A, 11, *+AR2(03AA2h)% ; 3631
+ STH A, 11, *(03AA3h) ; 3632
+ STH A, 11, *AR3 ; 3633
+ STH A, 11, *AR3- ; 3634
+ STH A, 11, *AR3+ ; 3635
+ STH A, 11, *+AR3 ; 3636
+ STH A, 11, *AR3-0B ; 3637
+ STH A, 11, *AR3-0 ; 3638
+ STH A, 11, *AR3+0 ; 3639
+ STH A, 11, *AR3+0B ; 3640
+ STH A, 11, *AR3-% ; 3641
+ STH A, 11, *AR3-0% ; 3642
+ STH A, 11, *AR3+% ; 3643
+ STH A, 11, *AR3+0% ; 3644
+ STH A, 11, *AR3(03AA4h) ; 3645
+ STH A, 11, *+AR3(03AA5h) ; 3646
+ STH A, 11, *+AR3(03AA6h)% ; 3647
+ STH A, 11, *(03AA7h) ; 3648
+ STH A, 11, *AR4 ; 3649
+ STH A, 11, *AR4- ; 3650
+ STH A, 11, *AR4+ ; 3651
+ STH A, 11, *+AR4 ; 3652
+ STH A, 11, *AR4-0B ; 3653
+ STH A, 11, *AR4-0 ; 3654
+ STH A, 11, *AR4+0 ; 3655
+ STH A, 11, *AR4+0B ; 3656
+ STH A, 11, *AR4-% ; 3657
+ STH A, 11, *AR4-0% ; 3658
+ STH A, 11, *AR4+% ; 3659
+ STH A, 11, *AR4+0% ; 3660
+ STH A, 11, *AR4(03AA8h) ; 3661
+ STH A, 11, *+AR4(03AA9h) ; 3662
+ STH A, 11, *+AR4(03AAAh)% ; 3663
+ STH A, 11, *(03AABh) ; 3664
+ STH A, 11, *AR5 ; 3665
+ STH A, 11, *AR5- ; 3666
+ STH A, 11, *AR5+ ; 3667
+ STH A, 11, *+AR5 ; 3668
+ STH A, 11, *AR5-0B ; 3669
+ STH A, 11, *AR5-0 ; 3670
+ STH A, 11, *AR5+0 ; 3671
+ STH A, 11, *AR5+0B ; 3672
+ STH A, 11, *AR5-% ; 3673
+ STH A, 11, *AR5-0% ; 3674
+ STH A, 11, *AR5+% ; 3675
+ STH A, 11, *AR5+0% ; 3676
+ STH A, 11, *AR5(03AACh) ; 3677
+ STH A, 11, *+AR5(03AADh) ; 3678
+ STH A, 11, *+AR5(03AAEh)% ; 3679
+ STH A, 11, *(03AAFh) ; 3680
+ STH A, 11, *AR6 ; 3681
+ STH A, 11, *AR6- ; 3682
+ STH A, 11, *AR6+ ; 3683
+ STH A, 11, *+AR6 ; 3684
+ STH A, 11, *AR6-0B ; 3685
+ STH A, 11, *AR6-0 ; 3686
+ STH A, 11, *AR6+0 ; 3687
+ STH A, 11, *AR6+0B ; 3688
+ STH A, 11, *AR6-% ; 3689
+ STH A, 11, *AR6-0% ; 3690
+ STH A, 11, *AR6+% ; 3691
+ STH A, 11, *AR6+0% ; 3692
+ STH A, 11, *AR6(03AB0h) ; 3693
+ STH A, 11, *+AR6(03AB1h) ; 3694
+ STH A, 11, *+AR6(03AB2h)% ; 3695
+ STH A, 11, *(03AB3h) ; 3696
+ STH A, 11, *AR7 ; 3697
+ STH A, 11, *AR7- ; 3698
+ STH A, 11, *AR7+ ; 3699
+ STH A, 11, *+AR7 ; 3700
+ STH A, 11, *AR7-0B ; 3701
+ STH A, 11, *AR7-0 ; 3702
+ STH A, 11, *AR7+0 ; 3703
+ STH A, 11, *AR7+0B ; 3704
+ STH A, 11, *AR7-% ; 3705
+ STH A, 11, *AR7-0% ; 3706
+ STH A, 11, *AR7+% ; 3707
+ STH A, 11, *AR7+0% ; 3708
+ STH A, 11, *AR7(03AB4h) ; 3709
+ STH A, 11, *+AR7(03AB5h) ; 3710
+ STH A, 11, *+AR7(03AB6h)% ; 3711
+ STH A, 11, *(03AB7h) ; 3712
+ STH A, 12, *AR0 ; 3713
+ STH A, 12, *AR0- ; 3714
+ STH A, 12, *AR0+ ; 3715
+ STH A, 12, *+AR0 ; 3716
+ STH A, 12, *AR0-0B ; 3717
+ STH A, 12, *AR0-0 ; 3718
+ STH A, 12, *AR0+0 ; 3719
+ STH A, 12, *AR0+0B ; 3720
+ STH A, 12, *AR0-% ; 3721
+ STH A, 12, *AR0-0% ; 3722
+ STH A, 12, *AR0+% ; 3723
+ STH A, 12, *AR0+0% ; 3724
+ STH A, 12, *AR0(03AB8h) ; 3725
+ STH A, 12, *+AR0(03AB9h) ; 3726
+ STH A, 12, *+AR0(03ABAh)% ; 3727
+ STH A, 12, *(03ABBh) ; 3728
+ STH A, 12, *AR1 ; 3729
+ STH A, 12, *AR1- ; 3730
+ STH A, 12, *AR1+ ; 3731
+ STH A, 12, *+AR1 ; 3732
+ STH A, 12, *AR1-0B ; 3733
+ STH A, 12, *AR1-0 ; 3734
+ STH A, 12, *AR1+0 ; 3735
+ STH A, 12, *AR1+0B ; 3736
+ STH A, 12, *AR1-% ; 3737
+ STH A, 12, *AR1-0% ; 3738
+ STH A, 12, *AR1+% ; 3739
+ STH A, 12, *AR1+0% ; 3740
+ STH A, 12, *AR1(03ABCh) ; 3741
+ STH A, 12, *+AR1(03ABDh) ; 3742
+ STH A, 12, *+AR1(03ABEh)% ; 3743
+ STH A, 12, *(03ABFh) ; 3744
+ STH A, 12, *AR2 ; 3745
+ STH A, 12, *AR2- ; 3746
+ STH A, 12, *AR2+ ; 3747
+ STH A, 12, *+AR2 ; 3748
+ STH A, 12, *AR2-0B ; 3749
+ STH A, 12, *AR2-0 ; 3750
+ STH A, 12, *AR2+0 ; 3751
+ STH A, 12, *AR2+0B ; 3752
+ STH A, 12, *AR2-% ; 3753
+ STH A, 12, *AR2-0% ; 3754
+ STH A, 12, *AR2+% ; 3755
+ STH A, 12, *AR2+0% ; 3756
+ STH A, 12, *AR2(03AC0h) ; 3757
+ STH A, 12, *+AR2(03AC1h) ; 3758
+ STH A, 12, *+AR2(03AC2h)% ; 3759
+ STH A, 12, *(03AC3h) ; 3760
+ STH A, 12, *AR3 ; 3761
+ STH A, 12, *AR3- ; 3762
+ STH A, 12, *AR3+ ; 3763
+ STH A, 12, *+AR3 ; 3764
+ STH A, 12, *AR3-0B ; 3765
+ STH A, 12, *AR3-0 ; 3766
+ STH A, 12, *AR3+0 ; 3767
+ STH A, 12, *AR3+0B ; 3768
+ STH A, 12, *AR3-% ; 3769
+ STH A, 12, *AR3-0% ; 3770
+ STH A, 12, *AR3+% ; 3771
+ STH A, 12, *AR3+0% ; 3772
+ STH A, 12, *AR3(03AC4h) ; 3773
+ STH A, 12, *+AR3(03AC5h) ; 3774
+ STH A, 12, *+AR3(03AC6h)% ; 3775
+ STH A, 12, *(03AC7h) ; 3776
+ STH A, 12, *AR4 ; 3777
+ STH A, 12, *AR4- ; 3778
+ STH A, 12, *AR4+ ; 3779
+ STH A, 12, *+AR4 ; 3780
+ STH A, 12, *AR4-0B ; 3781
+ STH A, 12, *AR4-0 ; 3782
+ STH A, 12, *AR4+0 ; 3783
+ STH A, 12, *AR4+0B ; 3784
+ STH A, 12, *AR4-% ; 3785
+ STH A, 12, *AR4-0% ; 3786
+ STH A, 12, *AR4+% ; 3787
+ STH A, 12, *AR4+0% ; 3788
+ STH A, 12, *AR4(03AC8h) ; 3789
+ STH A, 12, *+AR4(03AC9h) ; 3790
+ STH A, 12, *+AR4(03ACAh)% ; 3791
+ STH A, 12, *(03ACBh) ; 3792
+ STH A, 12, *AR5 ; 3793
+ STH A, 12, *AR5- ; 3794
+ STH A, 12, *AR5+ ; 3795
+ STH A, 12, *+AR5 ; 3796
+ STH A, 12, *AR5-0B ; 3797
+ STH A, 12, *AR5-0 ; 3798
+ STH A, 12, *AR5+0 ; 3799
+ STH A, 12, *AR5+0B ; 3800
+ STH A, 12, *AR5-% ; 3801
+ STH A, 12, *AR5-0% ; 3802
+ STH A, 12, *AR5+% ; 3803
+ STH A, 12, *AR5+0% ; 3804
+ STH A, 12, *AR5(03ACCh) ; 3805
+ STH A, 12, *+AR5(03ACDh) ; 3806
+ STH A, 12, *+AR5(03ACEh)% ; 3807
+ STH A, 12, *(03ACFh) ; 3808
+ STH A, 12, *AR6 ; 3809
+ STH A, 12, *AR6- ; 3810
+ STH A, 12, *AR6+ ; 3811
+ STH A, 12, *+AR6 ; 3812
+ STH A, 12, *AR6-0B ; 3813
+ STH A, 12, *AR6-0 ; 3814
+ STH A, 12, *AR6+0 ; 3815
+ STH A, 12, *AR6+0B ; 3816
+ STH A, 12, *AR6-% ; 3817
+ STH A, 12, *AR6-0% ; 3818
+ STH A, 12, *AR6+% ; 3819
+ STH A, 12, *AR6+0% ; 3820
+ STH A, 12, *AR6(03AD0h) ; 3821
+ STH A, 12, *+AR6(03AD1h) ; 3822
+ STH A, 12, *+AR6(03AD2h)% ; 3823
+ STH A, 12, *(03AD3h) ; 3824
+ STH A, 12, *AR7 ; 3825
+ STH A, 12, *AR7- ; 3826
+ STH A, 12, *AR7+ ; 3827
+ STH A, 12, *+AR7 ; 3828
+ STH A, 12, *AR7-0B ; 3829
+ STH A, 12, *AR7-0 ; 3830
+ STH A, 12, *AR7+0 ; 3831
+ STH A, 12, *AR7+0B ; 3832
+ STH A, 12, *AR7-% ; 3833
+ STH A, 12, *AR7-0% ; 3834
+ STH A, 12, *AR7+% ; 3835
+ STH A, 12, *AR7+0% ; 3836
+ STH A, 12, *AR7(03AD4h) ; 3837
+ STH A, 12, *+AR7(03AD5h) ; 3838
+ STH A, 12, *+AR7(03AD6h)% ; 3839
+ STH A, 12, *(03AD7h) ; 3840
+ STH A, 13, *AR0 ; 3841
+ STH A, 13, *AR0- ; 3842
+ STH A, 13, *AR0+ ; 3843
+ STH A, 13, *+AR0 ; 3844
+ STH A, 13, *AR0-0B ; 3845
+ STH A, 13, *AR0-0 ; 3846
+ STH A, 13, *AR0+0 ; 3847
+ STH A, 13, *AR0+0B ; 3848
+ STH A, 13, *AR0-% ; 3849
+ STH A, 13, *AR0-0% ; 3850
+ STH A, 13, *AR0+% ; 3851
+ STH A, 13, *AR0+0% ; 3852
+ STH A, 13, *AR0(03AD8h) ; 3853
+ STH A, 13, *+AR0(03AD9h) ; 3854
+ STH A, 13, *+AR0(03ADAh)% ; 3855
+ STH A, 13, *(03ADBh) ; 3856
+ STH A, 13, *AR1 ; 3857
+ STH A, 13, *AR1- ; 3858
+ STH A, 13, *AR1+ ; 3859
+ STH A, 13, *+AR1 ; 3860
+ STH A, 13, *AR1-0B ; 3861
+ STH A, 13, *AR1-0 ; 3862
+ STH A, 13, *AR1+0 ; 3863
+ STH A, 13, *AR1+0B ; 3864
+ STH A, 13, *AR1-% ; 3865
+ STH A, 13, *AR1-0% ; 3866
+ STH A, 13, *AR1+% ; 3867
+ STH A, 13, *AR1+0% ; 3868
+ STH A, 13, *AR1(03ADCh) ; 3869
+ STH A, 13, *+AR1(03ADDh) ; 3870
+ STH A, 13, *+AR1(03ADEh)% ; 3871
+ STH A, 13, *(03ADFh) ; 3872
+ STH A, 13, *AR2 ; 3873
+ STH A, 13, *AR2- ; 3874
+ STH A, 13, *AR2+ ; 3875
+ STH A, 13, *+AR2 ; 3876
+ STH A, 13, *AR2-0B ; 3877
+ STH A, 13, *AR2-0 ; 3878
+ STH A, 13, *AR2+0 ; 3879
+ STH A, 13, *AR2+0B ; 3880
+ STH A, 13, *AR2-% ; 3881
+ STH A, 13, *AR2-0% ; 3882
+ STH A, 13, *AR2+% ; 3883
+ STH A, 13, *AR2+0% ; 3884
+ STH A, 13, *AR2(03AE0h) ; 3885
+ STH A, 13, *+AR2(03AE1h) ; 3886
+ STH A, 13, *+AR2(03AE2h)% ; 3887
+ STH A, 13, *(03AE3h) ; 3888
+ STH A, 13, *AR3 ; 3889
+ STH A, 13, *AR3- ; 3890
+ STH A, 13, *AR3+ ; 3891
+ STH A, 13, *+AR3 ; 3892
+ STH A, 13, *AR3-0B ; 3893
+ STH A, 13, *AR3-0 ; 3894
+ STH A, 13, *AR3+0 ; 3895
+ STH A, 13, *AR3+0B ; 3896
+ STH A, 13, *AR3-% ; 3897
+ STH A, 13, *AR3-0% ; 3898
+ STH A, 13, *AR3+% ; 3899
+ STH A, 13, *AR3+0% ; 3900
+ STH A, 13, *AR3(03AE4h) ; 3901
+ STH A, 13, *+AR3(03AE5h) ; 3902
+ STH A, 13, *+AR3(03AE6h)% ; 3903
+ STH A, 13, *(03AE7h) ; 3904
+ STH A, 13, *AR4 ; 3905
+ STH A, 13, *AR4- ; 3906
+ STH A, 13, *AR4+ ; 3907
+ STH A, 13, *+AR4 ; 3908
+ STH A, 13, *AR4-0B ; 3909
+ STH A, 13, *AR4-0 ; 3910
+ STH A, 13, *AR4+0 ; 3911
+ STH A, 13, *AR4+0B ; 3912
+ STH A, 13, *AR4-% ; 3913
+ STH A, 13, *AR4-0% ; 3914
+ STH A, 13, *AR4+% ; 3915
+ STH A, 13, *AR4+0% ; 3916
+ STH A, 13, *AR4(03AE8h) ; 3917
+ STH A, 13, *+AR4(03AE9h) ; 3918
+ STH A, 13, *+AR4(03AEAh)% ; 3919
+ STH A, 13, *(03AEBh) ; 3920
+ STH A, 13, *AR5 ; 3921
+ STH A, 13, *AR5- ; 3922
+ STH A, 13, *AR5+ ; 3923
+ STH A, 13, *+AR5 ; 3924
+ STH A, 13, *AR5-0B ; 3925
+ STH A, 13, *AR5-0 ; 3926
+ STH A, 13, *AR5+0 ; 3927
+ STH A, 13, *AR5+0B ; 3928
+ STH A, 13, *AR5-% ; 3929
+ STH A, 13, *AR5-0% ; 3930
+ STH A, 13, *AR5+% ; 3931
+ STH A, 13, *AR5+0% ; 3932
+ STH A, 13, *AR5(03AECh) ; 3933
+ STH A, 13, *+AR5(03AEDh) ; 3934
+ STH A, 13, *+AR5(03AEEh)% ; 3935
+ STH A, 13, *(03AEFh) ; 3936
+ STH A, 13, *AR6 ; 3937
+ STH A, 13, *AR6- ; 3938
+ STH A, 13, *AR6+ ; 3939
+ STH A, 13, *+AR6 ; 3940
+ STH A, 13, *AR6-0B ; 3941
+ STH A, 13, *AR6-0 ; 3942
+ STH A, 13, *AR6+0 ; 3943
+ STH A, 13, *AR6+0B ; 3944
+ STH A, 13, *AR6-% ; 3945
+ STH A, 13, *AR6-0% ; 3946
+ STH A, 13, *AR6+% ; 3947
+ STH A, 13, *AR6+0% ; 3948
+ STH A, 13, *AR6(03AF0h) ; 3949
+ STH A, 13, *+AR6(03AF1h) ; 3950
+ STH A, 13, *+AR6(03AF2h)% ; 3951
+ STH A, 13, *(03AF3h) ; 3952
+ STH A, 13, *AR7 ; 3953
+ STH A, 13, *AR7- ; 3954
+ STH A, 13, *AR7+ ; 3955
+ STH A, 13, *+AR7 ; 3956
+ STH A, 13, *AR7-0B ; 3957
+ STH A, 13, *AR7-0 ; 3958
+ STH A, 13, *AR7+0 ; 3959
+ STH A, 13, *AR7+0B ; 3960
+ STH A, 13, *AR7-% ; 3961
+ STH A, 13, *AR7-0% ; 3962
+ STH A, 13, *AR7+% ; 3963
+ STH A, 13, *AR7+0% ; 3964
+ STH A, 13, *AR7(03AF4h) ; 3965
+ STH A, 13, *+AR7(03AF5h) ; 3966
+ STH A, 13, *+AR7(03AF6h)% ; 3967
+ STH A, 13, *(03AF7h) ; 3968
+ STH A, 14, *AR0 ; 3969
+ STH A, 14, *AR0- ; 3970
+ STH A, 14, *AR0+ ; 3971
+ STH A, 14, *+AR0 ; 3972
+ STH A, 14, *AR0-0B ; 3973
+ STH A, 14, *AR0-0 ; 3974
+ STH A, 14, *AR0+0 ; 3975
+ STH A, 14, *AR0+0B ; 3976
+ STH A, 14, *AR0-% ; 3977
+ STH A, 14, *AR0-0% ; 3978
+ STH A, 14, *AR0+% ; 3979
+ STH A, 14, *AR0+0% ; 3980
+ STH A, 14, *AR0(03AF8h) ; 3981
+ STH A, 14, *+AR0(03AF9h) ; 3982
+ STH A, 14, *+AR0(03AFAh)% ; 3983
+ STH A, 14, *(03AFBh) ; 3984
+ STH A, 14, *AR1 ; 3985
+ STH A, 14, *AR1- ; 3986
+ STH A, 14, *AR1+ ; 3987
+ STH A, 14, *+AR1 ; 3988
+ STH A, 14, *AR1-0B ; 3989
+ STH A, 14, *AR1-0 ; 3990
+ STH A, 14, *AR1+0 ; 3991
+ STH A, 14, *AR1+0B ; 3992
+ STH A, 14, *AR1-% ; 3993
+ STH A, 14, *AR1-0% ; 3994
+ STH A, 14, *AR1+% ; 3995
+ STH A, 14, *AR1+0% ; 3996
+ STH A, 14, *AR1(03AFCh) ; 3997
+ STH A, 14, *+AR1(03AFDh) ; 3998
+ STH A, 14, *+AR1(03AFEh)% ; 3999
+ STH A, 14, *(03AFFh) ; 4000
+ STH A, 14, *AR2 ; 4001
+ STH A, 14, *AR2- ; 4002
+ STH A, 14, *AR2+ ; 4003
+ STH A, 14, *+AR2 ; 4004
+ STH A, 14, *AR2-0B ; 4005
+ STH A, 14, *AR2-0 ; 4006
+ STH A, 14, *AR2+0 ; 4007
+ STH A, 14, *AR2+0B ; 4008
+ STH A, 14, *AR2-% ; 4009
+ STH A, 14, *AR2-0% ; 4010
+ STH A, 14, *AR2+% ; 4011
+ STH A, 14, *AR2+0% ; 4012
+ STH A, 14, *AR2(03B00h) ; 4013
+ STH A, 14, *+AR2(03B01h) ; 4014
+ STH A, 14, *+AR2(03B02h)% ; 4015
+ STH A, 14, *(03B03h) ; 4016
+ STH A, 14, *AR3 ; 4017
+ STH A, 14, *AR3- ; 4018
+ STH A, 14, *AR3+ ; 4019
+ STH A, 14, *+AR3 ; 4020
+ STH A, 14, *AR3-0B ; 4021
+ STH A, 14, *AR3-0 ; 4022
+ STH A, 14, *AR3+0 ; 4023
+ STH A, 14, *AR3+0B ; 4024
+ STH A, 14, *AR3-% ; 4025
+ STH A, 14, *AR3-0% ; 4026
+ STH A, 14, *AR3+% ; 4027
+ STH A, 14, *AR3+0% ; 4028
+ STH A, 14, *AR3(03B04h) ; 4029
+ STH A, 14, *+AR3(03B05h) ; 4030
+ STH A, 14, *+AR3(03B06h)% ; 4031
+ STH A, 14, *(03B07h) ; 4032
+ STH A, 14, *AR4 ; 4033
+ STH A, 14, *AR4- ; 4034
+ STH A, 14, *AR4+ ; 4035
+ STH A, 14, *+AR4 ; 4036
+ STH A, 14, *AR4-0B ; 4037
+ STH A, 14, *AR4-0 ; 4038
+ STH A, 14, *AR4+0 ; 4039
+ STH A, 14, *AR4+0B ; 4040
+ STH A, 14, *AR4-% ; 4041
+ STH A, 14, *AR4-0% ; 4042
+ STH A, 14, *AR4+% ; 4043
+ STH A, 14, *AR4+0% ; 4044
+ STH A, 14, *AR4(03B08h) ; 4045
+ STH A, 14, *+AR4(03B09h) ; 4046
+ STH A, 14, *+AR4(03B0Ah)% ; 4047
+ STH A, 14, *(03B0Bh) ; 4048
+ STH A, 14, *AR5 ; 4049
+ STH A, 14, *AR5- ; 4050
+ STH A, 14, *AR5+ ; 4051
+ STH A, 14, *+AR5 ; 4052
+ STH A, 14, *AR5-0B ; 4053
+ STH A, 14, *AR5-0 ; 4054
+ STH A, 14, *AR5+0 ; 4055
+ STH A, 14, *AR5+0B ; 4056
+ STH A, 14, *AR5-% ; 4057
+ STH A, 14, *AR5-0% ; 4058
+ STH A, 14, *AR5+% ; 4059
+ STH A, 14, *AR5+0% ; 4060
+ STH A, 14, *AR5(03B0Ch) ; 4061
+ STH A, 14, *+AR5(03B0Dh) ; 4062
+ STH A, 14, *+AR5(03B0Eh)% ; 4063
+ STH A, 14, *(03B0Fh) ; 4064
+ STH A, 14, *AR6 ; 4065
+ STH A, 14, *AR6- ; 4066
+ STH A, 14, *AR6+ ; 4067
+ STH A, 14, *+AR6 ; 4068
+ STH A, 14, *AR6-0B ; 4069
+ STH A, 14, *AR6-0 ; 4070
+ STH A, 14, *AR6+0 ; 4071
+ STH A, 14, *AR6+0B ; 4072
+ STH A, 14, *AR6-% ; 4073
+ STH A, 14, *AR6-0% ; 4074
+ STH A, 14, *AR6+% ; 4075
+ STH A, 14, *AR6+0% ; 4076
+ STH A, 14, *AR6(03B10h) ; 4077
+ STH A, 14, *+AR6(03B11h) ; 4078
+ STH A, 14, *+AR6(03B12h)% ; 4079
+ STH A, 14, *(03B13h) ; 4080
+ STH A, 14, *AR7 ; 4081
+ STH A, 14, *AR7- ; 4082
+ STH A, 14, *AR7+ ; 4083
+ STH A, 14, *+AR7 ; 4084
+ STH A, 14, *AR7-0B ; 4085
+ STH A, 14, *AR7-0 ; 4086
+ STH A, 14, *AR7+0 ; 4087
+ STH A, 14, *AR7+0B ; 4088
+ STH A, 14, *AR7-% ; 4089
+ STH A, 14, *AR7-0% ; 4090
+ STH A, 14, *AR7+% ; 4091
+ STH A, 14, *AR7+0% ; 4092
+ STH A, 14, *AR7(03B14h) ; 4093
+ STH A, 14, *+AR7(03B15h) ; 4094
+ STH A, 14, *+AR7(03B16h)% ; 4095
+ STH A, 14, *(03B17h) ; 4096
+ STH A, 15, *AR0 ; 4097
+ STH A, 15, *AR0- ; 4098
+ STH A, 15, *AR0+ ; 4099
+ STH A, 15, *+AR0 ; 4100
+ STH A, 15, *AR0-0B ; 4101
+ STH A, 15, *AR0-0 ; 4102
+ STH A, 15, *AR0+0 ; 4103
+ STH A, 15, *AR0+0B ; 4104
+ STH A, 15, *AR0-% ; 4105
+ STH A, 15, *AR0-0% ; 4106
+ STH A, 15, *AR0+% ; 4107
+ STH A, 15, *AR0+0% ; 4108
+ STH A, 15, *AR0(03B18h) ; 4109
+ STH A, 15, *+AR0(03B19h) ; 4110
+ STH A, 15, *+AR0(03B1Ah)% ; 4111
+ STH A, 15, *(03B1Bh) ; 4112
+ STH A, 15, *AR1 ; 4113
+ STH A, 15, *AR1- ; 4114
+ STH A, 15, *AR1+ ; 4115
+ STH A, 15, *+AR1 ; 4116
+ STH A, 15, *AR1-0B ; 4117
+ STH A, 15, *AR1-0 ; 4118
+ STH A, 15, *AR1+0 ; 4119
+ STH A, 15, *AR1+0B ; 4120
+ STH A, 15, *AR1-% ; 4121
+ STH A, 15, *AR1-0% ; 4122
+ STH A, 15, *AR1+% ; 4123
+ STH A, 15, *AR1+0% ; 4124
+ STH A, 15, *AR1(03B1Ch) ; 4125
+ STH A, 15, *+AR1(03B1Dh) ; 4126
+ STH A, 15, *+AR1(03B1Eh)% ; 4127
+ STH A, 15, *(03B1Fh) ; 4128
+ STH A, 15, *AR2 ; 4129
+ STH A, 15, *AR2- ; 4130
+ STH A, 15, *AR2+ ; 4131
+ STH A, 15, *+AR2 ; 4132
+ STH A, 15, *AR2-0B ; 4133
+ STH A, 15, *AR2-0 ; 4134
+ STH A, 15, *AR2+0 ; 4135
+ STH A, 15, *AR2+0B ; 4136
+ STH A, 15, *AR2-% ; 4137
+ STH A, 15, *AR2-0% ; 4138
+ STH A, 15, *AR2+% ; 4139
+ STH A, 15, *AR2+0% ; 4140
+ STH A, 15, *AR2(03B20h) ; 4141
+ STH A, 15, *+AR2(03B21h) ; 4142
+ STH A, 15, *+AR2(03B22h)% ; 4143
+ STH A, 15, *(03B23h) ; 4144
+ STH A, 15, *AR3 ; 4145
+ STH A, 15, *AR3- ; 4146
+ STH A, 15, *AR3+ ; 4147
+ STH A, 15, *+AR3 ; 4148
+ STH A, 15, *AR3-0B ; 4149
+ STH A, 15, *AR3-0 ; 4150
+ STH A, 15, *AR3+0 ; 4151
+ STH A, 15, *AR3+0B ; 4152
+ STH A, 15, *AR3-% ; 4153
+ STH A, 15, *AR3-0% ; 4154
+ STH A, 15, *AR3+% ; 4155
+ STH A, 15, *AR3+0% ; 4156
+ STH A, 15, *AR3(03B24h) ; 4157
+ STH A, 15, *+AR3(03B25h) ; 4158
+ STH A, 15, *+AR3(03B26h)% ; 4159
+ STH A, 15, *(03B27h) ; 4160
+ STH A, 15, *AR4 ; 4161
+ STH A, 15, *AR4- ; 4162
+ STH A, 15, *AR4+ ; 4163
+ STH A, 15, *+AR4 ; 4164
+ STH A, 15, *AR4-0B ; 4165
+ STH A, 15, *AR4-0 ; 4166
+ STH A, 15, *AR4+0 ; 4167
+ STH A, 15, *AR4+0B ; 4168
+ STH A, 15, *AR4-% ; 4169
+ STH A, 15, *AR4-0% ; 4170
+ STH A, 15, *AR4+% ; 4171
+ STH A, 15, *AR4+0% ; 4172
+ STH A, 15, *AR4(03B28h) ; 4173
+ STH A, 15, *+AR4(03B29h) ; 4174
+ STH A, 15, *+AR4(03B2Ah)% ; 4175
+ STH A, 15, *(03B2Bh) ; 4176
+ STH A, 15, *AR5 ; 4177
+ STH A, 15, *AR5- ; 4178
+ STH A, 15, *AR5+ ; 4179
+ STH A, 15, *+AR5 ; 4180
+ STH A, 15, *AR5-0B ; 4181
+ STH A, 15, *AR5-0 ; 4182
+ STH A, 15, *AR5+0 ; 4183
+ STH A, 15, *AR5+0B ; 4184
+ STH A, 15, *AR5-% ; 4185
+ STH A, 15, *AR5-0% ; 4186
+ STH A, 15, *AR5+% ; 4187
+ STH A, 15, *AR5+0% ; 4188
+ STH A, 15, *AR5(03B2Ch) ; 4189
+ STH A, 15, *+AR5(03B2Dh) ; 4190
+ STH A, 15, *+AR5(03B2Eh)% ; 4191
+ STH A, 15, *(03B2Fh) ; 4192
+ STH A, 15, *AR6 ; 4193
+ STH A, 15, *AR6- ; 4194
+ STH A, 15, *AR6+ ; 4195
+ STH A, 15, *+AR6 ; 4196
+ STH A, 15, *AR6-0B ; 4197
+ STH A, 15, *AR6-0 ; 4198
+ STH A, 15, *AR6+0 ; 4199
+ STH A, 15, *AR6+0B ; 4200
+ STH A, 15, *AR6-% ; 4201
+ STH A, 15, *AR6-0% ; 4202
+ STH A, 15, *AR6+% ; 4203
+ STH A, 15, *AR6+0% ; 4204
+ STH A, 15, *AR6(03B30h) ; 4205
+ STH A, 15, *+AR6(03B31h) ; 4206
+ STH A, 15, *+AR6(03B32h)% ; 4207
+ STH A, 15, *(03B33h) ; 4208
+ STH A, 15, *AR7 ; 4209
+ STH A, 15, *AR7- ; 4210
+ STH A, 15, *AR7+ ; 4211
+ STH A, 15, *+AR7 ; 4212
+ STH A, 15, *AR7-0B ; 4213
+ STH A, 15, *AR7-0 ; 4214
+ STH A, 15, *AR7+0 ; 4215
+ STH A, 15, *AR7+0B ; 4216
+ STH A, 15, *AR7-% ; 4217
+ STH A, 15, *AR7-0% ; 4218
+ STH A, 15, *AR7+% ; 4219
+ STH A, 15, *AR7+0% ; 4220
+ STH A, 15, *AR7(03B34h) ; 4221
+ STH A, 15, *+AR7(03B35h) ; 4222
+ STH A, 15, *+AR7(03B36h)% ; 4223
+ STH A, 15, *(03B37h) ; 4224
+ STH B, *AR0 ; 4225
+ STH B, *AR0- ; 4226
+ STH B, *AR0+ ; 4227
+ STH B, *+AR0 ; 4228
+ STH B, *AR0-0B ; 4229
+ STH B, *AR0-0 ; 4230
+ STH B, *AR0+0 ; 4231
+ STH B, *AR0+0B ; 4232
+ STH B, *AR0-% ; 4233
+ STH B, *AR0-0% ; 4234
+ STH B, *AR0+% ; 4235
+ STH B, *AR0+0% ; 4236
+ STH B, *AR0(03B38h) ; 4237
+ STH B, *+AR0(03B39h) ; 4238
+ STH B, *+AR0(03B3Ah)% ; 4239
+ STH B, *(03B3Bh) ; 4240
+ STH B, *AR1 ; 4241
+ STH B, *AR1- ; 4242
+ STH B, *AR1+ ; 4243
+ STH B, *+AR1 ; 4244
+ STH B, *AR1-0B ; 4245
+ STH B, *AR1-0 ; 4246
+ STH B, *AR1+0 ; 4247
+ STH B, *AR1+0B ; 4248
+ STH B, *AR1-% ; 4249
+ STH B, *AR1-0% ; 4250
+ STH B, *AR1+% ; 4251
+ STH B, *AR1+0% ; 4252
+ STH B, *AR1(03B3Ch) ; 4253
+ STH B, *+AR1(03B3Dh) ; 4254
+ STH B, *+AR1(03B3Eh)% ; 4255
+ STH B, *(03B3Fh) ; 4256
+ STH B, *AR2 ; 4257
+ STH B, *AR2- ; 4258
+ STH B, *AR2+ ; 4259
+ STH B, *+AR2 ; 4260
+ STH B, *AR2-0B ; 4261
+ STH B, *AR2-0 ; 4262
+ STH B, *AR2+0 ; 4263
+ STH B, *AR2+0B ; 4264
+ STH B, *AR2-% ; 4265
+ STH B, *AR2-0% ; 4266
+ STH B, *AR2+% ; 4267
+ STH B, *AR2+0% ; 4268
+ STH B, *AR2(03B40h) ; 4269
+ STH B, *+AR2(03B41h) ; 4270
+ STH B, *+AR2(03B42h)% ; 4271
+ STH B, *(03B43h) ; 4272
+ STH B, *AR3 ; 4273
+ STH B, *AR3- ; 4274
+ STH B, *AR3+ ; 4275
+ STH B, *+AR3 ; 4276
+ STH B, *AR3-0B ; 4277
+ STH B, *AR3-0 ; 4278
+ STH B, *AR3+0 ; 4279
+ STH B, *AR3+0B ; 4280
+ STH B, *AR3-% ; 4281
+ STH B, *AR3-0% ; 4282
+ STH B, *AR3+% ; 4283
+ STH B, *AR3+0% ; 4284
+ STH B, *AR3(03B44h) ; 4285
+ STH B, *+AR3(03B45h) ; 4286
+ STH B, *+AR3(03B46h)% ; 4287
+ STH B, *(03B47h) ; 4288
+ STH B, *AR4 ; 4289
+ STH B, *AR4- ; 4290
+ STH B, *AR4+ ; 4291
+ STH B, *+AR4 ; 4292
+ STH B, *AR4-0B ; 4293
+ STH B, *AR4-0 ; 4294
+ STH B, *AR4+0 ; 4295
+ STH B, *AR4+0B ; 4296
+ STH B, *AR4-% ; 4297
+ STH B, *AR4-0% ; 4298
+ STH B, *AR4+% ; 4299
+ STH B, *AR4+0% ; 4300
+ STH B, *AR4(03B48h) ; 4301
+ STH B, *+AR4(03B49h) ; 4302
+ STH B, *+AR4(03B4Ah)% ; 4303
+ STH B, *(03B4Bh) ; 4304
+ STH B, *AR5 ; 4305
+ STH B, *AR5- ; 4306
+ STH B, *AR5+ ; 4307
+ STH B, *+AR5 ; 4308
+ STH B, *AR5-0B ; 4309
+ STH B, *AR5-0 ; 4310
+ STH B, *AR5+0 ; 4311
+ STH B, *AR5+0B ; 4312
+ STH B, *AR5-% ; 4313
+ STH B, *AR5-0% ; 4314
+ STH B, *AR5+% ; 4315
+ STH B, *AR5+0% ; 4316
+ STH B, *AR5(03B4Ch) ; 4317
+ STH B, *+AR5(03B4Dh) ; 4318
+ STH B, *+AR5(03B4Eh)% ; 4319
+ STH B, *(03B4Fh) ; 4320
+ STH B, *AR6 ; 4321
+ STH B, *AR6- ; 4322
+ STH B, *AR6+ ; 4323
+ STH B, *+AR6 ; 4324
+ STH B, *AR6-0B ; 4325
+ STH B, *AR6-0 ; 4326
+ STH B, *AR6+0 ; 4327
+ STH B, *AR6+0B ; 4328
+ STH B, *AR6-% ; 4329
+ STH B, *AR6-0% ; 4330
+ STH B, *AR6+% ; 4331
+ STH B, *AR6+0% ; 4332
+ STH B, *AR6(03B50h) ; 4333
+ STH B, *+AR6(03B51h) ; 4334
+ STH B, *+AR6(03B52h)% ; 4335
+ STH B, *(03B53h) ; 4336
+ STH B, *AR7 ; 4337
+ STH B, *AR7- ; 4338
+ STH B, *AR7+ ; 4339
+ STH B, *+AR7 ; 4340
+ STH B, *AR7-0B ; 4341
+ STH B, *AR7-0 ; 4342
+ STH B, *AR7+0 ; 4343
+ STH B, *AR7+0B ; 4344
+ STH B, *AR7-% ; 4345
+ STH B, *AR7-0% ; 4346
+ STH B, *AR7+% ; 4347
+ STH B, *AR7+0% ; 4348
+ STH B, *AR7(03B54h) ; 4349
+ STH B, *+AR7(03B55h) ; 4350
+ STH B, *+AR7(03B56h)% ; 4351
+ STH B, *(03B57h) ; 4352
+ STH B, -16, *AR0 ; 4353
+ STH B, -16, *AR0- ; 4354
+ STH B, -16, *AR0+ ; 4355
+ STH B, -16, *+AR0 ; 4356
+ STH B, -16, *AR0-0B ; 4357
+ STH B, -16, *AR0-0 ; 4358
+ STH B, -16, *AR0+0 ; 4359
+ STH B, -16, *AR0+0B ; 4360
+ STH B, -16, *AR0-% ; 4361
+ STH B, -16, *AR0-0% ; 4362
+ STH B, -16, *AR0+% ; 4363
+ STH B, -16, *AR0+0% ; 4364
+ STH B, -16, *AR0(03B58h) ; 4365
+ STH B, -16, *+AR0(03B59h) ; 4366
+ STH B, -16, *+AR0(03B5Ah)% ; 4367
+ STH B, -16, *(03B5Bh) ; 4368
+ STH B, -16, *AR1 ; 4369
+ STH B, -16, *AR1- ; 4370
+ STH B, -16, *AR1+ ; 4371
+ STH B, -16, *+AR1 ; 4372
+ STH B, -16, *AR1-0B ; 4373
+ STH B, -16, *AR1-0 ; 4374
+ STH B, -16, *AR1+0 ; 4375
+ STH B, -16, *AR1+0B ; 4376
+ STH B, -16, *AR1-% ; 4377
+ STH B, -16, *AR1-0% ; 4378
+ STH B, -16, *AR1+% ; 4379
+ STH B, -16, *AR1+0% ; 4380
+ STH B, -16, *AR1(03B5Ch) ; 4381
+ STH B, -16, *+AR1(03B5Dh) ; 4382
+ STH B, -16, *+AR1(03B5Eh)% ; 4383
+ STH B, -16, *(03B5Fh) ; 4384
+ STH B, -16, *AR2 ; 4385
+ STH B, -16, *AR2- ; 4386
+ STH B, -16, *AR2+ ; 4387
+ STH B, -16, *+AR2 ; 4388
+ STH B, -16, *AR2-0B ; 4389
+ STH B, -16, *AR2-0 ; 4390
+ STH B, -16, *AR2+0 ; 4391
+ STH B, -16, *AR2+0B ; 4392
+ STH B, -16, *AR2-% ; 4393
+ STH B, -16, *AR2-0% ; 4394
+ STH B, -16, *AR2+% ; 4395
+ STH B, -16, *AR2+0% ; 4396
+ STH B, -16, *AR2(03B60h) ; 4397
+ STH B, -16, *+AR2(03B61h) ; 4398
+ STH B, -16, *+AR2(03B62h)% ; 4399
+ STH B, -16, *(03B63h) ; 4400
+ STH B, -16, *AR3 ; 4401
+ STH B, -16, *AR3- ; 4402
+ STH B, -16, *AR3+ ; 4403
+ STH B, -16, *+AR3 ; 4404
+ STH B, -16, *AR3-0B ; 4405
+ STH B, -16, *AR3-0 ; 4406
+ STH B, -16, *AR3+0 ; 4407
+ STH B, -16, *AR3+0B ; 4408
+ STH B, -16, *AR3-% ; 4409
+ STH B, -16, *AR3-0% ; 4410
+ STH B, -16, *AR3+% ; 4411
+ STH B, -16, *AR3+0% ; 4412
+ STH B, -16, *AR3(03B64h) ; 4413
+ STH B, -16, *+AR3(03B65h) ; 4414
+ STH B, -16, *+AR3(03B66h)% ; 4415
+ STH B, -16, *(03B67h) ; 4416
+ STH B, -16, *AR4 ; 4417
+ STH B, -16, *AR4- ; 4418
+ STH B, -16, *AR4+ ; 4419
+ STH B, -16, *+AR4 ; 4420
+ STH B, -16, *AR4-0B ; 4421
+ STH B, -16, *AR4-0 ; 4422
+ STH B, -16, *AR4+0 ; 4423
+ STH B, -16, *AR4+0B ; 4424
+ STH B, -16, *AR4-% ; 4425
+ STH B, -16, *AR4-0% ; 4426
+ STH B, -16, *AR4+% ; 4427
+ STH B, -16, *AR4+0% ; 4428
+ STH B, -16, *AR4(03B68h) ; 4429
+ STH B, -16, *+AR4(03B69h) ; 4430
+ STH B, -16, *+AR4(03B6Ah)% ; 4431
+ STH B, -16, *(03B6Bh) ; 4432
+ STH B, -16, *AR5 ; 4433
+ STH B, -16, *AR5- ; 4434
+ STH B, -16, *AR5+ ; 4435
+ STH B, -16, *+AR5 ; 4436
+ STH B, -16, *AR5-0B ; 4437
+ STH B, -16, *AR5-0 ; 4438
+ STH B, -16, *AR5+0 ; 4439
+ STH B, -16, *AR5+0B ; 4440
+ STH B, -16, *AR5-% ; 4441
+ STH B, -16, *AR5-0% ; 4442
+ STH B, -16, *AR5+% ; 4443
+ STH B, -16, *AR5+0% ; 4444
+ STH B, -16, *AR5(03B6Ch) ; 4445
+ STH B, -16, *+AR5(03B6Dh) ; 4446
+ STH B, -16, *+AR5(03B6Eh)% ; 4447
+ STH B, -16, *(03B6Fh) ; 4448
+ STH B, -16, *AR6 ; 4449
+ STH B, -16, *AR6- ; 4450
+ STH B, -16, *AR6+ ; 4451
+ STH B, -16, *+AR6 ; 4452
+ STH B, -16, *AR6-0B ; 4453
+ STH B, -16, *AR6-0 ; 4454
+ STH B, -16, *AR6+0 ; 4455
+ STH B, -16, *AR6+0B ; 4456
+ STH B, -16, *AR6-% ; 4457
+ STH B, -16, *AR6-0% ; 4458
+ STH B, -16, *AR6+% ; 4459
+ STH B, -16, *AR6+0% ; 4460
+ STH B, -16, *AR6(03B70h) ; 4461
+ STH B, -16, *+AR6(03B71h) ; 4462
+ STH B, -16, *+AR6(03B72h)% ; 4463
+ STH B, -16, *(03B73h) ; 4464
+ STH B, -16, *AR7 ; 4465
+ STH B, -16, *AR7- ; 4466
+ STH B, -16, *AR7+ ; 4467
+ STH B, -16, *+AR7 ; 4468
+ STH B, -16, *AR7-0B ; 4469
+ STH B, -16, *AR7-0 ; 4470
+ STH B, -16, *AR7+0 ; 4471
+ STH B, -16, *AR7+0B ; 4472
+ STH B, -16, *AR7-% ; 4473
+ STH B, -16, *AR7-0% ; 4474
+ STH B, -16, *AR7+% ; 4475
+ STH B, -16, *AR7+0% ; 4476
+ STH B, -16, *AR7(03B74h) ; 4477
+ STH B, -16, *+AR7(03B75h) ; 4478
+ STH B, -16, *+AR7(03B76h)% ; 4479
+ STH B, -16, *(03B77h) ; 4480
+ STH B, -15, *AR0 ; 4481
+ STH B, -15, *AR0- ; 4482
+ STH B, -15, *AR0+ ; 4483
+ STH B, -15, *+AR0 ; 4484
+ STH B, -15, *AR0-0B ; 4485
+ STH B, -15, *AR0-0 ; 4486
+ STH B, -15, *AR0+0 ; 4487
+ STH B, -15, *AR0+0B ; 4488
+ STH B, -15, *AR0-% ; 4489
+ STH B, -15, *AR0-0% ; 4490
+ STH B, -15, *AR0+% ; 4491
+ STH B, -15, *AR0+0% ; 4492
+ STH B, -15, *AR0(03B78h) ; 4493
+ STH B, -15, *+AR0(03B79h) ; 4494
+ STH B, -15, *+AR0(03B7Ah)% ; 4495
+ STH B, -15, *(03B7Bh) ; 4496
+ STH B, -15, *AR1 ; 4497
+ STH B, -15, *AR1- ; 4498
+ STH B, -15, *AR1+ ; 4499
+ STH B, -15, *+AR1 ; 4500
+ STH B, -15, *AR1-0B ; 4501
+ STH B, -15, *AR1-0 ; 4502
+ STH B, -15, *AR1+0 ; 4503
+ STH B, -15, *AR1+0B ; 4504
+ STH B, -15, *AR1-% ; 4505
+ STH B, -15, *AR1-0% ; 4506
+ STH B, -15, *AR1+% ; 4507
+ STH B, -15, *AR1+0% ; 4508
+ STH B, -15, *AR1(03B7Ch) ; 4509
+ STH B, -15, *+AR1(03B7Dh) ; 4510
+ STH B, -15, *+AR1(03B7Eh)% ; 4511
+ STH B, -15, *(03B7Fh) ; 4512
+ STH B, -15, *AR2 ; 4513
+ STH B, -15, *AR2- ; 4514
+ STH B, -15, *AR2+ ; 4515
+ STH B, -15, *+AR2 ; 4516
+ STH B, -15, *AR2-0B ; 4517
+ STH B, -15, *AR2-0 ; 4518
+ STH B, -15, *AR2+0 ; 4519
+ STH B, -15, *AR2+0B ; 4520
+ STH B, -15, *AR2-% ; 4521
+ STH B, -15, *AR2-0% ; 4522
+ STH B, -15, *AR2+% ; 4523
+ STH B, -15, *AR2+0% ; 4524
+ STH B, -15, *AR2(03B80h) ; 4525
+ STH B, -15, *+AR2(03B81h) ; 4526
+ STH B, -15, *+AR2(03B82h)% ; 4527
+ STH B, -15, *(03B83h) ; 4528
+ STH B, -15, *AR3 ; 4529
+ STH B, -15, *AR3- ; 4530
+ STH B, -15, *AR3+ ; 4531
+ STH B, -15, *+AR3 ; 4532
+ STH B, -15, *AR3-0B ; 4533
+ STH B, -15, *AR3-0 ; 4534
+ STH B, -15, *AR3+0 ; 4535
+ STH B, -15, *AR3+0B ; 4536
+ STH B, -15, *AR3-% ; 4537
+ STH B, -15, *AR3-0% ; 4538
+ STH B, -15, *AR3+% ; 4539
+ STH B, -15, *AR3+0% ; 4540
+ STH B, -15, *AR3(03B84h) ; 4541
+ STH B, -15, *+AR3(03B85h) ; 4542
+ STH B, -15, *+AR3(03B86h)% ; 4543
+ STH B, -15, *(03B87h) ; 4544
+ STH B, -15, *AR4 ; 4545
+ STH B, -15, *AR4- ; 4546
+ STH B, -15, *AR4+ ; 4547
+ STH B, -15, *+AR4 ; 4548
+ STH B, -15, *AR4-0B ; 4549
+ STH B, -15, *AR4-0 ; 4550
+ STH B, -15, *AR4+0 ; 4551
+ STH B, -15, *AR4+0B ; 4552
+ STH B, -15, *AR4-% ; 4553
+ STH B, -15, *AR4-0% ; 4554
+ STH B, -15, *AR4+% ; 4555
+ STH B, -15, *AR4+0% ; 4556
+ STH B, -15, *AR4(03B88h) ; 4557
+ STH B, -15, *+AR4(03B89h) ; 4558
+ STH B, -15, *+AR4(03B8Ah)% ; 4559
+ STH B, -15, *(03B8Bh) ; 4560
+ STH B, -15, *AR5 ; 4561
+ STH B, -15, *AR5- ; 4562
+ STH B, -15, *AR5+ ; 4563
+ STH B, -15, *+AR5 ; 4564
+ STH B, -15, *AR5-0B ; 4565
+ STH B, -15, *AR5-0 ; 4566
+ STH B, -15, *AR5+0 ; 4567
+ STH B, -15, *AR5+0B ; 4568
+ STH B, -15, *AR5-% ; 4569
+ STH B, -15, *AR5-0% ; 4570
+ STH B, -15, *AR5+% ; 4571
+ STH B, -15, *AR5+0% ; 4572
+ STH B, -15, *AR5(03B8Ch) ; 4573
+ STH B, -15, *+AR5(03B8Dh) ; 4574
+ STH B, -15, *+AR5(03B8Eh)% ; 4575
+ STH B, -15, *(03B8Fh) ; 4576
+ STH B, -15, *AR6 ; 4577
+ STH B, -15, *AR6- ; 4578
+ STH B, -15, *AR6+ ; 4579
+ STH B, -15, *+AR6 ; 4580
+ STH B, -15, *AR6-0B ; 4581
+ STH B, -15, *AR6-0 ; 4582
+ STH B, -15, *AR6+0 ; 4583
+ STH B, -15, *AR6+0B ; 4584
+ STH B, -15, *AR6-% ; 4585
+ STH B, -15, *AR6-0% ; 4586
+ STH B, -15, *AR6+% ; 4587
+ STH B, -15, *AR6+0% ; 4588
+ STH B, -15, *AR6(03B90h) ; 4589
+ STH B, -15, *+AR6(03B91h) ; 4590
+ STH B, -15, *+AR6(03B92h)% ; 4591
+ STH B, -15, *(03B93h) ; 4592
+ STH B, -15, *AR7 ; 4593
+ STH B, -15, *AR7- ; 4594
+ STH B, -15, *AR7+ ; 4595
+ STH B, -15, *+AR7 ; 4596
+ STH B, -15, *AR7-0B ; 4597
+ STH B, -15, *AR7-0 ; 4598
+ STH B, -15, *AR7+0 ; 4599
+ STH B, -15, *AR7+0B ; 4600
+ STH B, -15, *AR7-% ; 4601
+ STH B, -15, *AR7-0% ; 4602
+ STH B, -15, *AR7+% ; 4603
+ STH B, -15, *AR7+0% ; 4604
+ STH B, -15, *AR7(03B94h) ; 4605
+ STH B, -15, *+AR7(03B95h) ; 4606
+ STH B, -15, *+AR7(03B96h)% ; 4607
+ STH B, -15, *(03B97h) ; 4608
+ STH B, -14, *AR0 ; 4609
+ STH B, -14, *AR0- ; 4610
+ STH B, -14, *AR0+ ; 4611
+ STH B, -14, *+AR0 ; 4612
+ STH B, -14, *AR0-0B ; 4613
+ STH B, -14, *AR0-0 ; 4614
+ STH B, -14, *AR0+0 ; 4615
+ STH B, -14, *AR0+0B ; 4616
+ STH B, -14, *AR0-% ; 4617
+ STH B, -14, *AR0-0% ; 4618
+ STH B, -14, *AR0+% ; 4619
+ STH B, -14, *AR0+0% ; 4620
+ STH B, -14, *AR0(03B98h) ; 4621
+ STH B, -14, *+AR0(03B99h) ; 4622
+ STH B, -14, *+AR0(03B9Ah)% ; 4623
+ STH B, -14, *(03B9Bh) ; 4624
+ STH B, -14, *AR1 ; 4625
+ STH B, -14, *AR1- ; 4626
+ STH B, -14, *AR1+ ; 4627
+ STH B, -14, *+AR1 ; 4628
+ STH B, -14, *AR1-0B ; 4629
+ STH B, -14, *AR1-0 ; 4630
+ STH B, -14, *AR1+0 ; 4631
+ STH B, -14, *AR1+0B ; 4632
+ STH B, -14, *AR1-% ; 4633
+ STH B, -14, *AR1-0% ; 4634
+ STH B, -14, *AR1+% ; 4635
+ STH B, -14, *AR1+0% ; 4636
+ STH B, -14, *AR1(03B9Ch) ; 4637
+ STH B, -14, *+AR1(03B9Dh) ; 4638
+ STH B, -14, *+AR1(03B9Eh)% ; 4639
+ STH B, -14, *(03B9Fh) ; 4640
+ STH B, -14, *AR2 ; 4641
+ STH B, -14, *AR2- ; 4642
+ STH B, -14, *AR2+ ; 4643
+ STH B, -14, *+AR2 ; 4644
+ STH B, -14, *AR2-0B ; 4645
+ STH B, -14, *AR2-0 ; 4646
+ STH B, -14, *AR2+0 ; 4647
+ STH B, -14, *AR2+0B ; 4648
+ STH B, -14, *AR2-% ; 4649
+ STH B, -14, *AR2-0% ; 4650
+ STH B, -14, *AR2+% ; 4651
+ STH B, -14, *AR2+0% ; 4652
+ STH B, -14, *AR2(03BA0h) ; 4653
+ STH B, -14, *+AR2(03BA1h) ; 4654
+ STH B, -14, *+AR2(03BA2h)% ; 4655
+ STH B, -14, *(03BA3h) ; 4656
+ STH B, -14, *AR3 ; 4657
+ STH B, -14, *AR3- ; 4658
+ STH B, -14, *AR3+ ; 4659
+ STH B, -14, *+AR3 ; 4660
+ STH B, -14, *AR3-0B ; 4661
+ STH B, -14, *AR3-0 ; 4662
+ STH B, -14, *AR3+0 ; 4663
+ STH B, -14, *AR3+0B ; 4664
+ STH B, -14, *AR3-% ; 4665
+ STH B, -14, *AR3-0% ; 4666
+ STH B, -14, *AR3+% ; 4667
+ STH B, -14, *AR3+0% ; 4668
+ STH B, -14, *AR3(03BA4h) ; 4669
+ STH B, -14, *+AR3(03BA5h) ; 4670
+ STH B, -14, *+AR3(03BA6h)% ; 4671
+ STH B, -14, *(03BA7h) ; 4672
+ STH B, -14, *AR4 ; 4673
+ STH B, -14, *AR4- ; 4674
+ STH B, -14, *AR4+ ; 4675
+ STH B, -14, *+AR4 ; 4676
+ STH B, -14, *AR4-0B ; 4677
+ STH B, -14, *AR4-0 ; 4678
+ STH B, -14, *AR4+0 ; 4679
+ STH B, -14, *AR4+0B ; 4680
+ STH B, -14, *AR4-% ; 4681
+ STH B, -14, *AR4-0% ; 4682
+ STH B, -14, *AR4+% ; 4683
+ STH B, -14, *AR4+0% ; 4684
+ STH B, -14, *AR4(03BA8h) ; 4685
+ STH B, -14, *+AR4(03BA9h) ; 4686
+ STH B, -14, *+AR4(03BAAh)% ; 4687
+ STH B, -14, *(03BABh) ; 4688
+ STH B, -14, *AR5 ; 4689
+ STH B, -14, *AR5- ; 4690
+ STH B, -14, *AR5+ ; 4691
+ STH B, -14, *+AR5 ; 4692
+ STH B, -14, *AR5-0B ; 4693
+ STH B, -14, *AR5-0 ; 4694
+ STH B, -14, *AR5+0 ; 4695
+ STH B, -14, *AR5+0B ; 4696
+ STH B, -14, *AR5-% ; 4697
+ STH B, -14, *AR5-0% ; 4698
+ STH B, -14, *AR5+% ; 4699
+ STH B, -14, *AR5+0% ; 4700
+ STH B, -14, *AR5(03BACh) ; 4701
+ STH B, -14, *+AR5(03BADh) ; 4702
+ STH B, -14, *+AR5(03BAEh)% ; 4703
+ STH B, -14, *(03BAFh) ; 4704
+ STH B, -14, *AR6 ; 4705
+ STH B, -14, *AR6- ; 4706
+ STH B, -14, *AR6+ ; 4707
+ STH B, -14, *+AR6 ; 4708
+ STH B, -14, *AR6-0B ; 4709
+ STH B, -14, *AR6-0 ; 4710
+ STH B, -14, *AR6+0 ; 4711
+ STH B, -14, *AR6+0B ; 4712
+ STH B, -14, *AR6-% ; 4713
+ STH B, -14, *AR6-0% ; 4714
+ STH B, -14, *AR6+% ; 4715
+ STH B, -14, *AR6+0% ; 4716
+ STH B, -14, *AR6(03BB0h) ; 4717
+ STH B, -14, *+AR6(03BB1h) ; 4718
+ STH B, -14, *+AR6(03BB2h)% ; 4719
+ STH B, -14, *(03BB3h) ; 4720
+ STH B, -14, *AR7 ; 4721
+ STH B, -14, *AR7- ; 4722
+ STH B, -14, *AR7+ ; 4723
+ STH B, -14, *+AR7 ; 4724
+ STH B, -14, *AR7-0B ; 4725
+ STH B, -14, *AR7-0 ; 4726
+ STH B, -14, *AR7+0 ; 4727
+ STH B, -14, *AR7+0B ; 4728
+ STH B, -14, *AR7-% ; 4729
+ STH B, -14, *AR7-0% ; 4730
+ STH B, -14, *AR7+% ; 4731
+ STH B, -14, *AR7+0% ; 4732
+ STH B, -14, *AR7(03BB4h) ; 4733
+ STH B, -14, *+AR7(03BB5h) ; 4734
+ STH B, -14, *+AR7(03BB6h)% ; 4735
+ STH B, -14, *(03BB7h) ; 4736
+ STH B, -13, *AR0 ; 4737
+ STH B, -13, *AR0- ; 4738
+ STH B, -13, *AR0+ ; 4739
+ STH B, -13, *+AR0 ; 4740
+ STH B, -13, *AR0-0B ; 4741
+ STH B, -13, *AR0-0 ; 4742
+ STH B, -13, *AR0+0 ; 4743
+ STH B, -13, *AR0+0B ; 4744
+ STH B, -13, *AR0-% ; 4745
+ STH B, -13, *AR0-0% ; 4746
+ STH B, -13, *AR0+% ; 4747
+ STH B, -13, *AR0+0% ; 4748
+ STH B, -13, *AR0(03BB8h) ; 4749
+ STH B, -13, *+AR0(03BB9h) ; 4750
+ STH B, -13, *+AR0(03BBAh)% ; 4751
+ STH B, -13, *(03BBBh) ; 4752
+ STH B, -13, *AR1 ; 4753
+ STH B, -13, *AR1- ; 4754
+ STH B, -13, *AR1+ ; 4755
+ STH B, -13, *+AR1 ; 4756
+ STH B, -13, *AR1-0B ; 4757
+ STH B, -13, *AR1-0 ; 4758
+ STH B, -13, *AR1+0 ; 4759
+ STH B, -13, *AR1+0B ; 4760
+ STH B, -13, *AR1-% ; 4761
+ STH B, -13, *AR1-0% ; 4762
+ STH B, -13, *AR1+% ; 4763
+ STH B, -13, *AR1+0% ; 4764
+ STH B, -13, *AR1(03BBCh) ; 4765
+ STH B, -13, *+AR1(03BBDh) ; 4766
+ STH B, -13, *+AR1(03BBEh)% ; 4767
+ STH B, -13, *(03BBFh) ; 4768
+ STH B, -13, *AR2 ; 4769
+ STH B, -13, *AR2- ; 4770
+ STH B, -13, *AR2+ ; 4771
+ STH B, -13, *+AR2 ; 4772
+ STH B, -13, *AR2-0B ; 4773
+ STH B, -13, *AR2-0 ; 4774
+ STH B, -13, *AR2+0 ; 4775
+ STH B, -13, *AR2+0B ; 4776
+ STH B, -13, *AR2-% ; 4777
+ STH B, -13, *AR2-0% ; 4778
+ STH B, -13, *AR2+% ; 4779
+ STH B, -13, *AR2+0% ; 4780
+ STH B, -13, *AR2(03BC0h) ; 4781
+ STH B, -13, *+AR2(03BC1h) ; 4782
+ STH B, -13, *+AR2(03BC2h)% ; 4783
+ STH B, -13, *(03BC3h) ; 4784
+ STH B, -13, *AR3 ; 4785
+ STH B, -13, *AR3- ; 4786
+ STH B, -13, *AR3+ ; 4787
+ STH B, -13, *+AR3 ; 4788
+ STH B, -13, *AR3-0B ; 4789
+ STH B, -13, *AR3-0 ; 4790
+ STH B, -13, *AR3+0 ; 4791
+ STH B, -13, *AR3+0B ; 4792
+ STH B, -13, *AR3-% ; 4793
+ STH B, -13, *AR3-0% ; 4794
+ STH B, -13, *AR3+% ; 4795
+ STH B, -13, *AR3+0% ; 4796
+ STH B, -13, *AR3(03BC4h) ; 4797
+ STH B, -13, *+AR3(03BC5h) ; 4798
+ STH B, -13, *+AR3(03BC6h)% ; 4799
+ STH B, -13, *(03BC7h) ; 4800
+ STH B, -13, *AR4 ; 4801
+ STH B, -13, *AR4- ; 4802
+ STH B, -13, *AR4+ ; 4803
+ STH B, -13, *+AR4 ; 4804
+ STH B, -13, *AR4-0B ; 4805
+ STH B, -13, *AR4-0 ; 4806
+ STH B, -13, *AR4+0 ; 4807
+ STH B, -13, *AR4+0B ; 4808
+ STH B, -13, *AR4-% ; 4809
+ STH B, -13, *AR4-0% ; 4810
+ STH B, -13, *AR4+% ; 4811
+ STH B, -13, *AR4+0% ; 4812
+ STH B, -13, *AR4(03BC8h) ; 4813
+ STH B, -13, *+AR4(03BC9h) ; 4814
+ STH B, -13, *+AR4(03BCAh)% ; 4815
+ STH B, -13, *(03BCBh) ; 4816
+ STH B, -13, *AR5 ; 4817
+ STH B, -13, *AR5- ; 4818
+ STH B, -13, *AR5+ ; 4819
+ STH B, -13, *+AR5 ; 4820
+ STH B, -13, *AR5-0B ; 4821
+ STH B, -13, *AR5-0 ; 4822
+ STH B, -13, *AR5+0 ; 4823
+ STH B, -13, *AR5+0B ; 4824
+ STH B, -13, *AR5-% ; 4825
+ STH B, -13, *AR5-0% ; 4826
+ STH B, -13, *AR5+% ; 4827
+ STH B, -13, *AR5+0% ; 4828
+ STH B, -13, *AR5(03BCCh) ; 4829
+ STH B, -13, *+AR5(03BCDh) ; 4830
+ STH B, -13, *+AR5(03BCEh)% ; 4831
+ STH B, -13, *(03BCFh) ; 4832
+ STH B, -13, *AR6 ; 4833
+ STH B, -13, *AR6- ; 4834
+ STH B, -13, *AR6+ ; 4835
+ STH B, -13, *+AR6 ; 4836
+ STH B, -13, *AR6-0B ; 4837
+ STH B, -13, *AR6-0 ; 4838
+ STH B, -13, *AR6+0 ; 4839
+ STH B, -13, *AR6+0B ; 4840
+ STH B, -13, *AR6-% ; 4841
+ STH B, -13, *AR6-0% ; 4842
+ STH B, -13, *AR6+% ; 4843
+ STH B, -13, *AR6+0% ; 4844
+ STH B, -13, *AR6(03BD0h) ; 4845
+ STH B, -13, *+AR6(03BD1h) ; 4846
+ STH B, -13, *+AR6(03BD2h)% ; 4847
+ STH B, -13, *(03BD3h) ; 4848
+ STH B, -13, *AR7 ; 4849
+ STH B, -13, *AR7- ; 4850
+ STH B, -13, *AR7+ ; 4851
+ STH B, -13, *+AR7 ; 4852
+ STH B, -13, *AR7-0B ; 4853
+ STH B, -13, *AR7-0 ; 4854
+ STH B, -13, *AR7+0 ; 4855
+ STH B, -13, *AR7+0B ; 4856
+ STH B, -13, *AR7-% ; 4857
+ STH B, -13, *AR7-0% ; 4858
+ STH B, -13, *AR7+% ; 4859
+ STH B, -13, *AR7+0% ; 4860
+ STH B, -13, *AR7(03BD4h) ; 4861
+ STH B, -13, *+AR7(03BD5h) ; 4862
+ STH B, -13, *+AR7(03BD6h)% ; 4863
+ STH B, -13, *(03BD7h) ; 4864
+ STH B, -12, *AR0 ; 4865
+ STH B, -12, *AR0- ; 4866
+ STH B, -12, *AR0+ ; 4867
+ STH B, -12, *+AR0 ; 4868
+ STH B, -12, *AR0-0B ; 4869
+ STH B, -12, *AR0-0 ; 4870
+ STH B, -12, *AR0+0 ; 4871
+ STH B, -12, *AR0+0B ; 4872
+ STH B, -12, *AR0-% ; 4873
+ STH B, -12, *AR0-0% ; 4874
+ STH B, -12, *AR0+% ; 4875
+ STH B, -12, *AR0+0% ; 4876
+ STH B, -12, *AR0(03BD8h) ; 4877
+ STH B, -12, *+AR0(03BD9h) ; 4878
+ STH B, -12, *+AR0(03BDAh)% ; 4879
+ STH B, -12, *(03BDBh) ; 4880
+ STH B, -12, *AR1 ; 4881
+ STH B, -12, *AR1- ; 4882
+ STH B, -12, *AR1+ ; 4883
+ STH B, -12, *+AR1 ; 4884
+ STH B, -12, *AR1-0B ; 4885
+ STH B, -12, *AR1-0 ; 4886
+ STH B, -12, *AR1+0 ; 4887
+ STH B, -12, *AR1+0B ; 4888
+ STH B, -12, *AR1-% ; 4889
+ STH B, -12, *AR1-0% ; 4890
+ STH B, -12, *AR1+% ; 4891
+ STH B, -12, *AR1+0% ; 4892
+ STH B, -12, *AR1(03BDCh) ; 4893
+ STH B, -12, *+AR1(03BDDh) ; 4894
+ STH B, -12, *+AR1(03BDEh)% ; 4895
+ STH B, -12, *(03BDFh) ; 4896
+ STH B, -12, *AR2 ; 4897
+ STH B, -12, *AR2- ; 4898
+ STH B, -12, *AR2+ ; 4899
+ STH B, -12, *+AR2 ; 4900
+ STH B, -12, *AR2-0B ; 4901
+ STH B, -12, *AR2-0 ; 4902
+ STH B, -12, *AR2+0 ; 4903
+ STH B, -12, *AR2+0B ; 4904
+ STH B, -12, *AR2-% ; 4905
+ STH B, -12, *AR2-0% ; 4906
+ STH B, -12, *AR2+% ; 4907
+ STH B, -12, *AR2+0% ; 4908
+ STH B, -12, *AR2(03BE0h) ; 4909
+ STH B, -12, *+AR2(03BE1h) ; 4910
+ STH B, -12, *+AR2(03BE2h)% ; 4911
+ STH B, -12, *(03BE3h) ; 4912
+ STH B, -12, *AR3 ; 4913
+ STH B, -12, *AR3- ; 4914
+ STH B, -12, *AR3+ ; 4915
+ STH B, -12, *+AR3 ; 4916
+ STH B, -12, *AR3-0B ; 4917
+ STH B, -12, *AR3-0 ; 4918
+ STH B, -12, *AR3+0 ; 4919
+ STH B, -12, *AR3+0B ; 4920
+ STH B, -12, *AR3-% ; 4921
+ STH B, -12, *AR3-0% ; 4922
+ STH B, -12, *AR3+% ; 4923
+ STH B, -12, *AR3+0% ; 4924
+ STH B, -12, *AR3(03BE4h) ; 4925
+ STH B, -12, *+AR3(03BE5h) ; 4926
+ STH B, -12, *+AR3(03BE6h)% ; 4927
+ STH B, -12, *(03BE7h) ; 4928
+ STH B, -12, *AR4 ; 4929
+ STH B, -12, *AR4- ; 4930
+ STH B, -12, *AR4+ ; 4931
+ STH B, -12, *+AR4 ; 4932
+ STH B, -12, *AR4-0B ; 4933
+ STH B, -12, *AR4-0 ; 4934
+ STH B, -12, *AR4+0 ; 4935
+ STH B, -12, *AR4+0B ; 4936
+ STH B, -12, *AR4-% ; 4937
+ STH B, -12, *AR4-0% ; 4938
+ STH B, -12, *AR4+% ; 4939
+ STH B, -12, *AR4+0% ; 4940
+ STH B, -12, *AR4(03BE8h) ; 4941
+ STH B, -12, *+AR4(03BE9h) ; 4942
+ STH B, -12, *+AR4(03BEAh)% ; 4943
+ STH B, -12, *(03BEBh) ; 4944
+ STH B, -12, *AR5 ; 4945
+ STH B, -12, *AR5- ; 4946
+ STH B, -12, *AR5+ ; 4947
+ STH B, -12, *+AR5 ; 4948
+ STH B, -12, *AR5-0B ; 4949
+ STH B, -12, *AR5-0 ; 4950
+ STH B, -12, *AR5+0 ; 4951
+ STH B, -12, *AR5+0B ; 4952
+ STH B, -12, *AR5-% ; 4953
+ STH B, -12, *AR5-0% ; 4954
+ STH B, -12, *AR5+% ; 4955
+ STH B, -12, *AR5+0% ; 4956
+ STH B, -12, *AR5(03BECh) ; 4957
+ STH B, -12, *+AR5(03BEDh) ; 4958
+ STH B, -12, *+AR5(03BEEh)% ; 4959
+ STH B, -12, *(03BEFh) ; 4960
+ STH B, -12, *AR6 ; 4961
+ STH B, -12, *AR6- ; 4962
+ STH B, -12, *AR6+ ; 4963
+ STH B, -12, *+AR6 ; 4964
+ STH B, -12, *AR6-0B ; 4965
+ STH B, -12, *AR6-0 ; 4966
+ STH B, -12, *AR6+0 ; 4967
+ STH B, -12, *AR6+0B ; 4968
+ STH B, -12, *AR6-% ; 4969
+ STH B, -12, *AR6-0% ; 4970
+ STH B, -12, *AR6+% ; 4971
+ STH B, -12, *AR6+0% ; 4972
+ STH B, -12, *AR6(03BF0h) ; 4973
+ STH B, -12, *+AR6(03BF1h) ; 4974
+ STH B, -12, *+AR6(03BF2h)% ; 4975
+ STH B, -12, *(03BF3h) ; 4976
+ STH B, -12, *AR7 ; 4977
+ STH B, -12, *AR7- ; 4978
+ STH B, -12, *AR7+ ; 4979
+ STH B, -12, *+AR7 ; 4980
+ STH B, -12, *AR7-0B ; 4981
+ STH B, -12, *AR7-0 ; 4982
+ STH B, -12, *AR7+0 ; 4983
+ STH B, -12, *AR7+0B ; 4984
+ STH B, -12, *AR7-% ; 4985
+ STH B, -12, *AR7-0% ; 4986
+ STH B, -12, *AR7+% ; 4987
+ STH B, -12, *AR7+0% ; 4988
+ STH B, -12, *AR7(03BF4h) ; 4989
+ STH B, -12, *+AR7(03BF5h) ; 4990
+ STH B, -12, *+AR7(03BF6h)% ; 4991
+ STH B, -12, *(03BF7h) ; 4992
+ STH B, -11, *AR0 ; 4993
+ STH B, -11, *AR0- ; 4994
+ STH B, -11, *AR0+ ; 4995
+ STH B, -11, *+AR0 ; 4996
+ STH B, -11, *AR0-0B ; 4997
+ STH B, -11, *AR0-0 ; 4998
+ STH B, -11, *AR0+0 ; 4999
+ STH B, -11, *AR0+0B ; 5000
+ STH B, -11, *AR0-% ; 5001
+ STH B, -11, *AR0-0% ; 5002
+ STH B, -11, *AR0+% ; 5003
+ STH B, -11, *AR0+0% ; 5004
+ STH B, -11, *AR0(03BF8h) ; 5005
+ STH B, -11, *+AR0(03BF9h) ; 5006
+ STH B, -11, *+AR0(03BFAh)% ; 5007
+ STH B, -11, *(03BFBh) ; 5008
+ STH B, -11, *AR1 ; 5009
+ STH B, -11, *AR1- ; 5010
+ STH B, -11, *AR1+ ; 5011
+ STH B, -11, *+AR1 ; 5012
+ STH B, -11, *AR1-0B ; 5013
+ STH B, -11, *AR1-0 ; 5014
+ STH B, -11, *AR1+0 ; 5015
+ STH B, -11, *AR1+0B ; 5016
+ STH B, -11, *AR1-% ; 5017
+ STH B, -11, *AR1-0% ; 5018
+ STH B, -11, *AR1+% ; 5019
+ STH B, -11, *AR1+0% ; 5020
+ STH B, -11, *AR1(03BFCh) ; 5021
+ STH B, -11, *+AR1(03BFDh) ; 5022
+ STH B, -11, *+AR1(03BFEh)% ; 5023
+ STH B, -11, *(03BFFh) ; 5024
+ STH B, -11, *AR2 ; 5025
+ STH B, -11, *AR2- ; 5026
+ STH B, -11, *AR2+ ; 5027
+ STH B, -11, *+AR2 ; 5028
+ STH B, -11, *AR2-0B ; 5029
+ STH B, -11, *AR2-0 ; 5030
+ STH B, -11, *AR2+0 ; 5031
+ STH B, -11, *AR2+0B ; 5032
+ STH B, -11, *AR2-% ; 5033
+ STH B, -11, *AR2-0% ; 5034
+ STH B, -11, *AR2+% ; 5035
+ STH B, -11, *AR2+0% ; 5036
+ STH B, -11, *AR2(03C00h) ; 5037
+ STH B, -11, *+AR2(03C01h) ; 5038
+ STH B, -11, *+AR2(03C02h)% ; 5039
+ STH B, -11, *(03C03h) ; 5040
+ STH B, -11, *AR3 ; 5041
+ STH B, -11, *AR3- ; 5042
+ STH B, -11, *AR3+ ; 5043
+ STH B, -11, *+AR3 ; 5044
+ STH B, -11, *AR3-0B ; 5045
+ STH B, -11, *AR3-0 ; 5046
+ STH B, -11, *AR3+0 ; 5047
+ STH B, -11, *AR3+0B ; 5048
+ STH B, -11, *AR3-% ; 5049
+ STH B, -11, *AR3-0% ; 5050
+ STH B, -11, *AR3+% ; 5051
+ STH B, -11, *AR3+0% ; 5052
+ STH B, -11, *AR3(03C04h) ; 5053
+ STH B, -11, *+AR3(03C05h) ; 5054
+ STH B, -11, *+AR3(03C06h)% ; 5055
+ STH B, -11, *(03C07h) ; 5056
+ STH B, -11, *AR4 ; 5057
+ STH B, -11, *AR4- ; 5058
+ STH B, -11, *AR4+ ; 5059
+ STH B, -11, *+AR4 ; 5060
+ STH B, -11, *AR4-0B ; 5061
+ STH B, -11, *AR4-0 ; 5062
+ STH B, -11, *AR4+0 ; 5063
+ STH B, -11, *AR4+0B ; 5064
+ STH B, -11, *AR4-% ; 5065
+ STH B, -11, *AR4-0% ; 5066
+ STH B, -11, *AR4+% ; 5067
+ STH B, -11, *AR4+0% ; 5068
+ STH B, -11, *AR4(03C08h) ; 5069
+ STH B, -11, *+AR4(03C09h) ; 5070
+ STH B, -11, *+AR4(03C0Ah)% ; 5071
+ STH B, -11, *(03C0Bh) ; 5072
+ STH B, -11, *AR5 ; 5073
+ STH B, -11, *AR5- ; 5074
+ STH B, -11, *AR5+ ; 5075
+ STH B, -11, *+AR5 ; 5076
+ STH B, -11, *AR5-0B ; 5077
+ STH B, -11, *AR5-0 ; 5078
+ STH B, -11, *AR5+0 ; 5079
+ STH B, -11, *AR5+0B ; 5080
+ STH B, -11, *AR5-% ; 5081
+ STH B, -11, *AR5-0% ; 5082
+ STH B, -11, *AR5+% ; 5083
+ STH B, -11, *AR5+0% ; 5084
+ STH B, -11, *AR5(03C0Ch) ; 5085
+ STH B, -11, *+AR5(03C0Dh) ; 5086
+ STH B, -11, *+AR5(03C0Eh)% ; 5087
+ STH B, -11, *(03C0Fh) ; 5088
+ STH B, -11, *AR6 ; 5089
+ STH B, -11, *AR6- ; 5090
+ STH B, -11, *AR6+ ; 5091
+ STH B, -11, *+AR6 ; 5092
+ STH B, -11, *AR6-0B ; 5093
+ STH B, -11, *AR6-0 ; 5094
+ STH B, -11, *AR6+0 ; 5095
+ STH B, -11, *AR6+0B ; 5096
+ STH B, -11, *AR6-% ; 5097
+ STH B, -11, *AR6-0% ; 5098
+ STH B, -11, *AR6+% ; 5099
+ STH B, -11, *AR6+0% ; 5100
+ STH B, -11, *AR6(03C10h) ; 5101
+ STH B, -11, *+AR6(03C11h) ; 5102
+ STH B, -11, *+AR6(03C12h)% ; 5103
+ STH B, -11, *(03C13h) ; 5104
+ STH B, -11, *AR7 ; 5105
+ STH B, -11, *AR7- ; 5106
+ STH B, -11, *AR7+ ; 5107
+ STH B, -11, *+AR7 ; 5108
+ STH B, -11, *AR7-0B ; 5109
+ STH B, -11, *AR7-0 ; 5110
+ STH B, -11, *AR7+0 ; 5111
+ STH B, -11, *AR7+0B ; 5112
+ STH B, -11, *AR7-% ; 5113
+ STH B, -11, *AR7-0% ; 5114
+ STH B, -11, *AR7+% ; 5115
+ STH B, -11, *AR7+0% ; 5116
+ STH B, -11, *AR7(03C14h) ; 5117
+ STH B, -11, *+AR7(03C15h) ; 5118
+ STH B, -11, *+AR7(03C16h)% ; 5119
+ STH B, -11, *(03C17h) ; 5120
+ STH B, -10, *AR0 ; 5121
+ STH B, -10, *AR0- ; 5122
+ STH B, -10, *AR0+ ; 5123
+ STH B, -10, *+AR0 ; 5124
+ STH B, -10, *AR0-0B ; 5125
+ STH B, -10, *AR0-0 ; 5126
+ STH B, -10, *AR0+0 ; 5127
+ STH B, -10, *AR0+0B ; 5128
+ STH B, -10, *AR0-% ; 5129
+ STH B, -10, *AR0-0% ; 5130
+ STH B, -10, *AR0+% ; 5131
+ STH B, -10, *AR0+0% ; 5132
+ STH B, -10, *AR0(03C18h) ; 5133
+ STH B, -10, *+AR0(03C19h) ; 5134
+ STH B, -10, *+AR0(03C1Ah)% ; 5135
+ STH B, -10, *(03C1Bh) ; 5136
+ STH B, -10, *AR1 ; 5137
+ STH B, -10, *AR1- ; 5138
+ STH B, -10, *AR1+ ; 5139
+ STH B, -10, *+AR1 ; 5140
+ STH B, -10, *AR1-0B ; 5141
+ STH B, -10, *AR1-0 ; 5142
+ STH B, -10, *AR1+0 ; 5143
+ STH B, -10, *AR1+0B ; 5144
+ STH B, -10, *AR1-% ; 5145
+ STH B, -10, *AR1-0% ; 5146
+ STH B, -10, *AR1+% ; 5147
+ STH B, -10, *AR1+0% ; 5148
+ STH B, -10, *AR1(03C1Ch) ; 5149
+ STH B, -10, *+AR1(03C1Dh) ; 5150
+ STH B, -10, *+AR1(03C1Eh)% ; 5151
+ STH B, -10, *(03C1Fh) ; 5152
+ STH B, -10, *AR2 ; 5153
+ STH B, -10, *AR2- ; 5154
+ STH B, -10, *AR2+ ; 5155
+ STH B, -10, *+AR2 ; 5156
+ STH B, -10, *AR2-0B ; 5157
+ STH B, -10, *AR2-0 ; 5158
+ STH B, -10, *AR2+0 ; 5159
+ STH B, -10, *AR2+0B ; 5160
+ STH B, -10, *AR2-% ; 5161
+ STH B, -10, *AR2-0% ; 5162
+ STH B, -10, *AR2+% ; 5163
+ STH B, -10, *AR2+0% ; 5164
+ STH B, -10, *AR2(03C20h) ; 5165
+ STH B, -10, *+AR2(03C21h) ; 5166
+ STH B, -10, *+AR2(03C22h)% ; 5167
+ STH B, -10, *(03C23h) ; 5168
+ STH B, -10, *AR3 ; 5169
+ STH B, -10, *AR3- ; 5170
+ STH B, -10, *AR3+ ; 5171
+ STH B, -10, *+AR3 ; 5172
+ STH B, -10, *AR3-0B ; 5173
+ STH B, -10, *AR3-0 ; 5174
+ STH B, -10, *AR3+0 ; 5175
+ STH B, -10, *AR3+0B ; 5176
+ STH B, -10, *AR3-% ; 5177
+ STH B, -10, *AR3-0% ; 5178
+ STH B, -10, *AR3+% ; 5179
+ STH B, -10, *AR3+0% ; 5180
+ STH B, -10, *AR3(03C24h) ; 5181
+ STH B, -10, *+AR3(03C25h) ; 5182
+ STH B, -10, *+AR3(03C26h)% ; 5183
+ STH B, -10, *(03C27h) ; 5184
+ STH B, -10, *AR4 ; 5185
+ STH B, -10, *AR4- ; 5186
+ STH B, -10, *AR4+ ; 5187
+ STH B, -10, *+AR4 ; 5188
+ STH B, -10, *AR4-0B ; 5189
+ STH B, -10, *AR4-0 ; 5190
+ STH B, -10, *AR4+0 ; 5191
+ STH B, -10, *AR4+0B ; 5192
+ STH B, -10, *AR4-% ; 5193
+ STH B, -10, *AR4-0% ; 5194
+ STH B, -10, *AR4+% ; 5195
+ STH B, -10, *AR4+0% ; 5196
+ STH B, -10, *AR4(03C28h) ; 5197
+ STH B, -10, *+AR4(03C29h) ; 5198
+ STH B, -10, *+AR4(03C2Ah)% ; 5199
+ STH B, -10, *(03C2Bh) ; 5200
+ STH B, -10, *AR5 ; 5201
+ STH B, -10, *AR5- ; 5202
+ STH B, -10, *AR5+ ; 5203
+ STH B, -10, *+AR5 ; 5204
+ STH B, -10, *AR5-0B ; 5205
+ STH B, -10, *AR5-0 ; 5206
+ STH B, -10, *AR5+0 ; 5207
+ STH B, -10, *AR5+0B ; 5208
+ STH B, -10, *AR5-% ; 5209
+ STH B, -10, *AR5-0% ; 5210
+ STH B, -10, *AR5+% ; 5211
+ STH B, -10, *AR5+0% ; 5212
+ STH B, -10, *AR5(03C2Ch) ; 5213
+ STH B, -10, *+AR5(03C2Dh) ; 5214
+ STH B, -10, *+AR5(03C2Eh)% ; 5215
+ STH B, -10, *(03C2Fh) ; 5216
+ STH B, -10, *AR6 ; 5217
+ STH B, -10, *AR6- ; 5218
+ STH B, -10, *AR6+ ; 5219
+ STH B, -10, *+AR6 ; 5220
+ STH B, -10, *AR6-0B ; 5221
+ STH B, -10, *AR6-0 ; 5222
+ STH B, -10, *AR6+0 ; 5223
+ STH B, -10, *AR6+0B ; 5224
+ STH B, -10, *AR6-% ; 5225
+ STH B, -10, *AR6-0% ; 5226
+ STH B, -10, *AR6+% ; 5227
+ STH B, -10, *AR6+0% ; 5228
+ STH B, -10, *AR6(03C30h) ; 5229
+ STH B, -10, *+AR6(03C31h) ; 5230
+ STH B, -10, *+AR6(03C32h)% ; 5231
+ STH B, -10, *(03C33h) ; 5232
+ STH B, -10, *AR7 ; 5233
+ STH B, -10, *AR7- ; 5234
+ STH B, -10, *AR7+ ; 5235
+ STH B, -10, *+AR7 ; 5236
+ STH B, -10, *AR7-0B ; 5237
+ STH B, -10, *AR7-0 ; 5238
+ STH B, -10, *AR7+0 ; 5239
+ STH B, -10, *AR7+0B ; 5240
+ STH B, -10, *AR7-% ; 5241
+ STH B, -10, *AR7-0% ; 5242
+ STH B, -10, *AR7+% ; 5243
+ STH B, -10, *AR7+0% ; 5244
+ STH B, -10, *AR7(03C34h) ; 5245
+ STH B, -10, *+AR7(03C35h) ; 5246
+ STH B, -10, *+AR7(03C36h)% ; 5247
+ STH B, -10, *(03C37h) ; 5248
+ STH B, -9, *AR0 ; 5249
+ STH B, -9, *AR0- ; 5250
+ STH B, -9, *AR0+ ; 5251
+ STH B, -9, *+AR0 ; 5252
+ STH B, -9, *AR0-0B ; 5253
+ STH B, -9, *AR0-0 ; 5254
+ STH B, -9, *AR0+0 ; 5255
+ STH B, -9, *AR0+0B ; 5256
+ STH B, -9, *AR0-% ; 5257
+ STH B, -9, *AR0-0% ; 5258
+ STH B, -9, *AR0+% ; 5259
+ STH B, -9, *AR0+0% ; 5260
+ STH B, -9, *AR0(03C38h) ; 5261
+ STH B, -9, *+AR0(03C39h) ; 5262
+ STH B, -9, *+AR0(03C3Ah)% ; 5263
+ STH B, -9, *(03C3Bh) ; 5264
+ STH B, -9, *AR1 ; 5265
+ STH B, -9, *AR1- ; 5266
+ STH B, -9, *AR1+ ; 5267
+ STH B, -9, *+AR1 ; 5268
+ STH B, -9, *AR1-0B ; 5269
+ STH B, -9, *AR1-0 ; 5270
+ STH B, -9, *AR1+0 ; 5271
+ STH B, -9, *AR1+0B ; 5272
+ STH B, -9, *AR1-% ; 5273
+ STH B, -9, *AR1-0% ; 5274
+ STH B, -9, *AR1+% ; 5275
+ STH B, -9, *AR1+0% ; 5276
+ STH B, -9, *AR1(03C3Ch) ; 5277
+ STH B, -9, *+AR1(03C3Dh) ; 5278
+ STH B, -9, *+AR1(03C3Eh)% ; 5279
+ STH B, -9, *(03C3Fh) ; 5280
+ STH B, -9, *AR2 ; 5281
+ STH B, -9, *AR2- ; 5282
+ STH B, -9, *AR2+ ; 5283
+ STH B, -9, *+AR2 ; 5284
+ STH B, -9, *AR2-0B ; 5285
+ STH B, -9, *AR2-0 ; 5286
+ STH B, -9, *AR2+0 ; 5287
+ STH B, -9, *AR2+0B ; 5288
+ STH B, -9, *AR2-% ; 5289
+ STH B, -9, *AR2-0% ; 5290
+ STH B, -9, *AR2+% ; 5291
+ STH B, -9, *AR2+0% ; 5292
+ STH B, -9, *AR2(03C40h) ; 5293
+ STH B, -9, *+AR2(03C41h) ; 5294
+ STH B, -9, *+AR2(03C42h)% ; 5295
+ STH B, -9, *(03C43h) ; 5296
+ STH B, -9, *AR3 ; 5297
+ STH B, -9, *AR3- ; 5298
+ STH B, -9, *AR3+ ; 5299
+ STH B, -9, *+AR3 ; 5300
+ STH B, -9, *AR3-0B ; 5301
+ STH B, -9, *AR3-0 ; 5302
+ STH B, -9, *AR3+0 ; 5303
+ STH B, -9, *AR3+0B ; 5304
+ STH B, -9, *AR3-% ; 5305
+ STH B, -9, *AR3-0% ; 5306
+ STH B, -9, *AR3+% ; 5307
+ STH B, -9, *AR3+0% ; 5308
+ STH B, -9, *AR3(03C44h) ; 5309
+ STH B, -9, *+AR3(03C45h) ; 5310
+ STH B, -9, *+AR3(03C46h)% ; 5311
+ STH B, -9, *(03C47h) ; 5312
+ STH B, -9, *AR4 ; 5313
+ STH B, -9, *AR4- ; 5314
+ STH B, -9, *AR4+ ; 5315
+ STH B, -9, *+AR4 ; 5316
+ STH B, -9, *AR4-0B ; 5317
+ STH B, -9, *AR4-0 ; 5318
+ STH B, -9, *AR4+0 ; 5319
+ STH B, -9, *AR4+0B ; 5320
+ STH B, -9, *AR4-% ; 5321
+ STH B, -9, *AR4-0% ; 5322
+ STH B, -9, *AR4+% ; 5323
+ STH B, -9, *AR4+0% ; 5324
+ STH B, -9, *AR4(03C48h) ; 5325
+ STH B, -9, *+AR4(03C49h) ; 5326
+ STH B, -9, *+AR4(03C4Ah)% ; 5327
+ STH B, -9, *(03C4Bh) ; 5328
+ STH B, -9, *AR5 ; 5329
+ STH B, -9, *AR5- ; 5330
+ STH B, -9, *AR5+ ; 5331
+ STH B, -9, *+AR5 ; 5332
+ STH B, -9, *AR5-0B ; 5333
+ STH B, -9, *AR5-0 ; 5334
+ STH B, -9, *AR5+0 ; 5335
+ STH B, -9, *AR5+0B ; 5336
+ STH B, -9, *AR5-% ; 5337
+ STH B, -9, *AR5-0% ; 5338
+ STH B, -9, *AR5+% ; 5339
+ STH B, -9, *AR5+0% ; 5340
+ STH B, -9, *AR5(03C4Ch) ; 5341
+ STH B, -9, *+AR5(03C4Dh) ; 5342
+ STH B, -9, *+AR5(03C4Eh)% ; 5343
+ STH B, -9, *(03C4Fh) ; 5344
+ STH B, -9, *AR6 ; 5345
+ STH B, -9, *AR6- ; 5346
+ STH B, -9, *AR6+ ; 5347
+ STH B, -9, *+AR6 ; 5348
+ STH B, -9, *AR6-0B ; 5349
+ STH B, -9, *AR6-0 ; 5350
+ STH B, -9, *AR6+0 ; 5351
+ STH B, -9, *AR6+0B ; 5352
+ STH B, -9, *AR6-% ; 5353
+ STH B, -9, *AR6-0% ; 5354
+ STH B, -9, *AR6+% ; 5355
+ STH B, -9, *AR6+0% ; 5356
+ STH B, -9, *AR6(03C50h) ; 5357
+ STH B, -9, *+AR6(03C51h) ; 5358
+ STH B, -9, *+AR6(03C52h)% ; 5359
+ STH B, -9, *(03C53h) ; 5360
+ STH B, -9, *AR7 ; 5361
+ STH B, -9, *AR7- ; 5362
+ STH B, -9, *AR7+ ; 5363
+ STH B, -9, *+AR7 ; 5364
+ STH B, -9, *AR7-0B ; 5365
+ STH B, -9, *AR7-0 ; 5366
+ STH B, -9, *AR7+0 ; 5367
+ STH B, -9, *AR7+0B ; 5368
+ STH B, -9, *AR7-% ; 5369
+ STH B, -9, *AR7-0% ; 5370
+ STH B, -9, *AR7+% ; 5371
+ STH B, -9, *AR7+0% ; 5372
+ STH B, -9, *AR7(03C54h) ; 5373
+ STH B, -9, *+AR7(03C55h) ; 5374
+ STH B, -9, *+AR7(03C56h)% ; 5375
+ STH B, -9, *(03C57h) ; 5376
+ STH B, -8, *AR0 ; 5377
+ STH B, -8, *AR0- ; 5378
+ STH B, -8, *AR0+ ; 5379
+ STH B, -8, *+AR0 ; 5380
+ STH B, -8, *AR0-0B ; 5381
+ STH B, -8, *AR0-0 ; 5382
+ STH B, -8, *AR0+0 ; 5383
+ STH B, -8, *AR0+0B ; 5384
+ STH B, -8, *AR0-% ; 5385
+ STH B, -8, *AR0-0% ; 5386
+ STH B, -8, *AR0+% ; 5387
+ STH B, -8, *AR0+0% ; 5388
+ STH B, -8, *AR0(03C58h) ; 5389
+ STH B, -8, *+AR0(03C59h) ; 5390
+ STH B, -8, *+AR0(03C5Ah)% ; 5391
+ STH B, -8, *(03C5Bh) ; 5392
+ STH B, -8, *AR1 ; 5393
+ STH B, -8, *AR1- ; 5394
+ STH B, -8, *AR1+ ; 5395
+ STH B, -8, *+AR1 ; 5396
+ STH B, -8, *AR1-0B ; 5397
+ STH B, -8, *AR1-0 ; 5398
+ STH B, -8, *AR1+0 ; 5399
+ STH B, -8, *AR1+0B ; 5400
+ STH B, -8, *AR1-% ; 5401
+ STH B, -8, *AR1-0% ; 5402
+ STH B, -8, *AR1+% ; 5403
+ STH B, -8, *AR1+0% ; 5404
+ STH B, -8, *AR1(03C5Ch) ; 5405
+ STH B, -8, *+AR1(03C5Dh) ; 5406
+ STH B, -8, *+AR1(03C5Eh)% ; 5407
+ STH B, -8, *(03C5Fh) ; 5408
+ STH B, -8, *AR2 ; 5409
+ STH B, -8, *AR2- ; 5410
+ STH B, -8, *AR2+ ; 5411
+ STH B, -8, *+AR2 ; 5412
+ STH B, -8, *AR2-0B ; 5413
+ STH B, -8, *AR2-0 ; 5414
+ STH B, -8, *AR2+0 ; 5415
+ STH B, -8, *AR2+0B ; 5416
+ STH B, -8, *AR2-% ; 5417
+ STH B, -8, *AR2-0% ; 5418
+ STH B, -8, *AR2+% ; 5419
+ STH B, -8, *AR2+0% ; 5420
+ STH B, -8, *AR2(03C60h) ; 5421
+ STH B, -8, *+AR2(03C61h) ; 5422
+ STH B, -8, *+AR2(03C62h)% ; 5423
+ STH B, -8, *(03C63h) ; 5424
+ STH B, -8, *AR3 ; 5425
+ STH B, -8, *AR3- ; 5426
+ STH B, -8, *AR3+ ; 5427
+ STH B, -8, *+AR3 ; 5428
+ STH B, -8, *AR3-0B ; 5429
+ STH B, -8, *AR3-0 ; 5430
+ STH B, -8, *AR3+0 ; 5431
+ STH B, -8, *AR3+0B ; 5432
+ STH B, -8, *AR3-% ; 5433
+ STH B, -8, *AR3-0% ; 5434
+ STH B, -8, *AR3+% ; 5435
+ STH B, -8, *AR3+0% ; 5436
+ STH B, -8, *AR3(03C64h) ; 5437
+ STH B, -8, *+AR3(03C65h) ; 5438
+ STH B, -8, *+AR3(03C66h)% ; 5439
+ STH B, -8, *(03C67h) ; 5440
+ STH B, -8, *AR4 ; 5441
+ STH B, -8, *AR4- ; 5442
+ STH B, -8, *AR4+ ; 5443
+ STH B, -8, *+AR4 ; 5444
+ STH B, -8, *AR4-0B ; 5445
+ STH B, -8, *AR4-0 ; 5446
+ STH B, -8, *AR4+0 ; 5447
+ STH B, -8, *AR4+0B ; 5448
+ STH B, -8, *AR4-% ; 5449
+ STH B, -8, *AR4-0% ; 5450
+ STH B, -8, *AR4+% ; 5451
+ STH B, -8, *AR4+0% ; 5452
+ STH B, -8, *AR4(03C68h) ; 5453
+ STH B, -8, *+AR4(03C69h) ; 5454
+ STH B, -8, *+AR4(03C6Ah)% ; 5455
+ STH B, -8, *(03C6Bh) ; 5456
+ STH B, -8, *AR5 ; 5457
+ STH B, -8, *AR5- ; 5458
+ STH B, -8, *AR5+ ; 5459
+ STH B, -8, *+AR5 ; 5460
+ STH B, -8, *AR5-0B ; 5461
+ STH B, -8, *AR5-0 ; 5462
+ STH B, -8, *AR5+0 ; 5463
+ STH B, -8, *AR5+0B ; 5464
+ STH B, -8, *AR5-% ; 5465
+ STH B, -8, *AR5-0% ; 5466
+ STH B, -8, *AR5+% ; 5467
+ STH B, -8, *AR5+0% ; 5468
+ STH B, -8, *AR5(03C6Ch) ; 5469
+ STH B, -8, *+AR5(03C6Dh) ; 5470
+ STH B, -8, *+AR5(03C6Eh)% ; 5471
+ STH B, -8, *(03C6Fh) ; 5472
+ STH B, -8, *AR6 ; 5473
+ STH B, -8, *AR6- ; 5474
+ STH B, -8, *AR6+ ; 5475
+ STH B, -8, *+AR6 ; 5476
+ STH B, -8, *AR6-0B ; 5477
+ STH B, -8, *AR6-0 ; 5478
+ STH B, -8, *AR6+0 ; 5479
+ STH B, -8, *AR6+0B ; 5480
+ STH B, -8, *AR6-% ; 5481
+ STH B, -8, *AR6-0% ; 5482
+ STH B, -8, *AR6+% ; 5483
+ STH B, -8, *AR6+0% ; 5484
+ STH B, -8, *AR6(03C70h) ; 5485
+ STH B, -8, *+AR6(03C71h) ; 5486
+ STH B, -8, *+AR6(03C72h)% ; 5487
+ STH B, -8, *(03C73h) ; 5488
+ STH B, -8, *AR7 ; 5489
+ STH B, -8, *AR7- ; 5490
+ STH B, -8, *AR7+ ; 5491
+ STH B, -8, *+AR7 ; 5492
+ STH B, -8, *AR7-0B ; 5493
+ STH B, -8, *AR7-0 ; 5494
+ STH B, -8, *AR7+0 ; 5495
+ STH B, -8, *AR7+0B ; 5496
+ STH B, -8, *AR7-% ; 5497
+ STH B, -8, *AR7-0% ; 5498
+ STH B, -8, *AR7+% ; 5499
+ STH B, -8, *AR7+0% ; 5500
+ STH B, -8, *AR7(03C74h) ; 5501
+ STH B, -8, *+AR7(03C75h) ; 5502
+ STH B, -8, *+AR7(03C76h)% ; 5503
+ STH B, -8, *(03C77h) ; 5504
+ STH B, -7, *AR0 ; 5505
+ STH B, -7, *AR0- ; 5506
+ STH B, -7, *AR0+ ; 5507
+ STH B, -7, *+AR0 ; 5508
+ STH B, -7, *AR0-0B ; 5509
+ STH B, -7, *AR0-0 ; 5510
+ STH B, -7, *AR0+0 ; 5511
+ STH B, -7, *AR0+0B ; 5512
+ STH B, -7, *AR0-% ; 5513
+ STH B, -7, *AR0-0% ; 5514
+ STH B, -7, *AR0+% ; 5515
+ STH B, -7, *AR0+0% ; 5516
+ STH B, -7, *AR0(03C78h) ; 5517
+ STH B, -7, *+AR0(03C79h) ; 5518
+ STH B, -7, *+AR0(03C7Ah)% ; 5519
+ STH B, -7, *(03C7Bh) ; 5520
+ STH B, -7, *AR1 ; 5521
+ STH B, -7, *AR1- ; 5522
+ STH B, -7, *AR1+ ; 5523
+ STH B, -7, *+AR1 ; 5524
+ STH B, -7, *AR1-0B ; 5525
+ STH B, -7, *AR1-0 ; 5526
+ STH B, -7, *AR1+0 ; 5527
+ STH B, -7, *AR1+0B ; 5528
+ STH B, -7, *AR1-% ; 5529
+ STH B, -7, *AR1-0% ; 5530
+ STH B, -7, *AR1+% ; 5531
+ STH B, -7, *AR1+0% ; 5532
+ STH B, -7, *AR1(03C7Ch) ; 5533
+ STH B, -7, *+AR1(03C7Dh) ; 5534
+ STH B, -7, *+AR1(03C7Eh)% ; 5535
+ STH B, -7, *(03C7Fh) ; 5536
+ STH B, -7, *AR2 ; 5537
+ STH B, -7, *AR2- ; 5538
+ STH B, -7, *AR2+ ; 5539
+ STH B, -7, *+AR2 ; 5540
+ STH B, -7, *AR2-0B ; 5541
+ STH B, -7, *AR2-0 ; 5542
+ STH B, -7, *AR2+0 ; 5543
+ STH B, -7, *AR2+0B ; 5544
+ STH B, -7, *AR2-% ; 5545
+ STH B, -7, *AR2-0% ; 5546
+ STH B, -7, *AR2+% ; 5547
+ STH B, -7, *AR2+0% ; 5548
+ STH B, -7, *AR2(03C80h) ; 5549
+ STH B, -7, *+AR2(03C81h) ; 5550
+ STH B, -7, *+AR2(03C82h)% ; 5551
+ STH B, -7, *(03C83h) ; 5552
+ STH B, -7, *AR3 ; 5553
+ STH B, -7, *AR3- ; 5554
+ STH B, -7, *AR3+ ; 5555
+ STH B, -7, *+AR3 ; 5556
+ STH B, -7, *AR3-0B ; 5557
+ STH B, -7, *AR3-0 ; 5558
+ STH B, -7, *AR3+0 ; 5559
+ STH B, -7, *AR3+0B ; 5560
+ STH B, -7, *AR3-% ; 5561
+ STH B, -7, *AR3-0% ; 5562
+ STH B, -7, *AR3+% ; 5563
+ STH B, -7, *AR3+0% ; 5564
+ STH B, -7, *AR3(03C84h) ; 5565
+ STH B, -7, *+AR3(03C85h) ; 5566
+ STH B, -7, *+AR3(03C86h)% ; 5567
+ STH B, -7, *(03C87h) ; 5568
+ STH B, -7, *AR4 ; 5569
+ STH B, -7, *AR4- ; 5570
+ STH B, -7, *AR4+ ; 5571
+ STH B, -7, *+AR4 ; 5572
+ STH B, -7, *AR4-0B ; 5573
+ STH B, -7, *AR4-0 ; 5574
+ STH B, -7, *AR4+0 ; 5575
+ STH B, -7, *AR4+0B ; 5576
+ STH B, -7, *AR4-% ; 5577
+ STH B, -7, *AR4-0% ; 5578
+ STH B, -7, *AR4+% ; 5579
+ STH B, -7, *AR4+0% ; 5580
+ STH B, -7, *AR4(03C88h) ; 5581
+ STH B, -7, *+AR4(03C89h) ; 5582
+ STH B, -7, *+AR4(03C8Ah)% ; 5583
+ STH B, -7, *(03C8Bh) ; 5584
+ STH B, -7, *AR5 ; 5585
+ STH B, -7, *AR5- ; 5586
+ STH B, -7, *AR5+ ; 5587
+ STH B, -7, *+AR5 ; 5588
+ STH B, -7, *AR5-0B ; 5589
+ STH B, -7, *AR5-0 ; 5590
+ STH B, -7, *AR5+0 ; 5591
+ STH B, -7, *AR5+0B ; 5592
+ STH B, -7, *AR5-% ; 5593
+ STH B, -7, *AR5-0% ; 5594
+ STH B, -7, *AR5+% ; 5595
+ STH B, -7, *AR5+0% ; 5596
+ STH B, -7, *AR5(03C8Ch) ; 5597
+ STH B, -7, *+AR5(03C8Dh) ; 5598
+ STH B, -7, *+AR5(03C8Eh)% ; 5599
+ STH B, -7, *(03C8Fh) ; 5600
+ STH B, -7, *AR6 ; 5601
+ STH B, -7, *AR6- ; 5602
+ STH B, -7, *AR6+ ; 5603
+ STH B, -7, *+AR6 ; 5604
+ STH B, -7, *AR6-0B ; 5605
+ STH B, -7, *AR6-0 ; 5606
+ STH B, -7, *AR6+0 ; 5607
+ STH B, -7, *AR6+0B ; 5608
+ STH B, -7, *AR6-% ; 5609
+ STH B, -7, *AR6-0% ; 5610
+ STH B, -7, *AR6+% ; 5611
+ STH B, -7, *AR6+0% ; 5612
+ STH B, -7, *AR6(03C90h) ; 5613
+ STH B, -7, *+AR6(03C91h) ; 5614
+ STH B, -7, *+AR6(03C92h)% ; 5615
+ STH B, -7, *(03C93h) ; 5616
+ STH B, -7, *AR7 ; 5617
+ STH B, -7, *AR7- ; 5618
+ STH B, -7, *AR7+ ; 5619
+ STH B, -7, *+AR7 ; 5620
+ STH B, -7, *AR7-0B ; 5621
+ STH B, -7, *AR7-0 ; 5622
+ STH B, -7, *AR7+0 ; 5623
+ STH B, -7, *AR7+0B ; 5624
+ STH B, -7, *AR7-% ; 5625
+ STH B, -7, *AR7-0% ; 5626
+ STH B, -7, *AR7+% ; 5627
+ STH B, -7, *AR7+0% ; 5628
+ STH B, -7, *AR7(03C94h) ; 5629
+ STH B, -7, *+AR7(03C95h) ; 5630
+ STH B, -7, *+AR7(03C96h)% ; 5631
+ STH B, -7, *(03C97h) ; 5632
+ STH B, -6, *AR0 ; 5633
+ STH B, -6, *AR0- ; 5634
+ STH B, -6, *AR0+ ; 5635
+ STH B, -6, *+AR0 ; 5636
+ STH B, -6, *AR0-0B ; 5637
+ STH B, -6, *AR0-0 ; 5638
+ STH B, -6, *AR0+0 ; 5639
+ STH B, -6, *AR0+0B ; 5640
+ STH B, -6, *AR0-% ; 5641
+ STH B, -6, *AR0-0% ; 5642
+ STH B, -6, *AR0+% ; 5643
+ STH B, -6, *AR0+0% ; 5644
+ STH B, -6, *AR0(03C98h) ; 5645
+ STH B, -6, *+AR0(03C99h) ; 5646
+ STH B, -6, *+AR0(03C9Ah)% ; 5647
+ STH B, -6, *(03C9Bh) ; 5648
+ STH B, -6, *AR1 ; 5649
+ STH B, -6, *AR1- ; 5650
+ STH B, -6, *AR1+ ; 5651
+ STH B, -6, *+AR1 ; 5652
+ STH B, -6, *AR1-0B ; 5653
+ STH B, -6, *AR1-0 ; 5654
+ STH B, -6, *AR1+0 ; 5655
+ STH B, -6, *AR1+0B ; 5656
+ STH B, -6, *AR1-% ; 5657
+ STH B, -6, *AR1-0% ; 5658
+ STH B, -6, *AR1+% ; 5659
+ STH B, -6, *AR1+0% ; 5660
+ STH B, -6, *AR1(03C9Ch) ; 5661
+ STH B, -6, *+AR1(03C9Dh) ; 5662
+ STH B, -6, *+AR1(03C9Eh)% ; 5663
+ STH B, -6, *(03C9Fh) ; 5664
+ STH B, -6, *AR2 ; 5665
+ STH B, -6, *AR2- ; 5666
+ STH B, -6, *AR2+ ; 5667
+ STH B, -6, *+AR2 ; 5668
+ STH B, -6, *AR2-0B ; 5669
+ STH B, -6, *AR2-0 ; 5670
+ STH B, -6, *AR2+0 ; 5671
+ STH B, -6, *AR2+0B ; 5672
+ STH B, -6, *AR2-% ; 5673
+ STH B, -6, *AR2-0% ; 5674
+ STH B, -6, *AR2+% ; 5675
+ STH B, -6, *AR2+0% ; 5676
+ STH B, -6, *AR2(03CA0h) ; 5677
+ STH B, -6, *+AR2(03CA1h) ; 5678
+ STH B, -6, *+AR2(03CA2h)% ; 5679
+ STH B, -6, *(03CA3h) ; 5680
+ STH B, -6, *AR3 ; 5681
+ STH B, -6, *AR3- ; 5682
+ STH B, -6, *AR3+ ; 5683
+ STH B, -6, *+AR3 ; 5684
+ STH B, -6, *AR3-0B ; 5685
+ STH B, -6, *AR3-0 ; 5686
+ STH B, -6, *AR3+0 ; 5687
+ STH B, -6, *AR3+0B ; 5688
+ STH B, -6, *AR3-% ; 5689
+ STH B, -6, *AR3-0% ; 5690
+ STH B, -6, *AR3+% ; 5691
+ STH B, -6, *AR3+0% ; 5692
+ STH B, -6, *AR3(03CA4h) ; 5693
+ STH B, -6, *+AR3(03CA5h) ; 5694
+ STH B, -6, *+AR3(03CA6h)% ; 5695
+ STH B, -6, *(03CA7h) ; 5696
+ STH B, -6, *AR4 ; 5697
+ STH B, -6, *AR4- ; 5698
+ STH B, -6, *AR4+ ; 5699
+ STH B, -6, *+AR4 ; 5700
+ STH B, -6, *AR4-0B ; 5701
+ STH B, -6, *AR4-0 ; 5702
+ STH B, -6, *AR4+0 ; 5703
+ STH B, -6, *AR4+0B ; 5704
+ STH B, -6, *AR4-% ; 5705
+ STH B, -6, *AR4-0% ; 5706
+ STH B, -6, *AR4+% ; 5707
+ STH B, -6, *AR4+0% ; 5708
+ STH B, -6, *AR4(03CA8h) ; 5709
+ STH B, -6, *+AR4(03CA9h) ; 5710
+ STH B, -6, *+AR4(03CAAh)% ; 5711
+ STH B, -6, *(03CABh) ; 5712
+ STH B, -6, *AR5 ; 5713
+ STH B, -6, *AR5- ; 5714
+ STH B, -6, *AR5+ ; 5715
+ STH B, -6, *+AR5 ; 5716
+ STH B, -6, *AR5-0B ; 5717
+ STH B, -6, *AR5-0 ; 5718
+ STH B, -6, *AR5+0 ; 5719
+ STH B, -6, *AR5+0B ; 5720
+ STH B, -6, *AR5-% ; 5721
+ STH B, -6, *AR5-0% ; 5722
+ STH B, -6, *AR5+% ; 5723
+ STH B, -6, *AR5+0% ; 5724
+ STH B, -6, *AR5(03CACh) ; 5725
+ STH B, -6, *+AR5(03CADh) ; 5726
+ STH B, -6, *+AR5(03CAEh)% ; 5727
+ STH B, -6, *(03CAFh) ; 5728
+ STH B, -6, *AR6 ; 5729
+ STH B, -6, *AR6- ; 5730
+ STH B, -6, *AR6+ ; 5731
+ STH B, -6, *+AR6 ; 5732
+ STH B, -6, *AR6-0B ; 5733
+ STH B, -6, *AR6-0 ; 5734
+ STH B, -6, *AR6+0 ; 5735
+ STH B, -6, *AR6+0B ; 5736
+ STH B, -6, *AR6-% ; 5737
+ STH B, -6, *AR6-0% ; 5738
+ STH B, -6, *AR6+% ; 5739
+ STH B, -6, *AR6+0% ; 5740
+ STH B, -6, *AR6(03CB0h) ; 5741
+ STH B, -6, *+AR6(03CB1h) ; 5742
+ STH B, -6, *+AR6(03CB2h)% ; 5743
+ STH B, -6, *(03CB3h) ; 5744
+ STH B, -6, *AR7 ; 5745
+ STH B, -6, *AR7- ; 5746
+ STH B, -6, *AR7+ ; 5747
+ STH B, -6, *+AR7 ; 5748
+ STH B, -6, *AR7-0B ; 5749
+ STH B, -6, *AR7-0 ; 5750
+ STH B, -6, *AR7+0 ; 5751
+ STH B, -6, *AR7+0B ; 5752
+ STH B, -6, *AR7-% ; 5753
+ STH B, -6, *AR7-0% ; 5754
+ STH B, -6, *AR7+% ; 5755
+ STH B, -6, *AR7+0% ; 5756
+ STH B, -6, *AR7(03CB4h) ; 5757
+ STH B, -6, *+AR7(03CB5h) ; 5758
+ STH B, -6, *+AR7(03CB6h)% ; 5759
+ STH B, -6, *(03CB7h) ; 5760
+ STH B, -5, *AR0 ; 5761
+ STH B, -5, *AR0- ; 5762
+ STH B, -5, *AR0+ ; 5763
+ STH B, -5, *+AR0 ; 5764
+ STH B, -5, *AR0-0B ; 5765
+ STH B, -5, *AR0-0 ; 5766
+ STH B, -5, *AR0+0 ; 5767
+ STH B, -5, *AR0+0B ; 5768
+ STH B, -5, *AR0-% ; 5769
+ STH B, -5, *AR0-0% ; 5770
+ STH B, -5, *AR0+% ; 5771
+ STH B, -5, *AR0+0% ; 5772
+ STH B, -5, *AR0(03CB8h) ; 5773
+ STH B, -5, *+AR0(03CB9h) ; 5774
+ STH B, -5, *+AR0(03CBAh)% ; 5775
+ STH B, -5, *(03CBBh) ; 5776
+ STH B, -5, *AR1 ; 5777
+ STH B, -5, *AR1- ; 5778
+ STH B, -5, *AR1+ ; 5779
+ STH B, -5, *+AR1 ; 5780
+ STH B, -5, *AR1-0B ; 5781
+ STH B, -5, *AR1-0 ; 5782
+ STH B, -5, *AR1+0 ; 5783
+ STH B, -5, *AR1+0B ; 5784
+ STH B, -5, *AR1-% ; 5785
+ STH B, -5, *AR1-0% ; 5786
+ STH B, -5, *AR1+% ; 5787
+ STH B, -5, *AR1+0% ; 5788
+ STH B, -5, *AR1(03CBCh) ; 5789
+ STH B, -5, *+AR1(03CBDh) ; 5790
+ STH B, -5, *+AR1(03CBEh)% ; 5791
+ STH B, -5, *(03CBFh) ; 5792
+ STH B, -5, *AR2 ; 5793
+ STH B, -5, *AR2- ; 5794
+ STH B, -5, *AR2+ ; 5795
+ STH B, -5, *+AR2 ; 5796
+ STH B, -5, *AR2-0B ; 5797
+ STH B, -5, *AR2-0 ; 5798
+ STH B, -5, *AR2+0 ; 5799
+ STH B, -5, *AR2+0B ; 5800
+ STH B, -5, *AR2-% ; 5801
+ STH B, -5, *AR2-0% ; 5802
+ STH B, -5, *AR2+% ; 5803
+ STH B, -5, *AR2+0% ; 5804
+ STH B, -5, *AR2(03CC0h) ; 5805
+ STH B, -5, *+AR2(03CC1h) ; 5806
+ STH B, -5, *+AR2(03CC2h)% ; 5807
+ STH B, -5, *(03CC3h) ; 5808
+ STH B, -5, *AR3 ; 5809
+ STH B, -5, *AR3- ; 5810
+ STH B, -5, *AR3+ ; 5811
+ STH B, -5, *+AR3 ; 5812
+ STH B, -5, *AR3-0B ; 5813
+ STH B, -5, *AR3-0 ; 5814
+ STH B, -5, *AR3+0 ; 5815
+ STH B, -5, *AR3+0B ; 5816
+ STH B, -5, *AR3-% ; 5817
+ STH B, -5, *AR3-0% ; 5818
+ STH B, -5, *AR3+% ; 5819
+ STH B, -5, *AR3+0% ; 5820
+ STH B, -5, *AR3(03CC4h) ; 5821
+ STH B, -5, *+AR3(03CC5h) ; 5822
+ STH B, -5, *+AR3(03CC6h)% ; 5823
+ STH B, -5, *(03CC7h) ; 5824
+ STH B, -5, *AR4 ; 5825
+ STH B, -5, *AR4- ; 5826
+ STH B, -5, *AR4+ ; 5827
+ STH B, -5, *+AR4 ; 5828
+ STH B, -5, *AR4-0B ; 5829
+ STH B, -5, *AR4-0 ; 5830
+ STH B, -5, *AR4+0 ; 5831
+ STH B, -5, *AR4+0B ; 5832
+ STH B, -5, *AR4-% ; 5833
+ STH B, -5, *AR4-0% ; 5834
+ STH B, -5, *AR4+% ; 5835
+ STH B, -5, *AR4+0% ; 5836
+ STH B, -5, *AR4(03CC8h) ; 5837
+ STH B, -5, *+AR4(03CC9h) ; 5838
+ STH B, -5, *+AR4(03CCAh)% ; 5839
+ STH B, -5, *(03CCBh) ; 5840
+ STH B, -5, *AR5 ; 5841
+ STH B, -5, *AR5- ; 5842
+ STH B, -5, *AR5+ ; 5843
+ STH B, -5, *+AR5 ; 5844
+ STH B, -5, *AR5-0B ; 5845
+ STH B, -5, *AR5-0 ; 5846
+ STH B, -5, *AR5+0 ; 5847
+ STH B, -5, *AR5+0B ; 5848
+ STH B, -5, *AR5-% ; 5849
+ STH B, -5, *AR5-0% ; 5850
+ STH B, -5, *AR5+% ; 5851
+ STH B, -5, *AR5+0% ; 5852
+ STH B, -5, *AR5(03CCCh) ; 5853
+ STH B, -5, *+AR5(03CCDh) ; 5854
+ STH B, -5, *+AR5(03CCEh)% ; 5855
+ STH B, -5, *(03CCFh) ; 5856
+ STH B, -5, *AR6 ; 5857
+ STH B, -5, *AR6- ; 5858
+ STH B, -5, *AR6+ ; 5859
+ STH B, -5, *+AR6 ; 5860
+ STH B, -5, *AR6-0B ; 5861
+ STH B, -5, *AR6-0 ; 5862
+ STH B, -5, *AR6+0 ; 5863
+ STH B, -5, *AR6+0B ; 5864
+ STH B, -5, *AR6-% ; 5865
+ STH B, -5, *AR6-0% ; 5866
+ STH B, -5, *AR6+% ; 5867
+ STH B, -5, *AR6+0% ; 5868
+ STH B, -5, *AR6(03CD0h) ; 5869
+ STH B, -5, *+AR6(03CD1h) ; 5870
+ STH B, -5, *+AR6(03CD2h)% ; 5871
+ STH B, -5, *(03CD3h) ; 5872
+ STH B, -5, *AR7 ; 5873
+ STH B, -5, *AR7- ; 5874
+ STH B, -5, *AR7+ ; 5875
+ STH B, -5, *+AR7 ; 5876
+ STH B, -5, *AR7-0B ; 5877
+ STH B, -5, *AR7-0 ; 5878
+ STH B, -5, *AR7+0 ; 5879
+ STH B, -5, *AR7+0B ; 5880
+ STH B, -5, *AR7-% ; 5881
+ STH B, -5, *AR7-0% ; 5882
+ STH B, -5, *AR7+% ; 5883
+ STH B, -5, *AR7+0% ; 5884
+ STH B, -5, *AR7(03CD4h) ; 5885
+ STH B, -5, *+AR7(03CD5h) ; 5886
+ STH B, -5, *+AR7(03CD6h)% ; 5887
+ STH B, -5, *(03CD7h) ; 5888
+ STH B, -4, *AR0 ; 5889
+ STH B, -4, *AR0- ; 5890
+ STH B, -4, *AR0+ ; 5891
+ STH B, -4, *+AR0 ; 5892
+ STH B, -4, *AR0-0B ; 5893
+ STH B, -4, *AR0-0 ; 5894
+ STH B, -4, *AR0+0 ; 5895
+ STH B, -4, *AR0+0B ; 5896
+ STH B, -4, *AR0-% ; 5897
+ STH B, -4, *AR0-0% ; 5898
+ STH B, -4, *AR0+% ; 5899
+ STH B, -4, *AR0+0% ; 5900
+ STH B, -4, *AR0(03CD8h) ; 5901
+ STH B, -4, *+AR0(03CD9h) ; 5902
+ STH B, -4, *+AR0(03CDAh)% ; 5903
+ STH B, -4, *(03CDBh) ; 5904
+ STH B, -4, *AR1 ; 5905
+ STH B, -4, *AR1- ; 5906
+ STH B, -4, *AR1+ ; 5907
+ STH B, -4, *+AR1 ; 5908
+ STH B, -4, *AR1-0B ; 5909
+ STH B, -4, *AR1-0 ; 5910
+ STH B, -4, *AR1+0 ; 5911
+ STH B, -4, *AR1+0B ; 5912
+ STH B, -4, *AR1-% ; 5913
+ STH B, -4, *AR1-0% ; 5914
+ STH B, -4, *AR1+% ; 5915
+ STH B, -4, *AR1+0% ; 5916
+ STH B, -4, *AR1(03CDCh) ; 5917
+ STH B, -4, *+AR1(03CDDh) ; 5918
+ STH B, -4, *+AR1(03CDEh)% ; 5919
+ STH B, -4, *(03CDFh) ; 5920
+ STH B, -4, *AR2 ; 5921
+ STH B, -4, *AR2- ; 5922
+ STH B, -4, *AR2+ ; 5923
+ STH B, -4, *+AR2 ; 5924
+ STH B, -4, *AR2-0B ; 5925
+ STH B, -4, *AR2-0 ; 5926
+ STH B, -4, *AR2+0 ; 5927
+ STH B, -4, *AR2+0B ; 5928
+ STH B, -4, *AR2-% ; 5929
+ STH B, -4, *AR2-0% ; 5930
+ STH B, -4, *AR2+% ; 5931
+ STH B, -4, *AR2+0% ; 5932
+ STH B, -4, *AR2(03CE0h) ; 5933
+ STH B, -4, *+AR2(03CE1h) ; 5934
+ STH B, -4, *+AR2(03CE2h)% ; 5935
+ STH B, -4, *(03CE3h) ; 5936
+ STH B, -4, *AR3 ; 5937
+ STH B, -4, *AR3- ; 5938
+ STH B, -4, *AR3+ ; 5939
+ STH B, -4, *+AR3 ; 5940
+ STH B, -4, *AR3-0B ; 5941
+ STH B, -4, *AR3-0 ; 5942
+ STH B, -4, *AR3+0 ; 5943
+ STH B, -4, *AR3+0B ; 5944
+ STH B, -4, *AR3-% ; 5945
+ STH B, -4, *AR3-0% ; 5946
+ STH B, -4, *AR3+% ; 5947
+ STH B, -4, *AR3+0% ; 5948
+ STH B, -4, *AR3(03CE4h) ; 5949
+ STH B, -4, *+AR3(03CE5h) ; 5950
+ STH B, -4, *+AR3(03CE6h)% ; 5951
+ STH B, -4, *(03CE7h) ; 5952
+ STH B, -4, *AR4 ; 5953
+ STH B, -4, *AR4- ; 5954
+ STH B, -4, *AR4+ ; 5955
+ STH B, -4, *+AR4 ; 5956
+ STH B, -4, *AR4-0B ; 5957
+ STH B, -4, *AR4-0 ; 5958
+ STH B, -4, *AR4+0 ; 5959
+ STH B, -4, *AR4+0B ; 5960
+ STH B, -4, *AR4-% ; 5961
+ STH B, -4, *AR4-0% ; 5962
+ STH B, -4, *AR4+% ; 5963
+ STH B, -4, *AR4+0% ; 5964
+ STH B, -4, *AR4(03CE8h) ; 5965
+ STH B, -4, *+AR4(03CE9h) ; 5966
+ STH B, -4, *+AR4(03CEAh)% ; 5967
+ STH B, -4, *(03CEBh) ; 5968
+ STH B, -4, *AR5 ; 5969
+ STH B, -4, *AR5- ; 5970
+ STH B, -4, *AR5+ ; 5971
+ STH B, -4, *+AR5 ; 5972
+ STH B, -4, *AR5-0B ; 5973
+ STH B, -4, *AR5-0 ; 5974
+ STH B, -4, *AR5+0 ; 5975
+ STH B, -4, *AR5+0B ; 5976
+ STH B, -4, *AR5-% ; 5977
+ STH B, -4, *AR5-0% ; 5978
+ STH B, -4, *AR5+% ; 5979
+ STH B, -4, *AR5+0% ; 5980
+ STH B, -4, *AR5(03CECh) ; 5981
+ STH B, -4, *+AR5(03CEDh) ; 5982
+ STH B, -4, *+AR5(03CEEh)% ; 5983
+ STH B, -4, *(03CEFh) ; 5984
+ STH B, -4, *AR6 ; 5985
+ STH B, -4, *AR6- ; 5986
+ STH B, -4, *AR6+ ; 5987
+ STH B, -4, *+AR6 ; 5988
+ STH B, -4, *AR6-0B ; 5989
+ STH B, -4, *AR6-0 ; 5990
+ STH B, -4, *AR6+0 ; 5991
+ STH B, -4, *AR6+0B ; 5992
+ STH B, -4, *AR6-% ; 5993
+ STH B, -4, *AR6-0% ; 5994
+ STH B, -4, *AR6+% ; 5995
+ STH B, -4, *AR6+0% ; 5996
+ STH B, -4, *AR6(03CF0h) ; 5997
+ STH B, -4, *+AR6(03CF1h) ; 5998
+ STH B, -4, *+AR6(03CF2h)% ; 5999
+ STH B, -4, *(03CF3h) ; 6000
+ STH B, -4, *AR7 ; 6001
+ STH B, -4, *AR7- ; 6002
+ STH B, -4, *AR7+ ; 6003
+ STH B, -4, *+AR7 ; 6004
+ STH B, -4, *AR7-0B ; 6005
+ STH B, -4, *AR7-0 ; 6006
+ STH B, -4, *AR7+0 ; 6007
+ STH B, -4, *AR7+0B ; 6008
+ STH B, -4, *AR7-% ; 6009
+ STH B, -4, *AR7-0% ; 6010
+ STH B, -4, *AR7+% ; 6011
+ STH B, -4, *AR7+0% ; 6012
+ STH B, -4, *AR7(03CF4h) ; 6013
+ STH B, -4, *+AR7(03CF5h) ; 6014
+ STH B, -4, *+AR7(03CF6h)% ; 6015
+ STH B, -4, *(03CF7h) ; 6016
+ STH B, -3, *AR0 ; 6017
+ STH B, -3, *AR0- ; 6018
+ STH B, -3, *AR0+ ; 6019
+ STH B, -3, *+AR0 ; 6020
+ STH B, -3, *AR0-0B ; 6021
+ STH B, -3, *AR0-0 ; 6022
+ STH B, -3, *AR0+0 ; 6023
+ STH B, -3, *AR0+0B ; 6024
+ STH B, -3, *AR0-% ; 6025
+ STH B, -3, *AR0-0% ; 6026
+ STH B, -3, *AR0+% ; 6027
+ STH B, -3, *AR0+0% ; 6028
+ STH B, -3, *AR0(03CF8h) ; 6029
+ STH B, -3, *+AR0(03CF9h) ; 6030
+ STH B, -3, *+AR0(03CFAh)% ; 6031
+ STH B, -3, *(03CFBh) ; 6032
+ STH B, -3, *AR1 ; 6033
+ STH B, -3, *AR1- ; 6034
+ STH B, -3, *AR1+ ; 6035
+ STH B, -3, *+AR1 ; 6036
+ STH B, -3, *AR1-0B ; 6037
+ STH B, -3, *AR1-0 ; 6038
+ STH B, -3, *AR1+0 ; 6039
+ STH B, -3, *AR1+0B ; 6040
+ STH B, -3, *AR1-% ; 6041
+ STH B, -3, *AR1-0% ; 6042
+ STH B, -3, *AR1+% ; 6043
+ STH B, -3, *AR1+0% ; 6044
+ STH B, -3, *AR1(03CFCh) ; 6045
+ STH B, -3, *+AR1(03CFDh) ; 6046
+ STH B, -3, *+AR1(03CFEh)% ; 6047
+ STH B, -3, *(03CFFh) ; 6048
+ STH B, -3, *AR2 ; 6049
+ STH B, -3, *AR2- ; 6050
+ STH B, -3, *AR2+ ; 6051
+ STH B, -3, *+AR2 ; 6052
+ STH B, -3, *AR2-0B ; 6053
+ STH B, -3, *AR2-0 ; 6054
+ STH B, -3, *AR2+0 ; 6055
+ STH B, -3, *AR2+0B ; 6056
+ STH B, -3, *AR2-% ; 6057
+ STH B, -3, *AR2-0% ; 6058
+ STH B, -3, *AR2+% ; 6059
+ STH B, -3, *AR2+0% ; 6060
+ STH B, -3, *AR2(03D00h) ; 6061
+ STH B, -3, *+AR2(03D01h) ; 6062
+ STH B, -3, *+AR2(03D02h)% ; 6063
+ STH B, -3, *(03D03h) ; 6064
+ STH B, -3, *AR3 ; 6065
+ STH B, -3, *AR3- ; 6066
+ STH B, -3, *AR3+ ; 6067
+ STH B, -3, *+AR3 ; 6068
+ STH B, -3, *AR3-0B ; 6069
+ STH B, -3, *AR3-0 ; 6070
+ STH B, -3, *AR3+0 ; 6071
+ STH B, -3, *AR3+0B ; 6072
+ STH B, -3, *AR3-% ; 6073
+ STH B, -3, *AR3-0% ; 6074
+ STH B, -3, *AR3+% ; 6075
+ STH B, -3, *AR3+0% ; 6076
+ STH B, -3, *AR3(03D04h) ; 6077
+ STH B, -3, *+AR3(03D05h) ; 6078
+ STH B, -3, *+AR3(03D06h)% ; 6079
+ STH B, -3, *(03D07h) ; 6080
+ STH B, -3, *AR4 ; 6081
+ STH B, -3, *AR4- ; 6082
+ STH B, -3, *AR4+ ; 6083
+ STH B, -3, *+AR4 ; 6084
+ STH B, -3, *AR4-0B ; 6085
+ STH B, -3, *AR4-0 ; 6086
+ STH B, -3, *AR4+0 ; 6087
+ STH B, -3, *AR4+0B ; 6088
+ STH B, -3, *AR4-% ; 6089
+ STH B, -3, *AR4-0% ; 6090
+ STH B, -3, *AR4+% ; 6091
+ STH B, -3, *AR4+0% ; 6092
+ STH B, -3, *AR4(03D08h) ; 6093
+ STH B, -3, *+AR4(03D09h) ; 6094
+ STH B, -3, *+AR4(03D0Ah)% ; 6095
+ STH B, -3, *(03D0Bh) ; 6096
+ STH B, -3, *AR5 ; 6097
+ STH B, -3, *AR5- ; 6098
+ STH B, -3, *AR5+ ; 6099
+ STH B, -3, *+AR5 ; 6100
+ STH B, -3, *AR5-0B ; 6101
+ STH B, -3, *AR5-0 ; 6102
+ STH B, -3, *AR5+0 ; 6103
+ STH B, -3, *AR5+0B ; 6104
+ STH B, -3, *AR5-% ; 6105
+ STH B, -3, *AR5-0% ; 6106
+ STH B, -3, *AR5+% ; 6107
+ STH B, -3, *AR5+0% ; 6108
+ STH B, -3, *AR5(03D0Ch) ; 6109
+ STH B, -3, *+AR5(03D0Dh) ; 6110
+ STH B, -3, *+AR5(03D0Eh)% ; 6111
+ STH B, -3, *(03D0Fh) ; 6112
+ STH B, -3, *AR6 ; 6113
+ STH B, -3, *AR6- ; 6114
+ STH B, -3, *AR6+ ; 6115
+ STH B, -3, *+AR6 ; 6116
+ STH B, -3, *AR6-0B ; 6117
+ STH B, -3, *AR6-0 ; 6118
+ STH B, -3, *AR6+0 ; 6119
+ STH B, -3, *AR6+0B ; 6120
+ STH B, -3, *AR6-% ; 6121
+ STH B, -3, *AR6-0% ; 6122
+ STH B, -3, *AR6+% ; 6123
+ STH B, -3, *AR6+0% ; 6124
+ STH B, -3, *AR6(03D10h) ; 6125
+ STH B, -3, *+AR6(03D11h) ; 6126
+ STH B, -3, *+AR6(03D12h)% ; 6127
+ STH B, -3, *(03D13h) ; 6128
+ STH B, -3, *AR7 ; 6129
+ STH B, -3, *AR7- ; 6130
+ STH B, -3, *AR7+ ; 6131
+ STH B, -3, *+AR7 ; 6132
+ STH B, -3, *AR7-0B ; 6133
+ STH B, -3, *AR7-0 ; 6134
+ STH B, -3, *AR7+0 ; 6135
+ STH B, -3, *AR7+0B ; 6136
+ STH B, -3, *AR7-% ; 6137
+ STH B, -3, *AR7-0% ; 6138
+ STH B, -3, *AR7+% ; 6139
+ STH B, -3, *AR7+0% ; 6140
+ STH B, -3, *AR7(03D14h) ; 6141
+ STH B, -3, *+AR7(03D15h) ; 6142
+ STH B, -3, *+AR7(03D16h)% ; 6143
+ STH B, -3, *(03D17h) ; 6144
+ STH B, -2, *AR0 ; 6145
+ STH B, -2, *AR0- ; 6146
+ STH B, -2, *AR0+ ; 6147
+ STH B, -2, *+AR0 ; 6148
+ STH B, -2, *AR0-0B ; 6149
+ STH B, -2, *AR0-0 ; 6150
+ STH B, -2, *AR0+0 ; 6151
+ STH B, -2, *AR0+0B ; 6152
+ STH B, -2, *AR0-% ; 6153
+ STH B, -2, *AR0-0% ; 6154
+ STH B, -2, *AR0+% ; 6155
+ STH B, -2, *AR0+0% ; 6156
+ STH B, -2, *AR0(03D18h) ; 6157
+ STH B, -2, *+AR0(03D19h) ; 6158
+ STH B, -2, *+AR0(03D1Ah)% ; 6159
+ STH B, -2, *(03D1Bh) ; 6160
+ STH B, -2, *AR1 ; 6161
+ STH B, -2, *AR1- ; 6162
+ STH B, -2, *AR1+ ; 6163
+ STH B, -2, *+AR1 ; 6164
+ STH B, -2, *AR1-0B ; 6165
+ STH B, -2, *AR1-0 ; 6166
+ STH B, -2, *AR1+0 ; 6167
+ STH B, -2, *AR1+0B ; 6168
+ STH B, -2, *AR1-% ; 6169
+ STH B, -2, *AR1-0% ; 6170
+ STH B, -2, *AR1+% ; 6171
+ STH B, -2, *AR1+0% ; 6172
+ STH B, -2, *AR1(03D1Ch) ; 6173
+ STH B, -2, *+AR1(03D1Dh) ; 6174
+ STH B, -2, *+AR1(03D1Eh)% ; 6175
+ STH B, -2, *(03D1Fh) ; 6176
+ STH B, -2, *AR2 ; 6177
+ STH B, -2, *AR2- ; 6178
+ STH B, -2, *AR2+ ; 6179
+ STH B, -2, *+AR2 ; 6180
+ STH B, -2, *AR2-0B ; 6181
+ STH B, -2, *AR2-0 ; 6182
+ STH B, -2, *AR2+0 ; 6183
+ STH B, -2, *AR2+0B ; 6184
+ STH B, -2, *AR2-% ; 6185
+ STH B, -2, *AR2-0% ; 6186
+ STH B, -2, *AR2+% ; 6187
+ STH B, -2, *AR2+0% ; 6188
+ STH B, -2, *AR2(03D20h) ; 6189
+ STH B, -2, *+AR2(03D21h) ; 6190
+ STH B, -2, *+AR2(03D22h)% ; 6191
+ STH B, -2, *(03D23h) ; 6192
+ STH B, -2, *AR3 ; 6193
+ STH B, -2, *AR3- ; 6194
+ STH B, -2, *AR3+ ; 6195
+ STH B, -2, *+AR3 ; 6196
+ STH B, -2, *AR3-0B ; 6197
+ STH B, -2, *AR3-0 ; 6198
+ STH B, -2, *AR3+0 ; 6199
+ STH B, -2, *AR3+0B ; 6200
+ STH B, -2, *AR3-% ; 6201
+ STH B, -2, *AR3-0% ; 6202
+ STH B, -2, *AR3+% ; 6203
+ STH B, -2, *AR3+0% ; 6204
+ STH B, -2, *AR3(03D24h) ; 6205
+ STH B, -2, *+AR3(03D25h) ; 6206
+ STH B, -2, *+AR3(03D26h)% ; 6207
+ STH B, -2, *(03D27h) ; 6208
+ STH B, -2, *AR4 ; 6209
+ STH B, -2, *AR4- ; 6210
+ STH B, -2, *AR4+ ; 6211
+ STH B, -2, *+AR4 ; 6212
+ STH B, -2, *AR4-0B ; 6213
+ STH B, -2, *AR4-0 ; 6214
+ STH B, -2, *AR4+0 ; 6215
+ STH B, -2, *AR4+0B ; 6216
+ STH B, -2, *AR4-% ; 6217
+ STH B, -2, *AR4-0% ; 6218
+ STH B, -2, *AR4+% ; 6219
+ STH B, -2, *AR4+0% ; 6220
+ STH B, -2, *AR4(03D28h) ; 6221
+ STH B, -2, *+AR4(03D29h) ; 6222
+ STH B, -2, *+AR4(03D2Ah)% ; 6223
+ STH B, -2, *(03D2Bh) ; 6224
+ STH B, -2, *AR5 ; 6225
+ STH B, -2, *AR5- ; 6226
+ STH B, -2, *AR5+ ; 6227
+ STH B, -2, *+AR5 ; 6228
+ STH B, -2, *AR5-0B ; 6229
+ STH B, -2, *AR5-0 ; 6230
+ STH B, -2, *AR5+0 ; 6231
+ STH B, -2, *AR5+0B ; 6232
+ STH B, -2, *AR5-% ; 6233
+ STH B, -2, *AR5-0% ; 6234
+ STH B, -2, *AR5+% ; 6235
+ STH B, -2, *AR5+0% ; 6236
+ STH B, -2, *AR5(03D2Ch) ; 6237
+ STH B, -2, *+AR5(03D2Dh) ; 6238
+ STH B, -2, *+AR5(03D2Eh)% ; 6239
+ STH B, -2, *(03D2Fh) ; 6240
+ STH B, -2, *AR6 ; 6241
+ STH B, -2, *AR6- ; 6242
+ STH B, -2, *AR6+ ; 6243
+ STH B, -2, *+AR6 ; 6244
+ STH B, -2, *AR6-0B ; 6245
+ STH B, -2, *AR6-0 ; 6246
+ STH B, -2, *AR6+0 ; 6247
+ STH B, -2, *AR6+0B ; 6248
+ STH B, -2, *AR6-% ; 6249
+ STH B, -2, *AR6-0% ; 6250
+ STH B, -2, *AR6+% ; 6251
+ STH B, -2, *AR6+0% ; 6252
+ STH B, -2, *AR6(03D30h) ; 6253
+ STH B, -2, *+AR6(03D31h) ; 6254
+ STH B, -2, *+AR6(03D32h)% ; 6255
+ STH B, -2, *(03D33h) ; 6256
+ STH B, -2, *AR7 ; 6257
+ STH B, -2, *AR7- ; 6258
+ STH B, -2, *AR7+ ; 6259
+ STH B, -2, *+AR7 ; 6260
+ STH B, -2, *AR7-0B ; 6261
+ STH B, -2, *AR7-0 ; 6262
+ STH B, -2, *AR7+0 ; 6263
+ STH B, -2, *AR7+0B ; 6264
+ STH B, -2, *AR7-% ; 6265
+ STH B, -2, *AR7-0% ; 6266
+ STH B, -2, *AR7+% ; 6267
+ STH B, -2, *AR7+0% ; 6268
+ STH B, -2, *AR7(03D34h) ; 6269
+ STH B, -2, *+AR7(03D35h) ; 6270
+ STH B, -2, *+AR7(03D36h)% ; 6271
+ STH B, -2, *(03D37h) ; 6272
+ STH B, -1, *AR0 ; 6273
+ STH B, -1, *AR0- ; 6274
+ STH B, -1, *AR0+ ; 6275
+ STH B, -1, *+AR0 ; 6276
+ STH B, -1, *AR0-0B ; 6277
+ STH B, -1, *AR0-0 ; 6278
+ STH B, -1, *AR0+0 ; 6279
+ STH B, -1, *AR0+0B ; 6280
+ STH B, -1, *AR0-% ; 6281
+ STH B, -1, *AR0-0% ; 6282
+ STH B, -1, *AR0+% ; 6283
+ STH B, -1, *AR0+0% ; 6284
+ STH B, -1, *AR0(03D38h) ; 6285
+ STH B, -1, *+AR0(03D39h) ; 6286
+ STH B, -1, *+AR0(03D3Ah)% ; 6287
+ STH B, -1, *(03D3Bh) ; 6288
+ STH B, -1, *AR1 ; 6289
+ STH B, -1, *AR1- ; 6290
+ STH B, -1, *AR1+ ; 6291
+ STH B, -1, *+AR1 ; 6292
+ STH B, -1, *AR1-0B ; 6293
+ STH B, -1, *AR1-0 ; 6294
+ STH B, -1, *AR1+0 ; 6295
+ STH B, -1, *AR1+0B ; 6296
+ STH B, -1, *AR1-% ; 6297
+ STH B, -1, *AR1-0% ; 6298
+ STH B, -1, *AR1+% ; 6299
+ STH B, -1, *AR1+0% ; 6300
+ STH B, -1, *AR1(03D3Ch) ; 6301
+ STH B, -1, *+AR1(03D3Dh) ; 6302
+ STH B, -1, *+AR1(03D3Eh)% ; 6303
+ STH B, -1, *(03D3Fh) ; 6304
+ STH B, -1, *AR2 ; 6305
+ STH B, -1, *AR2- ; 6306
+ STH B, -1, *AR2+ ; 6307
+ STH B, -1, *+AR2 ; 6308
+ STH B, -1, *AR2-0B ; 6309
+ STH B, -1, *AR2-0 ; 6310
+ STH B, -1, *AR2+0 ; 6311
+ STH B, -1, *AR2+0B ; 6312
+ STH B, -1, *AR2-% ; 6313
+ STH B, -1, *AR2-0% ; 6314
+ STH B, -1, *AR2+% ; 6315
+ STH B, -1, *AR2+0% ; 6316
+ STH B, -1, *AR2(03D40h) ; 6317
+ STH B, -1, *+AR2(03D41h) ; 6318
+ STH B, -1, *+AR2(03D42h)% ; 6319
+ STH B, -1, *(03D43h) ; 6320
+ STH B, -1, *AR3 ; 6321
+ STH B, -1, *AR3- ; 6322
+ STH B, -1, *AR3+ ; 6323
+ STH B, -1, *+AR3 ; 6324
+ STH B, -1, *AR3-0B ; 6325
+ STH B, -1, *AR3-0 ; 6326
+ STH B, -1, *AR3+0 ; 6327
+ STH B, -1, *AR3+0B ; 6328
+ STH B, -1, *AR3-% ; 6329
+ STH B, -1, *AR3-0% ; 6330
+ STH B, -1, *AR3+% ; 6331
+ STH B, -1, *AR3+0% ; 6332
+ STH B, -1, *AR3(03D44h) ; 6333
+ STH B, -1, *+AR3(03D45h) ; 6334
+ STH B, -1, *+AR3(03D46h)% ; 6335
+ STH B, -1, *(03D47h) ; 6336
+ STH B, -1, *AR4 ; 6337
+ STH B, -1, *AR4- ; 6338
+ STH B, -1, *AR4+ ; 6339
+ STH B, -1, *+AR4 ; 6340
+ STH B, -1, *AR4-0B ; 6341
+ STH B, -1, *AR4-0 ; 6342
+ STH B, -1, *AR4+0 ; 6343
+ STH B, -1, *AR4+0B ; 6344
+ STH B, -1, *AR4-% ; 6345
+ STH B, -1, *AR4-0% ; 6346
+ STH B, -1, *AR4+% ; 6347
+ STH B, -1, *AR4+0% ; 6348
+ STH B, -1, *AR4(03D48h) ; 6349
+ STH B, -1, *+AR4(03D49h) ; 6350
+ STH B, -1, *+AR4(03D4Ah)% ; 6351
+ STH B, -1, *(03D4Bh) ; 6352
+ STH B, -1, *AR5 ; 6353
+ STH B, -1, *AR5- ; 6354
+ STH B, -1, *AR5+ ; 6355
+ STH B, -1, *+AR5 ; 6356
+ STH B, -1, *AR5-0B ; 6357
+ STH B, -1, *AR5-0 ; 6358
+ STH B, -1, *AR5+0 ; 6359
+ STH B, -1, *AR5+0B ; 6360
+ STH B, -1, *AR5-% ; 6361
+ STH B, -1, *AR5-0% ; 6362
+ STH B, -1, *AR5+% ; 6363
+ STH B, -1, *AR5+0% ; 6364
+ STH B, -1, *AR5(03D4Ch) ; 6365
+ STH B, -1, *+AR5(03D4Dh) ; 6366
+ STH B, -1, *+AR5(03D4Eh)% ; 6367
+ STH B, -1, *(03D4Fh) ; 6368
+ STH B, -1, *AR6 ; 6369
+ STH B, -1, *AR6- ; 6370
+ STH B, -1, *AR6+ ; 6371
+ STH B, -1, *+AR6 ; 6372
+ STH B, -1, *AR6-0B ; 6373
+ STH B, -1, *AR6-0 ; 6374
+ STH B, -1, *AR6+0 ; 6375
+ STH B, -1, *AR6+0B ; 6376
+ STH B, -1, *AR6-% ; 6377
+ STH B, -1, *AR6-0% ; 6378
+ STH B, -1, *AR6+% ; 6379
+ STH B, -1, *AR6+0% ; 6380
+ STH B, -1, *AR6(03D50h) ; 6381
+ STH B, -1, *+AR6(03D51h) ; 6382
+ STH B, -1, *+AR6(03D52h)% ; 6383
+ STH B, -1, *(03D53h) ; 6384
+ STH B, -1, *AR7 ; 6385
+ STH B, -1, *AR7- ; 6386
+ STH B, -1, *AR7+ ; 6387
+ STH B, -1, *+AR7 ; 6388
+ STH B, -1, *AR7-0B ; 6389
+ STH B, -1, *AR7-0 ; 6390
+ STH B, -1, *AR7+0 ; 6391
+ STH B, -1, *AR7+0B ; 6392
+ STH B, -1, *AR7-% ; 6393
+ STH B, -1, *AR7-0% ; 6394
+ STH B, -1, *AR7+% ; 6395
+ STH B, -1, *AR7+0% ; 6396
+ STH B, -1, *AR7(03D54h) ; 6397
+ STH B, -1, *+AR7(03D55h) ; 6398
+ STH B, -1, *+AR7(03D56h)% ; 6399
+ STH B, -1, *(03D57h) ; 6400
+ STH B, 0, *AR0 ; 6401
+ STH B, 0, *AR0- ; 6402
+ STH B, 0, *AR0+ ; 6403
+ STH B, 0, *+AR0 ; 6404
+ STH B, 0, *AR0-0B ; 6405
+ STH B, 0, *AR0-0 ; 6406
+ STH B, 0, *AR0+0 ; 6407
+ STH B, 0, *AR0+0B ; 6408
+ STH B, 0, *AR0-% ; 6409
+ STH B, 0, *AR0-0% ; 6410
+ STH B, 0, *AR0+% ; 6411
+ STH B, 0, *AR0+0% ; 6412
+ STH B, 0, *AR0(03D58h) ; 6413
+ STH B, 0, *+AR0(03D59h) ; 6414
+ STH B, 0, *+AR0(03D5Ah)% ; 6415
+ STH B, 0, *(03D5Bh) ; 6416
+ STH B, 0, *AR1 ; 6417
+ STH B, 0, *AR1- ; 6418
+ STH B, 0, *AR1+ ; 6419
+ STH B, 0, *+AR1 ; 6420
+ STH B, 0, *AR1-0B ; 6421
+ STH B, 0, *AR1-0 ; 6422
+ STH B, 0, *AR1+0 ; 6423
+ STH B, 0, *AR1+0B ; 6424
+ STH B, 0, *AR1-% ; 6425
+ STH B, 0, *AR1-0% ; 6426
+ STH B, 0, *AR1+% ; 6427
+ STH B, 0, *AR1+0% ; 6428
+ STH B, 0, *AR1(03D5Ch) ; 6429
+ STH B, 0, *+AR1(03D5Dh) ; 6430
+ STH B, 0, *+AR1(03D5Eh)% ; 6431
+ STH B, 0, *(03D5Fh) ; 6432
+ STH B, 0, *AR2 ; 6433
+ STH B, 0, *AR2- ; 6434
+ STH B, 0, *AR2+ ; 6435
+ STH B, 0, *+AR2 ; 6436
+ STH B, 0, *AR2-0B ; 6437
+ STH B, 0, *AR2-0 ; 6438
+ STH B, 0, *AR2+0 ; 6439
+ STH B, 0, *AR2+0B ; 6440
+ STH B, 0, *AR2-% ; 6441
+ STH B, 0, *AR2-0% ; 6442
+ STH B, 0, *AR2+% ; 6443
+ STH B, 0, *AR2+0% ; 6444
+ STH B, 0, *AR2(03D60h) ; 6445
+ STH B, 0, *+AR2(03D61h) ; 6446
+ STH B, 0, *+AR2(03D62h)% ; 6447
+ STH B, 0, *(03D63h) ; 6448
+ STH B, 0, *AR3 ; 6449
+ STH B, 0, *AR3- ; 6450
+ STH B, 0, *AR3+ ; 6451
+ STH B, 0, *+AR3 ; 6452
+ STH B, 0, *AR3-0B ; 6453
+ STH B, 0, *AR3-0 ; 6454
+ STH B, 0, *AR3+0 ; 6455
+ STH B, 0, *AR3+0B ; 6456
+ STH B, 0, *AR3-% ; 6457
+ STH B, 0, *AR3-0% ; 6458
+ STH B, 0, *AR3+% ; 6459
+ STH B, 0, *AR3+0% ; 6460
+ STH B, 0, *AR3(03D64h) ; 6461
+ STH B, 0, *+AR3(03D65h) ; 6462
+ STH B, 0, *+AR3(03D66h)% ; 6463
+ STH B, 0, *(03D67h) ; 6464
+ STH B, 0, *AR4 ; 6465
+ STH B, 0, *AR4- ; 6466
+ STH B, 0, *AR4+ ; 6467
+ STH B, 0, *+AR4 ; 6468
+ STH B, 0, *AR4-0B ; 6469
+ STH B, 0, *AR4-0 ; 6470
+ STH B, 0, *AR4+0 ; 6471
+ STH B, 0, *AR4+0B ; 6472
+ STH B, 0, *AR4-% ; 6473
+ STH B, 0, *AR4-0% ; 6474
+ STH B, 0, *AR4+% ; 6475
+ STH B, 0, *AR4+0% ; 6476
+ STH B, 0, *AR4(03D68h) ; 6477
+ STH B, 0, *+AR4(03D69h) ; 6478
+ STH B, 0, *+AR4(03D6Ah)% ; 6479
+ STH B, 0, *(03D6Bh) ; 6480
+ STH B, 0, *AR5 ; 6481
+ STH B, 0, *AR5- ; 6482
+ STH B, 0, *AR5+ ; 6483
+ STH B, 0, *+AR5 ; 6484
+ STH B, 0, *AR5-0B ; 6485
+ STH B, 0, *AR5-0 ; 6486
+ STH B, 0, *AR5+0 ; 6487
+ STH B, 0, *AR5+0B ; 6488
+ STH B, 0, *AR5-% ; 6489
+ STH B, 0, *AR5-0% ; 6490
+ STH B, 0, *AR5+% ; 6491
+ STH B, 0, *AR5+0% ; 6492
+ STH B, 0, *AR5(03D6Ch) ; 6493
+ STH B, 0, *+AR5(03D6Dh) ; 6494
+ STH B, 0, *+AR5(03D6Eh)% ; 6495
+ STH B, 0, *(03D6Fh) ; 6496
+ STH B, 0, *AR6 ; 6497
+ STH B, 0, *AR6- ; 6498
+ STH B, 0, *AR6+ ; 6499
+ STH B, 0, *+AR6 ; 6500
+ STH B, 0, *AR6-0B ; 6501
+ STH B, 0, *AR6-0 ; 6502
+ STH B, 0, *AR6+0 ; 6503
+ STH B, 0, *AR6+0B ; 6504
+ STH B, 0, *AR6-% ; 6505
+ STH B, 0, *AR6-0% ; 6506
+ STH B, 0, *AR6+% ; 6507
+ STH B, 0, *AR6+0% ; 6508
+ STH B, 0, *AR6(03D70h) ; 6509
+ STH B, 0, *+AR6(03D71h) ; 6510
+ STH B, 0, *+AR6(03D72h)% ; 6511
+ STH B, 0, *(03D73h) ; 6512
+ STH B, 0, *AR7 ; 6513
+ STH B, 0, *AR7- ; 6514
+ STH B, 0, *AR7+ ; 6515
+ STH B, 0, *+AR7 ; 6516
+ STH B, 0, *AR7-0B ; 6517
+ STH B, 0, *AR7-0 ; 6518
+ STH B, 0, *AR7+0 ; 6519
+ STH B, 0, *AR7+0B ; 6520
+ STH B, 0, *AR7-% ; 6521
+ STH B, 0, *AR7-0% ; 6522
+ STH B, 0, *AR7+% ; 6523
+ STH B, 0, *AR7+0% ; 6524
+ STH B, 0, *AR7(03D74h) ; 6525
+ STH B, 0, *+AR7(03D75h) ; 6526
+ STH B, 0, *+AR7(03D76h)% ; 6527
+ STH B, 0, *(03D77h) ; 6528
+ STH B, 1, *AR0 ; 6529
+ STH B, 1, *AR0- ; 6530
+ STH B, 1, *AR0+ ; 6531
+ STH B, 1, *+AR0 ; 6532
+ STH B, 1, *AR0-0B ; 6533
+ STH B, 1, *AR0-0 ; 6534
+ STH B, 1, *AR0+0 ; 6535
+ STH B, 1, *AR0+0B ; 6536
+ STH B, 1, *AR0-% ; 6537
+ STH B, 1, *AR0-0% ; 6538
+ STH B, 1, *AR0+% ; 6539
+ STH B, 1, *AR0+0% ; 6540
+ STH B, 1, *AR0(03D78h) ; 6541
+ STH B, 1, *+AR0(03D79h) ; 6542
+ STH B, 1, *+AR0(03D7Ah)% ; 6543
+ STH B, 1, *(03D7Bh) ; 6544
+ STH B, 1, *AR1 ; 6545
+ STH B, 1, *AR1- ; 6546
+ STH B, 1, *AR1+ ; 6547
+ STH B, 1, *+AR1 ; 6548
+ STH B, 1, *AR1-0B ; 6549
+ STH B, 1, *AR1-0 ; 6550
+ STH B, 1, *AR1+0 ; 6551
+ STH B, 1, *AR1+0B ; 6552
+ STH B, 1, *AR1-% ; 6553
+ STH B, 1, *AR1-0% ; 6554
+ STH B, 1, *AR1+% ; 6555
+ STH B, 1, *AR1+0% ; 6556
+ STH B, 1, *AR1(03D7Ch) ; 6557
+ STH B, 1, *+AR1(03D7Dh) ; 6558
+ STH B, 1, *+AR1(03D7Eh)% ; 6559
+ STH B, 1, *(03D7Fh) ; 6560
+ STH B, 1, *AR2 ; 6561
+ STH B, 1, *AR2- ; 6562
+ STH B, 1, *AR2+ ; 6563
+ STH B, 1, *+AR2 ; 6564
+ STH B, 1, *AR2-0B ; 6565
+ STH B, 1, *AR2-0 ; 6566
+ STH B, 1, *AR2+0 ; 6567
+ STH B, 1, *AR2+0B ; 6568
+ STH B, 1, *AR2-% ; 6569
+ STH B, 1, *AR2-0% ; 6570
+ STH B, 1, *AR2+% ; 6571
+ STH B, 1, *AR2+0% ; 6572
+ STH B, 1, *AR2(03D80h) ; 6573
+ STH B, 1, *+AR2(03D81h) ; 6574
+ STH B, 1, *+AR2(03D82h)% ; 6575
+ STH B, 1, *(03D83h) ; 6576
+ STH B, 1, *AR3 ; 6577
+ STH B, 1, *AR3- ; 6578
+ STH B, 1, *AR3+ ; 6579
+ STH B, 1, *+AR3 ; 6580
+ STH B, 1, *AR3-0B ; 6581
+ STH B, 1, *AR3-0 ; 6582
+ STH B, 1, *AR3+0 ; 6583
+ STH B, 1, *AR3+0B ; 6584
+ STH B, 1, *AR3-% ; 6585
+ STH B, 1, *AR3-0% ; 6586
+ STH B, 1, *AR3+% ; 6587
+ STH B, 1, *AR3+0% ; 6588
+ STH B, 1, *AR3(03D84h) ; 6589
+ STH B, 1, *+AR3(03D85h) ; 6590
+ STH B, 1, *+AR3(03D86h)% ; 6591
+ STH B, 1, *(03D87h) ; 6592
+ STH B, 1, *AR4 ; 6593
+ STH B, 1, *AR4- ; 6594
+ STH B, 1, *AR4+ ; 6595
+ STH B, 1, *+AR4 ; 6596
+ STH B, 1, *AR4-0B ; 6597
+ STH B, 1, *AR4-0 ; 6598
+ STH B, 1, *AR4+0 ; 6599
+ STH B, 1, *AR4+0B ; 6600
+ STH B, 1, *AR4-% ; 6601
+ STH B, 1, *AR4-0% ; 6602
+ STH B, 1, *AR4+% ; 6603
+ STH B, 1, *AR4+0% ; 6604
+ STH B, 1, *AR4(03D88h) ; 6605
+ STH B, 1, *+AR4(03D89h) ; 6606
+ STH B, 1, *+AR4(03D8Ah)% ; 6607
+ STH B, 1, *(03D8Bh) ; 6608
+ STH B, 1, *AR5 ; 6609
+ STH B, 1, *AR5- ; 6610
+ STH B, 1, *AR5+ ; 6611
+ STH B, 1, *+AR5 ; 6612
+ STH B, 1, *AR5-0B ; 6613
+ STH B, 1, *AR5-0 ; 6614
+ STH B, 1, *AR5+0 ; 6615
+ STH B, 1, *AR5+0B ; 6616
+ STH B, 1, *AR5-% ; 6617
+ STH B, 1, *AR5-0% ; 6618
+ STH B, 1, *AR5+% ; 6619
+ STH B, 1, *AR5+0% ; 6620
+ STH B, 1, *AR5(03D8Ch) ; 6621
+ STH B, 1, *+AR5(03D8Dh) ; 6622
+ STH B, 1, *+AR5(03D8Eh)% ; 6623
+ STH B, 1, *(03D8Fh) ; 6624
+ STH B, 1, *AR6 ; 6625
+ STH B, 1, *AR6- ; 6626
+ STH B, 1, *AR6+ ; 6627
+ STH B, 1, *+AR6 ; 6628
+ STH B, 1, *AR6-0B ; 6629
+ STH B, 1, *AR6-0 ; 6630
+ STH B, 1, *AR6+0 ; 6631
+ STH B, 1, *AR6+0B ; 6632
+ STH B, 1, *AR6-% ; 6633
+ STH B, 1, *AR6-0% ; 6634
+ STH B, 1, *AR6+% ; 6635
+ STH B, 1, *AR6+0% ; 6636
+ STH B, 1, *AR6(03D90h) ; 6637
+ STH B, 1, *+AR6(03D91h) ; 6638
+ STH B, 1, *+AR6(03D92h)% ; 6639
+ STH B, 1, *(03D93h) ; 6640
+ STH B, 1, *AR7 ; 6641
+ STH B, 1, *AR7- ; 6642
+ STH B, 1, *AR7+ ; 6643
+ STH B, 1, *+AR7 ; 6644
+ STH B, 1, *AR7-0B ; 6645
+ STH B, 1, *AR7-0 ; 6646
+ STH B, 1, *AR7+0 ; 6647
+ STH B, 1, *AR7+0B ; 6648
+ STH B, 1, *AR7-% ; 6649
+ STH B, 1, *AR7-0% ; 6650
+ STH B, 1, *AR7+% ; 6651
+ STH B, 1, *AR7+0% ; 6652
+ STH B, 1, *AR7(03D94h) ; 6653
+ STH B, 1, *+AR7(03D95h) ; 6654
+ STH B, 1, *+AR7(03D96h)% ; 6655
+ STH B, 1, *(03D97h) ; 6656
+ STH B, 2, *AR0 ; 6657
+ STH B, 2, *AR0- ; 6658
+ STH B, 2, *AR0+ ; 6659
+ STH B, 2, *+AR0 ; 6660
+ STH B, 2, *AR0-0B ; 6661
+ STH B, 2, *AR0-0 ; 6662
+ STH B, 2, *AR0+0 ; 6663
+ STH B, 2, *AR0+0B ; 6664
+ STH B, 2, *AR0-% ; 6665
+ STH B, 2, *AR0-0% ; 6666
+ STH B, 2, *AR0+% ; 6667
+ STH B, 2, *AR0+0% ; 6668
+ STH B, 2, *AR0(03D98h) ; 6669
+ STH B, 2, *+AR0(03D99h) ; 6670
+ STH B, 2, *+AR0(03D9Ah)% ; 6671
+ STH B, 2, *(03D9Bh) ; 6672
+ STH B, 2, *AR1 ; 6673
+ STH B, 2, *AR1- ; 6674
+ STH B, 2, *AR1+ ; 6675
+ STH B, 2, *+AR1 ; 6676
+ STH B, 2, *AR1-0B ; 6677
+ STH B, 2, *AR1-0 ; 6678
+ STH B, 2, *AR1+0 ; 6679
+ STH B, 2, *AR1+0B ; 6680
+ STH B, 2, *AR1-% ; 6681
+ STH B, 2, *AR1-0% ; 6682
+ STH B, 2, *AR1+% ; 6683
+ STH B, 2, *AR1+0% ; 6684
+ STH B, 2, *AR1(03D9Ch) ; 6685
+ STH B, 2, *+AR1(03D9Dh) ; 6686
+ STH B, 2, *+AR1(03D9Eh)% ; 6687
+ STH B, 2, *(03D9Fh) ; 6688
+ STH B, 2, *AR2 ; 6689
+ STH B, 2, *AR2- ; 6690
+ STH B, 2, *AR2+ ; 6691
+ STH B, 2, *+AR2 ; 6692
+ STH B, 2, *AR2-0B ; 6693
+ STH B, 2, *AR2-0 ; 6694
+ STH B, 2, *AR2+0 ; 6695
+ STH B, 2, *AR2+0B ; 6696
+ STH B, 2, *AR2-% ; 6697
+ STH B, 2, *AR2-0% ; 6698
+ STH B, 2, *AR2+% ; 6699
+ STH B, 2, *AR2+0% ; 6700
+ STH B, 2, *AR2(03DA0h) ; 6701
+ STH B, 2, *+AR2(03DA1h) ; 6702
+ STH B, 2, *+AR2(03DA2h)% ; 6703
+ STH B, 2, *(03DA3h) ; 6704
+ STH B, 2, *AR3 ; 6705
+ STH B, 2, *AR3- ; 6706
+ STH B, 2, *AR3+ ; 6707
+ STH B, 2, *+AR3 ; 6708
+ STH B, 2, *AR3-0B ; 6709
+ STH B, 2, *AR3-0 ; 6710
+ STH B, 2, *AR3+0 ; 6711
+ STH B, 2, *AR3+0B ; 6712
+ STH B, 2, *AR3-% ; 6713
+ STH B, 2, *AR3-0% ; 6714
+ STH B, 2, *AR3+% ; 6715
+ STH B, 2, *AR3+0% ; 6716
+ STH B, 2, *AR3(03DA4h) ; 6717
+ STH B, 2, *+AR3(03DA5h) ; 6718
+ STH B, 2, *+AR3(03DA6h)% ; 6719
+ STH B, 2, *(03DA7h) ; 6720
+ STH B, 2, *AR4 ; 6721
+ STH B, 2, *AR4- ; 6722
+ STH B, 2, *AR4+ ; 6723
+ STH B, 2, *+AR4 ; 6724
+ STH B, 2, *AR4-0B ; 6725
+ STH B, 2, *AR4-0 ; 6726
+ STH B, 2, *AR4+0 ; 6727
+ STH B, 2, *AR4+0B ; 6728
+ STH B, 2, *AR4-% ; 6729
+ STH B, 2, *AR4-0% ; 6730
+ STH B, 2, *AR4+% ; 6731
+ STH B, 2, *AR4+0% ; 6732
+ STH B, 2, *AR4(03DA8h) ; 6733
+ STH B, 2, *+AR4(03DA9h) ; 6734
+ STH B, 2, *+AR4(03DAAh)% ; 6735
+ STH B, 2, *(03DABh) ; 6736
+ STH B, 2, *AR5 ; 6737
+ STH B, 2, *AR5- ; 6738
+ STH B, 2, *AR5+ ; 6739
+ STH B, 2, *+AR5 ; 6740
+ STH B, 2, *AR5-0B ; 6741
+ STH B, 2, *AR5-0 ; 6742
+ STH B, 2, *AR5+0 ; 6743
+ STH B, 2, *AR5+0B ; 6744
+ STH B, 2, *AR5-% ; 6745
+ STH B, 2, *AR5-0% ; 6746
+ STH B, 2, *AR5+% ; 6747
+ STH B, 2, *AR5+0% ; 6748
+ STH B, 2, *AR5(03DACh) ; 6749
+ STH B, 2, *+AR5(03DADh) ; 6750
+ STH B, 2, *+AR5(03DAEh)% ; 6751
+ STH B, 2, *(03DAFh) ; 6752
+ STH B, 2, *AR6 ; 6753
+ STH B, 2, *AR6- ; 6754
+ STH B, 2, *AR6+ ; 6755
+ STH B, 2, *+AR6 ; 6756
+ STH B, 2, *AR6-0B ; 6757
+ STH B, 2, *AR6-0 ; 6758
+ STH B, 2, *AR6+0 ; 6759
+ STH B, 2, *AR6+0B ; 6760
+ STH B, 2, *AR6-% ; 6761
+ STH B, 2, *AR6-0% ; 6762
+ STH B, 2, *AR6+% ; 6763
+ STH B, 2, *AR6+0% ; 6764
+ STH B, 2, *AR6(03DB0h) ; 6765
+ STH B, 2, *+AR6(03DB1h) ; 6766
+ STH B, 2, *+AR6(03DB2h)% ; 6767
+ STH B, 2, *(03DB3h) ; 6768
+ STH B, 2, *AR7 ; 6769
+ STH B, 2, *AR7- ; 6770
+ STH B, 2, *AR7+ ; 6771
+ STH B, 2, *+AR7 ; 6772
+ STH B, 2, *AR7-0B ; 6773
+ STH B, 2, *AR7-0 ; 6774
+ STH B, 2, *AR7+0 ; 6775
+ STH B, 2, *AR7+0B ; 6776
+ STH B, 2, *AR7-% ; 6777
+ STH B, 2, *AR7-0% ; 6778
+ STH B, 2, *AR7+% ; 6779
+ STH B, 2, *AR7+0% ; 6780
+ STH B, 2, *AR7(03DB4h) ; 6781
+ STH B, 2, *+AR7(03DB5h) ; 6782
+ STH B, 2, *+AR7(03DB6h)% ; 6783
+ STH B, 2, *(03DB7h) ; 6784
+ STH B, 3, *AR0 ; 6785
+ STH B, 3, *AR0- ; 6786
+ STH B, 3, *AR0+ ; 6787
+ STH B, 3, *+AR0 ; 6788
+ STH B, 3, *AR0-0B ; 6789
+ STH B, 3, *AR0-0 ; 6790
+ STH B, 3, *AR0+0 ; 6791
+ STH B, 3, *AR0+0B ; 6792
+ STH B, 3, *AR0-% ; 6793
+ STH B, 3, *AR0-0% ; 6794
+ STH B, 3, *AR0+% ; 6795
+ STH B, 3, *AR0+0% ; 6796
+ STH B, 3, *AR0(03DB8h) ; 6797
+ STH B, 3, *+AR0(03DB9h) ; 6798
+ STH B, 3, *+AR0(03DBAh)% ; 6799
+ STH B, 3, *(03DBBh) ; 6800
+ STH B, 3, *AR1 ; 6801
+ STH B, 3, *AR1- ; 6802
+ STH B, 3, *AR1+ ; 6803
+ STH B, 3, *+AR1 ; 6804
+ STH B, 3, *AR1-0B ; 6805
+ STH B, 3, *AR1-0 ; 6806
+ STH B, 3, *AR1+0 ; 6807
+ STH B, 3, *AR1+0B ; 6808
+ STH B, 3, *AR1-% ; 6809
+ STH B, 3, *AR1-0% ; 6810
+ STH B, 3, *AR1+% ; 6811
+ STH B, 3, *AR1+0% ; 6812
+ STH B, 3, *AR1(03DBCh) ; 6813
+ STH B, 3, *+AR1(03DBDh) ; 6814
+ STH B, 3, *+AR1(03DBEh)% ; 6815
+ STH B, 3, *(03DBFh) ; 6816
+ STH B, 3, *AR2 ; 6817
+ STH B, 3, *AR2- ; 6818
+ STH B, 3, *AR2+ ; 6819
+ STH B, 3, *+AR2 ; 6820
+ STH B, 3, *AR2-0B ; 6821
+ STH B, 3, *AR2-0 ; 6822
+ STH B, 3, *AR2+0 ; 6823
+ STH B, 3, *AR2+0B ; 6824
+ STH B, 3, *AR2-% ; 6825
+ STH B, 3, *AR2-0% ; 6826
+ STH B, 3, *AR2+% ; 6827
+ STH B, 3, *AR2+0% ; 6828
+ STH B, 3, *AR2(03DC0h) ; 6829
+ STH B, 3, *+AR2(03DC1h) ; 6830
+ STH B, 3, *+AR2(03DC2h)% ; 6831
+ STH B, 3, *(03DC3h) ; 6832
+ STH B, 3, *AR3 ; 6833
+ STH B, 3, *AR3- ; 6834
+ STH B, 3, *AR3+ ; 6835
+ STH B, 3, *+AR3 ; 6836
+ STH B, 3, *AR3-0B ; 6837
+ STH B, 3, *AR3-0 ; 6838
+ STH B, 3, *AR3+0 ; 6839
+ STH B, 3, *AR3+0B ; 6840
+ STH B, 3, *AR3-% ; 6841
+ STH B, 3, *AR3-0% ; 6842
+ STH B, 3, *AR3+% ; 6843
+ STH B, 3, *AR3+0% ; 6844
+ STH B, 3, *AR3(03DC4h) ; 6845
+ STH B, 3, *+AR3(03DC5h) ; 6846
+ STH B, 3, *+AR3(03DC6h)% ; 6847
+ STH B, 3, *(03DC7h) ; 6848
+ STH B, 3, *AR4 ; 6849
+ STH B, 3, *AR4- ; 6850
+ STH B, 3, *AR4+ ; 6851
+ STH B, 3, *+AR4 ; 6852
+ STH B, 3, *AR4-0B ; 6853
+ STH B, 3, *AR4-0 ; 6854
+ STH B, 3, *AR4+0 ; 6855
+ STH B, 3, *AR4+0B ; 6856
+ STH B, 3, *AR4-% ; 6857
+ STH B, 3, *AR4-0% ; 6858
+ STH B, 3, *AR4+% ; 6859
+ STH B, 3, *AR4+0% ; 6860
+ STH B, 3, *AR4(03DC8h) ; 6861
+ STH B, 3, *+AR4(03DC9h) ; 6862
+ STH B, 3, *+AR4(03DCAh)% ; 6863
+ STH B, 3, *(03DCBh) ; 6864
+ STH B, 3, *AR5 ; 6865
+ STH B, 3, *AR5- ; 6866
+ STH B, 3, *AR5+ ; 6867
+ STH B, 3, *+AR5 ; 6868
+ STH B, 3, *AR5-0B ; 6869
+ STH B, 3, *AR5-0 ; 6870
+ STH B, 3, *AR5+0 ; 6871
+ STH B, 3, *AR5+0B ; 6872
+ STH B, 3, *AR5-% ; 6873
+ STH B, 3, *AR5-0% ; 6874
+ STH B, 3, *AR5+% ; 6875
+ STH B, 3, *AR5+0% ; 6876
+ STH B, 3, *AR5(03DCCh) ; 6877
+ STH B, 3, *+AR5(03DCDh) ; 6878
+ STH B, 3, *+AR5(03DCEh)% ; 6879
+ STH B, 3, *(03DCFh) ; 6880
+ STH B, 3, *AR6 ; 6881
+ STH B, 3, *AR6- ; 6882
+ STH B, 3, *AR6+ ; 6883
+ STH B, 3, *+AR6 ; 6884
+ STH B, 3, *AR6-0B ; 6885
+ STH B, 3, *AR6-0 ; 6886
+ STH B, 3, *AR6+0 ; 6887
+ STH B, 3, *AR6+0B ; 6888
+ STH B, 3, *AR6-% ; 6889
+ STH B, 3, *AR6-0% ; 6890
+ STH B, 3, *AR6+% ; 6891
+ STH B, 3, *AR6+0% ; 6892
+ STH B, 3, *AR6(03DD0h) ; 6893
+ STH B, 3, *+AR6(03DD1h) ; 6894
+ STH B, 3, *+AR6(03DD2h)% ; 6895
+ STH B, 3, *(03DD3h) ; 6896
+ STH B, 3, *AR7 ; 6897
+ STH B, 3, *AR7- ; 6898
+ STH B, 3, *AR7+ ; 6899
+ STH B, 3, *+AR7 ; 6900
+ STH B, 3, *AR7-0B ; 6901
+ STH B, 3, *AR7-0 ; 6902
+ STH B, 3, *AR7+0 ; 6903
+ STH B, 3, *AR7+0B ; 6904
+ STH B, 3, *AR7-% ; 6905
+ STH B, 3, *AR7-0% ; 6906
+ STH B, 3, *AR7+% ; 6907
+ STH B, 3, *AR7+0% ; 6908
+ STH B, 3, *AR7(03DD4h) ; 6909
+ STH B, 3, *+AR7(03DD5h) ; 6910
+ STH B, 3, *+AR7(03DD6h)% ; 6911
+ STH B, 3, *(03DD7h) ; 6912
+ STH B, 4, *AR0 ; 6913
+ STH B, 4, *AR0- ; 6914
+ STH B, 4, *AR0+ ; 6915
+ STH B, 4, *+AR0 ; 6916
+ STH B, 4, *AR0-0B ; 6917
+ STH B, 4, *AR0-0 ; 6918
+ STH B, 4, *AR0+0 ; 6919
+ STH B, 4, *AR0+0B ; 6920
+ STH B, 4, *AR0-% ; 6921
+ STH B, 4, *AR0-0% ; 6922
+ STH B, 4, *AR0+% ; 6923
+ STH B, 4, *AR0+0% ; 6924
+ STH B, 4, *AR0(03DD8h) ; 6925
+ STH B, 4, *+AR0(03DD9h) ; 6926
+ STH B, 4, *+AR0(03DDAh)% ; 6927
+ STH B, 4, *(03DDBh) ; 6928
+ STH B, 4, *AR1 ; 6929
+ STH B, 4, *AR1- ; 6930
+ STH B, 4, *AR1+ ; 6931
+ STH B, 4, *+AR1 ; 6932
+ STH B, 4, *AR1-0B ; 6933
+ STH B, 4, *AR1-0 ; 6934
+ STH B, 4, *AR1+0 ; 6935
+ STH B, 4, *AR1+0B ; 6936
+ STH B, 4, *AR1-% ; 6937
+ STH B, 4, *AR1-0% ; 6938
+ STH B, 4, *AR1+% ; 6939
+ STH B, 4, *AR1+0% ; 6940
+ STH B, 4, *AR1(03DDCh) ; 6941
+ STH B, 4, *+AR1(03DDDh) ; 6942
+ STH B, 4, *+AR1(03DDEh)% ; 6943
+ STH B, 4, *(03DDFh) ; 6944
+ STH B, 4, *AR2 ; 6945
+ STH B, 4, *AR2- ; 6946
+ STH B, 4, *AR2+ ; 6947
+ STH B, 4, *+AR2 ; 6948
+ STH B, 4, *AR2-0B ; 6949
+ STH B, 4, *AR2-0 ; 6950
+ STH B, 4, *AR2+0 ; 6951
+ STH B, 4, *AR2+0B ; 6952
+ STH B, 4, *AR2-% ; 6953
+ STH B, 4, *AR2-0% ; 6954
+ STH B, 4, *AR2+% ; 6955
+ STH B, 4, *AR2+0% ; 6956
+ STH B, 4, *AR2(03DE0h) ; 6957
+ STH B, 4, *+AR2(03DE1h) ; 6958
+ STH B, 4, *+AR2(03DE2h)% ; 6959
+ STH B, 4, *(03DE3h) ; 6960
+ STH B, 4, *AR3 ; 6961
+ STH B, 4, *AR3- ; 6962
+ STH B, 4, *AR3+ ; 6963
+ STH B, 4, *+AR3 ; 6964
+ STH B, 4, *AR3-0B ; 6965
+ STH B, 4, *AR3-0 ; 6966
+ STH B, 4, *AR3+0 ; 6967
+ STH B, 4, *AR3+0B ; 6968
+ STH B, 4, *AR3-% ; 6969
+ STH B, 4, *AR3-0% ; 6970
+ STH B, 4, *AR3+% ; 6971
+ STH B, 4, *AR3+0% ; 6972
+ STH B, 4, *AR3(03DE4h) ; 6973
+ STH B, 4, *+AR3(03DE5h) ; 6974
+ STH B, 4, *+AR3(03DE6h)% ; 6975
+ STH B, 4, *(03DE7h) ; 6976
+ STH B, 4, *AR4 ; 6977
+ STH B, 4, *AR4- ; 6978
+ STH B, 4, *AR4+ ; 6979
+ STH B, 4, *+AR4 ; 6980
+ STH B, 4, *AR4-0B ; 6981
+ STH B, 4, *AR4-0 ; 6982
+ STH B, 4, *AR4+0 ; 6983
+ STH B, 4, *AR4+0B ; 6984
+ STH B, 4, *AR4-% ; 6985
+ STH B, 4, *AR4-0% ; 6986
+ STH B, 4, *AR4+% ; 6987
+ STH B, 4, *AR4+0% ; 6988
+ STH B, 4, *AR4(03DE8h) ; 6989
+ STH B, 4, *+AR4(03DE9h) ; 6990
+ STH B, 4, *+AR4(03DEAh)% ; 6991
+ STH B, 4, *(03DEBh) ; 6992
+ STH B, 4, *AR5 ; 6993
+ STH B, 4, *AR5- ; 6994
+ STH B, 4, *AR5+ ; 6995
+ STH B, 4, *+AR5 ; 6996
+ STH B, 4, *AR5-0B ; 6997
+ STH B, 4, *AR5-0 ; 6998
+ STH B, 4, *AR5+0 ; 6999
+ STH B, 4, *AR5+0B ; 7000
+ STH B, 4, *AR5-% ; 7001
+ STH B, 4, *AR5-0% ; 7002
+ STH B, 4, *AR5+% ; 7003
+ STH B, 4, *AR5+0% ; 7004
+ STH B, 4, *AR5(03DECh) ; 7005
+ STH B, 4, *+AR5(03DEDh) ; 7006
+ STH B, 4, *+AR5(03DEEh)% ; 7007
+ STH B, 4, *(03DEFh) ; 7008
+ STH B, 4, *AR6 ; 7009
+ STH B, 4, *AR6- ; 7010
+ STH B, 4, *AR6+ ; 7011
+ STH B, 4, *+AR6 ; 7012
+ STH B, 4, *AR6-0B ; 7013
+ STH B, 4, *AR6-0 ; 7014
+ STH B, 4, *AR6+0 ; 7015
+ STH B, 4, *AR6+0B ; 7016
+ STH B, 4, *AR6-% ; 7017
+ STH B, 4, *AR6-0% ; 7018
+ STH B, 4, *AR6+% ; 7019
+ STH B, 4, *AR6+0% ; 7020
+ STH B, 4, *AR6(03DF0h) ; 7021
+ STH B, 4, *+AR6(03DF1h) ; 7022
+ STH B, 4, *+AR6(03DF2h)% ; 7023
+ STH B, 4, *(03DF3h) ; 7024
+ STH B, 4, *AR7 ; 7025
+ STH B, 4, *AR7- ; 7026
+ STH B, 4, *AR7+ ; 7027
+ STH B, 4, *+AR7 ; 7028
+ STH B, 4, *AR7-0B ; 7029
+ STH B, 4, *AR7-0 ; 7030
+ STH B, 4, *AR7+0 ; 7031
+ STH B, 4, *AR7+0B ; 7032
+ STH B, 4, *AR7-% ; 7033
+ STH B, 4, *AR7-0% ; 7034
+ STH B, 4, *AR7+% ; 7035
+ STH B, 4, *AR7+0% ; 7036
+ STH B, 4, *AR7(03DF4h) ; 7037
+ STH B, 4, *+AR7(03DF5h) ; 7038
+ STH B, 4, *+AR7(03DF6h)% ; 7039
+ STH B, 4, *(03DF7h) ; 7040
+ STH B, 5, *AR0 ; 7041
+ STH B, 5, *AR0- ; 7042
+ STH B, 5, *AR0+ ; 7043
+ STH B, 5, *+AR0 ; 7044
+ STH B, 5, *AR0-0B ; 7045
+ STH B, 5, *AR0-0 ; 7046
+ STH B, 5, *AR0+0 ; 7047
+ STH B, 5, *AR0+0B ; 7048
+ STH B, 5, *AR0-% ; 7049
+ STH B, 5, *AR0-0% ; 7050
+ STH B, 5, *AR0+% ; 7051
+ STH B, 5, *AR0+0% ; 7052
+ STH B, 5, *AR0(03DF8h) ; 7053
+ STH B, 5, *+AR0(03DF9h) ; 7054
+ STH B, 5, *+AR0(03DFAh)% ; 7055
+ STH B, 5, *(03DFBh) ; 7056
+ STH B, 5, *AR1 ; 7057
+ STH B, 5, *AR1- ; 7058
+ STH B, 5, *AR1+ ; 7059
+ STH B, 5, *+AR1 ; 7060
+ STH B, 5, *AR1-0B ; 7061
+ STH B, 5, *AR1-0 ; 7062
+ STH B, 5, *AR1+0 ; 7063
+ STH B, 5, *AR1+0B ; 7064
+ STH B, 5, *AR1-% ; 7065
+ STH B, 5, *AR1-0% ; 7066
+ STH B, 5, *AR1+% ; 7067
+ STH B, 5, *AR1+0% ; 7068
+ STH B, 5, *AR1(03DFCh) ; 7069
+ STH B, 5, *+AR1(03DFDh) ; 7070
+ STH B, 5, *+AR1(03DFEh)% ; 7071
+ STH B, 5, *(03DFFh) ; 7072
+ STH B, 5, *AR2 ; 7073
+ STH B, 5, *AR2- ; 7074
+ STH B, 5, *AR2+ ; 7075
+ STH B, 5, *+AR2 ; 7076
+ STH B, 5, *AR2-0B ; 7077
+ STH B, 5, *AR2-0 ; 7078
+ STH B, 5, *AR2+0 ; 7079
+ STH B, 5, *AR2+0B ; 7080
+ STH B, 5, *AR2-% ; 7081
+ STH B, 5, *AR2-0% ; 7082
+ STH B, 5, *AR2+% ; 7083
+ STH B, 5, *AR2+0% ; 7084
+ STH B, 5, *AR2(03E00h) ; 7085
+ STH B, 5, *+AR2(03E01h) ; 7086
+ STH B, 5, *+AR2(03E02h)% ; 7087
+ STH B, 5, *(03E03h) ; 7088
+ STH B, 5, *AR3 ; 7089
+ STH B, 5, *AR3- ; 7090
+ STH B, 5, *AR3+ ; 7091
+ STH B, 5, *+AR3 ; 7092
+ STH B, 5, *AR3-0B ; 7093
+ STH B, 5, *AR3-0 ; 7094
+ STH B, 5, *AR3+0 ; 7095
+ STH B, 5, *AR3+0B ; 7096
+ STH B, 5, *AR3-% ; 7097
+ STH B, 5, *AR3-0% ; 7098
+ STH B, 5, *AR3+% ; 7099
+ STH B, 5, *AR3+0% ; 7100
+ STH B, 5, *AR3(03E04h) ; 7101
+ STH B, 5, *+AR3(03E05h) ; 7102
+ STH B, 5, *+AR3(03E06h)% ; 7103
+ STH B, 5, *(03E07h) ; 7104
+ STH B, 5, *AR4 ; 7105
+ STH B, 5, *AR4- ; 7106
+ STH B, 5, *AR4+ ; 7107
+ STH B, 5, *+AR4 ; 7108
+ STH B, 5, *AR4-0B ; 7109
+ STH B, 5, *AR4-0 ; 7110
+ STH B, 5, *AR4+0 ; 7111
+ STH B, 5, *AR4+0B ; 7112
+ STH B, 5, *AR4-% ; 7113
+ STH B, 5, *AR4-0% ; 7114
+ STH B, 5, *AR4+% ; 7115
+ STH B, 5, *AR4+0% ; 7116
+ STH B, 5, *AR4(03E08h) ; 7117
+ STH B, 5, *+AR4(03E09h) ; 7118
+ STH B, 5, *+AR4(03E0Ah)% ; 7119
+ STH B, 5, *(03E0Bh) ; 7120
+ STH B, 5, *AR5 ; 7121
+ STH B, 5, *AR5- ; 7122
+ STH B, 5, *AR5+ ; 7123
+ STH B, 5, *+AR5 ; 7124
+ STH B, 5, *AR5-0B ; 7125
+ STH B, 5, *AR5-0 ; 7126
+ STH B, 5, *AR5+0 ; 7127
+ STH B, 5, *AR5+0B ; 7128
+ STH B, 5, *AR5-% ; 7129
+ STH B, 5, *AR5-0% ; 7130
+ STH B, 5, *AR5+% ; 7131
+ STH B, 5, *AR5+0% ; 7132
+ STH B, 5, *AR5(03E0Ch) ; 7133
+ STH B, 5, *+AR5(03E0Dh) ; 7134
+ STH B, 5, *+AR5(03E0Eh)% ; 7135
+ STH B, 5, *(03E0Fh) ; 7136
+ STH B, 5, *AR6 ; 7137
+ STH B, 5, *AR6- ; 7138
+ STH B, 5, *AR6+ ; 7139
+ STH B, 5, *+AR6 ; 7140
+ STH B, 5, *AR6-0B ; 7141
+ STH B, 5, *AR6-0 ; 7142
+ STH B, 5, *AR6+0 ; 7143
+ STH B, 5, *AR6+0B ; 7144
+ STH B, 5, *AR6-% ; 7145
+ STH B, 5, *AR6-0% ; 7146
+ STH B, 5, *AR6+% ; 7147
+ STH B, 5, *AR6+0% ; 7148
+ STH B, 5, *AR6(03E10h) ; 7149
+ STH B, 5, *+AR6(03E11h) ; 7150
+ STH B, 5, *+AR6(03E12h)% ; 7151
+ STH B, 5, *(03E13h) ; 7152
+ STH B, 5, *AR7 ; 7153
+ STH B, 5, *AR7- ; 7154
+ STH B, 5, *AR7+ ; 7155
+ STH B, 5, *+AR7 ; 7156
+ STH B, 5, *AR7-0B ; 7157
+ STH B, 5, *AR7-0 ; 7158
+ STH B, 5, *AR7+0 ; 7159
+ STH B, 5, *AR7+0B ; 7160
+ STH B, 5, *AR7-% ; 7161
+ STH B, 5, *AR7-0% ; 7162
+ STH B, 5, *AR7+% ; 7163
+ STH B, 5, *AR7+0% ; 7164
+ STH B, 5, *AR7(03E14h) ; 7165
+ STH B, 5, *+AR7(03E15h) ; 7166
+ STH B, 5, *+AR7(03E16h)% ; 7167
+ STH B, 5, *(03E17h) ; 7168
+ STH B, 6, *AR0 ; 7169
+ STH B, 6, *AR0- ; 7170
+ STH B, 6, *AR0+ ; 7171
+ STH B, 6, *+AR0 ; 7172
+ STH B, 6, *AR0-0B ; 7173
+ STH B, 6, *AR0-0 ; 7174
+ STH B, 6, *AR0+0 ; 7175
+ STH B, 6, *AR0+0B ; 7176
+ STH B, 6, *AR0-% ; 7177
+ STH B, 6, *AR0-0% ; 7178
+ STH B, 6, *AR0+% ; 7179
+ STH B, 6, *AR0+0% ; 7180
+ STH B, 6, *AR0(03E18h) ; 7181
+ STH B, 6, *+AR0(03E19h) ; 7182
+ STH B, 6, *+AR0(03E1Ah)% ; 7183
+ STH B, 6, *(03E1Bh) ; 7184
+ STH B, 6, *AR1 ; 7185
+ STH B, 6, *AR1- ; 7186
+ STH B, 6, *AR1+ ; 7187
+ STH B, 6, *+AR1 ; 7188
+ STH B, 6, *AR1-0B ; 7189
+ STH B, 6, *AR1-0 ; 7190
+ STH B, 6, *AR1+0 ; 7191
+ STH B, 6, *AR1+0B ; 7192
+ STH B, 6, *AR1-% ; 7193
+ STH B, 6, *AR1-0% ; 7194
+ STH B, 6, *AR1+% ; 7195
+ STH B, 6, *AR1+0% ; 7196
+ STH B, 6, *AR1(03E1Ch) ; 7197
+ STH B, 6, *+AR1(03E1Dh) ; 7198
+ STH B, 6, *+AR1(03E1Eh)% ; 7199
+ STH B, 6, *(03E1Fh) ; 7200
+ STH B, 6, *AR2 ; 7201
+ STH B, 6, *AR2- ; 7202
+ STH B, 6, *AR2+ ; 7203
+ STH B, 6, *+AR2 ; 7204
+ STH B, 6, *AR2-0B ; 7205
+ STH B, 6, *AR2-0 ; 7206
+ STH B, 6, *AR2+0 ; 7207
+ STH B, 6, *AR2+0B ; 7208
+ STH B, 6, *AR2-% ; 7209
+ STH B, 6, *AR2-0% ; 7210
+ STH B, 6, *AR2+% ; 7211
+ STH B, 6, *AR2+0% ; 7212
+ STH B, 6, *AR2(03E20h) ; 7213
+ STH B, 6, *+AR2(03E21h) ; 7214
+ STH B, 6, *+AR2(03E22h)% ; 7215
+ STH B, 6, *(03E23h) ; 7216
+ STH B, 6, *AR3 ; 7217
+ STH B, 6, *AR3- ; 7218
+ STH B, 6, *AR3+ ; 7219
+ STH B, 6, *+AR3 ; 7220
+ STH B, 6, *AR3-0B ; 7221
+ STH B, 6, *AR3-0 ; 7222
+ STH B, 6, *AR3+0 ; 7223
+ STH B, 6, *AR3+0B ; 7224
+ STH B, 6, *AR3-% ; 7225
+ STH B, 6, *AR3-0% ; 7226
+ STH B, 6, *AR3+% ; 7227
+ STH B, 6, *AR3+0% ; 7228
+ STH B, 6, *AR3(03E24h) ; 7229
+ STH B, 6, *+AR3(03E25h) ; 7230
+ STH B, 6, *+AR3(03E26h)% ; 7231
+ STH B, 6, *(03E27h) ; 7232
+ STH B, 6, *AR4 ; 7233
+ STH B, 6, *AR4- ; 7234
+ STH B, 6, *AR4+ ; 7235
+ STH B, 6, *+AR4 ; 7236
+ STH B, 6, *AR4-0B ; 7237
+ STH B, 6, *AR4-0 ; 7238
+ STH B, 6, *AR4+0 ; 7239
+ STH B, 6, *AR4+0B ; 7240
+ STH B, 6, *AR4-% ; 7241
+ STH B, 6, *AR4-0% ; 7242
+ STH B, 6, *AR4+% ; 7243
+ STH B, 6, *AR4+0% ; 7244
+ STH B, 6, *AR4(03E28h) ; 7245
+ STH B, 6, *+AR4(03E29h) ; 7246
+ STH B, 6, *+AR4(03E2Ah)% ; 7247
+ STH B, 6, *(03E2Bh) ; 7248
+ STH B, 6, *AR5 ; 7249
+ STH B, 6, *AR5- ; 7250
+ STH B, 6, *AR5+ ; 7251
+ STH B, 6, *+AR5 ; 7252
+ STH B, 6, *AR5-0B ; 7253
+ STH B, 6, *AR5-0 ; 7254
+ STH B, 6, *AR5+0 ; 7255
+ STH B, 6, *AR5+0B ; 7256
+ STH B, 6, *AR5-% ; 7257
+ STH B, 6, *AR5-0% ; 7258
+ STH B, 6, *AR5+% ; 7259
+ STH B, 6, *AR5+0% ; 7260
+ STH B, 6, *AR5(03E2Ch) ; 7261
+ STH B, 6, *+AR5(03E2Dh) ; 7262
+ STH B, 6, *+AR5(03E2Eh)% ; 7263
+ STH B, 6, *(03E2Fh) ; 7264
+ STH B, 6, *AR6 ; 7265
+ STH B, 6, *AR6- ; 7266
+ STH B, 6, *AR6+ ; 7267
+ STH B, 6, *+AR6 ; 7268
+ STH B, 6, *AR6-0B ; 7269
+ STH B, 6, *AR6-0 ; 7270
+ STH B, 6, *AR6+0 ; 7271
+ STH B, 6, *AR6+0B ; 7272
+ STH B, 6, *AR6-% ; 7273
+ STH B, 6, *AR6-0% ; 7274
+ STH B, 6, *AR6+% ; 7275
+ STH B, 6, *AR6+0% ; 7276
+ STH B, 6, *AR6(03E30h) ; 7277
+ STH B, 6, *+AR6(03E31h) ; 7278
+ STH B, 6, *+AR6(03E32h)% ; 7279
+ STH B, 6, *(03E33h) ; 7280
+ STH B, 6, *AR7 ; 7281
+ STH B, 6, *AR7- ; 7282
+ STH B, 6, *AR7+ ; 7283
+ STH B, 6, *+AR7 ; 7284
+ STH B, 6, *AR7-0B ; 7285
+ STH B, 6, *AR7-0 ; 7286
+ STH B, 6, *AR7+0 ; 7287
+ STH B, 6, *AR7+0B ; 7288
+ STH B, 6, *AR7-% ; 7289
+ STH B, 6, *AR7-0% ; 7290
+ STH B, 6, *AR7+% ; 7291
+ STH B, 6, *AR7+0% ; 7292
+ STH B, 6, *AR7(03E34h) ; 7293
+ STH B, 6, *+AR7(03E35h) ; 7294
+ STH B, 6, *+AR7(03E36h)% ; 7295
+ STH B, 6, *(03E37h) ; 7296
+ STH B, 7, *AR0 ; 7297
+ STH B, 7, *AR0- ; 7298
+ STH B, 7, *AR0+ ; 7299
+ STH B, 7, *+AR0 ; 7300
+ STH B, 7, *AR0-0B ; 7301
+ STH B, 7, *AR0-0 ; 7302
+ STH B, 7, *AR0+0 ; 7303
+ STH B, 7, *AR0+0B ; 7304
+ STH B, 7, *AR0-% ; 7305
+ STH B, 7, *AR0-0% ; 7306
+ STH B, 7, *AR0+% ; 7307
+ STH B, 7, *AR0+0% ; 7308
+ STH B, 7, *AR0(03E38h) ; 7309
+ STH B, 7, *+AR0(03E39h) ; 7310
+ STH B, 7, *+AR0(03E3Ah)% ; 7311
+ STH B, 7, *(03E3Bh) ; 7312
+ STH B, 7, *AR1 ; 7313
+ STH B, 7, *AR1- ; 7314
+ STH B, 7, *AR1+ ; 7315
+ STH B, 7, *+AR1 ; 7316
+ STH B, 7, *AR1-0B ; 7317
+ STH B, 7, *AR1-0 ; 7318
+ STH B, 7, *AR1+0 ; 7319
+ STH B, 7, *AR1+0B ; 7320
+ STH B, 7, *AR1-% ; 7321
+ STH B, 7, *AR1-0% ; 7322
+ STH B, 7, *AR1+% ; 7323
+ STH B, 7, *AR1+0% ; 7324
+ STH B, 7, *AR1(03E3Ch) ; 7325
+ STH B, 7, *+AR1(03E3Dh) ; 7326
+ STH B, 7, *+AR1(03E3Eh)% ; 7327
+ STH B, 7, *(03E3Fh) ; 7328
+ STH B, 7, *AR2 ; 7329
+ STH B, 7, *AR2- ; 7330
+ STH B, 7, *AR2+ ; 7331
+ STH B, 7, *+AR2 ; 7332
+ STH B, 7, *AR2-0B ; 7333
+ STH B, 7, *AR2-0 ; 7334
+ STH B, 7, *AR2+0 ; 7335
+ STH B, 7, *AR2+0B ; 7336
+ STH B, 7, *AR2-% ; 7337
+ STH B, 7, *AR2-0% ; 7338
+ STH B, 7, *AR2+% ; 7339
+ STH B, 7, *AR2+0% ; 7340
+ STH B, 7, *AR2(03E40h) ; 7341
+ STH B, 7, *+AR2(03E41h) ; 7342
+ STH B, 7, *+AR2(03E42h)% ; 7343
+ STH B, 7, *(03E43h) ; 7344
+ STH B, 7, *AR3 ; 7345
+ STH B, 7, *AR3- ; 7346
+ STH B, 7, *AR3+ ; 7347
+ STH B, 7, *+AR3 ; 7348
+ STH B, 7, *AR3-0B ; 7349
+ STH B, 7, *AR3-0 ; 7350
+ STH B, 7, *AR3+0 ; 7351
+ STH B, 7, *AR3+0B ; 7352
+ STH B, 7, *AR3-% ; 7353
+ STH B, 7, *AR3-0% ; 7354
+ STH B, 7, *AR3+% ; 7355
+ STH B, 7, *AR3+0% ; 7356
+ STH B, 7, *AR3(03E44h) ; 7357
+ STH B, 7, *+AR3(03E45h) ; 7358
+ STH B, 7, *+AR3(03E46h)% ; 7359
+ STH B, 7, *(03E47h) ; 7360
+ STH B, 7, *AR4 ; 7361
+ STH B, 7, *AR4- ; 7362
+ STH B, 7, *AR4+ ; 7363
+ STH B, 7, *+AR4 ; 7364
+ STH B, 7, *AR4-0B ; 7365
+ STH B, 7, *AR4-0 ; 7366
+ STH B, 7, *AR4+0 ; 7367
+ STH B, 7, *AR4+0B ; 7368
+ STH B, 7, *AR4-% ; 7369
+ STH B, 7, *AR4-0% ; 7370
+ STH B, 7, *AR4+% ; 7371
+ STH B, 7, *AR4+0% ; 7372
+ STH B, 7, *AR4(03E48h) ; 7373
+ STH B, 7, *+AR4(03E49h) ; 7374
+ STH B, 7, *+AR4(03E4Ah)% ; 7375
+ STH B, 7, *(03E4Bh) ; 7376
+ STH B, 7, *AR5 ; 7377
+ STH B, 7, *AR5- ; 7378
+ STH B, 7, *AR5+ ; 7379
+ STH B, 7, *+AR5 ; 7380
+ STH B, 7, *AR5-0B ; 7381
+ STH B, 7, *AR5-0 ; 7382
+ STH B, 7, *AR5+0 ; 7383
+ STH B, 7, *AR5+0B ; 7384
+ STH B, 7, *AR5-% ; 7385
+ STH B, 7, *AR5-0% ; 7386
+ STH B, 7, *AR5+% ; 7387
+ STH B, 7, *AR5+0% ; 7388
+ STH B, 7, *AR5(03E4Ch) ; 7389
+ STH B, 7, *+AR5(03E4Dh) ; 7390
+ STH B, 7, *+AR5(03E4Eh)% ; 7391
+ STH B, 7, *(03E4Fh) ; 7392
+ STH B, 7, *AR6 ; 7393
+ STH B, 7, *AR6- ; 7394
+ STH B, 7, *AR6+ ; 7395
+ STH B, 7, *+AR6 ; 7396
+ STH B, 7, *AR6-0B ; 7397
+ STH B, 7, *AR6-0 ; 7398
+ STH B, 7, *AR6+0 ; 7399
+ STH B, 7, *AR6+0B ; 7400
+ STH B, 7, *AR6-% ; 7401
+ STH B, 7, *AR6-0% ; 7402
+ STH B, 7, *AR6+% ; 7403
+ STH B, 7, *AR6+0% ; 7404
+ STH B, 7, *AR6(03E50h) ; 7405
+ STH B, 7, *+AR6(03E51h) ; 7406
+ STH B, 7, *+AR6(03E52h)% ; 7407
+ STH B, 7, *(03E53h) ; 7408
+ STH B, 7, *AR7 ; 7409
+ STH B, 7, *AR7- ; 7410
+ STH B, 7, *AR7+ ; 7411
+ STH B, 7, *+AR7 ; 7412
+ STH B, 7, *AR7-0B ; 7413
+ STH B, 7, *AR7-0 ; 7414
+ STH B, 7, *AR7+0 ; 7415
+ STH B, 7, *AR7+0B ; 7416
+ STH B, 7, *AR7-% ; 7417
+ STH B, 7, *AR7-0% ; 7418
+ STH B, 7, *AR7+% ; 7419
+ STH B, 7, *AR7+0% ; 7420
+ STH B, 7, *AR7(03E54h) ; 7421
+ STH B, 7, *+AR7(03E55h) ; 7422
+ STH B, 7, *+AR7(03E56h)% ; 7423
+ STH B, 7, *(03E57h) ; 7424
+ STH B, 8, *AR0 ; 7425
+ STH B, 8, *AR0- ; 7426
+ STH B, 8, *AR0+ ; 7427
+ STH B, 8, *+AR0 ; 7428
+ STH B, 8, *AR0-0B ; 7429
+ STH B, 8, *AR0-0 ; 7430
+ STH B, 8, *AR0+0 ; 7431
+ STH B, 8, *AR0+0B ; 7432
+ STH B, 8, *AR0-% ; 7433
+ STH B, 8, *AR0-0% ; 7434
+ STH B, 8, *AR0+% ; 7435
+ STH B, 8, *AR0+0% ; 7436
+ STH B, 8, *AR0(03E58h) ; 7437
+ STH B, 8, *+AR0(03E59h) ; 7438
+ STH B, 8, *+AR0(03E5Ah)% ; 7439
+ STH B, 8, *(03E5Bh) ; 7440
+ STH B, 8, *AR1 ; 7441
+ STH B, 8, *AR1- ; 7442
+ STH B, 8, *AR1+ ; 7443
+ STH B, 8, *+AR1 ; 7444
+ STH B, 8, *AR1-0B ; 7445
+ STH B, 8, *AR1-0 ; 7446
+ STH B, 8, *AR1+0 ; 7447
+ STH B, 8, *AR1+0B ; 7448
+ STH B, 8, *AR1-% ; 7449
+ STH B, 8, *AR1-0% ; 7450
+ STH B, 8, *AR1+% ; 7451
+ STH B, 8, *AR1+0% ; 7452
+ STH B, 8, *AR1(03E5Ch) ; 7453
+ STH B, 8, *+AR1(03E5Dh) ; 7454
+ STH B, 8, *+AR1(03E5Eh)% ; 7455
+ STH B, 8, *(03E5Fh) ; 7456
+ STH B, 8, *AR2 ; 7457
+ STH B, 8, *AR2- ; 7458
+ STH B, 8, *AR2+ ; 7459
+ STH B, 8, *+AR2 ; 7460
+ STH B, 8, *AR2-0B ; 7461
+ STH B, 8, *AR2-0 ; 7462
+ STH B, 8, *AR2+0 ; 7463
+ STH B, 8, *AR2+0B ; 7464
+ STH B, 8, *AR2-% ; 7465
+ STH B, 8, *AR2-0% ; 7466
+ STH B, 8, *AR2+% ; 7467
+ STH B, 8, *AR2+0% ; 7468
+ STH B, 8, *AR2(03E60h) ; 7469
+ STH B, 8, *+AR2(03E61h) ; 7470
+ STH B, 8, *+AR2(03E62h)% ; 7471
+ STH B, 8, *(03E63h) ; 7472
+ STH B, 8, *AR3 ; 7473
+ STH B, 8, *AR3- ; 7474
+ STH B, 8, *AR3+ ; 7475
+ STH B, 8, *+AR3 ; 7476
+ STH B, 8, *AR3-0B ; 7477
+ STH B, 8, *AR3-0 ; 7478
+ STH B, 8, *AR3+0 ; 7479
+ STH B, 8, *AR3+0B ; 7480
+ STH B, 8, *AR3-% ; 7481
+ STH B, 8, *AR3-0% ; 7482
+ STH B, 8, *AR3+% ; 7483
+ STH B, 8, *AR3+0% ; 7484
+ STH B, 8, *AR3(03E64h) ; 7485
+ STH B, 8, *+AR3(03E65h) ; 7486
+ STH B, 8, *+AR3(03E66h)% ; 7487
+ STH B, 8, *(03E67h) ; 7488
+ STH B, 8, *AR4 ; 7489
+ STH B, 8, *AR4- ; 7490
+ STH B, 8, *AR4+ ; 7491
+ STH B, 8, *+AR4 ; 7492
+ STH B, 8, *AR4-0B ; 7493
+ STH B, 8, *AR4-0 ; 7494
+ STH B, 8, *AR4+0 ; 7495
+ STH B, 8, *AR4+0B ; 7496
+ STH B, 8, *AR4-% ; 7497
+ STH B, 8, *AR4-0% ; 7498
+ STH B, 8, *AR4+% ; 7499
+ STH B, 8, *AR4+0% ; 7500
+ STH B, 8, *AR4(03E68h) ; 7501
+ STH B, 8, *+AR4(03E69h) ; 7502
+ STH B, 8, *+AR4(03E6Ah)% ; 7503
+ STH B, 8, *(03E6Bh) ; 7504
+ STH B, 8, *AR5 ; 7505
+ STH B, 8, *AR5- ; 7506
+ STH B, 8, *AR5+ ; 7507
+ STH B, 8, *+AR5 ; 7508
+ STH B, 8, *AR5-0B ; 7509
+ STH B, 8, *AR5-0 ; 7510
+ STH B, 8, *AR5+0 ; 7511
+ STH B, 8, *AR5+0B ; 7512
+ STH B, 8, *AR5-% ; 7513
+ STH B, 8, *AR5-0% ; 7514
+ STH B, 8, *AR5+% ; 7515
+ STH B, 8, *AR5+0% ; 7516
+ STH B, 8, *AR5(03E6Ch) ; 7517
+ STH B, 8, *+AR5(03E6Dh) ; 7518
+ STH B, 8, *+AR5(03E6Eh)% ; 7519
+ STH B, 8, *(03E6Fh) ; 7520
+ STH B, 8, *AR6 ; 7521
+ STH B, 8, *AR6- ; 7522
+ STH B, 8, *AR6+ ; 7523
+ STH B, 8, *+AR6 ; 7524
+ STH B, 8, *AR6-0B ; 7525
+ STH B, 8, *AR6-0 ; 7526
+ STH B, 8, *AR6+0 ; 7527
+ STH B, 8, *AR6+0B ; 7528
+ STH B, 8, *AR6-% ; 7529
+ STH B, 8, *AR6-0% ; 7530
+ STH B, 8, *AR6+% ; 7531
+ STH B, 8, *AR6+0% ; 7532
+ STH B, 8, *AR6(03E70h) ; 7533
+ STH B, 8, *+AR6(03E71h) ; 7534
+ STH B, 8, *+AR6(03E72h)% ; 7535
+ STH B, 8, *(03E73h) ; 7536
+ STH B, 8, *AR7 ; 7537
+ STH B, 8, *AR7- ; 7538
+ STH B, 8, *AR7+ ; 7539
+ STH B, 8, *+AR7 ; 7540
+ STH B, 8, *AR7-0B ; 7541
+ STH B, 8, *AR7-0 ; 7542
+ STH B, 8, *AR7+0 ; 7543
+ STH B, 8, *AR7+0B ; 7544
+ STH B, 8, *AR7-% ; 7545
+ STH B, 8, *AR7-0% ; 7546
+ STH B, 8, *AR7+% ; 7547
+ STH B, 8, *AR7+0% ; 7548
+ STH B, 8, *AR7(03E74h) ; 7549
+ STH B, 8, *+AR7(03E75h) ; 7550
+ STH B, 8, *+AR7(03E76h)% ; 7551
+ STH B, 8, *(03E77h) ; 7552
+ STH B, 9, *AR0 ; 7553
+ STH B, 9, *AR0- ; 7554
+ STH B, 9, *AR0+ ; 7555
+ STH B, 9, *+AR0 ; 7556
+ STH B, 9, *AR0-0B ; 7557
+ STH B, 9, *AR0-0 ; 7558
+ STH B, 9, *AR0+0 ; 7559
+ STH B, 9, *AR0+0B ; 7560
+ STH B, 9, *AR0-% ; 7561
+ STH B, 9, *AR0-0% ; 7562
+ STH B, 9, *AR0+% ; 7563
+ STH B, 9, *AR0+0% ; 7564
+ STH B, 9, *AR0(03E78h) ; 7565
+ STH B, 9, *+AR0(03E79h) ; 7566
+ STH B, 9, *+AR0(03E7Ah)% ; 7567
+ STH B, 9, *(03E7Bh) ; 7568
+ STH B, 9, *AR1 ; 7569
+ STH B, 9, *AR1- ; 7570
+ STH B, 9, *AR1+ ; 7571
+ STH B, 9, *+AR1 ; 7572
+ STH B, 9, *AR1-0B ; 7573
+ STH B, 9, *AR1-0 ; 7574
+ STH B, 9, *AR1+0 ; 7575
+ STH B, 9, *AR1+0B ; 7576
+ STH B, 9, *AR1-% ; 7577
+ STH B, 9, *AR1-0% ; 7578
+ STH B, 9, *AR1+% ; 7579
+ STH B, 9, *AR1+0% ; 7580
+ STH B, 9, *AR1(03E7Ch) ; 7581
+ STH B, 9, *+AR1(03E7Dh) ; 7582
+ STH B, 9, *+AR1(03E7Eh)% ; 7583
+ STH B, 9, *(03E7Fh) ; 7584
+ STH B, 9, *AR2 ; 7585
+ STH B, 9, *AR2- ; 7586
+ STH B, 9, *AR2+ ; 7587
+ STH B, 9, *+AR2 ; 7588
+ STH B, 9, *AR2-0B ; 7589
+ STH B, 9, *AR2-0 ; 7590
+ STH B, 9, *AR2+0 ; 7591
+ STH B, 9, *AR2+0B ; 7592
+ STH B, 9, *AR2-% ; 7593
+ STH B, 9, *AR2-0% ; 7594
+ STH B, 9, *AR2+% ; 7595
+ STH B, 9, *AR2+0% ; 7596
+ STH B, 9, *AR2(03E80h) ; 7597
+ STH B, 9, *+AR2(03E81h) ; 7598
+ STH B, 9, *+AR2(03E82h)% ; 7599
+ STH B, 9, *(03E83h) ; 7600
+ STH B, 9, *AR3 ; 7601
+ STH B, 9, *AR3- ; 7602
+ STH B, 9, *AR3+ ; 7603
+ STH B, 9, *+AR3 ; 7604
+ STH B, 9, *AR3-0B ; 7605
+ STH B, 9, *AR3-0 ; 7606
+ STH B, 9, *AR3+0 ; 7607
+ STH B, 9, *AR3+0B ; 7608
+ STH B, 9, *AR3-% ; 7609
+ STH B, 9, *AR3-0% ; 7610
+ STH B, 9, *AR3+% ; 7611
+ STH B, 9, *AR3+0% ; 7612
+ STH B, 9, *AR3(03E84h) ; 7613
+ STH B, 9, *+AR3(03E85h) ; 7614
+ STH B, 9, *+AR3(03E86h)% ; 7615
+ STH B, 9, *(03E87h) ; 7616
+ STH B, 9, *AR4 ; 7617
+ STH B, 9, *AR4- ; 7618
+ STH B, 9, *AR4+ ; 7619
+ STH B, 9, *+AR4 ; 7620
+ STH B, 9, *AR4-0B ; 7621
+ STH B, 9, *AR4-0 ; 7622
+ STH B, 9, *AR4+0 ; 7623
+ STH B, 9, *AR4+0B ; 7624
+ STH B, 9, *AR4-% ; 7625
+ STH B, 9, *AR4-0% ; 7626
+ STH B, 9, *AR4+% ; 7627
+ STH B, 9, *AR4+0% ; 7628
+ STH B, 9, *AR4(03E88h) ; 7629
+ STH B, 9, *+AR4(03E89h) ; 7630
+ STH B, 9, *+AR4(03E8Ah)% ; 7631
+ STH B, 9, *(03E8Bh) ; 7632
+ STH B, 9, *AR5 ; 7633
+ STH B, 9, *AR5- ; 7634
+ STH B, 9, *AR5+ ; 7635
+ STH B, 9, *+AR5 ; 7636
+ STH B, 9, *AR5-0B ; 7637
+ STH B, 9, *AR5-0 ; 7638
+ STH B, 9, *AR5+0 ; 7639
+ STH B, 9, *AR5+0B ; 7640
+ STH B, 9, *AR5-% ; 7641
+ STH B, 9, *AR5-0% ; 7642
+ STH B, 9, *AR5+% ; 7643
+ STH B, 9, *AR5+0% ; 7644
+ STH B, 9, *AR5(03E8Ch) ; 7645
+ STH B, 9, *+AR5(03E8Dh) ; 7646
+ STH B, 9, *+AR5(03E8Eh)% ; 7647
+ STH B, 9, *(03E8Fh) ; 7648
+ STH B, 9, *AR6 ; 7649
+ STH B, 9, *AR6- ; 7650
+ STH B, 9, *AR6+ ; 7651
+ STH B, 9, *+AR6 ; 7652
+ STH B, 9, *AR6-0B ; 7653
+ STH B, 9, *AR6-0 ; 7654
+ STH B, 9, *AR6+0 ; 7655
+ STH B, 9, *AR6+0B ; 7656
+ STH B, 9, *AR6-% ; 7657
+ STH B, 9, *AR6-0% ; 7658
+ STH B, 9, *AR6+% ; 7659
+ STH B, 9, *AR6+0% ; 7660
+ STH B, 9, *AR6(03E90h) ; 7661
+ STH B, 9, *+AR6(03E91h) ; 7662
+ STH B, 9, *+AR6(03E92h)% ; 7663
+ STH B, 9, *(03E93h) ; 7664
+ STH B, 9, *AR7 ; 7665
+ STH B, 9, *AR7- ; 7666
+ STH B, 9, *AR7+ ; 7667
+ STH B, 9, *+AR7 ; 7668
+ STH B, 9, *AR7-0B ; 7669
+ STH B, 9, *AR7-0 ; 7670
+ STH B, 9, *AR7+0 ; 7671
+ STH B, 9, *AR7+0B ; 7672
+ STH B, 9, *AR7-% ; 7673
+ STH B, 9, *AR7-0% ; 7674
+ STH B, 9, *AR7+% ; 7675
+ STH B, 9, *AR7+0% ; 7676
+ STH B, 9, *AR7(03E94h) ; 7677
+ STH B, 9, *+AR7(03E95h) ; 7678
+ STH B, 9, *+AR7(03E96h)% ; 7679
+ STH B, 9, *(03E97h) ; 7680
+ STH B, 10, *AR0 ; 7681
+ STH B, 10, *AR0- ; 7682
+ STH B, 10, *AR0+ ; 7683
+ STH B, 10, *+AR0 ; 7684
+ STH B, 10, *AR0-0B ; 7685
+ STH B, 10, *AR0-0 ; 7686
+ STH B, 10, *AR0+0 ; 7687
+ STH B, 10, *AR0+0B ; 7688
+ STH B, 10, *AR0-% ; 7689
+ STH B, 10, *AR0-0% ; 7690
+ STH B, 10, *AR0+% ; 7691
+ STH B, 10, *AR0+0% ; 7692
+ STH B, 10, *AR0(03E98h) ; 7693
+ STH B, 10, *+AR0(03E99h) ; 7694
+ STH B, 10, *+AR0(03E9Ah)% ; 7695
+ STH B, 10, *(03E9Bh) ; 7696
+ STH B, 10, *AR1 ; 7697
+ STH B, 10, *AR1- ; 7698
+ STH B, 10, *AR1+ ; 7699
+ STH B, 10, *+AR1 ; 7700
+ STH B, 10, *AR1-0B ; 7701
+ STH B, 10, *AR1-0 ; 7702
+ STH B, 10, *AR1+0 ; 7703
+ STH B, 10, *AR1+0B ; 7704
+ STH B, 10, *AR1-% ; 7705
+ STH B, 10, *AR1-0% ; 7706
+ STH B, 10, *AR1+% ; 7707
+ STH B, 10, *AR1+0% ; 7708
+ STH B, 10, *AR1(03E9Ch) ; 7709
+ STH B, 10, *+AR1(03E9Dh) ; 7710
+ STH B, 10, *+AR1(03E9Eh)% ; 7711
+ STH B, 10, *(03E9Fh) ; 7712
+ STH B, 10, *AR2 ; 7713
+ STH B, 10, *AR2- ; 7714
+ STH B, 10, *AR2+ ; 7715
+ STH B, 10, *+AR2 ; 7716
+ STH B, 10, *AR2-0B ; 7717
+ STH B, 10, *AR2-0 ; 7718
+ STH B, 10, *AR2+0 ; 7719
+ STH B, 10, *AR2+0B ; 7720
+ STH B, 10, *AR2-% ; 7721
+ STH B, 10, *AR2-0% ; 7722
+ STH B, 10, *AR2+% ; 7723
+ STH B, 10, *AR2+0% ; 7724
+ STH B, 10, *AR2(03EA0h) ; 7725
+ STH B, 10, *+AR2(03EA1h) ; 7726
+ STH B, 10, *+AR2(03EA2h)% ; 7727
+ STH B, 10, *(03EA3h) ; 7728
+ STH B, 10, *AR3 ; 7729
+ STH B, 10, *AR3- ; 7730
+ STH B, 10, *AR3+ ; 7731
+ STH B, 10, *+AR3 ; 7732
+ STH B, 10, *AR3-0B ; 7733
+ STH B, 10, *AR3-0 ; 7734
+ STH B, 10, *AR3+0 ; 7735
+ STH B, 10, *AR3+0B ; 7736
+ STH B, 10, *AR3-% ; 7737
+ STH B, 10, *AR3-0% ; 7738
+ STH B, 10, *AR3+% ; 7739
+ STH B, 10, *AR3+0% ; 7740
+ STH B, 10, *AR3(03EA4h) ; 7741
+ STH B, 10, *+AR3(03EA5h) ; 7742
+ STH B, 10, *+AR3(03EA6h)% ; 7743
+ STH B, 10, *(03EA7h) ; 7744
+ STH B, 10, *AR4 ; 7745
+ STH B, 10, *AR4- ; 7746
+ STH B, 10, *AR4+ ; 7747
+ STH B, 10, *+AR4 ; 7748
+ STH B, 10, *AR4-0B ; 7749
+ STH B, 10, *AR4-0 ; 7750
+ STH B, 10, *AR4+0 ; 7751
+ STH B, 10, *AR4+0B ; 7752
+ STH B, 10, *AR4-% ; 7753
+ STH B, 10, *AR4-0% ; 7754
+ STH B, 10, *AR4+% ; 7755
+ STH B, 10, *AR4+0% ; 7756
+ STH B, 10, *AR4(03EA8h) ; 7757
+ STH B, 10, *+AR4(03EA9h) ; 7758
+ STH B, 10, *+AR4(03EAAh)% ; 7759
+ STH B, 10, *(03EABh) ; 7760
+ STH B, 10, *AR5 ; 7761
+ STH B, 10, *AR5- ; 7762
+ STH B, 10, *AR5+ ; 7763
+ STH B, 10, *+AR5 ; 7764
+ STH B, 10, *AR5-0B ; 7765
+ STH B, 10, *AR5-0 ; 7766
+ STH B, 10, *AR5+0 ; 7767
+ STH B, 10, *AR5+0B ; 7768
+ STH B, 10, *AR5-% ; 7769
+ STH B, 10, *AR5-0% ; 7770
+ STH B, 10, *AR5+% ; 7771
+ STH B, 10, *AR5+0% ; 7772
+ STH B, 10, *AR5(03EACh) ; 7773
+ STH B, 10, *+AR5(03EADh) ; 7774
+ STH B, 10, *+AR5(03EAEh)% ; 7775
+ STH B, 10, *(03EAFh) ; 7776
+ STH B, 10, *AR6 ; 7777
+ STH B, 10, *AR6- ; 7778
+ STH B, 10, *AR6+ ; 7779
+ STH B, 10, *+AR6 ; 7780
+ STH B, 10, *AR6-0B ; 7781
+ STH B, 10, *AR6-0 ; 7782
+ STH B, 10, *AR6+0 ; 7783
+ STH B, 10, *AR6+0B ; 7784
+ STH B, 10, *AR6-% ; 7785
+ STH B, 10, *AR6-0% ; 7786
+ STH B, 10, *AR6+% ; 7787
+ STH B, 10, *AR6+0% ; 7788
+ STH B, 10, *AR6(03EB0h) ; 7789
+ STH B, 10, *+AR6(03EB1h) ; 7790
+ STH B, 10, *+AR6(03EB2h)% ; 7791
+ STH B, 10, *(03EB3h) ; 7792
+ STH B, 10, *AR7 ; 7793
+ STH B, 10, *AR7- ; 7794
+ STH B, 10, *AR7+ ; 7795
+ STH B, 10, *+AR7 ; 7796
+ STH B, 10, *AR7-0B ; 7797
+ STH B, 10, *AR7-0 ; 7798
+ STH B, 10, *AR7+0 ; 7799
+ STH B, 10, *AR7+0B ; 7800
+ STH B, 10, *AR7-% ; 7801
+ STH B, 10, *AR7-0% ; 7802
+ STH B, 10, *AR7+% ; 7803
+ STH B, 10, *AR7+0% ; 7804
+ STH B, 10, *AR7(03EB4h) ; 7805
+ STH B, 10, *+AR7(03EB5h) ; 7806
+ STH B, 10, *+AR7(03EB6h)% ; 7807
+ STH B, 10, *(03EB7h) ; 7808
+ STH B, 11, *AR0 ; 7809
+ STH B, 11, *AR0- ; 7810
+ STH B, 11, *AR0+ ; 7811
+ STH B, 11, *+AR0 ; 7812
+ STH B, 11, *AR0-0B ; 7813
+ STH B, 11, *AR0-0 ; 7814
+ STH B, 11, *AR0+0 ; 7815
+ STH B, 11, *AR0+0B ; 7816
+ STH B, 11, *AR0-% ; 7817
+ STH B, 11, *AR0-0% ; 7818
+ STH B, 11, *AR0+% ; 7819
+ STH B, 11, *AR0+0% ; 7820
+ STH B, 11, *AR0(03EB8h) ; 7821
+ STH B, 11, *+AR0(03EB9h) ; 7822
+ STH B, 11, *+AR0(03EBAh)% ; 7823
+ STH B, 11, *(03EBBh) ; 7824
+ STH B, 11, *AR1 ; 7825
+ STH B, 11, *AR1- ; 7826
+ STH B, 11, *AR1+ ; 7827
+ STH B, 11, *+AR1 ; 7828
+ STH B, 11, *AR1-0B ; 7829
+ STH B, 11, *AR1-0 ; 7830
+ STH B, 11, *AR1+0 ; 7831
+ STH B, 11, *AR1+0B ; 7832
+ STH B, 11, *AR1-% ; 7833
+ STH B, 11, *AR1-0% ; 7834
+ STH B, 11, *AR1+% ; 7835
+ STH B, 11, *AR1+0% ; 7836
+ STH B, 11, *AR1(03EBCh) ; 7837
+ STH B, 11, *+AR1(03EBDh) ; 7838
+ STH B, 11, *+AR1(03EBEh)% ; 7839
+ STH B, 11, *(03EBFh) ; 7840
+ STH B, 11, *AR2 ; 7841
+ STH B, 11, *AR2- ; 7842
+ STH B, 11, *AR2+ ; 7843
+ STH B, 11, *+AR2 ; 7844
+ STH B, 11, *AR2-0B ; 7845
+ STH B, 11, *AR2-0 ; 7846
+ STH B, 11, *AR2+0 ; 7847
+ STH B, 11, *AR2+0B ; 7848
+ STH B, 11, *AR2-% ; 7849
+ STH B, 11, *AR2-0% ; 7850
+ STH B, 11, *AR2+% ; 7851
+ STH B, 11, *AR2+0% ; 7852
+ STH B, 11, *AR2(03EC0h) ; 7853
+ STH B, 11, *+AR2(03EC1h) ; 7854
+ STH B, 11, *+AR2(03EC2h)% ; 7855
+ STH B, 11, *(03EC3h) ; 7856
+ STH B, 11, *AR3 ; 7857
+ STH B, 11, *AR3- ; 7858
+ STH B, 11, *AR3+ ; 7859
+ STH B, 11, *+AR3 ; 7860
+ STH B, 11, *AR3-0B ; 7861
+ STH B, 11, *AR3-0 ; 7862
+ STH B, 11, *AR3+0 ; 7863
+ STH B, 11, *AR3+0B ; 7864
+ STH B, 11, *AR3-% ; 7865
+ STH B, 11, *AR3-0% ; 7866
+ STH B, 11, *AR3+% ; 7867
+ STH B, 11, *AR3+0% ; 7868
+ STH B, 11, *AR3(03EC4h) ; 7869
+ STH B, 11, *+AR3(03EC5h) ; 7870
+ STH B, 11, *+AR3(03EC6h)% ; 7871
+ STH B, 11, *(03EC7h) ; 7872
+ STH B, 11, *AR4 ; 7873
+ STH B, 11, *AR4- ; 7874
+ STH B, 11, *AR4+ ; 7875
+ STH B, 11, *+AR4 ; 7876
+ STH B, 11, *AR4-0B ; 7877
+ STH B, 11, *AR4-0 ; 7878
+ STH B, 11, *AR4+0 ; 7879
+ STH B, 11, *AR4+0B ; 7880
+ STH B, 11, *AR4-% ; 7881
+ STH B, 11, *AR4-0% ; 7882
+ STH B, 11, *AR4+% ; 7883
+ STH B, 11, *AR4+0% ; 7884
+ STH B, 11, *AR4(03EC8h) ; 7885
+ STH B, 11, *+AR4(03EC9h) ; 7886
+ STH B, 11, *+AR4(03ECAh)% ; 7887
+ STH B, 11, *(03ECBh) ; 7888
+ STH B, 11, *AR5 ; 7889
+ STH B, 11, *AR5- ; 7890
+ STH B, 11, *AR5+ ; 7891
+ STH B, 11, *+AR5 ; 7892
+ STH B, 11, *AR5-0B ; 7893
+ STH B, 11, *AR5-0 ; 7894
+ STH B, 11, *AR5+0 ; 7895
+ STH B, 11, *AR5+0B ; 7896
+ STH B, 11, *AR5-% ; 7897
+ STH B, 11, *AR5-0% ; 7898
+ STH B, 11, *AR5+% ; 7899
+ STH B, 11, *AR5+0% ; 7900
+ STH B, 11, *AR5(03ECCh) ; 7901
+ STH B, 11, *+AR5(03ECDh) ; 7902
+ STH B, 11, *+AR5(03ECEh)% ; 7903
+ STH B, 11, *(03ECFh) ; 7904
+ STH B, 11, *AR6 ; 7905
+ STH B, 11, *AR6- ; 7906
+ STH B, 11, *AR6+ ; 7907
+ STH B, 11, *+AR6 ; 7908
+ STH B, 11, *AR6-0B ; 7909
+ STH B, 11, *AR6-0 ; 7910
+ STH B, 11, *AR6+0 ; 7911
+ STH B, 11, *AR6+0B ; 7912
+ STH B, 11, *AR6-% ; 7913
+ STH B, 11, *AR6-0% ; 7914
+ STH B, 11, *AR6+% ; 7915
+ STH B, 11, *AR6+0% ; 7916
+ STH B, 11, *AR6(03ED0h) ; 7917
+ STH B, 11, *+AR6(03ED1h) ; 7918
+ STH B, 11, *+AR6(03ED2h)% ; 7919
+ STH B, 11, *(03ED3h) ; 7920
+ STH B, 11, *AR7 ; 7921
+ STH B, 11, *AR7- ; 7922
+ STH B, 11, *AR7+ ; 7923
+ STH B, 11, *+AR7 ; 7924
+ STH B, 11, *AR7-0B ; 7925
+ STH B, 11, *AR7-0 ; 7926
+ STH B, 11, *AR7+0 ; 7927
+ STH B, 11, *AR7+0B ; 7928
+ STH B, 11, *AR7-% ; 7929
+ STH B, 11, *AR7-0% ; 7930
+ STH B, 11, *AR7+% ; 7931
+ STH B, 11, *AR7+0% ; 7932
+ STH B, 11, *AR7(03ED4h) ; 7933
+ STH B, 11, *+AR7(03ED5h) ; 7934
+ STH B, 11, *+AR7(03ED6h)% ; 7935
+ STH B, 11, *(03ED7h) ; 7936
+ STH B, 12, *AR0 ; 7937
+ STH B, 12, *AR0- ; 7938
+ STH B, 12, *AR0+ ; 7939
+ STH B, 12, *+AR0 ; 7940
+ STH B, 12, *AR0-0B ; 7941
+ STH B, 12, *AR0-0 ; 7942
+ STH B, 12, *AR0+0 ; 7943
+ STH B, 12, *AR0+0B ; 7944
+ STH B, 12, *AR0-% ; 7945
+ STH B, 12, *AR0-0% ; 7946
+ STH B, 12, *AR0+% ; 7947
+ STH B, 12, *AR0+0% ; 7948
+ STH B, 12, *AR0(03ED8h) ; 7949
+ STH B, 12, *+AR0(03ED9h) ; 7950
+ STH B, 12, *+AR0(03EDAh)% ; 7951
+ STH B, 12, *(03EDBh) ; 7952
+ STH B, 12, *AR1 ; 7953
+ STH B, 12, *AR1- ; 7954
+ STH B, 12, *AR1+ ; 7955
+ STH B, 12, *+AR1 ; 7956
+ STH B, 12, *AR1-0B ; 7957
+ STH B, 12, *AR1-0 ; 7958
+ STH B, 12, *AR1+0 ; 7959
+ STH B, 12, *AR1+0B ; 7960
+ STH B, 12, *AR1-% ; 7961
+ STH B, 12, *AR1-0% ; 7962
+ STH B, 12, *AR1+% ; 7963
+ STH B, 12, *AR1+0% ; 7964
+ STH B, 12, *AR1(03EDCh) ; 7965
+ STH B, 12, *+AR1(03EDDh) ; 7966
+ STH B, 12, *+AR1(03EDEh)% ; 7967
+ STH B, 12, *(03EDFh) ; 7968
+ STH B, 12, *AR2 ; 7969
+ STH B, 12, *AR2- ; 7970
+ STH B, 12, *AR2+ ; 7971
+ STH B, 12, *+AR2 ; 7972
+ STH B, 12, *AR2-0B ; 7973
+ STH B, 12, *AR2-0 ; 7974
+ STH B, 12, *AR2+0 ; 7975
+ STH B, 12, *AR2+0B ; 7976
+ STH B, 12, *AR2-% ; 7977
+ STH B, 12, *AR2-0% ; 7978
+ STH B, 12, *AR2+% ; 7979
+ STH B, 12, *AR2+0% ; 7980
+ STH B, 12, *AR2(03EE0h) ; 7981
+ STH B, 12, *+AR2(03EE1h) ; 7982
+ STH B, 12, *+AR2(03EE2h)% ; 7983
+ STH B, 12, *(03EE3h) ; 7984
+ STH B, 12, *AR3 ; 7985
+ STH B, 12, *AR3- ; 7986
+ STH B, 12, *AR3+ ; 7987
+ STH B, 12, *+AR3 ; 7988
+ STH B, 12, *AR3-0B ; 7989
+ STH B, 12, *AR3-0 ; 7990
+ STH B, 12, *AR3+0 ; 7991
+ STH B, 12, *AR3+0B ; 7992
+ STH B, 12, *AR3-% ; 7993
+ STH B, 12, *AR3-0% ; 7994
+ STH B, 12, *AR3+% ; 7995
+ STH B, 12, *AR3+0% ; 7996
+ STH B, 12, *AR3(03EE4h) ; 7997
+ STH B, 12, *+AR3(03EE5h) ; 7998
+ STH B, 12, *+AR3(03EE6h)% ; 7999
+ STH B, 12, *(03EE7h) ; 8000
+ STH B, 12, *AR4 ; 8001
+ STH B, 12, *AR4- ; 8002
+ STH B, 12, *AR4+ ; 8003
+ STH B, 12, *+AR4 ; 8004
+ STH B, 12, *AR4-0B ; 8005
+ STH B, 12, *AR4-0 ; 8006
+ STH B, 12, *AR4+0 ; 8007
+ STH B, 12, *AR4+0B ; 8008
+ STH B, 12, *AR4-% ; 8009
+ STH B, 12, *AR4-0% ; 8010
+ STH B, 12, *AR4+% ; 8011
+ STH B, 12, *AR4+0% ; 8012
+ STH B, 12, *AR4(03EE8h) ; 8013
+ STH B, 12, *+AR4(03EE9h) ; 8014
+ STH B, 12, *+AR4(03EEAh)% ; 8015
+ STH B, 12, *(03EEBh) ; 8016
+ STH B, 12, *AR5 ; 8017
+ STH B, 12, *AR5- ; 8018
+ STH B, 12, *AR5+ ; 8019
+ STH B, 12, *+AR5 ; 8020
+ STH B, 12, *AR5-0B ; 8021
+ STH B, 12, *AR5-0 ; 8022
+ STH B, 12, *AR5+0 ; 8023
+ STH B, 12, *AR5+0B ; 8024
+ STH B, 12, *AR5-% ; 8025
+ STH B, 12, *AR5-0% ; 8026
+ STH B, 12, *AR5+% ; 8027
+ STH B, 12, *AR5+0% ; 8028
+ STH B, 12, *AR5(03EECh) ; 8029
+ STH B, 12, *+AR5(03EEDh) ; 8030
+ STH B, 12, *+AR5(03EEEh)% ; 8031
+ STH B, 12, *(03EEFh) ; 8032
+ STH B, 12, *AR6 ; 8033
+ STH B, 12, *AR6- ; 8034
+ STH B, 12, *AR6+ ; 8035
+ STH B, 12, *+AR6 ; 8036
+ STH B, 12, *AR6-0B ; 8037
+ STH B, 12, *AR6-0 ; 8038
+ STH B, 12, *AR6+0 ; 8039
+ STH B, 12, *AR6+0B ; 8040
+ STH B, 12, *AR6-% ; 8041
+ STH B, 12, *AR6-0% ; 8042
+ STH B, 12, *AR6+% ; 8043
+ STH B, 12, *AR6+0% ; 8044
+ STH B, 12, *AR6(03EF0h) ; 8045
+ STH B, 12, *+AR6(03EF1h) ; 8046
+ STH B, 12, *+AR6(03EF2h)% ; 8047
+ STH B, 12, *(03EF3h) ; 8048
+ STH B, 12, *AR7 ; 8049
+ STH B, 12, *AR7- ; 8050
+ STH B, 12, *AR7+ ; 8051
+ STH B, 12, *+AR7 ; 8052
+ STH B, 12, *AR7-0B ; 8053
+ STH B, 12, *AR7-0 ; 8054
+ STH B, 12, *AR7+0 ; 8055
+ STH B, 12, *AR7+0B ; 8056
+ STH B, 12, *AR7-% ; 8057
+ STH B, 12, *AR7-0% ; 8058
+ STH B, 12, *AR7+% ; 8059
+ STH B, 12, *AR7+0% ; 8060
+ STH B, 12, *AR7(03EF4h) ; 8061
+ STH B, 12, *+AR7(03EF5h) ; 8062
+ STH B, 12, *+AR7(03EF6h)% ; 8063
+ STH B, 12, *(03EF7h) ; 8064
+ STH B, 13, *AR0 ; 8065
+ STH B, 13, *AR0- ; 8066
+ STH B, 13, *AR0+ ; 8067
+ STH B, 13, *+AR0 ; 8068
+ STH B, 13, *AR0-0B ; 8069
+ STH B, 13, *AR0-0 ; 8070
+ STH B, 13, *AR0+0 ; 8071
+ STH B, 13, *AR0+0B ; 8072
+ STH B, 13, *AR0-% ; 8073
+ STH B, 13, *AR0-0% ; 8074
+ STH B, 13, *AR0+% ; 8075
+ STH B, 13, *AR0+0% ; 8076
+ STH B, 13, *AR0(03EF8h) ; 8077
+ STH B, 13, *+AR0(03EF9h) ; 8078
+ STH B, 13, *+AR0(03EFAh)% ; 8079
+ STH B, 13, *(03EFBh) ; 8080
+ STH B, 13, *AR1 ; 8081
+ STH B, 13, *AR1- ; 8082
+ STH B, 13, *AR1+ ; 8083
+ STH B, 13, *+AR1 ; 8084
+ STH B, 13, *AR1-0B ; 8085
+ STH B, 13, *AR1-0 ; 8086
+ STH B, 13, *AR1+0 ; 8087
+ STH B, 13, *AR1+0B ; 8088
+ STH B, 13, *AR1-% ; 8089
+ STH B, 13, *AR1-0% ; 8090
+ STH B, 13, *AR1+% ; 8091
+ STH B, 13, *AR1+0% ; 8092
+ STH B, 13, *AR1(03EFCh) ; 8093
+ STH B, 13, *+AR1(03EFDh) ; 8094
+ STH B, 13, *+AR1(03EFEh)% ; 8095
+ STH B, 13, *(03EFFh) ; 8096
+ STH B, 13, *AR2 ; 8097
+ STH B, 13, *AR2- ; 8098
+ STH B, 13, *AR2+ ; 8099
+ STH B, 13, *+AR2 ; 8100
+ STH B, 13, *AR2-0B ; 8101
+ STH B, 13, *AR2-0 ; 8102
+ STH B, 13, *AR2+0 ; 8103
+ STH B, 13, *AR2+0B ; 8104
+ STH B, 13, *AR2-% ; 8105
+ STH B, 13, *AR2-0% ; 8106
+ STH B, 13, *AR2+% ; 8107
+ STH B, 13, *AR2+0% ; 8108
+ STH B, 13, *AR2(03F00h) ; 8109
+ STH B, 13, *+AR2(03F01h) ; 8110
+ STH B, 13, *+AR2(03F02h)% ; 8111
+ STH B, 13, *(03F03h) ; 8112
+ STH B, 13, *AR3 ; 8113
+ STH B, 13, *AR3- ; 8114
+ STH B, 13, *AR3+ ; 8115
+ STH B, 13, *+AR3 ; 8116
+ STH B, 13, *AR3-0B ; 8117
+ STH B, 13, *AR3-0 ; 8118
+ STH B, 13, *AR3+0 ; 8119
+ STH B, 13, *AR3+0B ; 8120
+ STH B, 13, *AR3-% ; 8121
+ STH B, 13, *AR3-0% ; 8122
+ STH B, 13, *AR3+% ; 8123
+ STH B, 13, *AR3+0% ; 8124
+ STH B, 13, *AR3(03F04h) ; 8125
+ STH B, 13, *+AR3(03F05h) ; 8126
+ STH B, 13, *+AR3(03F06h)% ; 8127
+ STH B, 13, *(03F07h) ; 8128
+ STH B, 13, *AR4 ; 8129
+ STH B, 13, *AR4- ; 8130
+ STH B, 13, *AR4+ ; 8131
+ STH B, 13, *+AR4 ; 8132
+ STH B, 13, *AR4-0B ; 8133
+ STH B, 13, *AR4-0 ; 8134
+ STH B, 13, *AR4+0 ; 8135
+ STH B, 13, *AR4+0B ; 8136
+ STH B, 13, *AR4-% ; 8137
+ STH B, 13, *AR4-0% ; 8138
+ STH B, 13, *AR4+% ; 8139
+ STH B, 13, *AR4+0% ; 8140
+ STH B, 13, *AR4(03F08h) ; 8141
+ STH B, 13, *+AR4(03F09h) ; 8142
+ STH B, 13, *+AR4(03F0Ah)% ; 8143
+ STH B, 13, *(03F0Bh) ; 8144
+ STH B, 13, *AR5 ; 8145
+ STH B, 13, *AR5- ; 8146
+ STH B, 13, *AR5+ ; 8147
+ STH B, 13, *+AR5 ; 8148
+ STH B, 13, *AR5-0B ; 8149
+ STH B, 13, *AR5-0 ; 8150
+ STH B, 13, *AR5+0 ; 8151
+ STH B, 13, *AR5+0B ; 8152
+ STH B, 13, *AR5-% ; 8153
+ STH B, 13, *AR5-0% ; 8154
+ STH B, 13, *AR5+% ; 8155
+ STH B, 13, *AR5+0% ; 8156
+ STH B, 13, *AR5(03F0Ch) ; 8157
+ STH B, 13, *+AR5(03F0Dh) ; 8158
+ STH B, 13, *+AR5(03F0Eh)% ; 8159
+ STH B, 13, *(03F0Fh) ; 8160
+ STH B, 13, *AR6 ; 8161
+ STH B, 13, *AR6- ; 8162
+ STH B, 13, *AR6+ ; 8163
+ STH B, 13, *+AR6 ; 8164
+ STH B, 13, *AR6-0B ; 8165
+ STH B, 13, *AR6-0 ; 8166
+ STH B, 13, *AR6+0 ; 8167
+ STH B, 13, *AR6+0B ; 8168
+ STH B, 13, *AR6-% ; 8169
+ STH B, 13, *AR6-0% ; 8170
+ STH B, 13, *AR6+% ; 8171
+ STH B, 13, *AR6+0% ; 8172
+ STH B, 13, *AR6(03F10h) ; 8173
+ STH B, 13, *+AR6(03F11h) ; 8174
+ STH B, 13, *+AR6(03F12h)% ; 8175
+ STH B, 13, *(03F13h) ; 8176
+ STH B, 13, *AR7 ; 8177
+ STH B, 13, *AR7- ; 8178
+ STH B, 13, *AR7+ ; 8179
+ STH B, 13, *+AR7 ; 8180
+ STH B, 13, *AR7-0B ; 8181
+ STH B, 13, *AR7-0 ; 8182
+ STH B, 13, *AR7+0 ; 8183
+ STH B, 13, *AR7+0B ; 8184
+ STH B, 13, *AR7-% ; 8185
+ STH B, 13, *AR7-0% ; 8186
+ STH B, 13, *AR7+% ; 8187
+ STH B, 13, *AR7+0% ; 8188
+ STH B, 13, *AR7(03F14h) ; 8189
+ STH B, 13, *+AR7(03F15h) ; 8190
+ STH B, 13, *+AR7(03F16h)% ; 8191
+ STH B, 13, *(03F17h) ; 8192
+ STH B, 14, *AR0 ; 8193
+ STH B, 14, *AR0- ; 8194
+ STH B, 14, *AR0+ ; 8195
+ STH B, 14, *+AR0 ; 8196
+ STH B, 14, *AR0-0B ; 8197
+ STH B, 14, *AR0-0 ; 8198
+ STH B, 14, *AR0+0 ; 8199
+ STH B, 14, *AR0+0B ; 8200
+ STH B, 14, *AR0-% ; 8201
+ STH B, 14, *AR0-0% ; 8202
+ STH B, 14, *AR0+% ; 8203
+ STH B, 14, *AR0+0% ; 8204
+ STH B, 14, *AR0(03F18h) ; 8205
+ STH B, 14, *+AR0(03F19h) ; 8206
+ STH B, 14, *+AR0(03F1Ah)% ; 8207
+ STH B, 14, *(03F1Bh) ; 8208
+ STH B, 14, *AR1 ; 8209
+ STH B, 14, *AR1- ; 8210
+ STH B, 14, *AR1+ ; 8211
+ STH B, 14, *+AR1 ; 8212
+ STH B, 14, *AR1-0B ; 8213
+ STH B, 14, *AR1-0 ; 8214
+ STH B, 14, *AR1+0 ; 8215
+ STH B, 14, *AR1+0B ; 8216
+ STH B, 14, *AR1-% ; 8217
+ STH B, 14, *AR1-0% ; 8218
+ STH B, 14, *AR1+% ; 8219
+ STH B, 14, *AR1+0% ; 8220
+ STH B, 14, *AR1(03F1Ch) ; 8221
+ STH B, 14, *+AR1(03F1Dh) ; 8222
+ STH B, 14, *+AR1(03F1Eh)% ; 8223
+ STH B, 14, *(03F1Fh) ; 8224
+ STH B, 14, *AR2 ; 8225
+ STH B, 14, *AR2- ; 8226
+ STH B, 14, *AR2+ ; 8227
+ STH B, 14, *+AR2 ; 8228
+ STH B, 14, *AR2-0B ; 8229
+ STH B, 14, *AR2-0 ; 8230
+ STH B, 14, *AR2+0 ; 8231
+ STH B, 14, *AR2+0B ; 8232
+ STH B, 14, *AR2-% ; 8233
+ STH B, 14, *AR2-0% ; 8234
+ STH B, 14, *AR2+% ; 8235
+ STH B, 14, *AR2+0% ; 8236
+ STH B, 14, *AR2(03F20h) ; 8237
+ STH B, 14, *+AR2(03F21h) ; 8238
+ STH B, 14, *+AR2(03F22h)% ; 8239
+ STH B, 14, *(03F23h) ; 8240
+ STH B, 14, *AR3 ; 8241
+ STH B, 14, *AR3- ; 8242
+ STH B, 14, *AR3+ ; 8243
+ STH B, 14, *+AR3 ; 8244
+ STH B, 14, *AR3-0B ; 8245
+ STH B, 14, *AR3-0 ; 8246
+ STH B, 14, *AR3+0 ; 8247
+ STH B, 14, *AR3+0B ; 8248
+ STH B, 14, *AR3-% ; 8249
+ STH B, 14, *AR3-0% ; 8250
+ STH B, 14, *AR3+% ; 8251
+ STH B, 14, *AR3+0% ; 8252
+ STH B, 14, *AR3(03F24h) ; 8253
+ STH B, 14, *+AR3(03F25h) ; 8254
+ STH B, 14, *+AR3(03F26h)% ; 8255
+ STH B, 14, *(03F27h) ; 8256
+ STH B, 14, *AR4 ; 8257
+ STH B, 14, *AR4- ; 8258
+ STH B, 14, *AR4+ ; 8259
+ STH B, 14, *+AR4 ; 8260
+ STH B, 14, *AR4-0B ; 8261
+ STH B, 14, *AR4-0 ; 8262
+ STH B, 14, *AR4+0 ; 8263
+ STH B, 14, *AR4+0B ; 8264
+ STH B, 14, *AR4-% ; 8265
+ STH B, 14, *AR4-0% ; 8266
+ STH B, 14, *AR4+% ; 8267
+ STH B, 14, *AR4+0% ; 8268
+ STH B, 14, *AR4(03F28h) ; 8269
+ STH B, 14, *+AR4(03F29h) ; 8270
+ STH B, 14, *+AR4(03F2Ah)% ; 8271
+ STH B, 14, *(03F2Bh) ; 8272
+ STH B, 14, *AR5 ; 8273
+ STH B, 14, *AR5- ; 8274
+ STH B, 14, *AR5+ ; 8275
+ STH B, 14, *+AR5 ; 8276
+ STH B, 14, *AR5-0B ; 8277
+ STH B, 14, *AR5-0 ; 8278
+ STH B, 14, *AR5+0 ; 8279
+ STH B, 14, *AR5+0B ; 8280
+ STH B, 14, *AR5-% ; 8281
+ STH B, 14, *AR5-0% ; 8282
+ STH B, 14, *AR5+% ; 8283
+ STH B, 14, *AR5+0% ; 8284
+ STH B, 14, *AR5(03F2Ch) ; 8285
+ STH B, 14, *+AR5(03F2Dh) ; 8286
+ STH B, 14, *+AR5(03F2Eh)% ; 8287
+ STH B, 14, *(03F2Fh) ; 8288
+ STH B, 14, *AR6 ; 8289
+ STH B, 14, *AR6- ; 8290
+ STH B, 14, *AR6+ ; 8291
+ STH B, 14, *+AR6 ; 8292
+ STH B, 14, *AR6-0B ; 8293
+ STH B, 14, *AR6-0 ; 8294
+ STH B, 14, *AR6+0 ; 8295
+ STH B, 14, *AR6+0B ; 8296
+ STH B, 14, *AR6-% ; 8297
+ STH B, 14, *AR6-0% ; 8298
+ STH B, 14, *AR6+% ; 8299
+ STH B, 14, *AR6+0% ; 8300
+ STH B, 14, *AR6(03F30h) ; 8301
+ STH B, 14, *+AR6(03F31h) ; 8302
+ STH B, 14, *+AR6(03F32h)% ; 8303
+ STH B, 14, *(03F33h) ; 8304
+ STH B, 14, *AR7 ; 8305
+ STH B, 14, *AR7- ; 8306
+ STH B, 14, *AR7+ ; 8307
+ STH B, 14, *+AR7 ; 8308
+ STH B, 14, *AR7-0B ; 8309
+ STH B, 14, *AR7-0 ; 8310
+ STH B, 14, *AR7+0 ; 8311
+ STH B, 14, *AR7+0B ; 8312
+ STH B, 14, *AR7-% ; 8313
+ STH B, 14, *AR7-0% ; 8314
+ STH B, 14, *AR7+% ; 8315
+ STH B, 14, *AR7+0% ; 8316
+ STH B, 14, *AR7(03F34h) ; 8317
+ STH B, 14, *+AR7(03F35h) ; 8318
+ STH B, 14, *+AR7(03F36h)% ; 8319
+ STH B, 14, *(03F37h) ; 8320
+ STH B, 15, *AR0 ; 8321
+ STH B, 15, *AR0- ; 8322
+ STH B, 15, *AR0+ ; 8323
+ STH B, 15, *+AR0 ; 8324
+ STH B, 15, *AR0-0B ; 8325
+ STH B, 15, *AR0-0 ; 8326
+ STH B, 15, *AR0+0 ; 8327
+ STH B, 15, *AR0+0B ; 8328
+ STH B, 15, *AR0-% ; 8329
+ STH B, 15, *AR0-0% ; 8330
+ STH B, 15, *AR0+% ; 8331
+ STH B, 15, *AR0+0% ; 8332
+ STH B, 15, *AR0(03F38h) ; 8333
+ STH B, 15, *+AR0(03F39h) ; 8334
+ STH B, 15, *+AR0(03F3Ah)% ; 8335
+ STH B, 15, *(03F3Bh) ; 8336
+ STH B, 15, *AR1 ; 8337
+ STH B, 15, *AR1- ; 8338
+ STH B, 15, *AR1+ ; 8339
+ STH B, 15, *+AR1 ; 8340
+ STH B, 15, *AR1-0B ; 8341
+ STH B, 15, *AR1-0 ; 8342
+ STH B, 15, *AR1+0 ; 8343
+ STH B, 15, *AR1+0B ; 8344
+ STH B, 15, *AR1-% ; 8345
+ STH B, 15, *AR1-0% ; 8346
+ STH B, 15, *AR1+% ; 8347
+ STH B, 15, *AR1+0% ; 8348
+ STH B, 15, *AR1(03F3Ch) ; 8349
+ STH B, 15, *+AR1(03F3Dh) ; 8350
+ STH B, 15, *+AR1(03F3Eh)% ; 8351
+ STH B, 15, *(03F3Fh) ; 8352
+ STH B, 15, *AR2 ; 8353
+ STH B, 15, *AR2- ; 8354
+ STH B, 15, *AR2+ ; 8355
+ STH B, 15, *+AR2 ; 8356
+ STH B, 15, *AR2-0B ; 8357
+ STH B, 15, *AR2-0 ; 8358
+ STH B, 15, *AR2+0 ; 8359
+ STH B, 15, *AR2+0B ; 8360
+ STH B, 15, *AR2-% ; 8361
+ STH B, 15, *AR2-0% ; 8362
+ STH B, 15, *AR2+% ; 8363
+ STH B, 15, *AR2+0% ; 8364
+ STH B, 15, *AR2(03F40h) ; 8365
+ STH B, 15, *+AR2(03F41h) ; 8366
+ STH B, 15, *+AR2(03F42h)% ; 8367
+ STH B, 15, *(03F43h) ; 8368
+ STH B, 15, *AR3 ; 8369
+ STH B, 15, *AR3- ; 8370
+ STH B, 15, *AR3+ ; 8371
+ STH B, 15, *+AR3 ; 8372
+ STH B, 15, *AR3-0B ; 8373
+ STH B, 15, *AR3-0 ; 8374
+ STH B, 15, *AR3+0 ; 8375
+ STH B, 15, *AR3+0B ; 8376
+ STH B, 15, *AR3-% ; 8377
+ STH B, 15, *AR3-0% ; 8378
+ STH B, 15, *AR3+% ; 8379
+ STH B, 15, *AR3+0% ; 8380
+ STH B, 15, *AR3(03F44h) ; 8381
+ STH B, 15, *+AR3(03F45h) ; 8382
+ STH B, 15, *+AR3(03F46h)% ; 8383
+ STH B, 15, *(03F47h) ; 8384
+ STH B, 15, *AR4 ; 8385
+ STH B, 15, *AR4- ; 8386
+ STH B, 15, *AR4+ ; 8387
+ STH B, 15, *+AR4 ; 8388
+ STH B, 15, *AR4-0B ; 8389
+ STH B, 15, *AR4-0 ; 8390
+ STH B, 15, *AR4+0 ; 8391
+ STH B, 15, *AR4+0B ; 8392
+ STH B, 15, *AR4-% ; 8393
+ STH B, 15, *AR4-0% ; 8394
+ STH B, 15, *AR4+% ; 8395
+ STH B, 15, *AR4+0% ; 8396
+ STH B, 15, *AR4(03F48h) ; 8397
+ STH B, 15, *+AR4(03F49h) ; 8398
+ STH B, 15, *+AR4(03F4Ah)% ; 8399
+ STH B, 15, *(03F4Bh) ; 8400
+ STH B, 15, *AR5 ; 8401
+ STH B, 15, *AR5- ; 8402
+ STH B, 15, *AR5+ ; 8403
+ STH B, 15, *+AR5 ; 8404
+ STH B, 15, *AR5-0B ; 8405
+ STH B, 15, *AR5-0 ; 8406
+ STH B, 15, *AR5+0 ; 8407
+ STH B, 15, *AR5+0B ; 8408
+ STH B, 15, *AR5-% ; 8409
+ STH B, 15, *AR5-0% ; 8410
+ STH B, 15, *AR5+% ; 8411
+ STH B, 15, *AR5+0% ; 8412
+ STH B, 15, *AR5(03F4Ch) ; 8413
+ STH B, 15, *+AR5(03F4Dh) ; 8414
+ STH B, 15, *+AR5(03F4Eh)% ; 8415
+ STH B, 15, *(03F4Fh) ; 8416
+ STH B, 15, *AR6 ; 8417
+ STH B, 15, *AR6- ; 8418
+ STH B, 15, *AR6+ ; 8419
+ STH B, 15, *+AR6 ; 8420
+ STH B, 15, *AR6-0B ; 8421
+ STH B, 15, *AR6-0 ; 8422
+ STH B, 15, *AR6+0 ; 8423
+ STH B, 15, *AR6+0B ; 8424
+ STH B, 15, *AR6-% ; 8425
+ STH B, 15, *AR6-0% ; 8426
+ STH B, 15, *AR6+% ; 8427
+ STH B, 15, *AR6+0% ; 8428
+ STH B, 15, *AR6(03F50h) ; 8429
+ STH B, 15, *+AR6(03F51h) ; 8430
+ STH B, 15, *+AR6(03F52h)% ; 8431
+ STH B, 15, *(03F53h) ; 8432
+ STH B, 15, *AR7 ; 8433
+ STH B, 15, *AR7- ; 8434
+ STH B, 15, *AR7+ ; 8435
+ STH B, 15, *+AR7 ; 8436
+ STH B, 15, *AR7-0B ; 8437
+ STH B, 15, *AR7-0 ; 8438
+ STH B, 15, *AR7+0 ; 8439
+ STH B, 15, *AR7+0B ; 8440
+ STH B, 15, *AR7-% ; 8441
+ STH B, 15, *AR7-0% ; 8442
+ STH B, 15, *AR7+% ; 8443
+ STH B, 15, *AR7+0% ; 8444
+ STH B, 15, *AR7(03F54h) ; 8445
+ STH B, 15, *+AR7(03F55h) ; 8446
+ STH B, 15, *+AR7(03F56h)% ; 8447
+ STH B, 15, *(03F57h) ; 8448
+ ; STHsmem_I : STH AB, Smem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STH A, *AR0 ; 1
+ STH A, *AR0- ; 2
+ STH A, *AR0+ ; 3
+ STH A, *+AR0 ; 4
+ STH A, *AR0-0B ; 5
+ STH A, *AR0-0 ; 6
+ STH A, *AR0+0 ; 7
+ STH A, *AR0+0B ; 8
+ STH A, *AR0-% ; 9
+ STH A, *AR0-0% ; 10
+ STH A, *AR0+% ; 11
+ STH A, *AR0+0% ; 12
+ STH A, *AR0(03F58h) ; 13
+ STH A, *+AR0(03F59h) ; 14
+ STH A, *+AR0(03F5Ah)% ; 15
+ STH A, *(03F5Bh) ; 16
+ STH A, *AR1 ; 17
+ STH A, *AR1- ; 18
+ STH A, *AR1+ ; 19
+ STH A, *+AR1 ; 20
+ STH A, *AR1-0B ; 21
+ STH A, *AR1-0 ; 22
+ STH A, *AR1+0 ; 23
+ STH A, *AR1+0B ; 24
+ STH A, *AR1-% ; 25
+ STH A, *AR1-0% ; 26
+ STH A, *AR1+% ; 27
+ STH A, *AR1+0% ; 28
+ STH A, *AR1(03F5Ch) ; 29
+ STH A, *+AR1(03F5Dh) ; 30
+ STH A, *+AR1(03F5Eh)% ; 31
+ STH A, *(03F5Fh) ; 32
+ STH A, *AR2 ; 33
+ STH A, *AR2- ; 34
+ STH A, *AR2+ ; 35
+ STH A, *+AR2 ; 36
+ STH A, *AR2-0B ; 37
+ STH A, *AR2-0 ; 38
+ STH A, *AR2+0 ; 39
+ STH A, *AR2+0B ; 40
+ STH A, *AR2-% ; 41
+ STH A, *AR2-0% ; 42
+ STH A, *AR2+% ; 43
+ STH A, *AR2+0% ; 44
+ STH A, *AR2(03F60h) ; 45
+ STH A, *+AR2(03F61h) ; 46
+ STH A, *+AR2(03F62h)% ; 47
+ STH A, *(03F63h) ; 48
+ STH A, *AR3 ; 49
+ STH A, *AR3- ; 50
+ STH A, *AR3+ ; 51
+ STH A, *+AR3 ; 52
+ STH A, *AR3-0B ; 53
+ STH A, *AR3-0 ; 54
+ STH A, *AR3+0 ; 55
+ STH A, *AR3+0B ; 56
+ STH A, *AR3-% ; 57
+ STH A, *AR3-0% ; 58
+ STH A, *AR3+% ; 59
+ STH A, *AR3+0% ; 60
+ STH A, *AR3(03F64h) ; 61
+ STH A, *+AR3(03F65h) ; 62
+ STH A, *+AR3(03F66h)% ; 63
+ STH A, *(03F67h) ; 64
+ STH A, *AR4 ; 65
+ STH A, *AR4- ; 66
+ STH A, *AR4+ ; 67
+ STH A, *+AR4 ; 68
+ STH A, *AR4-0B ; 69
+ STH A, *AR4-0 ; 70
+ STH A, *AR4+0 ; 71
+ STH A, *AR4+0B ; 72
+ STH A, *AR4-% ; 73
+ STH A, *AR4-0% ; 74
+ STH A, *AR4+% ; 75
+ STH A, *AR4+0% ; 76
+ STH A, *AR4(03F68h) ; 77
+ STH A, *+AR4(03F69h) ; 78
+ STH A, *+AR4(03F6Ah)% ; 79
+ STH A, *(03F6Bh) ; 80
+ STH A, *AR5 ; 81
+ STH A, *AR5- ; 82
+ STH A, *AR5+ ; 83
+ STH A, *+AR5 ; 84
+ STH A, *AR5-0B ; 85
+ STH A, *AR5-0 ; 86
+ STH A, *AR5+0 ; 87
+ STH A, *AR5+0B ; 88
+ STH A, *AR5-% ; 89
+ STH A, *AR5-0% ; 90
+ STH A, *AR5+% ; 91
+ STH A, *AR5+0% ; 92
+ STH A, *AR5(03F6Ch) ; 93
+ STH A, *+AR5(03F6Dh) ; 94
+ STH A, *+AR5(03F6Eh)% ; 95
+ STH A, *(03F6Fh) ; 96
+ STH A, *AR6 ; 97
+ STH A, *AR6- ; 98
+ STH A, *AR6+ ; 99
+ STH A, *+AR6 ; 100
+ STH A, *AR6-0B ; 101
+ STH A, *AR6-0 ; 102
+ STH A, *AR6+0 ; 103
+ STH A, *AR6+0B ; 104
+ STH A, *AR6-% ; 105
+ STH A, *AR6-0% ; 106
+ STH A, *AR6+% ; 107
+ STH A, *AR6+0% ; 108
+ STH A, *AR6(03F70h) ; 109
+ STH A, *+AR6(03F71h) ; 110
+ STH A, *+AR6(03F72h)% ; 111
+ STH A, *(03F73h) ; 112
+ STH A, *AR7 ; 113
+ STH A, *AR7- ; 114
+ STH A, *AR7+ ; 115
+ STH A, *+AR7 ; 116
+ STH A, *AR7-0B ; 117
+ STH A, *AR7-0 ; 118
+ STH A, *AR7+0 ; 119
+ STH A, *AR7+0B ; 120
+ STH A, *AR7-% ; 121
+ STH A, *AR7-0% ; 122
+ STH A, *AR7+% ; 123
+ STH A, *AR7+0% ; 124
+ STH A, *AR7(03F74h) ; 125
+ STH A, *+AR7(03F75h) ; 126
+ STH A, *+AR7(03F76h)% ; 127
+ STH A, *(03F77h) ; 128
+ STH B, *AR0 ; 129
+ STH B, *AR0- ; 130
+ STH B, *AR0+ ; 131
+ STH B, *+AR0 ; 132
+ STH B, *AR0-0B ; 133
+ STH B, *AR0-0 ; 134
+ STH B, *AR0+0 ; 135
+ STH B, *AR0+0B ; 136
+ STH B, *AR0-% ; 137
+ STH B, *AR0-0% ; 138
+ STH B, *AR0+% ; 139
+ STH B, *AR0+0% ; 140
+ STH B, *AR0(03F78h) ; 141
+ STH B, *+AR0(03F79h) ; 142
+ STH B, *+AR0(03F7Ah)% ; 143
+ STH B, *(03F7Bh) ; 144
+ STH B, *AR1 ; 145
+ STH B, *AR1- ; 146
+ STH B, *AR1+ ; 147
+ STH B, *+AR1 ; 148
+ STH B, *AR1-0B ; 149
+ STH B, *AR1-0 ; 150
+ STH B, *AR1+0 ; 151
+ STH B, *AR1+0B ; 152
+ STH B, *AR1-% ; 153
+ STH B, *AR1-0% ; 154
+ STH B, *AR1+% ; 155
+ STH B, *AR1+0% ; 156
+ STH B, *AR1(03F7Ch) ; 157
+ STH B, *+AR1(03F7Dh) ; 158
+ STH B, *+AR1(03F7Eh)% ; 159
+ STH B, *(03F7Fh) ; 160
+ STH B, *AR2 ; 161
+ STH B, *AR2- ; 162
+ STH B, *AR2+ ; 163
+ STH B, *+AR2 ; 164
+ STH B, *AR2-0B ; 165
+ STH B, *AR2-0 ; 166
+ STH B, *AR2+0 ; 167
+ STH B, *AR2+0B ; 168
+ STH B, *AR2-% ; 169
+ STH B, *AR2-0% ; 170
+ STH B, *AR2+% ; 171
+ STH B, *AR2+0% ; 172
+ STH B, *AR2(03F80h) ; 173
+ STH B, *+AR2(03F81h) ; 174
+ STH B, *+AR2(03F82h)% ; 175
+ STH B, *(03F83h) ; 176
+ STH B, *AR3 ; 177
+ STH B, *AR3- ; 178
+ STH B, *AR3+ ; 179
+ STH B, *+AR3 ; 180
+ STH B, *AR3-0B ; 181
+ STH B, *AR3-0 ; 182
+ STH B, *AR3+0 ; 183
+ STH B, *AR3+0B ; 184
+ STH B, *AR3-% ; 185
+ STH B, *AR3-0% ; 186
+ STH B, *AR3+% ; 187
+ STH B, *AR3+0% ; 188
+ STH B, *AR3(03F84h) ; 189
+ STH B, *+AR3(03F85h) ; 190
+ STH B, *+AR3(03F86h)% ; 191
+ STH B, *(03F87h) ; 192
+ STH B, *AR4 ; 193
+ STH B, *AR4- ; 194
+ STH B, *AR4+ ; 195
+ STH B, *+AR4 ; 196
+ STH B, *AR4-0B ; 197
+ STH B, *AR4-0 ; 198
+ STH B, *AR4+0 ; 199
+ STH B, *AR4+0B ; 200
+ STH B, *AR4-% ; 201
+ STH B, *AR4-0% ; 202
+ STH B, *AR4+% ; 203
+ STH B, *AR4+0% ; 204
+ STH B, *AR4(03F88h) ; 205
+ STH B, *+AR4(03F89h) ; 206
+ STH B, *+AR4(03F8Ah)% ; 207
+ STH B, *(03F8Bh) ; 208
+ STH B, *AR5 ; 209
+ STH B, *AR5- ; 210
+ STH B, *AR5+ ; 211
+ STH B, *+AR5 ; 212
+ STH B, *AR5-0B ; 213
+ STH B, *AR5-0 ; 214
+ STH B, *AR5+0 ; 215
+ STH B, *AR5+0B ; 216
+ STH B, *AR5-% ; 217
+ STH B, *AR5-0% ; 218
+ STH B, *AR5+% ; 219
+ STH B, *AR5+0% ; 220
+ STH B, *AR5(03F8Ch) ; 221
+ STH B, *+AR5(03F8Dh) ; 222
+ STH B, *+AR5(03F8Eh)% ; 223
+ STH B, *(03F8Fh) ; 224
+ STH B, *AR6 ; 225
+ STH B, *AR6- ; 226
+ STH B, *AR6+ ; 227
+ STH B, *+AR6 ; 228
+ STH B, *AR6-0B ; 229
+ STH B, *AR6-0 ; 230
+ STH B, *AR6+0 ; 231
+ STH B, *AR6+0B ; 232
+ STH B, *AR6-% ; 233
+ STH B, *AR6-0% ; 234
+ STH B, *AR6+% ; 235
+ STH B, *AR6+0% ; 236
+ STH B, *AR6(03F90h) ; 237
+ STH B, *+AR6(03F91h) ; 238
+ STH B, *+AR6(03F92h)% ; 239
+ STH B, *(03F93h) ; 240
+ STH B, *AR7 ; 241
+ STH B, *AR7- ; 242
+ STH B, *AR7+ ; 243
+ STH B, *+AR7 ; 244
+ STH B, *AR7-0B ; 245
+ STH B, *AR7-0 ; 246
+ STH B, *AR7+0 ; 247
+ STH B, *AR7+0B ; 248
+ STH B, *AR7-% ; 249
+ STH B, *AR7-0% ; 250
+ STH B, *AR7+% ; 251
+ STH B, *AR7+0% ; 252
+ STH B, *AR7(03F94h) ; 253
+ STH B, *+AR7(03F95h) ; 254
+ STH B, *+AR7(03F96h)% ; 255
+ STH B, *(03F97h) ; 256
+ ; STHxmShift : STH AB, SHFT, Xmem : 512
+ STH A, 0, *AR2 ; 1
+ STH A, 0, *AR2- ; 2
+ STH A, 0, *AR2+ ; 3
+ STH A, 0, *AR2+0% ; 4
+ STH A, 0, *AR3 ; 5
+ STH A, 0, *AR3- ; 6
+ STH A, 0, *AR3+ ; 7
+ STH A, 0, *AR3+0% ; 8
+ STH A, 0, *AR4 ; 9
+ STH A, 0, *AR4- ; 10
+ STH A, 0, *AR4+ ; 11
+ STH A, 0, *AR4+0% ; 12
+ STH A, 0, *AR5 ; 13
+ STH A, 0, *AR5- ; 14
+ STH A, 0, *AR5+ ; 15
+ STH A, 0, *AR5+0% ; 16
+ STH A, 1, *AR2 ; 17
+ STH A, 1, *AR2- ; 18
+ STH A, 1, *AR2+ ; 19
+ STH A, 1, *AR2+0% ; 20
+ STH A, 1, *AR3 ; 21
+ STH A, 1, *AR3- ; 22
+ STH A, 1, *AR3+ ; 23
+ STH A, 1, *AR3+0% ; 24
+ STH A, 1, *AR4 ; 25
+ STH A, 1, *AR4- ; 26
+ STH A, 1, *AR4+ ; 27
+ STH A, 1, *AR4+0% ; 28
+ STH A, 1, *AR5 ; 29
+ STH A, 1, *AR5- ; 30
+ STH A, 1, *AR5+ ; 31
+ STH A, 1, *AR5+0% ; 32
+ STH A, 2, *AR2 ; 33
+ STH A, 2, *AR2- ; 34
+ STH A, 2, *AR2+ ; 35
+ STH A, 2, *AR2+0% ; 36
+ STH A, 2, *AR3 ; 37
+ STH A, 2, *AR3- ; 38
+ STH A, 2, *AR3+ ; 39
+ STH A, 2, *AR3+0% ; 40
+ STH A, 2, *AR4 ; 41
+ STH A, 2, *AR4- ; 42
+ STH A, 2, *AR4+ ; 43
+ STH A, 2, *AR4+0% ; 44
+ STH A, 2, *AR5 ; 45
+ STH A, 2, *AR5- ; 46
+ STH A, 2, *AR5+ ; 47
+ STH A, 2, *AR5+0% ; 48
+ STH A, 3, *AR2 ; 49
+ STH A, 3, *AR2- ; 50
+ STH A, 3, *AR2+ ; 51
+ STH A, 3, *AR2+0% ; 52
+ STH A, 3, *AR3 ; 53
+ STH A, 3, *AR3- ; 54
+ STH A, 3, *AR3+ ; 55
+ STH A, 3, *AR3+0% ; 56
+ STH A, 3, *AR4 ; 57
+ STH A, 3, *AR4- ; 58
+ STH A, 3, *AR4+ ; 59
+ STH A, 3, *AR4+0% ; 60
+ STH A, 3, *AR5 ; 61
+ STH A, 3, *AR5- ; 62
+ STH A, 3, *AR5+ ; 63
+ STH A, 3, *AR5+0% ; 64
+ STH A, 4, *AR2 ; 65
+ STH A, 4, *AR2- ; 66
+ STH A, 4, *AR2+ ; 67
+ STH A, 4, *AR2+0% ; 68
+ STH A, 4, *AR3 ; 69
+ STH A, 4, *AR3- ; 70
+ STH A, 4, *AR3+ ; 71
+ STH A, 4, *AR3+0% ; 72
+ STH A, 4, *AR4 ; 73
+ STH A, 4, *AR4- ; 74
+ STH A, 4, *AR4+ ; 75
+ STH A, 4, *AR4+0% ; 76
+ STH A, 4, *AR5 ; 77
+ STH A, 4, *AR5- ; 78
+ STH A, 4, *AR5+ ; 79
+ STH A, 4, *AR5+0% ; 80
+ STH A, 5, *AR2 ; 81
+ STH A, 5, *AR2- ; 82
+ STH A, 5, *AR2+ ; 83
+ STH A, 5, *AR2+0% ; 84
+ STH A, 5, *AR3 ; 85
+ STH A, 5, *AR3- ; 86
+ STH A, 5, *AR3+ ; 87
+ STH A, 5, *AR3+0% ; 88
+ STH A, 5, *AR4 ; 89
+ STH A, 5, *AR4- ; 90
+ STH A, 5, *AR4+ ; 91
+ STH A, 5, *AR4+0% ; 92
+ STH A, 5, *AR5 ; 93
+ STH A, 5, *AR5- ; 94
+ STH A, 5, *AR5+ ; 95
+ STH A, 5, *AR5+0% ; 96
+ STH A, 6, *AR2 ; 97
+ STH A, 6, *AR2- ; 98
+ STH A, 6, *AR2+ ; 99
+ STH A, 6, *AR2+0% ; 100
+ STH A, 6, *AR3 ; 101
+ STH A, 6, *AR3- ; 102
+ STH A, 6, *AR3+ ; 103
+ STH A, 6, *AR3+0% ; 104
+ STH A, 6, *AR4 ; 105
+ STH A, 6, *AR4- ; 106
+ STH A, 6, *AR4+ ; 107
+ STH A, 6, *AR4+0% ; 108
+ STH A, 6, *AR5 ; 109
+ STH A, 6, *AR5- ; 110
+ STH A, 6, *AR5+ ; 111
+ STH A, 6, *AR5+0% ; 112
+ STH A, 7, *AR2 ; 113
+ STH A, 7, *AR2- ; 114
+ STH A, 7, *AR2+ ; 115
+ STH A, 7, *AR2+0% ; 116
+ STH A, 7, *AR3 ; 117
+ STH A, 7, *AR3- ; 118
+ STH A, 7, *AR3+ ; 119
+ STH A, 7, *AR3+0% ; 120
+ STH A, 7, *AR4 ; 121
+ STH A, 7, *AR4- ; 122
+ STH A, 7, *AR4+ ; 123
+ STH A, 7, *AR4+0% ; 124
+ STH A, 7, *AR5 ; 125
+ STH A, 7, *AR5- ; 126
+ STH A, 7, *AR5+ ; 127
+ STH A, 7, *AR5+0% ; 128
+ STH A, 8, *AR2 ; 129
+ STH A, 8, *AR2- ; 130
+ STH A, 8, *AR2+ ; 131
+ STH A, 8, *AR2+0% ; 132
+ STH A, 8, *AR3 ; 133
+ STH A, 8, *AR3- ; 134
+ STH A, 8, *AR3+ ; 135
+ STH A, 8, *AR3+0% ; 136
+ STH A, 8, *AR4 ; 137
+ STH A, 8, *AR4- ; 138
+ STH A, 8, *AR4+ ; 139
+ STH A, 8, *AR4+0% ; 140
+ STH A, 8, *AR5 ; 141
+ STH A, 8, *AR5- ; 142
+ STH A, 8, *AR5+ ; 143
+ STH A, 8, *AR5+0% ; 144
+ STH A, 9, *AR2 ; 145
+ STH A, 9, *AR2- ; 146
+ STH A, 9, *AR2+ ; 147
+ STH A, 9, *AR2+0% ; 148
+ STH A, 9, *AR3 ; 149
+ STH A, 9, *AR3- ; 150
+ STH A, 9, *AR3+ ; 151
+ STH A, 9, *AR3+0% ; 152
+ STH A, 9, *AR4 ; 153
+ STH A, 9, *AR4- ; 154
+ STH A, 9, *AR4+ ; 155
+ STH A, 9, *AR4+0% ; 156
+ STH A, 9, *AR5 ; 157
+ STH A, 9, *AR5- ; 158
+ STH A, 9, *AR5+ ; 159
+ STH A, 9, *AR5+0% ; 160
+ STH A, 10, *AR2 ; 161
+ STH A, 10, *AR2- ; 162
+ STH A, 10, *AR2+ ; 163
+ STH A, 10, *AR2+0% ; 164
+ STH A, 10, *AR3 ; 165
+ STH A, 10, *AR3- ; 166
+ STH A, 10, *AR3+ ; 167
+ STH A, 10, *AR3+0% ; 168
+ STH A, 10, *AR4 ; 169
+ STH A, 10, *AR4- ; 170
+ STH A, 10, *AR4+ ; 171
+ STH A, 10, *AR4+0% ; 172
+ STH A, 10, *AR5 ; 173
+ STH A, 10, *AR5- ; 174
+ STH A, 10, *AR5+ ; 175
+ STH A, 10, *AR5+0% ; 176
+ STH A, 11, *AR2 ; 177
+ STH A, 11, *AR2- ; 178
+ STH A, 11, *AR2+ ; 179
+ STH A, 11, *AR2+0% ; 180
+ STH A, 11, *AR3 ; 181
+ STH A, 11, *AR3- ; 182
+ STH A, 11, *AR3+ ; 183
+ STH A, 11, *AR3+0% ; 184
+ STH A, 11, *AR4 ; 185
+ STH A, 11, *AR4- ; 186
+ STH A, 11, *AR4+ ; 187
+ STH A, 11, *AR4+0% ; 188
+ STH A, 11, *AR5 ; 189
+ STH A, 11, *AR5- ; 190
+ STH A, 11, *AR5+ ; 191
+ STH A, 11, *AR5+0% ; 192
+ STH A, 12, *AR2 ; 193
+ STH A, 12, *AR2- ; 194
+ STH A, 12, *AR2+ ; 195
+ STH A, 12, *AR2+0% ; 196
+ STH A, 12, *AR3 ; 197
+ STH A, 12, *AR3- ; 198
+ STH A, 12, *AR3+ ; 199
+ STH A, 12, *AR3+0% ; 200
+ STH A, 12, *AR4 ; 201
+ STH A, 12, *AR4- ; 202
+ STH A, 12, *AR4+ ; 203
+ STH A, 12, *AR4+0% ; 204
+ STH A, 12, *AR5 ; 205
+ STH A, 12, *AR5- ; 206
+ STH A, 12, *AR5+ ; 207
+ STH A, 12, *AR5+0% ; 208
+ STH A, 13, *AR2 ; 209
+ STH A, 13, *AR2- ; 210
+ STH A, 13, *AR2+ ; 211
+ STH A, 13, *AR2+0% ; 212
+ STH A, 13, *AR3 ; 213
+ STH A, 13, *AR3- ; 214
+ STH A, 13, *AR3+ ; 215
+ STH A, 13, *AR3+0% ; 216
+ STH A, 13, *AR4 ; 217
+ STH A, 13, *AR4- ; 218
+ STH A, 13, *AR4+ ; 219
+ STH A, 13, *AR4+0% ; 220
+ STH A, 13, *AR5 ; 221
+ STH A, 13, *AR5- ; 222
+ STH A, 13, *AR5+ ; 223
+ STH A, 13, *AR5+0% ; 224
+ STH A, 14, *AR2 ; 225
+ STH A, 14, *AR2- ; 226
+ STH A, 14, *AR2+ ; 227
+ STH A, 14, *AR2+0% ; 228
+ STH A, 14, *AR3 ; 229
+ STH A, 14, *AR3- ; 230
+ STH A, 14, *AR3+ ; 231
+ STH A, 14, *AR3+0% ; 232
+ STH A, 14, *AR4 ; 233
+ STH A, 14, *AR4- ; 234
+ STH A, 14, *AR4+ ; 235
+ STH A, 14, *AR4+0% ; 236
+ STH A, 14, *AR5 ; 237
+ STH A, 14, *AR5- ; 238
+ STH A, 14, *AR5+ ; 239
+ STH A, 14, *AR5+0% ; 240
+ STH A, 15, *AR2 ; 241
+ STH A, 15, *AR2- ; 242
+ STH A, 15, *AR2+ ; 243
+ STH A, 15, *AR2+0% ; 244
+ STH A, 15, *AR3 ; 245
+ STH A, 15, *AR3- ; 246
+ STH A, 15, *AR3+ ; 247
+ STH A, 15, *AR3+0% ; 248
+ STH A, 15, *AR4 ; 249
+ STH A, 15, *AR4- ; 250
+ STH A, 15, *AR4+ ; 251
+ STH A, 15, *AR4+0% ; 252
+ STH A, 15, *AR5 ; 253
+ STH A, 15, *AR5- ; 254
+ STH A, 15, *AR5+ ; 255
+ STH A, 15, *AR5+0% ; 256
+ STH B, 0, *AR2 ; 257
+ STH B, 0, *AR2- ; 258
+ STH B, 0, *AR2+ ; 259
+ STH B, 0, *AR2+0% ; 260
+ STH B, 0, *AR3 ; 261
+ STH B, 0, *AR3- ; 262
+ STH B, 0, *AR3+ ; 263
+ STH B, 0, *AR3+0% ; 264
+ STH B, 0, *AR4 ; 265
+ STH B, 0, *AR4- ; 266
+ STH B, 0, *AR4+ ; 267
+ STH B, 0, *AR4+0% ; 268
+ STH B, 0, *AR5 ; 269
+ STH B, 0, *AR5- ; 270
+ STH B, 0, *AR5+ ; 271
+ STH B, 0, *AR5+0% ; 272
+ STH B, 1, *AR2 ; 273
+ STH B, 1, *AR2- ; 274
+ STH B, 1, *AR2+ ; 275
+ STH B, 1, *AR2+0% ; 276
+ STH B, 1, *AR3 ; 277
+ STH B, 1, *AR3- ; 278
+ STH B, 1, *AR3+ ; 279
+ STH B, 1, *AR3+0% ; 280
+ STH B, 1, *AR4 ; 281
+ STH B, 1, *AR4- ; 282
+ STH B, 1, *AR4+ ; 283
+ STH B, 1, *AR4+0% ; 284
+ STH B, 1, *AR5 ; 285
+ STH B, 1, *AR5- ; 286
+ STH B, 1, *AR5+ ; 287
+ STH B, 1, *AR5+0% ; 288
+ STH B, 2, *AR2 ; 289
+ STH B, 2, *AR2- ; 290
+ STH B, 2, *AR2+ ; 291
+ STH B, 2, *AR2+0% ; 292
+ STH B, 2, *AR3 ; 293
+ STH B, 2, *AR3- ; 294
+ STH B, 2, *AR3+ ; 295
+ STH B, 2, *AR3+0% ; 296
+ STH B, 2, *AR4 ; 297
+ STH B, 2, *AR4- ; 298
+ STH B, 2, *AR4+ ; 299
+ STH B, 2, *AR4+0% ; 300
+ STH B, 2, *AR5 ; 301
+ STH B, 2, *AR5- ; 302
+ STH B, 2, *AR5+ ; 303
+ STH B, 2, *AR5+0% ; 304
+ STH B, 3, *AR2 ; 305
+ STH B, 3, *AR2- ; 306
+ STH B, 3, *AR2+ ; 307
+ STH B, 3, *AR2+0% ; 308
+ STH B, 3, *AR3 ; 309
+ STH B, 3, *AR3- ; 310
+ STH B, 3, *AR3+ ; 311
+ STH B, 3, *AR3+0% ; 312
+ STH B, 3, *AR4 ; 313
+ STH B, 3, *AR4- ; 314
+ STH B, 3, *AR4+ ; 315
+ STH B, 3, *AR4+0% ; 316
+ STH B, 3, *AR5 ; 317
+ STH B, 3, *AR5- ; 318
+ STH B, 3, *AR5+ ; 319
+ STH B, 3, *AR5+0% ; 320
+ STH B, 4, *AR2 ; 321
+ STH B, 4, *AR2- ; 322
+ STH B, 4, *AR2+ ; 323
+ STH B, 4, *AR2+0% ; 324
+ STH B, 4, *AR3 ; 325
+ STH B, 4, *AR3- ; 326
+ STH B, 4, *AR3+ ; 327
+ STH B, 4, *AR3+0% ; 328
+ STH B, 4, *AR4 ; 329
+ STH B, 4, *AR4- ; 330
+ STH B, 4, *AR4+ ; 331
+ STH B, 4, *AR4+0% ; 332
+ STH B, 4, *AR5 ; 333
+ STH B, 4, *AR5- ; 334
+ STH B, 4, *AR5+ ; 335
+ STH B, 4, *AR5+0% ; 336
+ STH B, 5, *AR2 ; 337
+ STH B, 5, *AR2- ; 338
+ STH B, 5, *AR2+ ; 339
+ STH B, 5, *AR2+0% ; 340
+ STH B, 5, *AR3 ; 341
+ STH B, 5, *AR3- ; 342
+ STH B, 5, *AR3+ ; 343
+ STH B, 5, *AR3+0% ; 344
+ STH B, 5, *AR4 ; 345
+ STH B, 5, *AR4- ; 346
+ STH B, 5, *AR4+ ; 347
+ STH B, 5, *AR4+0% ; 348
+ STH B, 5, *AR5 ; 349
+ STH B, 5, *AR5- ; 350
+ STH B, 5, *AR5+ ; 351
+ STH B, 5, *AR5+0% ; 352
+ STH B, 6, *AR2 ; 353
+ STH B, 6, *AR2- ; 354
+ STH B, 6, *AR2+ ; 355
+ STH B, 6, *AR2+0% ; 356
+ STH B, 6, *AR3 ; 357
+ STH B, 6, *AR3- ; 358
+ STH B, 6, *AR3+ ; 359
+ STH B, 6, *AR3+0% ; 360
+ STH B, 6, *AR4 ; 361
+ STH B, 6, *AR4- ; 362
+ STH B, 6, *AR4+ ; 363
+ STH B, 6, *AR4+0% ; 364
+ STH B, 6, *AR5 ; 365
+ STH B, 6, *AR5- ; 366
+ STH B, 6, *AR5+ ; 367
+ STH B, 6, *AR5+0% ; 368
+ STH B, 7, *AR2 ; 369
+ STH B, 7, *AR2- ; 370
+ STH B, 7, *AR2+ ; 371
+ STH B, 7, *AR2+0% ; 372
+ STH B, 7, *AR3 ; 373
+ STH B, 7, *AR3- ; 374
+ STH B, 7, *AR3+ ; 375
+ STH B, 7, *AR3+0% ; 376
+ STH B, 7, *AR4 ; 377
+ STH B, 7, *AR4- ; 378
+ STH B, 7, *AR4+ ; 379
+ STH B, 7, *AR4+0% ; 380
+ STH B, 7, *AR5 ; 381
+ STH B, 7, *AR5- ; 382
+ STH B, 7, *AR5+ ; 383
+ STH B, 7, *AR5+0% ; 384
+ STH B, 8, *AR2 ; 385
+ STH B, 8, *AR2- ; 386
+ STH B, 8, *AR2+ ; 387
+ STH B, 8, *AR2+0% ; 388
+ STH B, 8, *AR3 ; 389
+ STH B, 8, *AR3- ; 390
+ STH B, 8, *AR3+ ; 391
+ STH B, 8, *AR3+0% ; 392
+ STH B, 8, *AR4 ; 393
+ STH B, 8, *AR4- ; 394
+ STH B, 8, *AR4+ ; 395
+ STH B, 8, *AR4+0% ; 396
+ STH B, 8, *AR5 ; 397
+ STH B, 8, *AR5- ; 398
+ STH B, 8, *AR5+ ; 399
+ STH B, 8, *AR5+0% ; 400
+ STH B, 9, *AR2 ; 401
+ STH B, 9, *AR2- ; 402
+ STH B, 9, *AR2+ ; 403
+ STH B, 9, *AR2+0% ; 404
+ STH B, 9, *AR3 ; 405
+ STH B, 9, *AR3- ; 406
+ STH B, 9, *AR3+ ; 407
+ STH B, 9, *AR3+0% ; 408
+ STH B, 9, *AR4 ; 409
+ STH B, 9, *AR4- ; 410
+ STH B, 9, *AR4+ ; 411
+ STH B, 9, *AR4+0% ; 412
+ STH B, 9, *AR5 ; 413
+ STH B, 9, *AR5- ; 414
+ STH B, 9, *AR5+ ; 415
+ STH B, 9, *AR5+0% ; 416
+ STH B, 10, *AR2 ; 417
+ STH B, 10, *AR2- ; 418
+ STH B, 10, *AR2+ ; 419
+ STH B, 10, *AR2+0% ; 420
+ STH B, 10, *AR3 ; 421
+ STH B, 10, *AR3- ; 422
+ STH B, 10, *AR3+ ; 423
+ STH B, 10, *AR3+0% ; 424
+ STH B, 10, *AR4 ; 425
+ STH B, 10, *AR4- ; 426
+ STH B, 10, *AR4+ ; 427
+ STH B, 10, *AR4+0% ; 428
+ STH B, 10, *AR5 ; 429
+ STH B, 10, *AR5- ; 430
+ STH B, 10, *AR5+ ; 431
+ STH B, 10, *AR5+0% ; 432
+ STH B, 11, *AR2 ; 433
+ STH B, 11, *AR2- ; 434
+ STH B, 11, *AR2+ ; 435
+ STH B, 11, *AR2+0% ; 436
+ STH B, 11, *AR3 ; 437
+ STH B, 11, *AR3- ; 438
+ STH B, 11, *AR3+ ; 439
+ STH B, 11, *AR3+0% ; 440
+ STH B, 11, *AR4 ; 441
+ STH B, 11, *AR4- ; 442
+ STH B, 11, *AR4+ ; 443
+ STH B, 11, *AR4+0% ; 444
+ STH B, 11, *AR5 ; 445
+ STH B, 11, *AR5- ; 446
+ STH B, 11, *AR5+ ; 447
+ STH B, 11, *AR5+0% ; 448
+ STH B, 12, *AR2 ; 449
+ STH B, 12, *AR2- ; 450
+ STH B, 12, *AR2+ ; 451
+ STH B, 12, *AR2+0% ; 452
+ STH B, 12, *AR3 ; 453
+ STH B, 12, *AR3- ; 454
+ STH B, 12, *AR3+ ; 455
+ STH B, 12, *AR3+0% ; 456
+ STH B, 12, *AR4 ; 457
+ STH B, 12, *AR4- ; 458
+ STH B, 12, *AR4+ ; 459
+ STH B, 12, *AR4+0% ; 460
+ STH B, 12, *AR5 ; 461
+ STH B, 12, *AR5- ; 462
+ STH B, 12, *AR5+ ; 463
+ STH B, 12, *AR5+0% ; 464
+ STH B, 13, *AR2 ; 465
+ STH B, 13, *AR2- ; 466
+ STH B, 13, *AR2+ ; 467
+ STH B, 13, *AR2+0% ; 468
+ STH B, 13, *AR3 ; 469
+ STH B, 13, *AR3- ; 470
+ STH B, 13, *AR3+ ; 471
+ STH B, 13, *AR3+0% ; 472
+ STH B, 13, *AR4 ; 473
+ STH B, 13, *AR4- ; 474
+ STH B, 13, *AR4+ ; 475
+ STH B, 13, *AR4+0% ; 476
+ STH B, 13, *AR5 ; 477
+ STH B, 13, *AR5- ; 478
+ STH B, 13, *AR5+ ; 479
+ STH B, 13, *AR5+0% ; 480
+ STH B, 14, *AR2 ; 481
+ STH B, 14, *AR2- ; 482
+ STH B, 14, *AR2+ ; 483
+ STH B, 14, *AR2+0% ; 484
+ STH B, 14, *AR3 ; 485
+ STH B, 14, *AR3- ; 486
+ STH B, 14, *AR3+ ; 487
+ STH B, 14, *AR3+0% ; 488
+ STH B, 14, *AR4 ; 489
+ STH B, 14, *AR4- ; 490
+ STH B, 14, *AR4+ ; 491
+ STH B, 14, *AR4+0% ; 492
+ STH B, 14, *AR5 ; 493
+ STH B, 14, *AR5- ; 494
+ STH B, 14, *AR5+ ; 495
+ STH B, 14, *AR5+0% ; 496
+ STH B, 15, *AR2 ; 497
+ STH B, 15, *AR2- ; 498
+ STH B, 15, *AR2+ ; 499
+ STH B, 15, *AR2+0% ; 500
+ STH B, 15, *AR3 ; 501
+ STH B, 15, *AR3- ; 502
+ STH B, 15, *AR3+ ; 503
+ STH B, 15, *AR3+0% ; 504
+ STH B, 15, *AR4 ; 505
+ STH B, 15, *AR4- ; 506
+ STH B, 15, *AR4+ ; 507
+ STH B, 15, *AR4+0% ; 508
+ STH B, 15, *AR5 ; 509
+ STH B, 15, *AR5- ; 510
+ STH B, 15, *AR5+ ; 511
+ STH B, 15, *AR5+0% ; 512
+ ; STLM : STLM AB, MMR : 2
+ STLM A, 44h ; 1
+ STLM B, 45h ; 2
+ ; STLM_I : STLM AB, MMR_I : 192
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ STLM A, *AR0 ; 1
+ STLM A, *AR0- ; 2
+ STLM A, *AR0+ ; 3
+ STLM A, *+AR0 ; 4
+ STLM A, *AR0-0B ; 5
+ STLM A, *AR0-0 ; 6
+ STLM A, *AR0+0 ; 7
+ STLM A, *AR0+0B ; 8
+ STLM A, *AR0-% ; 9
+ STLM A, *AR0-0% ; 10
+ STLM A, *AR0+% ; 11
+ STLM A, *AR0+0% ; 12
+ STLM A, *AR1 ; 13
+ STLM A, *AR1- ; 14
+ STLM A, *AR1+ ; 15
+ STLM A, *+AR1 ; 16
+ STLM A, *AR1-0B ; 17
+ STLM A, *AR1-0 ; 18
+ STLM A, *AR1+0 ; 19
+ STLM A, *AR1+0B ; 20
+ STLM A, *AR1-% ; 21
+ STLM A, *AR1-0% ; 22
+ STLM A, *AR1+% ; 23
+ STLM A, *AR1+0% ; 24
+ STLM A, *AR2 ; 25
+ STLM A, *AR2- ; 26
+ STLM A, *AR2+ ; 27
+ STLM A, *+AR2 ; 28
+ STLM A, *AR2-0B ; 29
+ STLM A, *AR2-0 ; 30
+ STLM A, *AR2+0 ; 31
+ STLM A, *AR2+0B ; 32
+ STLM A, *AR2-% ; 33
+ STLM A, *AR2-0% ; 34
+ STLM A, *AR2+% ; 35
+ STLM A, *AR2+0% ; 36
+ STLM A, *AR3 ; 37
+ STLM A, *AR3- ; 38
+ STLM A, *AR3+ ; 39
+ STLM A, *+AR3 ; 40
+ STLM A, *AR3-0B ; 41
+ STLM A, *AR3-0 ; 42
+ STLM A, *AR3+0 ; 43
+ STLM A, *AR3+0B ; 44
+ STLM A, *AR3-% ; 45
+ STLM A, *AR3-0% ; 46
+ STLM A, *AR3+% ; 47
+ STLM A, *AR3+0% ; 48
+ STLM A, *AR4 ; 49
+ STLM A, *AR4- ; 50
+ STLM A, *AR4+ ; 51
+ STLM A, *+AR4 ; 52
+ STLM A, *AR4-0B ; 53
+ STLM A, *AR4-0 ; 54
+ STLM A, *AR4+0 ; 55
+ STLM A, *AR4+0B ; 56
+ STLM A, *AR4-% ; 57
+ STLM A, *AR4-0% ; 58
+ STLM A, *AR4+% ; 59
+ STLM A, *AR4+0% ; 60
+ STLM A, *AR5 ; 61
+ STLM A, *AR5- ; 62
+ STLM A, *AR5+ ; 63
+ STLM A, *+AR5 ; 64
+ STLM A, *AR5-0B ; 65
+ STLM A, *AR5-0 ; 66
+ STLM A, *AR5+0 ; 67
+ STLM A, *AR5+0B ; 68
+ STLM A, *AR5-% ; 69
+ STLM A, *AR5-0% ; 70
+ STLM A, *AR5+% ; 71
+ STLM A, *AR5+0% ; 72
+ STLM A, *AR6 ; 73
+ STLM A, *AR6- ; 74
+ STLM A, *AR6+ ; 75
+ STLM A, *+AR6 ; 76
+ STLM A, *AR6-0B ; 77
+ STLM A, *AR6-0 ; 78
+ STLM A, *AR6+0 ; 79
+ STLM A, *AR6+0B ; 80
+ STLM A, *AR6-% ; 81
+ STLM A, *AR6-0% ; 82
+ STLM A, *AR6+% ; 83
+ STLM A, *AR6+0% ; 84
+ STLM A, *AR7 ; 85
+ STLM A, *AR7- ; 86
+ STLM A, *AR7+ ; 87
+ STLM A, *+AR7 ; 88
+ STLM A, *AR7-0B ; 89
+ STLM A, *AR7-0 ; 90
+ STLM A, *AR7+0 ; 91
+ STLM A, *AR7+0B ; 92
+ STLM A, *AR7-% ; 93
+ STLM A, *AR7-0% ; 94
+ STLM A, *AR7+% ; 95
+ STLM A, *AR7+0% ; 96
+ STLM B, *AR0 ; 97
+ STLM B, *AR0- ; 98
+ STLM B, *AR0+ ; 99
+ STLM B, *+AR0 ; 100
+ STLM B, *AR0-0B ; 101
+ STLM B, *AR0-0 ; 102
+ STLM B, *AR0+0 ; 103
+ STLM B, *AR0+0B ; 104
+ STLM B, *AR0-% ; 105
+ STLM B, *AR0-0% ; 106
+ STLM B, *AR0+% ; 107
+ STLM B, *AR0+0% ; 108
+ STLM B, *AR1 ; 109
+ STLM B, *AR1- ; 110
+ STLM B, *AR1+ ; 111
+ STLM B, *+AR1 ; 112
+ STLM B, *AR1-0B ; 113
+ STLM B, *AR1-0 ; 114
+ STLM B, *AR1+0 ; 115
+ STLM B, *AR1+0B ; 116
+ STLM B, *AR1-% ; 117
+ STLM B, *AR1-0% ; 118
+ STLM B, *AR1+% ; 119
+ STLM B, *AR1+0% ; 120
+ STLM B, *AR2 ; 121
+ STLM B, *AR2- ; 122
+ STLM B, *AR2+ ; 123
+ STLM B, *+AR2 ; 124
+ STLM B, *AR2-0B ; 125
+ STLM B, *AR2-0 ; 126
+ STLM B, *AR2+0 ; 127
+ STLM B, *AR2+0B ; 128
+ STLM B, *AR2-% ; 129
+ STLM B, *AR2-0% ; 130
+ STLM B, *AR2+% ; 131
+ STLM B, *AR2+0% ; 132
+ STLM B, *AR3 ; 133
+ STLM B, *AR3- ; 134
+ STLM B, *AR3+ ; 135
+ STLM B, *+AR3 ; 136
+ STLM B, *AR3-0B ; 137
+ STLM B, *AR3-0 ; 138
+ STLM B, *AR3+0 ; 139
+ STLM B, *AR3+0B ; 140
+ STLM B, *AR3-% ; 141
+ STLM B, *AR3-0% ; 142
+ STLM B, *AR3+% ; 143
+ STLM B, *AR3+0% ; 144
+ STLM B, *AR4 ; 145
+ STLM B, *AR4- ; 146
+ STLM B, *AR4+ ; 147
+ STLM B, *+AR4 ; 148
+ STLM B, *AR4-0B ; 149
+ STLM B, *AR4-0 ; 150
+ STLM B, *AR4+0 ; 151
+ STLM B, *AR4+0B ; 152
+ STLM B, *AR4-% ; 153
+ STLM B, *AR4-0% ; 154
+ STLM B, *AR4+% ; 155
+ STLM B, *AR4+0% ; 156
+ STLM B, *AR5 ; 157
+ STLM B, *AR5- ; 158
+ STLM B, *AR5+ ; 159
+ STLM B, *+AR5 ; 160
+ STLM B, *AR5-0B ; 161
+ STLM B, *AR5-0 ; 162
+ STLM B, *AR5+0 ; 163
+ STLM B, *AR5+0B ; 164
+ STLM B, *AR5-% ; 165
+ STLM B, *AR5-0% ; 166
+ STLM B, *AR5+% ; 167
+ STLM B, *AR5+0% ; 168
+ STLM B, *AR6 ; 169
+ STLM B, *AR6- ; 170
+ STLM B, *AR6+ ; 171
+ STLM B, *+AR6 ; 172
+ STLM B, *AR6-0B ; 173
+ STLM B, *AR6-0 ; 174
+ STLM B, *AR6+0 ; 175
+ STLM B, *AR6+0B ; 176
+ STLM B, *AR6-% ; 177
+ STLM B, *AR6-0% ; 178
+ STLM B, *AR6+% ; 179
+ STLM B, *AR6+0% ; 180
+ STLM B, *AR7 ; 181
+ STLM B, *AR7- ; 182
+ STLM B, *AR7+ ; 183
+ STLM B, *+AR7 ; 184
+ STLM B, *AR7-0B ; 185
+ STLM B, *AR7-0 ; 186
+ STLM B, *AR7+0 ; 187
+ STLM B, *AR7+0B ; 188
+ STLM B, *AR7-% ; 189
+ STLM B, *AR7-0% ; 190
+ STLM B, *AR7+% ; 191
+ STLM B, *AR7+0% ; 192
+ ; STLasm : STL AB, ASM, Smem : 2
+ STL A, ASM, 46h ; 1
+ STL B, ASM, 47h ; 2
+ ; STLasm_I : STL AB, ASM, Smem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STL A, ASM, *AR0 ; 1
+ STL A, ASM, *AR0- ; 2
+ STL A, ASM, *AR0+ ; 3
+ STL A, ASM, *+AR0 ; 4
+ STL A, ASM, *AR0-0B ; 5
+ STL A, ASM, *AR0-0 ; 6
+ STL A, ASM, *AR0+0 ; 7
+ STL A, ASM, *AR0+0B ; 8
+ STL A, ASM, *AR0-% ; 9
+ STL A, ASM, *AR0-0% ; 10
+ STL A, ASM, *AR0+% ; 11
+ STL A, ASM, *AR0+0% ; 12
+ STL A, ASM, *AR0(03F98h) ; 13
+ STL A, ASM, *+AR0(03F99h) ; 14
+ STL A, ASM, *+AR0(03F9Ah)% ; 15
+ STL A, ASM, *(03F9Bh) ; 16
+ STL A, ASM, *AR1 ; 17
+ STL A, ASM, *AR1- ; 18
+ STL A, ASM, *AR1+ ; 19
+ STL A, ASM, *+AR1 ; 20
+ STL A, ASM, *AR1-0B ; 21
+ STL A, ASM, *AR1-0 ; 22
+ STL A, ASM, *AR1+0 ; 23
+ STL A, ASM, *AR1+0B ; 24
+ STL A, ASM, *AR1-% ; 25
+ STL A, ASM, *AR1-0% ; 26
+ STL A, ASM, *AR1+% ; 27
+ STL A, ASM, *AR1+0% ; 28
+ STL A, ASM, *AR1(03F9Ch) ; 29
+ STL A, ASM, *+AR1(03F9Dh) ; 30
+ STL A, ASM, *+AR1(03F9Eh)% ; 31
+ STL A, ASM, *(03F9Fh) ; 32
+ STL A, ASM, *AR2 ; 33
+ STL A, ASM, *AR2- ; 34
+ STL A, ASM, *AR2+ ; 35
+ STL A, ASM, *+AR2 ; 36
+ STL A, ASM, *AR2-0B ; 37
+ STL A, ASM, *AR2-0 ; 38
+ STL A, ASM, *AR2+0 ; 39
+ STL A, ASM, *AR2+0B ; 40
+ STL A, ASM, *AR2-% ; 41
+ STL A, ASM, *AR2-0% ; 42
+ STL A, ASM, *AR2+% ; 43
+ STL A, ASM, *AR2+0% ; 44
+ STL A, ASM, *AR2(03FA0h) ; 45
+ STL A, ASM, *+AR2(03FA1h) ; 46
+ STL A, ASM, *+AR2(03FA2h)% ; 47
+ STL A, ASM, *(03FA3h) ; 48
+ STL A, ASM, *AR3 ; 49
+ STL A, ASM, *AR3- ; 50
+ STL A, ASM, *AR3+ ; 51
+ STL A, ASM, *+AR3 ; 52
+ STL A, ASM, *AR3-0B ; 53
+ STL A, ASM, *AR3-0 ; 54
+ STL A, ASM, *AR3+0 ; 55
+ STL A, ASM, *AR3+0B ; 56
+ STL A, ASM, *AR3-% ; 57
+ STL A, ASM, *AR3-0% ; 58
+ STL A, ASM, *AR3+% ; 59
+ STL A, ASM, *AR3+0% ; 60
+ STL A, ASM, *AR3(03FA4h) ; 61
+ STL A, ASM, *+AR3(03FA5h) ; 62
+ STL A, ASM, *+AR3(03FA6h)% ; 63
+ STL A, ASM, *(03FA7h) ; 64
+ STL A, ASM, *AR4 ; 65
+ STL A, ASM, *AR4- ; 66
+ STL A, ASM, *AR4+ ; 67
+ STL A, ASM, *+AR4 ; 68
+ STL A, ASM, *AR4-0B ; 69
+ STL A, ASM, *AR4-0 ; 70
+ STL A, ASM, *AR4+0 ; 71
+ STL A, ASM, *AR4+0B ; 72
+ STL A, ASM, *AR4-% ; 73
+ STL A, ASM, *AR4-0% ; 74
+ STL A, ASM, *AR4+% ; 75
+ STL A, ASM, *AR4+0% ; 76
+ STL A, ASM, *AR4(03FA8h) ; 77
+ STL A, ASM, *+AR4(03FA9h) ; 78
+ STL A, ASM, *+AR4(03FAAh)% ; 79
+ STL A, ASM, *(03FABh) ; 80
+ STL A, ASM, *AR5 ; 81
+ STL A, ASM, *AR5- ; 82
+ STL A, ASM, *AR5+ ; 83
+ STL A, ASM, *+AR5 ; 84
+ STL A, ASM, *AR5-0B ; 85
+ STL A, ASM, *AR5-0 ; 86
+ STL A, ASM, *AR5+0 ; 87
+ STL A, ASM, *AR5+0B ; 88
+ STL A, ASM, *AR5-% ; 89
+ STL A, ASM, *AR5-0% ; 90
+ STL A, ASM, *AR5+% ; 91
+ STL A, ASM, *AR5+0% ; 92
+ STL A, ASM, *AR5(03FACh) ; 93
+ STL A, ASM, *+AR5(03FADh) ; 94
+ STL A, ASM, *+AR5(03FAEh)% ; 95
+ STL A, ASM, *(03FAFh) ; 96
+ STL A, ASM, *AR6 ; 97
+ STL A, ASM, *AR6- ; 98
+ STL A, ASM, *AR6+ ; 99
+ STL A, ASM, *+AR6 ; 100
+ STL A, ASM, *AR6-0B ; 101
+ STL A, ASM, *AR6-0 ; 102
+ STL A, ASM, *AR6+0 ; 103
+ STL A, ASM, *AR6+0B ; 104
+ STL A, ASM, *AR6-% ; 105
+ STL A, ASM, *AR6-0% ; 106
+ STL A, ASM, *AR6+% ; 107
+ STL A, ASM, *AR6+0% ; 108
+ STL A, ASM, *AR6(03FB0h) ; 109
+ STL A, ASM, *+AR6(03FB1h) ; 110
+ STL A, ASM, *+AR6(03FB2h)% ; 111
+ STL A, ASM, *(03FB3h) ; 112
+ STL A, ASM, *AR7 ; 113
+ STL A, ASM, *AR7- ; 114
+ STL A, ASM, *AR7+ ; 115
+ STL A, ASM, *+AR7 ; 116
+ STL A, ASM, *AR7-0B ; 117
+ STL A, ASM, *AR7-0 ; 118
+ STL A, ASM, *AR7+0 ; 119
+ STL A, ASM, *AR7+0B ; 120
+ STL A, ASM, *AR7-% ; 121
+ STL A, ASM, *AR7-0% ; 122
+ STL A, ASM, *AR7+% ; 123
+ STL A, ASM, *AR7+0% ; 124
+ STL A, ASM, *AR7(03FB4h) ; 125
+ STL A, ASM, *+AR7(03FB5h) ; 126
+ STL A, ASM, *+AR7(03FB6h)% ; 127
+ STL A, ASM, *(03FB7h) ; 128
+ STL B, ASM, *AR0 ; 129
+ STL B, ASM, *AR0- ; 130
+ STL B, ASM, *AR0+ ; 131
+ STL B, ASM, *+AR0 ; 132
+ STL B, ASM, *AR0-0B ; 133
+ STL B, ASM, *AR0-0 ; 134
+ STL B, ASM, *AR0+0 ; 135
+ STL B, ASM, *AR0+0B ; 136
+ STL B, ASM, *AR0-% ; 137
+ STL B, ASM, *AR0-0% ; 138
+ STL B, ASM, *AR0+% ; 139
+ STL B, ASM, *AR0+0% ; 140
+ STL B, ASM, *AR0(03FB8h) ; 141
+ STL B, ASM, *+AR0(03FB9h) ; 142
+ STL B, ASM, *+AR0(03FBAh)% ; 143
+ STL B, ASM, *(03FBBh) ; 144
+ STL B, ASM, *AR1 ; 145
+ STL B, ASM, *AR1- ; 146
+ STL B, ASM, *AR1+ ; 147
+ STL B, ASM, *+AR1 ; 148
+ STL B, ASM, *AR1-0B ; 149
+ STL B, ASM, *AR1-0 ; 150
+ STL B, ASM, *AR1+0 ; 151
+ STL B, ASM, *AR1+0B ; 152
+ STL B, ASM, *AR1-% ; 153
+ STL B, ASM, *AR1-0% ; 154
+ STL B, ASM, *AR1+% ; 155
+ STL B, ASM, *AR1+0% ; 156
+ STL B, ASM, *AR1(03FBCh) ; 157
+ STL B, ASM, *+AR1(03FBDh) ; 158
+ STL B, ASM, *+AR1(03FBEh)% ; 159
+ STL B, ASM, *(03FBFh) ; 160
+ STL B, ASM, *AR2 ; 161
+ STL B, ASM, *AR2- ; 162
+ STL B, ASM, *AR2+ ; 163
+ STL B, ASM, *+AR2 ; 164
+ STL B, ASM, *AR2-0B ; 165
+ STL B, ASM, *AR2-0 ; 166
+ STL B, ASM, *AR2+0 ; 167
+ STL B, ASM, *AR2+0B ; 168
+ STL B, ASM, *AR2-% ; 169
+ STL B, ASM, *AR2-0% ; 170
+ STL B, ASM, *AR2+% ; 171
+ STL B, ASM, *AR2+0% ; 172
+ STL B, ASM, *AR2(03FC0h) ; 173
+ STL B, ASM, *+AR2(03FC1h) ; 174
+ STL B, ASM, *+AR2(03FC2h)% ; 175
+ STL B, ASM, *(03FC3h) ; 176
+ STL B, ASM, *AR3 ; 177
+ STL B, ASM, *AR3- ; 178
+ STL B, ASM, *AR3+ ; 179
+ STL B, ASM, *+AR3 ; 180
+ STL B, ASM, *AR3-0B ; 181
+ STL B, ASM, *AR3-0 ; 182
+ STL B, ASM, *AR3+0 ; 183
+ STL B, ASM, *AR3+0B ; 184
+ STL B, ASM, *AR3-% ; 185
+ STL B, ASM, *AR3-0% ; 186
+ STL B, ASM, *AR3+% ; 187
+ STL B, ASM, *AR3+0% ; 188
+ STL B, ASM, *AR3(03FC4h) ; 189
+ STL B, ASM, *+AR3(03FC5h) ; 190
+ STL B, ASM, *+AR3(03FC6h)% ; 191
+ STL B, ASM, *(03FC7h) ; 192
+ STL B, ASM, *AR4 ; 193
+ STL B, ASM, *AR4- ; 194
+ STL B, ASM, *AR4+ ; 195
+ STL B, ASM, *+AR4 ; 196
+ STL B, ASM, *AR4-0B ; 197
+ STL B, ASM, *AR4-0 ; 198
+ STL B, ASM, *AR4+0 ; 199
+ STL B, ASM, *AR4+0B ; 200
+ STL B, ASM, *AR4-% ; 201
+ STL B, ASM, *AR4-0% ; 202
+ STL B, ASM, *AR4+% ; 203
+ STL B, ASM, *AR4+0% ; 204
+ STL B, ASM, *AR4(03FC8h) ; 205
+ STL B, ASM, *+AR4(03FC9h) ; 206
+ STL B, ASM, *+AR4(03FCAh)% ; 207
+ STL B, ASM, *(03FCBh) ; 208
+ STL B, ASM, *AR5 ; 209
+ STL B, ASM, *AR5- ; 210
+ STL B, ASM, *AR5+ ; 211
+ STL B, ASM, *+AR5 ; 212
+ STL B, ASM, *AR5-0B ; 213
+ STL B, ASM, *AR5-0 ; 214
+ STL B, ASM, *AR5+0 ; 215
+ STL B, ASM, *AR5+0B ; 216
+ STL B, ASM, *AR5-% ; 217
+ STL B, ASM, *AR5-0% ; 218
+ STL B, ASM, *AR5+% ; 219
+ STL B, ASM, *AR5+0% ; 220
+ STL B, ASM, *AR5(03FCCh) ; 221
+ STL B, ASM, *+AR5(03FCDh) ; 222
+ STL B, ASM, *+AR5(03FCEh)% ; 223
+ STL B, ASM, *(03FCFh) ; 224
+ STL B, ASM, *AR6 ; 225
+ STL B, ASM, *AR6- ; 226
+ STL B, ASM, *AR6+ ; 227
+ STL B, ASM, *+AR6 ; 228
+ STL B, ASM, *AR6-0B ; 229
+ STL B, ASM, *AR6-0 ; 230
+ STL B, ASM, *AR6+0 ; 231
+ STL B, ASM, *AR6+0B ; 232
+ STL B, ASM, *AR6-% ; 233
+ STL B, ASM, *AR6-0% ; 234
+ STL B, ASM, *AR6+% ; 235
+ STL B, ASM, *AR6+0% ; 236
+ STL B, ASM, *AR6(03FD0h) ; 237
+ STL B, ASM, *+AR6(03FD1h) ; 238
+ STL B, ASM, *+AR6(03FD2h)% ; 239
+ STL B, ASM, *(03FD3h) ; 240
+ STL B, ASM, *AR7 ; 241
+ STL B, ASM, *AR7- ; 242
+ STL B, ASM, *AR7+ ; 243
+ STL B, ASM, *+AR7 ; 244
+ STL B, ASM, *AR7-0B ; 245
+ STL B, ASM, *AR7-0 ; 246
+ STL B, ASM, *AR7+0 ; 247
+ STL B, ASM, *AR7+0B ; 248
+ STL B, ASM, *AR7-% ; 249
+ STL B, ASM, *AR7-0% ; 250
+ STL B, ASM, *AR7+% ; 251
+ STL B, ASM, *AR7+0% ; 252
+ STL B, ASM, *AR7(03FD4h) ; 253
+ STL B, ASM, *+AR7(03FD5h) ; 254
+ STL B, ASM, *+AR7(03FD6h)% ; 255
+ STL B, ASM, *(03FD7h) ; 256
+ ; STLsmem : STL AB, Smem : 2
+ STL A, 48h ; 1
+ STL B, 49h ; 2
+ ; STLsmemShift : STL AB, SHIFT, Smem : 66
+ STL A, 4Ah ; 1
+ STL A, -16, 4Bh ; 2
+ STL A, -15, 4Ch ; 3
+ STL A, -14, 4Dh ; 4
+ STL A, -13, 4Eh ; 5
+ STL A, -12, 4Fh ; 6
+ STL A, -11, 50h ; 7
+ STL A, -10, 51h ; 8
+ STL A, -9, 52h ; 9
+ STL A, -8, 53h ; 10
+ STL A, -7, 54h ; 11
+ STL A, -6, 55h ; 12
+ STL A, -5, 56h ; 13
+ STL A, -4, 57h ; 14
+ STL A, -3, 58h ; 15
+ STL A, -2, 59h ; 16
+ STL A, -1, 5Ah ; 17
+ STL A, 0, 5Bh ; 18
+ STL A, 1, 5Ch ; 19
+ STL A, 2, 5Dh ; 20
+ STL A, 3, 5Eh ; 21
+ STL A, 4, 5Fh ; 22
+ STL A, 5, 60h ; 23
+ STL A, 6, 61h ; 24
+ STL A, 7, 62h ; 25
+ STL A, 8, 63h ; 26
+ STL A, 9, 64h ; 27
+ STL A, 10, 65h ; 28
+ STL A, 11, 66h ; 29
+ STL A, 12, 67h ; 30
+ STL A, 13, 68h ; 31
+ STL A, 14, 69h ; 32
+ STL A, 15, 6Ah ; 33
+ STL B, 6Bh ; 34
+ STL B, -16, 6Ch ; 35
+ STL B, -15, 6Dh ; 36
+ STL B, -14, 6Eh ; 37
+ STL B, -13, 6Fh ; 38
+ STL B, -12, 70h ; 39
+ STL B, -11, 71h ; 40
+ STL B, -10, 72h ; 41
+ STL B, -9, 73h ; 42
+ STL B, -8, 74h ; 43
+ STL B, -7, 75h ; 44
+ STL B, -6, 76h ; 45
+ STL B, -5, 77h ; 46
+ STL B, -4, 78h ; 47
+ STL B, -3, 79h ; 48
+ STL B, -2, 7Ah ; 49
+ STL B, -1, 7Bh ; 50
+ STL B, 0, 7Ch ; 51
+ STL B, 1, 7Dh ; 52
+ STL B, 2, 7Eh ; 53
+ STL B, 3, 7Fh ; 54
+ STL B, 4, 00h ; 55
+ STL B, 5, 01h ; 56
+ STL B, 6, 02h ; 57
+ STL B, 7, 03h ; 58
+ STL B, 8, 04h ; 59
+ STL B, 9, 05h ; 60
+ STL B, 10, 06h ; 61
+ STL B, 11, 07h ; 62
+ STL B, 12, 08h ; 63
+ STL B, 13, 09h ; 64
+ STL B, 14, 0Ah ; 65
+ STL B, 15, 0Bh ; 66
+ ; STLsmemShift_I : STL AB, SHIFT, Smem_I : 8448
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STL A, *AR0 ; 1
+ STL A, *AR0- ; 2
+ STL A, *AR0+ ; 3
+ STL A, *+AR0 ; 4
+ STL A, *AR0-0B ; 5
+ STL A, *AR0-0 ; 6
+ STL A, *AR0+0 ; 7
+ STL A, *AR0+0B ; 8
+ STL A, *AR0-% ; 9
+ STL A, *AR0-0% ; 10
+ STL A, *AR0+% ; 11
+ STL A, *AR0+0% ; 12
+ STL A, *AR0(03FD8h) ; 13
+ STL A, *+AR0(03FD9h) ; 14
+ STL A, *+AR0(03FDAh)% ; 15
+ STL A, *(03FDBh) ; 16
+ STL A, *AR1 ; 17
+ STL A, *AR1- ; 18
+ STL A, *AR1+ ; 19
+ STL A, *+AR1 ; 20
+ STL A, *AR1-0B ; 21
+ STL A, *AR1-0 ; 22
+ STL A, *AR1+0 ; 23
+ STL A, *AR1+0B ; 24
+ STL A, *AR1-% ; 25
+ STL A, *AR1-0% ; 26
+ STL A, *AR1+% ; 27
+ STL A, *AR1+0% ; 28
+ STL A, *AR1(03FDCh) ; 29
+ STL A, *+AR1(03FDDh) ; 30
+ STL A, *+AR1(03FDEh)% ; 31
+ STL A, *(03FDFh) ; 32
+ STL A, *AR2 ; 33
+ STL A, *AR2- ; 34
+ STL A, *AR2+ ; 35
+ STL A, *+AR2 ; 36
+ STL A, *AR2-0B ; 37
+ STL A, *AR2-0 ; 38
+ STL A, *AR2+0 ; 39
+ STL A, *AR2+0B ; 40
+ STL A, *AR2-% ; 41
+ STL A, *AR2-0% ; 42
+ STL A, *AR2+% ; 43
+ STL A, *AR2+0% ; 44
+ STL A, *AR2(03FE0h) ; 45
+ STL A, *+AR2(03FE1h) ; 46
+ STL A, *+AR2(03FE2h)% ; 47
+ STL A, *(03FE3h) ; 48
+ STL A, *AR3 ; 49
+ STL A, *AR3- ; 50
+ STL A, *AR3+ ; 51
+ STL A, *+AR3 ; 52
+ STL A, *AR3-0B ; 53
+ STL A, *AR3-0 ; 54
+ STL A, *AR3+0 ; 55
+ STL A, *AR3+0B ; 56
+ STL A, *AR3-% ; 57
+ STL A, *AR3-0% ; 58
+ STL A, *AR3+% ; 59
+ STL A, *AR3+0% ; 60
+ STL A, *AR3(03FE4h) ; 61
+ STL A, *+AR3(03FE5h) ; 62
+ STL A, *+AR3(03FE6h)% ; 63
+ STL A, *(03FE7h) ; 64
+ STL A, *AR4 ; 65
+ STL A, *AR4- ; 66
+ STL A, *AR4+ ; 67
+ STL A, *+AR4 ; 68
+ STL A, *AR4-0B ; 69
+ STL A, *AR4-0 ; 70
+ STL A, *AR4+0 ; 71
+ STL A, *AR4+0B ; 72
+ STL A, *AR4-% ; 73
+ STL A, *AR4-0% ; 74
+ STL A, *AR4+% ; 75
+ STL A, *AR4+0% ; 76
+ STL A, *AR4(03FE8h) ; 77
+ STL A, *+AR4(03FE9h) ; 78
+ STL A, *+AR4(03FEAh)% ; 79
+ STL A, *(03FEBh) ; 80
+ STL A, *AR5 ; 81
+ STL A, *AR5- ; 82
+ STL A, *AR5+ ; 83
+ STL A, *+AR5 ; 84
+ STL A, *AR5-0B ; 85
+ STL A, *AR5-0 ; 86
+ STL A, *AR5+0 ; 87
+ STL A, *AR5+0B ; 88
+ STL A, *AR5-% ; 89
+ STL A, *AR5-0% ; 90
+ STL A, *AR5+% ; 91
+ STL A, *AR5+0% ; 92
+ STL A, *AR5(03FECh) ; 93
+ STL A, *+AR5(03FEDh) ; 94
+ STL A, *+AR5(03FEEh)% ; 95
+ STL A, *(03FEFh) ; 96
+ STL A, *AR6 ; 97
+ STL A, *AR6- ; 98
+ STL A, *AR6+ ; 99
+ STL A, *+AR6 ; 100
+ STL A, *AR6-0B ; 101
+ STL A, *AR6-0 ; 102
+ STL A, *AR6+0 ; 103
+ STL A, *AR6+0B ; 104
+ STL A, *AR6-% ; 105
+ STL A, *AR6-0% ; 106
+ STL A, *AR6+% ; 107
+ STL A, *AR6+0% ; 108
+ STL A, *AR6(03FF0h) ; 109
+ STL A, *+AR6(03FF1h) ; 110
+ STL A, *+AR6(03FF2h)% ; 111
+ STL A, *(03FF3h) ; 112
+ STL A, *AR7 ; 113
+ STL A, *AR7- ; 114
+ STL A, *AR7+ ; 115
+ STL A, *+AR7 ; 116
+ STL A, *AR7-0B ; 117
+ STL A, *AR7-0 ; 118
+ STL A, *AR7+0 ; 119
+ STL A, *AR7+0B ; 120
+ STL A, *AR7-% ; 121
+ STL A, *AR7-0% ; 122
+ STL A, *AR7+% ; 123
+ STL A, *AR7+0% ; 124
+ STL A, *AR7(03FF4h) ; 125
+ STL A, *+AR7(03FF5h) ; 126
+ STL A, *+AR7(03FF6h)% ; 127
+ STL A, *(03FF7h) ; 128
+ STL A, -16, *AR0 ; 129
+ STL A, -16, *AR0- ; 130
+ STL A, -16, *AR0+ ; 131
+ STL A, -16, *+AR0 ; 132
+ STL A, -16, *AR0-0B ; 133
+ STL A, -16, *AR0-0 ; 134
+ STL A, -16, *AR0+0 ; 135
+ STL A, -16, *AR0+0B ; 136
+ STL A, -16, *AR0-% ; 137
+ STL A, -16, *AR0-0% ; 138
+ STL A, -16, *AR0+% ; 139
+ STL A, -16, *AR0+0% ; 140
+ STL A, -16, *AR0(03FF8h) ; 141
+ STL A, -16, *+AR0(03FF9h) ; 142
+ STL A, -16, *+AR0(03FFAh)% ; 143
+ STL A, -16, *(03FFBh) ; 144
+ STL A, -16, *AR1 ; 145
+ STL A, -16, *AR1- ; 146
+ STL A, -16, *AR1+ ; 147
+ STL A, -16, *+AR1 ; 148
+ STL A, -16, *AR1-0B ; 149
+ STL A, -16, *AR1-0 ; 150
+ STL A, -16, *AR1+0 ; 151
+ STL A, -16, *AR1+0B ; 152
+ STL A, -16, *AR1-% ; 153
+ STL A, -16, *AR1-0% ; 154
+ STL A, -16, *AR1+% ; 155
+ STL A, -16, *AR1+0% ; 156
+ STL A, -16, *AR1(03FFCh) ; 157
+ STL A, -16, *+AR1(03FFDh) ; 158
+ STL A, -16, *+AR1(03FFEh)% ; 159
+ STL A, -16, *(03FFFh) ; 160
+ STL A, -16, *AR2 ; 161
+ STL A, -16, *AR2- ; 162
+ STL A, -16, *AR2+ ; 163
+ STL A, -16, *+AR2 ; 164
+ STL A, -16, *AR2-0B ; 165
+ STL A, -16, *AR2-0 ; 166
+ STL A, -16, *AR2+0 ; 167
+ STL A, -16, *AR2+0B ; 168
+ STL A, -16, *AR2-% ; 169
+ STL A, -16, *AR2-0% ; 170
+ STL A, -16, *AR2+% ; 171
+ STL A, -16, *AR2+0% ; 172
+ STL A, -16, *AR2(04000h) ; 173
+ STL A, -16, *+AR2(04001h) ; 174
+ STL A, -16, *+AR2(04002h)% ; 175
+ STL A, -16, *(04003h) ; 176
+ STL A, -16, *AR3 ; 177
+ STL A, -16, *AR3- ; 178
+ STL A, -16, *AR3+ ; 179
+ STL A, -16, *+AR3 ; 180
+ STL A, -16, *AR3-0B ; 181
+ STL A, -16, *AR3-0 ; 182
+ STL A, -16, *AR3+0 ; 183
+ STL A, -16, *AR3+0B ; 184
+ STL A, -16, *AR3-% ; 185
+ STL A, -16, *AR3-0% ; 186
+ STL A, -16, *AR3+% ; 187
+ STL A, -16, *AR3+0% ; 188
+ STL A, -16, *AR3(04004h) ; 189
+ STL A, -16, *+AR3(04005h) ; 190
+ STL A, -16, *+AR3(04006h)% ; 191
+ STL A, -16, *(04007h) ; 192
+ STL A, -16, *AR4 ; 193
+ STL A, -16, *AR4- ; 194
+ STL A, -16, *AR4+ ; 195
+ STL A, -16, *+AR4 ; 196
+ STL A, -16, *AR4-0B ; 197
+ STL A, -16, *AR4-0 ; 198
+ STL A, -16, *AR4+0 ; 199
+ STL A, -16, *AR4+0B ; 200
+ STL A, -16, *AR4-% ; 201
+ STL A, -16, *AR4-0% ; 202
+ STL A, -16, *AR4+% ; 203
+ STL A, -16, *AR4+0% ; 204
+ STL A, -16, *AR4(04008h) ; 205
+ STL A, -16, *+AR4(04009h) ; 206
+ STL A, -16, *+AR4(0400Ah)% ; 207
+ STL A, -16, *(0400Bh) ; 208
+ STL A, -16, *AR5 ; 209
+ STL A, -16, *AR5- ; 210
+ STL A, -16, *AR5+ ; 211
+ STL A, -16, *+AR5 ; 212
+ STL A, -16, *AR5-0B ; 213
+ STL A, -16, *AR5-0 ; 214
+ STL A, -16, *AR5+0 ; 215
+ STL A, -16, *AR5+0B ; 216
+ STL A, -16, *AR5-% ; 217
+ STL A, -16, *AR5-0% ; 218
+ STL A, -16, *AR5+% ; 219
+ STL A, -16, *AR5+0% ; 220
+ STL A, -16, *AR5(0400Ch) ; 221
+ STL A, -16, *+AR5(0400Dh) ; 222
+ STL A, -16, *+AR5(0400Eh)% ; 223
+ STL A, -16, *(0400Fh) ; 224
+ STL A, -16, *AR6 ; 225
+ STL A, -16, *AR6- ; 226
+ STL A, -16, *AR6+ ; 227
+ STL A, -16, *+AR6 ; 228
+ STL A, -16, *AR6-0B ; 229
+ STL A, -16, *AR6-0 ; 230
+ STL A, -16, *AR6+0 ; 231
+ STL A, -16, *AR6+0B ; 232
+ STL A, -16, *AR6-% ; 233
+ STL A, -16, *AR6-0% ; 234
+ STL A, -16, *AR6+% ; 235
+ STL A, -16, *AR6+0% ; 236
+ STL A, -16, *AR6(04010h) ; 237
+ STL A, -16, *+AR6(04011h) ; 238
+ STL A, -16, *+AR6(04012h)% ; 239
+ STL A, -16, *(04013h) ; 240
+ STL A, -16, *AR7 ; 241
+ STL A, -16, *AR7- ; 242
+ STL A, -16, *AR7+ ; 243
+ STL A, -16, *+AR7 ; 244
+ STL A, -16, *AR7-0B ; 245
+ STL A, -16, *AR7-0 ; 246
+ STL A, -16, *AR7+0 ; 247
+ STL A, -16, *AR7+0B ; 248
+ STL A, -16, *AR7-% ; 249
+ STL A, -16, *AR7-0% ; 250
+ STL A, -16, *AR7+% ; 251
+ STL A, -16, *AR7+0% ; 252
+ STL A, -16, *AR7(04014h) ; 253
+ STL A, -16, *+AR7(04015h) ; 254
+ STL A, -16, *+AR7(04016h)% ; 255
+ STL A, -16, *(04017h) ; 256
+ STL A, -15, *AR0 ; 257
+ STL A, -15, *AR0- ; 258
+ STL A, -15, *AR0+ ; 259
+ STL A, -15, *+AR0 ; 260
+ STL A, -15, *AR0-0B ; 261
+ STL A, -15, *AR0-0 ; 262
+ STL A, -15, *AR0+0 ; 263
+ STL A, -15, *AR0+0B ; 264
+ STL A, -15, *AR0-% ; 265
+ STL A, -15, *AR0-0% ; 266
+ STL A, -15, *AR0+% ; 267
+ STL A, -15, *AR0+0% ; 268
+ STL A, -15, *AR0(04018h) ; 269
+ STL A, -15, *+AR0(04019h) ; 270
+ STL A, -15, *+AR0(0401Ah)% ; 271
+ STL A, -15, *(0401Bh) ; 272
+ STL A, -15, *AR1 ; 273
+ STL A, -15, *AR1- ; 274
+ STL A, -15, *AR1+ ; 275
+ STL A, -15, *+AR1 ; 276
+ STL A, -15, *AR1-0B ; 277
+ STL A, -15, *AR1-0 ; 278
+ STL A, -15, *AR1+0 ; 279
+ STL A, -15, *AR1+0B ; 280
+ STL A, -15, *AR1-% ; 281
+ STL A, -15, *AR1-0% ; 282
+ STL A, -15, *AR1+% ; 283
+ STL A, -15, *AR1+0% ; 284
+ STL A, -15, *AR1(0401Ch) ; 285
+ STL A, -15, *+AR1(0401Dh) ; 286
+ STL A, -15, *+AR1(0401Eh)% ; 287
+ STL A, -15, *(0401Fh) ; 288
+ STL A, -15, *AR2 ; 289
+ STL A, -15, *AR2- ; 290
+ STL A, -15, *AR2+ ; 291
+ STL A, -15, *+AR2 ; 292
+ STL A, -15, *AR2-0B ; 293
+ STL A, -15, *AR2-0 ; 294
+ STL A, -15, *AR2+0 ; 295
+ STL A, -15, *AR2+0B ; 296
+ STL A, -15, *AR2-% ; 297
+ STL A, -15, *AR2-0% ; 298
+ STL A, -15, *AR2+% ; 299
+ STL A, -15, *AR2+0% ; 300
+ STL A, -15, *AR2(04020h) ; 301
+ STL A, -15, *+AR2(04021h) ; 302
+ STL A, -15, *+AR2(04022h)% ; 303
+ STL A, -15, *(04023h) ; 304
+ STL A, -15, *AR3 ; 305
+ STL A, -15, *AR3- ; 306
+ STL A, -15, *AR3+ ; 307
+ STL A, -15, *+AR3 ; 308
+ STL A, -15, *AR3-0B ; 309
+ STL A, -15, *AR3-0 ; 310
+ STL A, -15, *AR3+0 ; 311
+ STL A, -15, *AR3+0B ; 312
+ STL A, -15, *AR3-% ; 313
+ STL A, -15, *AR3-0% ; 314
+ STL A, -15, *AR3+% ; 315
+ STL A, -15, *AR3+0% ; 316
+ STL A, -15, *AR3(04024h) ; 317
+ STL A, -15, *+AR3(04025h) ; 318
+ STL A, -15, *+AR3(04026h)% ; 319
+ STL A, -15, *(04027h) ; 320
+ STL A, -15, *AR4 ; 321
+ STL A, -15, *AR4- ; 322
+ STL A, -15, *AR4+ ; 323
+ STL A, -15, *+AR4 ; 324
+ STL A, -15, *AR4-0B ; 325
+ STL A, -15, *AR4-0 ; 326
+ STL A, -15, *AR4+0 ; 327
+ STL A, -15, *AR4+0B ; 328
+ STL A, -15, *AR4-% ; 329
+ STL A, -15, *AR4-0% ; 330
+ STL A, -15, *AR4+% ; 331
+ STL A, -15, *AR4+0% ; 332
+ STL A, -15, *AR4(04028h) ; 333
+ STL A, -15, *+AR4(04029h) ; 334
+ STL A, -15, *+AR4(0402Ah)% ; 335
+ STL A, -15, *(0402Bh) ; 336
+ STL A, -15, *AR5 ; 337
+ STL A, -15, *AR5- ; 338
+ STL A, -15, *AR5+ ; 339
+ STL A, -15, *+AR5 ; 340
+ STL A, -15, *AR5-0B ; 341
+ STL A, -15, *AR5-0 ; 342
+ STL A, -15, *AR5+0 ; 343
+ STL A, -15, *AR5+0B ; 344
+ STL A, -15, *AR5-% ; 345
+ STL A, -15, *AR5-0% ; 346
+ STL A, -15, *AR5+% ; 347
+ STL A, -15, *AR5+0% ; 348
+ STL A, -15, *AR5(0402Ch) ; 349
+ STL A, -15, *+AR5(0402Dh) ; 350
+ STL A, -15, *+AR5(0402Eh)% ; 351
+ STL A, -15, *(0402Fh) ; 352
+ STL A, -15, *AR6 ; 353
+ STL A, -15, *AR6- ; 354
+ STL A, -15, *AR6+ ; 355
+ STL A, -15, *+AR6 ; 356
+ STL A, -15, *AR6-0B ; 357
+ STL A, -15, *AR6-0 ; 358
+ STL A, -15, *AR6+0 ; 359
+ STL A, -15, *AR6+0B ; 360
+ STL A, -15, *AR6-% ; 361
+ STL A, -15, *AR6-0% ; 362
+ STL A, -15, *AR6+% ; 363
+ STL A, -15, *AR6+0% ; 364
+ STL A, -15, *AR6(04030h) ; 365
+ STL A, -15, *+AR6(04031h) ; 366
+ STL A, -15, *+AR6(04032h)% ; 367
+ STL A, -15, *(04033h) ; 368
+ STL A, -15, *AR7 ; 369
+ STL A, -15, *AR7- ; 370
+ STL A, -15, *AR7+ ; 371
+ STL A, -15, *+AR7 ; 372
+ STL A, -15, *AR7-0B ; 373
+ STL A, -15, *AR7-0 ; 374
+ STL A, -15, *AR7+0 ; 375
+ STL A, -15, *AR7+0B ; 376
+ STL A, -15, *AR7-% ; 377
+ STL A, -15, *AR7-0% ; 378
+ STL A, -15, *AR7+% ; 379
+ STL A, -15, *AR7+0% ; 380
+ STL A, -15, *AR7(04034h) ; 381
+ STL A, -15, *+AR7(04035h) ; 382
+ STL A, -15, *+AR7(04036h)% ; 383
+ STL A, -15, *(04037h) ; 384
+ STL A, -14, *AR0 ; 385
+ STL A, -14, *AR0- ; 386
+ STL A, -14, *AR0+ ; 387
+ STL A, -14, *+AR0 ; 388
+ STL A, -14, *AR0-0B ; 389
+ STL A, -14, *AR0-0 ; 390
+ STL A, -14, *AR0+0 ; 391
+ STL A, -14, *AR0+0B ; 392
+ STL A, -14, *AR0-% ; 393
+ STL A, -14, *AR0-0% ; 394
+ STL A, -14, *AR0+% ; 395
+ STL A, -14, *AR0+0% ; 396
+ STL A, -14, *AR0(04038h) ; 397
+ STL A, -14, *+AR0(04039h) ; 398
+ STL A, -14, *+AR0(0403Ah)% ; 399
+ STL A, -14, *(0403Bh) ; 400
+ STL A, -14, *AR1 ; 401
+ STL A, -14, *AR1- ; 402
+ STL A, -14, *AR1+ ; 403
+ STL A, -14, *+AR1 ; 404
+ STL A, -14, *AR1-0B ; 405
+ STL A, -14, *AR1-0 ; 406
+ STL A, -14, *AR1+0 ; 407
+ STL A, -14, *AR1+0B ; 408
+ STL A, -14, *AR1-% ; 409
+ STL A, -14, *AR1-0% ; 410
+ STL A, -14, *AR1+% ; 411
+ STL A, -14, *AR1+0% ; 412
+ STL A, -14, *AR1(0403Ch) ; 413
+ STL A, -14, *+AR1(0403Dh) ; 414
+ STL A, -14, *+AR1(0403Eh)% ; 415
+ STL A, -14, *(0403Fh) ; 416
+ STL A, -14, *AR2 ; 417
+ STL A, -14, *AR2- ; 418
+ STL A, -14, *AR2+ ; 419
+ STL A, -14, *+AR2 ; 420
+ STL A, -14, *AR2-0B ; 421
+ STL A, -14, *AR2-0 ; 422
+ STL A, -14, *AR2+0 ; 423
+ STL A, -14, *AR2+0B ; 424
+ STL A, -14, *AR2-% ; 425
+ STL A, -14, *AR2-0% ; 426
+ STL A, -14, *AR2+% ; 427
+ STL A, -14, *AR2+0% ; 428
+ STL A, -14, *AR2(04040h) ; 429
+ STL A, -14, *+AR2(04041h) ; 430
+ STL A, -14, *+AR2(04042h)% ; 431
+ STL A, -14, *(04043h) ; 432
+ STL A, -14, *AR3 ; 433
+ STL A, -14, *AR3- ; 434
+ STL A, -14, *AR3+ ; 435
+ STL A, -14, *+AR3 ; 436
+ STL A, -14, *AR3-0B ; 437
+ STL A, -14, *AR3-0 ; 438
+ STL A, -14, *AR3+0 ; 439
+ STL A, -14, *AR3+0B ; 440
+ STL A, -14, *AR3-% ; 441
+ STL A, -14, *AR3-0% ; 442
+ STL A, -14, *AR3+% ; 443
+ STL A, -14, *AR3+0% ; 444
+ STL A, -14, *AR3(04044h) ; 445
+ STL A, -14, *+AR3(04045h) ; 446
+ STL A, -14, *+AR3(04046h)% ; 447
+ STL A, -14, *(04047h) ; 448
+ STL A, -14, *AR4 ; 449
+ STL A, -14, *AR4- ; 450
+ STL A, -14, *AR4+ ; 451
+ STL A, -14, *+AR4 ; 452
+ STL A, -14, *AR4-0B ; 453
+ STL A, -14, *AR4-0 ; 454
+ STL A, -14, *AR4+0 ; 455
+ STL A, -14, *AR4+0B ; 456
+ STL A, -14, *AR4-% ; 457
+ STL A, -14, *AR4-0% ; 458
+ STL A, -14, *AR4+% ; 459
+ STL A, -14, *AR4+0% ; 460
+ STL A, -14, *AR4(04048h) ; 461
+ STL A, -14, *+AR4(04049h) ; 462
+ STL A, -14, *+AR4(0404Ah)% ; 463
+ STL A, -14, *(0404Bh) ; 464
+ STL A, -14, *AR5 ; 465
+ STL A, -14, *AR5- ; 466
+ STL A, -14, *AR5+ ; 467
+ STL A, -14, *+AR5 ; 468
+ STL A, -14, *AR5-0B ; 469
+ STL A, -14, *AR5-0 ; 470
+ STL A, -14, *AR5+0 ; 471
+ STL A, -14, *AR5+0B ; 472
+ STL A, -14, *AR5-% ; 473
+ STL A, -14, *AR5-0% ; 474
+ STL A, -14, *AR5+% ; 475
+ STL A, -14, *AR5+0% ; 476
+ STL A, -14, *AR5(0404Ch) ; 477
+ STL A, -14, *+AR5(0404Dh) ; 478
+ STL A, -14, *+AR5(0404Eh)% ; 479
+ STL A, -14, *(0404Fh) ; 480
+ STL A, -14, *AR6 ; 481
+ STL A, -14, *AR6- ; 482
+ STL A, -14, *AR6+ ; 483
+ STL A, -14, *+AR6 ; 484
+ STL A, -14, *AR6-0B ; 485
+ STL A, -14, *AR6-0 ; 486
+ STL A, -14, *AR6+0 ; 487
+ STL A, -14, *AR6+0B ; 488
+ STL A, -14, *AR6-% ; 489
+ STL A, -14, *AR6-0% ; 490
+ STL A, -14, *AR6+% ; 491
+ STL A, -14, *AR6+0% ; 492
+ STL A, -14, *AR6(04050h) ; 493
+ STL A, -14, *+AR6(04051h) ; 494
+ STL A, -14, *+AR6(04052h)% ; 495
+ STL A, -14, *(04053h) ; 496
+ STL A, -14, *AR7 ; 497
+ STL A, -14, *AR7- ; 498
+ STL A, -14, *AR7+ ; 499
+ STL A, -14, *+AR7 ; 500
+ STL A, -14, *AR7-0B ; 501
+ STL A, -14, *AR7-0 ; 502
+ STL A, -14, *AR7+0 ; 503
+ STL A, -14, *AR7+0B ; 504
+ STL A, -14, *AR7-% ; 505
+ STL A, -14, *AR7-0% ; 506
+ STL A, -14, *AR7+% ; 507
+ STL A, -14, *AR7+0% ; 508
+ STL A, -14, *AR7(04054h) ; 509
+ STL A, -14, *+AR7(04055h) ; 510
+ STL A, -14, *+AR7(04056h)% ; 511
+ STL A, -14, *(04057h) ; 512
+ STL A, -13, *AR0 ; 513
+ STL A, -13, *AR0- ; 514
+ STL A, -13, *AR0+ ; 515
+ STL A, -13, *+AR0 ; 516
+ STL A, -13, *AR0-0B ; 517
+ STL A, -13, *AR0-0 ; 518
+ STL A, -13, *AR0+0 ; 519
+ STL A, -13, *AR0+0B ; 520
+ STL A, -13, *AR0-% ; 521
+ STL A, -13, *AR0-0% ; 522
+ STL A, -13, *AR0+% ; 523
+ STL A, -13, *AR0+0% ; 524
+ STL A, -13, *AR0(04058h) ; 525
+ STL A, -13, *+AR0(04059h) ; 526
+ STL A, -13, *+AR0(0405Ah)% ; 527
+ STL A, -13, *(0405Bh) ; 528
+ STL A, -13, *AR1 ; 529
+ STL A, -13, *AR1- ; 530
+ STL A, -13, *AR1+ ; 531
+ STL A, -13, *+AR1 ; 532
+ STL A, -13, *AR1-0B ; 533
+ STL A, -13, *AR1-0 ; 534
+ STL A, -13, *AR1+0 ; 535
+ STL A, -13, *AR1+0B ; 536
+ STL A, -13, *AR1-% ; 537
+ STL A, -13, *AR1-0% ; 538
+ STL A, -13, *AR1+% ; 539
+ STL A, -13, *AR1+0% ; 540
+ STL A, -13, *AR1(0405Ch) ; 541
+ STL A, -13, *+AR1(0405Dh) ; 542
+ STL A, -13, *+AR1(0405Eh)% ; 543
+ STL A, -13, *(0405Fh) ; 544
+ STL A, -13, *AR2 ; 545
+ STL A, -13, *AR2- ; 546
+ STL A, -13, *AR2+ ; 547
+ STL A, -13, *+AR2 ; 548
+ STL A, -13, *AR2-0B ; 549
+ STL A, -13, *AR2-0 ; 550
+ STL A, -13, *AR2+0 ; 551
+ STL A, -13, *AR2+0B ; 552
+ STL A, -13, *AR2-% ; 553
+ STL A, -13, *AR2-0% ; 554
+ STL A, -13, *AR2+% ; 555
+ STL A, -13, *AR2+0% ; 556
+ STL A, -13, *AR2(04060h) ; 557
+ STL A, -13, *+AR2(04061h) ; 558
+ STL A, -13, *+AR2(04062h)% ; 559
+ STL A, -13, *(04063h) ; 560
+ STL A, -13, *AR3 ; 561
+ STL A, -13, *AR3- ; 562
+ STL A, -13, *AR3+ ; 563
+ STL A, -13, *+AR3 ; 564
+ STL A, -13, *AR3-0B ; 565
+ STL A, -13, *AR3-0 ; 566
+ STL A, -13, *AR3+0 ; 567
+ STL A, -13, *AR3+0B ; 568
+ STL A, -13, *AR3-% ; 569
+ STL A, -13, *AR3-0% ; 570
+ STL A, -13, *AR3+% ; 571
+ STL A, -13, *AR3+0% ; 572
+ STL A, -13, *AR3(04064h) ; 573
+ STL A, -13, *+AR3(04065h) ; 574
+ STL A, -13, *+AR3(04066h)% ; 575
+ STL A, -13, *(04067h) ; 576
+ STL A, -13, *AR4 ; 577
+ STL A, -13, *AR4- ; 578
+ STL A, -13, *AR4+ ; 579
+ STL A, -13, *+AR4 ; 580
+ STL A, -13, *AR4-0B ; 581
+ STL A, -13, *AR4-0 ; 582
+ STL A, -13, *AR4+0 ; 583
+ STL A, -13, *AR4+0B ; 584
+ STL A, -13, *AR4-% ; 585
+ STL A, -13, *AR4-0% ; 586
+ STL A, -13, *AR4+% ; 587
+ STL A, -13, *AR4+0% ; 588
+ STL A, -13, *AR4(04068h) ; 589
+ STL A, -13, *+AR4(04069h) ; 590
+ STL A, -13, *+AR4(0406Ah)% ; 591
+ STL A, -13, *(0406Bh) ; 592
+ STL A, -13, *AR5 ; 593
+ STL A, -13, *AR5- ; 594
+ STL A, -13, *AR5+ ; 595
+ STL A, -13, *+AR5 ; 596
+ STL A, -13, *AR5-0B ; 597
+ STL A, -13, *AR5-0 ; 598
+ STL A, -13, *AR5+0 ; 599
+ STL A, -13, *AR5+0B ; 600
+ STL A, -13, *AR5-% ; 601
+ STL A, -13, *AR5-0% ; 602
+ STL A, -13, *AR5+% ; 603
+ STL A, -13, *AR5+0% ; 604
+ STL A, -13, *AR5(0406Ch) ; 605
+ STL A, -13, *+AR5(0406Dh) ; 606
+ STL A, -13, *+AR5(0406Eh)% ; 607
+ STL A, -13, *(0406Fh) ; 608
+ STL A, -13, *AR6 ; 609
+ STL A, -13, *AR6- ; 610
+ STL A, -13, *AR6+ ; 611
+ STL A, -13, *+AR6 ; 612
+ STL A, -13, *AR6-0B ; 613
+ STL A, -13, *AR6-0 ; 614
+ STL A, -13, *AR6+0 ; 615
+ STL A, -13, *AR6+0B ; 616
+ STL A, -13, *AR6-% ; 617
+ STL A, -13, *AR6-0% ; 618
+ STL A, -13, *AR6+% ; 619
+ STL A, -13, *AR6+0% ; 620
+ STL A, -13, *AR6(04070h) ; 621
+ STL A, -13, *+AR6(04071h) ; 622
+ STL A, -13, *+AR6(04072h)% ; 623
+ STL A, -13, *(04073h) ; 624
+ STL A, -13, *AR7 ; 625
+ STL A, -13, *AR7- ; 626
+ STL A, -13, *AR7+ ; 627
+ STL A, -13, *+AR7 ; 628
+ STL A, -13, *AR7-0B ; 629
+ STL A, -13, *AR7-0 ; 630
+ STL A, -13, *AR7+0 ; 631
+ STL A, -13, *AR7+0B ; 632
+ STL A, -13, *AR7-% ; 633
+ STL A, -13, *AR7-0% ; 634
+ STL A, -13, *AR7+% ; 635
+ STL A, -13, *AR7+0% ; 636
+ STL A, -13, *AR7(04074h) ; 637
+ STL A, -13, *+AR7(04075h) ; 638
+ STL A, -13, *+AR7(04076h)% ; 639
+ STL A, -13, *(04077h) ; 640
+ STL A, -12, *AR0 ; 641
+ STL A, -12, *AR0- ; 642
+ STL A, -12, *AR0+ ; 643
+ STL A, -12, *+AR0 ; 644
+ STL A, -12, *AR0-0B ; 645
+ STL A, -12, *AR0-0 ; 646
+ STL A, -12, *AR0+0 ; 647
+ STL A, -12, *AR0+0B ; 648
+ STL A, -12, *AR0-% ; 649
+ STL A, -12, *AR0-0% ; 650
+ STL A, -12, *AR0+% ; 651
+ STL A, -12, *AR0+0% ; 652
+ STL A, -12, *AR0(04078h) ; 653
+ STL A, -12, *+AR0(04079h) ; 654
+ STL A, -12, *+AR0(0407Ah)% ; 655
+ STL A, -12, *(0407Bh) ; 656
+ STL A, -12, *AR1 ; 657
+ STL A, -12, *AR1- ; 658
+ STL A, -12, *AR1+ ; 659
+ STL A, -12, *+AR1 ; 660
+ STL A, -12, *AR1-0B ; 661
+ STL A, -12, *AR1-0 ; 662
+ STL A, -12, *AR1+0 ; 663
+ STL A, -12, *AR1+0B ; 664
+ STL A, -12, *AR1-% ; 665
+ STL A, -12, *AR1-0% ; 666
+ STL A, -12, *AR1+% ; 667
+ STL A, -12, *AR1+0% ; 668
+ STL A, -12, *AR1(0407Ch) ; 669
+ STL A, -12, *+AR1(0407Dh) ; 670
+ STL A, -12, *+AR1(0407Eh)% ; 671
+ STL A, -12, *(0407Fh) ; 672
+ STL A, -12, *AR2 ; 673
+ STL A, -12, *AR2- ; 674
+ STL A, -12, *AR2+ ; 675
+ STL A, -12, *+AR2 ; 676
+ STL A, -12, *AR2-0B ; 677
+ STL A, -12, *AR2-0 ; 678
+ STL A, -12, *AR2+0 ; 679
+ STL A, -12, *AR2+0B ; 680
+ STL A, -12, *AR2-% ; 681
+ STL A, -12, *AR2-0% ; 682
+ STL A, -12, *AR2+% ; 683
+ STL A, -12, *AR2+0% ; 684
+ STL A, -12, *AR2(04080h) ; 685
+ STL A, -12, *+AR2(04081h) ; 686
+ STL A, -12, *+AR2(04082h)% ; 687
+ STL A, -12, *(04083h) ; 688
+ STL A, -12, *AR3 ; 689
+ STL A, -12, *AR3- ; 690
+ STL A, -12, *AR3+ ; 691
+ STL A, -12, *+AR3 ; 692
+ STL A, -12, *AR3-0B ; 693
+ STL A, -12, *AR3-0 ; 694
+ STL A, -12, *AR3+0 ; 695
+ STL A, -12, *AR3+0B ; 696
+ STL A, -12, *AR3-% ; 697
+ STL A, -12, *AR3-0% ; 698
+ STL A, -12, *AR3+% ; 699
+ STL A, -12, *AR3+0% ; 700
+ STL A, -12, *AR3(04084h) ; 701
+ STL A, -12, *+AR3(04085h) ; 702
+ STL A, -12, *+AR3(04086h)% ; 703
+ STL A, -12, *(04087h) ; 704
+ STL A, -12, *AR4 ; 705
+ STL A, -12, *AR4- ; 706
+ STL A, -12, *AR4+ ; 707
+ STL A, -12, *+AR4 ; 708
+ STL A, -12, *AR4-0B ; 709
+ STL A, -12, *AR4-0 ; 710
+ STL A, -12, *AR4+0 ; 711
+ STL A, -12, *AR4+0B ; 712
+ STL A, -12, *AR4-% ; 713
+ STL A, -12, *AR4-0% ; 714
+ STL A, -12, *AR4+% ; 715
+ STL A, -12, *AR4+0% ; 716
+ STL A, -12, *AR4(04088h) ; 717
+ STL A, -12, *+AR4(04089h) ; 718
+ STL A, -12, *+AR4(0408Ah)% ; 719
+ STL A, -12, *(0408Bh) ; 720
+ STL A, -12, *AR5 ; 721
+ STL A, -12, *AR5- ; 722
+ STL A, -12, *AR5+ ; 723
+ STL A, -12, *+AR5 ; 724
+ STL A, -12, *AR5-0B ; 725
+ STL A, -12, *AR5-0 ; 726
+ STL A, -12, *AR5+0 ; 727
+ STL A, -12, *AR5+0B ; 728
+ STL A, -12, *AR5-% ; 729
+ STL A, -12, *AR5-0% ; 730
+ STL A, -12, *AR5+% ; 731
+ STL A, -12, *AR5+0% ; 732
+ STL A, -12, *AR5(0408Ch) ; 733
+ STL A, -12, *+AR5(0408Dh) ; 734
+ STL A, -12, *+AR5(0408Eh)% ; 735
+ STL A, -12, *(0408Fh) ; 736
+ STL A, -12, *AR6 ; 737
+ STL A, -12, *AR6- ; 738
+ STL A, -12, *AR6+ ; 739
+ STL A, -12, *+AR6 ; 740
+ STL A, -12, *AR6-0B ; 741
+ STL A, -12, *AR6-0 ; 742
+ STL A, -12, *AR6+0 ; 743
+ STL A, -12, *AR6+0B ; 744
+ STL A, -12, *AR6-% ; 745
+ STL A, -12, *AR6-0% ; 746
+ STL A, -12, *AR6+% ; 747
+ STL A, -12, *AR6+0% ; 748
+ STL A, -12, *AR6(04090h) ; 749
+ STL A, -12, *+AR6(04091h) ; 750
+ STL A, -12, *+AR6(04092h)% ; 751
+ STL A, -12, *(04093h) ; 752
+ STL A, -12, *AR7 ; 753
+ STL A, -12, *AR7- ; 754
+ STL A, -12, *AR7+ ; 755
+ STL A, -12, *+AR7 ; 756
+ STL A, -12, *AR7-0B ; 757
+ STL A, -12, *AR7-0 ; 758
+ STL A, -12, *AR7+0 ; 759
+ STL A, -12, *AR7+0B ; 760
+ STL A, -12, *AR7-% ; 761
+ STL A, -12, *AR7-0% ; 762
+ STL A, -12, *AR7+% ; 763
+ STL A, -12, *AR7+0% ; 764
+ STL A, -12, *AR7(04094h) ; 765
+ STL A, -12, *+AR7(04095h) ; 766
+ STL A, -12, *+AR7(04096h)% ; 767
+ STL A, -12, *(04097h) ; 768
+ STL A, -11, *AR0 ; 769
+ STL A, -11, *AR0- ; 770
+ STL A, -11, *AR0+ ; 771
+ STL A, -11, *+AR0 ; 772
+ STL A, -11, *AR0-0B ; 773
+ STL A, -11, *AR0-0 ; 774
+ STL A, -11, *AR0+0 ; 775
+ STL A, -11, *AR0+0B ; 776
+ STL A, -11, *AR0-% ; 777
+ STL A, -11, *AR0-0% ; 778
+ STL A, -11, *AR0+% ; 779
+ STL A, -11, *AR0+0% ; 780
+ STL A, -11, *AR0(04098h) ; 781
+ STL A, -11, *+AR0(04099h) ; 782
+ STL A, -11, *+AR0(0409Ah)% ; 783
+ STL A, -11, *(0409Bh) ; 784
+ STL A, -11, *AR1 ; 785
+ STL A, -11, *AR1- ; 786
+ STL A, -11, *AR1+ ; 787
+ STL A, -11, *+AR1 ; 788
+ STL A, -11, *AR1-0B ; 789
+ STL A, -11, *AR1-0 ; 790
+ STL A, -11, *AR1+0 ; 791
+ STL A, -11, *AR1+0B ; 792
+ STL A, -11, *AR1-% ; 793
+ STL A, -11, *AR1-0% ; 794
+ STL A, -11, *AR1+% ; 795
+ STL A, -11, *AR1+0% ; 796
+ STL A, -11, *AR1(0409Ch) ; 797
+ STL A, -11, *+AR1(0409Dh) ; 798
+ STL A, -11, *+AR1(0409Eh)% ; 799
+ STL A, -11, *(0409Fh) ; 800
+ STL A, -11, *AR2 ; 801
+ STL A, -11, *AR2- ; 802
+ STL A, -11, *AR2+ ; 803
+ STL A, -11, *+AR2 ; 804
+ STL A, -11, *AR2-0B ; 805
+ STL A, -11, *AR2-0 ; 806
+ STL A, -11, *AR2+0 ; 807
+ STL A, -11, *AR2+0B ; 808
+ STL A, -11, *AR2-% ; 809
+ STL A, -11, *AR2-0% ; 810
+ STL A, -11, *AR2+% ; 811
+ STL A, -11, *AR2+0% ; 812
+ STL A, -11, *AR2(040A0h) ; 813
+ STL A, -11, *+AR2(040A1h) ; 814
+ STL A, -11, *+AR2(040A2h)% ; 815
+ STL A, -11, *(040A3h) ; 816
+ STL A, -11, *AR3 ; 817
+ STL A, -11, *AR3- ; 818
+ STL A, -11, *AR3+ ; 819
+ STL A, -11, *+AR3 ; 820
+ STL A, -11, *AR3-0B ; 821
+ STL A, -11, *AR3-0 ; 822
+ STL A, -11, *AR3+0 ; 823
+ STL A, -11, *AR3+0B ; 824
+ STL A, -11, *AR3-% ; 825
+ STL A, -11, *AR3-0% ; 826
+ STL A, -11, *AR3+% ; 827
+ STL A, -11, *AR3+0% ; 828
+ STL A, -11, *AR3(040A4h) ; 829
+ STL A, -11, *+AR3(040A5h) ; 830
+ STL A, -11, *+AR3(040A6h)% ; 831
+ STL A, -11, *(040A7h) ; 832
+ STL A, -11, *AR4 ; 833
+ STL A, -11, *AR4- ; 834
+ STL A, -11, *AR4+ ; 835
+ STL A, -11, *+AR4 ; 836
+ STL A, -11, *AR4-0B ; 837
+ STL A, -11, *AR4-0 ; 838
+ STL A, -11, *AR4+0 ; 839
+ STL A, -11, *AR4+0B ; 840
+ STL A, -11, *AR4-% ; 841
+ STL A, -11, *AR4-0% ; 842
+ STL A, -11, *AR4+% ; 843
+ STL A, -11, *AR4+0% ; 844
+ STL A, -11, *AR4(040A8h) ; 845
+ STL A, -11, *+AR4(040A9h) ; 846
+ STL A, -11, *+AR4(040AAh)% ; 847
+ STL A, -11, *(040ABh) ; 848
+ STL A, -11, *AR5 ; 849
+ STL A, -11, *AR5- ; 850
+ STL A, -11, *AR5+ ; 851
+ STL A, -11, *+AR5 ; 852
+ STL A, -11, *AR5-0B ; 853
+ STL A, -11, *AR5-0 ; 854
+ STL A, -11, *AR5+0 ; 855
+ STL A, -11, *AR5+0B ; 856
+ STL A, -11, *AR5-% ; 857
+ STL A, -11, *AR5-0% ; 858
+ STL A, -11, *AR5+% ; 859
+ STL A, -11, *AR5+0% ; 860
+ STL A, -11, *AR5(040ACh) ; 861
+ STL A, -11, *+AR5(040ADh) ; 862
+ STL A, -11, *+AR5(040AEh)% ; 863
+ STL A, -11, *(040AFh) ; 864
+ STL A, -11, *AR6 ; 865
+ STL A, -11, *AR6- ; 866
+ STL A, -11, *AR6+ ; 867
+ STL A, -11, *+AR6 ; 868
+ STL A, -11, *AR6-0B ; 869
+ STL A, -11, *AR6-0 ; 870
+ STL A, -11, *AR6+0 ; 871
+ STL A, -11, *AR6+0B ; 872
+ STL A, -11, *AR6-% ; 873
+ STL A, -11, *AR6-0% ; 874
+ STL A, -11, *AR6+% ; 875
+ STL A, -11, *AR6+0% ; 876
+ STL A, -11, *AR6(040B0h) ; 877
+ STL A, -11, *+AR6(040B1h) ; 878
+ STL A, -11, *+AR6(040B2h)% ; 879
+ STL A, -11, *(040B3h) ; 880
+ STL A, -11, *AR7 ; 881
+ STL A, -11, *AR7- ; 882
+ STL A, -11, *AR7+ ; 883
+ STL A, -11, *+AR7 ; 884
+ STL A, -11, *AR7-0B ; 885
+ STL A, -11, *AR7-0 ; 886
+ STL A, -11, *AR7+0 ; 887
+ STL A, -11, *AR7+0B ; 888
+ STL A, -11, *AR7-% ; 889
+ STL A, -11, *AR7-0% ; 890
+ STL A, -11, *AR7+% ; 891
+ STL A, -11, *AR7+0% ; 892
+ STL A, -11, *AR7(040B4h) ; 893
+ STL A, -11, *+AR7(040B5h) ; 894
+ STL A, -11, *+AR7(040B6h)% ; 895
+ STL A, -11, *(040B7h) ; 896
+ STL A, -10, *AR0 ; 897
+ STL A, -10, *AR0- ; 898
+ STL A, -10, *AR0+ ; 899
+ STL A, -10, *+AR0 ; 900
+ STL A, -10, *AR0-0B ; 901
+ STL A, -10, *AR0-0 ; 902
+ STL A, -10, *AR0+0 ; 903
+ STL A, -10, *AR0+0B ; 904
+ STL A, -10, *AR0-% ; 905
+ STL A, -10, *AR0-0% ; 906
+ STL A, -10, *AR0+% ; 907
+ STL A, -10, *AR0+0% ; 908
+ STL A, -10, *AR0(040B8h) ; 909
+ STL A, -10, *+AR0(040B9h) ; 910
+ STL A, -10, *+AR0(040BAh)% ; 911
+ STL A, -10, *(040BBh) ; 912
+ STL A, -10, *AR1 ; 913
+ STL A, -10, *AR1- ; 914
+ STL A, -10, *AR1+ ; 915
+ STL A, -10, *+AR1 ; 916
+ STL A, -10, *AR1-0B ; 917
+ STL A, -10, *AR1-0 ; 918
+ STL A, -10, *AR1+0 ; 919
+ STL A, -10, *AR1+0B ; 920
+ STL A, -10, *AR1-% ; 921
+ STL A, -10, *AR1-0% ; 922
+ STL A, -10, *AR1+% ; 923
+ STL A, -10, *AR1+0% ; 924
+ STL A, -10, *AR1(040BCh) ; 925
+ STL A, -10, *+AR1(040BDh) ; 926
+ STL A, -10, *+AR1(040BEh)% ; 927
+ STL A, -10, *(040BFh) ; 928
+ STL A, -10, *AR2 ; 929
+ STL A, -10, *AR2- ; 930
+ STL A, -10, *AR2+ ; 931
+ STL A, -10, *+AR2 ; 932
+ STL A, -10, *AR2-0B ; 933
+ STL A, -10, *AR2-0 ; 934
+ STL A, -10, *AR2+0 ; 935
+ STL A, -10, *AR2+0B ; 936
+ STL A, -10, *AR2-% ; 937
+ STL A, -10, *AR2-0% ; 938
+ STL A, -10, *AR2+% ; 939
+ STL A, -10, *AR2+0% ; 940
+ STL A, -10, *AR2(040C0h) ; 941
+ STL A, -10, *+AR2(040C1h) ; 942
+ STL A, -10, *+AR2(040C2h)% ; 943
+ STL A, -10, *(040C3h) ; 944
+ STL A, -10, *AR3 ; 945
+ STL A, -10, *AR3- ; 946
+ STL A, -10, *AR3+ ; 947
+ STL A, -10, *+AR3 ; 948
+ STL A, -10, *AR3-0B ; 949
+ STL A, -10, *AR3-0 ; 950
+ STL A, -10, *AR3+0 ; 951
+ STL A, -10, *AR3+0B ; 952
+ STL A, -10, *AR3-% ; 953
+ STL A, -10, *AR3-0% ; 954
+ STL A, -10, *AR3+% ; 955
+ STL A, -10, *AR3+0% ; 956
+ STL A, -10, *AR3(040C4h) ; 957
+ STL A, -10, *+AR3(040C5h) ; 958
+ STL A, -10, *+AR3(040C6h)% ; 959
+ STL A, -10, *(040C7h) ; 960
+ STL A, -10, *AR4 ; 961
+ STL A, -10, *AR4- ; 962
+ STL A, -10, *AR4+ ; 963
+ STL A, -10, *+AR4 ; 964
+ STL A, -10, *AR4-0B ; 965
+ STL A, -10, *AR4-0 ; 966
+ STL A, -10, *AR4+0 ; 967
+ STL A, -10, *AR4+0B ; 968
+ STL A, -10, *AR4-% ; 969
+ STL A, -10, *AR4-0% ; 970
+ STL A, -10, *AR4+% ; 971
+ STL A, -10, *AR4+0% ; 972
+ STL A, -10, *AR4(040C8h) ; 973
+ STL A, -10, *+AR4(040C9h) ; 974
+ STL A, -10, *+AR4(040CAh)% ; 975
+ STL A, -10, *(040CBh) ; 976
+ STL A, -10, *AR5 ; 977
+ STL A, -10, *AR5- ; 978
+ STL A, -10, *AR5+ ; 979
+ STL A, -10, *+AR5 ; 980
+ STL A, -10, *AR5-0B ; 981
+ STL A, -10, *AR5-0 ; 982
+ STL A, -10, *AR5+0 ; 983
+ STL A, -10, *AR5+0B ; 984
+ STL A, -10, *AR5-% ; 985
+ STL A, -10, *AR5-0% ; 986
+ STL A, -10, *AR5+% ; 987
+ STL A, -10, *AR5+0% ; 988
+ STL A, -10, *AR5(040CCh) ; 989
+ STL A, -10, *+AR5(040CDh) ; 990
+ STL A, -10, *+AR5(040CEh)% ; 991
+ STL A, -10, *(040CFh) ; 992
+ STL A, -10, *AR6 ; 993
+ STL A, -10, *AR6- ; 994
+ STL A, -10, *AR6+ ; 995
+ STL A, -10, *+AR6 ; 996
+ STL A, -10, *AR6-0B ; 997
+ STL A, -10, *AR6-0 ; 998
+ STL A, -10, *AR6+0 ; 999
+ STL A, -10, *AR6+0B ; 1000
+ STL A, -10, *AR6-% ; 1001
+ STL A, -10, *AR6-0% ; 1002
+ STL A, -10, *AR6+% ; 1003
+ STL A, -10, *AR6+0% ; 1004
+ STL A, -10, *AR6(040D0h) ; 1005
+ STL A, -10, *+AR6(040D1h) ; 1006
+ STL A, -10, *+AR6(040D2h)% ; 1007
+ STL A, -10, *(040D3h) ; 1008
+ STL A, -10, *AR7 ; 1009
+ STL A, -10, *AR7- ; 1010
+ STL A, -10, *AR7+ ; 1011
+ STL A, -10, *+AR7 ; 1012
+ STL A, -10, *AR7-0B ; 1013
+ STL A, -10, *AR7-0 ; 1014
+ STL A, -10, *AR7+0 ; 1015
+ STL A, -10, *AR7+0B ; 1016
+ STL A, -10, *AR7-% ; 1017
+ STL A, -10, *AR7-0% ; 1018
+ STL A, -10, *AR7+% ; 1019
+ STL A, -10, *AR7+0% ; 1020
+ STL A, -10, *AR7(040D4h) ; 1021
+ STL A, -10, *+AR7(040D5h) ; 1022
+ STL A, -10, *+AR7(040D6h)% ; 1023
+ STL A, -10, *(040D7h) ; 1024
+ STL A, -9, *AR0 ; 1025
+ STL A, -9, *AR0- ; 1026
+ STL A, -9, *AR0+ ; 1027
+ STL A, -9, *+AR0 ; 1028
+ STL A, -9, *AR0-0B ; 1029
+ STL A, -9, *AR0-0 ; 1030
+ STL A, -9, *AR0+0 ; 1031
+ STL A, -9, *AR0+0B ; 1032
+ STL A, -9, *AR0-% ; 1033
+ STL A, -9, *AR0-0% ; 1034
+ STL A, -9, *AR0+% ; 1035
+ STL A, -9, *AR0+0% ; 1036
+ STL A, -9, *AR0(040D8h) ; 1037
+ STL A, -9, *+AR0(040D9h) ; 1038
+ STL A, -9, *+AR0(040DAh)% ; 1039
+ STL A, -9, *(040DBh) ; 1040
+ STL A, -9, *AR1 ; 1041
+ STL A, -9, *AR1- ; 1042
+ STL A, -9, *AR1+ ; 1043
+ STL A, -9, *+AR1 ; 1044
+ STL A, -9, *AR1-0B ; 1045
+ STL A, -9, *AR1-0 ; 1046
+ STL A, -9, *AR1+0 ; 1047
+ STL A, -9, *AR1+0B ; 1048
+ STL A, -9, *AR1-% ; 1049
+ STL A, -9, *AR1-0% ; 1050
+ STL A, -9, *AR1+% ; 1051
+ STL A, -9, *AR1+0% ; 1052
+ STL A, -9, *AR1(040DCh) ; 1053
+ STL A, -9, *+AR1(040DDh) ; 1054
+ STL A, -9, *+AR1(040DEh)% ; 1055
+ STL A, -9, *(040DFh) ; 1056
+ STL A, -9, *AR2 ; 1057
+ STL A, -9, *AR2- ; 1058
+ STL A, -9, *AR2+ ; 1059
+ STL A, -9, *+AR2 ; 1060
+ STL A, -9, *AR2-0B ; 1061
+ STL A, -9, *AR2-0 ; 1062
+ STL A, -9, *AR2+0 ; 1063
+ STL A, -9, *AR2+0B ; 1064
+ STL A, -9, *AR2-% ; 1065
+ STL A, -9, *AR2-0% ; 1066
+ STL A, -9, *AR2+% ; 1067
+ STL A, -9, *AR2+0% ; 1068
+ STL A, -9, *AR2(040E0h) ; 1069
+ STL A, -9, *+AR2(040E1h) ; 1070
+ STL A, -9, *+AR2(040E2h)% ; 1071
+ STL A, -9, *(040E3h) ; 1072
+ STL A, -9, *AR3 ; 1073
+ STL A, -9, *AR3- ; 1074
+ STL A, -9, *AR3+ ; 1075
+ STL A, -9, *+AR3 ; 1076
+ STL A, -9, *AR3-0B ; 1077
+ STL A, -9, *AR3-0 ; 1078
+ STL A, -9, *AR3+0 ; 1079
+ STL A, -9, *AR3+0B ; 1080
+ STL A, -9, *AR3-% ; 1081
+ STL A, -9, *AR3-0% ; 1082
+ STL A, -9, *AR3+% ; 1083
+ STL A, -9, *AR3+0% ; 1084
+ STL A, -9, *AR3(040E4h) ; 1085
+ STL A, -9, *+AR3(040E5h) ; 1086
+ STL A, -9, *+AR3(040E6h)% ; 1087
+ STL A, -9, *(040E7h) ; 1088
+ STL A, -9, *AR4 ; 1089
+ STL A, -9, *AR4- ; 1090
+ STL A, -9, *AR4+ ; 1091
+ STL A, -9, *+AR4 ; 1092
+ STL A, -9, *AR4-0B ; 1093
+ STL A, -9, *AR4-0 ; 1094
+ STL A, -9, *AR4+0 ; 1095
+ STL A, -9, *AR4+0B ; 1096
+ STL A, -9, *AR4-% ; 1097
+ STL A, -9, *AR4-0% ; 1098
+ STL A, -9, *AR4+% ; 1099
+ STL A, -9, *AR4+0% ; 1100
+ STL A, -9, *AR4(040E8h) ; 1101
+ STL A, -9, *+AR4(040E9h) ; 1102
+ STL A, -9, *+AR4(040EAh)% ; 1103
+ STL A, -9, *(040EBh) ; 1104
+ STL A, -9, *AR5 ; 1105
+ STL A, -9, *AR5- ; 1106
+ STL A, -9, *AR5+ ; 1107
+ STL A, -9, *+AR5 ; 1108
+ STL A, -9, *AR5-0B ; 1109
+ STL A, -9, *AR5-0 ; 1110
+ STL A, -9, *AR5+0 ; 1111
+ STL A, -9, *AR5+0B ; 1112
+ STL A, -9, *AR5-% ; 1113
+ STL A, -9, *AR5-0% ; 1114
+ STL A, -9, *AR5+% ; 1115
+ STL A, -9, *AR5+0% ; 1116
+ STL A, -9, *AR5(040ECh) ; 1117
+ STL A, -9, *+AR5(040EDh) ; 1118
+ STL A, -9, *+AR5(040EEh)% ; 1119
+ STL A, -9, *(040EFh) ; 1120
+ STL A, -9, *AR6 ; 1121
+ STL A, -9, *AR6- ; 1122
+ STL A, -9, *AR6+ ; 1123
+ STL A, -9, *+AR6 ; 1124
+ STL A, -9, *AR6-0B ; 1125
+ STL A, -9, *AR6-0 ; 1126
+ STL A, -9, *AR6+0 ; 1127
+ STL A, -9, *AR6+0B ; 1128
+ STL A, -9, *AR6-% ; 1129
+ STL A, -9, *AR6-0% ; 1130
+ STL A, -9, *AR6+% ; 1131
+ STL A, -9, *AR6+0% ; 1132
+ STL A, -9, *AR6(040F0h) ; 1133
+ STL A, -9, *+AR6(040F1h) ; 1134
+ STL A, -9, *+AR6(040F2h)% ; 1135
+ STL A, -9, *(040F3h) ; 1136
+ STL A, -9, *AR7 ; 1137
+ STL A, -9, *AR7- ; 1138
+ STL A, -9, *AR7+ ; 1139
+ STL A, -9, *+AR7 ; 1140
+ STL A, -9, *AR7-0B ; 1141
+ STL A, -9, *AR7-0 ; 1142
+ STL A, -9, *AR7+0 ; 1143
+ STL A, -9, *AR7+0B ; 1144
+ STL A, -9, *AR7-% ; 1145
+ STL A, -9, *AR7-0% ; 1146
+ STL A, -9, *AR7+% ; 1147
+ STL A, -9, *AR7+0% ; 1148
+ STL A, -9, *AR7(040F4h) ; 1149
+ STL A, -9, *+AR7(040F5h) ; 1150
+ STL A, -9, *+AR7(040F6h)% ; 1151
+ STL A, -9, *(040F7h) ; 1152
+ STL A, -8, *AR0 ; 1153
+ STL A, -8, *AR0- ; 1154
+ STL A, -8, *AR0+ ; 1155
+ STL A, -8, *+AR0 ; 1156
+ STL A, -8, *AR0-0B ; 1157
+ STL A, -8, *AR0-0 ; 1158
+ STL A, -8, *AR0+0 ; 1159
+ STL A, -8, *AR0+0B ; 1160
+ STL A, -8, *AR0-% ; 1161
+ STL A, -8, *AR0-0% ; 1162
+ STL A, -8, *AR0+% ; 1163
+ STL A, -8, *AR0+0% ; 1164
+ STL A, -8, *AR0(040F8h) ; 1165
+ STL A, -8, *+AR0(040F9h) ; 1166
+ STL A, -8, *+AR0(040FAh)% ; 1167
+ STL A, -8, *(040FBh) ; 1168
+ STL A, -8, *AR1 ; 1169
+ STL A, -8, *AR1- ; 1170
+ STL A, -8, *AR1+ ; 1171
+ STL A, -8, *+AR1 ; 1172
+ STL A, -8, *AR1-0B ; 1173
+ STL A, -8, *AR1-0 ; 1174
+ STL A, -8, *AR1+0 ; 1175
+ STL A, -8, *AR1+0B ; 1176
+ STL A, -8, *AR1-% ; 1177
+ STL A, -8, *AR1-0% ; 1178
+ STL A, -8, *AR1+% ; 1179
+ STL A, -8, *AR1+0% ; 1180
+ STL A, -8, *AR1(040FCh) ; 1181
+ STL A, -8, *+AR1(040FDh) ; 1182
+ STL A, -8, *+AR1(040FEh)% ; 1183
+ STL A, -8, *(040FFh) ; 1184
+ STL A, -8, *AR2 ; 1185
+ STL A, -8, *AR2- ; 1186
+ STL A, -8, *AR2+ ; 1187
+ STL A, -8, *+AR2 ; 1188
+ STL A, -8, *AR2-0B ; 1189
+ STL A, -8, *AR2-0 ; 1190
+ STL A, -8, *AR2+0 ; 1191
+ STL A, -8, *AR2+0B ; 1192
+ STL A, -8, *AR2-% ; 1193
+ STL A, -8, *AR2-0% ; 1194
+ STL A, -8, *AR2+% ; 1195
+ STL A, -8, *AR2+0% ; 1196
+ STL A, -8, *AR2(04100h) ; 1197
+ STL A, -8, *+AR2(04101h) ; 1198
+ STL A, -8, *+AR2(04102h)% ; 1199
+ STL A, -8, *(04103h) ; 1200
+ STL A, -8, *AR3 ; 1201
+ STL A, -8, *AR3- ; 1202
+ STL A, -8, *AR3+ ; 1203
+ STL A, -8, *+AR3 ; 1204
+ STL A, -8, *AR3-0B ; 1205
+ STL A, -8, *AR3-0 ; 1206
+ STL A, -8, *AR3+0 ; 1207
+ STL A, -8, *AR3+0B ; 1208
+ STL A, -8, *AR3-% ; 1209
+ STL A, -8, *AR3-0% ; 1210
+ STL A, -8, *AR3+% ; 1211
+ STL A, -8, *AR3+0% ; 1212
+ STL A, -8, *AR3(04104h) ; 1213
+ STL A, -8, *+AR3(04105h) ; 1214
+ STL A, -8, *+AR3(04106h)% ; 1215
+ STL A, -8, *(04107h) ; 1216
+ STL A, -8, *AR4 ; 1217
+ STL A, -8, *AR4- ; 1218
+ STL A, -8, *AR4+ ; 1219
+ STL A, -8, *+AR4 ; 1220
+ STL A, -8, *AR4-0B ; 1221
+ STL A, -8, *AR4-0 ; 1222
+ STL A, -8, *AR4+0 ; 1223
+ STL A, -8, *AR4+0B ; 1224
+ STL A, -8, *AR4-% ; 1225
+ STL A, -8, *AR4-0% ; 1226
+ STL A, -8, *AR4+% ; 1227
+ STL A, -8, *AR4+0% ; 1228
+ STL A, -8, *AR4(04108h) ; 1229
+ STL A, -8, *+AR4(04109h) ; 1230
+ STL A, -8, *+AR4(0410Ah)% ; 1231
+ STL A, -8, *(0410Bh) ; 1232
+ STL A, -8, *AR5 ; 1233
+ STL A, -8, *AR5- ; 1234
+ STL A, -8, *AR5+ ; 1235
+ STL A, -8, *+AR5 ; 1236
+ STL A, -8, *AR5-0B ; 1237
+ STL A, -8, *AR5-0 ; 1238
+ STL A, -8, *AR5+0 ; 1239
+ STL A, -8, *AR5+0B ; 1240
+ STL A, -8, *AR5-% ; 1241
+ STL A, -8, *AR5-0% ; 1242
+ STL A, -8, *AR5+% ; 1243
+ STL A, -8, *AR5+0% ; 1244
+ STL A, -8, *AR5(0410Ch) ; 1245
+ STL A, -8, *+AR5(0410Dh) ; 1246
+ STL A, -8, *+AR5(0410Eh)% ; 1247
+ STL A, -8, *(0410Fh) ; 1248
+ STL A, -8, *AR6 ; 1249
+ STL A, -8, *AR6- ; 1250
+ STL A, -8, *AR6+ ; 1251
+ STL A, -8, *+AR6 ; 1252
+ STL A, -8, *AR6-0B ; 1253
+ STL A, -8, *AR6-0 ; 1254
+ STL A, -8, *AR6+0 ; 1255
+ STL A, -8, *AR6+0B ; 1256
+ STL A, -8, *AR6-% ; 1257
+ STL A, -8, *AR6-0% ; 1258
+ STL A, -8, *AR6+% ; 1259
+ STL A, -8, *AR6+0% ; 1260
+ STL A, -8, *AR6(04110h) ; 1261
+ STL A, -8, *+AR6(04111h) ; 1262
+ STL A, -8, *+AR6(04112h)% ; 1263
+ STL A, -8, *(04113h) ; 1264
+ STL A, -8, *AR7 ; 1265
+ STL A, -8, *AR7- ; 1266
+ STL A, -8, *AR7+ ; 1267
+ STL A, -8, *+AR7 ; 1268
+ STL A, -8, *AR7-0B ; 1269
+ STL A, -8, *AR7-0 ; 1270
+ STL A, -8, *AR7+0 ; 1271
+ STL A, -8, *AR7+0B ; 1272
+ STL A, -8, *AR7-% ; 1273
+ STL A, -8, *AR7-0% ; 1274
+ STL A, -8, *AR7+% ; 1275
+ STL A, -8, *AR7+0% ; 1276
+ STL A, -8, *AR7(04114h) ; 1277
+ STL A, -8, *+AR7(04115h) ; 1278
+ STL A, -8, *+AR7(04116h)% ; 1279
+ STL A, -8, *(04117h) ; 1280
+ STL A, -7, *AR0 ; 1281
+ STL A, -7, *AR0- ; 1282
+ STL A, -7, *AR0+ ; 1283
+ STL A, -7, *+AR0 ; 1284
+ STL A, -7, *AR0-0B ; 1285
+ STL A, -7, *AR0-0 ; 1286
+ STL A, -7, *AR0+0 ; 1287
+ STL A, -7, *AR0+0B ; 1288
+ STL A, -7, *AR0-% ; 1289
+ STL A, -7, *AR0-0% ; 1290
+ STL A, -7, *AR0+% ; 1291
+ STL A, -7, *AR0+0% ; 1292
+ STL A, -7, *AR0(04118h) ; 1293
+ STL A, -7, *+AR0(04119h) ; 1294
+ STL A, -7, *+AR0(0411Ah)% ; 1295
+ STL A, -7, *(0411Bh) ; 1296
+ STL A, -7, *AR1 ; 1297
+ STL A, -7, *AR1- ; 1298
+ STL A, -7, *AR1+ ; 1299
+ STL A, -7, *+AR1 ; 1300
+ STL A, -7, *AR1-0B ; 1301
+ STL A, -7, *AR1-0 ; 1302
+ STL A, -7, *AR1+0 ; 1303
+ STL A, -7, *AR1+0B ; 1304
+ STL A, -7, *AR1-% ; 1305
+ STL A, -7, *AR1-0% ; 1306
+ STL A, -7, *AR1+% ; 1307
+ STL A, -7, *AR1+0% ; 1308
+ STL A, -7, *AR1(0411Ch) ; 1309
+ STL A, -7, *+AR1(0411Dh) ; 1310
+ STL A, -7, *+AR1(0411Eh)% ; 1311
+ STL A, -7, *(0411Fh) ; 1312
+ STL A, -7, *AR2 ; 1313
+ STL A, -7, *AR2- ; 1314
+ STL A, -7, *AR2+ ; 1315
+ STL A, -7, *+AR2 ; 1316
+ STL A, -7, *AR2-0B ; 1317
+ STL A, -7, *AR2-0 ; 1318
+ STL A, -7, *AR2+0 ; 1319
+ STL A, -7, *AR2+0B ; 1320
+ STL A, -7, *AR2-% ; 1321
+ STL A, -7, *AR2-0% ; 1322
+ STL A, -7, *AR2+% ; 1323
+ STL A, -7, *AR2+0% ; 1324
+ STL A, -7, *AR2(04120h) ; 1325
+ STL A, -7, *+AR2(04121h) ; 1326
+ STL A, -7, *+AR2(04122h)% ; 1327
+ STL A, -7, *(04123h) ; 1328
+ STL A, -7, *AR3 ; 1329
+ STL A, -7, *AR3- ; 1330
+ STL A, -7, *AR3+ ; 1331
+ STL A, -7, *+AR3 ; 1332
+ STL A, -7, *AR3-0B ; 1333
+ STL A, -7, *AR3-0 ; 1334
+ STL A, -7, *AR3+0 ; 1335
+ STL A, -7, *AR3+0B ; 1336
+ STL A, -7, *AR3-% ; 1337
+ STL A, -7, *AR3-0% ; 1338
+ STL A, -7, *AR3+% ; 1339
+ STL A, -7, *AR3+0% ; 1340
+ STL A, -7, *AR3(04124h) ; 1341
+ STL A, -7, *+AR3(04125h) ; 1342
+ STL A, -7, *+AR3(04126h)% ; 1343
+ STL A, -7, *(04127h) ; 1344
+ STL A, -7, *AR4 ; 1345
+ STL A, -7, *AR4- ; 1346
+ STL A, -7, *AR4+ ; 1347
+ STL A, -7, *+AR4 ; 1348
+ STL A, -7, *AR4-0B ; 1349
+ STL A, -7, *AR4-0 ; 1350
+ STL A, -7, *AR4+0 ; 1351
+ STL A, -7, *AR4+0B ; 1352
+ STL A, -7, *AR4-% ; 1353
+ STL A, -7, *AR4-0% ; 1354
+ STL A, -7, *AR4+% ; 1355
+ STL A, -7, *AR4+0% ; 1356
+ STL A, -7, *AR4(04128h) ; 1357
+ STL A, -7, *+AR4(04129h) ; 1358
+ STL A, -7, *+AR4(0412Ah)% ; 1359
+ STL A, -7, *(0412Bh) ; 1360
+ STL A, -7, *AR5 ; 1361
+ STL A, -7, *AR5- ; 1362
+ STL A, -7, *AR5+ ; 1363
+ STL A, -7, *+AR5 ; 1364
+ STL A, -7, *AR5-0B ; 1365
+ STL A, -7, *AR5-0 ; 1366
+ STL A, -7, *AR5+0 ; 1367
+ STL A, -7, *AR5+0B ; 1368
+ STL A, -7, *AR5-% ; 1369
+ STL A, -7, *AR5-0% ; 1370
+ STL A, -7, *AR5+% ; 1371
+ STL A, -7, *AR5+0% ; 1372
+ STL A, -7, *AR5(0412Ch) ; 1373
+ STL A, -7, *+AR5(0412Dh) ; 1374
+ STL A, -7, *+AR5(0412Eh)% ; 1375
+ STL A, -7, *(0412Fh) ; 1376
+ STL A, -7, *AR6 ; 1377
+ STL A, -7, *AR6- ; 1378
+ STL A, -7, *AR6+ ; 1379
+ STL A, -7, *+AR6 ; 1380
+ STL A, -7, *AR6-0B ; 1381
+ STL A, -7, *AR6-0 ; 1382
+ STL A, -7, *AR6+0 ; 1383
+ STL A, -7, *AR6+0B ; 1384
+ STL A, -7, *AR6-% ; 1385
+ STL A, -7, *AR6-0% ; 1386
+ STL A, -7, *AR6+% ; 1387
+ STL A, -7, *AR6+0% ; 1388
+ STL A, -7, *AR6(04130h) ; 1389
+ STL A, -7, *+AR6(04131h) ; 1390
+ STL A, -7, *+AR6(04132h)% ; 1391
+ STL A, -7, *(04133h) ; 1392
+ STL A, -7, *AR7 ; 1393
+ STL A, -7, *AR7- ; 1394
+ STL A, -7, *AR7+ ; 1395
+ STL A, -7, *+AR7 ; 1396
+ STL A, -7, *AR7-0B ; 1397
+ STL A, -7, *AR7-0 ; 1398
+ STL A, -7, *AR7+0 ; 1399
+ STL A, -7, *AR7+0B ; 1400
+ STL A, -7, *AR7-% ; 1401
+ STL A, -7, *AR7-0% ; 1402
+ STL A, -7, *AR7+% ; 1403
+ STL A, -7, *AR7+0% ; 1404
+ STL A, -7, *AR7(04134h) ; 1405
+ STL A, -7, *+AR7(04135h) ; 1406
+ STL A, -7, *+AR7(04136h)% ; 1407
+ STL A, -7, *(04137h) ; 1408
+ STL A, -6, *AR0 ; 1409
+ STL A, -6, *AR0- ; 1410
+ STL A, -6, *AR0+ ; 1411
+ STL A, -6, *+AR0 ; 1412
+ STL A, -6, *AR0-0B ; 1413
+ STL A, -6, *AR0-0 ; 1414
+ STL A, -6, *AR0+0 ; 1415
+ STL A, -6, *AR0+0B ; 1416
+ STL A, -6, *AR0-% ; 1417
+ STL A, -6, *AR0-0% ; 1418
+ STL A, -6, *AR0+% ; 1419
+ STL A, -6, *AR0+0% ; 1420
+ STL A, -6, *AR0(04138h) ; 1421
+ STL A, -6, *+AR0(04139h) ; 1422
+ STL A, -6, *+AR0(0413Ah)% ; 1423
+ STL A, -6, *(0413Bh) ; 1424
+ STL A, -6, *AR1 ; 1425
+ STL A, -6, *AR1- ; 1426
+ STL A, -6, *AR1+ ; 1427
+ STL A, -6, *+AR1 ; 1428
+ STL A, -6, *AR1-0B ; 1429
+ STL A, -6, *AR1-0 ; 1430
+ STL A, -6, *AR1+0 ; 1431
+ STL A, -6, *AR1+0B ; 1432
+ STL A, -6, *AR1-% ; 1433
+ STL A, -6, *AR1-0% ; 1434
+ STL A, -6, *AR1+% ; 1435
+ STL A, -6, *AR1+0% ; 1436
+ STL A, -6, *AR1(0413Ch) ; 1437
+ STL A, -6, *+AR1(0413Dh) ; 1438
+ STL A, -6, *+AR1(0413Eh)% ; 1439
+ STL A, -6, *(0413Fh) ; 1440
+ STL A, -6, *AR2 ; 1441
+ STL A, -6, *AR2- ; 1442
+ STL A, -6, *AR2+ ; 1443
+ STL A, -6, *+AR2 ; 1444
+ STL A, -6, *AR2-0B ; 1445
+ STL A, -6, *AR2-0 ; 1446
+ STL A, -6, *AR2+0 ; 1447
+ STL A, -6, *AR2+0B ; 1448
+ STL A, -6, *AR2-% ; 1449
+ STL A, -6, *AR2-0% ; 1450
+ STL A, -6, *AR2+% ; 1451
+ STL A, -6, *AR2+0% ; 1452
+ STL A, -6, *AR2(04140h) ; 1453
+ STL A, -6, *+AR2(04141h) ; 1454
+ STL A, -6, *+AR2(04142h)% ; 1455
+ STL A, -6, *(04143h) ; 1456
+ STL A, -6, *AR3 ; 1457
+ STL A, -6, *AR3- ; 1458
+ STL A, -6, *AR3+ ; 1459
+ STL A, -6, *+AR3 ; 1460
+ STL A, -6, *AR3-0B ; 1461
+ STL A, -6, *AR3-0 ; 1462
+ STL A, -6, *AR3+0 ; 1463
+ STL A, -6, *AR3+0B ; 1464
+ STL A, -6, *AR3-% ; 1465
+ STL A, -6, *AR3-0% ; 1466
+ STL A, -6, *AR3+% ; 1467
+ STL A, -6, *AR3+0% ; 1468
+ STL A, -6, *AR3(04144h) ; 1469
+ STL A, -6, *+AR3(04145h) ; 1470
+ STL A, -6, *+AR3(04146h)% ; 1471
+ STL A, -6, *(04147h) ; 1472
+ STL A, -6, *AR4 ; 1473
+ STL A, -6, *AR4- ; 1474
+ STL A, -6, *AR4+ ; 1475
+ STL A, -6, *+AR4 ; 1476
+ STL A, -6, *AR4-0B ; 1477
+ STL A, -6, *AR4-0 ; 1478
+ STL A, -6, *AR4+0 ; 1479
+ STL A, -6, *AR4+0B ; 1480
+ STL A, -6, *AR4-% ; 1481
+ STL A, -6, *AR4-0% ; 1482
+ STL A, -6, *AR4+% ; 1483
+ STL A, -6, *AR4+0% ; 1484
+ STL A, -6, *AR4(04148h) ; 1485
+ STL A, -6, *+AR4(04149h) ; 1486
+ STL A, -6, *+AR4(0414Ah)% ; 1487
+ STL A, -6, *(0414Bh) ; 1488
+ STL A, -6, *AR5 ; 1489
+ STL A, -6, *AR5- ; 1490
+ STL A, -6, *AR5+ ; 1491
+ STL A, -6, *+AR5 ; 1492
+ STL A, -6, *AR5-0B ; 1493
+ STL A, -6, *AR5-0 ; 1494
+ STL A, -6, *AR5+0 ; 1495
+ STL A, -6, *AR5+0B ; 1496
+ STL A, -6, *AR5-% ; 1497
+ STL A, -6, *AR5-0% ; 1498
+ STL A, -6, *AR5+% ; 1499
+ STL A, -6, *AR5+0% ; 1500
+ STL A, -6, *AR5(0414Ch) ; 1501
+ STL A, -6, *+AR5(0414Dh) ; 1502
+ STL A, -6, *+AR5(0414Eh)% ; 1503
+ STL A, -6, *(0414Fh) ; 1504
+ STL A, -6, *AR6 ; 1505
+ STL A, -6, *AR6- ; 1506
+ STL A, -6, *AR6+ ; 1507
+ STL A, -6, *+AR6 ; 1508
+ STL A, -6, *AR6-0B ; 1509
+ STL A, -6, *AR6-0 ; 1510
+ STL A, -6, *AR6+0 ; 1511
+ STL A, -6, *AR6+0B ; 1512
+ STL A, -6, *AR6-% ; 1513
+ STL A, -6, *AR6-0% ; 1514
+ STL A, -6, *AR6+% ; 1515
+ STL A, -6, *AR6+0% ; 1516
+ STL A, -6, *AR6(04150h) ; 1517
+ STL A, -6, *+AR6(04151h) ; 1518
+ STL A, -6, *+AR6(04152h)% ; 1519
+ STL A, -6, *(04153h) ; 1520
+ STL A, -6, *AR7 ; 1521
+ STL A, -6, *AR7- ; 1522
+ STL A, -6, *AR7+ ; 1523
+ STL A, -6, *+AR7 ; 1524
+ STL A, -6, *AR7-0B ; 1525
+ STL A, -6, *AR7-0 ; 1526
+ STL A, -6, *AR7+0 ; 1527
+ STL A, -6, *AR7+0B ; 1528
+ STL A, -6, *AR7-% ; 1529
+ STL A, -6, *AR7-0% ; 1530
+ STL A, -6, *AR7+% ; 1531
+ STL A, -6, *AR7+0% ; 1532
+ STL A, -6, *AR7(04154h) ; 1533
+ STL A, -6, *+AR7(04155h) ; 1534
+ STL A, -6, *+AR7(04156h)% ; 1535
+ STL A, -6, *(04157h) ; 1536
+ STL A, -5, *AR0 ; 1537
+ STL A, -5, *AR0- ; 1538
+ STL A, -5, *AR0+ ; 1539
+ STL A, -5, *+AR0 ; 1540
+ STL A, -5, *AR0-0B ; 1541
+ STL A, -5, *AR0-0 ; 1542
+ STL A, -5, *AR0+0 ; 1543
+ STL A, -5, *AR0+0B ; 1544
+ STL A, -5, *AR0-% ; 1545
+ STL A, -5, *AR0-0% ; 1546
+ STL A, -5, *AR0+% ; 1547
+ STL A, -5, *AR0+0% ; 1548
+ STL A, -5, *AR0(04158h) ; 1549
+ STL A, -5, *+AR0(04159h) ; 1550
+ STL A, -5, *+AR0(0415Ah)% ; 1551
+ STL A, -5, *(0415Bh) ; 1552
+ STL A, -5, *AR1 ; 1553
+ STL A, -5, *AR1- ; 1554
+ STL A, -5, *AR1+ ; 1555
+ STL A, -5, *+AR1 ; 1556
+ STL A, -5, *AR1-0B ; 1557
+ STL A, -5, *AR1-0 ; 1558
+ STL A, -5, *AR1+0 ; 1559
+ STL A, -5, *AR1+0B ; 1560
+ STL A, -5, *AR1-% ; 1561
+ STL A, -5, *AR1-0% ; 1562
+ STL A, -5, *AR1+% ; 1563
+ STL A, -5, *AR1+0% ; 1564
+ STL A, -5, *AR1(0415Ch) ; 1565
+ STL A, -5, *+AR1(0415Dh) ; 1566
+ STL A, -5, *+AR1(0415Eh)% ; 1567
+ STL A, -5, *(0415Fh) ; 1568
+ STL A, -5, *AR2 ; 1569
+ STL A, -5, *AR2- ; 1570
+ STL A, -5, *AR2+ ; 1571
+ STL A, -5, *+AR2 ; 1572
+ STL A, -5, *AR2-0B ; 1573
+ STL A, -5, *AR2-0 ; 1574
+ STL A, -5, *AR2+0 ; 1575
+ STL A, -5, *AR2+0B ; 1576
+ STL A, -5, *AR2-% ; 1577
+ STL A, -5, *AR2-0% ; 1578
+ STL A, -5, *AR2+% ; 1579
+ STL A, -5, *AR2+0% ; 1580
+ STL A, -5, *AR2(04160h) ; 1581
+ STL A, -5, *+AR2(04161h) ; 1582
+ STL A, -5, *+AR2(04162h)% ; 1583
+ STL A, -5, *(04163h) ; 1584
+ STL A, -5, *AR3 ; 1585
+ STL A, -5, *AR3- ; 1586
+ STL A, -5, *AR3+ ; 1587
+ STL A, -5, *+AR3 ; 1588
+ STL A, -5, *AR3-0B ; 1589
+ STL A, -5, *AR3-0 ; 1590
+ STL A, -5, *AR3+0 ; 1591
+ STL A, -5, *AR3+0B ; 1592
+ STL A, -5, *AR3-% ; 1593
+ STL A, -5, *AR3-0% ; 1594
+ STL A, -5, *AR3+% ; 1595
+ STL A, -5, *AR3+0% ; 1596
+ STL A, -5, *AR3(04164h) ; 1597
+ STL A, -5, *+AR3(04165h) ; 1598
+ STL A, -5, *+AR3(04166h)% ; 1599
+ STL A, -5, *(04167h) ; 1600
+ STL A, -5, *AR4 ; 1601
+ STL A, -5, *AR4- ; 1602
+ STL A, -5, *AR4+ ; 1603
+ STL A, -5, *+AR4 ; 1604
+ STL A, -5, *AR4-0B ; 1605
+ STL A, -5, *AR4-0 ; 1606
+ STL A, -5, *AR4+0 ; 1607
+ STL A, -5, *AR4+0B ; 1608
+ STL A, -5, *AR4-% ; 1609
+ STL A, -5, *AR4-0% ; 1610
+ STL A, -5, *AR4+% ; 1611
+ STL A, -5, *AR4+0% ; 1612
+ STL A, -5, *AR4(04168h) ; 1613
+ STL A, -5, *+AR4(04169h) ; 1614
+ STL A, -5, *+AR4(0416Ah)% ; 1615
+ STL A, -5, *(0416Bh) ; 1616
+ STL A, -5, *AR5 ; 1617
+ STL A, -5, *AR5- ; 1618
+ STL A, -5, *AR5+ ; 1619
+ STL A, -5, *+AR5 ; 1620
+ STL A, -5, *AR5-0B ; 1621
+ STL A, -5, *AR5-0 ; 1622
+ STL A, -5, *AR5+0 ; 1623
+ STL A, -5, *AR5+0B ; 1624
+ STL A, -5, *AR5-% ; 1625
+ STL A, -5, *AR5-0% ; 1626
+ STL A, -5, *AR5+% ; 1627
+ STL A, -5, *AR5+0% ; 1628
+ STL A, -5, *AR5(0416Ch) ; 1629
+ STL A, -5, *+AR5(0416Dh) ; 1630
+ STL A, -5, *+AR5(0416Eh)% ; 1631
+ STL A, -5, *(0416Fh) ; 1632
+ STL A, -5, *AR6 ; 1633
+ STL A, -5, *AR6- ; 1634
+ STL A, -5, *AR6+ ; 1635
+ STL A, -5, *+AR6 ; 1636
+ STL A, -5, *AR6-0B ; 1637
+ STL A, -5, *AR6-0 ; 1638
+ STL A, -5, *AR6+0 ; 1639
+ STL A, -5, *AR6+0B ; 1640
+ STL A, -5, *AR6-% ; 1641
+ STL A, -5, *AR6-0% ; 1642
+ STL A, -5, *AR6+% ; 1643
+ STL A, -5, *AR6+0% ; 1644
+ STL A, -5, *AR6(04170h) ; 1645
+ STL A, -5, *+AR6(04171h) ; 1646
+ STL A, -5, *+AR6(04172h)% ; 1647
+ STL A, -5, *(04173h) ; 1648
+ STL A, -5, *AR7 ; 1649
+ STL A, -5, *AR7- ; 1650
+ STL A, -5, *AR7+ ; 1651
+ STL A, -5, *+AR7 ; 1652
+ STL A, -5, *AR7-0B ; 1653
+ STL A, -5, *AR7-0 ; 1654
+ STL A, -5, *AR7+0 ; 1655
+ STL A, -5, *AR7+0B ; 1656
+ STL A, -5, *AR7-% ; 1657
+ STL A, -5, *AR7-0% ; 1658
+ STL A, -5, *AR7+% ; 1659
+ STL A, -5, *AR7+0% ; 1660
+ STL A, -5, *AR7(04174h) ; 1661
+ STL A, -5, *+AR7(04175h) ; 1662
+ STL A, -5, *+AR7(04176h)% ; 1663
+ STL A, -5, *(04177h) ; 1664
+ STL A, -4, *AR0 ; 1665
+ STL A, -4, *AR0- ; 1666
+ STL A, -4, *AR0+ ; 1667
+ STL A, -4, *+AR0 ; 1668
+ STL A, -4, *AR0-0B ; 1669
+ STL A, -4, *AR0-0 ; 1670
+ STL A, -4, *AR0+0 ; 1671
+ STL A, -4, *AR0+0B ; 1672
+ STL A, -4, *AR0-% ; 1673
+ STL A, -4, *AR0-0% ; 1674
+ STL A, -4, *AR0+% ; 1675
+ STL A, -4, *AR0+0% ; 1676
+ STL A, -4, *AR0(04178h) ; 1677
+ STL A, -4, *+AR0(04179h) ; 1678
+ STL A, -4, *+AR0(0417Ah)% ; 1679
+ STL A, -4, *(0417Bh) ; 1680
+ STL A, -4, *AR1 ; 1681
+ STL A, -4, *AR1- ; 1682
+ STL A, -4, *AR1+ ; 1683
+ STL A, -4, *+AR1 ; 1684
+ STL A, -4, *AR1-0B ; 1685
+ STL A, -4, *AR1-0 ; 1686
+ STL A, -4, *AR1+0 ; 1687
+ STL A, -4, *AR1+0B ; 1688
+ STL A, -4, *AR1-% ; 1689
+ STL A, -4, *AR1-0% ; 1690
+ STL A, -4, *AR1+% ; 1691
+ STL A, -4, *AR1+0% ; 1692
+ STL A, -4, *AR1(0417Ch) ; 1693
+ STL A, -4, *+AR1(0417Dh) ; 1694
+ STL A, -4, *+AR1(0417Eh)% ; 1695
+ STL A, -4, *(0417Fh) ; 1696
+ STL A, -4, *AR2 ; 1697
+ STL A, -4, *AR2- ; 1698
+ STL A, -4, *AR2+ ; 1699
+ STL A, -4, *+AR2 ; 1700
+ STL A, -4, *AR2-0B ; 1701
+ STL A, -4, *AR2-0 ; 1702
+ STL A, -4, *AR2+0 ; 1703
+ STL A, -4, *AR2+0B ; 1704
+ STL A, -4, *AR2-% ; 1705
+ STL A, -4, *AR2-0% ; 1706
+ STL A, -4, *AR2+% ; 1707
+ STL A, -4, *AR2+0% ; 1708
+ STL A, -4, *AR2(04180h) ; 1709
+ STL A, -4, *+AR2(04181h) ; 1710
+ STL A, -4, *+AR2(04182h)% ; 1711
+ STL A, -4, *(04183h) ; 1712
+ STL A, -4, *AR3 ; 1713
+ STL A, -4, *AR3- ; 1714
+ STL A, -4, *AR3+ ; 1715
+ STL A, -4, *+AR3 ; 1716
+ STL A, -4, *AR3-0B ; 1717
+ STL A, -4, *AR3-0 ; 1718
+ STL A, -4, *AR3+0 ; 1719
+ STL A, -4, *AR3+0B ; 1720
+ STL A, -4, *AR3-% ; 1721
+ STL A, -4, *AR3-0% ; 1722
+ STL A, -4, *AR3+% ; 1723
+ STL A, -4, *AR3+0% ; 1724
+ STL A, -4, *AR3(04184h) ; 1725
+ STL A, -4, *+AR3(04185h) ; 1726
+ STL A, -4, *+AR3(04186h)% ; 1727
+ STL A, -4, *(04187h) ; 1728
+ STL A, -4, *AR4 ; 1729
+ STL A, -4, *AR4- ; 1730
+ STL A, -4, *AR4+ ; 1731
+ STL A, -4, *+AR4 ; 1732
+ STL A, -4, *AR4-0B ; 1733
+ STL A, -4, *AR4-0 ; 1734
+ STL A, -4, *AR4+0 ; 1735
+ STL A, -4, *AR4+0B ; 1736
+ STL A, -4, *AR4-% ; 1737
+ STL A, -4, *AR4-0% ; 1738
+ STL A, -4, *AR4+% ; 1739
+ STL A, -4, *AR4+0% ; 1740
+ STL A, -4, *AR4(04188h) ; 1741
+ STL A, -4, *+AR4(04189h) ; 1742
+ STL A, -4, *+AR4(0418Ah)% ; 1743
+ STL A, -4, *(0418Bh) ; 1744
+ STL A, -4, *AR5 ; 1745
+ STL A, -4, *AR5- ; 1746
+ STL A, -4, *AR5+ ; 1747
+ STL A, -4, *+AR5 ; 1748
+ STL A, -4, *AR5-0B ; 1749
+ STL A, -4, *AR5-0 ; 1750
+ STL A, -4, *AR5+0 ; 1751
+ STL A, -4, *AR5+0B ; 1752
+ STL A, -4, *AR5-% ; 1753
+ STL A, -4, *AR5-0% ; 1754
+ STL A, -4, *AR5+% ; 1755
+ STL A, -4, *AR5+0% ; 1756
+ STL A, -4, *AR5(0418Ch) ; 1757
+ STL A, -4, *+AR5(0418Dh) ; 1758
+ STL A, -4, *+AR5(0418Eh)% ; 1759
+ STL A, -4, *(0418Fh) ; 1760
+ STL A, -4, *AR6 ; 1761
+ STL A, -4, *AR6- ; 1762
+ STL A, -4, *AR6+ ; 1763
+ STL A, -4, *+AR6 ; 1764
+ STL A, -4, *AR6-0B ; 1765
+ STL A, -4, *AR6-0 ; 1766
+ STL A, -4, *AR6+0 ; 1767
+ STL A, -4, *AR6+0B ; 1768
+ STL A, -4, *AR6-% ; 1769
+ STL A, -4, *AR6-0% ; 1770
+ STL A, -4, *AR6+% ; 1771
+ STL A, -4, *AR6+0% ; 1772
+ STL A, -4, *AR6(04190h) ; 1773
+ STL A, -4, *+AR6(04191h) ; 1774
+ STL A, -4, *+AR6(04192h)% ; 1775
+ STL A, -4, *(04193h) ; 1776
+ STL A, -4, *AR7 ; 1777
+ STL A, -4, *AR7- ; 1778
+ STL A, -4, *AR7+ ; 1779
+ STL A, -4, *+AR7 ; 1780
+ STL A, -4, *AR7-0B ; 1781
+ STL A, -4, *AR7-0 ; 1782
+ STL A, -4, *AR7+0 ; 1783
+ STL A, -4, *AR7+0B ; 1784
+ STL A, -4, *AR7-% ; 1785
+ STL A, -4, *AR7-0% ; 1786
+ STL A, -4, *AR7+% ; 1787
+ STL A, -4, *AR7+0% ; 1788
+ STL A, -4, *AR7(04194h) ; 1789
+ STL A, -4, *+AR7(04195h) ; 1790
+ STL A, -4, *+AR7(04196h)% ; 1791
+ STL A, -4, *(04197h) ; 1792
+ STL A, -3, *AR0 ; 1793
+ STL A, -3, *AR0- ; 1794
+ STL A, -3, *AR0+ ; 1795
+ STL A, -3, *+AR0 ; 1796
+ STL A, -3, *AR0-0B ; 1797
+ STL A, -3, *AR0-0 ; 1798
+ STL A, -3, *AR0+0 ; 1799
+ STL A, -3, *AR0+0B ; 1800
+ STL A, -3, *AR0-% ; 1801
+ STL A, -3, *AR0-0% ; 1802
+ STL A, -3, *AR0+% ; 1803
+ STL A, -3, *AR0+0% ; 1804
+ STL A, -3, *AR0(04198h) ; 1805
+ STL A, -3, *+AR0(04199h) ; 1806
+ STL A, -3, *+AR0(0419Ah)% ; 1807
+ STL A, -3, *(0419Bh) ; 1808
+ STL A, -3, *AR1 ; 1809
+ STL A, -3, *AR1- ; 1810
+ STL A, -3, *AR1+ ; 1811
+ STL A, -3, *+AR1 ; 1812
+ STL A, -3, *AR1-0B ; 1813
+ STL A, -3, *AR1-0 ; 1814
+ STL A, -3, *AR1+0 ; 1815
+ STL A, -3, *AR1+0B ; 1816
+ STL A, -3, *AR1-% ; 1817
+ STL A, -3, *AR1-0% ; 1818
+ STL A, -3, *AR1+% ; 1819
+ STL A, -3, *AR1+0% ; 1820
+ STL A, -3, *AR1(0419Ch) ; 1821
+ STL A, -3, *+AR1(0419Dh) ; 1822
+ STL A, -3, *+AR1(0419Eh)% ; 1823
+ STL A, -3, *(0419Fh) ; 1824
+ STL A, -3, *AR2 ; 1825
+ STL A, -3, *AR2- ; 1826
+ STL A, -3, *AR2+ ; 1827
+ STL A, -3, *+AR2 ; 1828
+ STL A, -3, *AR2-0B ; 1829
+ STL A, -3, *AR2-0 ; 1830
+ STL A, -3, *AR2+0 ; 1831
+ STL A, -3, *AR2+0B ; 1832
+ STL A, -3, *AR2-% ; 1833
+ STL A, -3, *AR2-0% ; 1834
+ STL A, -3, *AR2+% ; 1835
+ STL A, -3, *AR2+0% ; 1836
+ STL A, -3, *AR2(041A0h) ; 1837
+ STL A, -3, *+AR2(041A1h) ; 1838
+ STL A, -3, *+AR2(041A2h)% ; 1839
+ STL A, -3, *(041A3h) ; 1840
+ STL A, -3, *AR3 ; 1841
+ STL A, -3, *AR3- ; 1842
+ STL A, -3, *AR3+ ; 1843
+ STL A, -3, *+AR3 ; 1844
+ STL A, -3, *AR3-0B ; 1845
+ STL A, -3, *AR3-0 ; 1846
+ STL A, -3, *AR3+0 ; 1847
+ STL A, -3, *AR3+0B ; 1848
+ STL A, -3, *AR3-% ; 1849
+ STL A, -3, *AR3-0% ; 1850
+ STL A, -3, *AR3+% ; 1851
+ STL A, -3, *AR3+0% ; 1852
+ STL A, -3, *AR3(041A4h) ; 1853
+ STL A, -3, *+AR3(041A5h) ; 1854
+ STL A, -3, *+AR3(041A6h)% ; 1855
+ STL A, -3, *(041A7h) ; 1856
+ STL A, -3, *AR4 ; 1857
+ STL A, -3, *AR4- ; 1858
+ STL A, -3, *AR4+ ; 1859
+ STL A, -3, *+AR4 ; 1860
+ STL A, -3, *AR4-0B ; 1861
+ STL A, -3, *AR4-0 ; 1862
+ STL A, -3, *AR4+0 ; 1863
+ STL A, -3, *AR4+0B ; 1864
+ STL A, -3, *AR4-% ; 1865
+ STL A, -3, *AR4-0% ; 1866
+ STL A, -3, *AR4+% ; 1867
+ STL A, -3, *AR4+0% ; 1868
+ STL A, -3, *AR4(041A8h) ; 1869
+ STL A, -3, *+AR4(041A9h) ; 1870
+ STL A, -3, *+AR4(041AAh)% ; 1871
+ STL A, -3, *(041ABh) ; 1872
+ STL A, -3, *AR5 ; 1873
+ STL A, -3, *AR5- ; 1874
+ STL A, -3, *AR5+ ; 1875
+ STL A, -3, *+AR5 ; 1876
+ STL A, -3, *AR5-0B ; 1877
+ STL A, -3, *AR5-0 ; 1878
+ STL A, -3, *AR5+0 ; 1879
+ STL A, -3, *AR5+0B ; 1880
+ STL A, -3, *AR5-% ; 1881
+ STL A, -3, *AR5-0% ; 1882
+ STL A, -3, *AR5+% ; 1883
+ STL A, -3, *AR5+0% ; 1884
+ STL A, -3, *AR5(041ACh) ; 1885
+ STL A, -3, *+AR5(041ADh) ; 1886
+ STL A, -3, *+AR5(041AEh)% ; 1887
+ STL A, -3, *(041AFh) ; 1888
+ STL A, -3, *AR6 ; 1889
+ STL A, -3, *AR6- ; 1890
+ STL A, -3, *AR6+ ; 1891
+ STL A, -3, *+AR6 ; 1892
+ STL A, -3, *AR6-0B ; 1893
+ STL A, -3, *AR6-0 ; 1894
+ STL A, -3, *AR6+0 ; 1895
+ STL A, -3, *AR6+0B ; 1896
+ STL A, -3, *AR6-% ; 1897
+ STL A, -3, *AR6-0% ; 1898
+ STL A, -3, *AR6+% ; 1899
+ STL A, -3, *AR6+0% ; 1900
+ STL A, -3, *AR6(041B0h) ; 1901
+ STL A, -3, *+AR6(041B1h) ; 1902
+ STL A, -3, *+AR6(041B2h)% ; 1903
+ STL A, -3, *(041B3h) ; 1904
+ STL A, -3, *AR7 ; 1905
+ STL A, -3, *AR7- ; 1906
+ STL A, -3, *AR7+ ; 1907
+ STL A, -3, *+AR7 ; 1908
+ STL A, -3, *AR7-0B ; 1909
+ STL A, -3, *AR7-0 ; 1910
+ STL A, -3, *AR7+0 ; 1911
+ STL A, -3, *AR7+0B ; 1912
+ STL A, -3, *AR7-% ; 1913
+ STL A, -3, *AR7-0% ; 1914
+ STL A, -3, *AR7+% ; 1915
+ STL A, -3, *AR7+0% ; 1916
+ STL A, -3, *AR7(041B4h) ; 1917
+ STL A, -3, *+AR7(041B5h) ; 1918
+ STL A, -3, *+AR7(041B6h)% ; 1919
+ STL A, -3, *(041B7h) ; 1920
+ STL A, -2, *AR0 ; 1921
+ STL A, -2, *AR0- ; 1922
+ STL A, -2, *AR0+ ; 1923
+ STL A, -2, *+AR0 ; 1924
+ STL A, -2, *AR0-0B ; 1925
+ STL A, -2, *AR0-0 ; 1926
+ STL A, -2, *AR0+0 ; 1927
+ STL A, -2, *AR0+0B ; 1928
+ STL A, -2, *AR0-% ; 1929
+ STL A, -2, *AR0-0% ; 1930
+ STL A, -2, *AR0+% ; 1931
+ STL A, -2, *AR0+0% ; 1932
+ STL A, -2, *AR0(041B8h) ; 1933
+ STL A, -2, *+AR0(041B9h) ; 1934
+ STL A, -2, *+AR0(041BAh)% ; 1935
+ STL A, -2, *(041BBh) ; 1936
+ STL A, -2, *AR1 ; 1937
+ STL A, -2, *AR1- ; 1938
+ STL A, -2, *AR1+ ; 1939
+ STL A, -2, *+AR1 ; 1940
+ STL A, -2, *AR1-0B ; 1941
+ STL A, -2, *AR1-0 ; 1942
+ STL A, -2, *AR1+0 ; 1943
+ STL A, -2, *AR1+0B ; 1944
+ STL A, -2, *AR1-% ; 1945
+ STL A, -2, *AR1-0% ; 1946
+ STL A, -2, *AR1+% ; 1947
+ STL A, -2, *AR1+0% ; 1948
+ STL A, -2, *AR1(041BCh) ; 1949
+ STL A, -2, *+AR1(041BDh) ; 1950
+ STL A, -2, *+AR1(041BEh)% ; 1951
+ STL A, -2, *(041BFh) ; 1952
+ STL A, -2, *AR2 ; 1953
+ STL A, -2, *AR2- ; 1954
+ STL A, -2, *AR2+ ; 1955
+ STL A, -2, *+AR2 ; 1956
+ STL A, -2, *AR2-0B ; 1957
+ STL A, -2, *AR2-0 ; 1958
+ STL A, -2, *AR2+0 ; 1959
+ STL A, -2, *AR2+0B ; 1960
+ STL A, -2, *AR2-% ; 1961
+ STL A, -2, *AR2-0% ; 1962
+ STL A, -2, *AR2+% ; 1963
+ STL A, -2, *AR2+0% ; 1964
+ STL A, -2, *AR2(041C0h) ; 1965
+ STL A, -2, *+AR2(041C1h) ; 1966
+ STL A, -2, *+AR2(041C2h)% ; 1967
+ STL A, -2, *(041C3h) ; 1968
+ STL A, -2, *AR3 ; 1969
+ STL A, -2, *AR3- ; 1970
+ STL A, -2, *AR3+ ; 1971
+ STL A, -2, *+AR3 ; 1972
+ STL A, -2, *AR3-0B ; 1973
+ STL A, -2, *AR3-0 ; 1974
+ STL A, -2, *AR3+0 ; 1975
+ STL A, -2, *AR3+0B ; 1976
+ STL A, -2, *AR3-% ; 1977
+ STL A, -2, *AR3-0% ; 1978
+ STL A, -2, *AR3+% ; 1979
+ STL A, -2, *AR3+0% ; 1980
+ STL A, -2, *AR3(041C4h) ; 1981
+ STL A, -2, *+AR3(041C5h) ; 1982
+ STL A, -2, *+AR3(041C6h)% ; 1983
+ STL A, -2, *(041C7h) ; 1984
+ STL A, -2, *AR4 ; 1985
+ STL A, -2, *AR4- ; 1986
+ STL A, -2, *AR4+ ; 1987
+ STL A, -2, *+AR4 ; 1988
+ STL A, -2, *AR4-0B ; 1989
+ STL A, -2, *AR4-0 ; 1990
+ STL A, -2, *AR4+0 ; 1991
+ STL A, -2, *AR4+0B ; 1992
+ STL A, -2, *AR4-% ; 1993
+ STL A, -2, *AR4-0% ; 1994
+ STL A, -2, *AR4+% ; 1995
+ STL A, -2, *AR4+0% ; 1996
+ STL A, -2, *AR4(041C8h) ; 1997
+ STL A, -2, *+AR4(041C9h) ; 1998
+ STL A, -2, *+AR4(041CAh)% ; 1999
+ STL A, -2, *(041CBh) ; 2000
+ STL A, -2, *AR5 ; 2001
+ STL A, -2, *AR5- ; 2002
+ STL A, -2, *AR5+ ; 2003
+ STL A, -2, *+AR5 ; 2004
+ STL A, -2, *AR5-0B ; 2005
+ STL A, -2, *AR5-0 ; 2006
+ STL A, -2, *AR5+0 ; 2007
+ STL A, -2, *AR5+0B ; 2008
+ STL A, -2, *AR5-% ; 2009
+ STL A, -2, *AR5-0% ; 2010
+ STL A, -2, *AR5+% ; 2011
+ STL A, -2, *AR5+0% ; 2012
+ STL A, -2, *AR5(041CCh) ; 2013
+ STL A, -2, *+AR5(041CDh) ; 2014
+ STL A, -2, *+AR5(041CEh)% ; 2015
+ STL A, -2, *(041CFh) ; 2016
+ STL A, -2, *AR6 ; 2017
+ STL A, -2, *AR6- ; 2018
+ STL A, -2, *AR6+ ; 2019
+ STL A, -2, *+AR6 ; 2020
+ STL A, -2, *AR6-0B ; 2021
+ STL A, -2, *AR6-0 ; 2022
+ STL A, -2, *AR6+0 ; 2023
+ STL A, -2, *AR6+0B ; 2024
+ STL A, -2, *AR6-% ; 2025
+ STL A, -2, *AR6-0% ; 2026
+ STL A, -2, *AR6+% ; 2027
+ STL A, -2, *AR6+0% ; 2028
+ STL A, -2, *AR6(041D0h) ; 2029
+ STL A, -2, *+AR6(041D1h) ; 2030
+ STL A, -2, *+AR6(041D2h)% ; 2031
+ STL A, -2, *(041D3h) ; 2032
+ STL A, -2, *AR7 ; 2033
+ STL A, -2, *AR7- ; 2034
+ STL A, -2, *AR7+ ; 2035
+ STL A, -2, *+AR7 ; 2036
+ STL A, -2, *AR7-0B ; 2037
+ STL A, -2, *AR7-0 ; 2038
+ STL A, -2, *AR7+0 ; 2039
+ STL A, -2, *AR7+0B ; 2040
+ STL A, -2, *AR7-% ; 2041
+ STL A, -2, *AR7-0% ; 2042
+ STL A, -2, *AR7+% ; 2043
+ STL A, -2, *AR7+0% ; 2044
+ STL A, -2, *AR7(041D4h) ; 2045
+ STL A, -2, *+AR7(041D5h) ; 2046
+ STL A, -2, *+AR7(041D6h)% ; 2047
+ STL A, -2, *(041D7h) ; 2048
+ STL A, -1, *AR0 ; 2049
+ STL A, -1, *AR0- ; 2050
+ STL A, -1, *AR0+ ; 2051
+ STL A, -1, *+AR0 ; 2052
+ STL A, -1, *AR0-0B ; 2053
+ STL A, -1, *AR0-0 ; 2054
+ STL A, -1, *AR0+0 ; 2055
+ STL A, -1, *AR0+0B ; 2056
+ STL A, -1, *AR0-% ; 2057
+ STL A, -1, *AR0-0% ; 2058
+ STL A, -1, *AR0+% ; 2059
+ STL A, -1, *AR0+0% ; 2060
+ STL A, -1, *AR0(041D8h) ; 2061
+ STL A, -1, *+AR0(041D9h) ; 2062
+ STL A, -1, *+AR0(041DAh)% ; 2063
+ STL A, -1, *(041DBh) ; 2064
+ STL A, -1, *AR1 ; 2065
+ STL A, -1, *AR1- ; 2066
+ STL A, -1, *AR1+ ; 2067
+ STL A, -1, *+AR1 ; 2068
+ STL A, -1, *AR1-0B ; 2069
+ STL A, -1, *AR1-0 ; 2070
+ STL A, -1, *AR1+0 ; 2071
+ STL A, -1, *AR1+0B ; 2072
+ STL A, -1, *AR1-% ; 2073
+ STL A, -1, *AR1-0% ; 2074
+ STL A, -1, *AR1+% ; 2075
+ STL A, -1, *AR1+0% ; 2076
+ STL A, -1, *AR1(041DCh) ; 2077
+ STL A, -1, *+AR1(041DDh) ; 2078
+ STL A, -1, *+AR1(041DEh)% ; 2079
+ STL A, -1, *(041DFh) ; 2080
+ STL A, -1, *AR2 ; 2081
+ STL A, -1, *AR2- ; 2082
+ STL A, -1, *AR2+ ; 2083
+ STL A, -1, *+AR2 ; 2084
+ STL A, -1, *AR2-0B ; 2085
+ STL A, -1, *AR2-0 ; 2086
+ STL A, -1, *AR2+0 ; 2087
+ STL A, -1, *AR2+0B ; 2088
+ STL A, -1, *AR2-% ; 2089
+ STL A, -1, *AR2-0% ; 2090
+ STL A, -1, *AR2+% ; 2091
+ STL A, -1, *AR2+0% ; 2092
+ STL A, -1, *AR2(041E0h) ; 2093
+ STL A, -1, *+AR2(041E1h) ; 2094
+ STL A, -1, *+AR2(041E2h)% ; 2095
+ STL A, -1, *(041E3h) ; 2096
+ STL A, -1, *AR3 ; 2097
+ STL A, -1, *AR3- ; 2098
+ STL A, -1, *AR3+ ; 2099
+ STL A, -1, *+AR3 ; 2100
+ STL A, -1, *AR3-0B ; 2101
+ STL A, -1, *AR3-0 ; 2102
+ STL A, -1, *AR3+0 ; 2103
+ STL A, -1, *AR3+0B ; 2104
+ STL A, -1, *AR3-% ; 2105
+ STL A, -1, *AR3-0% ; 2106
+ STL A, -1, *AR3+% ; 2107
+ STL A, -1, *AR3+0% ; 2108
+ STL A, -1, *AR3(041E4h) ; 2109
+ STL A, -1, *+AR3(041E5h) ; 2110
+ STL A, -1, *+AR3(041E6h)% ; 2111
+ STL A, -1, *(041E7h) ; 2112
+ STL A, -1, *AR4 ; 2113
+ STL A, -1, *AR4- ; 2114
+ STL A, -1, *AR4+ ; 2115
+ STL A, -1, *+AR4 ; 2116
+ STL A, -1, *AR4-0B ; 2117
+ STL A, -1, *AR4-0 ; 2118
+ STL A, -1, *AR4+0 ; 2119
+ STL A, -1, *AR4+0B ; 2120
+ STL A, -1, *AR4-% ; 2121
+ STL A, -1, *AR4-0% ; 2122
+ STL A, -1, *AR4+% ; 2123
+ STL A, -1, *AR4+0% ; 2124
+ STL A, -1, *AR4(041E8h) ; 2125
+ STL A, -1, *+AR4(041E9h) ; 2126
+ STL A, -1, *+AR4(041EAh)% ; 2127
+ STL A, -1, *(041EBh) ; 2128
+ STL A, -1, *AR5 ; 2129
+ STL A, -1, *AR5- ; 2130
+ STL A, -1, *AR5+ ; 2131
+ STL A, -1, *+AR5 ; 2132
+ STL A, -1, *AR5-0B ; 2133
+ STL A, -1, *AR5-0 ; 2134
+ STL A, -1, *AR5+0 ; 2135
+ STL A, -1, *AR5+0B ; 2136
+ STL A, -1, *AR5-% ; 2137
+ STL A, -1, *AR5-0% ; 2138
+ STL A, -1, *AR5+% ; 2139
+ STL A, -1, *AR5+0% ; 2140
+ STL A, -1, *AR5(041ECh) ; 2141
+ STL A, -1, *+AR5(041EDh) ; 2142
+ STL A, -1, *+AR5(041EEh)% ; 2143
+ STL A, -1, *(041EFh) ; 2144
+ STL A, -1, *AR6 ; 2145
+ STL A, -1, *AR6- ; 2146
+ STL A, -1, *AR6+ ; 2147
+ STL A, -1, *+AR6 ; 2148
+ STL A, -1, *AR6-0B ; 2149
+ STL A, -1, *AR6-0 ; 2150
+ STL A, -1, *AR6+0 ; 2151
+ STL A, -1, *AR6+0B ; 2152
+ STL A, -1, *AR6-% ; 2153
+ STL A, -1, *AR6-0% ; 2154
+ STL A, -1, *AR6+% ; 2155
+ STL A, -1, *AR6+0% ; 2156
+ STL A, -1, *AR6(041F0h) ; 2157
+ STL A, -1, *+AR6(041F1h) ; 2158
+ STL A, -1, *+AR6(041F2h)% ; 2159
+ STL A, -1, *(041F3h) ; 2160
+ STL A, -1, *AR7 ; 2161
+ STL A, -1, *AR7- ; 2162
+ STL A, -1, *AR7+ ; 2163
+ STL A, -1, *+AR7 ; 2164
+ STL A, -1, *AR7-0B ; 2165
+ STL A, -1, *AR7-0 ; 2166
+ STL A, -1, *AR7+0 ; 2167
+ STL A, -1, *AR7+0B ; 2168
+ STL A, -1, *AR7-% ; 2169
+ STL A, -1, *AR7-0% ; 2170
+ STL A, -1, *AR7+% ; 2171
+ STL A, -1, *AR7+0% ; 2172
+ STL A, -1, *AR7(041F4h) ; 2173
+ STL A, -1, *+AR7(041F5h) ; 2174
+ STL A, -1, *+AR7(041F6h)% ; 2175
+ STL A, -1, *(041F7h) ; 2176
+ STL A, 0, *AR0 ; 2177
+ STL A, 0, *AR0- ; 2178
+ STL A, 0, *AR0+ ; 2179
+ STL A, 0, *+AR0 ; 2180
+ STL A, 0, *AR0-0B ; 2181
+ STL A, 0, *AR0-0 ; 2182
+ STL A, 0, *AR0+0 ; 2183
+ STL A, 0, *AR0+0B ; 2184
+ STL A, 0, *AR0-% ; 2185
+ STL A, 0, *AR0-0% ; 2186
+ STL A, 0, *AR0+% ; 2187
+ STL A, 0, *AR0+0% ; 2188
+ STL A, 0, *AR0(041F8h) ; 2189
+ STL A, 0, *+AR0(041F9h) ; 2190
+ STL A, 0, *+AR0(041FAh)% ; 2191
+ STL A, 0, *(041FBh) ; 2192
+ STL A, 0, *AR1 ; 2193
+ STL A, 0, *AR1- ; 2194
+ STL A, 0, *AR1+ ; 2195
+ STL A, 0, *+AR1 ; 2196
+ STL A, 0, *AR1-0B ; 2197
+ STL A, 0, *AR1-0 ; 2198
+ STL A, 0, *AR1+0 ; 2199
+ STL A, 0, *AR1+0B ; 2200
+ STL A, 0, *AR1-% ; 2201
+ STL A, 0, *AR1-0% ; 2202
+ STL A, 0, *AR1+% ; 2203
+ STL A, 0, *AR1+0% ; 2204
+ STL A, 0, *AR1(041FCh) ; 2205
+ STL A, 0, *+AR1(041FDh) ; 2206
+ STL A, 0, *+AR1(041FEh)% ; 2207
+ STL A, 0, *(041FFh) ; 2208
+ STL A, 0, *AR2 ; 2209
+ STL A, 0, *AR2- ; 2210
+ STL A, 0, *AR2+ ; 2211
+ STL A, 0, *+AR2 ; 2212
+ STL A, 0, *AR2-0B ; 2213
+ STL A, 0, *AR2-0 ; 2214
+ STL A, 0, *AR2+0 ; 2215
+ STL A, 0, *AR2+0B ; 2216
+ STL A, 0, *AR2-% ; 2217
+ STL A, 0, *AR2-0% ; 2218
+ STL A, 0, *AR2+% ; 2219
+ STL A, 0, *AR2+0% ; 2220
+ STL A, 0, *AR2(04200h) ; 2221
+ STL A, 0, *+AR2(04201h) ; 2222
+ STL A, 0, *+AR2(04202h)% ; 2223
+ STL A, 0, *(04203h) ; 2224
+ STL A, 0, *AR3 ; 2225
+ STL A, 0, *AR3- ; 2226
+ STL A, 0, *AR3+ ; 2227
+ STL A, 0, *+AR3 ; 2228
+ STL A, 0, *AR3-0B ; 2229
+ STL A, 0, *AR3-0 ; 2230
+ STL A, 0, *AR3+0 ; 2231
+ STL A, 0, *AR3+0B ; 2232
+ STL A, 0, *AR3-% ; 2233
+ STL A, 0, *AR3-0% ; 2234
+ STL A, 0, *AR3+% ; 2235
+ STL A, 0, *AR3+0% ; 2236
+ STL A, 0, *AR3(04204h) ; 2237
+ STL A, 0, *+AR3(04205h) ; 2238
+ STL A, 0, *+AR3(04206h)% ; 2239
+ STL A, 0, *(04207h) ; 2240
+ STL A, 0, *AR4 ; 2241
+ STL A, 0, *AR4- ; 2242
+ STL A, 0, *AR4+ ; 2243
+ STL A, 0, *+AR4 ; 2244
+ STL A, 0, *AR4-0B ; 2245
+ STL A, 0, *AR4-0 ; 2246
+ STL A, 0, *AR4+0 ; 2247
+ STL A, 0, *AR4+0B ; 2248
+ STL A, 0, *AR4-% ; 2249
+ STL A, 0, *AR4-0% ; 2250
+ STL A, 0, *AR4+% ; 2251
+ STL A, 0, *AR4+0% ; 2252
+ STL A, 0, *AR4(04208h) ; 2253
+ STL A, 0, *+AR4(04209h) ; 2254
+ STL A, 0, *+AR4(0420Ah)% ; 2255
+ STL A, 0, *(0420Bh) ; 2256
+ STL A, 0, *AR5 ; 2257
+ STL A, 0, *AR5- ; 2258
+ STL A, 0, *AR5+ ; 2259
+ STL A, 0, *+AR5 ; 2260
+ STL A, 0, *AR5-0B ; 2261
+ STL A, 0, *AR5-0 ; 2262
+ STL A, 0, *AR5+0 ; 2263
+ STL A, 0, *AR5+0B ; 2264
+ STL A, 0, *AR5-% ; 2265
+ STL A, 0, *AR5-0% ; 2266
+ STL A, 0, *AR5+% ; 2267
+ STL A, 0, *AR5+0% ; 2268
+ STL A, 0, *AR5(0420Ch) ; 2269
+ STL A, 0, *+AR5(0420Dh) ; 2270
+ STL A, 0, *+AR5(0420Eh)% ; 2271
+ STL A, 0, *(0420Fh) ; 2272
+ STL A, 0, *AR6 ; 2273
+ STL A, 0, *AR6- ; 2274
+ STL A, 0, *AR6+ ; 2275
+ STL A, 0, *+AR6 ; 2276
+ STL A, 0, *AR6-0B ; 2277
+ STL A, 0, *AR6-0 ; 2278
+ STL A, 0, *AR6+0 ; 2279
+ STL A, 0, *AR6+0B ; 2280
+ STL A, 0, *AR6-% ; 2281
+ STL A, 0, *AR6-0% ; 2282
+ STL A, 0, *AR6+% ; 2283
+ STL A, 0, *AR6+0% ; 2284
+ STL A, 0, *AR6(04210h) ; 2285
+ STL A, 0, *+AR6(04211h) ; 2286
+ STL A, 0, *+AR6(04212h)% ; 2287
+ STL A, 0, *(04213h) ; 2288
+ STL A, 0, *AR7 ; 2289
+ STL A, 0, *AR7- ; 2290
+ STL A, 0, *AR7+ ; 2291
+ STL A, 0, *+AR7 ; 2292
+ STL A, 0, *AR7-0B ; 2293
+ STL A, 0, *AR7-0 ; 2294
+ STL A, 0, *AR7+0 ; 2295
+ STL A, 0, *AR7+0B ; 2296
+ STL A, 0, *AR7-% ; 2297
+ STL A, 0, *AR7-0% ; 2298
+ STL A, 0, *AR7+% ; 2299
+ STL A, 0, *AR7+0% ; 2300
+ STL A, 0, *AR7(04214h) ; 2301
+ STL A, 0, *+AR7(04215h) ; 2302
+ STL A, 0, *+AR7(04216h)% ; 2303
+ STL A, 0, *(04217h) ; 2304
+ STL A, 1, *AR0 ; 2305
+ STL A, 1, *AR0- ; 2306
+ STL A, 1, *AR0+ ; 2307
+ STL A, 1, *+AR0 ; 2308
+ STL A, 1, *AR0-0B ; 2309
+ STL A, 1, *AR0-0 ; 2310
+ STL A, 1, *AR0+0 ; 2311
+ STL A, 1, *AR0+0B ; 2312
+ STL A, 1, *AR0-% ; 2313
+ STL A, 1, *AR0-0% ; 2314
+ STL A, 1, *AR0+% ; 2315
+ STL A, 1, *AR0+0% ; 2316
+ STL A, 1, *AR0(04218h) ; 2317
+ STL A, 1, *+AR0(04219h) ; 2318
+ STL A, 1, *+AR0(0421Ah)% ; 2319
+ STL A, 1, *(0421Bh) ; 2320
+ STL A, 1, *AR1 ; 2321
+ STL A, 1, *AR1- ; 2322
+ STL A, 1, *AR1+ ; 2323
+ STL A, 1, *+AR1 ; 2324
+ STL A, 1, *AR1-0B ; 2325
+ STL A, 1, *AR1-0 ; 2326
+ STL A, 1, *AR1+0 ; 2327
+ STL A, 1, *AR1+0B ; 2328
+ STL A, 1, *AR1-% ; 2329
+ STL A, 1, *AR1-0% ; 2330
+ STL A, 1, *AR1+% ; 2331
+ STL A, 1, *AR1+0% ; 2332
+ STL A, 1, *AR1(0421Ch) ; 2333
+ STL A, 1, *+AR1(0421Dh) ; 2334
+ STL A, 1, *+AR1(0421Eh)% ; 2335
+ STL A, 1, *(0421Fh) ; 2336
+ STL A, 1, *AR2 ; 2337
+ STL A, 1, *AR2- ; 2338
+ STL A, 1, *AR2+ ; 2339
+ STL A, 1, *+AR2 ; 2340
+ STL A, 1, *AR2-0B ; 2341
+ STL A, 1, *AR2-0 ; 2342
+ STL A, 1, *AR2+0 ; 2343
+ STL A, 1, *AR2+0B ; 2344
+ STL A, 1, *AR2-% ; 2345
+ STL A, 1, *AR2-0% ; 2346
+ STL A, 1, *AR2+% ; 2347
+ STL A, 1, *AR2+0% ; 2348
+ STL A, 1, *AR2(04220h) ; 2349
+ STL A, 1, *+AR2(04221h) ; 2350
+ STL A, 1, *+AR2(04222h)% ; 2351
+ STL A, 1, *(04223h) ; 2352
+ STL A, 1, *AR3 ; 2353
+ STL A, 1, *AR3- ; 2354
+ STL A, 1, *AR3+ ; 2355
+ STL A, 1, *+AR3 ; 2356
+ STL A, 1, *AR3-0B ; 2357
+ STL A, 1, *AR3-0 ; 2358
+ STL A, 1, *AR3+0 ; 2359
+ STL A, 1, *AR3+0B ; 2360
+ STL A, 1, *AR3-% ; 2361
+ STL A, 1, *AR3-0% ; 2362
+ STL A, 1, *AR3+% ; 2363
+ STL A, 1, *AR3+0% ; 2364
+ STL A, 1, *AR3(04224h) ; 2365
+ STL A, 1, *+AR3(04225h) ; 2366
+ STL A, 1, *+AR3(04226h)% ; 2367
+ STL A, 1, *(04227h) ; 2368
+ STL A, 1, *AR4 ; 2369
+ STL A, 1, *AR4- ; 2370
+ STL A, 1, *AR4+ ; 2371
+ STL A, 1, *+AR4 ; 2372
+ STL A, 1, *AR4-0B ; 2373
+ STL A, 1, *AR4-0 ; 2374
+ STL A, 1, *AR4+0 ; 2375
+ STL A, 1, *AR4+0B ; 2376
+ STL A, 1, *AR4-% ; 2377
+ STL A, 1, *AR4-0% ; 2378
+ STL A, 1, *AR4+% ; 2379
+ STL A, 1, *AR4+0% ; 2380
+ STL A, 1, *AR4(04228h) ; 2381
+ STL A, 1, *+AR4(04229h) ; 2382
+ STL A, 1, *+AR4(0422Ah)% ; 2383
+ STL A, 1, *(0422Bh) ; 2384
+ STL A, 1, *AR5 ; 2385
+ STL A, 1, *AR5- ; 2386
+ STL A, 1, *AR5+ ; 2387
+ STL A, 1, *+AR5 ; 2388
+ STL A, 1, *AR5-0B ; 2389
+ STL A, 1, *AR5-0 ; 2390
+ STL A, 1, *AR5+0 ; 2391
+ STL A, 1, *AR5+0B ; 2392
+ STL A, 1, *AR5-% ; 2393
+ STL A, 1, *AR5-0% ; 2394
+ STL A, 1, *AR5+% ; 2395
+ STL A, 1, *AR5+0% ; 2396
+ STL A, 1, *AR5(0422Ch) ; 2397
+ STL A, 1, *+AR5(0422Dh) ; 2398
+ STL A, 1, *+AR5(0422Eh)% ; 2399
+ STL A, 1, *(0422Fh) ; 2400
+ STL A, 1, *AR6 ; 2401
+ STL A, 1, *AR6- ; 2402
+ STL A, 1, *AR6+ ; 2403
+ STL A, 1, *+AR6 ; 2404
+ STL A, 1, *AR6-0B ; 2405
+ STL A, 1, *AR6-0 ; 2406
+ STL A, 1, *AR6+0 ; 2407
+ STL A, 1, *AR6+0B ; 2408
+ STL A, 1, *AR6-% ; 2409
+ STL A, 1, *AR6-0% ; 2410
+ STL A, 1, *AR6+% ; 2411
+ STL A, 1, *AR6+0% ; 2412
+ STL A, 1, *AR6(04230h) ; 2413
+ STL A, 1, *+AR6(04231h) ; 2414
+ STL A, 1, *+AR6(04232h)% ; 2415
+ STL A, 1, *(04233h) ; 2416
+ STL A, 1, *AR7 ; 2417
+ STL A, 1, *AR7- ; 2418
+ STL A, 1, *AR7+ ; 2419
+ STL A, 1, *+AR7 ; 2420
+ STL A, 1, *AR7-0B ; 2421
+ STL A, 1, *AR7-0 ; 2422
+ STL A, 1, *AR7+0 ; 2423
+ STL A, 1, *AR7+0B ; 2424
+ STL A, 1, *AR7-% ; 2425
+ STL A, 1, *AR7-0% ; 2426
+ STL A, 1, *AR7+% ; 2427
+ STL A, 1, *AR7+0% ; 2428
+ STL A, 1, *AR7(04234h) ; 2429
+ STL A, 1, *+AR7(04235h) ; 2430
+ STL A, 1, *+AR7(04236h)% ; 2431
+ STL A, 1, *(04237h) ; 2432
+ STL A, 2, *AR0 ; 2433
+ STL A, 2, *AR0- ; 2434
+ STL A, 2, *AR0+ ; 2435
+ STL A, 2, *+AR0 ; 2436
+ STL A, 2, *AR0-0B ; 2437
+ STL A, 2, *AR0-0 ; 2438
+ STL A, 2, *AR0+0 ; 2439
+ STL A, 2, *AR0+0B ; 2440
+ STL A, 2, *AR0-% ; 2441
+ STL A, 2, *AR0-0% ; 2442
+ STL A, 2, *AR0+% ; 2443
+ STL A, 2, *AR0+0% ; 2444
+ STL A, 2, *AR0(04238h) ; 2445
+ STL A, 2, *+AR0(04239h) ; 2446
+ STL A, 2, *+AR0(0423Ah)% ; 2447
+ STL A, 2, *(0423Bh) ; 2448
+ STL A, 2, *AR1 ; 2449
+ STL A, 2, *AR1- ; 2450
+ STL A, 2, *AR1+ ; 2451
+ STL A, 2, *+AR1 ; 2452
+ STL A, 2, *AR1-0B ; 2453
+ STL A, 2, *AR1-0 ; 2454
+ STL A, 2, *AR1+0 ; 2455
+ STL A, 2, *AR1+0B ; 2456
+ STL A, 2, *AR1-% ; 2457
+ STL A, 2, *AR1-0% ; 2458
+ STL A, 2, *AR1+% ; 2459
+ STL A, 2, *AR1+0% ; 2460
+ STL A, 2, *AR1(0423Ch) ; 2461
+ STL A, 2, *+AR1(0423Dh) ; 2462
+ STL A, 2, *+AR1(0423Eh)% ; 2463
+ STL A, 2, *(0423Fh) ; 2464
+ STL A, 2, *AR2 ; 2465
+ STL A, 2, *AR2- ; 2466
+ STL A, 2, *AR2+ ; 2467
+ STL A, 2, *+AR2 ; 2468
+ STL A, 2, *AR2-0B ; 2469
+ STL A, 2, *AR2-0 ; 2470
+ STL A, 2, *AR2+0 ; 2471
+ STL A, 2, *AR2+0B ; 2472
+ STL A, 2, *AR2-% ; 2473
+ STL A, 2, *AR2-0% ; 2474
+ STL A, 2, *AR2+% ; 2475
+ STL A, 2, *AR2+0% ; 2476
+ STL A, 2, *AR2(04240h) ; 2477
+ STL A, 2, *+AR2(04241h) ; 2478
+ STL A, 2, *+AR2(04242h)% ; 2479
+ STL A, 2, *(04243h) ; 2480
+ STL A, 2, *AR3 ; 2481
+ STL A, 2, *AR3- ; 2482
+ STL A, 2, *AR3+ ; 2483
+ STL A, 2, *+AR3 ; 2484
+ STL A, 2, *AR3-0B ; 2485
+ STL A, 2, *AR3-0 ; 2486
+ STL A, 2, *AR3+0 ; 2487
+ STL A, 2, *AR3+0B ; 2488
+ STL A, 2, *AR3-% ; 2489
+ STL A, 2, *AR3-0% ; 2490
+ STL A, 2, *AR3+% ; 2491
+ STL A, 2, *AR3+0% ; 2492
+ STL A, 2, *AR3(04244h) ; 2493
+ STL A, 2, *+AR3(04245h) ; 2494
+ STL A, 2, *+AR3(04246h)% ; 2495
+ STL A, 2, *(04247h) ; 2496
+ STL A, 2, *AR4 ; 2497
+ STL A, 2, *AR4- ; 2498
+ STL A, 2, *AR4+ ; 2499
+ STL A, 2, *+AR4 ; 2500
+ STL A, 2, *AR4-0B ; 2501
+ STL A, 2, *AR4-0 ; 2502
+ STL A, 2, *AR4+0 ; 2503
+ STL A, 2, *AR4+0B ; 2504
+ STL A, 2, *AR4-% ; 2505
+ STL A, 2, *AR4-0% ; 2506
+ STL A, 2, *AR4+% ; 2507
+ STL A, 2, *AR4+0% ; 2508
+ STL A, 2, *AR4(04248h) ; 2509
+ STL A, 2, *+AR4(04249h) ; 2510
+ STL A, 2, *+AR4(0424Ah)% ; 2511
+ STL A, 2, *(0424Bh) ; 2512
+ STL A, 2, *AR5 ; 2513
+ STL A, 2, *AR5- ; 2514
+ STL A, 2, *AR5+ ; 2515
+ STL A, 2, *+AR5 ; 2516
+ STL A, 2, *AR5-0B ; 2517
+ STL A, 2, *AR5-0 ; 2518
+ STL A, 2, *AR5+0 ; 2519
+ STL A, 2, *AR5+0B ; 2520
+ STL A, 2, *AR5-% ; 2521
+ STL A, 2, *AR5-0% ; 2522
+ STL A, 2, *AR5+% ; 2523
+ STL A, 2, *AR5+0% ; 2524
+ STL A, 2, *AR5(0424Ch) ; 2525
+ STL A, 2, *+AR5(0424Dh) ; 2526
+ STL A, 2, *+AR5(0424Eh)% ; 2527
+ STL A, 2, *(0424Fh) ; 2528
+ STL A, 2, *AR6 ; 2529
+ STL A, 2, *AR6- ; 2530
+ STL A, 2, *AR6+ ; 2531
+ STL A, 2, *+AR6 ; 2532
+ STL A, 2, *AR6-0B ; 2533
+ STL A, 2, *AR6-0 ; 2534
+ STL A, 2, *AR6+0 ; 2535
+ STL A, 2, *AR6+0B ; 2536
+ STL A, 2, *AR6-% ; 2537
+ STL A, 2, *AR6-0% ; 2538
+ STL A, 2, *AR6+% ; 2539
+ STL A, 2, *AR6+0% ; 2540
+ STL A, 2, *AR6(04250h) ; 2541
+ STL A, 2, *+AR6(04251h) ; 2542
+ STL A, 2, *+AR6(04252h)% ; 2543
+ STL A, 2, *(04253h) ; 2544
+ STL A, 2, *AR7 ; 2545
+ STL A, 2, *AR7- ; 2546
+ STL A, 2, *AR7+ ; 2547
+ STL A, 2, *+AR7 ; 2548
+ STL A, 2, *AR7-0B ; 2549
+ STL A, 2, *AR7-0 ; 2550
+ STL A, 2, *AR7+0 ; 2551
+ STL A, 2, *AR7+0B ; 2552
+ STL A, 2, *AR7-% ; 2553
+ STL A, 2, *AR7-0% ; 2554
+ STL A, 2, *AR7+% ; 2555
+ STL A, 2, *AR7+0% ; 2556
+ STL A, 2, *AR7(04254h) ; 2557
+ STL A, 2, *+AR7(04255h) ; 2558
+ STL A, 2, *+AR7(04256h)% ; 2559
+ STL A, 2, *(04257h) ; 2560
+ STL A, 3, *AR0 ; 2561
+ STL A, 3, *AR0- ; 2562
+ STL A, 3, *AR0+ ; 2563
+ STL A, 3, *+AR0 ; 2564
+ STL A, 3, *AR0-0B ; 2565
+ STL A, 3, *AR0-0 ; 2566
+ STL A, 3, *AR0+0 ; 2567
+ STL A, 3, *AR0+0B ; 2568
+ STL A, 3, *AR0-% ; 2569
+ STL A, 3, *AR0-0% ; 2570
+ STL A, 3, *AR0+% ; 2571
+ STL A, 3, *AR0+0% ; 2572
+ STL A, 3, *AR0(04258h) ; 2573
+ STL A, 3, *+AR0(04259h) ; 2574
+ STL A, 3, *+AR0(0425Ah)% ; 2575
+ STL A, 3, *(0425Bh) ; 2576
+ STL A, 3, *AR1 ; 2577
+ STL A, 3, *AR1- ; 2578
+ STL A, 3, *AR1+ ; 2579
+ STL A, 3, *+AR1 ; 2580
+ STL A, 3, *AR1-0B ; 2581
+ STL A, 3, *AR1-0 ; 2582
+ STL A, 3, *AR1+0 ; 2583
+ STL A, 3, *AR1+0B ; 2584
+ STL A, 3, *AR1-% ; 2585
+ STL A, 3, *AR1-0% ; 2586
+ STL A, 3, *AR1+% ; 2587
+ STL A, 3, *AR1+0% ; 2588
+ STL A, 3, *AR1(0425Ch) ; 2589
+ STL A, 3, *+AR1(0425Dh) ; 2590
+ STL A, 3, *+AR1(0425Eh)% ; 2591
+ STL A, 3, *(0425Fh) ; 2592
+ STL A, 3, *AR2 ; 2593
+ STL A, 3, *AR2- ; 2594
+ STL A, 3, *AR2+ ; 2595
+ STL A, 3, *+AR2 ; 2596
+ STL A, 3, *AR2-0B ; 2597
+ STL A, 3, *AR2-0 ; 2598
+ STL A, 3, *AR2+0 ; 2599
+ STL A, 3, *AR2+0B ; 2600
+ STL A, 3, *AR2-% ; 2601
+ STL A, 3, *AR2-0% ; 2602
+ STL A, 3, *AR2+% ; 2603
+ STL A, 3, *AR2+0% ; 2604
+ STL A, 3, *AR2(04260h) ; 2605
+ STL A, 3, *+AR2(04261h) ; 2606
+ STL A, 3, *+AR2(04262h)% ; 2607
+ STL A, 3, *(04263h) ; 2608
+ STL A, 3, *AR3 ; 2609
+ STL A, 3, *AR3- ; 2610
+ STL A, 3, *AR3+ ; 2611
+ STL A, 3, *+AR3 ; 2612
+ STL A, 3, *AR3-0B ; 2613
+ STL A, 3, *AR3-0 ; 2614
+ STL A, 3, *AR3+0 ; 2615
+ STL A, 3, *AR3+0B ; 2616
+ STL A, 3, *AR3-% ; 2617
+ STL A, 3, *AR3-0% ; 2618
+ STL A, 3, *AR3+% ; 2619
+ STL A, 3, *AR3+0% ; 2620
+ STL A, 3, *AR3(04264h) ; 2621
+ STL A, 3, *+AR3(04265h) ; 2622
+ STL A, 3, *+AR3(04266h)% ; 2623
+ STL A, 3, *(04267h) ; 2624
+ STL A, 3, *AR4 ; 2625
+ STL A, 3, *AR4- ; 2626
+ STL A, 3, *AR4+ ; 2627
+ STL A, 3, *+AR4 ; 2628
+ STL A, 3, *AR4-0B ; 2629
+ STL A, 3, *AR4-0 ; 2630
+ STL A, 3, *AR4+0 ; 2631
+ STL A, 3, *AR4+0B ; 2632
+ STL A, 3, *AR4-% ; 2633
+ STL A, 3, *AR4-0% ; 2634
+ STL A, 3, *AR4+% ; 2635
+ STL A, 3, *AR4+0% ; 2636
+ STL A, 3, *AR4(04268h) ; 2637
+ STL A, 3, *+AR4(04269h) ; 2638
+ STL A, 3, *+AR4(0426Ah)% ; 2639
+ STL A, 3, *(0426Bh) ; 2640
+ STL A, 3, *AR5 ; 2641
+ STL A, 3, *AR5- ; 2642
+ STL A, 3, *AR5+ ; 2643
+ STL A, 3, *+AR5 ; 2644
+ STL A, 3, *AR5-0B ; 2645
+ STL A, 3, *AR5-0 ; 2646
+ STL A, 3, *AR5+0 ; 2647
+ STL A, 3, *AR5+0B ; 2648
+ STL A, 3, *AR5-% ; 2649
+ STL A, 3, *AR5-0% ; 2650
+ STL A, 3, *AR5+% ; 2651
+ STL A, 3, *AR5+0% ; 2652
+ STL A, 3, *AR5(0426Ch) ; 2653
+ STL A, 3, *+AR5(0426Dh) ; 2654
+ STL A, 3, *+AR5(0426Eh)% ; 2655
+ STL A, 3, *(0426Fh) ; 2656
+ STL A, 3, *AR6 ; 2657
+ STL A, 3, *AR6- ; 2658
+ STL A, 3, *AR6+ ; 2659
+ STL A, 3, *+AR6 ; 2660
+ STL A, 3, *AR6-0B ; 2661
+ STL A, 3, *AR6-0 ; 2662
+ STL A, 3, *AR6+0 ; 2663
+ STL A, 3, *AR6+0B ; 2664
+ STL A, 3, *AR6-% ; 2665
+ STL A, 3, *AR6-0% ; 2666
+ STL A, 3, *AR6+% ; 2667
+ STL A, 3, *AR6+0% ; 2668
+ STL A, 3, *AR6(04270h) ; 2669
+ STL A, 3, *+AR6(04271h) ; 2670
+ STL A, 3, *+AR6(04272h)% ; 2671
+ STL A, 3, *(04273h) ; 2672
+ STL A, 3, *AR7 ; 2673
+ STL A, 3, *AR7- ; 2674
+ STL A, 3, *AR7+ ; 2675
+ STL A, 3, *+AR7 ; 2676
+ STL A, 3, *AR7-0B ; 2677
+ STL A, 3, *AR7-0 ; 2678
+ STL A, 3, *AR7+0 ; 2679
+ STL A, 3, *AR7+0B ; 2680
+ STL A, 3, *AR7-% ; 2681
+ STL A, 3, *AR7-0% ; 2682
+ STL A, 3, *AR7+% ; 2683
+ STL A, 3, *AR7+0% ; 2684
+ STL A, 3, *AR7(04274h) ; 2685
+ STL A, 3, *+AR7(04275h) ; 2686
+ STL A, 3, *+AR7(04276h)% ; 2687
+ STL A, 3, *(04277h) ; 2688
+ STL A, 4, *AR0 ; 2689
+ STL A, 4, *AR0- ; 2690
+ STL A, 4, *AR0+ ; 2691
+ STL A, 4, *+AR0 ; 2692
+ STL A, 4, *AR0-0B ; 2693
+ STL A, 4, *AR0-0 ; 2694
+ STL A, 4, *AR0+0 ; 2695
+ STL A, 4, *AR0+0B ; 2696
+ STL A, 4, *AR0-% ; 2697
+ STL A, 4, *AR0-0% ; 2698
+ STL A, 4, *AR0+% ; 2699
+ STL A, 4, *AR0+0% ; 2700
+ STL A, 4, *AR0(04278h) ; 2701
+ STL A, 4, *+AR0(04279h) ; 2702
+ STL A, 4, *+AR0(0427Ah)% ; 2703
+ STL A, 4, *(0427Bh) ; 2704
+ STL A, 4, *AR1 ; 2705
+ STL A, 4, *AR1- ; 2706
+ STL A, 4, *AR1+ ; 2707
+ STL A, 4, *+AR1 ; 2708
+ STL A, 4, *AR1-0B ; 2709
+ STL A, 4, *AR1-0 ; 2710
+ STL A, 4, *AR1+0 ; 2711
+ STL A, 4, *AR1+0B ; 2712
+ STL A, 4, *AR1-% ; 2713
+ STL A, 4, *AR1-0% ; 2714
+ STL A, 4, *AR1+% ; 2715
+ STL A, 4, *AR1+0% ; 2716
+ STL A, 4, *AR1(0427Ch) ; 2717
+ STL A, 4, *+AR1(0427Dh) ; 2718
+ STL A, 4, *+AR1(0427Eh)% ; 2719
+ STL A, 4, *(0427Fh) ; 2720
+ STL A, 4, *AR2 ; 2721
+ STL A, 4, *AR2- ; 2722
+ STL A, 4, *AR2+ ; 2723
+ STL A, 4, *+AR2 ; 2724
+ STL A, 4, *AR2-0B ; 2725
+ STL A, 4, *AR2-0 ; 2726
+ STL A, 4, *AR2+0 ; 2727
+ STL A, 4, *AR2+0B ; 2728
+ STL A, 4, *AR2-% ; 2729
+ STL A, 4, *AR2-0% ; 2730
+ STL A, 4, *AR2+% ; 2731
+ STL A, 4, *AR2+0% ; 2732
+ STL A, 4, *AR2(04280h) ; 2733
+ STL A, 4, *+AR2(04281h) ; 2734
+ STL A, 4, *+AR2(04282h)% ; 2735
+ STL A, 4, *(04283h) ; 2736
+ STL A, 4, *AR3 ; 2737
+ STL A, 4, *AR3- ; 2738
+ STL A, 4, *AR3+ ; 2739
+ STL A, 4, *+AR3 ; 2740
+ STL A, 4, *AR3-0B ; 2741
+ STL A, 4, *AR3-0 ; 2742
+ STL A, 4, *AR3+0 ; 2743
+ STL A, 4, *AR3+0B ; 2744
+ STL A, 4, *AR3-% ; 2745
+ STL A, 4, *AR3-0% ; 2746
+ STL A, 4, *AR3+% ; 2747
+ STL A, 4, *AR3+0% ; 2748
+ STL A, 4, *AR3(04284h) ; 2749
+ STL A, 4, *+AR3(04285h) ; 2750
+ STL A, 4, *+AR3(04286h)% ; 2751
+ STL A, 4, *(04287h) ; 2752
+ STL A, 4, *AR4 ; 2753
+ STL A, 4, *AR4- ; 2754
+ STL A, 4, *AR4+ ; 2755
+ STL A, 4, *+AR4 ; 2756
+ STL A, 4, *AR4-0B ; 2757
+ STL A, 4, *AR4-0 ; 2758
+ STL A, 4, *AR4+0 ; 2759
+ STL A, 4, *AR4+0B ; 2760
+ STL A, 4, *AR4-% ; 2761
+ STL A, 4, *AR4-0% ; 2762
+ STL A, 4, *AR4+% ; 2763
+ STL A, 4, *AR4+0% ; 2764
+ STL A, 4, *AR4(04288h) ; 2765
+ STL A, 4, *+AR4(04289h) ; 2766
+ STL A, 4, *+AR4(0428Ah)% ; 2767
+ STL A, 4, *(0428Bh) ; 2768
+ STL A, 4, *AR5 ; 2769
+ STL A, 4, *AR5- ; 2770
+ STL A, 4, *AR5+ ; 2771
+ STL A, 4, *+AR5 ; 2772
+ STL A, 4, *AR5-0B ; 2773
+ STL A, 4, *AR5-0 ; 2774
+ STL A, 4, *AR5+0 ; 2775
+ STL A, 4, *AR5+0B ; 2776
+ STL A, 4, *AR5-% ; 2777
+ STL A, 4, *AR5-0% ; 2778
+ STL A, 4, *AR5+% ; 2779
+ STL A, 4, *AR5+0% ; 2780
+ STL A, 4, *AR5(0428Ch) ; 2781
+ STL A, 4, *+AR5(0428Dh) ; 2782
+ STL A, 4, *+AR5(0428Eh)% ; 2783
+ STL A, 4, *(0428Fh) ; 2784
+ STL A, 4, *AR6 ; 2785
+ STL A, 4, *AR6- ; 2786
+ STL A, 4, *AR6+ ; 2787
+ STL A, 4, *+AR6 ; 2788
+ STL A, 4, *AR6-0B ; 2789
+ STL A, 4, *AR6-0 ; 2790
+ STL A, 4, *AR6+0 ; 2791
+ STL A, 4, *AR6+0B ; 2792
+ STL A, 4, *AR6-% ; 2793
+ STL A, 4, *AR6-0% ; 2794
+ STL A, 4, *AR6+% ; 2795
+ STL A, 4, *AR6+0% ; 2796
+ STL A, 4, *AR6(04290h) ; 2797
+ STL A, 4, *+AR6(04291h) ; 2798
+ STL A, 4, *+AR6(04292h)% ; 2799
+ STL A, 4, *(04293h) ; 2800
+ STL A, 4, *AR7 ; 2801
+ STL A, 4, *AR7- ; 2802
+ STL A, 4, *AR7+ ; 2803
+ STL A, 4, *+AR7 ; 2804
+ STL A, 4, *AR7-0B ; 2805
+ STL A, 4, *AR7-0 ; 2806
+ STL A, 4, *AR7+0 ; 2807
+ STL A, 4, *AR7+0B ; 2808
+ STL A, 4, *AR7-% ; 2809
+ STL A, 4, *AR7-0% ; 2810
+ STL A, 4, *AR7+% ; 2811
+ STL A, 4, *AR7+0% ; 2812
+ STL A, 4, *AR7(04294h) ; 2813
+ STL A, 4, *+AR7(04295h) ; 2814
+ STL A, 4, *+AR7(04296h)% ; 2815
+ STL A, 4, *(04297h) ; 2816
+ STL A, 5, *AR0 ; 2817
+ STL A, 5, *AR0- ; 2818
+ STL A, 5, *AR0+ ; 2819
+ STL A, 5, *+AR0 ; 2820
+ STL A, 5, *AR0-0B ; 2821
+ STL A, 5, *AR0-0 ; 2822
+ STL A, 5, *AR0+0 ; 2823
+ STL A, 5, *AR0+0B ; 2824
+ STL A, 5, *AR0-% ; 2825
+ STL A, 5, *AR0-0% ; 2826
+ STL A, 5, *AR0+% ; 2827
+ STL A, 5, *AR0+0% ; 2828
+ STL A, 5, *AR0(04298h) ; 2829
+ STL A, 5, *+AR0(04299h) ; 2830
+ STL A, 5, *+AR0(0429Ah)% ; 2831
+ STL A, 5, *(0429Bh) ; 2832
+ STL A, 5, *AR1 ; 2833
+ STL A, 5, *AR1- ; 2834
+ STL A, 5, *AR1+ ; 2835
+ STL A, 5, *+AR1 ; 2836
+ STL A, 5, *AR1-0B ; 2837
+ STL A, 5, *AR1-0 ; 2838
+ STL A, 5, *AR1+0 ; 2839
+ STL A, 5, *AR1+0B ; 2840
+ STL A, 5, *AR1-% ; 2841
+ STL A, 5, *AR1-0% ; 2842
+ STL A, 5, *AR1+% ; 2843
+ STL A, 5, *AR1+0% ; 2844
+ STL A, 5, *AR1(0429Ch) ; 2845
+ STL A, 5, *+AR1(0429Dh) ; 2846
+ STL A, 5, *+AR1(0429Eh)% ; 2847
+ STL A, 5, *(0429Fh) ; 2848
+ STL A, 5, *AR2 ; 2849
+ STL A, 5, *AR2- ; 2850
+ STL A, 5, *AR2+ ; 2851
+ STL A, 5, *+AR2 ; 2852
+ STL A, 5, *AR2-0B ; 2853
+ STL A, 5, *AR2-0 ; 2854
+ STL A, 5, *AR2+0 ; 2855
+ STL A, 5, *AR2+0B ; 2856
+ STL A, 5, *AR2-% ; 2857
+ STL A, 5, *AR2-0% ; 2858
+ STL A, 5, *AR2+% ; 2859
+ STL A, 5, *AR2+0% ; 2860
+ STL A, 5, *AR2(042A0h) ; 2861
+ STL A, 5, *+AR2(042A1h) ; 2862
+ STL A, 5, *+AR2(042A2h)% ; 2863
+ STL A, 5, *(042A3h) ; 2864
+ STL A, 5, *AR3 ; 2865
+ STL A, 5, *AR3- ; 2866
+ STL A, 5, *AR3+ ; 2867
+ STL A, 5, *+AR3 ; 2868
+ STL A, 5, *AR3-0B ; 2869
+ STL A, 5, *AR3-0 ; 2870
+ STL A, 5, *AR3+0 ; 2871
+ STL A, 5, *AR3+0B ; 2872
+ STL A, 5, *AR3-% ; 2873
+ STL A, 5, *AR3-0% ; 2874
+ STL A, 5, *AR3+% ; 2875
+ STL A, 5, *AR3+0% ; 2876
+ STL A, 5, *AR3(042A4h) ; 2877
+ STL A, 5, *+AR3(042A5h) ; 2878
+ STL A, 5, *+AR3(042A6h)% ; 2879
+ STL A, 5, *(042A7h) ; 2880
+ STL A, 5, *AR4 ; 2881
+ STL A, 5, *AR4- ; 2882
+ STL A, 5, *AR4+ ; 2883
+ STL A, 5, *+AR4 ; 2884
+ STL A, 5, *AR4-0B ; 2885
+ STL A, 5, *AR4-0 ; 2886
+ STL A, 5, *AR4+0 ; 2887
+ STL A, 5, *AR4+0B ; 2888
+ STL A, 5, *AR4-% ; 2889
+ STL A, 5, *AR4-0% ; 2890
+ STL A, 5, *AR4+% ; 2891
+ STL A, 5, *AR4+0% ; 2892
+ STL A, 5, *AR4(042A8h) ; 2893
+ STL A, 5, *+AR4(042A9h) ; 2894
+ STL A, 5, *+AR4(042AAh)% ; 2895
+ STL A, 5, *(042ABh) ; 2896
+ STL A, 5, *AR5 ; 2897
+ STL A, 5, *AR5- ; 2898
+ STL A, 5, *AR5+ ; 2899
+ STL A, 5, *+AR5 ; 2900
+ STL A, 5, *AR5-0B ; 2901
+ STL A, 5, *AR5-0 ; 2902
+ STL A, 5, *AR5+0 ; 2903
+ STL A, 5, *AR5+0B ; 2904
+ STL A, 5, *AR5-% ; 2905
+ STL A, 5, *AR5-0% ; 2906
+ STL A, 5, *AR5+% ; 2907
+ STL A, 5, *AR5+0% ; 2908
+ STL A, 5, *AR5(042ACh) ; 2909
+ STL A, 5, *+AR5(042ADh) ; 2910
+ STL A, 5, *+AR5(042AEh)% ; 2911
+ STL A, 5, *(042AFh) ; 2912
+ STL A, 5, *AR6 ; 2913
+ STL A, 5, *AR6- ; 2914
+ STL A, 5, *AR6+ ; 2915
+ STL A, 5, *+AR6 ; 2916
+ STL A, 5, *AR6-0B ; 2917
+ STL A, 5, *AR6-0 ; 2918
+ STL A, 5, *AR6+0 ; 2919
+ STL A, 5, *AR6+0B ; 2920
+ STL A, 5, *AR6-% ; 2921
+ STL A, 5, *AR6-0% ; 2922
+ STL A, 5, *AR6+% ; 2923
+ STL A, 5, *AR6+0% ; 2924
+ STL A, 5, *AR6(042B0h) ; 2925
+ STL A, 5, *+AR6(042B1h) ; 2926
+ STL A, 5, *+AR6(042B2h)% ; 2927
+ STL A, 5, *(042B3h) ; 2928
+ STL A, 5, *AR7 ; 2929
+ STL A, 5, *AR7- ; 2930
+ STL A, 5, *AR7+ ; 2931
+ STL A, 5, *+AR7 ; 2932
+ STL A, 5, *AR7-0B ; 2933
+ STL A, 5, *AR7-0 ; 2934
+ STL A, 5, *AR7+0 ; 2935
+ STL A, 5, *AR7+0B ; 2936
+ STL A, 5, *AR7-% ; 2937
+ STL A, 5, *AR7-0% ; 2938
+ STL A, 5, *AR7+% ; 2939
+ STL A, 5, *AR7+0% ; 2940
+ STL A, 5, *AR7(042B4h) ; 2941
+ STL A, 5, *+AR7(042B5h) ; 2942
+ STL A, 5, *+AR7(042B6h)% ; 2943
+ STL A, 5, *(042B7h) ; 2944
+ STL A, 6, *AR0 ; 2945
+ STL A, 6, *AR0- ; 2946
+ STL A, 6, *AR0+ ; 2947
+ STL A, 6, *+AR0 ; 2948
+ STL A, 6, *AR0-0B ; 2949
+ STL A, 6, *AR0-0 ; 2950
+ STL A, 6, *AR0+0 ; 2951
+ STL A, 6, *AR0+0B ; 2952
+ STL A, 6, *AR0-% ; 2953
+ STL A, 6, *AR0-0% ; 2954
+ STL A, 6, *AR0+% ; 2955
+ STL A, 6, *AR0+0% ; 2956
+ STL A, 6, *AR0(042B8h) ; 2957
+ STL A, 6, *+AR0(042B9h) ; 2958
+ STL A, 6, *+AR0(042BAh)% ; 2959
+ STL A, 6, *(042BBh) ; 2960
+ STL A, 6, *AR1 ; 2961
+ STL A, 6, *AR1- ; 2962
+ STL A, 6, *AR1+ ; 2963
+ STL A, 6, *+AR1 ; 2964
+ STL A, 6, *AR1-0B ; 2965
+ STL A, 6, *AR1-0 ; 2966
+ STL A, 6, *AR1+0 ; 2967
+ STL A, 6, *AR1+0B ; 2968
+ STL A, 6, *AR1-% ; 2969
+ STL A, 6, *AR1-0% ; 2970
+ STL A, 6, *AR1+% ; 2971
+ STL A, 6, *AR1+0% ; 2972
+ STL A, 6, *AR1(042BCh) ; 2973
+ STL A, 6, *+AR1(042BDh) ; 2974
+ STL A, 6, *+AR1(042BEh)% ; 2975
+ STL A, 6, *(042BFh) ; 2976
+ STL A, 6, *AR2 ; 2977
+ STL A, 6, *AR2- ; 2978
+ STL A, 6, *AR2+ ; 2979
+ STL A, 6, *+AR2 ; 2980
+ STL A, 6, *AR2-0B ; 2981
+ STL A, 6, *AR2-0 ; 2982
+ STL A, 6, *AR2+0 ; 2983
+ STL A, 6, *AR2+0B ; 2984
+ STL A, 6, *AR2-% ; 2985
+ STL A, 6, *AR2-0% ; 2986
+ STL A, 6, *AR2+% ; 2987
+ STL A, 6, *AR2+0% ; 2988
+ STL A, 6, *AR2(042C0h) ; 2989
+ STL A, 6, *+AR2(042C1h) ; 2990
+ STL A, 6, *+AR2(042C2h)% ; 2991
+ STL A, 6, *(042C3h) ; 2992
+ STL A, 6, *AR3 ; 2993
+ STL A, 6, *AR3- ; 2994
+ STL A, 6, *AR3+ ; 2995
+ STL A, 6, *+AR3 ; 2996
+ STL A, 6, *AR3-0B ; 2997
+ STL A, 6, *AR3-0 ; 2998
+ STL A, 6, *AR3+0 ; 2999
+ STL A, 6, *AR3+0B ; 3000
+ STL A, 6, *AR3-% ; 3001
+ STL A, 6, *AR3-0% ; 3002
+ STL A, 6, *AR3+% ; 3003
+ STL A, 6, *AR3+0% ; 3004
+ STL A, 6, *AR3(042C4h) ; 3005
+ STL A, 6, *+AR3(042C5h) ; 3006
+ STL A, 6, *+AR3(042C6h)% ; 3007
+ STL A, 6, *(042C7h) ; 3008
+ STL A, 6, *AR4 ; 3009
+ STL A, 6, *AR4- ; 3010
+ STL A, 6, *AR4+ ; 3011
+ STL A, 6, *+AR4 ; 3012
+ STL A, 6, *AR4-0B ; 3013
+ STL A, 6, *AR4-0 ; 3014
+ STL A, 6, *AR4+0 ; 3015
+ STL A, 6, *AR4+0B ; 3016
+ STL A, 6, *AR4-% ; 3017
+ STL A, 6, *AR4-0% ; 3018
+ STL A, 6, *AR4+% ; 3019
+ STL A, 6, *AR4+0% ; 3020
+ STL A, 6, *AR4(042C8h) ; 3021
+ STL A, 6, *+AR4(042C9h) ; 3022
+ STL A, 6, *+AR4(042CAh)% ; 3023
+ STL A, 6, *(042CBh) ; 3024
+ STL A, 6, *AR5 ; 3025
+ STL A, 6, *AR5- ; 3026
+ STL A, 6, *AR5+ ; 3027
+ STL A, 6, *+AR5 ; 3028
+ STL A, 6, *AR5-0B ; 3029
+ STL A, 6, *AR5-0 ; 3030
+ STL A, 6, *AR5+0 ; 3031
+ STL A, 6, *AR5+0B ; 3032
+ STL A, 6, *AR5-% ; 3033
+ STL A, 6, *AR5-0% ; 3034
+ STL A, 6, *AR5+% ; 3035
+ STL A, 6, *AR5+0% ; 3036
+ STL A, 6, *AR5(042CCh) ; 3037
+ STL A, 6, *+AR5(042CDh) ; 3038
+ STL A, 6, *+AR5(042CEh)% ; 3039
+ STL A, 6, *(042CFh) ; 3040
+ STL A, 6, *AR6 ; 3041
+ STL A, 6, *AR6- ; 3042
+ STL A, 6, *AR6+ ; 3043
+ STL A, 6, *+AR6 ; 3044
+ STL A, 6, *AR6-0B ; 3045
+ STL A, 6, *AR6-0 ; 3046
+ STL A, 6, *AR6+0 ; 3047
+ STL A, 6, *AR6+0B ; 3048
+ STL A, 6, *AR6-% ; 3049
+ STL A, 6, *AR6-0% ; 3050
+ STL A, 6, *AR6+% ; 3051
+ STL A, 6, *AR6+0% ; 3052
+ STL A, 6, *AR6(042D0h) ; 3053
+ STL A, 6, *+AR6(042D1h) ; 3054
+ STL A, 6, *+AR6(042D2h)% ; 3055
+ STL A, 6, *(042D3h) ; 3056
+ STL A, 6, *AR7 ; 3057
+ STL A, 6, *AR7- ; 3058
+ STL A, 6, *AR7+ ; 3059
+ STL A, 6, *+AR7 ; 3060
+ STL A, 6, *AR7-0B ; 3061
+ STL A, 6, *AR7-0 ; 3062
+ STL A, 6, *AR7+0 ; 3063
+ STL A, 6, *AR7+0B ; 3064
+ STL A, 6, *AR7-% ; 3065
+ STL A, 6, *AR7-0% ; 3066
+ STL A, 6, *AR7+% ; 3067
+ STL A, 6, *AR7+0% ; 3068
+ STL A, 6, *AR7(042D4h) ; 3069
+ STL A, 6, *+AR7(042D5h) ; 3070
+ STL A, 6, *+AR7(042D6h)% ; 3071
+ STL A, 6, *(042D7h) ; 3072
+ STL A, 7, *AR0 ; 3073
+ STL A, 7, *AR0- ; 3074
+ STL A, 7, *AR0+ ; 3075
+ STL A, 7, *+AR0 ; 3076
+ STL A, 7, *AR0-0B ; 3077
+ STL A, 7, *AR0-0 ; 3078
+ STL A, 7, *AR0+0 ; 3079
+ STL A, 7, *AR0+0B ; 3080
+ STL A, 7, *AR0-% ; 3081
+ STL A, 7, *AR0-0% ; 3082
+ STL A, 7, *AR0+% ; 3083
+ STL A, 7, *AR0+0% ; 3084
+ STL A, 7, *AR0(042D8h) ; 3085
+ STL A, 7, *+AR0(042D9h) ; 3086
+ STL A, 7, *+AR0(042DAh)% ; 3087
+ STL A, 7, *(042DBh) ; 3088
+ STL A, 7, *AR1 ; 3089
+ STL A, 7, *AR1- ; 3090
+ STL A, 7, *AR1+ ; 3091
+ STL A, 7, *+AR1 ; 3092
+ STL A, 7, *AR1-0B ; 3093
+ STL A, 7, *AR1-0 ; 3094
+ STL A, 7, *AR1+0 ; 3095
+ STL A, 7, *AR1+0B ; 3096
+ STL A, 7, *AR1-% ; 3097
+ STL A, 7, *AR1-0% ; 3098
+ STL A, 7, *AR1+% ; 3099
+ STL A, 7, *AR1+0% ; 3100
+ STL A, 7, *AR1(042DCh) ; 3101
+ STL A, 7, *+AR1(042DDh) ; 3102
+ STL A, 7, *+AR1(042DEh)% ; 3103
+ STL A, 7, *(042DFh) ; 3104
+ STL A, 7, *AR2 ; 3105
+ STL A, 7, *AR2- ; 3106
+ STL A, 7, *AR2+ ; 3107
+ STL A, 7, *+AR2 ; 3108
+ STL A, 7, *AR2-0B ; 3109
+ STL A, 7, *AR2-0 ; 3110
+ STL A, 7, *AR2+0 ; 3111
+ STL A, 7, *AR2+0B ; 3112
+ STL A, 7, *AR2-% ; 3113
+ STL A, 7, *AR2-0% ; 3114
+ STL A, 7, *AR2+% ; 3115
+ STL A, 7, *AR2+0% ; 3116
+ STL A, 7, *AR2(042E0h) ; 3117
+ STL A, 7, *+AR2(042E1h) ; 3118
+ STL A, 7, *+AR2(042E2h)% ; 3119
+ STL A, 7, *(042E3h) ; 3120
+ STL A, 7, *AR3 ; 3121
+ STL A, 7, *AR3- ; 3122
+ STL A, 7, *AR3+ ; 3123
+ STL A, 7, *+AR3 ; 3124
+ STL A, 7, *AR3-0B ; 3125
+ STL A, 7, *AR3-0 ; 3126
+ STL A, 7, *AR3+0 ; 3127
+ STL A, 7, *AR3+0B ; 3128
+ STL A, 7, *AR3-% ; 3129
+ STL A, 7, *AR3-0% ; 3130
+ STL A, 7, *AR3+% ; 3131
+ STL A, 7, *AR3+0% ; 3132
+ STL A, 7, *AR3(042E4h) ; 3133
+ STL A, 7, *+AR3(042E5h) ; 3134
+ STL A, 7, *+AR3(042E6h)% ; 3135
+ STL A, 7, *(042E7h) ; 3136
+ STL A, 7, *AR4 ; 3137
+ STL A, 7, *AR4- ; 3138
+ STL A, 7, *AR4+ ; 3139
+ STL A, 7, *+AR4 ; 3140
+ STL A, 7, *AR4-0B ; 3141
+ STL A, 7, *AR4-0 ; 3142
+ STL A, 7, *AR4+0 ; 3143
+ STL A, 7, *AR4+0B ; 3144
+ STL A, 7, *AR4-% ; 3145
+ STL A, 7, *AR4-0% ; 3146
+ STL A, 7, *AR4+% ; 3147
+ STL A, 7, *AR4+0% ; 3148
+ STL A, 7, *AR4(042E8h) ; 3149
+ STL A, 7, *+AR4(042E9h) ; 3150
+ STL A, 7, *+AR4(042EAh)% ; 3151
+ STL A, 7, *(042EBh) ; 3152
+ STL A, 7, *AR5 ; 3153
+ STL A, 7, *AR5- ; 3154
+ STL A, 7, *AR5+ ; 3155
+ STL A, 7, *+AR5 ; 3156
+ STL A, 7, *AR5-0B ; 3157
+ STL A, 7, *AR5-0 ; 3158
+ STL A, 7, *AR5+0 ; 3159
+ STL A, 7, *AR5+0B ; 3160
+ STL A, 7, *AR5-% ; 3161
+ STL A, 7, *AR5-0% ; 3162
+ STL A, 7, *AR5+% ; 3163
+ STL A, 7, *AR5+0% ; 3164
+ STL A, 7, *AR5(042ECh) ; 3165
+ STL A, 7, *+AR5(042EDh) ; 3166
+ STL A, 7, *+AR5(042EEh)% ; 3167
+ STL A, 7, *(042EFh) ; 3168
+ STL A, 7, *AR6 ; 3169
+ STL A, 7, *AR6- ; 3170
+ STL A, 7, *AR6+ ; 3171
+ STL A, 7, *+AR6 ; 3172
+ STL A, 7, *AR6-0B ; 3173
+ STL A, 7, *AR6-0 ; 3174
+ STL A, 7, *AR6+0 ; 3175
+ STL A, 7, *AR6+0B ; 3176
+ STL A, 7, *AR6-% ; 3177
+ STL A, 7, *AR6-0% ; 3178
+ STL A, 7, *AR6+% ; 3179
+ STL A, 7, *AR6+0% ; 3180
+ STL A, 7, *AR6(042F0h) ; 3181
+ STL A, 7, *+AR6(042F1h) ; 3182
+ STL A, 7, *+AR6(042F2h)% ; 3183
+ STL A, 7, *(042F3h) ; 3184
+ STL A, 7, *AR7 ; 3185
+ STL A, 7, *AR7- ; 3186
+ STL A, 7, *AR7+ ; 3187
+ STL A, 7, *+AR7 ; 3188
+ STL A, 7, *AR7-0B ; 3189
+ STL A, 7, *AR7-0 ; 3190
+ STL A, 7, *AR7+0 ; 3191
+ STL A, 7, *AR7+0B ; 3192
+ STL A, 7, *AR7-% ; 3193
+ STL A, 7, *AR7-0% ; 3194
+ STL A, 7, *AR7+% ; 3195
+ STL A, 7, *AR7+0% ; 3196
+ STL A, 7, *AR7(042F4h) ; 3197
+ STL A, 7, *+AR7(042F5h) ; 3198
+ STL A, 7, *+AR7(042F6h)% ; 3199
+ STL A, 7, *(042F7h) ; 3200
+ STL A, 8, *AR0 ; 3201
+ STL A, 8, *AR0- ; 3202
+ STL A, 8, *AR0+ ; 3203
+ STL A, 8, *+AR0 ; 3204
+ STL A, 8, *AR0-0B ; 3205
+ STL A, 8, *AR0-0 ; 3206
+ STL A, 8, *AR0+0 ; 3207
+ STL A, 8, *AR0+0B ; 3208
+ STL A, 8, *AR0-% ; 3209
+ STL A, 8, *AR0-0% ; 3210
+ STL A, 8, *AR0+% ; 3211
+ STL A, 8, *AR0+0% ; 3212
+ STL A, 8, *AR0(042F8h) ; 3213
+ STL A, 8, *+AR0(042F9h) ; 3214
+ STL A, 8, *+AR0(042FAh)% ; 3215
+ STL A, 8, *(042FBh) ; 3216
+ STL A, 8, *AR1 ; 3217
+ STL A, 8, *AR1- ; 3218
+ STL A, 8, *AR1+ ; 3219
+ STL A, 8, *+AR1 ; 3220
+ STL A, 8, *AR1-0B ; 3221
+ STL A, 8, *AR1-0 ; 3222
+ STL A, 8, *AR1+0 ; 3223
+ STL A, 8, *AR1+0B ; 3224
+ STL A, 8, *AR1-% ; 3225
+ STL A, 8, *AR1-0% ; 3226
+ STL A, 8, *AR1+% ; 3227
+ STL A, 8, *AR1+0% ; 3228
+ STL A, 8, *AR1(042FCh) ; 3229
+ STL A, 8, *+AR1(042FDh) ; 3230
+ STL A, 8, *+AR1(042FEh)% ; 3231
+ STL A, 8, *(042FFh) ; 3232
+ STL A, 8, *AR2 ; 3233
+ STL A, 8, *AR2- ; 3234
+ STL A, 8, *AR2+ ; 3235
+ STL A, 8, *+AR2 ; 3236
+ STL A, 8, *AR2-0B ; 3237
+ STL A, 8, *AR2-0 ; 3238
+ STL A, 8, *AR2+0 ; 3239
+ STL A, 8, *AR2+0B ; 3240
+ STL A, 8, *AR2-% ; 3241
+ STL A, 8, *AR2-0% ; 3242
+ STL A, 8, *AR2+% ; 3243
+ STL A, 8, *AR2+0% ; 3244
+ STL A, 8, *AR2(04300h) ; 3245
+ STL A, 8, *+AR2(04301h) ; 3246
+ STL A, 8, *+AR2(04302h)% ; 3247
+ STL A, 8, *(04303h) ; 3248
+ STL A, 8, *AR3 ; 3249
+ STL A, 8, *AR3- ; 3250
+ STL A, 8, *AR3+ ; 3251
+ STL A, 8, *+AR3 ; 3252
+ STL A, 8, *AR3-0B ; 3253
+ STL A, 8, *AR3-0 ; 3254
+ STL A, 8, *AR3+0 ; 3255
+ STL A, 8, *AR3+0B ; 3256
+ STL A, 8, *AR3-% ; 3257
+ STL A, 8, *AR3-0% ; 3258
+ STL A, 8, *AR3+% ; 3259
+ STL A, 8, *AR3+0% ; 3260
+ STL A, 8, *AR3(04304h) ; 3261
+ STL A, 8, *+AR3(04305h) ; 3262
+ STL A, 8, *+AR3(04306h)% ; 3263
+ STL A, 8, *(04307h) ; 3264
+ STL A, 8, *AR4 ; 3265
+ STL A, 8, *AR4- ; 3266
+ STL A, 8, *AR4+ ; 3267
+ STL A, 8, *+AR4 ; 3268
+ STL A, 8, *AR4-0B ; 3269
+ STL A, 8, *AR4-0 ; 3270
+ STL A, 8, *AR4+0 ; 3271
+ STL A, 8, *AR4+0B ; 3272
+ STL A, 8, *AR4-% ; 3273
+ STL A, 8, *AR4-0% ; 3274
+ STL A, 8, *AR4+% ; 3275
+ STL A, 8, *AR4+0% ; 3276
+ STL A, 8, *AR4(04308h) ; 3277
+ STL A, 8, *+AR4(04309h) ; 3278
+ STL A, 8, *+AR4(0430Ah)% ; 3279
+ STL A, 8, *(0430Bh) ; 3280
+ STL A, 8, *AR5 ; 3281
+ STL A, 8, *AR5- ; 3282
+ STL A, 8, *AR5+ ; 3283
+ STL A, 8, *+AR5 ; 3284
+ STL A, 8, *AR5-0B ; 3285
+ STL A, 8, *AR5-0 ; 3286
+ STL A, 8, *AR5+0 ; 3287
+ STL A, 8, *AR5+0B ; 3288
+ STL A, 8, *AR5-% ; 3289
+ STL A, 8, *AR5-0% ; 3290
+ STL A, 8, *AR5+% ; 3291
+ STL A, 8, *AR5+0% ; 3292
+ STL A, 8, *AR5(0430Ch) ; 3293
+ STL A, 8, *+AR5(0430Dh) ; 3294
+ STL A, 8, *+AR5(0430Eh)% ; 3295
+ STL A, 8, *(0430Fh) ; 3296
+ STL A, 8, *AR6 ; 3297
+ STL A, 8, *AR6- ; 3298
+ STL A, 8, *AR6+ ; 3299
+ STL A, 8, *+AR6 ; 3300
+ STL A, 8, *AR6-0B ; 3301
+ STL A, 8, *AR6-0 ; 3302
+ STL A, 8, *AR6+0 ; 3303
+ STL A, 8, *AR6+0B ; 3304
+ STL A, 8, *AR6-% ; 3305
+ STL A, 8, *AR6-0% ; 3306
+ STL A, 8, *AR6+% ; 3307
+ STL A, 8, *AR6+0% ; 3308
+ STL A, 8, *AR6(04310h) ; 3309
+ STL A, 8, *+AR6(04311h) ; 3310
+ STL A, 8, *+AR6(04312h)% ; 3311
+ STL A, 8, *(04313h) ; 3312
+ STL A, 8, *AR7 ; 3313
+ STL A, 8, *AR7- ; 3314
+ STL A, 8, *AR7+ ; 3315
+ STL A, 8, *+AR7 ; 3316
+ STL A, 8, *AR7-0B ; 3317
+ STL A, 8, *AR7-0 ; 3318
+ STL A, 8, *AR7+0 ; 3319
+ STL A, 8, *AR7+0B ; 3320
+ STL A, 8, *AR7-% ; 3321
+ STL A, 8, *AR7-0% ; 3322
+ STL A, 8, *AR7+% ; 3323
+ STL A, 8, *AR7+0% ; 3324
+ STL A, 8, *AR7(04314h) ; 3325
+ STL A, 8, *+AR7(04315h) ; 3326
+ STL A, 8, *+AR7(04316h)% ; 3327
+ STL A, 8, *(04317h) ; 3328
+ STL A, 9, *AR0 ; 3329
+ STL A, 9, *AR0- ; 3330
+ STL A, 9, *AR0+ ; 3331
+ STL A, 9, *+AR0 ; 3332
+ STL A, 9, *AR0-0B ; 3333
+ STL A, 9, *AR0-0 ; 3334
+ STL A, 9, *AR0+0 ; 3335
+ STL A, 9, *AR0+0B ; 3336
+ STL A, 9, *AR0-% ; 3337
+ STL A, 9, *AR0-0% ; 3338
+ STL A, 9, *AR0+% ; 3339
+ STL A, 9, *AR0+0% ; 3340
+ STL A, 9, *AR0(04318h) ; 3341
+ STL A, 9, *+AR0(04319h) ; 3342
+ STL A, 9, *+AR0(0431Ah)% ; 3343
+ STL A, 9, *(0431Bh) ; 3344
+ STL A, 9, *AR1 ; 3345
+ STL A, 9, *AR1- ; 3346
+ STL A, 9, *AR1+ ; 3347
+ STL A, 9, *+AR1 ; 3348
+ STL A, 9, *AR1-0B ; 3349
+ STL A, 9, *AR1-0 ; 3350
+ STL A, 9, *AR1+0 ; 3351
+ STL A, 9, *AR1+0B ; 3352
+ STL A, 9, *AR1-% ; 3353
+ STL A, 9, *AR1-0% ; 3354
+ STL A, 9, *AR1+% ; 3355
+ STL A, 9, *AR1+0% ; 3356
+ STL A, 9, *AR1(0431Ch) ; 3357
+ STL A, 9, *+AR1(0431Dh) ; 3358
+ STL A, 9, *+AR1(0431Eh)% ; 3359
+ STL A, 9, *(0431Fh) ; 3360
+ STL A, 9, *AR2 ; 3361
+ STL A, 9, *AR2- ; 3362
+ STL A, 9, *AR2+ ; 3363
+ STL A, 9, *+AR2 ; 3364
+ STL A, 9, *AR2-0B ; 3365
+ STL A, 9, *AR2-0 ; 3366
+ STL A, 9, *AR2+0 ; 3367
+ STL A, 9, *AR2+0B ; 3368
+ STL A, 9, *AR2-% ; 3369
+ STL A, 9, *AR2-0% ; 3370
+ STL A, 9, *AR2+% ; 3371
+ STL A, 9, *AR2+0% ; 3372
+ STL A, 9, *AR2(04320h) ; 3373
+ STL A, 9, *+AR2(04321h) ; 3374
+ STL A, 9, *+AR2(04322h)% ; 3375
+ STL A, 9, *(04323h) ; 3376
+ STL A, 9, *AR3 ; 3377
+ STL A, 9, *AR3- ; 3378
+ STL A, 9, *AR3+ ; 3379
+ STL A, 9, *+AR3 ; 3380
+ STL A, 9, *AR3-0B ; 3381
+ STL A, 9, *AR3-0 ; 3382
+ STL A, 9, *AR3+0 ; 3383
+ STL A, 9, *AR3+0B ; 3384
+ STL A, 9, *AR3-% ; 3385
+ STL A, 9, *AR3-0% ; 3386
+ STL A, 9, *AR3+% ; 3387
+ STL A, 9, *AR3+0% ; 3388
+ STL A, 9, *AR3(04324h) ; 3389
+ STL A, 9, *+AR3(04325h) ; 3390
+ STL A, 9, *+AR3(04326h)% ; 3391
+ STL A, 9, *(04327h) ; 3392
+ STL A, 9, *AR4 ; 3393
+ STL A, 9, *AR4- ; 3394
+ STL A, 9, *AR4+ ; 3395
+ STL A, 9, *+AR4 ; 3396
+ STL A, 9, *AR4-0B ; 3397
+ STL A, 9, *AR4-0 ; 3398
+ STL A, 9, *AR4+0 ; 3399
+ STL A, 9, *AR4+0B ; 3400
+ STL A, 9, *AR4-% ; 3401
+ STL A, 9, *AR4-0% ; 3402
+ STL A, 9, *AR4+% ; 3403
+ STL A, 9, *AR4+0% ; 3404
+ STL A, 9, *AR4(04328h) ; 3405
+ STL A, 9, *+AR4(04329h) ; 3406
+ STL A, 9, *+AR4(0432Ah)% ; 3407
+ STL A, 9, *(0432Bh) ; 3408
+ STL A, 9, *AR5 ; 3409
+ STL A, 9, *AR5- ; 3410
+ STL A, 9, *AR5+ ; 3411
+ STL A, 9, *+AR5 ; 3412
+ STL A, 9, *AR5-0B ; 3413
+ STL A, 9, *AR5-0 ; 3414
+ STL A, 9, *AR5+0 ; 3415
+ STL A, 9, *AR5+0B ; 3416
+ STL A, 9, *AR5-% ; 3417
+ STL A, 9, *AR5-0% ; 3418
+ STL A, 9, *AR5+% ; 3419
+ STL A, 9, *AR5+0% ; 3420
+ STL A, 9, *AR5(0432Ch) ; 3421
+ STL A, 9, *+AR5(0432Dh) ; 3422
+ STL A, 9, *+AR5(0432Eh)% ; 3423
+ STL A, 9, *(0432Fh) ; 3424
+ STL A, 9, *AR6 ; 3425
+ STL A, 9, *AR6- ; 3426
+ STL A, 9, *AR6+ ; 3427
+ STL A, 9, *+AR6 ; 3428
+ STL A, 9, *AR6-0B ; 3429
+ STL A, 9, *AR6-0 ; 3430
+ STL A, 9, *AR6+0 ; 3431
+ STL A, 9, *AR6+0B ; 3432
+ STL A, 9, *AR6-% ; 3433
+ STL A, 9, *AR6-0% ; 3434
+ STL A, 9, *AR6+% ; 3435
+ STL A, 9, *AR6+0% ; 3436
+ STL A, 9, *AR6(04330h) ; 3437
+ STL A, 9, *+AR6(04331h) ; 3438
+ STL A, 9, *+AR6(04332h)% ; 3439
+ STL A, 9, *(04333h) ; 3440
+ STL A, 9, *AR7 ; 3441
+ STL A, 9, *AR7- ; 3442
+ STL A, 9, *AR7+ ; 3443
+ STL A, 9, *+AR7 ; 3444
+ STL A, 9, *AR7-0B ; 3445
+ STL A, 9, *AR7-0 ; 3446
+ STL A, 9, *AR7+0 ; 3447
+ STL A, 9, *AR7+0B ; 3448
+ STL A, 9, *AR7-% ; 3449
+ STL A, 9, *AR7-0% ; 3450
+ STL A, 9, *AR7+% ; 3451
+ STL A, 9, *AR7+0% ; 3452
+ STL A, 9, *AR7(04334h) ; 3453
+ STL A, 9, *+AR7(04335h) ; 3454
+ STL A, 9, *+AR7(04336h)% ; 3455
+ STL A, 9, *(04337h) ; 3456
+ STL A, 10, *AR0 ; 3457
+ STL A, 10, *AR0- ; 3458
+ STL A, 10, *AR0+ ; 3459
+ STL A, 10, *+AR0 ; 3460
+ STL A, 10, *AR0-0B ; 3461
+ STL A, 10, *AR0-0 ; 3462
+ STL A, 10, *AR0+0 ; 3463
+ STL A, 10, *AR0+0B ; 3464
+ STL A, 10, *AR0-% ; 3465
+ STL A, 10, *AR0-0% ; 3466
+ STL A, 10, *AR0+% ; 3467
+ STL A, 10, *AR0+0% ; 3468
+ STL A, 10, *AR0(04338h) ; 3469
+ STL A, 10, *+AR0(04339h) ; 3470
+ STL A, 10, *+AR0(0433Ah)% ; 3471
+ STL A, 10, *(0433Bh) ; 3472
+ STL A, 10, *AR1 ; 3473
+ STL A, 10, *AR1- ; 3474
+ STL A, 10, *AR1+ ; 3475
+ STL A, 10, *+AR1 ; 3476
+ STL A, 10, *AR1-0B ; 3477
+ STL A, 10, *AR1-0 ; 3478
+ STL A, 10, *AR1+0 ; 3479
+ STL A, 10, *AR1+0B ; 3480
+ STL A, 10, *AR1-% ; 3481
+ STL A, 10, *AR1-0% ; 3482
+ STL A, 10, *AR1+% ; 3483
+ STL A, 10, *AR1+0% ; 3484
+ STL A, 10, *AR1(0433Ch) ; 3485
+ STL A, 10, *+AR1(0433Dh) ; 3486
+ STL A, 10, *+AR1(0433Eh)% ; 3487
+ STL A, 10, *(0433Fh) ; 3488
+ STL A, 10, *AR2 ; 3489
+ STL A, 10, *AR2- ; 3490
+ STL A, 10, *AR2+ ; 3491
+ STL A, 10, *+AR2 ; 3492
+ STL A, 10, *AR2-0B ; 3493
+ STL A, 10, *AR2-0 ; 3494
+ STL A, 10, *AR2+0 ; 3495
+ STL A, 10, *AR2+0B ; 3496
+ STL A, 10, *AR2-% ; 3497
+ STL A, 10, *AR2-0% ; 3498
+ STL A, 10, *AR2+% ; 3499
+ STL A, 10, *AR2+0% ; 3500
+ STL A, 10, *AR2(04340h) ; 3501
+ STL A, 10, *+AR2(04341h) ; 3502
+ STL A, 10, *+AR2(04342h)% ; 3503
+ STL A, 10, *(04343h) ; 3504
+ STL A, 10, *AR3 ; 3505
+ STL A, 10, *AR3- ; 3506
+ STL A, 10, *AR3+ ; 3507
+ STL A, 10, *+AR3 ; 3508
+ STL A, 10, *AR3-0B ; 3509
+ STL A, 10, *AR3-0 ; 3510
+ STL A, 10, *AR3+0 ; 3511
+ STL A, 10, *AR3+0B ; 3512
+ STL A, 10, *AR3-% ; 3513
+ STL A, 10, *AR3-0% ; 3514
+ STL A, 10, *AR3+% ; 3515
+ STL A, 10, *AR3+0% ; 3516
+ STL A, 10, *AR3(04344h) ; 3517
+ STL A, 10, *+AR3(04345h) ; 3518
+ STL A, 10, *+AR3(04346h)% ; 3519
+ STL A, 10, *(04347h) ; 3520
+ STL A, 10, *AR4 ; 3521
+ STL A, 10, *AR4- ; 3522
+ STL A, 10, *AR4+ ; 3523
+ STL A, 10, *+AR4 ; 3524
+ STL A, 10, *AR4-0B ; 3525
+ STL A, 10, *AR4-0 ; 3526
+ STL A, 10, *AR4+0 ; 3527
+ STL A, 10, *AR4+0B ; 3528
+ STL A, 10, *AR4-% ; 3529
+ STL A, 10, *AR4-0% ; 3530
+ STL A, 10, *AR4+% ; 3531
+ STL A, 10, *AR4+0% ; 3532
+ STL A, 10, *AR4(04348h) ; 3533
+ STL A, 10, *+AR4(04349h) ; 3534
+ STL A, 10, *+AR4(0434Ah)% ; 3535
+ STL A, 10, *(0434Bh) ; 3536
+ STL A, 10, *AR5 ; 3537
+ STL A, 10, *AR5- ; 3538
+ STL A, 10, *AR5+ ; 3539
+ STL A, 10, *+AR5 ; 3540
+ STL A, 10, *AR5-0B ; 3541
+ STL A, 10, *AR5-0 ; 3542
+ STL A, 10, *AR5+0 ; 3543
+ STL A, 10, *AR5+0B ; 3544
+ STL A, 10, *AR5-% ; 3545
+ STL A, 10, *AR5-0% ; 3546
+ STL A, 10, *AR5+% ; 3547
+ STL A, 10, *AR5+0% ; 3548
+ STL A, 10, *AR5(0434Ch) ; 3549
+ STL A, 10, *+AR5(0434Dh) ; 3550
+ STL A, 10, *+AR5(0434Eh)% ; 3551
+ STL A, 10, *(0434Fh) ; 3552
+ STL A, 10, *AR6 ; 3553
+ STL A, 10, *AR6- ; 3554
+ STL A, 10, *AR6+ ; 3555
+ STL A, 10, *+AR6 ; 3556
+ STL A, 10, *AR6-0B ; 3557
+ STL A, 10, *AR6-0 ; 3558
+ STL A, 10, *AR6+0 ; 3559
+ STL A, 10, *AR6+0B ; 3560
+ STL A, 10, *AR6-% ; 3561
+ STL A, 10, *AR6-0% ; 3562
+ STL A, 10, *AR6+% ; 3563
+ STL A, 10, *AR6+0% ; 3564
+ STL A, 10, *AR6(04350h) ; 3565
+ STL A, 10, *+AR6(04351h) ; 3566
+ STL A, 10, *+AR6(04352h)% ; 3567
+ STL A, 10, *(04353h) ; 3568
+ STL A, 10, *AR7 ; 3569
+ STL A, 10, *AR7- ; 3570
+ STL A, 10, *AR7+ ; 3571
+ STL A, 10, *+AR7 ; 3572
+ STL A, 10, *AR7-0B ; 3573
+ STL A, 10, *AR7-0 ; 3574
+ STL A, 10, *AR7+0 ; 3575
+ STL A, 10, *AR7+0B ; 3576
+ STL A, 10, *AR7-% ; 3577
+ STL A, 10, *AR7-0% ; 3578
+ STL A, 10, *AR7+% ; 3579
+ STL A, 10, *AR7+0% ; 3580
+ STL A, 10, *AR7(04354h) ; 3581
+ STL A, 10, *+AR7(04355h) ; 3582
+ STL A, 10, *+AR7(04356h)% ; 3583
+ STL A, 10, *(04357h) ; 3584
+ STL A, 11, *AR0 ; 3585
+ STL A, 11, *AR0- ; 3586
+ STL A, 11, *AR0+ ; 3587
+ STL A, 11, *+AR0 ; 3588
+ STL A, 11, *AR0-0B ; 3589
+ STL A, 11, *AR0-0 ; 3590
+ STL A, 11, *AR0+0 ; 3591
+ STL A, 11, *AR0+0B ; 3592
+ STL A, 11, *AR0-% ; 3593
+ STL A, 11, *AR0-0% ; 3594
+ STL A, 11, *AR0+% ; 3595
+ STL A, 11, *AR0+0% ; 3596
+ STL A, 11, *AR0(04358h) ; 3597
+ STL A, 11, *+AR0(04359h) ; 3598
+ STL A, 11, *+AR0(0435Ah)% ; 3599
+ STL A, 11, *(0435Bh) ; 3600
+ STL A, 11, *AR1 ; 3601
+ STL A, 11, *AR1- ; 3602
+ STL A, 11, *AR1+ ; 3603
+ STL A, 11, *+AR1 ; 3604
+ STL A, 11, *AR1-0B ; 3605
+ STL A, 11, *AR1-0 ; 3606
+ STL A, 11, *AR1+0 ; 3607
+ STL A, 11, *AR1+0B ; 3608
+ STL A, 11, *AR1-% ; 3609
+ STL A, 11, *AR1-0% ; 3610
+ STL A, 11, *AR1+% ; 3611
+ STL A, 11, *AR1+0% ; 3612
+ STL A, 11, *AR1(0435Ch) ; 3613
+ STL A, 11, *+AR1(0435Dh) ; 3614
+ STL A, 11, *+AR1(0435Eh)% ; 3615
+ STL A, 11, *(0435Fh) ; 3616
+ STL A, 11, *AR2 ; 3617
+ STL A, 11, *AR2- ; 3618
+ STL A, 11, *AR2+ ; 3619
+ STL A, 11, *+AR2 ; 3620
+ STL A, 11, *AR2-0B ; 3621
+ STL A, 11, *AR2-0 ; 3622
+ STL A, 11, *AR2+0 ; 3623
+ STL A, 11, *AR2+0B ; 3624
+ STL A, 11, *AR2-% ; 3625
+ STL A, 11, *AR2-0% ; 3626
+ STL A, 11, *AR2+% ; 3627
+ STL A, 11, *AR2+0% ; 3628
+ STL A, 11, *AR2(04360h) ; 3629
+ STL A, 11, *+AR2(04361h) ; 3630
+ STL A, 11, *+AR2(04362h)% ; 3631
+ STL A, 11, *(04363h) ; 3632
+ STL A, 11, *AR3 ; 3633
+ STL A, 11, *AR3- ; 3634
+ STL A, 11, *AR3+ ; 3635
+ STL A, 11, *+AR3 ; 3636
+ STL A, 11, *AR3-0B ; 3637
+ STL A, 11, *AR3-0 ; 3638
+ STL A, 11, *AR3+0 ; 3639
+ STL A, 11, *AR3+0B ; 3640
+ STL A, 11, *AR3-% ; 3641
+ STL A, 11, *AR3-0% ; 3642
+ STL A, 11, *AR3+% ; 3643
+ STL A, 11, *AR3+0% ; 3644
+ STL A, 11, *AR3(04364h) ; 3645
+ STL A, 11, *+AR3(04365h) ; 3646
+ STL A, 11, *+AR3(04366h)% ; 3647
+ STL A, 11, *(04367h) ; 3648
+ STL A, 11, *AR4 ; 3649
+ STL A, 11, *AR4- ; 3650
+ STL A, 11, *AR4+ ; 3651
+ STL A, 11, *+AR4 ; 3652
+ STL A, 11, *AR4-0B ; 3653
+ STL A, 11, *AR4-0 ; 3654
+ STL A, 11, *AR4+0 ; 3655
+ STL A, 11, *AR4+0B ; 3656
+ STL A, 11, *AR4-% ; 3657
+ STL A, 11, *AR4-0% ; 3658
+ STL A, 11, *AR4+% ; 3659
+ STL A, 11, *AR4+0% ; 3660
+ STL A, 11, *AR4(04368h) ; 3661
+ STL A, 11, *+AR4(04369h) ; 3662
+ STL A, 11, *+AR4(0436Ah)% ; 3663
+ STL A, 11, *(0436Bh) ; 3664
+ STL A, 11, *AR5 ; 3665
+ STL A, 11, *AR5- ; 3666
+ STL A, 11, *AR5+ ; 3667
+ STL A, 11, *+AR5 ; 3668
+ STL A, 11, *AR5-0B ; 3669
+ STL A, 11, *AR5-0 ; 3670
+ STL A, 11, *AR5+0 ; 3671
+ STL A, 11, *AR5+0B ; 3672
+ STL A, 11, *AR5-% ; 3673
+ STL A, 11, *AR5-0% ; 3674
+ STL A, 11, *AR5+% ; 3675
+ STL A, 11, *AR5+0% ; 3676
+ STL A, 11, *AR5(0436Ch) ; 3677
+ STL A, 11, *+AR5(0436Dh) ; 3678
+ STL A, 11, *+AR5(0436Eh)% ; 3679
+ STL A, 11, *(0436Fh) ; 3680
+ STL A, 11, *AR6 ; 3681
+ STL A, 11, *AR6- ; 3682
+ STL A, 11, *AR6+ ; 3683
+ STL A, 11, *+AR6 ; 3684
+ STL A, 11, *AR6-0B ; 3685
+ STL A, 11, *AR6-0 ; 3686
+ STL A, 11, *AR6+0 ; 3687
+ STL A, 11, *AR6+0B ; 3688
+ STL A, 11, *AR6-% ; 3689
+ STL A, 11, *AR6-0% ; 3690
+ STL A, 11, *AR6+% ; 3691
+ STL A, 11, *AR6+0% ; 3692
+ STL A, 11, *AR6(04370h) ; 3693
+ STL A, 11, *+AR6(04371h) ; 3694
+ STL A, 11, *+AR6(04372h)% ; 3695
+ STL A, 11, *(04373h) ; 3696
+ STL A, 11, *AR7 ; 3697
+ STL A, 11, *AR7- ; 3698
+ STL A, 11, *AR7+ ; 3699
+ STL A, 11, *+AR7 ; 3700
+ STL A, 11, *AR7-0B ; 3701
+ STL A, 11, *AR7-0 ; 3702
+ STL A, 11, *AR7+0 ; 3703
+ STL A, 11, *AR7+0B ; 3704
+ STL A, 11, *AR7-% ; 3705
+ STL A, 11, *AR7-0% ; 3706
+ STL A, 11, *AR7+% ; 3707
+ STL A, 11, *AR7+0% ; 3708
+ STL A, 11, *AR7(04374h) ; 3709
+ STL A, 11, *+AR7(04375h) ; 3710
+ STL A, 11, *+AR7(04376h)% ; 3711
+ STL A, 11, *(04377h) ; 3712
+ STL A, 12, *AR0 ; 3713
+ STL A, 12, *AR0- ; 3714
+ STL A, 12, *AR0+ ; 3715
+ STL A, 12, *+AR0 ; 3716
+ STL A, 12, *AR0-0B ; 3717
+ STL A, 12, *AR0-0 ; 3718
+ STL A, 12, *AR0+0 ; 3719
+ STL A, 12, *AR0+0B ; 3720
+ STL A, 12, *AR0-% ; 3721
+ STL A, 12, *AR0-0% ; 3722
+ STL A, 12, *AR0+% ; 3723
+ STL A, 12, *AR0+0% ; 3724
+ STL A, 12, *AR0(04378h) ; 3725
+ STL A, 12, *+AR0(04379h) ; 3726
+ STL A, 12, *+AR0(0437Ah)% ; 3727
+ STL A, 12, *(0437Bh) ; 3728
+ STL A, 12, *AR1 ; 3729
+ STL A, 12, *AR1- ; 3730
+ STL A, 12, *AR1+ ; 3731
+ STL A, 12, *+AR1 ; 3732
+ STL A, 12, *AR1-0B ; 3733
+ STL A, 12, *AR1-0 ; 3734
+ STL A, 12, *AR1+0 ; 3735
+ STL A, 12, *AR1+0B ; 3736
+ STL A, 12, *AR1-% ; 3737
+ STL A, 12, *AR1-0% ; 3738
+ STL A, 12, *AR1+% ; 3739
+ STL A, 12, *AR1+0% ; 3740
+ STL A, 12, *AR1(0437Ch) ; 3741
+ STL A, 12, *+AR1(0437Dh) ; 3742
+ STL A, 12, *+AR1(0437Eh)% ; 3743
+ STL A, 12, *(0437Fh) ; 3744
+ STL A, 12, *AR2 ; 3745
+ STL A, 12, *AR2- ; 3746
+ STL A, 12, *AR2+ ; 3747
+ STL A, 12, *+AR2 ; 3748
+ STL A, 12, *AR2-0B ; 3749
+ STL A, 12, *AR2-0 ; 3750
+ STL A, 12, *AR2+0 ; 3751
+ STL A, 12, *AR2+0B ; 3752
+ STL A, 12, *AR2-% ; 3753
+ STL A, 12, *AR2-0% ; 3754
+ STL A, 12, *AR2+% ; 3755
+ STL A, 12, *AR2+0% ; 3756
+ STL A, 12, *AR2(04380h) ; 3757
+ STL A, 12, *+AR2(04381h) ; 3758
+ STL A, 12, *+AR2(04382h)% ; 3759
+ STL A, 12, *(04383h) ; 3760
+ STL A, 12, *AR3 ; 3761
+ STL A, 12, *AR3- ; 3762
+ STL A, 12, *AR3+ ; 3763
+ STL A, 12, *+AR3 ; 3764
+ STL A, 12, *AR3-0B ; 3765
+ STL A, 12, *AR3-0 ; 3766
+ STL A, 12, *AR3+0 ; 3767
+ STL A, 12, *AR3+0B ; 3768
+ STL A, 12, *AR3-% ; 3769
+ STL A, 12, *AR3-0% ; 3770
+ STL A, 12, *AR3+% ; 3771
+ STL A, 12, *AR3+0% ; 3772
+ STL A, 12, *AR3(04384h) ; 3773
+ STL A, 12, *+AR3(04385h) ; 3774
+ STL A, 12, *+AR3(04386h)% ; 3775
+ STL A, 12, *(04387h) ; 3776
+ STL A, 12, *AR4 ; 3777
+ STL A, 12, *AR4- ; 3778
+ STL A, 12, *AR4+ ; 3779
+ STL A, 12, *+AR4 ; 3780
+ STL A, 12, *AR4-0B ; 3781
+ STL A, 12, *AR4-0 ; 3782
+ STL A, 12, *AR4+0 ; 3783
+ STL A, 12, *AR4+0B ; 3784
+ STL A, 12, *AR4-% ; 3785
+ STL A, 12, *AR4-0% ; 3786
+ STL A, 12, *AR4+% ; 3787
+ STL A, 12, *AR4+0% ; 3788
+ STL A, 12, *AR4(04388h) ; 3789
+ STL A, 12, *+AR4(04389h) ; 3790
+ STL A, 12, *+AR4(0438Ah)% ; 3791
+ STL A, 12, *(0438Bh) ; 3792
+ STL A, 12, *AR5 ; 3793
+ STL A, 12, *AR5- ; 3794
+ STL A, 12, *AR5+ ; 3795
+ STL A, 12, *+AR5 ; 3796
+ STL A, 12, *AR5-0B ; 3797
+ STL A, 12, *AR5-0 ; 3798
+ STL A, 12, *AR5+0 ; 3799
+ STL A, 12, *AR5+0B ; 3800
+ STL A, 12, *AR5-% ; 3801
+ STL A, 12, *AR5-0% ; 3802
+ STL A, 12, *AR5+% ; 3803
+ STL A, 12, *AR5+0% ; 3804
+ STL A, 12, *AR5(0438Ch) ; 3805
+ STL A, 12, *+AR5(0438Dh) ; 3806
+ STL A, 12, *+AR5(0438Eh)% ; 3807
+ STL A, 12, *(0438Fh) ; 3808
+ STL A, 12, *AR6 ; 3809
+ STL A, 12, *AR6- ; 3810
+ STL A, 12, *AR6+ ; 3811
+ STL A, 12, *+AR6 ; 3812
+ STL A, 12, *AR6-0B ; 3813
+ STL A, 12, *AR6-0 ; 3814
+ STL A, 12, *AR6+0 ; 3815
+ STL A, 12, *AR6+0B ; 3816
+ STL A, 12, *AR6-% ; 3817
+ STL A, 12, *AR6-0% ; 3818
+ STL A, 12, *AR6+% ; 3819
+ STL A, 12, *AR6+0% ; 3820
+ STL A, 12, *AR6(04390h) ; 3821
+ STL A, 12, *+AR6(04391h) ; 3822
+ STL A, 12, *+AR6(04392h)% ; 3823
+ STL A, 12, *(04393h) ; 3824
+ STL A, 12, *AR7 ; 3825
+ STL A, 12, *AR7- ; 3826
+ STL A, 12, *AR7+ ; 3827
+ STL A, 12, *+AR7 ; 3828
+ STL A, 12, *AR7-0B ; 3829
+ STL A, 12, *AR7-0 ; 3830
+ STL A, 12, *AR7+0 ; 3831
+ STL A, 12, *AR7+0B ; 3832
+ STL A, 12, *AR7-% ; 3833
+ STL A, 12, *AR7-0% ; 3834
+ STL A, 12, *AR7+% ; 3835
+ STL A, 12, *AR7+0% ; 3836
+ STL A, 12, *AR7(04394h) ; 3837
+ STL A, 12, *+AR7(04395h) ; 3838
+ STL A, 12, *+AR7(04396h)% ; 3839
+ STL A, 12, *(04397h) ; 3840
+ STL A, 13, *AR0 ; 3841
+ STL A, 13, *AR0- ; 3842
+ STL A, 13, *AR0+ ; 3843
+ STL A, 13, *+AR0 ; 3844
+ STL A, 13, *AR0-0B ; 3845
+ STL A, 13, *AR0-0 ; 3846
+ STL A, 13, *AR0+0 ; 3847
+ STL A, 13, *AR0+0B ; 3848
+ STL A, 13, *AR0-% ; 3849
+ STL A, 13, *AR0-0% ; 3850
+ STL A, 13, *AR0+% ; 3851
+ STL A, 13, *AR0+0% ; 3852
+ STL A, 13, *AR0(04398h) ; 3853
+ STL A, 13, *+AR0(04399h) ; 3854
+ STL A, 13, *+AR0(0439Ah)% ; 3855
+ STL A, 13, *(0439Bh) ; 3856
+ STL A, 13, *AR1 ; 3857
+ STL A, 13, *AR1- ; 3858
+ STL A, 13, *AR1+ ; 3859
+ STL A, 13, *+AR1 ; 3860
+ STL A, 13, *AR1-0B ; 3861
+ STL A, 13, *AR1-0 ; 3862
+ STL A, 13, *AR1+0 ; 3863
+ STL A, 13, *AR1+0B ; 3864
+ STL A, 13, *AR1-% ; 3865
+ STL A, 13, *AR1-0% ; 3866
+ STL A, 13, *AR1+% ; 3867
+ STL A, 13, *AR1+0% ; 3868
+ STL A, 13, *AR1(0439Ch) ; 3869
+ STL A, 13, *+AR1(0439Dh) ; 3870
+ STL A, 13, *+AR1(0439Eh)% ; 3871
+ STL A, 13, *(0439Fh) ; 3872
+ STL A, 13, *AR2 ; 3873
+ STL A, 13, *AR2- ; 3874
+ STL A, 13, *AR2+ ; 3875
+ STL A, 13, *+AR2 ; 3876
+ STL A, 13, *AR2-0B ; 3877
+ STL A, 13, *AR2-0 ; 3878
+ STL A, 13, *AR2+0 ; 3879
+ STL A, 13, *AR2+0B ; 3880
+ STL A, 13, *AR2-% ; 3881
+ STL A, 13, *AR2-0% ; 3882
+ STL A, 13, *AR2+% ; 3883
+ STL A, 13, *AR2+0% ; 3884
+ STL A, 13, *AR2(043A0h) ; 3885
+ STL A, 13, *+AR2(043A1h) ; 3886
+ STL A, 13, *+AR2(043A2h)% ; 3887
+ STL A, 13, *(043A3h) ; 3888
+ STL A, 13, *AR3 ; 3889
+ STL A, 13, *AR3- ; 3890
+ STL A, 13, *AR3+ ; 3891
+ STL A, 13, *+AR3 ; 3892
+ STL A, 13, *AR3-0B ; 3893
+ STL A, 13, *AR3-0 ; 3894
+ STL A, 13, *AR3+0 ; 3895
+ STL A, 13, *AR3+0B ; 3896
+ STL A, 13, *AR3-% ; 3897
+ STL A, 13, *AR3-0% ; 3898
+ STL A, 13, *AR3+% ; 3899
+ STL A, 13, *AR3+0% ; 3900
+ STL A, 13, *AR3(043A4h) ; 3901
+ STL A, 13, *+AR3(043A5h) ; 3902
+ STL A, 13, *+AR3(043A6h)% ; 3903
+ STL A, 13, *(043A7h) ; 3904
+ STL A, 13, *AR4 ; 3905
+ STL A, 13, *AR4- ; 3906
+ STL A, 13, *AR4+ ; 3907
+ STL A, 13, *+AR4 ; 3908
+ STL A, 13, *AR4-0B ; 3909
+ STL A, 13, *AR4-0 ; 3910
+ STL A, 13, *AR4+0 ; 3911
+ STL A, 13, *AR4+0B ; 3912
+ STL A, 13, *AR4-% ; 3913
+ STL A, 13, *AR4-0% ; 3914
+ STL A, 13, *AR4+% ; 3915
+ STL A, 13, *AR4+0% ; 3916
+ STL A, 13, *AR4(043A8h) ; 3917
+ STL A, 13, *+AR4(043A9h) ; 3918
+ STL A, 13, *+AR4(043AAh)% ; 3919
+ STL A, 13, *(043ABh) ; 3920
+ STL A, 13, *AR5 ; 3921
+ STL A, 13, *AR5- ; 3922
+ STL A, 13, *AR5+ ; 3923
+ STL A, 13, *+AR5 ; 3924
+ STL A, 13, *AR5-0B ; 3925
+ STL A, 13, *AR5-0 ; 3926
+ STL A, 13, *AR5+0 ; 3927
+ STL A, 13, *AR5+0B ; 3928
+ STL A, 13, *AR5-% ; 3929
+ STL A, 13, *AR5-0% ; 3930
+ STL A, 13, *AR5+% ; 3931
+ STL A, 13, *AR5+0% ; 3932
+ STL A, 13, *AR5(043ACh) ; 3933
+ STL A, 13, *+AR5(043ADh) ; 3934
+ STL A, 13, *+AR5(043AEh)% ; 3935
+ STL A, 13, *(043AFh) ; 3936
+ STL A, 13, *AR6 ; 3937
+ STL A, 13, *AR6- ; 3938
+ STL A, 13, *AR6+ ; 3939
+ STL A, 13, *+AR6 ; 3940
+ STL A, 13, *AR6-0B ; 3941
+ STL A, 13, *AR6-0 ; 3942
+ STL A, 13, *AR6+0 ; 3943
+ STL A, 13, *AR6+0B ; 3944
+ STL A, 13, *AR6-% ; 3945
+ STL A, 13, *AR6-0% ; 3946
+ STL A, 13, *AR6+% ; 3947
+ STL A, 13, *AR6+0% ; 3948
+ STL A, 13, *AR6(043B0h) ; 3949
+ STL A, 13, *+AR6(043B1h) ; 3950
+ STL A, 13, *+AR6(043B2h)% ; 3951
+ STL A, 13, *(043B3h) ; 3952
+ STL A, 13, *AR7 ; 3953
+ STL A, 13, *AR7- ; 3954
+ STL A, 13, *AR7+ ; 3955
+ STL A, 13, *+AR7 ; 3956
+ STL A, 13, *AR7-0B ; 3957
+ STL A, 13, *AR7-0 ; 3958
+ STL A, 13, *AR7+0 ; 3959
+ STL A, 13, *AR7+0B ; 3960
+ STL A, 13, *AR7-% ; 3961
+ STL A, 13, *AR7-0% ; 3962
+ STL A, 13, *AR7+% ; 3963
+ STL A, 13, *AR7+0% ; 3964
+ STL A, 13, *AR7(043B4h) ; 3965
+ STL A, 13, *+AR7(043B5h) ; 3966
+ STL A, 13, *+AR7(043B6h)% ; 3967
+ STL A, 13, *(043B7h) ; 3968
+ STL A, 14, *AR0 ; 3969
+ STL A, 14, *AR0- ; 3970
+ STL A, 14, *AR0+ ; 3971
+ STL A, 14, *+AR0 ; 3972
+ STL A, 14, *AR0-0B ; 3973
+ STL A, 14, *AR0-0 ; 3974
+ STL A, 14, *AR0+0 ; 3975
+ STL A, 14, *AR0+0B ; 3976
+ STL A, 14, *AR0-% ; 3977
+ STL A, 14, *AR0-0% ; 3978
+ STL A, 14, *AR0+% ; 3979
+ STL A, 14, *AR0+0% ; 3980
+ STL A, 14, *AR0(043B8h) ; 3981
+ STL A, 14, *+AR0(043B9h) ; 3982
+ STL A, 14, *+AR0(043BAh)% ; 3983
+ STL A, 14, *(043BBh) ; 3984
+ STL A, 14, *AR1 ; 3985
+ STL A, 14, *AR1- ; 3986
+ STL A, 14, *AR1+ ; 3987
+ STL A, 14, *+AR1 ; 3988
+ STL A, 14, *AR1-0B ; 3989
+ STL A, 14, *AR1-0 ; 3990
+ STL A, 14, *AR1+0 ; 3991
+ STL A, 14, *AR1+0B ; 3992
+ STL A, 14, *AR1-% ; 3993
+ STL A, 14, *AR1-0% ; 3994
+ STL A, 14, *AR1+% ; 3995
+ STL A, 14, *AR1+0% ; 3996
+ STL A, 14, *AR1(043BCh) ; 3997
+ STL A, 14, *+AR1(043BDh) ; 3998
+ STL A, 14, *+AR1(043BEh)% ; 3999
+ STL A, 14, *(043BFh) ; 4000
+ STL A, 14, *AR2 ; 4001
+ STL A, 14, *AR2- ; 4002
+ STL A, 14, *AR2+ ; 4003
+ STL A, 14, *+AR2 ; 4004
+ STL A, 14, *AR2-0B ; 4005
+ STL A, 14, *AR2-0 ; 4006
+ STL A, 14, *AR2+0 ; 4007
+ STL A, 14, *AR2+0B ; 4008
+ STL A, 14, *AR2-% ; 4009
+ STL A, 14, *AR2-0% ; 4010
+ STL A, 14, *AR2+% ; 4011
+ STL A, 14, *AR2+0% ; 4012
+ STL A, 14, *AR2(043C0h) ; 4013
+ STL A, 14, *+AR2(043C1h) ; 4014
+ STL A, 14, *+AR2(043C2h)% ; 4015
+ STL A, 14, *(043C3h) ; 4016
+ STL A, 14, *AR3 ; 4017
+ STL A, 14, *AR3- ; 4018
+ STL A, 14, *AR3+ ; 4019
+ STL A, 14, *+AR3 ; 4020
+ STL A, 14, *AR3-0B ; 4021
+ STL A, 14, *AR3-0 ; 4022
+ STL A, 14, *AR3+0 ; 4023
+ STL A, 14, *AR3+0B ; 4024
+ STL A, 14, *AR3-% ; 4025
+ STL A, 14, *AR3-0% ; 4026
+ STL A, 14, *AR3+% ; 4027
+ STL A, 14, *AR3+0% ; 4028
+ STL A, 14, *AR3(043C4h) ; 4029
+ STL A, 14, *+AR3(043C5h) ; 4030
+ STL A, 14, *+AR3(043C6h)% ; 4031
+ STL A, 14, *(043C7h) ; 4032
+ STL A, 14, *AR4 ; 4033
+ STL A, 14, *AR4- ; 4034
+ STL A, 14, *AR4+ ; 4035
+ STL A, 14, *+AR4 ; 4036
+ STL A, 14, *AR4-0B ; 4037
+ STL A, 14, *AR4-0 ; 4038
+ STL A, 14, *AR4+0 ; 4039
+ STL A, 14, *AR4+0B ; 4040
+ STL A, 14, *AR4-% ; 4041
+ STL A, 14, *AR4-0% ; 4042
+ STL A, 14, *AR4+% ; 4043
+ STL A, 14, *AR4+0% ; 4044
+ STL A, 14, *AR4(043C8h) ; 4045
+ STL A, 14, *+AR4(043C9h) ; 4046
+ STL A, 14, *+AR4(043CAh)% ; 4047
+ STL A, 14, *(043CBh) ; 4048
+ STL A, 14, *AR5 ; 4049
+ STL A, 14, *AR5- ; 4050
+ STL A, 14, *AR5+ ; 4051
+ STL A, 14, *+AR5 ; 4052
+ STL A, 14, *AR5-0B ; 4053
+ STL A, 14, *AR5-0 ; 4054
+ STL A, 14, *AR5+0 ; 4055
+ STL A, 14, *AR5+0B ; 4056
+ STL A, 14, *AR5-% ; 4057
+ STL A, 14, *AR5-0% ; 4058
+ STL A, 14, *AR5+% ; 4059
+ STL A, 14, *AR5+0% ; 4060
+ STL A, 14, *AR5(043CCh) ; 4061
+ STL A, 14, *+AR5(043CDh) ; 4062
+ STL A, 14, *+AR5(043CEh)% ; 4063
+ STL A, 14, *(043CFh) ; 4064
+ STL A, 14, *AR6 ; 4065
+ STL A, 14, *AR6- ; 4066
+ STL A, 14, *AR6+ ; 4067
+ STL A, 14, *+AR6 ; 4068
+ STL A, 14, *AR6-0B ; 4069
+ STL A, 14, *AR6-0 ; 4070
+ STL A, 14, *AR6+0 ; 4071
+ STL A, 14, *AR6+0B ; 4072
+ STL A, 14, *AR6-% ; 4073
+ STL A, 14, *AR6-0% ; 4074
+ STL A, 14, *AR6+% ; 4075
+ STL A, 14, *AR6+0% ; 4076
+ STL A, 14, *AR6(043D0h) ; 4077
+ STL A, 14, *+AR6(043D1h) ; 4078
+ STL A, 14, *+AR6(043D2h)% ; 4079
+ STL A, 14, *(043D3h) ; 4080
+ STL A, 14, *AR7 ; 4081
+ STL A, 14, *AR7- ; 4082
+ STL A, 14, *AR7+ ; 4083
+ STL A, 14, *+AR7 ; 4084
+ STL A, 14, *AR7-0B ; 4085
+ STL A, 14, *AR7-0 ; 4086
+ STL A, 14, *AR7+0 ; 4087
+ STL A, 14, *AR7+0B ; 4088
+ STL A, 14, *AR7-% ; 4089
+ STL A, 14, *AR7-0% ; 4090
+ STL A, 14, *AR7+% ; 4091
+ STL A, 14, *AR7+0% ; 4092
+ STL A, 14, *AR7(043D4h) ; 4093
+ STL A, 14, *+AR7(043D5h) ; 4094
+ STL A, 14, *+AR7(043D6h)% ; 4095
+ STL A, 14, *(043D7h) ; 4096
+ STL A, 15, *AR0 ; 4097
+ STL A, 15, *AR0- ; 4098
+ STL A, 15, *AR0+ ; 4099
+ STL A, 15, *+AR0 ; 4100
+ STL A, 15, *AR0-0B ; 4101
+ STL A, 15, *AR0-0 ; 4102
+ STL A, 15, *AR0+0 ; 4103
+ STL A, 15, *AR0+0B ; 4104
+ STL A, 15, *AR0-% ; 4105
+ STL A, 15, *AR0-0% ; 4106
+ STL A, 15, *AR0+% ; 4107
+ STL A, 15, *AR0+0% ; 4108
+ STL A, 15, *AR0(043D8h) ; 4109
+ STL A, 15, *+AR0(043D9h) ; 4110
+ STL A, 15, *+AR0(043DAh)% ; 4111
+ STL A, 15, *(043DBh) ; 4112
+ STL A, 15, *AR1 ; 4113
+ STL A, 15, *AR1- ; 4114
+ STL A, 15, *AR1+ ; 4115
+ STL A, 15, *+AR1 ; 4116
+ STL A, 15, *AR1-0B ; 4117
+ STL A, 15, *AR1-0 ; 4118
+ STL A, 15, *AR1+0 ; 4119
+ STL A, 15, *AR1+0B ; 4120
+ STL A, 15, *AR1-% ; 4121
+ STL A, 15, *AR1-0% ; 4122
+ STL A, 15, *AR1+% ; 4123
+ STL A, 15, *AR1+0% ; 4124
+ STL A, 15, *AR1(043DCh) ; 4125
+ STL A, 15, *+AR1(043DDh) ; 4126
+ STL A, 15, *+AR1(043DEh)% ; 4127
+ STL A, 15, *(043DFh) ; 4128
+ STL A, 15, *AR2 ; 4129
+ STL A, 15, *AR2- ; 4130
+ STL A, 15, *AR2+ ; 4131
+ STL A, 15, *+AR2 ; 4132
+ STL A, 15, *AR2-0B ; 4133
+ STL A, 15, *AR2-0 ; 4134
+ STL A, 15, *AR2+0 ; 4135
+ STL A, 15, *AR2+0B ; 4136
+ STL A, 15, *AR2-% ; 4137
+ STL A, 15, *AR2-0% ; 4138
+ STL A, 15, *AR2+% ; 4139
+ STL A, 15, *AR2+0% ; 4140
+ STL A, 15, *AR2(043E0h) ; 4141
+ STL A, 15, *+AR2(043E1h) ; 4142
+ STL A, 15, *+AR2(043E2h)% ; 4143
+ STL A, 15, *(043E3h) ; 4144
+ STL A, 15, *AR3 ; 4145
+ STL A, 15, *AR3- ; 4146
+ STL A, 15, *AR3+ ; 4147
+ STL A, 15, *+AR3 ; 4148
+ STL A, 15, *AR3-0B ; 4149
+ STL A, 15, *AR3-0 ; 4150
+ STL A, 15, *AR3+0 ; 4151
+ STL A, 15, *AR3+0B ; 4152
+ STL A, 15, *AR3-% ; 4153
+ STL A, 15, *AR3-0% ; 4154
+ STL A, 15, *AR3+% ; 4155
+ STL A, 15, *AR3+0% ; 4156
+ STL A, 15, *AR3(043E4h) ; 4157
+ STL A, 15, *+AR3(043E5h) ; 4158
+ STL A, 15, *+AR3(043E6h)% ; 4159
+ STL A, 15, *(043E7h) ; 4160
+ STL A, 15, *AR4 ; 4161
+ STL A, 15, *AR4- ; 4162
+ STL A, 15, *AR4+ ; 4163
+ STL A, 15, *+AR4 ; 4164
+ STL A, 15, *AR4-0B ; 4165
+ STL A, 15, *AR4-0 ; 4166
+ STL A, 15, *AR4+0 ; 4167
+ STL A, 15, *AR4+0B ; 4168
+ STL A, 15, *AR4-% ; 4169
+ STL A, 15, *AR4-0% ; 4170
+ STL A, 15, *AR4+% ; 4171
+ STL A, 15, *AR4+0% ; 4172
+ STL A, 15, *AR4(043E8h) ; 4173
+ STL A, 15, *+AR4(043E9h) ; 4174
+ STL A, 15, *+AR4(043EAh)% ; 4175
+ STL A, 15, *(043EBh) ; 4176
+ STL A, 15, *AR5 ; 4177
+ STL A, 15, *AR5- ; 4178
+ STL A, 15, *AR5+ ; 4179
+ STL A, 15, *+AR5 ; 4180
+ STL A, 15, *AR5-0B ; 4181
+ STL A, 15, *AR5-0 ; 4182
+ STL A, 15, *AR5+0 ; 4183
+ STL A, 15, *AR5+0B ; 4184
+ STL A, 15, *AR5-% ; 4185
+ STL A, 15, *AR5-0% ; 4186
+ STL A, 15, *AR5+% ; 4187
+ STL A, 15, *AR5+0% ; 4188
+ STL A, 15, *AR5(043ECh) ; 4189
+ STL A, 15, *+AR5(043EDh) ; 4190
+ STL A, 15, *+AR5(043EEh)% ; 4191
+ STL A, 15, *(043EFh) ; 4192
+ STL A, 15, *AR6 ; 4193
+ STL A, 15, *AR6- ; 4194
+ STL A, 15, *AR6+ ; 4195
+ STL A, 15, *+AR6 ; 4196
+ STL A, 15, *AR6-0B ; 4197
+ STL A, 15, *AR6-0 ; 4198
+ STL A, 15, *AR6+0 ; 4199
+ STL A, 15, *AR6+0B ; 4200
+ STL A, 15, *AR6-% ; 4201
+ STL A, 15, *AR6-0% ; 4202
+ STL A, 15, *AR6+% ; 4203
+ STL A, 15, *AR6+0% ; 4204
+ STL A, 15, *AR6(043F0h) ; 4205
+ STL A, 15, *+AR6(043F1h) ; 4206
+ STL A, 15, *+AR6(043F2h)% ; 4207
+ STL A, 15, *(043F3h) ; 4208
+ STL A, 15, *AR7 ; 4209
+ STL A, 15, *AR7- ; 4210
+ STL A, 15, *AR7+ ; 4211
+ STL A, 15, *+AR7 ; 4212
+ STL A, 15, *AR7-0B ; 4213
+ STL A, 15, *AR7-0 ; 4214
+ STL A, 15, *AR7+0 ; 4215
+ STL A, 15, *AR7+0B ; 4216
+ STL A, 15, *AR7-% ; 4217
+ STL A, 15, *AR7-0% ; 4218
+ STL A, 15, *AR7+% ; 4219
+ STL A, 15, *AR7+0% ; 4220
+ STL A, 15, *AR7(043F4h) ; 4221
+ STL A, 15, *+AR7(043F5h) ; 4222
+ STL A, 15, *+AR7(043F6h)% ; 4223
+ STL A, 15, *(043F7h) ; 4224
+ STL B, *AR0 ; 4225
+ STL B, *AR0- ; 4226
+ STL B, *AR0+ ; 4227
+ STL B, *+AR0 ; 4228
+ STL B, *AR0-0B ; 4229
+ STL B, *AR0-0 ; 4230
+ STL B, *AR0+0 ; 4231
+ STL B, *AR0+0B ; 4232
+ STL B, *AR0-% ; 4233
+ STL B, *AR0-0% ; 4234
+ STL B, *AR0+% ; 4235
+ STL B, *AR0+0% ; 4236
+ STL B, *AR0(043F8h) ; 4237
+ STL B, *+AR0(043F9h) ; 4238
+ STL B, *+AR0(043FAh)% ; 4239
+ STL B, *(043FBh) ; 4240
+ STL B, *AR1 ; 4241
+ STL B, *AR1- ; 4242
+ STL B, *AR1+ ; 4243
+ STL B, *+AR1 ; 4244
+ STL B, *AR1-0B ; 4245
+ STL B, *AR1-0 ; 4246
+ STL B, *AR1+0 ; 4247
+ STL B, *AR1+0B ; 4248
+ STL B, *AR1-% ; 4249
+ STL B, *AR1-0% ; 4250
+ STL B, *AR1+% ; 4251
+ STL B, *AR1+0% ; 4252
+ STL B, *AR1(043FCh) ; 4253
+ STL B, *+AR1(043FDh) ; 4254
+ STL B, *+AR1(043FEh)% ; 4255
+ STL B, *(043FFh) ; 4256
+ STL B, *AR2 ; 4257
+ STL B, *AR2- ; 4258
+ STL B, *AR2+ ; 4259
+ STL B, *+AR2 ; 4260
+ STL B, *AR2-0B ; 4261
+ STL B, *AR2-0 ; 4262
+ STL B, *AR2+0 ; 4263
+ STL B, *AR2+0B ; 4264
+ STL B, *AR2-% ; 4265
+ STL B, *AR2-0% ; 4266
+ STL B, *AR2+% ; 4267
+ STL B, *AR2+0% ; 4268
+ STL B, *AR2(04400h) ; 4269
+ STL B, *+AR2(04401h) ; 4270
+ STL B, *+AR2(04402h)% ; 4271
+ STL B, *(04403h) ; 4272
+ STL B, *AR3 ; 4273
+ STL B, *AR3- ; 4274
+ STL B, *AR3+ ; 4275
+ STL B, *+AR3 ; 4276
+ STL B, *AR3-0B ; 4277
+ STL B, *AR3-0 ; 4278
+ STL B, *AR3+0 ; 4279
+ STL B, *AR3+0B ; 4280
+ STL B, *AR3-% ; 4281
+ STL B, *AR3-0% ; 4282
+ STL B, *AR3+% ; 4283
+ STL B, *AR3+0% ; 4284
+ STL B, *AR3(04404h) ; 4285
+ STL B, *+AR3(04405h) ; 4286
+ STL B, *+AR3(04406h)% ; 4287
+ STL B, *(04407h) ; 4288
+ STL B, *AR4 ; 4289
+ STL B, *AR4- ; 4290
+ STL B, *AR4+ ; 4291
+ STL B, *+AR4 ; 4292
+ STL B, *AR4-0B ; 4293
+ STL B, *AR4-0 ; 4294
+ STL B, *AR4+0 ; 4295
+ STL B, *AR4+0B ; 4296
+ STL B, *AR4-% ; 4297
+ STL B, *AR4-0% ; 4298
+ STL B, *AR4+% ; 4299
+ STL B, *AR4+0% ; 4300
+ STL B, *AR4(04408h) ; 4301
+ STL B, *+AR4(04409h) ; 4302
+ STL B, *+AR4(0440Ah)% ; 4303
+ STL B, *(0440Bh) ; 4304
+ STL B, *AR5 ; 4305
+ STL B, *AR5- ; 4306
+ STL B, *AR5+ ; 4307
+ STL B, *+AR5 ; 4308
+ STL B, *AR5-0B ; 4309
+ STL B, *AR5-0 ; 4310
+ STL B, *AR5+0 ; 4311
+ STL B, *AR5+0B ; 4312
+ STL B, *AR5-% ; 4313
+ STL B, *AR5-0% ; 4314
+ STL B, *AR5+% ; 4315
+ STL B, *AR5+0% ; 4316
+ STL B, *AR5(0440Ch) ; 4317
+ STL B, *+AR5(0440Dh) ; 4318
+ STL B, *+AR5(0440Eh)% ; 4319
+ STL B, *(0440Fh) ; 4320
+ STL B, *AR6 ; 4321
+ STL B, *AR6- ; 4322
+ STL B, *AR6+ ; 4323
+ STL B, *+AR6 ; 4324
+ STL B, *AR6-0B ; 4325
+ STL B, *AR6-0 ; 4326
+ STL B, *AR6+0 ; 4327
+ STL B, *AR6+0B ; 4328
+ STL B, *AR6-% ; 4329
+ STL B, *AR6-0% ; 4330
+ STL B, *AR6+% ; 4331
+ STL B, *AR6+0% ; 4332
+ STL B, *AR6(04410h) ; 4333
+ STL B, *+AR6(04411h) ; 4334
+ STL B, *+AR6(04412h)% ; 4335
+ STL B, *(04413h) ; 4336
+ STL B, *AR7 ; 4337
+ STL B, *AR7- ; 4338
+ STL B, *AR7+ ; 4339
+ STL B, *+AR7 ; 4340
+ STL B, *AR7-0B ; 4341
+ STL B, *AR7-0 ; 4342
+ STL B, *AR7+0 ; 4343
+ STL B, *AR7+0B ; 4344
+ STL B, *AR7-% ; 4345
+ STL B, *AR7-0% ; 4346
+ STL B, *AR7+% ; 4347
+ STL B, *AR7+0% ; 4348
+ STL B, *AR7(04414h) ; 4349
+ STL B, *+AR7(04415h) ; 4350
+ STL B, *+AR7(04416h)% ; 4351
+ STL B, *(04417h) ; 4352
+ STL B, -16, *AR0 ; 4353
+ STL B, -16, *AR0- ; 4354
+ STL B, -16, *AR0+ ; 4355
+ STL B, -16, *+AR0 ; 4356
+ STL B, -16, *AR0-0B ; 4357
+ STL B, -16, *AR0-0 ; 4358
+ STL B, -16, *AR0+0 ; 4359
+ STL B, -16, *AR0+0B ; 4360
+ STL B, -16, *AR0-% ; 4361
+ STL B, -16, *AR0-0% ; 4362
+ STL B, -16, *AR0+% ; 4363
+ STL B, -16, *AR0+0% ; 4364
+ STL B, -16, *AR0(04418h) ; 4365
+ STL B, -16, *+AR0(04419h) ; 4366
+ STL B, -16, *+AR0(0441Ah)% ; 4367
+ STL B, -16, *(0441Bh) ; 4368
+ STL B, -16, *AR1 ; 4369
+ STL B, -16, *AR1- ; 4370
+ STL B, -16, *AR1+ ; 4371
+ STL B, -16, *+AR1 ; 4372
+ STL B, -16, *AR1-0B ; 4373
+ STL B, -16, *AR1-0 ; 4374
+ STL B, -16, *AR1+0 ; 4375
+ STL B, -16, *AR1+0B ; 4376
+ STL B, -16, *AR1-% ; 4377
+ STL B, -16, *AR1-0% ; 4378
+ STL B, -16, *AR1+% ; 4379
+ STL B, -16, *AR1+0% ; 4380
+ STL B, -16, *AR1(0441Ch) ; 4381
+ STL B, -16, *+AR1(0441Dh) ; 4382
+ STL B, -16, *+AR1(0441Eh)% ; 4383
+ STL B, -16, *(0441Fh) ; 4384
+ STL B, -16, *AR2 ; 4385
+ STL B, -16, *AR2- ; 4386
+ STL B, -16, *AR2+ ; 4387
+ STL B, -16, *+AR2 ; 4388
+ STL B, -16, *AR2-0B ; 4389
+ STL B, -16, *AR2-0 ; 4390
+ STL B, -16, *AR2+0 ; 4391
+ STL B, -16, *AR2+0B ; 4392
+ STL B, -16, *AR2-% ; 4393
+ STL B, -16, *AR2-0% ; 4394
+ STL B, -16, *AR2+% ; 4395
+ STL B, -16, *AR2+0% ; 4396
+ STL B, -16, *AR2(04420h) ; 4397
+ STL B, -16, *+AR2(04421h) ; 4398
+ STL B, -16, *+AR2(04422h)% ; 4399
+ STL B, -16, *(04423h) ; 4400
+ STL B, -16, *AR3 ; 4401
+ STL B, -16, *AR3- ; 4402
+ STL B, -16, *AR3+ ; 4403
+ STL B, -16, *+AR3 ; 4404
+ STL B, -16, *AR3-0B ; 4405
+ STL B, -16, *AR3-0 ; 4406
+ STL B, -16, *AR3+0 ; 4407
+ STL B, -16, *AR3+0B ; 4408
+ STL B, -16, *AR3-% ; 4409
+ STL B, -16, *AR3-0% ; 4410
+ STL B, -16, *AR3+% ; 4411
+ STL B, -16, *AR3+0% ; 4412
+ STL B, -16, *AR3(04424h) ; 4413
+ STL B, -16, *+AR3(04425h) ; 4414
+ STL B, -16, *+AR3(04426h)% ; 4415
+ STL B, -16, *(04427h) ; 4416
+ STL B, -16, *AR4 ; 4417
+ STL B, -16, *AR4- ; 4418
+ STL B, -16, *AR4+ ; 4419
+ STL B, -16, *+AR4 ; 4420
+ STL B, -16, *AR4-0B ; 4421
+ STL B, -16, *AR4-0 ; 4422
+ STL B, -16, *AR4+0 ; 4423
+ STL B, -16, *AR4+0B ; 4424
+ STL B, -16, *AR4-% ; 4425
+ STL B, -16, *AR4-0% ; 4426
+ STL B, -16, *AR4+% ; 4427
+ STL B, -16, *AR4+0% ; 4428
+ STL B, -16, *AR4(04428h) ; 4429
+ STL B, -16, *+AR4(04429h) ; 4430
+ STL B, -16, *+AR4(0442Ah)% ; 4431
+ STL B, -16, *(0442Bh) ; 4432
+ STL B, -16, *AR5 ; 4433
+ STL B, -16, *AR5- ; 4434
+ STL B, -16, *AR5+ ; 4435
+ STL B, -16, *+AR5 ; 4436
+ STL B, -16, *AR5-0B ; 4437
+ STL B, -16, *AR5-0 ; 4438
+ STL B, -16, *AR5+0 ; 4439
+ STL B, -16, *AR5+0B ; 4440
+ STL B, -16, *AR5-% ; 4441
+ STL B, -16, *AR5-0% ; 4442
+ STL B, -16, *AR5+% ; 4443
+ STL B, -16, *AR5+0% ; 4444
+ STL B, -16, *AR5(0442Ch) ; 4445
+ STL B, -16, *+AR5(0442Dh) ; 4446
+ STL B, -16, *+AR5(0442Eh)% ; 4447
+ STL B, -16, *(0442Fh) ; 4448
+ STL B, -16, *AR6 ; 4449
+ STL B, -16, *AR6- ; 4450
+ STL B, -16, *AR6+ ; 4451
+ STL B, -16, *+AR6 ; 4452
+ STL B, -16, *AR6-0B ; 4453
+ STL B, -16, *AR6-0 ; 4454
+ STL B, -16, *AR6+0 ; 4455
+ STL B, -16, *AR6+0B ; 4456
+ STL B, -16, *AR6-% ; 4457
+ STL B, -16, *AR6-0% ; 4458
+ STL B, -16, *AR6+% ; 4459
+ STL B, -16, *AR6+0% ; 4460
+ STL B, -16, *AR6(04430h) ; 4461
+ STL B, -16, *+AR6(04431h) ; 4462
+ STL B, -16, *+AR6(04432h)% ; 4463
+ STL B, -16, *(04433h) ; 4464
+ STL B, -16, *AR7 ; 4465
+ STL B, -16, *AR7- ; 4466
+ STL B, -16, *AR7+ ; 4467
+ STL B, -16, *+AR7 ; 4468
+ STL B, -16, *AR7-0B ; 4469
+ STL B, -16, *AR7-0 ; 4470
+ STL B, -16, *AR7+0 ; 4471
+ STL B, -16, *AR7+0B ; 4472
+ STL B, -16, *AR7-% ; 4473
+ STL B, -16, *AR7-0% ; 4474
+ STL B, -16, *AR7+% ; 4475
+ STL B, -16, *AR7+0% ; 4476
+ STL B, -16, *AR7(04434h) ; 4477
+ STL B, -16, *+AR7(04435h) ; 4478
+ STL B, -16, *+AR7(04436h)% ; 4479
+ STL B, -16, *(04437h) ; 4480
+ STL B, -15, *AR0 ; 4481
+ STL B, -15, *AR0- ; 4482
+ STL B, -15, *AR0+ ; 4483
+ STL B, -15, *+AR0 ; 4484
+ STL B, -15, *AR0-0B ; 4485
+ STL B, -15, *AR0-0 ; 4486
+ STL B, -15, *AR0+0 ; 4487
+ STL B, -15, *AR0+0B ; 4488
+ STL B, -15, *AR0-% ; 4489
+ STL B, -15, *AR0-0% ; 4490
+ STL B, -15, *AR0+% ; 4491
+ STL B, -15, *AR0+0% ; 4492
+ STL B, -15, *AR0(04438h) ; 4493
+ STL B, -15, *+AR0(04439h) ; 4494
+ STL B, -15, *+AR0(0443Ah)% ; 4495
+ STL B, -15, *(0443Bh) ; 4496
+ STL B, -15, *AR1 ; 4497
+ STL B, -15, *AR1- ; 4498
+ STL B, -15, *AR1+ ; 4499
+ STL B, -15, *+AR1 ; 4500
+ STL B, -15, *AR1-0B ; 4501
+ STL B, -15, *AR1-0 ; 4502
+ STL B, -15, *AR1+0 ; 4503
+ STL B, -15, *AR1+0B ; 4504
+ STL B, -15, *AR1-% ; 4505
+ STL B, -15, *AR1-0% ; 4506
+ STL B, -15, *AR1+% ; 4507
+ STL B, -15, *AR1+0% ; 4508
+ STL B, -15, *AR1(0443Ch) ; 4509
+ STL B, -15, *+AR1(0443Dh) ; 4510
+ STL B, -15, *+AR1(0443Eh)% ; 4511
+ STL B, -15, *(0443Fh) ; 4512
+ STL B, -15, *AR2 ; 4513
+ STL B, -15, *AR2- ; 4514
+ STL B, -15, *AR2+ ; 4515
+ STL B, -15, *+AR2 ; 4516
+ STL B, -15, *AR2-0B ; 4517
+ STL B, -15, *AR2-0 ; 4518
+ STL B, -15, *AR2+0 ; 4519
+ STL B, -15, *AR2+0B ; 4520
+ STL B, -15, *AR2-% ; 4521
+ STL B, -15, *AR2-0% ; 4522
+ STL B, -15, *AR2+% ; 4523
+ STL B, -15, *AR2+0% ; 4524
+ STL B, -15, *AR2(04440h) ; 4525
+ STL B, -15, *+AR2(04441h) ; 4526
+ STL B, -15, *+AR2(04442h)% ; 4527
+ STL B, -15, *(04443h) ; 4528
+ STL B, -15, *AR3 ; 4529
+ STL B, -15, *AR3- ; 4530
+ STL B, -15, *AR3+ ; 4531
+ STL B, -15, *+AR3 ; 4532
+ STL B, -15, *AR3-0B ; 4533
+ STL B, -15, *AR3-0 ; 4534
+ STL B, -15, *AR3+0 ; 4535
+ STL B, -15, *AR3+0B ; 4536
+ STL B, -15, *AR3-% ; 4537
+ STL B, -15, *AR3-0% ; 4538
+ STL B, -15, *AR3+% ; 4539
+ STL B, -15, *AR3+0% ; 4540
+ STL B, -15, *AR3(04444h) ; 4541
+ STL B, -15, *+AR3(04445h) ; 4542
+ STL B, -15, *+AR3(04446h)% ; 4543
+ STL B, -15, *(04447h) ; 4544
+ STL B, -15, *AR4 ; 4545
+ STL B, -15, *AR4- ; 4546
+ STL B, -15, *AR4+ ; 4547
+ STL B, -15, *+AR4 ; 4548
+ STL B, -15, *AR4-0B ; 4549
+ STL B, -15, *AR4-0 ; 4550
+ STL B, -15, *AR4+0 ; 4551
+ STL B, -15, *AR4+0B ; 4552
+ STL B, -15, *AR4-% ; 4553
+ STL B, -15, *AR4-0% ; 4554
+ STL B, -15, *AR4+% ; 4555
+ STL B, -15, *AR4+0% ; 4556
+ STL B, -15, *AR4(04448h) ; 4557
+ STL B, -15, *+AR4(04449h) ; 4558
+ STL B, -15, *+AR4(0444Ah)% ; 4559
+ STL B, -15, *(0444Bh) ; 4560
+ STL B, -15, *AR5 ; 4561
+ STL B, -15, *AR5- ; 4562
+ STL B, -15, *AR5+ ; 4563
+ STL B, -15, *+AR5 ; 4564
+ STL B, -15, *AR5-0B ; 4565
+ STL B, -15, *AR5-0 ; 4566
+ STL B, -15, *AR5+0 ; 4567
+ STL B, -15, *AR5+0B ; 4568
+ STL B, -15, *AR5-% ; 4569
+ STL B, -15, *AR5-0% ; 4570
+ STL B, -15, *AR5+% ; 4571
+ STL B, -15, *AR5+0% ; 4572
+ STL B, -15, *AR5(0444Ch) ; 4573
+ STL B, -15, *+AR5(0444Dh) ; 4574
+ STL B, -15, *+AR5(0444Eh)% ; 4575
+ STL B, -15, *(0444Fh) ; 4576
+ STL B, -15, *AR6 ; 4577
+ STL B, -15, *AR6- ; 4578
+ STL B, -15, *AR6+ ; 4579
+ STL B, -15, *+AR6 ; 4580
+ STL B, -15, *AR6-0B ; 4581
+ STL B, -15, *AR6-0 ; 4582
+ STL B, -15, *AR6+0 ; 4583
+ STL B, -15, *AR6+0B ; 4584
+ STL B, -15, *AR6-% ; 4585
+ STL B, -15, *AR6-0% ; 4586
+ STL B, -15, *AR6+% ; 4587
+ STL B, -15, *AR6+0% ; 4588
+ STL B, -15, *AR6(04450h) ; 4589
+ STL B, -15, *+AR6(04451h) ; 4590
+ STL B, -15, *+AR6(04452h)% ; 4591
+ STL B, -15, *(04453h) ; 4592
+ STL B, -15, *AR7 ; 4593
+ STL B, -15, *AR7- ; 4594
+ STL B, -15, *AR7+ ; 4595
+ STL B, -15, *+AR7 ; 4596
+ STL B, -15, *AR7-0B ; 4597
+ STL B, -15, *AR7-0 ; 4598
+ STL B, -15, *AR7+0 ; 4599
+ STL B, -15, *AR7+0B ; 4600
+ STL B, -15, *AR7-% ; 4601
+ STL B, -15, *AR7-0% ; 4602
+ STL B, -15, *AR7+% ; 4603
+ STL B, -15, *AR7+0% ; 4604
+ STL B, -15, *AR7(04454h) ; 4605
+ STL B, -15, *+AR7(04455h) ; 4606
+ STL B, -15, *+AR7(04456h)% ; 4607
+ STL B, -15, *(04457h) ; 4608
+ STL B, -14, *AR0 ; 4609
+ STL B, -14, *AR0- ; 4610
+ STL B, -14, *AR0+ ; 4611
+ STL B, -14, *+AR0 ; 4612
+ STL B, -14, *AR0-0B ; 4613
+ STL B, -14, *AR0-0 ; 4614
+ STL B, -14, *AR0+0 ; 4615
+ STL B, -14, *AR0+0B ; 4616
+ STL B, -14, *AR0-% ; 4617
+ STL B, -14, *AR0-0% ; 4618
+ STL B, -14, *AR0+% ; 4619
+ STL B, -14, *AR0+0% ; 4620
+ STL B, -14, *AR0(04458h) ; 4621
+ STL B, -14, *+AR0(04459h) ; 4622
+ STL B, -14, *+AR0(0445Ah)% ; 4623
+ STL B, -14, *(0445Bh) ; 4624
+ STL B, -14, *AR1 ; 4625
+ STL B, -14, *AR1- ; 4626
+ STL B, -14, *AR1+ ; 4627
+ STL B, -14, *+AR1 ; 4628
+ STL B, -14, *AR1-0B ; 4629
+ STL B, -14, *AR1-0 ; 4630
+ STL B, -14, *AR1+0 ; 4631
+ STL B, -14, *AR1+0B ; 4632
+ STL B, -14, *AR1-% ; 4633
+ STL B, -14, *AR1-0% ; 4634
+ STL B, -14, *AR1+% ; 4635
+ STL B, -14, *AR1+0% ; 4636
+ STL B, -14, *AR1(0445Ch) ; 4637
+ STL B, -14, *+AR1(0445Dh) ; 4638
+ STL B, -14, *+AR1(0445Eh)% ; 4639
+ STL B, -14, *(0445Fh) ; 4640
+ STL B, -14, *AR2 ; 4641
+ STL B, -14, *AR2- ; 4642
+ STL B, -14, *AR2+ ; 4643
+ STL B, -14, *+AR2 ; 4644
+ STL B, -14, *AR2-0B ; 4645
+ STL B, -14, *AR2-0 ; 4646
+ STL B, -14, *AR2+0 ; 4647
+ STL B, -14, *AR2+0B ; 4648
+ STL B, -14, *AR2-% ; 4649
+ STL B, -14, *AR2-0% ; 4650
+ STL B, -14, *AR2+% ; 4651
+ STL B, -14, *AR2+0% ; 4652
+ STL B, -14, *AR2(04460h) ; 4653
+ STL B, -14, *+AR2(04461h) ; 4654
+ STL B, -14, *+AR2(04462h)% ; 4655
+ STL B, -14, *(04463h) ; 4656
+ STL B, -14, *AR3 ; 4657
+ STL B, -14, *AR3- ; 4658
+ STL B, -14, *AR3+ ; 4659
+ STL B, -14, *+AR3 ; 4660
+ STL B, -14, *AR3-0B ; 4661
+ STL B, -14, *AR3-0 ; 4662
+ STL B, -14, *AR3+0 ; 4663
+ STL B, -14, *AR3+0B ; 4664
+ STL B, -14, *AR3-% ; 4665
+ STL B, -14, *AR3-0% ; 4666
+ STL B, -14, *AR3+% ; 4667
+ STL B, -14, *AR3+0% ; 4668
+ STL B, -14, *AR3(04464h) ; 4669
+ STL B, -14, *+AR3(04465h) ; 4670
+ STL B, -14, *+AR3(04466h)% ; 4671
+ STL B, -14, *(04467h) ; 4672
+ STL B, -14, *AR4 ; 4673
+ STL B, -14, *AR4- ; 4674
+ STL B, -14, *AR4+ ; 4675
+ STL B, -14, *+AR4 ; 4676
+ STL B, -14, *AR4-0B ; 4677
+ STL B, -14, *AR4-0 ; 4678
+ STL B, -14, *AR4+0 ; 4679
+ STL B, -14, *AR4+0B ; 4680
+ STL B, -14, *AR4-% ; 4681
+ STL B, -14, *AR4-0% ; 4682
+ STL B, -14, *AR4+% ; 4683
+ STL B, -14, *AR4+0% ; 4684
+ STL B, -14, *AR4(04468h) ; 4685
+ STL B, -14, *+AR4(04469h) ; 4686
+ STL B, -14, *+AR4(0446Ah)% ; 4687
+ STL B, -14, *(0446Bh) ; 4688
+ STL B, -14, *AR5 ; 4689
+ STL B, -14, *AR5- ; 4690
+ STL B, -14, *AR5+ ; 4691
+ STL B, -14, *+AR5 ; 4692
+ STL B, -14, *AR5-0B ; 4693
+ STL B, -14, *AR5-0 ; 4694
+ STL B, -14, *AR5+0 ; 4695
+ STL B, -14, *AR5+0B ; 4696
+ STL B, -14, *AR5-% ; 4697
+ STL B, -14, *AR5-0% ; 4698
+ STL B, -14, *AR5+% ; 4699
+ STL B, -14, *AR5+0% ; 4700
+ STL B, -14, *AR5(0446Ch) ; 4701
+ STL B, -14, *+AR5(0446Dh) ; 4702
+ STL B, -14, *+AR5(0446Eh)% ; 4703
+ STL B, -14, *(0446Fh) ; 4704
+ STL B, -14, *AR6 ; 4705
+ STL B, -14, *AR6- ; 4706
+ STL B, -14, *AR6+ ; 4707
+ STL B, -14, *+AR6 ; 4708
+ STL B, -14, *AR6-0B ; 4709
+ STL B, -14, *AR6-0 ; 4710
+ STL B, -14, *AR6+0 ; 4711
+ STL B, -14, *AR6+0B ; 4712
+ STL B, -14, *AR6-% ; 4713
+ STL B, -14, *AR6-0% ; 4714
+ STL B, -14, *AR6+% ; 4715
+ STL B, -14, *AR6+0% ; 4716
+ STL B, -14, *AR6(04470h) ; 4717
+ STL B, -14, *+AR6(04471h) ; 4718
+ STL B, -14, *+AR6(04472h)% ; 4719
+ STL B, -14, *(04473h) ; 4720
+ STL B, -14, *AR7 ; 4721
+ STL B, -14, *AR7- ; 4722
+ STL B, -14, *AR7+ ; 4723
+ STL B, -14, *+AR7 ; 4724
+ STL B, -14, *AR7-0B ; 4725
+ STL B, -14, *AR7-0 ; 4726
+ STL B, -14, *AR7+0 ; 4727
+ STL B, -14, *AR7+0B ; 4728
+ STL B, -14, *AR7-% ; 4729
+ STL B, -14, *AR7-0% ; 4730
+ STL B, -14, *AR7+% ; 4731
+ STL B, -14, *AR7+0% ; 4732
+ STL B, -14, *AR7(04474h) ; 4733
+ STL B, -14, *+AR7(04475h) ; 4734
+ STL B, -14, *+AR7(04476h)% ; 4735
+ STL B, -14, *(04477h) ; 4736
+ STL B, -13, *AR0 ; 4737
+ STL B, -13, *AR0- ; 4738
+ STL B, -13, *AR0+ ; 4739
+ STL B, -13, *+AR0 ; 4740
+ STL B, -13, *AR0-0B ; 4741
+ STL B, -13, *AR0-0 ; 4742
+ STL B, -13, *AR0+0 ; 4743
+ STL B, -13, *AR0+0B ; 4744
+ STL B, -13, *AR0-% ; 4745
+ STL B, -13, *AR0-0% ; 4746
+ STL B, -13, *AR0+% ; 4747
+ STL B, -13, *AR0+0% ; 4748
+ STL B, -13, *AR0(04478h) ; 4749
+ STL B, -13, *+AR0(04479h) ; 4750
+ STL B, -13, *+AR0(0447Ah)% ; 4751
+ STL B, -13, *(0447Bh) ; 4752
+ STL B, -13, *AR1 ; 4753
+ STL B, -13, *AR1- ; 4754
+ STL B, -13, *AR1+ ; 4755
+ STL B, -13, *+AR1 ; 4756
+ STL B, -13, *AR1-0B ; 4757
+ STL B, -13, *AR1-0 ; 4758
+ STL B, -13, *AR1+0 ; 4759
+ STL B, -13, *AR1+0B ; 4760
+ STL B, -13, *AR1-% ; 4761
+ STL B, -13, *AR1-0% ; 4762
+ STL B, -13, *AR1+% ; 4763
+ STL B, -13, *AR1+0% ; 4764
+ STL B, -13, *AR1(0447Ch) ; 4765
+ STL B, -13, *+AR1(0447Dh) ; 4766
+ STL B, -13, *+AR1(0447Eh)% ; 4767
+ STL B, -13, *(0447Fh) ; 4768
+ STL B, -13, *AR2 ; 4769
+ STL B, -13, *AR2- ; 4770
+ STL B, -13, *AR2+ ; 4771
+ STL B, -13, *+AR2 ; 4772
+ STL B, -13, *AR2-0B ; 4773
+ STL B, -13, *AR2-0 ; 4774
+ STL B, -13, *AR2+0 ; 4775
+ STL B, -13, *AR2+0B ; 4776
+ STL B, -13, *AR2-% ; 4777
+ STL B, -13, *AR2-0% ; 4778
+ STL B, -13, *AR2+% ; 4779
+ STL B, -13, *AR2+0% ; 4780
+ STL B, -13, *AR2(04480h) ; 4781
+ STL B, -13, *+AR2(04481h) ; 4782
+ STL B, -13, *+AR2(04482h)% ; 4783
+ STL B, -13, *(04483h) ; 4784
+ STL B, -13, *AR3 ; 4785
+ STL B, -13, *AR3- ; 4786
+ STL B, -13, *AR3+ ; 4787
+ STL B, -13, *+AR3 ; 4788
+ STL B, -13, *AR3-0B ; 4789
+ STL B, -13, *AR3-0 ; 4790
+ STL B, -13, *AR3+0 ; 4791
+ STL B, -13, *AR3+0B ; 4792
+ STL B, -13, *AR3-% ; 4793
+ STL B, -13, *AR3-0% ; 4794
+ STL B, -13, *AR3+% ; 4795
+ STL B, -13, *AR3+0% ; 4796
+ STL B, -13, *AR3(04484h) ; 4797
+ STL B, -13, *+AR3(04485h) ; 4798
+ STL B, -13, *+AR3(04486h)% ; 4799
+ STL B, -13, *(04487h) ; 4800
+ STL B, -13, *AR4 ; 4801
+ STL B, -13, *AR4- ; 4802
+ STL B, -13, *AR4+ ; 4803
+ STL B, -13, *+AR4 ; 4804
+ STL B, -13, *AR4-0B ; 4805
+ STL B, -13, *AR4-0 ; 4806
+ STL B, -13, *AR4+0 ; 4807
+ STL B, -13, *AR4+0B ; 4808
+ STL B, -13, *AR4-% ; 4809
+ STL B, -13, *AR4-0% ; 4810
+ STL B, -13, *AR4+% ; 4811
+ STL B, -13, *AR4+0% ; 4812
+ STL B, -13, *AR4(04488h) ; 4813
+ STL B, -13, *+AR4(04489h) ; 4814
+ STL B, -13, *+AR4(0448Ah)% ; 4815
+ STL B, -13, *(0448Bh) ; 4816
+ STL B, -13, *AR5 ; 4817
+ STL B, -13, *AR5- ; 4818
+ STL B, -13, *AR5+ ; 4819
+ STL B, -13, *+AR5 ; 4820
+ STL B, -13, *AR5-0B ; 4821
+ STL B, -13, *AR5-0 ; 4822
+ STL B, -13, *AR5+0 ; 4823
+ STL B, -13, *AR5+0B ; 4824
+ STL B, -13, *AR5-% ; 4825
+ STL B, -13, *AR5-0% ; 4826
+ STL B, -13, *AR5+% ; 4827
+ STL B, -13, *AR5+0% ; 4828
+ STL B, -13, *AR5(0448Ch) ; 4829
+ STL B, -13, *+AR5(0448Dh) ; 4830
+ STL B, -13, *+AR5(0448Eh)% ; 4831
+ STL B, -13, *(0448Fh) ; 4832
+ STL B, -13, *AR6 ; 4833
+ STL B, -13, *AR6- ; 4834
+ STL B, -13, *AR6+ ; 4835
+ STL B, -13, *+AR6 ; 4836
+ STL B, -13, *AR6-0B ; 4837
+ STL B, -13, *AR6-0 ; 4838
+ STL B, -13, *AR6+0 ; 4839
+ STL B, -13, *AR6+0B ; 4840
+ STL B, -13, *AR6-% ; 4841
+ STL B, -13, *AR6-0% ; 4842
+ STL B, -13, *AR6+% ; 4843
+ STL B, -13, *AR6+0% ; 4844
+ STL B, -13, *AR6(04490h) ; 4845
+ STL B, -13, *+AR6(04491h) ; 4846
+ STL B, -13, *+AR6(04492h)% ; 4847
+ STL B, -13, *(04493h) ; 4848
+ STL B, -13, *AR7 ; 4849
+ STL B, -13, *AR7- ; 4850
+ STL B, -13, *AR7+ ; 4851
+ STL B, -13, *+AR7 ; 4852
+ STL B, -13, *AR7-0B ; 4853
+ STL B, -13, *AR7-0 ; 4854
+ STL B, -13, *AR7+0 ; 4855
+ STL B, -13, *AR7+0B ; 4856
+ STL B, -13, *AR7-% ; 4857
+ STL B, -13, *AR7-0% ; 4858
+ STL B, -13, *AR7+% ; 4859
+ STL B, -13, *AR7+0% ; 4860
+ STL B, -13, *AR7(04494h) ; 4861
+ STL B, -13, *+AR7(04495h) ; 4862
+ STL B, -13, *+AR7(04496h)% ; 4863
+ STL B, -13, *(04497h) ; 4864
+ STL B, -12, *AR0 ; 4865
+ STL B, -12, *AR0- ; 4866
+ STL B, -12, *AR0+ ; 4867
+ STL B, -12, *+AR0 ; 4868
+ STL B, -12, *AR0-0B ; 4869
+ STL B, -12, *AR0-0 ; 4870
+ STL B, -12, *AR0+0 ; 4871
+ STL B, -12, *AR0+0B ; 4872
+ STL B, -12, *AR0-% ; 4873
+ STL B, -12, *AR0-0% ; 4874
+ STL B, -12, *AR0+% ; 4875
+ STL B, -12, *AR0+0% ; 4876
+ STL B, -12, *AR0(04498h) ; 4877
+ STL B, -12, *+AR0(04499h) ; 4878
+ STL B, -12, *+AR0(0449Ah)% ; 4879
+ STL B, -12, *(0449Bh) ; 4880
+ STL B, -12, *AR1 ; 4881
+ STL B, -12, *AR1- ; 4882
+ STL B, -12, *AR1+ ; 4883
+ STL B, -12, *+AR1 ; 4884
+ STL B, -12, *AR1-0B ; 4885
+ STL B, -12, *AR1-0 ; 4886
+ STL B, -12, *AR1+0 ; 4887
+ STL B, -12, *AR1+0B ; 4888
+ STL B, -12, *AR1-% ; 4889
+ STL B, -12, *AR1-0% ; 4890
+ STL B, -12, *AR1+% ; 4891
+ STL B, -12, *AR1+0% ; 4892
+ STL B, -12, *AR1(0449Ch) ; 4893
+ STL B, -12, *+AR1(0449Dh) ; 4894
+ STL B, -12, *+AR1(0449Eh)% ; 4895
+ STL B, -12, *(0449Fh) ; 4896
+ STL B, -12, *AR2 ; 4897
+ STL B, -12, *AR2- ; 4898
+ STL B, -12, *AR2+ ; 4899
+ STL B, -12, *+AR2 ; 4900
+ STL B, -12, *AR2-0B ; 4901
+ STL B, -12, *AR2-0 ; 4902
+ STL B, -12, *AR2+0 ; 4903
+ STL B, -12, *AR2+0B ; 4904
+ STL B, -12, *AR2-% ; 4905
+ STL B, -12, *AR2-0% ; 4906
+ STL B, -12, *AR2+% ; 4907
+ STL B, -12, *AR2+0% ; 4908
+ STL B, -12, *AR2(044A0h) ; 4909
+ STL B, -12, *+AR2(044A1h) ; 4910
+ STL B, -12, *+AR2(044A2h)% ; 4911
+ STL B, -12, *(044A3h) ; 4912
+ STL B, -12, *AR3 ; 4913
+ STL B, -12, *AR3- ; 4914
+ STL B, -12, *AR3+ ; 4915
+ STL B, -12, *+AR3 ; 4916
+ STL B, -12, *AR3-0B ; 4917
+ STL B, -12, *AR3-0 ; 4918
+ STL B, -12, *AR3+0 ; 4919
+ STL B, -12, *AR3+0B ; 4920
+ STL B, -12, *AR3-% ; 4921
+ STL B, -12, *AR3-0% ; 4922
+ STL B, -12, *AR3+% ; 4923
+ STL B, -12, *AR3+0% ; 4924
+ STL B, -12, *AR3(044A4h) ; 4925
+ STL B, -12, *+AR3(044A5h) ; 4926
+ STL B, -12, *+AR3(044A6h)% ; 4927
+ STL B, -12, *(044A7h) ; 4928
+ STL B, -12, *AR4 ; 4929
+ STL B, -12, *AR4- ; 4930
+ STL B, -12, *AR4+ ; 4931
+ STL B, -12, *+AR4 ; 4932
+ STL B, -12, *AR4-0B ; 4933
+ STL B, -12, *AR4-0 ; 4934
+ STL B, -12, *AR4+0 ; 4935
+ STL B, -12, *AR4+0B ; 4936
+ STL B, -12, *AR4-% ; 4937
+ STL B, -12, *AR4-0% ; 4938
+ STL B, -12, *AR4+% ; 4939
+ STL B, -12, *AR4+0% ; 4940
+ STL B, -12, *AR4(044A8h) ; 4941
+ STL B, -12, *+AR4(044A9h) ; 4942
+ STL B, -12, *+AR4(044AAh)% ; 4943
+ STL B, -12, *(044ABh) ; 4944
+ STL B, -12, *AR5 ; 4945
+ STL B, -12, *AR5- ; 4946
+ STL B, -12, *AR5+ ; 4947
+ STL B, -12, *+AR5 ; 4948
+ STL B, -12, *AR5-0B ; 4949
+ STL B, -12, *AR5-0 ; 4950
+ STL B, -12, *AR5+0 ; 4951
+ STL B, -12, *AR5+0B ; 4952
+ STL B, -12, *AR5-% ; 4953
+ STL B, -12, *AR5-0% ; 4954
+ STL B, -12, *AR5+% ; 4955
+ STL B, -12, *AR5+0% ; 4956
+ STL B, -12, *AR5(044ACh) ; 4957
+ STL B, -12, *+AR5(044ADh) ; 4958
+ STL B, -12, *+AR5(044AEh)% ; 4959
+ STL B, -12, *(044AFh) ; 4960
+ STL B, -12, *AR6 ; 4961
+ STL B, -12, *AR6- ; 4962
+ STL B, -12, *AR6+ ; 4963
+ STL B, -12, *+AR6 ; 4964
+ STL B, -12, *AR6-0B ; 4965
+ STL B, -12, *AR6-0 ; 4966
+ STL B, -12, *AR6+0 ; 4967
+ STL B, -12, *AR6+0B ; 4968
+ STL B, -12, *AR6-% ; 4969
+ STL B, -12, *AR6-0% ; 4970
+ STL B, -12, *AR6+% ; 4971
+ STL B, -12, *AR6+0% ; 4972
+ STL B, -12, *AR6(044B0h) ; 4973
+ STL B, -12, *+AR6(044B1h) ; 4974
+ STL B, -12, *+AR6(044B2h)% ; 4975
+ STL B, -12, *(044B3h) ; 4976
+ STL B, -12, *AR7 ; 4977
+ STL B, -12, *AR7- ; 4978
+ STL B, -12, *AR7+ ; 4979
+ STL B, -12, *+AR7 ; 4980
+ STL B, -12, *AR7-0B ; 4981
+ STL B, -12, *AR7-0 ; 4982
+ STL B, -12, *AR7+0 ; 4983
+ STL B, -12, *AR7+0B ; 4984
+ STL B, -12, *AR7-% ; 4985
+ STL B, -12, *AR7-0% ; 4986
+ STL B, -12, *AR7+% ; 4987
+ STL B, -12, *AR7+0% ; 4988
+ STL B, -12, *AR7(044B4h) ; 4989
+ STL B, -12, *+AR7(044B5h) ; 4990
+ STL B, -12, *+AR7(044B6h)% ; 4991
+ STL B, -12, *(044B7h) ; 4992
+ STL B, -11, *AR0 ; 4993
+ STL B, -11, *AR0- ; 4994
+ STL B, -11, *AR0+ ; 4995
+ STL B, -11, *+AR0 ; 4996
+ STL B, -11, *AR0-0B ; 4997
+ STL B, -11, *AR0-0 ; 4998
+ STL B, -11, *AR0+0 ; 4999
+ STL B, -11, *AR0+0B ; 5000
+ STL B, -11, *AR0-% ; 5001
+ STL B, -11, *AR0-0% ; 5002
+ STL B, -11, *AR0+% ; 5003
+ STL B, -11, *AR0+0% ; 5004
+ STL B, -11, *AR0(044B8h) ; 5005
+ STL B, -11, *+AR0(044B9h) ; 5006
+ STL B, -11, *+AR0(044BAh)% ; 5007
+ STL B, -11, *(044BBh) ; 5008
+ STL B, -11, *AR1 ; 5009
+ STL B, -11, *AR1- ; 5010
+ STL B, -11, *AR1+ ; 5011
+ STL B, -11, *+AR1 ; 5012
+ STL B, -11, *AR1-0B ; 5013
+ STL B, -11, *AR1-0 ; 5014
+ STL B, -11, *AR1+0 ; 5015
+ STL B, -11, *AR1+0B ; 5016
+ STL B, -11, *AR1-% ; 5017
+ STL B, -11, *AR1-0% ; 5018
+ STL B, -11, *AR1+% ; 5019
+ STL B, -11, *AR1+0% ; 5020
+ STL B, -11, *AR1(044BCh) ; 5021
+ STL B, -11, *+AR1(044BDh) ; 5022
+ STL B, -11, *+AR1(044BEh)% ; 5023
+ STL B, -11, *(044BFh) ; 5024
+ STL B, -11, *AR2 ; 5025
+ STL B, -11, *AR2- ; 5026
+ STL B, -11, *AR2+ ; 5027
+ STL B, -11, *+AR2 ; 5028
+ STL B, -11, *AR2-0B ; 5029
+ STL B, -11, *AR2-0 ; 5030
+ STL B, -11, *AR2+0 ; 5031
+ STL B, -11, *AR2+0B ; 5032
+ STL B, -11, *AR2-% ; 5033
+ STL B, -11, *AR2-0% ; 5034
+ STL B, -11, *AR2+% ; 5035
+ STL B, -11, *AR2+0% ; 5036
+ STL B, -11, *AR2(044C0h) ; 5037
+ STL B, -11, *+AR2(044C1h) ; 5038
+ STL B, -11, *+AR2(044C2h)% ; 5039
+ STL B, -11, *(044C3h) ; 5040
+ STL B, -11, *AR3 ; 5041
+ STL B, -11, *AR3- ; 5042
+ STL B, -11, *AR3+ ; 5043
+ STL B, -11, *+AR3 ; 5044
+ STL B, -11, *AR3-0B ; 5045
+ STL B, -11, *AR3-0 ; 5046
+ STL B, -11, *AR3+0 ; 5047
+ STL B, -11, *AR3+0B ; 5048
+ STL B, -11, *AR3-% ; 5049
+ STL B, -11, *AR3-0% ; 5050
+ STL B, -11, *AR3+% ; 5051
+ STL B, -11, *AR3+0% ; 5052
+ STL B, -11, *AR3(044C4h) ; 5053
+ STL B, -11, *+AR3(044C5h) ; 5054
+ STL B, -11, *+AR3(044C6h)% ; 5055
+ STL B, -11, *(044C7h) ; 5056
+ STL B, -11, *AR4 ; 5057
+ STL B, -11, *AR4- ; 5058
+ STL B, -11, *AR4+ ; 5059
+ STL B, -11, *+AR4 ; 5060
+ STL B, -11, *AR4-0B ; 5061
+ STL B, -11, *AR4-0 ; 5062
+ STL B, -11, *AR4+0 ; 5063
+ STL B, -11, *AR4+0B ; 5064
+ STL B, -11, *AR4-% ; 5065
+ STL B, -11, *AR4-0% ; 5066
+ STL B, -11, *AR4+% ; 5067
+ STL B, -11, *AR4+0% ; 5068
+ STL B, -11, *AR4(044C8h) ; 5069
+ STL B, -11, *+AR4(044C9h) ; 5070
+ STL B, -11, *+AR4(044CAh)% ; 5071
+ STL B, -11, *(044CBh) ; 5072
+ STL B, -11, *AR5 ; 5073
+ STL B, -11, *AR5- ; 5074
+ STL B, -11, *AR5+ ; 5075
+ STL B, -11, *+AR5 ; 5076
+ STL B, -11, *AR5-0B ; 5077
+ STL B, -11, *AR5-0 ; 5078
+ STL B, -11, *AR5+0 ; 5079
+ STL B, -11, *AR5+0B ; 5080
+ STL B, -11, *AR5-% ; 5081
+ STL B, -11, *AR5-0% ; 5082
+ STL B, -11, *AR5+% ; 5083
+ STL B, -11, *AR5+0% ; 5084
+ STL B, -11, *AR5(044CCh) ; 5085
+ STL B, -11, *+AR5(044CDh) ; 5086
+ STL B, -11, *+AR5(044CEh)% ; 5087
+ STL B, -11, *(044CFh) ; 5088
+ STL B, -11, *AR6 ; 5089
+ STL B, -11, *AR6- ; 5090
+ STL B, -11, *AR6+ ; 5091
+ STL B, -11, *+AR6 ; 5092
+ STL B, -11, *AR6-0B ; 5093
+ STL B, -11, *AR6-0 ; 5094
+ STL B, -11, *AR6+0 ; 5095
+ STL B, -11, *AR6+0B ; 5096
+ STL B, -11, *AR6-% ; 5097
+ STL B, -11, *AR6-0% ; 5098
+ STL B, -11, *AR6+% ; 5099
+ STL B, -11, *AR6+0% ; 5100
+ STL B, -11, *AR6(044D0h) ; 5101
+ STL B, -11, *+AR6(044D1h) ; 5102
+ STL B, -11, *+AR6(044D2h)% ; 5103
+ STL B, -11, *(044D3h) ; 5104
+ STL B, -11, *AR7 ; 5105
+ STL B, -11, *AR7- ; 5106
+ STL B, -11, *AR7+ ; 5107
+ STL B, -11, *+AR7 ; 5108
+ STL B, -11, *AR7-0B ; 5109
+ STL B, -11, *AR7-0 ; 5110
+ STL B, -11, *AR7+0 ; 5111
+ STL B, -11, *AR7+0B ; 5112
+ STL B, -11, *AR7-% ; 5113
+ STL B, -11, *AR7-0% ; 5114
+ STL B, -11, *AR7+% ; 5115
+ STL B, -11, *AR7+0% ; 5116
+ STL B, -11, *AR7(044D4h) ; 5117
+ STL B, -11, *+AR7(044D5h) ; 5118
+ STL B, -11, *+AR7(044D6h)% ; 5119
+ STL B, -11, *(044D7h) ; 5120
+ STL B, -10, *AR0 ; 5121
+ STL B, -10, *AR0- ; 5122
+ STL B, -10, *AR0+ ; 5123
+ STL B, -10, *+AR0 ; 5124
+ STL B, -10, *AR0-0B ; 5125
+ STL B, -10, *AR0-0 ; 5126
+ STL B, -10, *AR0+0 ; 5127
+ STL B, -10, *AR0+0B ; 5128
+ STL B, -10, *AR0-% ; 5129
+ STL B, -10, *AR0-0% ; 5130
+ STL B, -10, *AR0+% ; 5131
+ STL B, -10, *AR0+0% ; 5132
+ STL B, -10, *AR0(044D8h) ; 5133
+ STL B, -10, *+AR0(044D9h) ; 5134
+ STL B, -10, *+AR0(044DAh)% ; 5135
+ STL B, -10, *(044DBh) ; 5136
+ STL B, -10, *AR1 ; 5137
+ STL B, -10, *AR1- ; 5138
+ STL B, -10, *AR1+ ; 5139
+ STL B, -10, *+AR1 ; 5140
+ STL B, -10, *AR1-0B ; 5141
+ STL B, -10, *AR1-0 ; 5142
+ STL B, -10, *AR1+0 ; 5143
+ STL B, -10, *AR1+0B ; 5144
+ STL B, -10, *AR1-% ; 5145
+ STL B, -10, *AR1-0% ; 5146
+ STL B, -10, *AR1+% ; 5147
+ STL B, -10, *AR1+0% ; 5148
+ STL B, -10, *AR1(044DCh) ; 5149
+ STL B, -10, *+AR1(044DDh) ; 5150
+ STL B, -10, *+AR1(044DEh)% ; 5151
+ STL B, -10, *(044DFh) ; 5152
+ STL B, -10, *AR2 ; 5153
+ STL B, -10, *AR2- ; 5154
+ STL B, -10, *AR2+ ; 5155
+ STL B, -10, *+AR2 ; 5156
+ STL B, -10, *AR2-0B ; 5157
+ STL B, -10, *AR2-0 ; 5158
+ STL B, -10, *AR2+0 ; 5159
+ STL B, -10, *AR2+0B ; 5160
+ STL B, -10, *AR2-% ; 5161
+ STL B, -10, *AR2-0% ; 5162
+ STL B, -10, *AR2+% ; 5163
+ STL B, -10, *AR2+0% ; 5164
+ STL B, -10, *AR2(044E0h) ; 5165
+ STL B, -10, *+AR2(044E1h) ; 5166
+ STL B, -10, *+AR2(044E2h)% ; 5167
+ STL B, -10, *(044E3h) ; 5168
+ STL B, -10, *AR3 ; 5169
+ STL B, -10, *AR3- ; 5170
+ STL B, -10, *AR3+ ; 5171
+ STL B, -10, *+AR3 ; 5172
+ STL B, -10, *AR3-0B ; 5173
+ STL B, -10, *AR3-0 ; 5174
+ STL B, -10, *AR3+0 ; 5175
+ STL B, -10, *AR3+0B ; 5176
+ STL B, -10, *AR3-% ; 5177
+ STL B, -10, *AR3-0% ; 5178
+ STL B, -10, *AR3+% ; 5179
+ STL B, -10, *AR3+0% ; 5180
+ STL B, -10, *AR3(044E4h) ; 5181
+ STL B, -10, *+AR3(044E5h) ; 5182
+ STL B, -10, *+AR3(044E6h)% ; 5183
+ STL B, -10, *(044E7h) ; 5184
+ STL B, -10, *AR4 ; 5185
+ STL B, -10, *AR4- ; 5186
+ STL B, -10, *AR4+ ; 5187
+ STL B, -10, *+AR4 ; 5188
+ STL B, -10, *AR4-0B ; 5189
+ STL B, -10, *AR4-0 ; 5190
+ STL B, -10, *AR4+0 ; 5191
+ STL B, -10, *AR4+0B ; 5192
+ STL B, -10, *AR4-% ; 5193
+ STL B, -10, *AR4-0% ; 5194
+ STL B, -10, *AR4+% ; 5195
+ STL B, -10, *AR4+0% ; 5196
+ STL B, -10, *AR4(044E8h) ; 5197
+ STL B, -10, *+AR4(044E9h) ; 5198
+ STL B, -10, *+AR4(044EAh)% ; 5199
+ STL B, -10, *(044EBh) ; 5200
+ STL B, -10, *AR5 ; 5201
+ STL B, -10, *AR5- ; 5202
+ STL B, -10, *AR5+ ; 5203
+ STL B, -10, *+AR5 ; 5204
+ STL B, -10, *AR5-0B ; 5205
+ STL B, -10, *AR5-0 ; 5206
+ STL B, -10, *AR5+0 ; 5207
+ STL B, -10, *AR5+0B ; 5208
+ STL B, -10, *AR5-% ; 5209
+ STL B, -10, *AR5-0% ; 5210
+ STL B, -10, *AR5+% ; 5211
+ STL B, -10, *AR5+0% ; 5212
+ STL B, -10, *AR5(044ECh) ; 5213
+ STL B, -10, *+AR5(044EDh) ; 5214
+ STL B, -10, *+AR5(044EEh)% ; 5215
+ STL B, -10, *(044EFh) ; 5216
+ STL B, -10, *AR6 ; 5217
+ STL B, -10, *AR6- ; 5218
+ STL B, -10, *AR6+ ; 5219
+ STL B, -10, *+AR6 ; 5220
+ STL B, -10, *AR6-0B ; 5221
+ STL B, -10, *AR6-0 ; 5222
+ STL B, -10, *AR6+0 ; 5223
+ STL B, -10, *AR6+0B ; 5224
+ STL B, -10, *AR6-% ; 5225
+ STL B, -10, *AR6-0% ; 5226
+ STL B, -10, *AR6+% ; 5227
+ STL B, -10, *AR6+0% ; 5228
+ STL B, -10, *AR6(044F0h) ; 5229
+ STL B, -10, *+AR6(044F1h) ; 5230
+ STL B, -10, *+AR6(044F2h)% ; 5231
+ STL B, -10, *(044F3h) ; 5232
+ STL B, -10, *AR7 ; 5233
+ STL B, -10, *AR7- ; 5234
+ STL B, -10, *AR7+ ; 5235
+ STL B, -10, *+AR7 ; 5236
+ STL B, -10, *AR7-0B ; 5237
+ STL B, -10, *AR7-0 ; 5238
+ STL B, -10, *AR7+0 ; 5239
+ STL B, -10, *AR7+0B ; 5240
+ STL B, -10, *AR7-% ; 5241
+ STL B, -10, *AR7-0% ; 5242
+ STL B, -10, *AR7+% ; 5243
+ STL B, -10, *AR7+0% ; 5244
+ STL B, -10, *AR7(044F4h) ; 5245
+ STL B, -10, *+AR7(044F5h) ; 5246
+ STL B, -10, *+AR7(044F6h)% ; 5247
+ STL B, -10, *(044F7h) ; 5248
+ STL B, -9, *AR0 ; 5249
+ STL B, -9, *AR0- ; 5250
+ STL B, -9, *AR0+ ; 5251
+ STL B, -9, *+AR0 ; 5252
+ STL B, -9, *AR0-0B ; 5253
+ STL B, -9, *AR0-0 ; 5254
+ STL B, -9, *AR0+0 ; 5255
+ STL B, -9, *AR0+0B ; 5256
+ STL B, -9, *AR0-% ; 5257
+ STL B, -9, *AR0-0% ; 5258
+ STL B, -9, *AR0+% ; 5259
+ STL B, -9, *AR0+0% ; 5260
+ STL B, -9, *AR0(044F8h) ; 5261
+ STL B, -9, *+AR0(044F9h) ; 5262
+ STL B, -9, *+AR0(044FAh)% ; 5263
+ STL B, -9, *(044FBh) ; 5264
+ STL B, -9, *AR1 ; 5265
+ STL B, -9, *AR1- ; 5266
+ STL B, -9, *AR1+ ; 5267
+ STL B, -9, *+AR1 ; 5268
+ STL B, -9, *AR1-0B ; 5269
+ STL B, -9, *AR1-0 ; 5270
+ STL B, -9, *AR1+0 ; 5271
+ STL B, -9, *AR1+0B ; 5272
+ STL B, -9, *AR1-% ; 5273
+ STL B, -9, *AR1-0% ; 5274
+ STL B, -9, *AR1+% ; 5275
+ STL B, -9, *AR1+0% ; 5276
+ STL B, -9, *AR1(044FCh) ; 5277
+ STL B, -9, *+AR1(044FDh) ; 5278
+ STL B, -9, *+AR1(044FEh)% ; 5279
+ STL B, -9, *(044FFh) ; 5280
+ STL B, -9, *AR2 ; 5281
+ STL B, -9, *AR2- ; 5282
+ STL B, -9, *AR2+ ; 5283
+ STL B, -9, *+AR2 ; 5284
+ STL B, -9, *AR2-0B ; 5285
+ STL B, -9, *AR2-0 ; 5286
+ STL B, -9, *AR2+0 ; 5287
+ STL B, -9, *AR2+0B ; 5288
+ STL B, -9, *AR2-% ; 5289
+ STL B, -9, *AR2-0% ; 5290
+ STL B, -9, *AR2+% ; 5291
+ STL B, -9, *AR2+0% ; 5292
+ STL B, -9, *AR2(04500h) ; 5293
+ STL B, -9, *+AR2(04501h) ; 5294
+ STL B, -9, *+AR2(04502h)% ; 5295
+ STL B, -9, *(04503h) ; 5296
+ STL B, -9, *AR3 ; 5297
+ STL B, -9, *AR3- ; 5298
+ STL B, -9, *AR3+ ; 5299
+ STL B, -9, *+AR3 ; 5300
+ STL B, -9, *AR3-0B ; 5301
+ STL B, -9, *AR3-0 ; 5302
+ STL B, -9, *AR3+0 ; 5303
+ STL B, -9, *AR3+0B ; 5304
+ STL B, -9, *AR3-% ; 5305
+ STL B, -9, *AR3-0% ; 5306
+ STL B, -9, *AR3+% ; 5307
+ STL B, -9, *AR3+0% ; 5308
+ STL B, -9, *AR3(04504h) ; 5309
+ STL B, -9, *+AR3(04505h) ; 5310
+ STL B, -9, *+AR3(04506h)% ; 5311
+ STL B, -9, *(04507h) ; 5312
+ STL B, -9, *AR4 ; 5313
+ STL B, -9, *AR4- ; 5314
+ STL B, -9, *AR4+ ; 5315
+ STL B, -9, *+AR4 ; 5316
+ STL B, -9, *AR4-0B ; 5317
+ STL B, -9, *AR4-0 ; 5318
+ STL B, -9, *AR4+0 ; 5319
+ STL B, -9, *AR4+0B ; 5320
+ STL B, -9, *AR4-% ; 5321
+ STL B, -9, *AR4-0% ; 5322
+ STL B, -9, *AR4+% ; 5323
+ STL B, -9, *AR4+0% ; 5324
+ STL B, -9, *AR4(04508h) ; 5325
+ STL B, -9, *+AR4(04509h) ; 5326
+ STL B, -9, *+AR4(0450Ah)% ; 5327
+ STL B, -9, *(0450Bh) ; 5328
+ STL B, -9, *AR5 ; 5329
+ STL B, -9, *AR5- ; 5330
+ STL B, -9, *AR5+ ; 5331
+ STL B, -9, *+AR5 ; 5332
+ STL B, -9, *AR5-0B ; 5333
+ STL B, -9, *AR5-0 ; 5334
+ STL B, -9, *AR5+0 ; 5335
+ STL B, -9, *AR5+0B ; 5336
+ STL B, -9, *AR5-% ; 5337
+ STL B, -9, *AR5-0% ; 5338
+ STL B, -9, *AR5+% ; 5339
+ STL B, -9, *AR5+0% ; 5340
+ STL B, -9, *AR5(0450Ch) ; 5341
+ STL B, -9, *+AR5(0450Dh) ; 5342
+ STL B, -9, *+AR5(0450Eh)% ; 5343
+ STL B, -9, *(0450Fh) ; 5344
+ STL B, -9, *AR6 ; 5345
+ STL B, -9, *AR6- ; 5346
+ STL B, -9, *AR6+ ; 5347
+ STL B, -9, *+AR6 ; 5348
+ STL B, -9, *AR6-0B ; 5349
+ STL B, -9, *AR6-0 ; 5350
+ STL B, -9, *AR6+0 ; 5351
+ STL B, -9, *AR6+0B ; 5352
+ STL B, -9, *AR6-% ; 5353
+ STL B, -9, *AR6-0% ; 5354
+ STL B, -9, *AR6+% ; 5355
+ STL B, -9, *AR6+0% ; 5356
+ STL B, -9, *AR6(04510h) ; 5357
+ STL B, -9, *+AR6(04511h) ; 5358
+ STL B, -9, *+AR6(04512h)% ; 5359
+ STL B, -9, *(04513h) ; 5360
+ STL B, -9, *AR7 ; 5361
+ STL B, -9, *AR7- ; 5362
+ STL B, -9, *AR7+ ; 5363
+ STL B, -9, *+AR7 ; 5364
+ STL B, -9, *AR7-0B ; 5365
+ STL B, -9, *AR7-0 ; 5366
+ STL B, -9, *AR7+0 ; 5367
+ STL B, -9, *AR7+0B ; 5368
+ STL B, -9, *AR7-% ; 5369
+ STL B, -9, *AR7-0% ; 5370
+ STL B, -9, *AR7+% ; 5371
+ STL B, -9, *AR7+0% ; 5372
+ STL B, -9, *AR7(04514h) ; 5373
+ STL B, -9, *+AR7(04515h) ; 5374
+ STL B, -9, *+AR7(04516h)% ; 5375
+ STL B, -9, *(04517h) ; 5376
+ STL B, -8, *AR0 ; 5377
+ STL B, -8, *AR0- ; 5378
+ STL B, -8, *AR0+ ; 5379
+ STL B, -8, *+AR0 ; 5380
+ STL B, -8, *AR0-0B ; 5381
+ STL B, -8, *AR0-0 ; 5382
+ STL B, -8, *AR0+0 ; 5383
+ STL B, -8, *AR0+0B ; 5384
+ STL B, -8, *AR0-% ; 5385
+ STL B, -8, *AR0-0% ; 5386
+ STL B, -8, *AR0+% ; 5387
+ STL B, -8, *AR0+0% ; 5388
+ STL B, -8, *AR0(04518h) ; 5389
+ STL B, -8, *+AR0(04519h) ; 5390
+ STL B, -8, *+AR0(0451Ah)% ; 5391
+ STL B, -8, *(0451Bh) ; 5392
+ STL B, -8, *AR1 ; 5393
+ STL B, -8, *AR1- ; 5394
+ STL B, -8, *AR1+ ; 5395
+ STL B, -8, *+AR1 ; 5396
+ STL B, -8, *AR1-0B ; 5397
+ STL B, -8, *AR1-0 ; 5398
+ STL B, -8, *AR1+0 ; 5399
+ STL B, -8, *AR1+0B ; 5400
+ STL B, -8, *AR1-% ; 5401
+ STL B, -8, *AR1-0% ; 5402
+ STL B, -8, *AR1+% ; 5403
+ STL B, -8, *AR1+0% ; 5404
+ STL B, -8, *AR1(0451Ch) ; 5405
+ STL B, -8, *+AR1(0451Dh) ; 5406
+ STL B, -8, *+AR1(0451Eh)% ; 5407
+ STL B, -8, *(0451Fh) ; 5408
+ STL B, -8, *AR2 ; 5409
+ STL B, -8, *AR2- ; 5410
+ STL B, -8, *AR2+ ; 5411
+ STL B, -8, *+AR2 ; 5412
+ STL B, -8, *AR2-0B ; 5413
+ STL B, -8, *AR2-0 ; 5414
+ STL B, -8, *AR2+0 ; 5415
+ STL B, -8, *AR2+0B ; 5416
+ STL B, -8, *AR2-% ; 5417
+ STL B, -8, *AR2-0% ; 5418
+ STL B, -8, *AR2+% ; 5419
+ STL B, -8, *AR2+0% ; 5420
+ STL B, -8, *AR2(04520h) ; 5421
+ STL B, -8, *+AR2(04521h) ; 5422
+ STL B, -8, *+AR2(04522h)% ; 5423
+ STL B, -8, *(04523h) ; 5424
+ STL B, -8, *AR3 ; 5425
+ STL B, -8, *AR3- ; 5426
+ STL B, -8, *AR3+ ; 5427
+ STL B, -8, *+AR3 ; 5428
+ STL B, -8, *AR3-0B ; 5429
+ STL B, -8, *AR3-0 ; 5430
+ STL B, -8, *AR3+0 ; 5431
+ STL B, -8, *AR3+0B ; 5432
+ STL B, -8, *AR3-% ; 5433
+ STL B, -8, *AR3-0% ; 5434
+ STL B, -8, *AR3+% ; 5435
+ STL B, -8, *AR3+0% ; 5436
+ STL B, -8, *AR3(04524h) ; 5437
+ STL B, -8, *+AR3(04525h) ; 5438
+ STL B, -8, *+AR3(04526h)% ; 5439
+ STL B, -8, *(04527h) ; 5440
+ STL B, -8, *AR4 ; 5441
+ STL B, -8, *AR4- ; 5442
+ STL B, -8, *AR4+ ; 5443
+ STL B, -8, *+AR4 ; 5444
+ STL B, -8, *AR4-0B ; 5445
+ STL B, -8, *AR4-0 ; 5446
+ STL B, -8, *AR4+0 ; 5447
+ STL B, -8, *AR4+0B ; 5448
+ STL B, -8, *AR4-% ; 5449
+ STL B, -8, *AR4-0% ; 5450
+ STL B, -8, *AR4+% ; 5451
+ STL B, -8, *AR4+0% ; 5452
+ STL B, -8, *AR4(04528h) ; 5453
+ STL B, -8, *+AR4(04529h) ; 5454
+ STL B, -8, *+AR4(0452Ah)% ; 5455
+ STL B, -8, *(0452Bh) ; 5456
+ STL B, -8, *AR5 ; 5457
+ STL B, -8, *AR5- ; 5458
+ STL B, -8, *AR5+ ; 5459
+ STL B, -8, *+AR5 ; 5460
+ STL B, -8, *AR5-0B ; 5461
+ STL B, -8, *AR5-0 ; 5462
+ STL B, -8, *AR5+0 ; 5463
+ STL B, -8, *AR5+0B ; 5464
+ STL B, -8, *AR5-% ; 5465
+ STL B, -8, *AR5-0% ; 5466
+ STL B, -8, *AR5+% ; 5467
+ STL B, -8, *AR5+0% ; 5468
+ STL B, -8, *AR5(0452Ch) ; 5469
+ STL B, -8, *+AR5(0452Dh) ; 5470
+ STL B, -8, *+AR5(0452Eh)% ; 5471
+ STL B, -8, *(0452Fh) ; 5472
+ STL B, -8, *AR6 ; 5473
+ STL B, -8, *AR6- ; 5474
+ STL B, -8, *AR6+ ; 5475
+ STL B, -8, *+AR6 ; 5476
+ STL B, -8, *AR6-0B ; 5477
+ STL B, -8, *AR6-0 ; 5478
+ STL B, -8, *AR6+0 ; 5479
+ STL B, -8, *AR6+0B ; 5480
+ STL B, -8, *AR6-% ; 5481
+ STL B, -8, *AR6-0% ; 5482
+ STL B, -8, *AR6+% ; 5483
+ STL B, -8, *AR6+0% ; 5484
+ STL B, -8, *AR6(04530h) ; 5485
+ STL B, -8, *+AR6(04531h) ; 5486
+ STL B, -8, *+AR6(04532h)% ; 5487
+ STL B, -8, *(04533h) ; 5488
+ STL B, -8, *AR7 ; 5489
+ STL B, -8, *AR7- ; 5490
+ STL B, -8, *AR7+ ; 5491
+ STL B, -8, *+AR7 ; 5492
+ STL B, -8, *AR7-0B ; 5493
+ STL B, -8, *AR7-0 ; 5494
+ STL B, -8, *AR7+0 ; 5495
+ STL B, -8, *AR7+0B ; 5496
+ STL B, -8, *AR7-% ; 5497
+ STL B, -8, *AR7-0% ; 5498
+ STL B, -8, *AR7+% ; 5499
+ STL B, -8, *AR7+0% ; 5500
+ STL B, -8, *AR7(04534h) ; 5501
+ STL B, -8, *+AR7(04535h) ; 5502
+ STL B, -8, *+AR7(04536h)% ; 5503
+ STL B, -8, *(04537h) ; 5504
+ STL B, -7, *AR0 ; 5505
+ STL B, -7, *AR0- ; 5506
+ STL B, -7, *AR0+ ; 5507
+ STL B, -7, *+AR0 ; 5508
+ STL B, -7, *AR0-0B ; 5509
+ STL B, -7, *AR0-0 ; 5510
+ STL B, -7, *AR0+0 ; 5511
+ STL B, -7, *AR0+0B ; 5512
+ STL B, -7, *AR0-% ; 5513
+ STL B, -7, *AR0-0% ; 5514
+ STL B, -7, *AR0+% ; 5515
+ STL B, -7, *AR0+0% ; 5516
+ STL B, -7, *AR0(04538h) ; 5517
+ STL B, -7, *+AR0(04539h) ; 5518
+ STL B, -7, *+AR0(0453Ah)% ; 5519
+ STL B, -7, *(0453Bh) ; 5520
+ STL B, -7, *AR1 ; 5521
+ STL B, -7, *AR1- ; 5522
+ STL B, -7, *AR1+ ; 5523
+ STL B, -7, *+AR1 ; 5524
+ STL B, -7, *AR1-0B ; 5525
+ STL B, -7, *AR1-0 ; 5526
+ STL B, -7, *AR1+0 ; 5527
+ STL B, -7, *AR1+0B ; 5528
+ STL B, -7, *AR1-% ; 5529
+ STL B, -7, *AR1-0% ; 5530
+ STL B, -7, *AR1+% ; 5531
+ STL B, -7, *AR1+0% ; 5532
+ STL B, -7, *AR1(0453Ch) ; 5533
+ STL B, -7, *+AR1(0453Dh) ; 5534
+ STL B, -7, *+AR1(0453Eh)% ; 5535
+ STL B, -7, *(0453Fh) ; 5536
+ STL B, -7, *AR2 ; 5537
+ STL B, -7, *AR2- ; 5538
+ STL B, -7, *AR2+ ; 5539
+ STL B, -7, *+AR2 ; 5540
+ STL B, -7, *AR2-0B ; 5541
+ STL B, -7, *AR2-0 ; 5542
+ STL B, -7, *AR2+0 ; 5543
+ STL B, -7, *AR2+0B ; 5544
+ STL B, -7, *AR2-% ; 5545
+ STL B, -7, *AR2-0% ; 5546
+ STL B, -7, *AR2+% ; 5547
+ STL B, -7, *AR2+0% ; 5548
+ STL B, -7, *AR2(04540h) ; 5549
+ STL B, -7, *+AR2(04541h) ; 5550
+ STL B, -7, *+AR2(04542h)% ; 5551
+ STL B, -7, *(04543h) ; 5552
+ STL B, -7, *AR3 ; 5553
+ STL B, -7, *AR3- ; 5554
+ STL B, -7, *AR3+ ; 5555
+ STL B, -7, *+AR3 ; 5556
+ STL B, -7, *AR3-0B ; 5557
+ STL B, -7, *AR3-0 ; 5558
+ STL B, -7, *AR3+0 ; 5559
+ STL B, -7, *AR3+0B ; 5560
+ STL B, -7, *AR3-% ; 5561
+ STL B, -7, *AR3-0% ; 5562
+ STL B, -7, *AR3+% ; 5563
+ STL B, -7, *AR3+0% ; 5564
+ STL B, -7, *AR3(04544h) ; 5565
+ STL B, -7, *+AR3(04545h) ; 5566
+ STL B, -7, *+AR3(04546h)% ; 5567
+ STL B, -7, *(04547h) ; 5568
+ STL B, -7, *AR4 ; 5569
+ STL B, -7, *AR4- ; 5570
+ STL B, -7, *AR4+ ; 5571
+ STL B, -7, *+AR4 ; 5572
+ STL B, -7, *AR4-0B ; 5573
+ STL B, -7, *AR4-0 ; 5574
+ STL B, -7, *AR4+0 ; 5575
+ STL B, -7, *AR4+0B ; 5576
+ STL B, -7, *AR4-% ; 5577
+ STL B, -7, *AR4-0% ; 5578
+ STL B, -7, *AR4+% ; 5579
+ STL B, -7, *AR4+0% ; 5580
+ STL B, -7, *AR4(04548h) ; 5581
+ STL B, -7, *+AR4(04549h) ; 5582
+ STL B, -7, *+AR4(0454Ah)% ; 5583
+ STL B, -7, *(0454Bh) ; 5584
+ STL B, -7, *AR5 ; 5585
+ STL B, -7, *AR5- ; 5586
+ STL B, -7, *AR5+ ; 5587
+ STL B, -7, *+AR5 ; 5588
+ STL B, -7, *AR5-0B ; 5589
+ STL B, -7, *AR5-0 ; 5590
+ STL B, -7, *AR5+0 ; 5591
+ STL B, -7, *AR5+0B ; 5592
+ STL B, -7, *AR5-% ; 5593
+ STL B, -7, *AR5-0% ; 5594
+ STL B, -7, *AR5+% ; 5595
+ STL B, -7, *AR5+0% ; 5596
+ STL B, -7, *AR5(0454Ch) ; 5597
+ STL B, -7, *+AR5(0454Dh) ; 5598
+ STL B, -7, *+AR5(0454Eh)% ; 5599
+ STL B, -7, *(0454Fh) ; 5600
+ STL B, -7, *AR6 ; 5601
+ STL B, -7, *AR6- ; 5602
+ STL B, -7, *AR6+ ; 5603
+ STL B, -7, *+AR6 ; 5604
+ STL B, -7, *AR6-0B ; 5605
+ STL B, -7, *AR6-0 ; 5606
+ STL B, -7, *AR6+0 ; 5607
+ STL B, -7, *AR6+0B ; 5608
+ STL B, -7, *AR6-% ; 5609
+ STL B, -7, *AR6-0% ; 5610
+ STL B, -7, *AR6+% ; 5611
+ STL B, -7, *AR6+0% ; 5612
+ STL B, -7, *AR6(04550h) ; 5613
+ STL B, -7, *+AR6(04551h) ; 5614
+ STL B, -7, *+AR6(04552h)% ; 5615
+ STL B, -7, *(04553h) ; 5616
+ STL B, -7, *AR7 ; 5617
+ STL B, -7, *AR7- ; 5618
+ STL B, -7, *AR7+ ; 5619
+ STL B, -7, *+AR7 ; 5620
+ STL B, -7, *AR7-0B ; 5621
+ STL B, -7, *AR7-0 ; 5622
+ STL B, -7, *AR7+0 ; 5623
+ STL B, -7, *AR7+0B ; 5624
+ STL B, -7, *AR7-% ; 5625
+ STL B, -7, *AR7-0% ; 5626
+ STL B, -7, *AR7+% ; 5627
+ STL B, -7, *AR7+0% ; 5628
+ STL B, -7, *AR7(04554h) ; 5629
+ STL B, -7, *+AR7(04555h) ; 5630
+ STL B, -7, *+AR7(04556h)% ; 5631
+ STL B, -7, *(04557h) ; 5632
+ STL B, -6, *AR0 ; 5633
+ STL B, -6, *AR0- ; 5634
+ STL B, -6, *AR0+ ; 5635
+ STL B, -6, *+AR0 ; 5636
+ STL B, -6, *AR0-0B ; 5637
+ STL B, -6, *AR0-0 ; 5638
+ STL B, -6, *AR0+0 ; 5639
+ STL B, -6, *AR0+0B ; 5640
+ STL B, -6, *AR0-% ; 5641
+ STL B, -6, *AR0-0% ; 5642
+ STL B, -6, *AR0+% ; 5643
+ STL B, -6, *AR0+0% ; 5644
+ STL B, -6, *AR0(04558h) ; 5645
+ STL B, -6, *+AR0(04559h) ; 5646
+ STL B, -6, *+AR0(0455Ah)% ; 5647
+ STL B, -6, *(0455Bh) ; 5648
+ STL B, -6, *AR1 ; 5649
+ STL B, -6, *AR1- ; 5650
+ STL B, -6, *AR1+ ; 5651
+ STL B, -6, *+AR1 ; 5652
+ STL B, -6, *AR1-0B ; 5653
+ STL B, -6, *AR1-0 ; 5654
+ STL B, -6, *AR1+0 ; 5655
+ STL B, -6, *AR1+0B ; 5656
+ STL B, -6, *AR1-% ; 5657
+ STL B, -6, *AR1-0% ; 5658
+ STL B, -6, *AR1+% ; 5659
+ STL B, -6, *AR1+0% ; 5660
+ STL B, -6, *AR1(0455Ch) ; 5661
+ STL B, -6, *+AR1(0455Dh) ; 5662
+ STL B, -6, *+AR1(0455Eh)% ; 5663
+ STL B, -6, *(0455Fh) ; 5664
+ STL B, -6, *AR2 ; 5665
+ STL B, -6, *AR2- ; 5666
+ STL B, -6, *AR2+ ; 5667
+ STL B, -6, *+AR2 ; 5668
+ STL B, -6, *AR2-0B ; 5669
+ STL B, -6, *AR2-0 ; 5670
+ STL B, -6, *AR2+0 ; 5671
+ STL B, -6, *AR2+0B ; 5672
+ STL B, -6, *AR2-% ; 5673
+ STL B, -6, *AR2-0% ; 5674
+ STL B, -6, *AR2+% ; 5675
+ STL B, -6, *AR2+0% ; 5676
+ STL B, -6, *AR2(04560h) ; 5677
+ STL B, -6, *+AR2(04561h) ; 5678
+ STL B, -6, *+AR2(04562h)% ; 5679
+ STL B, -6, *(04563h) ; 5680
+ STL B, -6, *AR3 ; 5681
+ STL B, -6, *AR3- ; 5682
+ STL B, -6, *AR3+ ; 5683
+ STL B, -6, *+AR3 ; 5684
+ STL B, -6, *AR3-0B ; 5685
+ STL B, -6, *AR3-0 ; 5686
+ STL B, -6, *AR3+0 ; 5687
+ STL B, -6, *AR3+0B ; 5688
+ STL B, -6, *AR3-% ; 5689
+ STL B, -6, *AR3-0% ; 5690
+ STL B, -6, *AR3+% ; 5691
+ STL B, -6, *AR3+0% ; 5692
+ STL B, -6, *AR3(04564h) ; 5693
+ STL B, -6, *+AR3(04565h) ; 5694
+ STL B, -6, *+AR3(04566h)% ; 5695
+ STL B, -6, *(04567h) ; 5696
+ STL B, -6, *AR4 ; 5697
+ STL B, -6, *AR4- ; 5698
+ STL B, -6, *AR4+ ; 5699
+ STL B, -6, *+AR4 ; 5700
+ STL B, -6, *AR4-0B ; 5701
+ STL B, -6, *AR4-0 ; 5702
+ STL B, -6, *AR4+0 ; 5703
+ STL B, -6, *AR4+0B ; 5704
+ STL B, -6, *AR4-% ; 5705
+ STL B, -6, *AR4-0% ; 5706
+ STL B, -6, *AR4+% ; 5707
+ STL B, -6, *AR4+0% ; 5708
+ STL B, -6, *AR4(04568h) ; 5709
+ STL B, -6, *+AR4(04569h) ; 5710
+ STL B, -6, *+AR4(0456Ah)% ; 5711
+ STL B, -6, *(0456Bh) ; 5712
+ STL B, -6, *AR5 ; 5713
+ STL B, -6, *AR5- ; 5714
+ STL B, -6, *AR5+ ; 5715
+ STL B, -6, *+AR5 ; 5716
+ STL B, -6, *AR5-0B ; 5717
+ STL B, -6, *AR5-0 ; 5718
+ STL B, -6, *AR5+0 ; 5719
+ STL B, -6, *AR5+0B ; 5720
+ STL B, -6, *AR5-% ; 5721
+ STL B, -6, *AR5-0% ; 5722
+ STL B, -6, *AR5+% ; 5723
+ STL B, -6, *AR5+0% ; 5724
+ STL B, -6, *AR5(0456Ch) ; 5725
+ STL B, -6, *+AR5(0456Dh) ; 5726
+ STL B, -6, *+AR5(0456Eh)% ; 5727
+ STL B, -6, *(0456Fh) ; 5728
+ STL B, -6, *AR6 ; 5729
+ STL B, -6, *AR6- ; 5730
+ STL B, -6, *AR6+ ; 5731
+ STL B, -6, *+AR6 ; 5732
+ STL B, -6, *AR6-0B ; 5733
+ STL B, -6, *AR6-0 ; 5734
+ STL B, -6, *AR6+0 ; 5735
+ STL B, -6, *AR6+0B ; 5736
+ STL B, -6, *AR6-% ; 5737
+ STL B, -6, *AR6-0% ; 5738
+ STL B, -6, *AR6+% ; 5739
+ STL B, -6, *AR6+0% ; 5740
+ STL B, -6, *AR6(04570h) ; 5741
+ STL B, -6, *+AR6(04571h) ; 5742
+ STL B, -6, *+AR6(04572h)% ; 5743
+ STL B, -6, *(04573h) ; 5744
+ STL B, -6, *AR7 ; 5745
+ STL B, -6, *AR7- ; 5746
+ STL B, -6, *AR7+ ; 5747
+ STL B, -6, *+AR7 ; 5748
+ STL B, -6, *AR7-0B ; 5749
+ STL B, -6, *AR7-0 ; 5750
+ STL B, -6, *AR7+0 ; 5751
+ STL B, -6, *AR7+0B ; 5752
+ STL B, -6, *AR7-% ; 5753
+ STL B, -6, *AR7-0% ; 5754
+ STL B, -6, *AR7+% ; 5755
+ STL B, -6, *AR7+0% ; 5756
+ STL B, -6, *AR7(04574h) ; 5757
+ STL B, -6, *+AR7(04575h) ; 5758
+ STL B, -6, *+AR7(04576h)% ; 5759
+ STL B, -6, *(04577h) ; 5760
+ STL B, -5, *AR0 ; 5761
+ STL B, -5, *AR0- ; 5762
+ STL B, -5, *AR0+ ; 5763
+ STL B, -5, *+AR0 ; 5764
+ STL B, -5, *AR0-0B ; 5765
+ STL B, -5, *AR0-0 ; 5766
+ STL B, -5, *AR0+0 ; 5767
+ STL B, -5, *AR0+0B ; 5768
+ STL B, -5, *AR0-% ; 5769
+ STL B, -5, *AR0-0% ; 5770
+ STL B, -5, *AR0+% ; 5771
+ STL B, -5, *AR0+0% ; 5772
+ STL B, -5, *AR0(04578h) ; 5773
+ STL B, -5, *+AR0(04579h) ; 5774
+ STL B, -5, *+AR0(0457Ah)% ; 5775
+ STL B, -5, *(0457Bh) ; 5776
+ STL B, -5, *AR1 ; 5777
+ STL B, -5, *AR1- ; 5778
+ STL B, -5, *AR1+ ; 5779
+ STL B, -5, *+AR1 ; 5780
+ STL B, -5, *AR1-0B ; 5781
+ STL B, -5, *AR1-0 ; 5782
+ STL B, -5, *AR1+0 ; 5783
+ STL B, -5, *AR1+0B ; 5784
+ STL B, -5, *AR1-% ; 5785
+ STL B, -5, *AR1-0% ; 5786
+ STL B, -5, *AR1+% ; 5787
+ STL B, -5, *AR1+0% ; 5788
+ STL B, -5, *AR1(0457Ch) ; 5789
+ STL B, -5, *+AR1(0457Dh) ; 5790
+ STL B, -5, *+AR1(0457Eh)% ; 5791
+ STL B, -5, *(0457Fh) ; 5792
+ STL B, -5, *AR2 ; 5793
+ STL B, -5, *AR2- ; 5794
+ STL B, -5, *AR2+ ; 5795
+ STL B, -5, *+AR2 ; 5796
+ STL B, -5, *AR2-0B ; 5797
+ STL B, -5, *AR2-0 ; 5798
+ STL B, -5, *AR2+0 ; 5799
+ STL B, -5, *AR2+0B ; 5800
+ STL B, -5, *AR2-% ; 5801
+ STL B, -5, *AR2-0% ; 5802
+ STL B, -5, *AR2+% ; 5803
+ STL B, -5, *AR2+0% ; 5804
+ STL B, -5, *AR2(04580h) ; 5805
+ STL B, -5, *+AR2(04581h) ; 5806
+ STL B, -5, *+AR2(04582h)% ; 5807
+ STL B, -5, *(04583h) ; 5808
+ STL B, -5, *AR3 ; 5809
+ STL B, -5, *AR3- ; 5810
+ STL B, -5, *AR3+ ; 5811
+ STL B, -5, *+AR3 ; 5812
+ STL B, -5, *AR3-0B ; 5813
+ STL B, -5, *AR3-0 ; 5814
+ STL B, -5, *AR3+0 ; 5815
+ STL B, -5, *AR3+0B ; 5816
+ STL B, -5, *AR3-% ; 5817
+ STL B, -5, *AR3-0% ; 5818
+ STL B, -5, *AR3+% ; 5819
+ STL B, -5, *AR3+0% ; 5820
+ STL B, -5, *AR3(04584h) ; 5821
+ STL B, -5, *+AR3(04585h) ; 5822
+ STL B, -5, *+AR3(04586h)% ; 5823
+ STL B, -5, *(04587h) ; 5824
+ STL B, -5, *AR4 ; 5825
+ STL B, -5, *AR4- ; 5826
+ STL B, -5, *AR4+ ; 5827
+ STL B, -5, *+AR4 ; 5828
+ STL B, -5, *AR4-0B ; 5829
+ STL B, -5, *AR4-0 ; 5830
+ STL B, -5, *AR4+0 ; 5831
+ STL B, -5, *AR4+0B ; 5832
+ STL B, -5, *AR4-% ; 5833
+ STL B, -5, *AR4-0% ; 5834
+ STL B, -5, *AR4+% ; 5835
+ STL B, -5, *AR4+0% ; 5836
+ STL B, -5, *AR4(04588h) ; 5837
+ STL B, -5, *+AR4(04589h) ; 5838
+ STL B, -5, *+AR4(0458Ah)% ; 5839
+ STL B, -5, *(0458Bh) ; 5840
+ STL B, -5, *AR5 ; 5841
+ STL B, -5, *AR5- ; 5842
+ STL B, -5, *AR5+ ; 5843
+ STL B, -5, *+AR5 ; 5844
+ STL B, -5, *AR5-0B ; 5845
+ STL B, -5, *AR5-0 ; 5846
+ STL B, -5, *AR5+0 ; 5847
+ STL B, -5, *AR5+0B ; 5848
+ STL B, -5, *AR5-% ; 5849
+ STL B, -5, *AR5-0% ; 5850
+ STL B, -5, *AR5+% ; 5851
+ STL B, -5, *AR5+0% ; 5852
+ STL B, -5, *AR5(0458Ch) ; 5853
+ STL B, -5, *+AR5(0458Dh) ; 5854
+ STL B, -5, *+AR5(0458Eh)% ; 5855
+ STL B, -5, *(0458Fh) ; 5856
+ STL B, -5, *AR6 ; 5857
+ STL B, -5, *AR6- ; 5858
+ STL B, -5, *AR6+ ; 5859
+ STL B, -5, *+AR6 ; 5860
+ STL B, -5, *AR6-0B ; 5861
+ STL B, -5, *AR6-0 ; 5862
+ STL B, -5, *AR6+0 ; 5863
+ STL B, -5, *AR6+0B ; 5864
+ STL B, -5, *AR6-% ; 5865
+ STL B, -5, *AR6-0% ; 5866
+ STL B, -5, *AR6+% ; 5867
+ STL B, -5, *AR6+0% ; 5868
+ STL B, -5, *AR6(04590h) ; 5869
+ STL B, -5, *+AR6(04591h) ; 5870
+ STL B, -5, *+AR6(04592h)% ; 5871
+ STL B, -5, *(04593h) ; 5872
+ STL B, -5, *AR7 ; 5873
+ STL B, -5, *AR7- ; 5874
+ STL B, -5, *AR7+ ; 5875
+ STL B, -5, *+AR7 ; 5876
+ STL B, -5, *AR7-0B ; 5877
+ STL B, -5, *AR7-0 ; 5878
+ STL B, -5, *AR7+0 ; 5879
+ STL B, -5, *AR7+0B ; 5880
+ STL B, -5, *AR7-% ; 5881
+ STL B, -5, *AR7-0% ; 5882
+ STL B, -5, *AR7+% ; 5883
+ STL B, -5, *AR7+0% ; 5884
+ STL B, -5, *AR7(04594h) ; 5885
+ STL B, -5, *+AR7(04595h) ; 5886
+ STL B, -5, *+AR7(04596h)% ; 5887
+ STL B, -5, *(04597h) ; 5888
+ STL B, -4, *AR0 ; 5889
+ STL B, -4, *AR0- ; 5890
+ STL B, -4, *AR0+ ; 5891
+ STL B, -4, *+AR0 ; 5892
+ STL B, -4, *AR0-0B ; 5893
+ STL B, -4, *AR0-0 ; 5894
+ STL B, -4, *AR0+0 ; 5895
+ STL B, -4, *AR0+0B ; 5896
+ STL B, -4, *AR0-% ; 5897
+ STL B, -4, *AR0-0% ; 5898
+ STL B, -4, *AR0+% ; 5899
+ STL B, -4, *AR0+0% ; 5900
+ STL B, -4, *AR0(04598h) ; 5901
+ STL B, -4, *+AR0(04599h) ; 5902
+ STL B, -4, *+AR0(0459Ah)% ; 5903
+ STL B, -4, *(0459Bh) ; 5904
+ STL B, -4, *AR1 ; 5905
+ STL B, -4, *AR1- ; 5906
+ STL B, -4, *AR1+ ; 5907
+ STL B, -4, *+AR1 ; 5908
+ STL B, -4, *AR1-0B ; 5909
+ STL B, -4, *AR1-0 ; 5910
+ STL B, -4, *AR1+0 ; 5911
+ STL B, -4, *AR1+0B ; 5912
+ STL B, -4, *AR1-% ; 5913
+ STL B, -4, *AR1-0% ; 5914
+ STL B, -4, *AR1+% ; 5915
+ STL B, -4, *AR1+0% ; 5916
+ STL B, -4, *AR1(0459Ch) ; 5917
+ STL B, -4, *+AR1(0459Dh) ; 5918
+ STL B, -4, *+AR1(0459Eh)% ; 5919
+ STL B, -4, *(0459Fh) ; 5920
+ STL B, -4, *AR2 ; 5921
+ STL B, -4, *AR2- ; 5922
+ STL B, -4, *AR2+ ; 5923
+ STL B, -4, *+AR2 ; 5924
+ STL B, -4, *AR2-0B ; 5925
+ STL B, -4, *AR2-0 ; 5926
+ STL B, -4, *AR2+0 ; 5927
+ STL B, -4, *AR2+0B ; 5928
+ STL B, -4, *AR2-% ; 5929
+ STL B, -4, *AR2-0% ; 5930
+ STL B, -4, *AR2+% ; 5931
+ STL B, -4, *AR2+0% ; 5932
+ STL B, -4, *AR2(045A0h) ; 5933
+ STL B, -4, *+AR2(045A1h) ; 5934
+ STL B, -4, *+AR2(045A2h)% ; 5935
+ STL B, -4, *(045A3h) ; 5936
+ STL B, -4, *AR3 ; 5937
+ STL B, -4, *AR3- ; 5938
+ STL B, -4, *AR3+ ; 5939
+ STL B, -4, *+AR3 ; 5940
+ STL B, -4, *AR3-0B ; 5941
+ STL B, -4, *AR3-0 ; 5942
+ STL B, -4, *AR3+0 ; 5943
+ STL B, -4, *AR3+0B ; 5944
+ STL B, -4, *AR3-% ; 5945
+ STL B, -4, *AR3-0% ; 5946
+ STL B, -4, *AR3+% ; 5947
+ STL B, -4, *AR3+0% ; 5948
+ STL B, -4, *AR3(045A4h) ; 5949
+ STL B, -4, *+AR3(045A5h) ; 5950
+ STL B, -4, *+AR3(045A6h)% ; 5951
+ STL B, -4, *(045A7h) ; 5952
+ STL B, -4, *AR4 ; 5953
+ STL B, -4, *AR4- ; 5954
+ STL B, -4, *AR4+ ; 5955
+ STL B, -4, *+AR4 ; 5956
+ STL B, -4, *AR4-0B ; 5957
+ STL B, -4, *AR4-0 ; 5958
+ STL B, -4, *AR4+0 ; 5959
+ STL B, -4, *AR4+0B ; 5960
+ STL B, -4, *AR4-% ; 5961
+ STL B, -4, *AR4-0% ; 5962
+ STL B, -4, *AR4+% ; 5963
+ STL B, -4, *AR4+0% ; 5964
+ STL B, -4, *AR4(045A8h) ; 5965
+ STL B, -4, *+AR4(045A9h) ; 5966
+ STL B, -4, *+AR4(045AAh)% ; 5967
+ STL B, -4, *(045ABh) ; 5968
+ STL B, -4, *AR5 ; 5969
+ STL B, -4, *AR5- ; 5970
+ STL B, -4, *AR5+ ; 5971
+ STL B, -4, *+AR5 ; 5972
+ STL B, -4, *AR5-0B ; 5973
+ STL B, -4, *AR5-0 ; 5974
+ STL B, -4, *AR5+0 ; 5975
+ STL B, -4, *AR5+0B ; 5976
+ STL B, -4, *AR5-% ; 5977
+ STL B, -4, *AR5-0% ; 5978
+ STL B, -4, *AR5+% ; 5979
+ STL B, -4, *AR5+0% ; 5980
+ STL B, -4, *AR5(045ACh) ; 5981
+ STL B, -4, *+AR5(045ADh) ; 5982
+ STL B, -4, *+AR5(045AEh)% ; 5983
+ STL B, -4, *(045AFh) ; 5984
+ STL B, -4, *AR6 ; 5985
+ STL B, -4, *AR6- ; 5986
+ STL B, -4, *AR6+ ; 5987
+ STL B, -4, *+AR6 ; 5988
+ STL B, -4, *AR6-0B ; 5989
+ STL B, -4, *AR6-0 ; 5990
+ STL B, -4, *AR6+0 ; 5991
+ STL B, -4, *AR6+0B ; 5992
+ STL B, -4, *AR6-% ; 5993
+ STL B, -4, *AR6-0% ; 5994
+ STL B, -4, *AR6+% ; 5995
+ STL B, -4, *AR6+0% ; 5996
+ STL B, -4, *AR6(045B0h) ; 5997
+ STL B, -4, *+AR6(045B1h) ; 5998
+ STL B, -4, *+AR6(045B2h)% ; 5999
+ STL B, -4, *(045B3h) ; 6000
+ STL B, -4, *AR7 ; 6001
+ STL B, -4, *AR7- ; 6002
+ STL B, -4, *AR7+ ; 6003
+ STL B, -4, *+AR7 ; 6004
+ STL B, -4, *AR7-0B ; 6005
+ STL B, -4, *AR7-0 ; 6006
+ STL B, -4, *AR7+0 ; 6007
+ STL B, -4, *AR7+0B ; 6008
+ STL B, -4, *AR7-% ; 6009
+ STL B, -4, *AR7-0% ; 6010
+ STL B, -4, *AR7+% ; 6011
+ STL B, -4, *AR7+0% ; 6012
+ STL B, -4, *AR7(045B4h) ; 6013
+ STL B, -4, *+AR7(045B5h) ; 6014
+ STL B, -4, *+AR7(045B6h)% ; 6015
+ STL B, -4, *(045B7h) ; 6016
+ STL B, -3, *AR0 ; 6017
+ STL B, -3, *AR0- ; 6018
+ STL B, -3, *AR0+ ; 6019
+ STL B, -3, *+AR0 ; 6020
+ STL B, -3, *AR0-0B ; 6021
+ STL B, -3, *AR0-0 ; 6022
+ STL B, -3, *AR0+0 ; 6023
+ STL B, -3, *AR0+0B ; 6024
+ STL B, -3, *AR0-% ; 6025
+ STL B, -3, *AR0-0% ; 6026
+ STL B, -3, *AR0+% ; 6027
+ STL B, -3, *AR0+0% ; 6028
+ STL B, -3, *AR0(045B8h) ; 6029
+ STL B, -3, *+AR0(045B9h) ; 6030
+ STL B, -3, *+AR0(045BAh)% ; 6031
+ STL B, -3, *(045BBh) ; 6032
+ STL B, -3, *AR1 ; 6033
+ STL B, -3, *AR1- ; 6034
+ STL B, -3, *AR1+ ; 6035
+ STL B, -3, *+AR1 ; 6036
+ STL B, -3, *AR1-0B ; 6037
+ STL B, -3, *AR1-0 ; 6038
+ STL B, -3, *AR1+0 ; 6039
+ STL B, -3, *AR1+0B ; 6040
+ STL B, -3, *AR1-% ; 6041
+ STL B, -3, *AR1-0% ; 6042
+ STL B, -3, *AR1+% ; 6043
+ STL B, -3, *AR1+0% ; 6044
+ STL B, -3, *AR1(045BCh) ; 6045
+ STL B, -3, *+AR1(045BDh) ; 6046
+ STL B, -3, *+AR1(045BEh)% ; 6047
+ STL B, -3, *(045BFh) ; 6048
+ STL B, -3, *AR2 ; 6049
+ STL B, -3, *AR2- ; 6050
+ STL B, -3, *AR2+ ; 6051
+ STL B, -3, *+AR2 ; 6052
+ STL B, -3, *AR2-0B ; 6053
+ STL B, -3, *AR2-0 ; 6054
+ STL B, -3, *AR2+0 ; 6055
+ STL B, -3, *AR2+0B ; 6056
+ STL B, -3, *AR2-% ; 6057
+ STL B, -3, *AR2-0% ; 6058
+ STL B, -3, *AR2+% ; 6059
+ STL B, -3, *AR2+0% ; 6060
+ STL B, -3, *AR2(045C0h) ; 6061
+ STL B, -3, *+AR2(045C1h) ; 6062
+ STL B, -3, *+AR2(045C2h)% ; 6063
+ STL B, -3, *(045C3h) ; 6064
+ STL B, -3, *AR3 ; 6065
+ STL B, -3, *AR3- ; 6066
+ STL B, -3, *AR3+ ; 6067
+ STL B, -3, *+AR3 ; 6068
+ STL B, -3, *AR3-0B ; 6069
+ STL B, -3, *AR3-0 ; 6070
+ STL B, -3, *AR3+0 ; 6071
+ STL B, -3, *AR3+0B ; 6072
+ STL B, -3, *AR3-% ; 6073
+ STL B, -3, *AR3-0% ; 6074
+ STL B, -3, *AR3+% ; 6075
+ STL B, -3, *AR3+0% ; 6076
+ STL B, -3, *AR3(045C4h) ; 6077
+ STL B, -3, *+AR3(045C5h) ; 6078
+ STL B, -3, *+AR3(045C6h)% ; 6079
+ STL B, -3, *(045C7h) ; 6080
+ STL B, -3, *AR4 ; 6081
+ STL B, -3, *AR4- ; 6082
+ STL B, -3, *AR4+ ; 6083
+ STL B, -3, *+AR4 ; 6084
+ STL B, -3, *AR4-0B ; 6085
+ STL B, -3, *AR4-0 ; 6086
+ STL B, -3, *AR4+0 ; 6087
+ STL B, -3, *AR4+0B ; 6088
+ STL B, -3, *AR4-% ; 6089
+ STL B, -3, *AR4-0% ; 6090
+ STL B, -3, *AR4+% ; 6091
+ STL B, -3, *AR4+0% ; 6092
+ STL B, -3, *AR4(045C8h) ; 6093
+ STL B, -3, *+AR4(045C9h) ; 6094
+ STL B, -3, *+AR4(045CAh)% ; 6095
+ STL B, -3, *(045CBh) ; 6096
+ STL B, -3, *AR5 ; 6097
+ STL B, -3, *AR5- ; 6098
+ STL B, -3, *AR5+ ; 6099
+ STL B, -3, *+AR5 ; 6100
+ STL B, -3, *AR5-0B ; 6101
+ STL B, -3, *AR5-0 ; 6102
+ STL B, -3, *AR5+0 ; 6103
+ STL B, -3, *AR5+0B ; 6104
+ STL B, -3, *AR5-% ; 6105
+ STL B, -3, *AR5-0% ; 6106
+ STL B, -3, *AR5+% ; 6107
+ STL B, -3, *AR5+0% ; 6108
+ STL B, -3, *AR5(045CCh) ; 6109
+ STL B, -3, *+AR5(045CDh) ; 6110
+ STL B, -3, *+AR5(045CEh)% ; 6111
+ STL B, -3, *(045CFh) ; 6112
+ STL B, -3, *AR6 ; 6113
+ STL B, -3, *AR6- ; 6114
+ STL B, -3, *AR6+ ; 6115
+ STL B, -3, *+AR6 ; 6116
+ STL B, -3, *AR6-0B ; 6117
+ STL B, -3, *AR6-0 ; 6118
+ STL B, -3, *AR6+0 ; 6119
+ STL B, -3, *AR6+0B ; 6120
+ STL B, -3, *AR6-% ; 6121
+ STL B, -3, *AR6-0% ; 6122
+ STL B, -3, *AR6+% ; 6123
+ STL B, -3, *AR6+0% ; 6124
+ STL B, -3, *AR6(045D0h) ; 6125
+ STL B, -3, *+AR6(045D1h) ; 6126
+ STL B, -3, *+AR6(045D2h)% ; 6127
+ STL B, -3, *(045D3h) ; 6128
+ STL B, -3, *AR7 ; 6129
+ STL B, -3, *AR7- ; 6130
+ STL B, -3, *AR7+ ; 6131
+ STL B, -3, *+AR7 ; 6132
+ STL B, -3, *AR7-0B ; 6133
+ STL B, -3, *AR7-0 ; 6134
+ STL B, -3, *AR7+0 ; 6135
+ STL B, -3, *AR7+0B ; 6136
+ STL B, -3, *AR7-% ; 6137
+ STL B, -3, *AR7-0% ; 6138
+ STL B, -3, *AR7+% ; 6139
+ STL B, -3, *AR7+0% ; 6140
+ STL B, -3, *AR7(045D4h) ; 6141
+ STL B, -3, *+AR7(045D5h) ; 6142
+ STL B, -3, *+AR7(045D6h)% ; 6143
+ STL B, -3, *(045D7h) ; 6144
+ STL B, -2, *AR0 ; 6145
+ STL B, -2, *AR0- ; 6146
+ STL B, -2, *AR0+ ; 6147
+ STL B, -2, *+AR0 ; 6148
+ STL B, -2, *AR0-0B ; 6149
+ STL B, -2, *AR0-0 ; 6150
+ STL B, -2, *AR0+0 ; 6151
+ STL B, -2, *AR0+0B ; 6152
+ STL B, -2, *AR0-% ; 6153
+ STL B, -2, *AR0-0% ; 6154
+ STL B, -2, *AR0+% ; 6155
+ STL B, -2, *AR0+0% ; 6156
+ STL B, -2, *AR0(045D8h) ; 6157
+ STL B, -2, *+AR0(045D9h) ; 6158
+ STL B, -2, *+AR0(045DAh)% ; 6159
+ STL B, -2, *(045DBh) ; 6160
+ STL B, -2, *AR1 ; 6161
+ STL B, -2, *AR1- ; 6162
+ STL B, -2, *AR1+ ; 6163
+ STL B, -2, *+AR1 ; 6164
+ STL B, -2, *AR1-0B ; 6165
+ STL B, -2, *AR1-0 ; 6166
+ STL B, -2, *AR1+0 ; 6167
+ STL B, -2, *AR1+0B ; 6168
+ STL B, -2, *AR1-% ; 6169
+ STL B, -2, *AR1-0% ; 6170
+ STL B, -2, *AR1+% ; 6171
+ STL B, -2, *AR1+0% ; 6172
+ STL B, -2, *AR1(045DCh) ; 6173
+ STL B, -2, *+AR1(045DDh) ; 6174
+ STL B, -2, *+AR1(045DEh)% ; 6175
+ STL B, -2, *(045DFh) ; 6176
+ STL B, -2, *AR2 ; 6177
+ STL B, -2, *AR2- ; 6178
+ STL B, -2, *AR2+ ; 6179
+ STL B, -2, *+AR2 ; 6180
+ STL B, -2, *AR2-0B ; 6181
+ STL B, -2, *AR2-0 ; 6182
+ STL B, -2, *AR2+0 ; 6183
+ STL B, -2, *AR2+0B ; 6184
+ STL B, -2, *AR2-% ; 6185
+ STL B, -2, *AR2-0% ; 6186
+ STL B, -2, *AR2+% ; 6187
+ STL B, -2, *AR2+0% ; 6188
+ STL B, -2, *AR2(045E0h) ; 6189
+ STL B, -2, *+AR2(045E1h) ; 6190
+ STL B, -2, *+AR2(045E2h)% ; 6191
+ STL B, -2, *(045E3h) ; 6192
+ STL B, -2, *AR3 ; 6193
+ STL B, -2, *AR3- ; 6194
+ STL B, -2, *AR3+ ; 6195
+ STL B, -2, *+AR3 ; 6196
+ STL B, -2, *AR3-0B ; 6197
+ STL B, -2, *AR3-0 ; 6198
+ STL B, -2, *AR3+0 ; 6199
+ STL B, -2, *AR3+0B ; 6200
+ STL B, -2, *AR3-% ; 6201
+ STL B, -2, *AR3-0% ; 6202
+ STL B, -2, *AR3+% ; 6203
+ STL B, -2, *AR3+0% ; 6204
+ STL B, -2, *AR3(045E4h) ; 6205
+ STL B, -2, *+AR3(045E5h) ; 6206
+ STL B, -2, *+AR3(045E6h)% ; 6207
+ STL B, -2, *(045E7h) ; 6208
+ STL B, -2, *AR4 ; 6209
+ STL B, -2, *AR4- ; 6210
+ STL B, -2, *AR4+ ; 6211
+ STL B, -2, *+AR4 ; 6212
+ STL B, -2, *AR4-0B ; 6213
+ STL B, -2, *AR4-0 ; 6214
+ STL B, -2, *AR4+0 ; 6215
+ STL B, -2, *AR4+0B ; 6216
+ STL B, -2, *AR4-% ; 6217
+ STL B, -2, *AR4-0% ; 6218
+ STL B, -2, *AR4+% ; 6219
+ STL B, -2, *AR4+0% ; 6220
+ STL B, -2, *AR4(045E8h) ; 6221
+ STL B, -2, *+AR4(045E9h) ; 6222
+ STL B, -2, *+AR4(045EAh)% ; 6223
+ STL B, -2, *(045EBh) ; 6224
+ STL B, -2, *AR5 ; 6225
+ STL B, -2, *AR5- ; 6226
+ STL B, -2, *AR5+ ; 6227
+ STL B, -2, *+AR5 ; 6228
+ STL B, -2, *AR5-0B ; 6229
+ STL B, -2, *AR5-0 ; 6230
+ STL B, -2, *AR5+0 ; 6231
+ STL B, -2, *AR5+0B ; 6232
+ STL B, -2, *AR5-% ; 6233
+ STL B, -2, *AR5-0% ; 6234
+ STL B, -2, *AR5+% ; 6235
+ STL B, -2, *AR5+0% ; 6236
+ STL B, -2, *AR5(045ECh) ; 6237
+ STL B, -2, *+AR5(045EDh) ; 6238
+ STL B, -2, *+AR5(045EEh)% ; 6239
+ STL B, -2, *(045EFh) ; 6240
+ STL B, -2, *AR6 ; 6241
+ STL B, -2, *AR6- ; 6242
+ STL B, -2, *AR6+ ; 6243
+ STL B, -2, *+AR6 ; 6244
+ STL B, -2, *AR6-0B ; 6245
+ STL B, -2, *AR6-0 ; 6246
+ STL B, -2, *AR6+0 ; 6247
+ STL B, -2, *AR6+0B ; 6248
+ STL B, -2, *AR6-% ; 6249
+ STL B, -2, *AR6-0% ; 6250
+ STL B, -2, *AR6+% ; 6251
+ STL B, -2, *AR6+0% ; 6252
+ STL B, -2, *AR6(045F0h) ; 6253
+ STL B, -2, *+AR6(045F1h) ; 6254
+ STL B, -2, *+AR6(045F2h)% ; 6255
+ STL B, -2, *(045F3h) ; 6256
+ STL B, -2, *AR7 ; 6257
+ STL B, -2, *AR7- ; 6258
+ STL B, -2, *AR7+ ; 6259
+ STL B, -2, *+AR7 ; 6260
+ STL B, -2, *AR7-0B ; 6261
+ STL B, -2, *AR7-0 ; 6262
+ STL B, -2, *AR7+0 ; 6263
+ STL B, -2, *AR7+0B ; 6264
+ STL B, -2, *AR7-% ; 6265
+ STL B, -2, *AR7-0% ; 6266
+ STL B, -2, *AR7+% ; 6267
+ STL B, -2, *AR7+0% ; 6268
+ STL B, -2, *AR7(045F4h) ; 6269
+ STL B, -2, *+AR7(045F5h) ; 6270
+ STL B, -2, *+AR7(045F6h)% ; 6271
+ STL B, -2, *(045F7h) ; 6272
+ STL B, -1, *AR0 ; 6273
+ STL B, -1, *AR0- ; 6274
+ STL B, -1, *AR0+ ; 6275
+ STL B, -1, *+AR0 ; 6276
+ STL B, -1, *AR0-0B ; 6277
+ STL B, -1, *AR0-0 ; 6278
+ STL B, -1, *AR0+0 ; 6279
+ STL B, -1, *AR0+0B ; 6280
+ STL B, -1, *AR0-% ; 6281
+ STL B, -1, *AR0-0% ; 6282
+ STL B, -1, *AR0+% ; 6283
+ STL B, -1, *AR0+0% ; 6284
+ STL B, -1, *AR0(045F8h) ; 6285
+ STL B, -1, *+AR0(045F9h) ; 6286
+ STL B, -1, *+AR0(045FAh)% ; 6287
+ STL B, -1, *(045FBh) ; 6288
+ STL B, -1, *AR1 ; 6289
+ STL B, -1, *AR1- ; 6290
+ STL B, -1, *AR1+ ; 6291
+ STL B, -1, *+AR1 ; 6292
+ STL B, -1, *AR1-0B ; 6293
+ STL B, -1, *AR1-0 ; 6294
+ STL B, -1, *AR1+0 ; 6295
+ STL B, -1, *AR1+0B ; 6296
+ STL B, -1, *AR1-% ; 6297
+ STL B, -1, *AR1-0% ; 6298
+ STL B, -1, *AR1+% ; 6299
+ STL B, -1, *AR1+0% ; 6300
+ STL B, -1, *AR1(045FCh) ; 6301
+ STL B, -1, *+AR1(045FDh) ; 6302
+ STL B, -1, *+AR1(045FEh)% ; 6303
+ STL B, -1, *(045FFh) ; 6304
+ STL B, -1, *AR2 ; 6305
+ STL B, -1, *AR2- ; 6306
+ STL B, -1, *AR2+ ; 6307
+ STL B, -1, *+AR2 ; 6308
+ STL B, -1, *AR2-0B ; 6309
+ STL B, -1, *AR2-0 ; 6310
+ STL B, -1, *AR2+0 ; 6311
+ STL B, -1, *AR2+0B ; 6312
+ STL B, -1, *AR2-% ; 6313
+ STL B, -1, *AR2-0% ; 6314
+ STL B, -1, *AR2+% ; 6315
+ STL B, -1, *AR2+0% ; 6316
+ STL B, -1, *AR2(04600h) ; 6317
+ STL B, -1, *+AR2(04601h) ; 6318
+ STL B, -1, *+AR2(04602h)% ; 6319
+ STL B, -1, *(04603h) ; 6320
+ STL B, -1, *AR3 ; 6321
+ STL B, -1, *AR3- ; 6322
+ STL B, -1, *AR3+ ; 6323
+ STL B, -1, *+AR3 ; 6324
+ STL B, -1, *AR3-0B ; 6325
+ STL B, -1, *AR3-0 ; 6326
+ STL B, -1, *AR3+0 ; 6327
+ STL B, -1, *AR3+0B ; 6328
+ STL B, -1, *AR3-% ; 6329
+ STL B, -1, *AR3-0% ; 6330
+ STL B, -1, *AR3+% ; 6331
+ STL B, -1, *AR3+0% ; 6332
+ STL B, -1, *AR3(04604h) ; 6333
+ STL B, -1, *+AR3(04605h) ; 6334
+ STL B, -1, *+AR3(04606h)% ; 6335
+ STL B, -1, *(04607h) ; 6336
+ STL B, -1, *AR4 ; 6337
+ STL B, -1, *AR4- ; 6338
+ STL B, -1, *AR4+ ; 6339
+ STL B, -1, *+AR4 ; 6340
+ STL B, -1, *AR4-0B ; 6341
+ STL B, -1, *AR4-0 ; 6342
+ STL B, -1, *AR4+0 ; 6343
+ STL B, -1, *AR4+0B ; 6344
+ STL B, -1, *AR4-% ; 6345
+ STL B, -1, *AR4-0% ; 6346
+ STL B, -1, *AR4+% ; 6347
+ STL B, -1, *AR4+0% ; 6348
+ STL B, -1, *AR4(04608h) ; 6349
+ STL B, -1, *+AR4(04609h) ; 6350
+ STL B, -1, *+AR4(0460Ah)% ; 6351
+ STL B, -1, *(0460Bh) ; 6352
+ STL B, -1, *AR5 ; 6353
+ STL B, -1, *AR5- ; 6354
+ STL B, -1, *AR5+ ; 6355
+ STL B, -1, *+AR5 ; 6356
+ STL B, -1, *AR5-0B ; 6357
+ STL B, -1, *AR5-0 ; 6358
+ STL B, -1, *AR5+0 ; 6359
+ STL B, -1, *AR5+0B ; 6360
+ STL B, -1, *AR5-% ; 6361
+ STL B, -1, *AR5-0% ; 6362
+ STL B, -1, *AR5+% ; 6363
+ STL B, -1, *AR5+0% ; 6364
+ STL B, -1, *AR5(0460Ch) ; 6365
+ STL B, -1, *+AR5(0460Dh) ; 6366
+ STL B, -1, *+AR5(0460Eh)% ; 6367
+ STL B, -1, *(0460Fh) ; 6368
+ STL B, -1, *AR6 ; 6369
+ STL B, -1, *AR6- ; 6370
+ STL B, -1, *AR6+ ; 6371
+ STL B, -1, *+AR6 ; 6372
+ STL B, -1, *AR6-0B ; 6373
+ STL B, -1, *AR6-0 ; 6374
+ STL B, -1, *AR6+0 ; 6375
+ STL B, -1, *AR6+0B ; 6376
+ STL B, -1, *AR6-% ; 6377
+ STL B, -1, *AR6-0% ; 6378
+ STL B, -1, *AR6+% ; 6379
+ STL B, -1, *AR6+0% ; 6380
+ STL B, -1, *AR6(04610h) ; 6381
+ STL B, -1, *+AR6(04611h) ; 6382
+ STL B, -1, *+AR6(04612h)% ; 6383
+ STL B, -1, *(04613h) ; 6384
+ STL B, -1, *AR7 ; 6385
+ STL B, -1, *AR7- ; 6386
+ STL B, -1, *AR7+ ; 6387
+ STL B, -1, *+AR7 ; 6388
+ STL B, -1, *AR7-0B ; 6389
+ STL B, -1, *AR7-0 ; 6390
+ STL B, -1, *AR7+0 ; 6391
+ STL B, -1, *AR7+0B ; 6392
+ STL B, -1, *AR7-% ; 6393
+ STL B, -1, *AR7-0% ; 6394
+ STL B, -1, *AR7+% ; 6395
+ STL B, -1, *AR7+0% ; 6396
+ STL B, -1, *AR7(04614h) ; 6397
+ STL B, -1, *+AR7(04615h) ; 6398
+ STL B, -1, *+AR7(04616h)% ; 6399
+ STL B, -1, *(04617h) ; 6400
+ STL B, 0, *AR0 ; 6401
+ STL B, 0, *AR0- ; 6402
+ STL B, 0, *AR0+ ; 6403
+ STL B, 0, *+AR0 ; 6404
+ STL B, 0, *AR0-0B ; 6405
+ STL B, 0, *AR0-0 ; 6406
+ STL B, 0, *AR0+0 ; 6407
+ STL B, 0, *AR0+0B ; 6408
+ STL B, 0, *AR0-% ; 6409
+ STL B, 0, *AR0-0% ; 6410
+ STL B, 0, *AR0+% ; 6411
+ STL B, 0, *AR0+0% ; 6412
+ STL B, 0, *AR0(04618h) ; 6413
+ STL B, 0, *+AR0(04619h) ; 6414
+ STL B, 0, *+AR0(0461Ah)% ; 6415
+ STL B, 0, *(0461Bh) ; 6416
+ STL B, 0, *AR1 ; 6417
+ STL B, 0, *AR1- ; 6418
+ STL B, 0, *AR1+ ; 6419
+ STL B, 0, *+AR1 ; 6420
+ STL B, 0, *AR1-0B ; 6421
+ STL B, 0, *AR1-0 ; 6422
+ STL B, 0, *AR1+0 ; 6423
+ STL B, 0, *AR1+0B ; 6424
+ STL B, 0, *AR1-% ; 6425
+ STL B, 0, *AR1-0% ; 6426
+ STL B, 0, *AR1+% ; 6427
+ STL B, 0, *AR1+0% ; 6428
+ STL B, 0, *AR1(0461Ch) ; 6429
+ STL B, 0, *+AR1(0461Dh) ; 6430
+ STL B, 0, *+AR1(0461Eh)% ; 6431
+ STL B, 0, *(0461Fh) ; 6432
+ STL B, 0, *AR2 ; 6433
+ STL B, 0, *AR2- ; 6434
+ STL B, 0, *AR2+ ; 6435
+ STL B, 0, *+AR2 ; 6436
+ STL B, 0, *AR2-0B ; 6437
+ STL B, 0, *AR2-0 ; 6438
+ STL B, 0, *AR2+0 ; 6439
+ STL B, 0, *AR2+0B ; 6440
+ STL B, 0, *AR2-% ; 6441
+ STL B, 0, *AR2-0% ; 6442
+ STL B, 0, *AR2+% ; 6443
+ STL B, 0, *AR2+0% ; 6444
+ STL B, 0, *AR2(04620h) ; 6445
+ STL B, 0, *+AR2(04621h) ; 6446
+ STL B, 0, *+AR2(04622h)% ; 6447
+ STL B, 0, *(04623h) ; 6448
+ STL B, 0, *AR3 ; 6449
+ STL B, 0, *AR3- ; 6450
+ STL B, 0, *AR3+ ; 6451
+ STL B, 0, *+AR3 ; 6452
+ STL B, 0, *AR3-0B ; 6453
+ STL B, 0, *AR3-0 ; 6454
+ STL B, 0, *AR3+0 ; 6455
+ STL B, 0, *AR3+0B ; 6456
+ STL B, 0, *AR3-% ; 6457
+ STL B, 0, *AR3-0% ; 6458
+ STL B, 0, *AR3+% ; 6459
+ STL B, 0, *AR3+0% ; 6460
+ STL B, 0, *AR3(04624h) ; 6461
+ STL B, 0, *+AR3(04625h) ; 6462
+ STL B, 0, *+AR3(04626h)% ; 6463
+ STL B, 0, *(04627h) ; 6464
+ STL B, 0, *AR4 ; 6465
+ STL B, 0, *AR4- ; 6466
+ STL B, 0, *AR4+ ; 6467
+ STL B, 0, *+AR4 ; 6468
+ STL B, 0, *AR4-0B ; 6469
+ STL B, 0, *AR4-0 ; 6470
+ STL B, 0, *AR4+0 ; 6471
+ STL B, 0, *AR4+0B ; 6472
+ STL B, 0, *AR4-% ; 6473
+ STL B, 0, *AR4-0% ; 6474
+ STL B, 0, *AR4+% ; 6475
+ STL B, 0, *AR4+0% ; 6476
+ STL B, 0, *AR4(04628h) ; 6477
+ STL B, 0, *+AR4(04629h) ; 6478
+ STL B, 0, *+AR4(0462Ah)% ; 6479
+ STL B, 0, *(0462Bh) ; 6480
+ STL B, 0, *AR5 ; 6481
+ STL B, 0, *AR5- ; 6482
+ STL B, 0, *AR5+ ; 6483
+ STL B, 0, *+AR5 ; 6484
+ STL B, 0, *AR5-0B ; 6485
+ STL B, 0, *AR5-0 ; 6486
+ STL B, 0, *AR5+0 ; 6487
+ STL B, 0, *AR5+0B ; 6488
+ STL B, 0, *AR5-% ; 6489
+ STL B, 0, *AR5-0% ; 6490
+ STL B, 0, *AR5+% ; 6491
+ STL B, 0, *AR5+0% ; 6492
+ STL B, 0, *AR5(0462Ch) ; 6493
+ STL B, 0, *+AR5(0462Dh) ; 6494
+ STL B, 0, *+AR5(0462Eh)% ; 6495
+ STL B, 0, *(0462Fh) ; 6496
+ STL B, 0, *AR6 ; 6497
+ STL B, 0, *AR6- ; 6498
+ STL B, 0, *AR6+ ; 6499
+ STL B, 0, *+AR6 ; 6500
+ STL B, 0, *AR6-0B ; 6501
+ STL B, 0, *AR6-0 ; 6502
+ STL B, 0, *AR6+0 ; 6503
+ STL B, 0, *AR6+0B ; 6504
+ STL B, 0, *AR6-% ; 6505
+ STL B, 0, *AR6-0% ; 6506
+ STL B, 0, *AR6+% ; 6507
+ STL B, 0, *AR6+0% ; 6508
+ STL B, 0, *AR6(04630h) ; 6509
+ STL B, 0, *+AR6(04631h) ; 6510
+ STL B, 0, *+AR6(04632h)% ; 6511
+ STL B, 0, *(04633h) ; 6512
+ STL B, 0, *AR7 ; 6513
+ STL B, 0, *AR7- ; 6514
+ STL B, 0, *AR7+ ; 6515
+ STL B, 0, *+AR7 ; 6516
+ STL B, 0, *AR7-0B ; 6517
+ STL B, 0, *AR7-0 ; 6518
+ STL B, 0, *AR7+0 ; 6519
+ STL B, 0, *AR7+0B ; 6520
+ STL B, 0, *AR7-% ; 6521
+ STL B, 0, *AR7-0% ; 6522
+ STL B, 0, *AR7+% ; 6523
+ STL B, 0, *AR7+0% ; 6524
+ STL B, 0, *AR7(04634h) ; 6525
+ STL B, 0, *+AR7(04635h) ; 6526
+ STL B, 0, *+AR7(04636h)% ; 6527
+ STL B, 0, *(04637h) ; 6528
+ STL B, 1, *AR0 ; 6529
+ STL B, 1, *AR0- ; 6530
+ STL B, 1, *AR0+ ; 6531
+ STL B, 1, *+AR0 ; 6532
+ STL B, 1, *AR0-0B ; 6533
+ STL B, 1, *AR0-0 ; 6534
+ STL B, 1, *AR0+0 ; 6535
+ STL B, 1, *AR0+0B ; 6536
+ STL B, 1, *AR0-% ; 6537
+ STL B, 1, *AR0-0% ; 6538
+ STL B, 1, *AR0+% ; 6539
+ STL B, 1, *AR0+0% ; 6540
+ STL B, 1, *AR0(04638h) ; 6541
+ STL B, 1, *+AR0(04639h) ; 6542
+ STL B, 1, *+AR0(0463Ah)% ; 6543
+ STL B, 1, *(0463Bh) ; 6544
+ STL B, 1, *AR1 ; 6545
+ STL B, 1, *AR1- ; 6546
+ STL B, 1, *AR1+ ; 6547
+ STL B, 1, *+AR1 ; 6548
+ STL B, 1, *AR1-0B ; 6549
+ STL B, 1, *AR1-0 ; 6550
+ STL B, 1, *AR1+0 ; 6551
+ STL B, 1, *AR1+0B ; 6552
+ STL B, 1, *AR1-% ; 6553
+ STL B, 1, *AR1-0% ; 6554
+ STL B, 1, *AR1+% ; 6555
+ STL B, 1, *AR1+0% ; 6556
+ STL B, 1, *AR1(0463Ch) ; 6557
+ STL B, 1, *+AR1(0463Dh) ; 6558
+ STL B, 1, *+AR1(0463Eh)% ; 6559
+ STL B, 1, *(0463Fh) ; 6560
+ STL B, 1, *AR2 ; 6561
+ STL B, 1, *AR2- ; 6562
+ STL B, 1, *AR2+ ; 6563
+ STL B, 1, *+AR2 ; 6564
+ STL B, 1, *AR2-0B ; 6565
+ STL B, 1, *AR2-0 ; 6566
+ STL B, 1, *AR2+0 ; 6567
+ STL B, 1, *AR2+0B ; 6568
+ STL B, 1, *AR2-% ; 6569
+ STL B, 1, *AR2-0% ; 6570
+ STL B, 1, *AR2+% ; 6571
+ STL B, 1, *AR2+0% ; 6572
+ STL B, 1, *AR2(04640h) ; 6573
+ STL B, 1, *+AR2(04641h) ; 6574
+ STL B, 1, *+AR2(04642h)% ; 6575
+ STL B, 1, *(04643h) ; 6576
+ STL B, 1, *AR3 ; 6577
+ STL B, 1, *AR3- ; 6578
+ STL B, 1, *AR3+ ; 6579
+ STL B, 1, *+AR3 ; 6580
+ STL B, 1, *AR3-0B ; 6581
+ STL B, 1, *AR3-0 ; 6582
+ STL B, 1, *AR3+0 ; 6583
+ STL B, 1, *AR3+0B ; 6584
+ STL B, 1, *AR3-% ; 6585
+ STL B, 1, *AR3-0% ; 6586
+ STL B, 1, *AR3+% ; 6587
+ STL B, 1, *AR3+0% ; 6588
+ STL B, 1, *AR3(04644h) ; 6589
+ STL B, 1, *+AR3(04645h) ; 6590
+ STL B, 1, *+AR3(04646h)% ; 6591
+ STL B, 1, *(04647h) ; 6592
+ STL B, 1, *AR4 ; 6593
+ STL B, 1, *AR4- ; 6594
+ STL B, 1, *AR4+ ; 6595
+ STL B, 1, *+AR4 ; 6596
+ STL B, 1, *AR4-0B ; 6597
+ STL B, 1, *AR4-0 ; 6598
+ STL B, 1, *AR4+0 ; 6599
+ STL B, 1, *AR4+0B ; 6600
+ STL B, 1, *AR4-% ; 6601
+ STL B, 1, *AR4-0% ; 6602
+ STL B, 1, *AR4+% ; 6603
+ STL B, 1, *AR4+0% ; 6604
+ STL B, 1, *AR4(04648h) ; 6605
+ STL B, 1, *+AR4(04649h) ; 6606
+ STL B, 1, *+AR4(0464Ah)% ; 6607
+ STL B, 1, *(0464Bh) ; 6608
+ STL B, 1, *AR5 ; 6609
+ STL B, 1, *AR5- ; 6610
+ STL B, 1, *AR5+ ; 6611
+ STL B, 1, *+AR5 ; 6612
+ STL B, 1, *AR5-0B ; 6613
+ STL B, 1, *AR5-0 ; 6614
+ STL B, 1, *AR5+0 ; 6615
+ STL B, 1, *AR5+0B ; 6616
+ STL B, 1, *AR5-% ; 6617
+ STL B, 1, *AR5-0% ; 6618
+ STL B, 1, *AR5+% ; 6619
+ STL B, 1, *AR5+0% ; 6620
+ STL B, 1, *AR5(0464Ch) ; 6621
+ STL B, 1, *+AR5(0464Dh) ; 6622
+ STL B, 1, *+AR5(0464Eh)% ; 6623
+ STL B, 1, *(0464Fh) ; 6624
+ STL B, 1, *AR6 ; 6625
+ STL B, 1, *AR6- ; 6626
+ STL B, 1, *AR6+ ; 6627
+ STL B, 1, *+AR6 ; 6628
+ STL B, 1, *AR6-0B ; 6629
+ STL B, 1, *AR6-0 ; 6630
+ STL B, 1, *AR6+0 ; 6631
+ STL B, 1, *AR6+0B ; 6632
+ STL B, 1, *AR6-% ; 6633
+ STL B, 1, *AR6-0% ; 6634
+ STL B, 1, *AR6+% ; 6635
+ STL B, 1, *AR6+0% ; 6636
+ STL B, 1, *AR6(04650h) ; 6637
+ STL B, 1, *+AR6(04651h) ; 6638
+ STL B, 1, *+AR6(04652h)% ; 6639
+ STL B, 1, *(04653h) ; 6640
+ STL B, 1, *AR7 ; 6641
+ STL B, 1, *AR7- ; 6642
+ STL B, 1, *AR7+ ; 6643
+ STL B, 1, *+AR7 ; 6644
+ STL B, 1, *AR7-0B ; 6645
+ STL B, 1, *AR7-0 ; 6646
+ STL B, 1, *AR7+0 ; 6647
+ STL B, 1, *AR7+0B ; 6648
+ STL B, 1, *AR7-% ; 6649
+ STL B, 1, *AR7-0% ; 6650
+ STL B, 1, *AR7+% ; 6651
+ STL B, 1, *AR7+0% ; 6652
+ STL B, 1, *AR7(04654h) ; 6653
+ STL B, 1, *+AR7(04655h) ; 6654
+ STL B, 1, *+AR7(04656h)% ; 6655
+ STL B, 1, *(04657h) ; 6656
+ STL B, 2, *AR0 ; 6657
+ STL B, 2, *AR0- ; 6658
+ STL B, 2, *AR0+ ; 6659
+ STL B, 2, *+AR0 ; 6660
+ STL B, 2, *AR0-0B ; 6661
+ STL B, 2, *AR0-0 ; 6662
+ STL B, 2, *AR0+0 ; 6663
+ STL B, 2, *AR0+0B ; 6664
+ STL B, 2, *AR0-% ; 6665
+ STL B, 2, *AR0-0% ; 6666
+ STL B, 2, *AR0+% ; 6667
+ STL B, 2, *AR0+0% ; 6668
+ STL B, 2, *AR0(04658h) ; 6669
+ STL B, 2, *+AR0(04659h) ; 6670
+ STL B, 2, *+AR0(0465Ah)% ; 6671
+ STL B, 2, *(0465Bh) ; 6672
+ STL B, 2, *AR1 ; 6673
+ STL B, 2, *AR1- ; 6674
+ STL B, 2, *AR1+ ; 6675
+ STL B, 2, *+AR1 ; 6676
+ STL B, 2, *AR1-0B ; 6677
+ STL B, 2, *AR1-0 ; 6678
+ STL B, 2, *AR1+0 ; 6679
+ STL B, 2, *AR1+0B ; 6680
+ STL B, 2, *AR1-% ; 6681
+ STL B, 2, *AR1-0% ; 6682
+ STL B, 2, *AR1+% ; 6683
+ STL B, 2, *AR1+0% ; 6684
+ STL B, 2, *AR1(0465Ch) ; 6685
+ STL B, 2, *+AR1(0465Dh) ; 6686
+ STL B, 2, *+AR1(0465Eh)% ; 6687
+ STL B, 2, *(0465Fh) ; 6688
+ STL B, 2, *AR2 ; 6689
+ STL B, 2, *AR2- ; 6690
+ STL B, 2, *AR2+ ; 6691
+ STL B, 2, *+AR2 ; 6692
+ STL B, 2, *AR2-0B ; 6693
+ STL B, 2, *AR2-0 ; 6694
+ STL B, 2, *AR2+0 ; 6695
+ STL B, 2, *AR2+0B ; 6696
+ STL B, 2, *AR2-% ; 6697
+ STL B, 2, *AR2-0% ; 6698
+ STL B, 2, *AR2+% ; 6699
+ STL B, 2, *AR2+0% ; 6700
+ STL B, 2, *AR2(04660h) ; 6701
+ STL B, 2, *+AR2(04661h) ; 6702
+ STL B, 2, *+AR2(04662h)% ; 6703
+ STL B, 2, *(04663h) ; 6704
+ STL B, 2, *AR3 ; 6705
+ STL B, 2, *AR3- ; 6706
+ STL B, 2, *AR3+ ; 6707
+ STL B, 2, *+AR3 ; 6708
+ STL B, 2, *AR3-0B ; 6709
+ STL B, 2, *AR3-0 ; 6710
+ STL B, 2, *AR3+0 ; 6711
+ STL B, 2, *AR3+0B ; 6712
+ STL B, 2, *AR3-% ; 6713
+ STL B, 2, *AR3-0% ; 6714
+ STL B, 2, *AR3+% ; 6715
+ STL B, 2, *AR3+0% ; 6716
+ STL B, 2, *AR3(04664h) ; 6717
+ STL B, 2, *+AR3(04665h) ; 6718
+ STL B, 2, *+AR3(04666h)% ; 6719
+ STL B, 2, *(04667h) ; 6720
+ STL B, 2, *AR4 ; 6721
+ STL B, 2, *AR4- ; 6722
+ STL B, 2, *AR4+ ; 6723
+ STL B, 2, *+AR4 ; 6724
+ STL B, 2, *AR4-0B ; 6725
+ STL B, 2, *AR4-0 ; 6726
+ STL B, 2, *AR4+0 ; 6727
+ STL B, 2, *AR4+0B ; 6728
+ STL B, 2, *AR4-% ; 6729
+ STL B, 2, *AR4-0% ; 6730
+ STL B, 2, *AR4+% ; 6731
+ STL B, 2, *AR4+0% ; 6732
+ STL B, 2, *AR4(04668h) ; 6733
+ STL B, 2, *+AR4(04669h) ; 6734
+ STL B, 2, *+AR4(0466Ah)% ; 6735
+ STL B, 2, *(0466Bh) ; 6736
+ STL B, 2, *AR5 ; 6737
+ STL B, 2, *AR5- ; 6738
+ STL B, 2, *AR5+ ; 6739
+ STL B, 2, *+AR5 ; 6740
+ STL B, 2, *AR5-0B ; 6741
+ STL B, 2, *AR5-0 ; 6742
+ STL B, 2, *AR5+0 ; 6743
+ STL B, 2, *AR5+0B ; 6744
+ STL B, 2, *AR5-% ; 6745
+ STL B, 2, *AR5-0% ; 6746
+ STL B, 2, *AR5+% ; 6747
+ STL B, 2, *AR5+0% ; 6748
+ STL B, 2, *AR5(0466Ch) ; 6749
+ STL B, 2, *+AR5(0466Dh) ; 6750
+ STL B, 2, *+AR5(0466Eh)% ; 6751
+ STL B, 2, *(0466Fh) ; 6752
+ STL B, 2, *AR6 ; 6753
+ STL B, 2, *AR6- ; 6754
+ STL B, 2, *AR6+ ; 6755
+ STL B, 2, *+AR6 ; 6756
+ STL B, 2, *AR6-0B ; 6757
+ STL B, 2, *AR6-0 ; 6758
+ STL B, 2, *AR6+0 ; 6759
+ STL B, 2, *AR6+0B ; 6760
+ STL B, 2, *AR6-% ; 6761
+ STL B, 2, *AR6-0% ; 6762
+ STL B, 2, *AR6+% ; 6763
+ STL B, 2, *AR6+0% ; 6764
+ STL B, 2, *AR6(04670h) ; 6765
+ STL B, 2, *+AR6(04671h) ; 6766
+ STL B, 2, *+AR6(04672h)% ; 6767
+ STL B, 2, *(04673h) ; 6768
+ STL B, 2, *AR7 ; 6769
+ STL B, 2, *AR7- ; 6770
+ STL B, 2, *AR7+ ; 6771
+ STL B, 2, *+AR7 ; 6772
+ STL B, 2, *AR7-0B ; 6773
+ STL B, 2, *AR7-0 ; 6774
+ STL B, 2, *AR7+0 ; 6775
+ STL B, 2, *AR7+0B ; 6776
+ STL B, 2, *AR7-% ; 6777
+ STL B, 2, *AR7-0% ; 6778
+ STL B, 2, *AR7+% ; 6779
+ STL B, 2, *AR7+0% ; 6780
+ STL B, 2, *AR7(04674h) ; 6781
+ STL B, 2, *+AR7(04675h) ; 6782
+ STL B, 2, *+AR7(04676h)% ; 6783
+ STL B, 2, *(04677h) ; 6784
+ STL B, 3, *AR0 ; 6785
+ STL B, 3, *AR0- ; 6786
+ STL B, 3, *AR0+ ; 6787
+ STL B, 3, *+AR0 ; 6788
+ STL B, 3, *AR0-0B ; 6789
+ STL B, 3, *AR0-0 ; 6790
+ STL B, 3, *AR0+0 ; 6791
+ STL B, 3, *AR0+0B ; 6792
+ STL B, 3, *AR0-% ; 6793
+ STL B, 3, *AR0-0% ; 6794
+ STL B, 3, *AR0+% ; 6795
+ STL B, 3, *AR0+0% ; 6796
+ STL B, 3, *AR0(04678h) ; 6797
+ STL B, 3, *+AR0(04679h) ; 6798
+ STL B, 3, *+AR0(0467Ah)% ; 6799
+ STL B, 3, *(0467Bh) ; 6800
+ STL B, 3, *AR1 ; 6801
+ STL B, 3, *AR1- ; 6802
+ STL B, 3, *AR1+ ; 6803
+ STL B, 3, *+AR1 ; 6804
+ STL B, 3, *AR1-0B ; 6805
+ STL B, 3, *AR1-0 ; 6806
+ STL B, 3, *AR1+0 ; 6807
+ STL B, 3, *AR1+0B ; 6808
+ STL B, 3, *AR1-% ; 6809
+ STL B, 3, *AR1-0% ; 6810
+ STL B, 3, *AR1+% ; 6811
+ STL B, 3, *AR1+0% ; 6812
+ STL B, 3, *AR1(0467Ch) ; 6813
+ STL B, 3, *+AR1(0467Dh) ; 6814
+ STL B, 3, *+AR1(0467Eh)% ; 6815
+ STL B, 3, *(0467Fh) ; 6816
+ STL B, 3, *AR2 ; 6817
+ STL B, 3, *AR2- ; 6818
+ STL B, 3, *AR2+ ; 6819
+ STL B, 3, *+AR2 ; 6820
+ STL B, 3, *AR2-0B ; 6821
+ STL B, 3, *AR2-0 ; 6822
+ STL B, 3, *AR2+0 ; 6823
+ STL B, 3, *AR2+0B ; 6824
+ STL B, 3, *AR2-% ; 6825
+ STL B, 3, *AR2-0% ; 6826
+ STL B, 3, *AR2+% ; 6827
+ STL B, 3, *AR2+0% ; 6828
+ STL B, 3, *AR2(04680h) ; 6829
+ STL B, 3, *+AR2(04681h) ; 6830
+ STL B, 3, *+AR2(04682h)% ; 6831
+ STL B, 3, *(04683h) ; 6832
+ STL B, 3, *AR3 ; 6833
+ STL B, 3, *AR3- ; 6834
+ STL B, 3, *AR3+ ; 6835
+ STL B, 3, *+AR3 ; 6836
+ STL B, 3, *AR3-0B ; 6837
+ STL B, 3, *AR3-0 ; 6838
+ STL B, 3, *AR3+0 ; 6839
+ STL B, 3, *AR3+0B ; 6840
+ STL B, 3, *AR3-% ; 6841
+ STL B, 3, *AR3-0% ; 6842
+ STL B, 3, *AR3+% ; 6843
+ STL B, 3, *AR3+0% ; 6844
+ STL B, 3, *AR3(04684h) ; 6845
+ STL B, 3, *+AR3(04685h) ; 6846
+ STL B, 3, *+AR3(04686h)% ; 6847
+ STL B, 3, *(04687h) ; 6848
+ STL B, 3, *AR4 ; 6849
+ STL B, 3, *AR4- ; 6850
+ STL B, 3, *AR4+ ; 6851
+ STL B, 3, *+AR4 ; 6852
+ STL B, 3, *AR4-0B ; 6853
+ STL B, 3, *AR4-0 ; 6854
+ STL B, 3, *AR4+0 ; 6855
+ STL B, 3, *AR4+0B ; 6856
+ STL B, 3, *AR4-% ; 6857
+ STL B, 3, *AR4-0% ; 6858
+ STL B, 3, *AR4+% ; 6859
+ STL B, 3, *AR4+0% ; 6860
+ STL B, 3, *AR4(04688h) ; 6861
+ STL B, 3, *+AR4(04689h) ; 6862
+ STL B, 3, *+AR4(0468Ah)% ; 6863
+ STL B, 3, *(0468Bh) ; 6864
+ STL B, 3, *AR5 ; 6865
+ STL B, 3, *AR5- ; 6866
+ STL B, 3, *AR5+ ; 6867
+ STL B, 3, *+AR5 ; 6868
+ STL B, 3, *AR5-0B ; 6869
+ STL B, 3, *AR5-0 ; 6870
+ STL B, 3, *AR5+0 ; 6871
+ STL B, 3, *AR5+0B ; 6872
+ STL B, 3, *AR5-% ; 6873
+ STL B, 3, *AR5-0% ; 6874
+ STL B, 3, *AR5+% ; 6875
+ STL B, 3, *AR5+0% ; 6876
+ STL B, 3, *AR5(0468Ch) ; 6877
+ STL B, 3, *+AR5(0468Dh) ; 6878
+ STL B, 3, *+AR5(0468Eh)% ; 6879
+ STL B, 3, *(0468Fh) ; 6880
+ STL B, 3, *AR6 ; 6881
+ STL B, 3, *AR6- ; 6882
+ STL B, 3, *AR6+ ; 6883
+ STL B, 3, *+AR6 ; 6884
+ STL B, 3, *AR6-0B ; 6885
+ STL B, 3, *AR6-0 ; 6886
+ STL B, 3, *AR6+0 ; 6887
+ STL B, 3, *AR6+0B ; 6888
+ STL B, 3, *AR6-% ; 6889
+ STL B, 3, *AR6-0% ; 6890
+ STL B, 3, *AR6+% ; 6891
+ STL B, 3, *AR6+0% ; 6892
+ STL B, 3, *AR6(04690h) ; 6893
+ STL B, 3, *+AR6(04691h) ; 6894
+ STL B, 3, *+AR6(04692h)% ; 6895
+ STL B, 3, *(04693h) ; 6896
+ STL B, 3, *AR7 ; 6897
+ STL B, 3, *AR7- ; 6898
+ STL B, 3, *AR7+ ; 6899
+ STL B, 3, *+AR7 ; 6900
+ STL B, 3, *AR7-0B ; 6901
+ STL B, 3, *AR7-0 ; 6902
+ STL B, 3, *AR7+0 ; 6903
+ STL B, 3, *AR7+0B ; 6904
+ STL B, 3, *AR7-% ; 6905
+ STL B, 3, *AR7-0% ; 6906
+ STL B, 3, *AR7+% ; 6907
+ STL B, 3, *AR7+0% ; 6908
+ STL B, 3, *AR7(04694h) ; 6909
+ STL B, 3, *+AR7(04695h) ; 6910
+ STL B, 3, *+AR7(04696h)% ; 6911
+ STL B, 3, *(04697h) ; 6912
+ STL B, 4, *AR0 ; 6913
+ STL B, 4, *AR0- ; 6914
+ STL B, 4, *AR0+ ; 6915
+ STL B, 4, *+AR0 ; 6916
+ STL B, 4, *AR0-0B ; 6917
+ STL B, 4, *AR0-0 ; 6918
+ STL B, 4, *AR0+0 ; 6919
+ STL B, 4, *AR0+0B ; 6920
+ STL B, 4, *AR0-% ; 6921
+ STL B, 4, *AR0-0% ; 6922
+ STL B, 4, *AR0+% ; 6923
+ STL B, 4, *AR0+0% ; 6924
+ STL B, 4, *AR0(04698h) ; 6925
+ STL B, 4, *+AR0(04699h) ; 6926
+ STL B, 4, *+AR0(0469Ah)% ; 6927
+ STL B, 4, *(0469Bh) ; 6928
+ STL B, 4, *AR1 ; 6929
+ STL B, 4, *AR1- ; 6930
+ STL B, 4, *AR1+ ; 6931
+ STL B, 4, *+AR1 ; 6932
+ STL B, 4, *AR1-0B ; 6933
+ STL B, 4, *AR1-0 ; 6934
+ STL B, 4, *AR1+0 ; 6935
+ STL B, 4, *AR1+0B ; 6936
+ STL B, 4, *AR1-% ; 6937
+ STL B, 4, *AR1-0% ; 6938
+ STL B, 4, *AR1+% ; 6939
+ STL B, 4, *AR1+0% ; 6940
+ STL B, 4, *AR1(0469Ch) ; 6941
+ STL B, 4, *+AR1(0469Dh) ; 6942
+ STL B, 4, *+AR1(0469Eh)% ; 6943
+ STL B, 4, *(0469Fh) ; 6944
+ STL B, 4, *AR2 ; 6945
+ STL B, 4, *AR2- ; 6946
+ STL B, 4, *AR2+ ; 6947
+ STL B, 4, *+AR2 ; 6948
+ STL B, 4, *AR2-0B ; 6949
+ STL B, 4, *AR2-0 ; 6950
+ STL B, 4, *AR2+0 ; 6951
+ STL B, 4, *AR2+0B ; 6952
+ STL B, 4, *AR2-% ; 6953
+ STL B, 4, *AR2-0% ; 6954
+ STL B, 4, *AR2+% ; 6955
+ STL B, 4, *AR2+0% ; 6956
+ STL B, 4, *AR2(046A0h) ; 6957
+ STL B, 4, *+AR2(046A1h) ; 6958
+ STL B, 4, *+AR2(046A2h)% ; 6959
+ STL B, 4, *(046A3h) ; 6960
+ STL B, 4, *AR3 ; 6961
+ STL B, 4, *AR3- ; 6962
+ STL B, 4, *AR3+ ; 6963
+ STL B, 4, *+AR3 ; 6964
+ STL B, 4, *AR3-0B ; 6965
+ STL B, 4, *AR3-0 ; 6966
+ STL B, 4, *AR3+0 ; 6967
+ STL B, 4, *AR3+0B ; 6968
+ STL B, 4, *AR3-% ; 6969
+ STL B, 4, *AR3-0% ; 6970
+ STL B, 4, *AR3+% ; 6971
+ STL B, 4, *AR3+0% ; 6972
+ STL B, 4, *AR3(046A4h) ; 6973
+ STL B, 4, *+AR3(046A5h) ; 6974
+ STL B, 4, *+AR3(046A6h)% ; 6975
+ STL B, 4, *(046A7h) ; 6976
+ STL B, 4, *AR4 ; 6977
+ STL B, 4, *AR4- ; 6978
+ STL B, 4, *AR4+ ; 6979
+ STL B, 4, *+AR4 ; 6980
+ STL B, 4, *AR4-0B ; 6981
+ STL B, 4, *AR4-0 ; 6982
+ STL B, 4, *AR4+0 ; 6983
+ STL B, 4, *AR4+0B ; 6984
+ STL B, 4, *AR4-% ; 6985
+ STL B, 4, *AR4-0% ; 6986
+ STL B, 4, *AR4+% ; 6987
+ STL B, 4, *AR4+0% ; 6988
+ STL B, 4, *AR4(046A8h) ; 6989
+ STL B, 4, *+AR4(046A9h) ; 6990
+ STL B, 4, *+AR4(046AAh)% ; 6991
+ STL B, 4, *(046ABh) ; 6992
+ STL B, 4, *AR5 ; 6993
+ STL B, 4, *AR5- ; 6994
+ STL B, 4, *AR5+ ; 6995
+ STL B, 4, *+AR5 ; 6996
+ STL B, 4, *AR5-0B ; 6997
+ STL B, 4, *AR5-0 ; 6998
+ STL B, 4, *AR5+0 ; 6999
+ STL B, 4, *AR5+0B ; 7000
+ STL B, 4, *AR5-% ; 7001
+ STL B, 4, *AR5-0% ; 7002
+ STL B, 4, *AR5+% ; 7003
+ STL B, 4, *AR5+0% ; 7004
+ STL B, 4, *AR5(046ACh) ; 7005
+ STL B, 4, *+AR5(046ADh) ; 7006
+ STL B, 4, *+AR5(046AEh)% ; 7007
+ STL B, 4, *(046AFh) ; 7008
+ STL B, 4, *AR6 ; 7009
+ STL B, 4, *AR6- ; 7010
+ STL B, 4, *AR6+ ; 7011
+ STL B, 4, *+AR6 ; 7012
+ STL B, 4, *AR6-0B ; 7013
+ STL B, 4, *AR6-0 ; 7014
+ STL B, 4, *AR6+0 ; 7015
+ STL B, 4, *AR6+0B ; 7016
+ STL B, 4, *AR6-% ; 7017
+ STL B, 4, *AR6-0% ; 7018
+ STL B, 4, *AR6+% ; 7019
+ STL B, 4, *AR6+0% ; 7020
+ STL B, 4, *AR6(046B0h) ; 7021
+ STL B, 4, *+AR6(046B1h) ; 7022
+ STL B, 4, *+AR6(046B2h)% ; 7023
+ STL B, 4, *(046B3h) ; 7024
+ STL B, 4, *AR7 ; 7025
+ STL B, 4, *AR7- ; 7026
+ STL B, 4, *AR7+ ; 7027
+ STL B, 4, *+AR7 ; 7028
+ STL B, 4, *AR7-0B ; 7029
+ STL B, 4, *AR7-0 ; 7030
+ STL B, 4, *AR7+0 ; 7031
+ STL B, 4, *AR7+0B ; 7032
+ STL B, 4, *AR7-% ; 7033
+ STL B, 4, *AR7-0% ; 7034
+ STL B, 4, *AR7+% ; 7035
+ STL B, 4, *AR7+0% ; 7036
+ STL B, 4, *AR7(046B4h) ; 7037
+ STL B, 4, *+AR7(046B5h) ; 7038
+ STL B, 4, *+AR7(046B6h)% ; 7039
+ STL B, 4, *(046B7h) ; 7040
+ STL B, 5, *AR0 ; 7041
+ STL B, 5, *AR0- ; 7042
+ STL B, 5, *AR0+ ; 7043
+ STL B, 5, *+AR0 ; 7044
+ STL B, 5, *AR0-0B ; 7045
+ STL B, 5, *AR0-0 ; 7046
+ STL B, 5, *AR0+0 ; 7047
+ STL B, 5, *AR0+0B ; 7048
+ STL B, 5, *AR0-% ; 7049
+ STL B, 5, *AR0-0% ; 7050
+ STL B, 5, *AR0+% ; 7051
+ STL B, 5, *AR0+0% ; 7052
+ STL B, 5, *AR0(046B8h) ; 7053
+ STL B, 5, *+AR0(046B9h) ; 7054
+ STL B, 5, *+AR0(046BAh)% ; 7055
+ STL B, 5, *(046BBh) ; 7056
+ STL B, 5, *AR1 ; 7057
+ STL B, 5, *AR1- ; 7058
+ STL B, 5, *AR1+ ; 7059
+ STL B, 5, *+AR1 ; 7060
+ STL B, 5, *AR1-0B ; 7061
+ STL B, 5, *AR1-0 ; 7062
+ STL B, 5, *AR1+0 ; 7063
+ STL B, 5, *AR1+0B ; 7064
+ STL B, 5, *AR1-% ; 7065
+ STL B, 5, *AR1-0% ; 7066
+ STL B, 5, *AR1+% ; 7067
+ STL B, 5, *AR1+0% ; 7068
+ STL B, 5, *AR1(046BCh) ; 7069
+ STL B, 5, *+AR1(046BDh) ; 7070
+ STL B, 5, *+AR1(046BEh)% ; 7071
+ STL B, 5, *(046BFh) ; 7072
+ STL B, 5, *AR2 ; 7073
+ STL B, 5, *AR2- ; 7074
+ STL B, 5, *AR2+ ; 7075
+ STL B, 5, *+AR2 ; 7076
+ STL B, 5, *AR2-0B ; 7077
+ STL B, 5, *AR2-0 ; 7078
+ STL B, 5, *AR2+0 ; 7079
+ STL B, 5, *AR2+0B ; 7080
+ STL B, 5, *AR2-% ; 7081
+ STL B, 5, *AR2-0% ; 7082
+ STL B, 5, *AR2+% ; 7083
+ STL B, 5, *AR2+0% ; 7084
+ STL B, 5, *AR2(046C0h) ; 7085
+ STL B, 5, *+AR2(046C1h) ; 7086
+ STL B, 5, *+AR2(046C2h)% ; 7087
+ STL B, 5, *(046C3h) ; 7088
+ STL B, 5, *AR3 ; 7089
+ STL B, 5, *AR3- ; 7090
+ STL B, 5, *AR3+ ; 7091
+ STL B, 5, *+AR3 ; 7092
+ STL B, 5, *AR3-0B ; 7093
+ STL B, 5, *AR3-0 ; 7094
+ STL B, 5, *AR3+0 ; 7095
+ STL B, 5, *AR3+0B ; 7096
+ STL B, 5, *AR3-% ; 7097
+ STL B, 5, *AR3-0% ; 7098
+ STL B, 5, *AR3+% ; 7099
+ STL B, 5, *AR3+0% ; 7100
+ STL B, 5, *AR3(046C4h) ; 7101
+ STL B, 5, *+AR3(046C5h) ; 7102
+ STL B, 5, *+AR3(046C6h)% ; 7103
+ STL B, 5, *(046C7h) ; 7104
+ STL B, 5, *AR4 ; 7105
+ STL B, 5, *AR4- ; 7106
+ STL B, 5, *AR4+ ; 7107
+ STL B, 5, *+AR4 ; 7108
+ STL B, 5, *AR4-0B ; 7109
+ STL B, 5, *AR4-0 ; 7110
+ STL B, 5, *AR4+0 ; 7111
+ STL B, 5, *AR4+0B ; 7112
+ STL B, 5, *AR4-% ; 7113
+ STL B, 5, *AR4-0% ; 7114
+ STL B, 5, *AR4+% ; 7115
+ STL B, 5, *AR4+0% ; 7116
+ STL B, 5, *AR4(046C8h) ; 7117
+ STL B, 5, *+AR4(046C9h) ; 7118
+ STL B, 5, *+AR4(046CAh)% ; 7119
+ STL B, 5, *(046CBh) ; 7120
+ STL B, 5, *AR5 ; 7121
+ STL B, 5, *AR5- ; 7122
+ STL B, 5, *AR5+ ; 7123
+ STL B, 5, *+AR5 ; 7124
+ STL B, 5, *AR5-0B ; 7125
+ STL B, 5, *AR5-0 ; 7126
+ STL B, 5, *AR5+0 ; 7127
+ STL B, 5, *AR5+0B ; 7128
+ STL B, 5, *AR5-% ; 7129
+ STL B, 5, *AR5-0% ; 7130
+ STL B, 5, *AR5+% ; 7131
+ STL B, 5, *AR5+0% ; 7132
+ STL B, 5, *AR5(046CCh) ; 7133
+ STL B, 5, *+AR5(046CDh) ; 7134
+ STL B, 5, *+AR5(046CEh)% ; 7135
+ STL B, 5, *(046CFh) ; 7136
+ STL B, 5, *AR6 ; 7137
+ STL B, 5, *AR6- ; 7138
+ STL B, 5, *AR6+ ; 7139
+ STL B, 5, *+AR6 ; 7140
+ STL B, 5, *AR6-0B ; 7141
+ STL B, 5, *AR6-0 ; 7142
+ STL B, 5, *AR6+0 ; 7143
+ STL B, 5, *AR6+0B ; 7144
+ STL B, 5, *AR6-% ; 7145
+ STL B, 5, *AR6-0% ; 7146
+ STL B, 5, *AR6+% ; 7147
+ STL B, 5, *AR6+0% ; 7148
+ STL B, 5, *AR6(046D0h) ; 7149
+ STL B, 5, *+AR6(046D1h) ; 7150
+ STL B, 5, *+AR6(046D2h)% ; 7151
+ STL B, 5, *(046D3h) ; 7152
+ STL B, 5, *AR7 ; 7153
+ STL B, 5, *AR7- ; 7154
+ STL B, 5, *AR7+ ; 7155
+ STL B, 5, *+AR7 ; 7156
+ STL B, 5, *AR7-0B ; 7157
+ STL B, 5, *AR7-0 ; 7158
+ STL B, 5, *AR7+0 ; 7159
+ STL B, 5, *AR7+0B ; 7160
+ STL B, 5, *AR7-% ; 7161
+ STL B, 5, *AR7-0% ; 7162
+ STL B, 5, *AR7+% ; 7163
+ STL B, 5, *AR7+0% ; 7164
+ STL B, 5, *AR7(046D4h) ; 7165
+ STL B, 5, *+AR7(046D5h) ; 7166
+ STL B, 5, *+AR7(046D6h)% ; 7167
+ STL B, 5, *(046D7h) ; 7168
+ STL B, 6, *AR0 ; 7169
+ STL B, 6, *AR0- ; 7170
+ STL B, 6, *AR0+ ; 7171
+ STL B, 6, *+AR0 ; 7172
+ STL B, 6, *AR0-0B ; 7173
+ STL B, 6, *AR0-0 ; 7174
+ STL B, 6, *AR0+0 ; 7175
+ STL B, 6, *AR0+0B ; 7176
+ STL B, 6, *AR0-% ; 7177
+ STL B, 6, *AR0-0% ; 7178
+ STL B, 6, *AR0+% ; 7179
+ STL B, 6, *AR0+0% ; 7180
+ STL B, 6, *AR0(046D8h) ; 7181
+ STL B, 6, *+AR0(046D9h) ; 7182
+ STL B, 6, *+AR0(046DAh)% ; 7183
+ STL B, 6, *(046DBh) ; 7184
+ STL B, 6, *AR1 ; 7185
+ STL B, 6, *AR1- ; 7186
+ STL B, 6, *AR1+ ; 7187
+ STL B, 6, *+AR1 ; 7188
+ STL B, 6, *AR1-0B ; 7189
+ STL B, 6, *AR1-0 ; 7190
+ STL B, 6, *AR1+0 ; 7191
+ STL B, 6, *AR1+0B ; 7192
+ STL B, 6, *AR1-% ; 7193
+ STL B, 6, *AR1-0% ; 7194
+ STL B, 6, *AR1+% ; 7195
+ STL B, 6, *AR1+0% ; 7196
+ STL B, 6, *AR1(046DCh) ; 7197
+ STL B, 6, *+AR1(046DDh) ; 7198
+ STL B, 6, *+AR1(046DEh)% ; 7199
+ STL B, 6, *(046DFh) ; 7200
+ STL B, 6, *AR2 ; 7201
+ STL B, 6, *AR2- ; 7202
+ STL B, 6, *AR2+ ; 7203
+ STL B, 6, *+AR2 ; 7204
+ STL B, 6, *AR2-0B ; 7205
+ STL B, 6, *AR2-0 ; 7206
+ STL B, 6, *AR2+0 ; 7207
+ STL B, 6, *AR2+0B ; 7208
+ STL B, 6, *AR2-% ; 7209
+ STL B, 6, *AR2-0% ; 7210
+ STL B, 6, *AR2+% ; 7211
+ STL B, 6, *AR2+0% ; 7212
+ STL B, 6, *AR2(046E0h) ; 7213
+ STL B, 6, *+AR2(046E1h) ; 7214
+ STL B, 6, *+AR2(046E2h)% ; 7215
+ STL B, 6, *(046E3h) ; 7216
+ STL B, 6, *AR3 ; 7217
+ STL B, 6, *AR3- ; 7218
+ STL B, 6, *AR3+ ; 7219
+ STL B, 6, *+AR3 ; 7220
+ STL B, 6, *AR3-0B ; 7221
+ STL B, 6, *AR3-0 ; 7222
+ STL B, 6, *AR3+0 ; 7223
+ STL B, 6, *AR3+0B ; 7224
+ STL B, 6, *AR3-% ; 7225
+ STL B, 6, *AR3-0% ; 7226
+ STL B, 6, *AR3+% ; 7227
+ STL B, 6, *AR3+0% ; 7228
+ STL B, 6, *AR3(046E4h) ; 7229
+ STL B, 6, *+AR3(046E5h) ; 7230
+ STL B, 6, *+AR3(046E6h)% ; 7231
+ STL B, 6, *(046E7h) ; 7232
+ STL B, 6, *AR4 ; 7233
+ STL B, 6, *AR4- ; 7234
+ STL B, 6, *AR4+ ; 7235
+ STL B, 6, *+AR4 ; 7236
+ STL B, 6, *AR4-0B ; 7237
+ STL B, 6, *AR4-0 ; 7238
+ STL B, 6, *AR4+0 ; 7239
+ STL B, 6, *AR4+0B ; 7240
+ STL B, 6, *AR4-% ; 7241
+ STL B, 6, *AR4-0% ; 7242
+ STL B, 6, *AR4+% ; 7243
+ STL B, 6, *AR4+0% ; 7244
+ STL B, 6, *AR4(046E8h) ; 7245
+ STL B, 6, *+AR4(046E9h) ; 7246
+ STL B, 6, *+AR4(046EAh)% ; 7247
+ STL B, 6, *(046EBh) ; 7248
+ STL B, 6, *AR5 ; 7249
+ STL B, 6, *AR5- ; 7250
+ STL B, 6, *AR5+ ; 7251
+ STL B, 6, *+AR5 ; 7252
+ STL B, 6, *AR5-0B ; 7253
+ STL B, 6, *AR5-0 ; 7254
+ STL B, 6, *AR5+0 ; 7255
+ STL B, 6, *AR5+0B ; 7256
+ STL B, 6, *AR5-% ; 7257
+ STL B, 6, *AR5-0% ; 7258
+ STL B, 6, *AR5+% ; 7259
+ STL B, 6, *AR5+0% ; 7260
+ STL B, 6, *AR5(046ECh) ; 7261
+ STL B, 6, *+AR5(046EDh) ; 7262
+ STL B, 6, *+AR5(046EEh)% ; 7263
+ STL B, 6, *(046EFh) ; 7264
+ STL B, 6, *AR6 ; 7265
+ STL B, 6, *AR6- ; 7266
+ STL B, 6, *AR6+ ; 7267
+ STL B, 6, *+AR6 ; 7268
+ STL B, 6, *AR6-0B ; 7269
+ STL B, 6, *AR6-0 ; 7270
+ STL B, 6, *AR6+0 ; 7271
+ STL B, 6, *AR6+0B ; 7272
+ STL B, 6, *AR6-% ; 7273
+ STL B, 6, *AR6-0% ; 7274
+ STL B, 6, *AR6+% ; 7275
+ STL B, 6, *AR6+0% ; 7276
+ STL B, 6, *AR6(046F0h) ; 7277
+ STL B, 6, *+AR6(046F1h) ; 7278
+ STL B, 6, *+AR6(046F2h)% ; 7279
+ STL B, 6, *(046F3h) ; 7280
+ STL B, 6, *AR7 ; 7281
+ STL B, 6, *AR7- ; 7282
+ STL B, 6, *AR7+ ; 7283
+ STL B, 6, *+AR7 ; 7284
+ STL B, 6, *AR7-0B ; 7285
+ STL B, 6, *AR7-0 ; 7286
+ STL B, 6, *AR7+0 ; 7287
+ STL B, 6, *AR7+0B ; 7288
+ STL B, 6, *AR7-% ; 7289
+ STL B, 6, *AR7-0% ; 7290
+ STL B, 6, *AR7+% ; 7291
+ STL B, 6, *AR7+0% ; 7292
+ STL B, 6, *AR7(046F4h) ; 7293
+ STL B, 6, *+AR7(046F5h) ; 7294
+ STL B, 6, *+AR7(046F6h)% ; 7295
+ STL B, 6, *(046F7h) ; 7296
+ STL B, 7, *AR0 ; 7297
+ STL B, 7, *AR0- ; 7298
+ STL B, 7, *AR0+ ; 7299
+ STL B, 7, *+AR0 ; 7300
+ STL B, 7, *AR0-0B ; 7301
+ STL B, 7, *AR0-0 ; 7302
+ STL B, 7, *AR0+0 ; 7303
+ STL B, 7, *AR0+0B ; 7304
+ STL B, 7, *AR0-% ; 7305
+ STL B, 7, *AR0-0% ; 7306
+ STL B, 7, *AR0+% ; 7307
+ STL B, 7, *AR0+0% ; 7308
+ STL B, 7, *AR0(046F8h) ; 7309
+ STL B, 7, *+AR0(046F9h) ; 7310
+ STL B, 7, *+AR0(046FAh)% ; 7311
+ STL B, 7, *(046FBh) ; 7312
+ STL B, 7, *AR1 ; 7313
+ STL B, 7, *AR1- ; 7314
+ STL B, 7, *AR1+ ; 7315
+ STL B, 7, *+AR1 ; 7316
+ STL B, 7, *AR1-0B ; 7317
+ STL B, 7, *AR1-0 ; 7318
+ STL B, 7, *AR1+0 ; 7319
+ STL B, 7, *AR1+0B ; 7320
+ STL B, 7, *AR1-% ; 7321
+ STL B, 7, *AR1-0% ; 7322
+ STL B, 7, *AR1+% ; 7323
+ STL B, 7, *AR1+0% ; 7324
+ STL B, 7, *AR1(046FCh) ; 7325
+ STL B, 7, *+AR1(046FDh) ; 7326
+ STL B, 7, *+AR1(046FEh)% ; 7327
+ STL B, 7, *(046FFh) ; 7328
+ STL B, 7, *AR2 ; 7329
+ STL B, 7, *AR2- ; 7330
+ STL B, 7, *AR2+ ; 7331
+ STL B, 7, *+AR2 ; 7332
+ STL B, 7, *AR2-0B ; 7333
+ STL B, 7, *AR2-0 ; 7334
+ STL B, 7, *AR2+0 ; 7335
+ STL B, 7, *AR2+0B ; 7336
+ STL B, 7, *AR2-% ; 7337
+ STL B, 7, *AR2-0% ; 7338
+ STL B, 7, *AR2+% ; 7339
+ STL B, 7, *AR2+0% ; 7340
+ STL B, 7, *AR2(04700h) ; 7341
+ STL B, 7, *+AR2(04701h) ; 7342
+ STL B, 7, *+AR2(04702h)% ; 7343
+ STL B, 7, *(04703h) ; 7344
+ STL B, 7, *AR3 ; 7345
+ STL B, 7, *AR3- ; 7346
+ STL B, 7, *AR3+ ; 7347
+ STL B, 7, *+AR3 ; 7348
+ STL B, 7, *AR3-0B ; 7349
+ STL B, 7, *AR3-0 ; 7350
+ STL B, 7, *AR3+0 ; 7351
+ STL B, 7, *AR3+0B ; 7352
+ STL B, 7, *AR3-% ; 7353
+ STL B, 7, *AR3-0% ; 7354
+ STL B, 7, *AR3+% ; 7355
+ STL B, 7, *AR3+0% ; 7356
+ STL B, 7, *AR3(04704h) ; 7357
+ STL B, 7, *+AR3(04705h) ; 7358
+ STL B, 7, *+AR3(04706h)% ; 7359
+ STL B, 7, *(04707h) ; 7360
+ STL B, 7, *AR4 ; 7361
+ STL B, 7, *AR4- ; 7362
+ STL B, 7, *AR4+ ; 7363
+ STL B, 7, *+AR4 ; 7364
+ STL B, 7, *AR4-0B ; 7365
+ STL B, 7, *AR4-0 ; 7366
+ STL B, 7, *AR4+0 ; 7367
+ STL B, 7, *AR4+0B ; 7368
+ STL B, 7, *AR4-% ; 7369
+ STL B, 7, *AR4-0% ; 7370
+ STL B, 7, *AR4+% ; 7371
+ STL B, 7, *AR4+0% ; 7372
+ STL B, 7, *AR4(04708h) ; 7373
+ STL B, 7, *+AR4(04709h) ; 7374
+ STL B, 7, *+AR4(0470Ah)% ; 7375
+ STL B, 7, *(0470Bh) ; 7376
+ STL B, 7, *AR5 ; 7377
+ STL B, 7, *AR5- ; 7378
+ STL B, 7, *AR5+ ; 7379
+ STL B, 7, *+AR5 ; 7380
+ STL B, 7, *AR5-0B ; 7381
+ STL B, 7, *AR5-0 ; 7382
+ STL B, 7, *AR5+0 ; 7383
+ STL B, 7, *AR5+0B ; 7384
+ STL B, 7, *AR5-% ; 7385
+ STL B, 7, *AR5-0% ; 7386
+ STL B, 7, *AR5+% ; 7387
+ STL B, 7, *AR5+0% ; 7388
+ STL B, 7, *AR5(0470Ch) ; 7389
+ STL B, 7, *+AR5(0470Dh) ; 7390
+ STL B, 7, *+AR5(0470Eh)% ; 7391
+ STL B, 7, *(0470Fh) ; 7392
+ STL B, 7, *AR6 ; 7393
+ STL B, 7, *AR6- ; 7394
+ STL B, 7, *AR6+ ; 7395
+ STL B, 7, *+AR6 ; 7396
+ STL B, 7, *AR6-0B ; 7397
+ STL B, 7, *AR6-0 ; 7398
+ STL B, 7, *AR6+0 ; 7399
+ STL B, 7, *AR6+0B ; 7400
+ STL B, 7, *AR6-% ; 7401
+ STL B, 7, *AR6-0% ; 7402
+ STL B, 7, *AR6+% ; 7403
+ STL B, 7, *AR6+0% ; 7404
+ STL B, 7, *AR6(04710h) ; 7405
+ STL B, 7, *+AR6(04711h) ; 7406
+ STL B, 7, *+AR6(04712h)% ; 7407
+ STL B, 7, *(04713h) ; 7408
+ STL B, 7, *AR7 ; 7409
+ STL B, 7, *AR7- ; 7410
+ STL B, 7, *AR7+ ; 7411
+ STL B, 7, *+AR7 ; 7412
+ STL B, 7, *AR7-0B ; 7413
+ STL B, 7, *AR7-0 ; 7414
+ STL B, 7, *AR7+0 ; 7415
+ STL B, 7, *AR7+0B ; 7416
+ STL B, 7, *AR7-% ; 7417
+ STL B, 7, *AR7-0% ; 7418
+ STL B, 7, *AR7+% ; 7419
+ STL B, 7, *AR7+0% ; 7420
+ STL B, 7, *AR7(04714h) ; 7421
+ STL B, 7, *+AR7(04715h) ; 7422
+ STL B, 7, *+AR7(04716h)% ; 7423
+ STL B, 7, *(04717h) ; 7424
+ STL B, 8, *AR0 ; 7425
+ STL B, 8, *AR0- ; 7426
+ STL B, 8, *AR0+ ; 7427
+ STL B, 8, *+AR0 ; 7428
+ STL B, 8, *AR0-0B ; 7429
+ STL B, 8, *AR0-0 ; 7430
+ STL B, 8, *AR0+0 ; 7431
+ STL B, 8, *AR0+0B ; 7432
+ STL B, 8, *AR0-% ; 7433
+ STL B, 8, *AR0-0% ; 7434
+ STL B, 8, *AR0+% ; 7435
+ STL B, 8, *AR0+0% ; 7436
+ STL B, 8, *AR0(04718h) ; 7437
+ STL B, 8, *+AR0(04719h) ; 7438
+ STL B, 8, *+AR0(0471Ah)% ; 7439
+ STL B, 8, *(0471Bh) ; 7440
+ STL B, 8, *AR1 ; 7441
+ STL B, 8, *AR1- ; 7442
+ STL B, 8, *AR1+ ; 7443
+ STL B, 8, *+AR1 ; 7444
+ STL B, 8, *AR1-0B ; 7445
+ STL B, 8, *AR1-0 ; 7446
+ STL B, 8, *AR1+0 ; 7447
+ STL B, 8, *AR1+0B ; 7448
+ STL B, 8, *AR1-% ; 7449
+ STL B, 8, *AR1-0% ; 7450
+ STL B, 8, *AR1+% ; 7451
+ STL B, 8, *AR1+0% ; 7452
+ STL B, 8, *AR1(0471Ch) ; 7453
+ STL B, 8, *+AR1(0471Dh) ; 7454
+ STL B, 8, *+AR1(0471Eh)% ; 7455
+ STL B, 8, *(0471Fh) ; 7456
+ STL B, 8, *AR2 ; 7457
+ STL B, 8, *AR2- ; 7458
+ STL B, 8, *AR2+ ; 7459
+ STL B, 8, *+AR2 ; 7460
+ STL B, 8, *AR2-0B ; 7461
+ STL B, 8, *AR2-0 ; 7462
+ STL B, 8, *AR2+0 ; 7463
+ STL B, 8, *AR2+0B ; 7464
+ STL B, 8, *AR2-% ; 7465
+ STL B, 8, *AR2-0% ; 7466
+ STL B, 8, *AR2+% ; 7467
+ STL B, 8, *AR2+0% ; 7468
+ STL B, 8, *AR2(04720h) ; 7469
+ STL B, 8, *+AR2(04721h) ; 7470
+ STL B, 8, *+AR2(04722h)% ; 7471
+ STL B, 8, *(04723h) ; 7472
+ STL B, 8, *AR3 ; 7473
+ STL B, 8, *AR3- ; 7474
+ STL B, 8, *AR3+ ; 7475
+ STL B, 8, *+AR3 ; 7476
+ STL B, 8, *AR3-0B ; 7477
+ STL B, 8, *AR3-0 ; 7478
+ STL B, 8, *AR3+0 ; 7479
+ STL B, 8, *AR3+0B ; 7480
+ STL B, 8, *AR3-% ; 7481
+ STL B, 8, *AR3-0% ; 7482
+ STL B, 8, *AR3+% ; 7483
+ STL B, 8, *AR3+0% ; 7484
+ STL B, 8, *AR3(04724h) ; 7485
+ STL B, 8, *+AR3(04725h) ; 7486
+ STL B, 8, *+AR3(04726h)% ; 7487
+ STL B, 8, *(04727h) ; 7488
+ STL B, 8, *AR4 ; 7489
+ STL B, 8, *AR4- ; 7490
+ STL B, 8, *AR4+ ; 7491
+ STL B, 8, *+AR4 ; 7492
+ STL B, 8, *AR4-0B ; 7493
+ STL B, 8, *AR4-0 ; 7494
+ STL B, 8, *AR4+0 ; 7495
+ STL B, 8, *AR4+0B ; 7496
+ STL B, 8, *AR4-% ; 7497
+ STL B, 8, *AR4-0% ; 7498
+ STL B, 8, *AR4+% ; 7499
+ STL B, 8, *AR4+0% ; 7500
+ STL B, 8, *AR4(04728h) ; 7501
+ STL B, 8, *+AR4(04729h) ; 7502
+ STL B, 8, *+AR4(0472Ah)% ; 7503
+ STL B, 8, *(0472Bh) ; 7504
+ STL B, 8, *AR5 ; 7505
+ STL B, 8, *AR5- ; 7506
+ STL B, 8, *AR5+ ; 7507
+ STL B, 8, *+AR5 ; 7508
+ STL B, 8, *AR5-0B ; 7509
+ STL B, 8, *AR5-0 ; 7510
+ STL B, 8, *AR5+0 ; 7511
+ STL B, 8, *AR5+0B ; 7512
+ STL B, 8, *AR5-% ; 7513
+ STL B, 8, *AR5-0% ; 7514
+ STL B, 8, *AR5+% ; 7515
+ STL B, 8, *AR5+0% ; 7516
+ STL B, 8, *AR5(0472Ch) ; 7517
+ STL B, 8, *+AR5(0472Dh) ; 7518
+ STL B, 8, *+AR5(0472Eh)% ; 7519
+ STL B, 8, *(0472Fh) ; 7520
+ STL B, 8, *AR6 ; 7521
+ STL B, 8, *AR6- ; 7522
+ STL B, 8, *AR6+ ; 7523
+ STL B, 8, *+AR6 ; 7524
+ STL B, 8, *AR6-0B ; 7525
+ STL B, 8, *AR6-0 ; 7526
+ STL B, 8, *AR6+0 ; 7527
+ STL B, 8, *AR6+0B ; 7528
+ STL B, 8, *AR6-% ; 7529
+ STL B, 8, *AR6-0% ; 7530
+ STL B, 8, *AR6+% ; 7531
+ STL B, 8, *AR6+0% ; 7532
+ STL B, 8, *AR6(04730h) ; 7533
+ STL B, 8, *+AR6(04731h) ; 7534
+ STL B, 8, *+AR6(04732h)% ; 7535
+ STL B, 8, *(04733h) ; 7536
+ STL B, 8, *AR7 ; 7537
+ STL B, 8, *AR7- ; 7538
+ STL B, 8, *AR7+ ; 7539
+ STL B, 8, *+AR7 ; 7540
+ STL B, 8, *AR7-0B ; 7541
+ STL B, 8, *AR7-0 ; 7542
+ STL B, 8, *AR7+0 ; 7543
+ STL B, 8, *AR7+0B ; 7544
+ STL B, 8, *AR7-% ; 7545
+ STL B, 8, *AR7-0% ; 7546
+ STL B, 8, *AR7+% ; 7547
+ STL B, 8, *AR7+0% ; 7548
+ STL B, 8, *AR7(04734h) ; 7549
+ STL B, 8, *+AR7(04735h) ; 7550
+ STL B, 8, *+AR7(04736h)% ; 7551
+ STL B, 8, *(04737h) ; 7552
+ STL B, 9, *AR0 ; 7553
+ STL B, 9, *AR0- ; 7554
+ STL B, 9, *AR0+ ; 7555
+ STL B, 9, *+AR0 ; 7556
+ STL B, 9, *AR0-0B ; 7557
+ STL B, 9, *AR0-0 ; 7558
+ STL B, 9, *AR0+0 ; 7559
+ STL B, 9, *AR0+0B ; 7560
+ STL B, 9, *AR0-% ; 7561
+ STL B, 9, *AR0-0% ; 7562
+ STL B, 9, *AR0+% ; 7563
+ STL B, 9, *AR0+0% ; 7564
+ STL B, 9, *AR0(04738h) ; 7565
+ STL B, 9, *+AR0(04739h) ; 7566
+ STL B, 9, *+AR0(0473Ah)% ; 7567
+ STL B, 9, *(0473Bh) ; 7568
+ STL B, 9, *AR1 ; 7569
+ STL B, 9, *AR1- ; 7570
+ STL B, 9, *AR1+ ; 7571
+ STL B, 9, *+AR1 ; 7572
+ STL B, 9, *AR1-0B ; 7573
+ STL B, 9, *AR1-0 ; 7574
+ STL B, 9, *AR1+0 ; 7575
+ STL B, 9, *AR1+0B ; 7576
+ STL B, 9, *AR1-% ; 7577
+ STL B, 9, *AR1-0% ; 7578
+ STL B, 9, *AR1+% ; 7579
+ STL B, 9, *AR1+0% ; 7580
+ STL B, 9, *AR1(0473Ch) ; 7581
+ STL B, 9, *+AR1(0473Dh) ; 7582
+ STL B, 9, *+AR1(0473Eh)% ; 7583
+ STL B, 9, *(0473Fh) ; 7584
+ STL B, 9, *AR2 ; 7585
+ STL B, 9, *AR2- ; 7586
+ STL B, 9, *AR2+ ; 7587
+ STL B, 9, *+AR2 ; 7588
+ STL B, 9, *AR2-0B ; 7589
+ STL B, 9, *AR2-0 ; 7590
+ STL B, 9, *AR2+0 ; 7591
+ STL B, 9, *AR2+0B ; 7592
+ STL B, 9, *AR2-% ; 7593
+ STL B, 9, *AR2-0% ; 7594
+ STL B, 9, *AR2+% ; 7595
+ STL B, 9, *AR2+0% ; 7596
+ STL B, 9, *AR2(04740h) ; 7597
+ STL B, 9, *+AR2(04741h) ; 7598
+ STL B, 9, *+AR2(04742h)% ; 7599
+ STL B, 9, *(04743h) ; 7600
+ STL B, 9, *AR3 ; 7601
+ STL B, 9, *AR3- ; 7602
+ STL B, 9, *AR3+ ; 7603
+ STL B, 9, *+AR3 ; 7604
+ STL B, 9, *AR3-0B ; 7605
+ STL B, 9, *AR3-0 ; 7606
+ STL B, 9, *AR3+0 ; 7607
+ STL B, 9, *AR3+0B ; 7608
+ STL B, 9, *AR3-% ; 7609
+ STL B, 9, *AR3-0% ; 7610
+ STL B, 9, *AR3+% ; 7611
+ STL B, 9, *AR3+0% ; 7612
+ STL B, 9, *AR3(04744h) ; 7613
+ STL B, 9, *+AR3(04745h) ; 7614
+ STL B, 9, *+AR3(04746h)% ; 7615
+ STL B, 9, *(04747h) ; 7616
+ STL B, 9, *AR4 ; 7617
+ STL B, 9, *AR4- ; 7618
+ STL B, 9, *AR4+ ; 7619
+ STL B, 9, *+AR4 ; 7620
+ STL B, 9, *AR4-0B ; 7621
+ STL B, 9, *AR4-0 ; 7622
+ STL B, 9, *AR4+0 ; 7623
+ STL B, 9, *AR4+0B ; 7624
+ STL B, 9, *AR4-% ; 7625
+ STL B, 9, *AR4-0% ; 7626
+ STL B, 9, *AR4+% ; 7627
+ STL B, 9, *AR4+0% ; 7628
+ STL B, 9, *AR4(04748h) ; 7629
+ STL B, 9, *+AR4(04749h) ; 7630
+ STL B, 9, *+AR4(0474Ah)% ; 7631
+ STL B, 9, *(0474Bh) ; 7632
+ STL B, 9, *AR5 ; 7633
+ STL B, 9, *AR5- ; 7634
+ STL B, 9, *AR5+ ; 7635
+ STL B, 9, *+AR5 ; 7636
+ STL B, 9, *AR5-0B ; 7637
+ STL B, 9, *AR5-0 ; 7638
+ STL B, 9, *AR5+0 ; 7639
+ STL B, 9, *AR5+0B ; 7640
+ STL B, 9, *AR5-% ; 7641
+ STL B, 9, *AR5-0% ; 7642
+ STL B, 9, *AR5+% ; 7643
+ STL B, 9, *AR5+0% ; 7644
+ STL B, 9, *AR5(0474Ch) ; 7645
+ STL B, 9, *+AR5(0474Dh) ; 7646
+ STL B, 9, *+AR5(0474Eh)% ; 7647
+ STL B, 9, *(0474Fh) ; 7648
+ STL B, 9, *AR6 ; 7649
+ STL B, 9, *AR6- ; 7650
+ STL B, 9, *AR6+ ; 7651
+ STL B, 9, *+AR6 ; 7652
+ STL B, 9, *AR6-0B ; 7653
+ STL B, 9, *AR6-0 ; 7654
+ STL B, 9, *AR6+0 ; 7655
+ STL B, 9, *AR6+0B ; 7656
+ STL B, 9, *AR6-% ; 7657
+ STL B, 9, *AR6-0% ; 7658
+ STL B, 9, *AR6+% ; 7659
+ STL B, 9, *AR6+0% ; 7660
+ STL B, 9, *AR6(04750h) ; 7661
+ STL B, 9, *+AR6(04751h) ; 7662
+ STL B, 9, *+AR6(04752h)% ; 7663
+ STL B, 9, *(04753h) ; 7664
+ STL B, 9, *AR7 ; 7665
+ STL B, 9, *AR7- ; 7666
+ STL B, 9, *AR7+ ; 7667
+ STL B, 9, *+AR7 ; 7668
+ STL B, 9, *AR7-0B ; 7669
+ STL B, 9, *AR7-0 ; 7670
+ STL B, 9, *AR7+0 ; 7671
+ STL B, 9, *AR7+0B ; 7672
+ STL B, 9, *AR7-% ; 7673
+ STL B, 9, *AR7-0% ; 7674
+ STL B, 9, *AR7+% ; 7675
+ STL B, 9, *AR7+0% ; 7676
+ STL B, 9, *AR7(04754h) ; 7677
+ STL B, 9, *+AR7(04755h) ; 7678
+ STL B, 9, *+AR7(04756h)% ; 7679
+ STL B, 9, *(04757h) ; 7680
+ STL B, 10, *AR0 ; 7681
+ STL B, 10, *AR0- ; 7682
+ STL B, 10, *AR0+ ; 7683
+ STL B, 10, *+AR0 ; 7684
+ STL B, 10, *AR0-0B ; 7685
+ STL B, 10, *AR0-0 ; 7686
+ STL B, 10, *AR0+0 ; 7687
+ STL B, 10, *AR0+0B ; 7688
+ STL B, 10, *AR0-% ; 7689
+ STL B, 10, *AR0-0% ; 7690
+ STL B, 10, *AR0+% ; 7691
+ STL B, 10, *AR0+0% ; 7692
+ STL B, 10, *AR0(04758h) ; 7693
+ STL B, 10, *+AR0(04759h) ; 7694
+ STL B, 10, *+AR0(0475Ah)% ; 7695
+ STL B, 10, *(0475Bh) ; 7696
+ STL B, 10, *AR1 ; 7697
+ STL B, 10, *AR1- ; 7698
+ STL B, 10, *AR1+ ; 7699
+ STL B, 10, *+AR1 ; 7700
+ STL B, 10, *AR1-0B ; 7701
+ STL B, 10, *AR1-0 ; 7702
+ STL B, 10, *AR1+0 ; 7703
+ STL B, 10, *AR1+0B ; 7704
+ STL B, 10, *AR1-% ; 7705
+ STL B, 10, *AR1-0% ; 7706
+ STL B, 10, *AR1+% ; 7707
+ STL B, 10, *AR1+0% ; 7708
+ STL B, 10, *AR1(0475Ch) ; 7709
+ STL B, 10, *+AR1(0475Dh) ; 7710
+ STL B, 10, *+AR1(0475Eh)% ; 7711
+ STL B, 10, *(0475Fh) ; 7712
+ STL B, 10, *AR2 ; 7713
+ STL B, 10, *AR2- ; 7714
+ STL B, 10, *AR2+ ; 7715
+ STL B, 10, *+AR2 ; 7716
+ STL B, 10, *AR2-0B ; 7717
+ STL B, 10, *AR2-0 ; 7718
+ STL B, 10, *AR2+0 ; 7719
+ STL B, 10, *AR2+0B ; 7720
+ STL B, 10, *AR2-% ; 7721
+ STL B, 10, *AR2-0% ; 7722
+ STL B, 10, *AR2+% ; 7723
+ STL B, 10, *AR2+0% ; 7724
+ STL B, 10, *AR2(04760h) ; 7725
+ STL B, 10, *+AR2(04761h) ; 7726
+ STL B, 10, *+AR2(04762h)% ; 7727
+ STL B, 10, *(04763h) ; 7728
+ STL B, 10, *AR3 ; 7729
+ STL B, 10, *AR3- ; 7730
+ STL B, 10, *AR3+ ; 7731
+ STL B, 10, *+AR3 ; 7732
+ STL B, 10, *AR3-0B ; 7733
+ STL B, 10, *AR3-0 ; 7734
+ STL B, 10, *AR3+0 ; 7735
+ STL B, 10, *AR3+0B ; 7736
+ STL B, 10, *AR3-% ; 7737
+ STL B, 10, *AR3-0% ; 7738
+ STL B, 10, *AR3+% ; 7739
+ STL B, 10, *AR3+0% ; 7740
+ STL B, 10, *AR3(04764h) ; 7741
+ STL B, 10, *+AR3(04765h) ; 7742
+ STL B, 10, *+AR3(04766h)% ; 7743
+ STL B, 10, *(04767h) ; 7744
+ STL B, 10, *AR4 ; 7745
+ STL B, 10, *AR4- ; 7746
+ STL B, 10, *AR4+ ; 7747
+ STL B, 10, *+AR4 ; 7748
+ STL B, 10, *AR4-0B ; 7749
+ STL B, 10, *AR4-0 ; 7750
+ STL B, 10, *AR4+0 ; 7751
+ STL B, 10, *AR4+0B ; 7752
+ STL B, 10, *AR4-% ; 7753
+ STL B, 10, *AR4-0% ; 7754
+ STL B, 10, *AR4+% ; 7755
+ STL B, 10, *AR4+0% ; 7756
+ STL B, 10, *AR4(04768h) ; 7757
+ STL B, 10, *+AR4(04769h) ; 7758
+ STL B, 10, *+AR4(0476Ah)% ; 7759
+ STL B, 10, *(0476Bh) ; 7760
+ STL B, 10, *AR5 ; 7761
+ STL B, 10, *AR5- ; 7762
+ STL B, 10, *AR5+ ; 7763
+ STL B, 10, *+AR5 ; 7764
+ STL B, 10, *AR5-0B ; 7765
+ STL B, 10, *AR5-0 ; 7766
+ STL B, 10, *AR5+0 ; 7767
+ STL B, 10, *AR5+0B ; 7768
+ STL B, 10, *AR5-% ; 7769
+ STL B, 10, *AR5-0% ; 7770
+ STL B, 10, *AR5+% ; 7771
+ STL B, 10, *AR5+0% ; 7772
+ STL B, 10, *AR5(0476Ch) ; 7773
+ STL B, 10, *+AR5(0476Dh) ; 7774
+ STL B, 10, *+AR5(0476Eh)% ; 7775
+ STL B, 10, *(0476Fh) ; 7776
+ STL B, 10, *AR6 ; 7777
+ STL B, 10, *AR6- ; 7778
+ STL B, 10, *AR6+ ; 7779
+ STL B, 10, *+AR6 ; 7780
+ STL B, 10, *AR6-0B ; 7781
+ STL B, 10, *AR6-0 ; 7782
+ STL B, 10, *AR6+0 ; 7783
+ STL B, 10, *AR6+0B ; 7784
+ STL B, 10, *AR6-% ; 7785
+ STL B, 10, *AR6-0% ; 7786
+ STL B, 10, *AR6+% ; 7787
+ STL B, 10, *AR6+0% ; 7788
+ STL B, 10, *AR6(04770h) ; 7789
+ STL B, 10, *+AR6(04771h) ; 7790
+ STL B, 10, *+AR6(04772h)% ; 7791
+ STL B, 10, *(04773h) ; 7792
+ STL B, 10, *AR7 ; 7793
+ STL B, 10, *AR7- ; 7794
+ STL B, 10, *AR7+ ; 7795
+ STL B, 10, *+AR7 ; 7796
+ STL B, 10, *AR7-0B ; 7797
+ STL B, 10, *AR7-0 ; 7798
+ STL B, 10, *AR7+0 ; 7799
+ STL B, 10, *AR7+0B ; 7800
+ STL B, 10, *AR7-% ; 7801
+ STL B, 10, *AR7-0% ; 7802
+ STL B, 10, *AR7+% ; 7803
+ STL B, 10, *AR7+0% ; 7804
+ STL B, 10, *AR7(04774h) ; 7805
+ STL B, 10, *+AR7(04775h) ; 7806
+ STL B, 10, *+AR7(04776h)% ; 7807
+ STL B, 10, *(04777h) ; 7808
+ STL B, 11, *AR0 ; 7809
+ STL B, 11, *AR0- ; 7810
+ STL B, 11, *AR0+ ; 7811
+ STL B, 11, *+AR0 ; 7812
+ STL B, 11, *AR0-0B ; 7813
+ STL B, 11, *AR0-0 ; 7814
+ STL B, 11, *AR0+0 ; 7815
+ STL B, 11, *AR0+0B ; 7816
+ STL B, 11, *AR0-% ; 7817
+ STL B, 11, *AR0-0% ; 7818
+ STL B, 11, *AR0+% ; 7819
+ STL B, 11, *AR0+0% ; 7820
+ STL B, 11, *AR0(04778h) ; 7821
+ STL B, 11, *+AR0(04779h) ; 7822
+ STL B, 11, *+AR0(0477Ah)% ; 7823
+ STL B, 11, *(0477Bh) ; 7824
+ STL B, 11, *AR1 ; 7825
+ STL B, 11, *AR1- ; 7826
+ STL B, 11, *AR1+ ; 7827
+ STL B, 11, *+AR1 ; 7828
+ STL B, 11, *AR1-0B ; 7829
+ STL B, 11, *AR1-0 ; 7830
+ STL B, 11, *AR1+0 ; 7831
+ STL B, 11, *AR1+0B ; 7832
+ STL B, 11, *AR1-% ; 7833
+ STL B, 11, *AR1-0% ; 7834
+ STL B, 11, *AR1+% ; 7835
+ STL B, 11, *AR1+0% ; 7836
+ STL B, 11, *AR1(0477Ch) ; 7837
+ STL B, 11, *+AR1(0477Dh) ; 7838
+ STL B, 11, *+AR1(0477Eh)% ; 7839
+ STL B, 11, *(0477Fh) ; 7840
+ STL B, 11, *AR2 ; 7841
+ STL B, 11, *AR2- ; 7842
+ STL B, 11, *AR2+ ; 7843
+ STL B, 11, *+AR2 ; 7844
+ STL B, 11, *AR2-0B ; 7845
+ STL B, 11, *AR2-0 ; 7846
+ STL B, 11, *AR2+0 ; 7847
+ STL B, 11, *AR2+0B ; 7848
+ STL B, 11, *AR2-% ; 7849
+ STL B, 11, *AR2-0% ; 7850
+ STL B, 11, *AR2+% ; 7851
+ STL B, 11, *AR2+0% ; 7852
+ STL B, 11, *AR2(04780h) ; 7853
+ STL B, 11, *+AR2(04781h) ; 7854
+ STL B, 11, *+AR2(04782h)% ; 7855
+ STL B, 11, *(04783h) ; 7856
+ STL B, 11, *AR3 ; 7857
+ STL B, 11, *AR3- ; 7858
+ STL B, 11, *AR3+ ; 7859
+ STL B, 11, *+AR3 ; 7860
+ STL B, 11, *AR3-0B ; 7861
+ STL B, 11, *AR3-0 ; 7862
+ STL B, 11, *AR3+0 ; 7863
+ STL B, 11, *AR3+0B ; 7864
+ STL B, 11, *AR3-% ; 7865
+ STL B, 11, *AR3-0% ; 7866
+ STL B, 11, *AR3+% ; 7867
+ STL B, 11, *AR3+0% ; 7868
+ STL B, 11, *AR3(04784h) ; 7869
+ STL B, 11, *+AR3(04785h) ; 7870
+ STL B, 11, *+AR3(04786h)% ; 7871
+ STL B, 11, *(04787h) ; 7872
+ STL B, 11, *AR4 ; 7873
+ STL B, 11, *AR4- ; 7874
+ STL B, 11, *AR4+ ; 7875
+ STL B, 11, *+AR4 ; 7876
+ STL B, 11, *AR4-0B ; 7877
+ STL B, 11, *AR4-0 ; 7878
+ STL B, 11, *AR4+0 ; 7879
+ STL B, 11, *AR4+0B ; 7880
+ STL B, 11, *AR4-% ; 7881
+ STL B, 11, *AR4-0% ; 7882
+ STL B, 11, *AR4+% ; 7883
+ STL B, 11, *AR4+0% ; 7884
+ STL B, 11, *AR4(04788h) ; 7885
+ STL B, 11, *+AR4(04789h) ; 7886
+ STL B, 11, *+AR4(0478Ah)% ; 7887
+ STL B, 11, *(0478Bh) ; 7888
+ STL B, 11, *AR5 ; 7889
+ STL B, 11, *AR5- ; 7890
+ STL B, 11, *AR5+ ; 7891
+ STL B, 11, *+AR5 ; 7892
+ STL B, 11, *AR5-0B ; 7893
+ STL B, 11, *AR5-0 ; 7894
+ STL B, 11, *AR5+0 ; 7895
+ STL B, 11, *AR5+0B ; 7896
+ STL B, 11, *AR5-% ; 7897
+ STL B, 11, *AR5-0% ; 7898
+ STL B, 11, *AR5+% ; 7899
+ STL B, 11, *AR5+0% ; 7900
+ STL B, 11, *AR5(0478Ch) ; 7901
+ STL B, 11, *+AR5(0478Dh) ; 7902
+ STL B, 11, *+AR5(0478Eh)% ; 7903
+ STL B, 11, *(0478Fh) ; 7904
+ STL B, 11, *AR6 ; 7905
+ STL B, 11, *AR6- ; 7906
+ STL B, 11, *AR6+ ; 7907
+ STL B, 11, *+AR6 ; 7908
+ STL B, 11, *AR6-0B ; 7909
+ STL B, 11, *AR6-0 ; 7910
+ STL B, 11, *AR6+0 ; 7911
+ STL B, 11, *AR6+0B ; 7912
+ STL B, 11, *AR6-% ; 7913
+ STL B, 11, *AR6-0% ; 7914
+ STL B, 11, *AR6+% ; 7915
+ STL B, 11, *AR6+0% ; 7916
+ STL B, 11, *AR6(04790h) ; 7917
+ STL B, 11, *+AR6(04791h) ; 7918
+ STL B, 11, *+AR6(04792h)% ; 7919
+ STL B, 11, *(04793h) ; 7920
+ STL B, 11, *AR7 ; 7921
+ STL B, 11, *AR7- ; 7922
+ STL B, 11, *AR7+ ; 7923
+ STL B, 11, *+AR7 ; 7924
+ STL B, 11, *AR7-0B ; 7925
+ STL B, 11, *AR7-0 ; 7926
+ STL B, 11, *AR7+0 ; 7927
+ STL B, 11, *AR7+0B ; 7928
+ STL B, 11, *AR7-% ; 7929
+ STL B, 11, *AR7-0% ; 7930
+ STL B, 11, *AR7+% ; 7931
+ STL B, 11, *AR7+0% ; 7932
+ STL B, 11, *AR7(04794h) ; 7933
+ STL B, 11, *+AR7(04795h) ; 7934
+ STL B, 11, *+AR7(04796h)% ; 7935
+ STL B, 11, *(04797h) ; 7936
+ STL B, 12, *AR0 ; 7937
+ STL B, 12, *AR0- ; 7938
+ STL B, 12, *AR0+ ; 7939
+ STL B, 12, *+AR0 ; 7940
+ STL B, 12, *AR0-0B ; 7941
+ STL B, 12, *AR0-0 ; 7942
+ STL B, 12, *AR0+0 ; 7943
+ STL B, 12, *AR0+0B ; 7944
+ STL B, 12, *AR0-% ; 7945
+ STL B, 12, *AR0-0% ; 7946
+ STL B, 12, *AR0+% ; 7947
+ STL B, 12, *AR0+0% ; 7948
+ STL B, 12, *AR0(04798h) ; 7949
+ STL B, 12, *+AR0(04799h) ; 7950
+ STL B, 12, *+AR0(0479Ah)% ; 7951
+ STL B, 12, *(0479Bh) ; 7952
+ STL B, 12, *AR1 ; 7953
+ STL B, 12, *AR1- ; 7954
+ STL B, 12, *AR1+ ; 7955
+ STL B, 12, *+AR1 ; 7956
+ STL B, 12, *AR1-0B ; 7957
+ STL B, 12, *AR1-0 ; 7958
+ STL B, 12, *AR1+0 ; 7959
+ STL B, 12, *AR1+0B ; 7960
+ STL B, 12, *AR1-% ; 7961
+ STL B, 12, *AR1-0% ; 7962
+ STL B, 12, *AR1+% ; 7963
+ STL B, 12, *AR1+0% ; 7964
+ STL B, 12, *AR1(0479Ch) ; 7965
+ STL B, 12, *+AR1(0479Dh) ; 7966
+ STL B, 12, *+AR1(0479Eh)% ; 7967
+ STL B, 12, *(0479Fh) ; 7968
+ STL B, 12, *AR2 ; 7969
+ STL B, 12, *AR2- ; 7970
+ STL B, 12, *AR2+ ; 7971
+ STL B, 12, *+AR2 ; 7972
+ STL B, 12, *AR2-0B ; 7973
+ STL B, 12, *AR2-0 ; 7974
+ STL B, 12, *AR2+0 ; 7975
+ STL B, 12, *AR2+0B ; 7976
+ STL B, 12, *AR2-% ; 7977
+ STL B, 12, *AR2-0% ; 7978
+ STL B, 12, *AR2+% ; 7979
+ STL B, 12, *AR2+0% ; 7980
+ STL B, 12, *AR2(047A0h) ; 7981
+ STL B, 12, *+AR2(047A1h) ; 7982
+ STL B, 12, *+AR2(047A2h)% ; 7983
+ STL B, 12, *(047A3h) ; 7984
+ STL B, 12, *AR3 ; 7985
+ STL B, 12, *AR3- ; 7986
+ STL B, 12, *AR3+ ; 7987
+ STL B, 12, *+AR3 ; 7988
+ STL B, 12, *AR3-0B ; 7989
+ STL B, 12, *AR3-0 ; 7990
+ STL B, 12, *AR3+0 ; 7991
+ STL B, 12, *AR3+0B ; 7992
+ STL B, 12, *AR3-% ; 7993
+ STL B, 12, *AR3-0% ; 7994
+ STL B, 12, *AR3+% ; 7995
+ STL B, 12, *AR3+0% ; 7996
+ STL B, 12, *AR3(047A4h) ; 7997
+ STL B, 12, *+AR3(047A5h) ; 7998
+ STL B, 12, *+AR3(047A6h)% ; 7999
+ STL B, 12, *(047A7h) ; 8000
+ STL B, 12, *AR4 ; 8001
+ STL B, 12, *AR4- ; 8002
+ STL B, 12, *AR4+ ; 8003
+ STL B, 12, *+AR4 ; 8004
+ STL B, 12, *AR4-0B ; 8005
+ STL B, 12, *AR4-0 ; 8006
+ STL B, 12, *AR4+0 ; 8007
+ STL B, 12, *AR4+0B ; 8008
+ STL B, 12, *AR4-% ; 8009
+ STL B, 12, *AR4-0% ; 8010
+ STL B, 12, *AR4+% ; 8011
+ STL B, 12, *AR4+0% ; 8012
+ STL B, 12, *AR4(047A8h) ; 8013
+ STL B, 12, *+AR4(047A9h) ; 8014
+ STL B, 12, *+AR4(047AAh)% ; 8015
+ STL B, 12, *(047ABh) ; 8016
+ STL B, 12, *AR5 ; 8017
+ STL B, 12, *AR5- ; 8018
+ STL B, 12, *AR5+ ; 8019
+ STL B, 12, *+AR5 ; 8020
+ STL B, 12, *AR5-0B ; 8021
+ STL B, 12, *AR5-0 ; 8022
+ STL B, 12, *AR5+0 ; 8023
+ STL B, 12, *AR5+0B ; 8024
+ STL B, 12, *AR5-% ; 8025
+ STL B, 12, *AR5-0% ; 8026
+ STL B, 12, *AR5+% ; 8027
+ STL B, 12, *AR5+0% ; 8028
+ STL B, 12, *AR5(047ACh) ; 8029
+ STL B, 12, *+AR5(047ADh) ; 8030
+ STL B, 12, *+AR5(047AEh)% ; 8031
+ STL B, 12, *(047AFh) ; 8032
+ STL B, 12, *AR6 ; 8033
+ STL B, 12, *AR6- ; 8034
+ STL B, 12, *AR6+ ; 8035
+ STL B, 12, *+AR6 ; 8036
+ STL B, 12, *AR6-0B ; 8037
+ STL B, 12, *AR6-0 ; 8038
+ STL B, 12, *AR6+0 ; 8039
+ STL B, 12, *AR6+0B ; 8040
+ STL B, 12, *AR6-% ; 8041
+ STL B, 12, *AR6-0% ; 8042
+ STL B, 12, *AR6+% ; 8043
+ STL B, 12, *AR6+0% ; 8044
+ STL B, 12, *AR6(047B0h) ; 8045
+ STL B, 12, *+AR6(047B1h) ; 8046
+ STL B, 12, *+AR6(047B2h)% ; 8047
+ STL B, 12, *(047B3h) ; 8048
+ STL B, 12, *AR7 ; 8049
+ STL B, 12, *AR7- ; 8050
+ STL B, 12, *AR7+ ; 8051
+ STL B, 12, *+AR7 ; 8052
+ STL B, 12, *AR7-0B ; 8053
+ STL B, 12, *AR7-0 ; 8054
+ STL B, 12, *AR7+0 ; 8055
+ STL B, 12, *AR7+0B ; 8056
+ STL B, 12, *AR7-% ; 8057
+ STL B, 12, *AR7-0% ; 8058
+ STL B, 12, *AR7+% ; 8059
+ STL B, 12, *AR7+0% ; 8060
+ STL B, 12, *AR7(047B4h) ; 8061
+ STL B, 12, *+AR7(047B5h) ; 8062
+ STL B, 12, *+AR7(047B6h)% ; 8063
+ STL B, 12, *(047B7h) ; 8064
+ STL B, 13, *AR0 ; 8065
+ STL B, 13, *AR0- ; 8066
+ STL B, 13, *AR0+ ; 8067
+ STL B, 13, *+AR0 ; 8068
+ STL B, 13, *AR0-0B ; 8069
+ STL B, 13, *AR0-0 ; 8070
+ STL B, 13, *AR0+0 ; 8071
+ STL B, 13, *AR0+0B ; 8072
+ STL B, 13, *AR0-% ; 8073
+ STL B, 13, *AR0-0% ; 8074
+ STL B, 13, *AR0+% ; 8075
+ STL B, 13, *AR0+0% ; 8076
+ STL B, 13, *AR0(047B8h) ; 8077
+ STL B, 13, *+AR0(047B9h) ; 8078
+ STL B, 13, *+AR0(047BAh)% ; 8079
+ STL B, 13, *(047BBh) ; 8080
+ STL B, 13, *AR1 ; 8081
+ STL B, 13, *AR1- ; 8082
+ STL B, 13, *AR1+ ; 8083
+ STL B, 13, *+AR1 ; 8084
+ STL B, 13, *AR1-0B ; 8085
+ STL B, 13, *AR1-0 ; 8086
+ STL B, 13, *AR1+0 ; 8087
+ STL B, 13, *AR1+0B ; 8088
+ STL B, 13, *AR1-% ; 8089
+ STL B, 13, *AR1-0% ; 8090
+ STL B, 13, *AR1+% ; 8091
+ STL B, 13, *AR1+0% ; 8092
+ STL B, 13, *AR1(047BCh) ; 8093
+ STL B, 13, *+AR1(047BDh) ; 8094
+ STL B, 13, *+AR1(047BEh)% ; 8095
+ STL B, 13, *(047BFh) ; 8096
+ STL B, 13, *AR2 ; 8097
+ STL B, 13, *AR2- ; 8098
+ STL B, 13, *AR2+ ; 8099
+ STL B, 13, *+AR2 ; 8100
+ STL B, 13, *AR2-0B ; 8101
+ STL B, 13, *AR2-0 ; 8102
+ STL B, 13, *AR2+0 ; 8103
+ STL B, 13, *AR2+0B ; 8104
+ STL B, 13, *AR2-% ; 8105
+ STL B, 13, *AR2-0% ; 8106
+ STL B, 13, *AR2+% ; 8107
+ STL B, 13, *AR2+0% ; 8108
+ STL B, 13, *AR2(047C0h) ; 8109
+ STL B, 13, *+AR2(047C1h) ; 8110
+ STL B, 13, *+AR2(047C2h)% ; 8111
+ STL B, 13, *(047C3h) ; 8112
+ STL B, 13, *AR3 ; 8113
+ STL B, 13, *AR3- ; 8114
+ STL B, 13, *AR3+ ; 8115
+ STL B, 13, *+AR3 ; 8116
+ STL B, 13, *AR3-0B ; 8117
+ STL B, 13, *AR3-0 ; 8118
+ STL B, 13, *AR3+0 ; 8119
+ STL B, 13, *AR3+0B ; 8120
+ STL B, 13, *AR3-% ; 8121
+ STL B, 13, *AR3-0% ; 8122
+ STL B, 13, *AR3+% ; 8123
+ STL B, 13, *AR3+0% ; 8124
+ STL B, 13, *AR3(047C4h) ; 8125
+ STL B, 13, *+AR3(047C5h) ; 8126
+ STL B, 13, *+AR3(047C6h)% ; 8127
+ STL B, 13, *(047C7h) ; 8128
+ STL B, 13, *AR4 ; 8129
+ STL B, 13, *AR4- ; 8130
+ STL B, 13, *AR4+ ; 8131
+ STL B, 13, *+AR4 ; 8132
+ STL B, 13, *AR4-0B ; 8133
+ STL B, 13, *AR4-0 ; 8134
+ STL B, 13, *AR4+0 ; 8135
+ STL B, 13, *AR4+0B ; 8136
+ STL B, 13, *AR4-% ; 8137
+ STL B, 13, *AR4-0% ; 8138
+ STL B, 13, *AR4+% ; 8139
+ STL B, 13, *AR4+0% ; 8140
+ STL B, 13, *AR4(047C8h) ; 8141
+ STL B, 13, *+AR4(047C9h) ; 8142
+ STL B, 13, *+AR4(047CAh)% ; 8143
+ STL B, 13, *(047CBh) ; 8144
+ STL B, 13, *AR5 ; 8145
+ STL B, 13, *AR5- ; 8146
+ STL B, 13, *AR5+ ; 8147
+ STL B, 13, *+AR5 ; 8148
+ STL B, 13, *AR5-0B ; 8149
+ STL B, 13, *AR5-0 ; 8150
+ STL B, 13, *AR5+0 ; 8151
+ STL B, 13, *AR5+0B ; 8152
+ STL B, 13, *AR5-% ; 8153
+ STL B, 13, *AR5-0% ; 8154
+ STL B, 13, *AR5+% ; 8155
+ STL B, 13, *AR5+0% ; 8156
+ STL B, 13, *AR5(047CCh) ; 8157
+ STL B, 13, *+AR5(047CDh) ; 8158
+ STL B, 13, *+AR5(047CEh)% ; 8159
+ STL B, 13, *(047CFh) ; 8160
+ STL B, 13, *AR6 ; 8161
+ STL B, 13, *AR6- ; 8162
+ STL B, 13, *AR6+ ; 8163
+ STL B, 13, *+AR6 ; 8164
+ STL B, 13, *AR6-0B ; 8165
+ STL B, 13, *AR6-0 ; 8166
+ STL B, 13, *AR6+0 ; 8167
+ STL B, 13, *AR6+0B ; 8168
+ STL B, 13, *AR6-% ; 8169
+ STL B, 13, *AR6-0% ; 8170
+ STL B, 13, *AR6+% ; 8171
+ STL B, 13, *AR6+0% ; 8172
+ STL B, 13, *AR6(047D0h) ; 8173
+ STL B, 13, *+AR6(047D1h) ; 8174
+ STL B, 13, *+AR6(047D2h)% ; 8175
+ STL B, 13, *(047D3h) ; 8176
+ STL B, 13, *AR7 ; 8177
+ STL B, 13, *AR7- ; 8178
+ STL B, 13, *AR7+ ; 8179
+ STL B, 13, *+AR7 ; 8180
+ STL B, 13, *AR7-0B ; 8181
+ STL B, 13, *AR7-0 ; 8182
+ STL B, 13, *AR7+0 ; 8183
+ STL B, 13, *AR7+0B ; 8184
+ STL B, 13, *AR7-% ; 8185
+ STL B, 13, *AR7-0% ; 8186
+ STL B, 13, *AR7+% ; 8187
+ STL B, 13, *AR7+0% ; 8188
+ STL B, 13, *AR7(047D4h) ; 8189
+ STL B, 13, *+AR7(047D5h) ; 8190
+ STL B, 13, *+AR7(047D6h)% ; 8191
+ STL B, 13, *(047D7h) ; 8192
+ STL B, 14, *AR0 ; 8193
+ STL B, 14, *AR0- ; 8194
+ STL B, 14, *AR0+ ; 8195
+ STL B, 14, *+AR0 ; 8196
+ STL B, 14, *AR0-0B ; 8197
+ STL B, 14, *AR0-0 ; 8198
+ STL B, 14, *AR0+0 ; 8199
+ STL B, 14, *AR0+0B ; 8200
+ STL B, 14, *AR0-% ; 8201
+ STL B, 14, *AR0-0% ; 8202
+ STL B, 14, *AR0+% ; 8203
+ STL B, 14, *AR0+0% ; 8204
+ STL B, 14, *AR0(047D8h) ; 8205
+ STL B, 14, *+AR0(047D9h) ; 8206
+ STL B, 14, *+AR0(047DAh)% ; 8207
+ STL B, 14, *(047DBh) ; 8208
+ STL B, 14, *AR1 ; 8209
+ STL B, 14, *AR1- ; 8210
+ STL B, 14, *AR1+ ; 8211
+ STL B, 14, *+AR1 ; 8212
+ STL B, 14, *AR1-0B ; 8213
+ STL B, 14, *AR1-0 ; 8214
+ STL B, 14, *AR1+0 ; 8215
+ STL B, 14, *AR1+0B ; 8216
+ STL B, 14, *AR1-% ; 8217
+ STL B, 14, *AR1-0% ; 8218
+ STL B, 14, *AR1+% ; 8219
+ STL B, 14, *AR1+0% ; 8220
+ STL B, 14, *AR1(047DCh) ; 8221
+ STL B, 14, *+AR1(047DDh) ; 8222
+ STL B, 14, *+AR1(047DEh)% ; 8223
+ STL B, 14, *(047DFh) ; 8224
+ STL B, 14, *AR2 ; 8225
+ STL B, 14, *AR2- ; 8226
+ STL B, 14, *AR2+ ; 8227
+ STL B, 14, *+AR2 ; 8228
+ STL B, 14, *AR2-0B ; 8229
+ STL B, 14, *AR2-0 ; 8230
+ STL B, 14, *AR2+0 ; 8231
+ STL B, 14, *AR2+0B ; 8232
+ STL B, 14, *AR2-% ; 8233
+ STL B, 14, *AR2-0% ; 8234
+ STL B, 14, *AR2+% ; 8235
+ STL B, 14, *AR2+0% ; 8236
+ STL B, 14, *AR2(047E0h) ; 8237
+ STL B, 14, *+AR2(047E1h) ; 8238
+ STL B, 14, *+AR2(047E2h)% ; 8239
+ STL B, 14, *(047E3h) ; 8240
+ STL B, 14, *AR3 ; 8241
+ STL B, 14, *AR3- ; 8242
+ STL B, 14, *AR3+ ; 8243
+ STL B, 14, *+AR3 ; 8244
+ STL B, 14, *AR3-0B ; 8245
+ STL B, 14, *AR3-0 ; 8246
+ STL B, 14, *AR3+0 ; 8247
+ STL B, 14, *AR3+0B ; 8248
+ STL B, 14, *AR3-% ; 8249
+ STL B, 14, *AR3-0% ; 8250
+ STL B, 14, *AR3+% ; 8251
+ STL B, 14, *AR3+0% ; 8252
+ STL B, 14, *AR3(047E4h) ; 8253
+ STL B, 14, *+AR3(047E5h) ; 8254
+ STL B, 14, *+AR3(047E6h)% ; 8255
+ STL B, 14, *(047E7h) ; 8256
+ STL B, 14, *AR4 ; 8257
+ STL B, 14, *AR4- ; 8258
+ STL B, 14, *AR4+ ; 8259
+ STL B, 14, *+AR4 ; 8260
+ STL B, 14, *AR4-0B ; 8261
+ STL B, 14, *AR4-0 ; 8262
+ STL B, 14, *AR4+0 ; 8263
+ STL B, 14, *AR4+0B ; 8264
+ STL B, 14, *AR4-% ; 8265
+ STL B, 14, *AR4-0% ; 8266
+ STL B, 14, *AR4+% ; 8267
+ STL B, 14, *AR4+0% ; 8268
+ STL B, 14, *AR4(047E8h) ; 8269
+ STL B, 14, *+AR4(047E9h) ; 8270
+ STL B, 14, *+AR4(047EAh)% ; 8271
+ STL B, 14, *(047EBh) ; 8272
+ STL B, 14, *AR5 ; 8273
+ STL B, 14, *AR5- ; 8274
+ STL B, 14, *AR5+ ; 8275
+ STL B, 14, *+AR5 ; 8276
+ STL B, 14, *AR5-0B ; 8277
+ STL B, 14, *AR5-0 ; 8278
+ STL B, 14, *AR5+0 ; 8279
+ STL B, 14, *AR5+0B ; 8280
+ STL B, 14, *AR5-% ; 8281
+ STL B, 14, *AR5-0% ; 8282
+ STL B, 14, *AR5+% ; 8283
+ STL B, 14, *AR5+0% ; 8284
+ STL B, 14, *AR5(047ECh) ; 8285
+ STL B, 14, *+AR5(047EDh) ; 8286
+ STL B, 14, *+AR5(047EEh)% ; 8287
+ STL B, 14, *(047EFh) ; 8288
+ STL B, 14, *AR6 ; 8289
+ STL B, 14, *AR6- ; 8290
+ STL B, 14, *AR6+ ; 8291
+ STL B, 14, *+AR6 ; 8292
+ STL B, 14, *AR6-0B ; 8293
+ STL B, 14, *AR6-0 ; 8294
+ STL B, 14, *AR6+0 ; 8295
+ STL B, 14, *AR6+0B ; 8296
+ STL B, 14, *AR6-% ; 8297
+ STL B, 14, *AR6-0% ; 8298
+ STL B, 14, *AR6+% ; 8299
+ STL B, 14, *AR6+0% ; 8300
+ STL B, 14, *AR6(047F0h) ; 8301
+ STL B, 14, *+AR6(047F1h) ; 8302
+ STL B, 14, *+AR6(047F2h)% ; 8303
+ STL B, 14, *(047F3h) ; 8304
+ STL B, 14, *AR7 ; 8305
+ STL B, 14, *AR7- ; 8306
+ STL B, 14, *AR7+ ; 8307
+ STL B, 14, *+AR7 ; 8308
+ STL B, 14, *AR7-0B ; 8309
+ STL B, 14, *AR7-0 ; 8310
+ STL B, 14, *AR7+0 ; 8311
+ STL B, 14, *AR7+0B ; 8312
+ STL B, 14, *AR7-% ; 8313
+ STL B, 14, *AR7-0% ; 8314
+ STL B, 14, *AR7+% ; 8315
+ STL B, 14, *AR7+0% ; 8316
+ STL B, 14, *AR7(047F4h) ; 8317
+ STL B, 14, *+AR7(047F5h) ; 8318
+ STL B, 14, *+AR7(047F6h)% ; 8319
+ STL B, 14, *(047F7h) ; 8320
+ STL B, 15, *AR0 ; 8321
+ STL B, 15, *AR0- ; 8322
+ STL B, 15, *AR0+ ; 8323
+ STL B, 15, *+AR0 ; 8324
+ STL B, 15, *AR0-0B ; 8325
+ STL B, 15, *AR0-0 ; 8326
+ STL B, 15, *AR0+0 ; 8327
+ STL B, 15, *AR0+0B ; 8328
+ STL B, 15, *AR0-% ; 8329
+ STL B, 15, *AR0-0% ; 8330
+ STL B, 15, *AR0+% ; 8331
+ STL B, 15, *AR0+0% ; 8332
+ STL B, 15, *AR0(047F8h) ; 8333
+ STL B, 15, *+AR0(047F9h) ; 8334
+ STL B, 15, *+AR0(047FAh)% ; 8335
+ STL B, 15, *(047FBh) ; 8336
+ STL B, 15, *AR1 ; 8337
+ STL B, 15, *AR1- ; 8338
+ STL B, 15, *AR1+ ; 8339
+ STL B, 15, *+AR1 ; 8340
+ STL B, 15, *AR1-0B ; 8341
+ STL B, 15, *AR1-0 ; 8342
+ STL B, 15, *AR1+0 ; 8343
+ STL B, 15, *AR1+0B ; 8344
+ STL B, 15, *AR1-% ; 8345
+ STL B, 15, *AR1-0% ; 8346
+ STL B, 15, *AR1+% ; 8347
+ STL B, 15, *AR1+0% ; 8348
+ STL B, 15, *AR1(047FCh) ; 8349
+ STL B, 15, *+AR1(047FDh) ; 8350
+ STL B, 15, *+AR1(047FEh)% ; 8351
+ STL B, 15, *(047FFh) ; 8352
+ STL B, 15, *AR2 ; 8353
+ STL B, 15, *AR2- ; 8354
+ STL B, 15, *AR2+ ; 8355
+ STL B, 15, *+AR2 ; 8356
+ STL B, 15, *AR2-0B ; 8357
+ STL B, 15, *AR2-0 ; 8358
+ STL B, 15, *AR2+0 ; 8359
+ STL B, 15, *AR2+0B ; 8360
+ STL B, 15, *AR2-% ; 8361
+ STL B, 15, *AR2-0% ; 8362
+ STL B, 15, *AR2+% ; 8363
+ STL B, 15, *AR2+0% ; 8364
+ STL B, 15, *AR2(04800h) ; 8365
+ STL B, 15, *+AR2(04801h) ; 8366
+ STL B, 15, *+AR2(04802h)% ; 8367
+ STL B, 15, *(04803h) ; 8368
+ STL B, 15, *AR3 ; 8369
+ STL B, 15, *AR3- ; 8370
+ STL B, 15, *AR3+ ; 8371
+ STL B, 15, *+AR3 ; 8372
+ STL B, 15, *AR3-0B ; 8373
+ STL B, 15, *AR3-0 ; 8374
+ STL B, 15, *AR3+0 ; 8375
+ STL B, 15, *AR3+0B ; 8376
+ STL B, 15, *AR3-% ; 8377
+ STL B, 15, *AR3-0% ; 8378
+ STL B, 15, *AR3+% ; 8379
+ STL B, 15, *AR3+0% ; 8380
+ STL B, 15, *AR3(04804h) ; 8381
+ STL B, 15, *+AR3(04805h) ; 8382
+ STL B, 15, *+AR3(04806h)% ; 8383
+ STL B, 15, *(04807h) ; 8384
+ STL B, 15, *AR4 ; 8385
+ STL B, 15, *AR4- ; 8386
+ STL B, 15, *AR4+ ; 8387
+ STL B, 15, *+AR4 ; 8388
+ STL B, 15, *AR4-0B ; 8389
+ STL B, 15, *AR4-0 ; 8390
+ STL B, 15, *AR4+0 ; 8391
+ STL B, 15, *AR4+0B ; 8392
+ STL B, 15, *AR4-% ; 8393
+ STL B, 15, *AR4-0% ; 8394
+ STL B, 15, *AR4+% ; 8395
+ STL B, 15, *AR4+0% ; 8396
+ STL B, 15, *AR4(04808h) ; 8397
+ STL B, 15, *+AR4(04809h) ; 8398
+ STL B, 15, *+AR4(0480Ah)% ; 8399
+ STL B, 15, *(0480Bh) ; 8400
+ STL B, 15, *AR5 ; 8401
+ STL B, 15, *AR5- ; 8402
+ STL B, 15, *AR5+ ; 8403
+ STL B, 15, *+AR5 ; 8404
+ STL B, 15, *AR5-0B ; 8405
+ STL B, 15, *AR5-0 ; 8406
+ STL B, 15, *AR5+0 ; 8407
+ STL B, 15, *AR5+0B ; 8408
+ STL B, 15, *AR5-% ; 8409
+ STL B, 15, *AR5-0% ; 8410
+ STL B, 15, *AR5+% ; 8411
+ STL B, 15, *AR5+0% ; 8412
+ STL B, 15, *AR5(0480Ch) ; 8413
+ STL B, 15, *+AR5(0480Dh) ; 8414
+ STL B, 15, *+AR5(0480Eh)% ; 8415
+ STL B, 15, *(0480Fh) ; 8416
+ STL B, 15, *AR6 ; 8417
+ STL B, 15, *AR6- ; 8418
+ STL B, 15, *AR6+ ; 8419
+ STL B, 15, *+AR6 ; 8420
+ STL B, 15, *AR6-0B ; 8421
+ STL B, 15, *AR6-0 ; 8422
+ STL B, 15, *AR6+0 ; 8423
+ STL B, 15, *AR6+0B ; 8424
+ STL B, 15, *AR6-% ; 8425
+ STL B, 15, *AR6-0% ; 8426
+ STL B, 15, *AR6+% ; 8427
+ STL B, 15, *AR6+0% ; 8428
+ STL B, 15, *AR6(04810h) ; 8429
+ STL B, 15, *+AR6(04811h) ; 8430
+ STL B, 15, *+AR6(04812h)% ; 8431
+ STL B, 15, *(04813h) ; 8432
+ STL B, 15, *AR7 ; 8433
+ STL B, 15, *AR7- ; 8434
+ STL B, 15, *AR7+ ; 8435
+ STL B, 15, *+AR7 ; 8436
+ STL B, 15, *AR7-0B ; 8437
+ STL B, 15, *AR7-0 ; 8438
+ STL B, 15, *AR7+0 ; 8439
+ STL B, 15, *AR7+0B ; 8440
+ STL B, 15, *AR7-% ; 8441
+ STL B, 15, *AR7-0% ; 8442
+ STL B, 15, *AR7+% ; 8443
+ STL B, 15, *AR7+0% ; 8444
+ STL B, 15, *AR7(04814h) ; 8445
+ STL B, 15, *+AR7(04815h) ; 8446
+ STL B, 15, *+AR7(04816h)% ; 8447
+ STL B, 15, *(04817h) ; 8448
+ ; STLsmem_I : STL AB, Smem_I : 256
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ STL A, *AR0 ; 1
+ STL A, *AR0- ; 2
+ STL A, *AR0+ ; 3
+ STL A, *+AR0 ; 4
+ STL A, *AR0-0B ; 5
+ STL A, *AR0-0 ; 6
+ STL A, *AR0+0 ; 7
+ STL A, *AR0+0B ; 8
+ STL A, *AR0-% ; 9
+ STL A, *AR0-0% ; 10
+ STL A, *AR0+% ; 11
+ STL A, *AR0+0% ; 12
+ STL A, *AR0(04818h) ; 13
+ STL A, *+AR0(04819h) ; 14
+ STL A, *+AR0(0481Ah)% ; 15
+ STL A, *(0481Bh) ; 16
+ STL A, *AR1 ; 17
+ STL A, *AR1- ; 18
+ STL A, *AR1+ ; 19
+ STL A, *+AR1 ; 20
+ STL A, *AR1-0B ; 21
+ STL A, *AR1-0 ; 22
+ STL A, *AR1+0 ; 23
+ STL A, *AR1+0B ; 24
+ STL A, *AR1-% ; 25
+ STL A, *AR1-0% ; 26
+ STL A, *AR1+% ; 27
+ STL A, *AR1+0% ; 28
+ STL A, *AR1(0481Ch) ; 29
+ STL A, *+AR1(0481Dh) ; 30
+ STL A, *+AR1(0481Eh)% ; 31
+ STL A, *(0481Fh) ; 32
+ STL A, *AR2 ; 33
+ STL A, *AR2- ; 34
+ STL A, *AR2+ ; 35
+ STL A, *+AR2 ; 36
+ STL A, *AR2-0B ; 37
+ STL A, *AR2-0 ; 38
+ STL A, *AR2+0 ; 39
+ STL A, *AR2+0B ; 40
+ STL A, *AR2-% ; 41
+ STL A, *AR2-0% ; 42
+ STL A, *AR2+% ; 43
+ STL A, *AR2+0% ; 44
+ STL A, *AR2(04820h) ; 45
+ STL A, *+AR2(04821h) ; 46
+ STL A, *+AR2(04822h)% ; 47
+ STL A, *(04823h) ; 48
+ STL A, *AR3 ; 49
+ STL A, *AR3- ; 50
+ STL A, *AR3+ ; 51
+ STL A, *+AR3 ; 52
+ STL A, *AR3-0B ; 53
+ STL A, *AR3-0 ; 54
+ STL A, *AR3+0 ; 55
+ STL A, *AR3+0B ; 56
+ STL A, *AR3-% ; 57
+ STL A, *AR3-0% ; 58
+ STL A, *AR3+% ; 59
+ STL A, *AR3+0% ; 60
+ STL A, *AR3(04824h) ; 61
+ STL A, *+AR3(04825h) ; 62
+ STL A, *+AR3(04826h)% ; 63
+ STL A, *(04827h) ; 64
+ STL A, *AR4 ; 65
+ STL A, *AR4- ; 66
+ STL A, *AR4+ ; 67
+ STL A, *+AR4 ; 68
+ STL A, *AR4-0B ; 69
+ STL A, *AR4-0 ; 70
+ STL A, *AR4+0 ; 71
+ STL A, *AR4+0B ; 72
+ STL A, *AR4-% ; 73
+ STL A, *AR4-0% ; 74
+ STL A, *AR4+% ; 75
+ STL A, *AR4+0% ; 76
+ STL A, *AR4(04828h) ; 77
+ STL A, *+AR4(04829h) ; 78
+ STL A, *+AR4(0482Ah)% ; 79
+ STL A, *(0482Bh) ; 80
+ STL A, *AR5 ; 81
+ STL A, *AR5- ; 82
+ STL A, *AR5+ ; 83
+ STL A, *+AR5 ; 84
+ STL A, *AR5-0B ; 85
+ STL A, *AR5-0 ; 86
+ STL A, *AR5+0 ; 87
+ STL A, *AR5+0B ; 88
+ STL A, *AR5-% ; 89
+ STL A, *AR5-0% ; 90
+ STL A, *AR5+% ; 91
+ STL A, *AR5+0% ; 92
+ STL A, *AR5(0482Ch) ; 93
+ STL A, *+AR5(0482Dh) ; 94
+ STL A, *+AR5(0482Eh)% ; 95
+ STL A, *(0482Fh) ; 96
+ STL A, *AR6 ; 97
+ STL A, *AR6- ; 98
+ STL A, *AR6+ ; 99
+ STL A, *+AR6 ; 100
+ STL A, *AR6-0B ; 101
+ STL A, *AR6-0 ; 102
+ STL A, *AR6+0 ; 103
+ STL A, *AR6+0B ; 104
+ STL A, *AR6-% ; 105
+ STL A, *AR6-0% ; 106
+ STL A, *AR6+% ; 107
+ STL A, *AR6+0% ; 108
+ STL A, *AR6(04830h) ; 109
+ STL A, *+AR6(04831h) ; 110
+ STL A, *+AR6(04832h)% ; 111
+ STL A, *(04833h) ; 112
+ STL A, *AR7 ; 113
+ STL A, *AR7- ; 114
+ STL A, *AR7+ ; 115
+ STL A, *+AR7 ; 116
+ STL A, *AR7-0B ; 117
+ STL A, *AR7-0 ; 118
+ STL A, *AR7+0 ; 119
+ STL A, *AR7+0B ; 120
+ STL A, *AR7-% ; 121
+ STL A, *AR7-0% ; 122
+ STL A, *AR7+% ; 123
+ STL A, *AR7+0% ; 124
+ STL A, *AR7(04834h) ; 125
+ STL A, *+AR7(04835h) ; 126
+ STL A, *+AR7(04836h)% ; 127
+ STL A, *(04837h) ; 128
+ STL B, *AR0 ; 129
+ STL B, *AR0- ; 130
+ STL B, *AR0+ ; 131
+ STL B, *+AR0 ; 132
+ STL B, *AR0-0B ; 133
+ STL B, *AR0-0 ; 134
+ STL B, *AR0+0 ; 135
+ STL B, *AR0+0B ; 136
+ STL B, *AR0-% ; 137
+ STL B, *AR0-0% ; 138
+ STL B, *AR0+% ; 139
+ STL B, *AR0+0% ; 140
+ STL B, *AR0(04838h) ; 141
+ STL B, *+AR0(04839h) ; 142
+ STL B, *+AR0(0483Ah)% ; 143
+ STL B, *(0483Bh) ; 144
+ STL B, *AR1 ; 145
+ STL B, *AR1- ; 146
+ STL B, *AR1+ ; 147
+ STL B, *+AR1 ; 148
+ STL B, *AR1-0B ; 149
+ STL B, *AR1-0 ; 150
+ STL B, *AR1+0 ; 151
+ STL B, *AR1+0B ; 152
+ STL B, *AR1-% ; 153
+ STL B, *AR1-0% ; 154
+ STL B, *AR1+% ; 155
+ STL B, *AR1+0% ; 156
+ STL B, *AR1(0483Ch) ; 157
+ STL B, *+AR1(0483Dh) ; 158
+ STL B, *+AR1(0483Eh)% ; 159
+ STL B, *(0483Fh) ; 160
+ STL B, *AR2 ; 161
+ STL B, *AR2- ; 162
+ STL B, *AR2+ ; 163
+ STL B, *+AR2 ; 164
+ STL B, *AR2-0B ; 165
+ STL B, *AR2-0 ; 166
+ STL B, *AR2+0 ; 167
+ STL B, *AR2+0B ; 168
+ STL B, *AR2-% ; 169
+ STL B, *AR2-0% ; 170
+ STL B, *AR2+% ; 171
+ STL B, *AR2+0% ; 172
+ STL B, *AR2(04840h) ; 173
+ STL B, *+AR2(04841h) ; 174
+ STL B, *+AR2(04842h)% ; 175
+ STL B, *(04843h) ; 176
+ STL B, *AR3 ; 177
+ STL B, *AR3- ; 178
+ STL B, *AR3+ ; 179
+ STL B, *+AR3 ; 180
+ STL B, *AR3-0B ; 181
+ STL B, *AR3-0 ; 182
+ STL B, *AR3+0 ; 183
+ STL B, *AR3+0B ; 184
+ STL B, *AR3-% ; 185
+ STL B, *AR3-0% ; 186
+ STL B, *AR3+% ; 187
+ STL B, *AR3+0% ; 188
+ STL B, *AR3(04844h) ; 189
+ STL B, *+AR3(04845h) ; 190
+ STL B, *+AR3(04846h)% ; 191
+ STL B, *(04847h) ; 192
+ STL B, *AR4 ; 193
+ STL B, *AR4- ; 194
+ STL B, *AR4+ ; 195
+ STL B, *+AR4 ; 196
+ STL B, *AR4-0B ; 197
+ STL B, *AR4-0 ; 198
+ STL B, *AR4+0 ; 199
+ STL B, *AR4+0B ; 200
+ STL B, *AR4-% ; 201
+ STL B, *AR4-0% ; 202
+ STL B, *AR4+% ; 203
+ STL B, *AR4+0% ; 204
+ STL B, *AR4(04848h) ; 205
+ STL B, *+AR4(04849h) ; 206
+ STL B, *+AR4(0484Ah)% ; 207
+ STL B, *(0484Bh) ; 208
+ STL B, *AR5 ; 209
+ STL B, *AR5- ; 210
+ STL B, *AR5+ ; 211
+ STL B, *+AR5 ; 212
+ STL B, *AR5-0B ; 213
+ STL B, *AR5-0 ; 214
+ STL B, *AR5+0 ; 215
+ STL B, *AR5+0B ; 216
+ STL B, *AR5-% ; 217
+ STL B, *AR5-0% ; 218
+ STL B, *AR5+% ; 219
+ STL B, *AR5+0% ; 220
+ STL B, *AR5(0484Ch) ; 221
+ STL B, *+AR5(0484Dh) ; 222
+ STL B, *+AR5(0484Eh)% ; 223
+ STL B, *(0484Fh) ; 224
+ STL B, *AR6 ; 225
+ STL B, *AR6- ; 226
+ STL B, *AR6+ ; 227
+ STL B, *+AR6 ; 228
+ STL B, *AR6-0B ; 229
+ STL B, *AR6-0 ; 230
+ STL B, *AR6+0 ; 231
+ STL B, *AR6+0B ; 232
+ STL B, *AR6-% ; 233
+ STL B, *AR6-0% ; 234
+ STL B, *AR6+% ; 235
+ STL B, *AR6+0% ; 236
+ STL B, *AR6(04850h) ; 237
+ STL B, *+AR6(04851h) ; 238
+ STL B, *+AR6(04852h)% ; 239
+ STL B, *(04853h) ; 240
+ STL B, *AR7 ; 241
+ STL B, *AR7- ; 242
+ STL B, *AR7+ ; 243
+ STL B, *+AR7 ; 244
+ STL B, *AR7-0B ; 245
+ STL B, *AR7-0 ; 246
+ STL B, *AR7+0 ; 247
+ STL B, *AR7+0B ; 248
+ STL B, *AR7-% ; 249
+ STL B, *AR7-0% ; 250
+ STL B, *AR7+% ; 251
+ STL B, *AR7+0% ; 252
+ STL B, *AR7(04854h) ; 253
+ STL B, *+AR7(04855h) ; 254
+ STL B, *+AR7(04856h)% ; 255
+ STL B, *(04857h) ; 256
+ ; STLxmShift : STL AB, SHFT, Xmem : 512
+ STL A, 0, *AR2 ; 1
+ STL A, 0, *AR2- ; 2
+ STL A, 0, *AR2+ ; 3
+ STL A, 0, *AR2+0% ; 4
+ STL A, 0, *AR3 ; 5
+ STL A, 0, *AR3- ; 6
+ STL A, 0, *AR3+ ; 7
+ STL A, 0, *AR3+0% ; 8
+ STL A, 0, *AR4 ; 9
+ STL A, 0, *AR4- ; 10
+ STL A, 0, *AR4+ ; 11
+ STL A, 0, *AR4+0% ; 12
+ STL A, 0, *AR5 ; 13
+ STL A, 0, *AR5- ; 14
+ STL A, 0, *AR5+ ; 15
+ STL A, 0, *AR5+0% ; 16
+ STL A, 1, *AR2 ; 17
+ STL A, 1, *AR2- ; 18
+ STL A, 1, *AR2+ ; 19
+ STL A, 1, *AR2+0% ; 20
+ STL A, 1, *AR3 ; 21
+ STL A, 1, *AR3- ; 22
+ STL A, 1, *AR3+ ; 23
+ STL A, 1, *AR3+0% ; 24
+ STL A, 1, *AR4 ; 25
+ STL A, 1, *AR4- ; 26
+ STL A, 1, *AR4+ ; 27
+ STL A, 1, *AR4+0% ; 28
+ STL A, 1, *AR5 ; 29
+ STL A, 1, *AR5- ; 30
+ STL A, 1, *AR5+ ; 31
+ STL A, 1, *AR5+0% ; 32
+ STL A, 2, *AR2 ; 33
+ STL A, 2, *AR2- ; 34
+ STL A, 2, *AR2+ ; 35
+ STL A, 2, *AR2+0% ; 36
+ STL A, 2, *AR3 ; 37
+ STL A, 2, *AR3- ; 38
+ STL A, 2, *AR3+ ; 39
+ STL A, 2, *AR3+0% ; 40
+ STL A, 2, *AR4 ; 41
+ STL A, 2, *AR4- ; 42
+ STL A, 2, *AR4+ ; 43
+ STL A, 2, *AR4+0% ; 44
+ STL A, 2, *AR5 ; 45
+ STL A, 2, *AR5- ; 46
+ STL A, 2, *AR5+ ; 47
+ STL A, 2, *AR5+0% ; 48
+ STL A, 3, *AR2 ; 49
+ STL A, 3, *AR2- ; 50
+ STL A, 3, *AR2+ ; 51
+ STL A, 3, *AR2+0% ; 52
+ STL A, 3, *AR3 ; 53
+ STL A, 3, *AR3- ; 54
+ STL A, 3, *AR3+ ; 55
+ STL A, 3, *AR3+0% ; 56
+ STL A, 3, *AR4 ; 57
+ STL A, 3, *AR4- ; 58
+ STL A, 3, *AR4+ ; 59
+ STL A, 3, *AR4+0% ; 60
+ STL A, 3, *AR5 ; 61
+ STL A, 3, *AR5- ; 62
+ STL A, 3, *AR5+ ; 63
+ STL A, 3, *AR5+0% ; 64
+ STL A, 4, *AR2 ; 65
+ STL A, 4, *AR2- ; 66
+ STL A, 4, *AR2+ ; 67
+ STL A, 4, *AR2+0% ; 68
+ STL A, 4, *AR3 ; 69
+ STL A, 4, *AR3- ; 70
+ STL A, 4, *AR3+ ; 71
+ STL A, 4, *AR3+0% ; 72
+ STL A, 4, *AR4 ; 73
+ STL A, 4, *AR4- ; 74
+ STL A, 4, *AR4+ ; 75
+ STL A, 4, *AR4+0% ; 76
+ STL A, 4, *AR5 ; 77
+ STL A, 4, *AR5- ; 78
+ STL A, 4, *AR5+ ; 79
+ STL A, 4, *AR5+0% ; 80
+ STL A, 5, *AR2 ; 81
+ STL A, 5, *AR2- ; 82
+ STL A, 5, *AR2+ ; 83
+ STL A, 5, *AR2+0% ; 84
+ STL A, 5, *AR3 ; 85
+ STL A, 5, *AR3- ; 86
+ STL A, 5, *AR3+ ; 87
+ STL A, 5, *AR3+0% ; 88
+ STL A, 5, *AR4 ; 89
+ STL A, 5, *AR4- ; 90
+ STL A, 5, *AR4+ ; 91
+ STL A, 5, *AR4+0% ; 92
+ STL A, 5, *AR5 ; 93
+ STL A, 5, *AR5- ; 94
+ STL A, 5, *AR5+ ; 95
+ STL A, 5, *AR5+0% ; 96
+ STL A, 6, *AR2 ; 97
+ STL A, 6, *AR2- ; 98
+ STL A, 6, *AR2+ ; 99
+ STL A, 6, *AR2+0% ; 100
+ STL A, 6, *AR3 ; 101
+ STL A, 6, *AR3- ; 102
+ STL A, 6, *AR3+ ; 103
+ STL A, 6, *AR3+0% ; 104
+ STL A, 6, *AR4 ; 105
+ STL A, 6, *AR4- ; 106
+ STL A, 6, *AR4+ ; 107
+ STL A, 6, *AR4+0% ; 108
+ STL A, 6, *AR5 ; 109
+ STL A, 6, *AR5- ; 110
+ STL A, 6, *AR5+ ; 111
+ STL A, 6, *AR5+0% ; 112
+ STL A, 7, *AR2 ; 113
+ STL A, 7, *AR2- ; 114
+ STL A, 7, *AR2+ ; 115
+ STL A, 7, *AR2+0% ; 116
+ STL A, 7, *AR3 ; 117
+ STL A, 7, *AR3- ; 118
+ STL A, 7, *AR3+ ; 119
+ STL A, 7, *AR3+0% ; 120
+ STL A, 7, *AR4 ; 121
+ STL A, 7, *AR4- ; 122
+ STL A, 7, *AR4+ ; 123
+ STL A, 7, *AR4+0% ; 124
+ STL A, 7, *AR5 ; 125
+ STL A, 7, *AR5- ; 126
+ STL A, 7, *AR5+ ; 127
+ STL A, 7, *AR5+0% ; 128
+ STL A, 8, *AR2 ; 129
+ STL A, 8, *AR2- ; 130
+ STL A, 8, *AR2+ ; 131
+ STL A, 8, *AR2+0% ; 132
+ STL A, 8, *AR3 ; 133
+ STL A, 8, *AR3- ; 134
+ STL A, 8, *AR3+ ; 135
+ STL A, 8, *AR3+0% ; 136
+ STL A, 8, *AR4 ; 137
+ STL A, 8, *AR4- ; 138
+ STL A, 8, *AR4+ ; 139
+ STL A, 8, *AR4+0% ; 140
+ STL A, 8, *AR5 ; 141
+ STL A, 8, *AR5- ; 142
+ STL A, 8, *AR5+ ; 143
+ STL A, 8, *AR5+0% ; 144
+ STL A, 9, *AR2 ; 145
+ STL A, 9, *AR2- ; 146
+ STL A, 9, *AR2+ ; 147
+ STL A, 9, *AR2+0% ; 148
+ STL A, 9, *AR3 ; 149
+ STL A, 9, *AR3- ; 150
+ STL A, 9, *AR3+ ; 151
+ STL A, 9, *AR3+0% ; 152
+ STL A, 9, *AR4 ; 153
+ STL A, 9, *AR4- ; 154
+ STL A, 9, *AR4+ ; 155
+ STL A, 9, *AR4+0% ; 156
+ STL A, 9, *AR5 ; 157
+ STL A, 9, *AR5- ; 158
+ STL A, 9, *AR5+ ; 159
+ STL A, 9, *AR5+0% ; 160
+ STL A, 10, *AR2 ; 161
+ STL A, 10, *AR2- ; 162
+ STL A, 10, *AR2+ ; 163
+ STL A, 10, *AR2+0% ; 164
+ STL A, 10, *AR3 ; 165
+ STL A, 10, *AR3- ; 166
+ STL A, 10, *AR3+ ; 167
+ STL A, 10, *AR3+0% ; 168
+ STL A, 10, *AR4 ; 169
+ STL A, 10, *AR4- ; 170
+ STL A, 10, *AR4+ ; 171
+ STL A, 10, *AR4+0% ; 172
+ STL A, 10, *AR5 ; 173
+ STL A, 10, *AR5- ; 174
+ STL A, 10, *AR5+ ; 175
+ STL A, 10, *AR5+0% ; 176
+ STL A, 11, *AR2 ; 177
+ STL A, 11, *AR2- ; 178
+ STL A, 11, *AR2+ ; 179
+ STL A, 11, *AR2+0% ; 180
+ STL A, 11, *AR3 ; 181
+ STL A, 11, *AR3- ; 182
+ STL A, 11, *AR3+ ; 183
+ STL A, 11, *AR3+0% ; 184
+ STL A, 11, *AR4 ; 185
+ STL A, 11, *AR4- ; 186
+ STL A, 11, *AR4+ ; 187
+ STL A, 11, *AR4+0% ; 188
+ STL A, 11, *AR5 ; 189
+ STL A, 11, *AR5- ; 190
+ STL A, 11, *AR5+ ; 191
+ STL A, 11, *AR5+0% ; 192
+ STL A, 12, *AR2 ; 193
+ STL A, 12, *AR2- ; 194
+ STL A, 12, *AR2+ ; 195
+ STL A, 12, *AR2+0% ; 196
+ STL A, 12, *AR3 ; 197
+ STL A, 12, *AR3- ; 198
+ STL A, 12, *AR3+ ; 199
+ STL A, 12, *AR3+0% ; 200
+ STL A, 12, *AR4 ; 201
+ STL A, 12, *AR4- ; 202
+ STL A, 12, *AR4+ ; 203
+ STL A, 12, *AR4+0% ; 204
+ STL A, 12, *AR5 ; 205
+ STL A, 12, *AR5- ; 206
+ STL A, 12, *AR5+ ; 207
+ STL A, 12, *AR5+0% ; 208
+ STL A, 13, *AR2 ; 209
+ STL A, 13, *AR2- ; 210
+ STL A, 13, *AR2+ ; 211
+ STL A, 13, *AR2+0% ; 212
+ STL A, 13, *AR3 ; 213
+ STL A, 13, *AR3- ; 214
+ STL A, 13, *AR3+ ; 215
+ STL A, 13, *AR3+0% ; 216
+ STL A, 13, *AR4 ; 217
+ STL A, 13, *AR4- ; 218
+ STL A, 13, *AR4+ ; 219
+ STL A, 13, *AR4+0% ; 220
+ STL A, 13, *AR5 ; 221
+ STL A, 13, *AR5- ; 222
+ STL A, 13, *AR5+ ; 223
+ STL A, 13, *AR5+0% ; 224
+ STL A, 14, *AR2 ; 225
+ STL A, 14, *AR2- ; 226
+ STL A, 14, *AR2+ ; 227
+ STL A, 14, *AR2+0% ; 228
+ STL A, 14, *AR3 ; 229
+ STL A, 14, *AR3- ; 230
+ STL A, 14, *AR3+ ; 231
+ STL A, 14, *AR3+0% ; 232
+ STL A, 14, *AR4 ; 233
+ STL A, 14, *AR4- ; 234
+ STL A, 14, *AR4+ ; 235
+ STL A, 14, *AR4+0% ; 236
+ STL A, 14, *AR5 ; 237
+ STL A, 14, *AR5- ; 238
+ STL A, 14, *AR5+ ; 239
+ STL A, 14, *AR5+0% ; 240
+ STL A, 15, *AR2 ; 241
+ STL A, 15, *AR2- ; 242
+ STL A, 15, *AR2+ ; 243
+ STL A, 15, *AR2+0% ; 244
+ STL A, 15, *AR3 ; 245
+ STL A, 15, *AR3- ; 246
+ STL A, 15, *AR3+ ; 247
+ STL A, 15, *AR3+0% ; 248
+ STL A, 15, *AR4 ; 249
+ STL A, 15, *AR4- ; 250
+ STL A, 15, *AR4+ ; 251
+ STL A, 15, *AR4+0% ; 252
+ STL A, 15, *AR5 ; 253
+ STL A, 15, *AR5- ; 254
+ STL A, 15, *AR5+ ; 255
+ STL A, 15, *AR5+0% ; 256
+ STL B, 0, *AR2 ; 257
+ STL B, 0, *AR2- ; 258
+ STL B, 0, *AR2+ ; 259
+ STL B, 0, *AR2+0% ; 260
+ STL B, 0, *AR3 ; 261
+ STL B, 0, *AR3- ; 262
+ STL B, 0, *AR3+ ; 263
+ STL B, 0, *AR3+0% ; 264
+ STL B, 0, *AR4 ; 265
+ STL B, 0, *AR4- ; 266
+ STL B, 0, *AR4+ ; 267
+ STL B, 0, *AR4+0% ; 268
+ STL B, 0, *AR5 ; 269
+ STL B, 0, *AR5- ; 270
+ STL B, 0, *AR5+ ; 271
+ STL B, 0, *AR5+0% ; 272
+ STL B, 1, *AR2 ; 273
+ STL B, 1, *AR2- ; 274
+ STL B, 1, *AR2+ ; 275
+ STL B, 1, *AR2+0% ; 276
+ STL B, 1, *AR3 ; 277
+ STL B, 1, *AR3- ; 278
+ STL B, 1, *AR3+ ; 279
+ STL B, 1, *AR3+0% ; 280
+ STL B, 1, *AR4 ; 281
+ STL B, 1, *AR4- ; 282
+ STL B, 1, *AR4+ ; 283
+ STL B, 1, *AR4+0% ; 284
+ STL B, 1, *AR5 ; 285
+ STL B, 1, *AR5- ; 286
+ STL B, 1, *AR5+ ; 287
+ STL B, 1, *AR5+0% ; 288
+ STL B, 2, *AR2 ; 289
+ STL B, 2, *AR2- ; 290
+ STL B, 2, *AR2+ ; 291
+ STL B, 2, *AR2+0% ; 292
+ STL B, 2, *AR3 ; 293
+ STL B, 2, *AR3- ; 294
+ STL B, 2, *AR3+ ; 295
+ STL B, 2, *AR3+0% ; 296
+ STL B, 2, *AR4 ; 297
+ STL B, 2, *AR4- ; 298
+ STL B, 2, *AR4+ ; 299
+ STL B, 2, *AR4+0% ; 300
+ STL B, 2, *AR5 ; 301
+ STL B, 2, *AR5- ; 302
+ STL B, 2, *AR5+ ; 303
+ STL B, 2, *AR5+0% ; 304
+ STL B, 3, *AR2 ; 305
+ STL B, 3, *AR2- ; 306
+ STL B, 3, *AR2+ ; 307
+ STL B, 3, *AR2+0% ; 308
+ STL B, 3, *AR3 ; 309
+ STL B, 3, *AR3- ; 310
+ STL B, 3, *AR3+ ; 311
+ STL B, 3, *AR3+0% ; 312
+ STL B, 3, *AR4 ; 313
+ STL B, 3, *AR4- ; 314
+ STL B, 3, *AR4+ ; 315
+ STL B, 3, *AR4+0% ; 316
+ STL B, 3, *AR5 ; 317
+ STL B, 3, *AR5- ; 318
+ STL B, 3, *AR5+ ; 319
+ STL B, 3, *AR5+0% ; 320
+ STL B, 4, *AR2 ; 321
+ STL B, 4, *AR2- ; 322
+ STL B, 4, *AR2+ ; 323
+ STL B, 4, *AR2+0% ; 324
+ STL B, 4, *AR3 ; 325
+ STL B, 4, *AR3- ; 326
+ STL B, 4, *AR3+ ; 327
+ STL B, 4, *AR3+0% ; 328
+ STL B, 4, *AR4 ; 329
+ STL B, 4, *AR4- ; 330
+ STL B, 4, *AR4+ ; 331
+ STL B, 4, *AR4+0% ; 332
+ STL B, 4, *AR5 ; 333
+ STL B, 4, *AR5- ; 334
+ STL B, 4, *AR5+ ; 335
+ STL B, 4, *AR5+0% ; 336
+ STL B, 5, *AR2 ; 337
+ STL B, 5, *AR2- ; 338
+ STL B, 5, *AR2+ ; 339
+ STL B, 5, *AR2+0% ; 340
+ STL B, 5, *AR3 ; 341
+ STL B, 5, *AR3- ; 342
+ STL B, 5, *AR3+ ; 343
+ STL B, 5, *AR3+0% ; 344
+ STL B, 5, *AR4 ; 345
+ STL B, 5, *AR4- ; 346
+ STL B, 5, *AR4+ ; 347
+ STL B, 5, *AR4+0% ; 348
+ STL B, 5, *AR5 ; 349
+ STL B, 5, *AR5- ; 350
+ STL B, 5, *AR5+ ; 351
+ STL B, 5, *AR5+0% ; 352
+ STL B, 6, *AR2 ; 353
+ STL B, 6, *AR2- ; 354
+ STL B, 6, *AR2+ ; 355
+ STL B, 6, *AR2+0% ; 356
+ STL B, 6, *AR3 ; 357
+ STL B, 6, *AR3- ; 358
+ STL B, 6, *AR3+ ; 359
+ STL B, 6, *AR3+0% ; 360
+ STL B, 6, *AR4 ; 361
+ STL B, 6, *AR4- ; 362
+ STL B, 6, *AR4+ ; 363
+ STL B, 6, *AR4+0% ; 364
+ STL B, 6, *AR5 ; 365
+ STL B, 6, *AR5- ; 366
+ STL B, 6, *AR5+ ; 367
+ STL B, 6, *AR5+0% ; 368
+ STL B, 7, *AR2 ; 369
+ STL B, 7, *AR2- ; 370
+ STL B, 7, *AR2+ ; 371
+ STL B, 7, *AR2+0% ; 372
+ STL B, 7, *AR3 ; 373
+ STL B, 7, *AR3- ; 374
+ STL B, 7, *AR3+ ; 375
+ STL B, 7, *AR3+0% ; 376
+ STL B, 7, *AR4 ; 377
+ STL B, 7, *AR4- ; 378
+ STL B, 7, *AR4+ ; 379
+ STL B, 7, *AR4+0% ; 380
+ STL B, 7, *AR5 ; 381
+ STL B, 7, *AR5- ; 382
+ STL B, 7, *AR5+ ; 383
+ STL B, 7, *AR5+0% ; 384
+ STL B, 8, *AR2 ; 385
+ STL B, 8, *AR2- ; 386
+ STL B, 8, *AR2+ ; 387
+ STL B, 8, *AR2+0% ; 388
+ STL B, 8, *AR3 ; 389
+ STL B, 8, *AR3- ; 390
+ STL B, 8, *AR3+ ; 391
+ STL B, 8, *AR3+0% ; 392
+ STL B, 8, *AR4 ; 393
+ STL B, 8, *AR4- ; 394
+ STL B, 8, *AR4+ ; 395
+ STL B, 8, *AR4+0% ; 396
+ STL B, 8, *AR5 ; 397
+ STL B, 8, *AR5- ; 398
+ STL B, 8, *AR5+ ; 399
+ STL B, 8, *AR5+0% ; 400
+ STL B, 9, *AR2 ; 401
+ STL B, 9, *AR2- ; 402
+ STL B, 9, *AR2+ ; 403
+ STL B, 9, *AR2+0% ; 404
+ STL B, 9, *AR3 ; 405
+ STL B, 9, *AR3- ; 406
+ STL B, 9, *AR3+ ; 407
+ STL B, 9, *AR3+0% ; 408
+ STL B, 9, *AR4 ; 409
+ STL B, 9, *AR4- ; 410
+ STL B, 9, *AR4+ ; 411
+ STL B, 9, *AR4+0% ; 412
+ STL B, 9, *AR5 ; 413
+ STL B, 9, *AR5- ; 414
+ STL B, 9, *AR5+ ; 415
+ STL B, 9, *AR5+0% ; 416
+ STL B, 10, *AR2 ; 417
+ STL B, 10, *AR2- ; 418
+ STL B, 10, *AR2+ ; 419
+ STL B, 10, *AR2+0% ; 420
+ STL B, 10, *AR3 ; 421
+ STL B, 10, *AR3- ; 422
+ STL B, 10, *AR3+ ; 423
+ STL B, 10, *AR3+0% ; 424
+ STL B, 10, *AR4 ; 425
+ STL B, 10, *AR4- ; 426
+ STL B, 10, *AR4+ ; 427
+ STL B, 10, *AR4+0% ; 428
+ STL B, 10, *AR5 ; 429
+ STL B, 10, *AR5- ; 430
+ STL B, 10, *AR5+ ; 431
+ STL B, 10, *AR5+0% ; 432
+ STL B, 11, *AR2 ; 433
+ STL B, 11, *AR2- ; 434
+ STL B, 11, *AR2+ ; 435
+ STL B, 11, *AR2+0% ; 436
+ STL B, 11, *AR3 ; 437
+ STL B, 11, *AR3- ; 438
+ STL B, 11, *AR3+ ; 439
+ STL B, 11, *AR3+0% ; 440
+ STL B, 11, *AR4 ; 441
+ STL B, 11, *AR4- ; 442
+ STL B, 11, *AR4+ ; 443
+ STL B, 11, *AR4+0% ; 444
+ STL B, 11, *AR5 ; 445
+ STL B, 11, *AR5- ; 446
+ STL B, 11, *AR5+ ; 447
+ STL B, 11, *AR5+0% ; 448
+ STL B, 12, *AR2 ; 449
+ STL B, 12, *AR2- ; 450
+ STL B, 12, *AR2+ ; 451
+ STL B, 12, *AR2+0% ; 452
+ STL B, 12, *AR3 ; 453
+ STL B, 12, *AR3- ; 454
+ STL B, 12, *AR3+ ; 455
+ STL B, 12, *AR3+0% ; 456
+ STL B, 12, *AR4 ; 457
+ STL B, 12, *AR4- ; 458
+ STL B, 12, *AR4+ ; 459
+ STL B, 12, *AR4+0% ; 460
+ STL B, 12, *AR5 ; 461
+ STL B, 12, *AR5- ; 462
+ STL B, 12, *AR5+ ; 463
+ STL B, 12, *AR5+0% ; 464
+ STL B, 13, *AR2 ; 465
+ STL B, 13, *AR2- ; 466
+ STL B, 13, *AR2+ ; 467
+ STL B, 13, *AR2+0% ; 468
+ STL B, 13, *AR3 ; 469
+ STL B, 13, *AR3- ; 470
+ STL B, 13, *AR3+ ; 471
+ STL B, 13, *AR3+0% ; 472
+ STL B, 13, *AR4 ; 473
+ STL B, 13, *AR4- ; 474
+ STL B, 13, *AR4+ ; 475
+ STL B, 13, *AR4+0% ; 476
+ STL B, 13, *AR5 ; 477
+ STL B, 13, *AR5- ; 478
+ STL B, 13, *AR5+ ; 479
+ STL B, 13, *AR5+0% ; 480
+ STL B, 14, *AR2 ; 481
+ STL B, 14, *AR2- ; 482
+ STL B, 14, *AR2+ ; 483
+ STL B, 14, *AR2+0% ; 484
+ STL B, 14, *AR3 ; 485
+ STL B, 14, *AR3- ; 486
+ STL B, 14, *AR3+ ; 487
+ STL B, 14, *AR3+0% ; 488
+ STL B, 14, *AR4 ; 489
+ STL B, 14, *AR4- ; 490
+ STL B, 14, *AR4+ ; 491
+ STL B, 14, *AR4+0% ; 492
+ STL B, 14, *AR5 ; 493
+ STL B, 14, *AR5- ; 494
+ STL B, 14, *AR5+ ; 495
+ STL B, 14, *AR5+0% ; 496
+ STL B, 15, *AR2 ; 497
+ STL B, 15, *AR2- ; 498
+ STL B, 15, *AR2+ ; 499
+ STL B, 15, *AR2+0% ; 500
+ STL B, 15, *AR3 ; 501
+ STL B, 15, *AR3- ; 502
+ STL B, 15, *AR3+ ; 503
+ STL B, 15, *AR3+0% ; 504
+ STL B, 15, *AR4 ; 505
+ STL B, 15, *AR4- ; 506
+ STL B, 15, *AR4+ ; 507
+ STL B, 15, *AR4+0% ; 508
+ STL B, 15, *AR5 ; 509
+ STL B, 15, *AR5- ; 510
+ STL B, 15, *AR5+ ; 511
+ STL B, 15, *AR5+0% ; 512
+ ; STM : STM lk, MMR : 1
+ STM #04858h, 0Ch ; 1
+ ; STM_I : STM lk, MMR_I : 96
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ; Skipped Indirect Addressing Modes 12-15 due to MMR access.
+ STM #04859h, *AR0 ; 1
+ STM #0485Ah, *AR0- ; 2
+ STM #0485Bh, *AR0+ ; 3
+ STM #0485Ch, *+AR0 ; 4
+ STM #0485Dh, *AR0-0B ; 5
+ STM #0485Eh, *AR0-0 ; 6
+ STM #0485Fh, *AR0+0 ; 7
+ STM #04860h, *AR0+0B ; 8
+ STM #04861h, *AR0-% ; 9
+ STM #04862h, *AR0-0% ; 10
+ STM #04863h, *AR0+% ; 11
+ STM #04864h, *AR0+0% ; 12
+ STM #04865h, *AR1 ; 13
+ STM #04866h, *AR1- ; 14
+ STM #04867h, *AR1+ ; 15
+ STM #04868h, *+AR1 ; 16
+ STM #04869h, *AR1-0B ; 17
+ STM #0486Ah, *AR1-0 ; 18
+ STM #0486Bh, *AR1+0 ; 19
+ STM #0486Ch, *AR1+0B ; 20
+ STM #0486Dh, *AR1-% ; 21
+ STM #0486Eh, *AR1-0% ; 22
+ STM #0486Fh, *AR1+% ; 23
+ STM #04870h, *AR1+0% ; 24
+ STM #04871h, *AR2 ; 25
+ STM #04872h, *AR2- ; 26
+ STM #04873h, *AR2+ ; 27
+ STM #04874h, *+AR2 ; 28
+ STM #04875h, *AR2-0B ; 29
+ STM #04876h, *AR2-0 ; 30
+ STM #04877h, *AR2+0 ; 31
+ STM #04878h, *AR2+0B ; 32
+ STM #04879h, *AR2-% ; 33
+ STM #0487Ah, *AR2-0% ; 34
+ STM #0487Bh, *AR2+% ; 35
+ STM #0487Ch, *AR2+0% ; 36
+ STM #0487Dh, *AR3 ; 37
+ STM #0487Eh, *AR3- ; 38
+ STM #0487Fh, *AR3+ ; 39
+ STM #04880h, *+AR3 ; 40
+ STM #04881h, *AR3-0B ; 41
+ STM #04882h, *AR3-0 ; 42
+ STM #04883h, *AR3+0 ; 43
+ STM #04884h, *AR3+0B ; 44
+ STM #04885h, *AR3-% ; 45
+ STM #04886h, *AR3-0% ; 46
+ STM #04887h, *AR3+% ; 47
+ STM #04888h, *AR3+0% ; 48
+ STM #04889h, *AR4 ; 49
+ STM #0488Ah, *AR4- ; 50
+ STM #0488Bh, *AR4+ ; 51
+ STM #0488Ch, *+AR4 ; 52
+ STM #0488Dh, *AR4-0B ; 53
+ STM #0488Eh, *AR4-0 ; 54
+ STM #0488Fh, *AR4+0 ; 55
+ STM #04890h, *AR4+0B ; 56
+ STM #04891h, *AR4-% ; 57
+ STM #04892h, *AR4-0% ; 58
+ STM #04893h, *AR4+% ; 59
+ STM #04894h, *AR4+0% ; 60
+ STM #04895h, *AR5 ; 61
+ STM #04896h, *AR5- ; 62
+ STM #04897h, *AR5+ ; 63
+ STM #04898h, *+AR5 ; 64
+ STM #04899h, *AR5-0B ; 65
+ STM #0489Ah, *AR5-0 ; 66
+ STM #0489Bh, *AR5+0 ; 67
+ STM #0489Ch, *AR5+0B ; 68
+ STM #0489Dh, *AR5-% ; 69
+ STM #0489Eh, *AR5-0% ; 70
+ STM #0489Fh, *AR5+% ; 71
+ STM #048A0h, *AR5+0% ; 72
+ STM #048A1h, *AR6 ; 73
+ STM #048A2h, *AR6- ; 74
+ STM #048A3h, *AR6+ ; 75
+ STM #048A4h, *+AR6 ; 76
+ STM #048A5h, *AR6-0B ; 77
+ STM #048A6h, *AR6-0 ; 78
+ STM #048A7h, *AR6+0 ; 79
+ STM #048A8h, *AR6+0B ; 80
+ STM #048A9h, *AR6-% ; 81
+ STM #048AAh, *AR6-0% ; 82
+ STM #048ABh, *AR6+% ; 83
+ STM #048ACh, *AR6+0% ; 84
+ STM #048ADh, *AR7 ; 85
+ STM #048AEh, *AR7- ; 86
+ STM #048AFh, *AR7+ ; 87
+ STM #048B0h, *+AR7 ; 88
+ STM #048B1h, *AR7-0B ; 89
+ STM #048B2h, *AR7-0 ; 90
+ STM #048B3h, *AR7+0 ; 91
+ STM #048B4h, *AR7+0B ; 92
+ STM #048B5h, *AR7-% ; 93
+ STM #048B6h, *AR7-0% ; 94
+ STM #048B7h, *AR7+% ; 95
+ STM #048B8h, *AR7+0% ; 96
+ ; STRCD : STRCD Xmem, cond4 : 192
+ STRCD *AR2, ALT ; 1
+ STRCD *AR2, BLT ; 2
+ STRCD *AR2, ALEQ ; 3
+ STRCD *AR2, BLEQ ; 4
+ STRCD *AR2, AGT ; 5
+ STRCD *AR2, BGT ; 6
+ STRCD *AR2, ANEQ ; 7
+ STRCD *AR2, BNEQ ; 8
+ STRCD *AR2, AGEQ ; 9
+ STRCD *AR2, BGEQ ; 10
+ STRCD *AR2, AEQ ; 11
+ STRCD *AR2, BEQ ; 12
+ STRCD *AR2-, ALT ; 13
+ STRCD *AR2-, BLT ; 14
+ STRCD *AR2-, ALEQ ; 15
+ STRCD *AR2-, BLEQ ; 16
+ STRCD *AR2-, AGT ; 17
+ STRCD *AR2-, BGT ; 18
+ STRCD *AR2-, ANEQ ; 19
+ STRCD *AR2-, BNEQ ; 20
+ STRCD *AR2-, AGEQ ; 21
+ STRCD *AR2-, BGEQ ; 22
+ STRCD *AR2-, AEQ ; 23
+ STRCD *AR2-, BEQ ; 24
+ STRCD *AR2+, ALT ; 25
+ STRCD *AR2+, BLT ; 26
+ STRCD *AR2+, ALEQ ; 27
+ STRCD *AR2+, BLEQ ; 28
+ STRCD *AR2+, AGT ; 29
+ STRCD *AR2+, BGT ; 30
+ STRCD *AR2+, ANEQ ; 31
+ STRCD *AR2+, BNEQ ; 32
+ STRCD *AR2+, AGEQ ; 33
+ STRCD *AR2+, BGEQ ; 34
+ STRCD *AR2+, AEQ ; 35
+ STRCD *AR2+, BEQ ; 36
+ STRCD *AR2+0%, ALT ; 37
+ STRCD *AR2+0%, BLT ; 38
+ STRCD *AR2+0%, ALEQ ; 39
+ STRCD *AR2+0%, BLEQ ; 40
+ STRCD *AR2+0%, AGT ; 41
+ STRCD *AR2+0%, BGT ; 42
+ STRCD *AR2+0%, ANEQ ; 43
+ STRCD *AR2+0%, BNEQ ; 44
+ STRCD *AR2+0%, AGEQ ; 45
+ STRCD *AR2+0%, BGEQ ; 46
+ STRCD *AR2+0%, AEQ ; 47
+ STRCD *AR2+0%, BEQ ; 48
+ STRCD *AR3, ALT ; 49
+ STRCD *AR3, BLT ; 50
+ STRCD *AR3, ALEQ ; 51
+ STRCD *AR3, BLEQ ; 52
+ STRCD *AR3, AGT ; 53
+ STRCD *AR3, BGT ; 54
+ STRCD *AR3, ANEQ ; 55
+ STRCD *AR3, BNEQ ; 56
+ STRCD *AR3, AGEQ ; 57
+ STRCD *AR3, BGEQ ; 58
+ STRCD *AR3, AEQ ; 59
+ STRCD *AR3, BEQ ; 60
+ STRCD *AR3-, ALT ; 61
+ STRCD *AR3-, BLT ; 62
+ STRCD *AR3-, ALEQ ; 63
+ STRCD *AR3-, BLEQ ; 64
+ STRCD *AR3-, AGT ; 65
+ STRCD *AR3-, BGT ; 66
+ STRCD *AR3-, ANEQ ; 67
+ STRCD *AR3-, BNEQ ; 68
+ STRCD *AR3-, AGEQ ; 69
+ STRCD *AR3-, BGEQ ; 70
+ STRCD *AR3-, AEQ ; 71
+ STRCD *AR3-, BEQ ; 72
+ STRCD *AR3+, ALT ; 73
+ STRCD *AR3+, BLT ; 74
+ STRCD *AR3+, ALEQ ; 75
+ STRCD *AR3+, BLEQ ; 76
+ STRCD *AR3+, AGT ; 77
+ STRCD *AR3+, BGT ; 78
+ STRCD *AR3+, ANEQ ; 79
+ STRCD *AR3+, BNEQ ; 80
+ STRCD *AR3+, AGEQ ; 81
+ STRCD *AR3+, BGEQ ; 82
+ STRCD *AR3+, AEQ ; 83
+ STRCD *AR3+, BEQ ; 84
+ STRCD *AR3+0%, ALT ; 85
+ STRCD *AR3+0%, BLT ; 86
+ STRCD *AR3+0%, ALEQ ; 87
+ STRCD *AR3+0%, BLEQ ; 88
+ STRCD *AR3+0%, AGT ; 89
+ STRCD *AR3+0%, BGT ; 90
+ STRCD *AR3+0%, ANEQ ; 91
+ STRCD *AR3+0%, BNEQ ; 92
+ STRCD *AR3+0%, AGEQ ; 93
+ STRCD *AR3+0%, BGEQ ; 94
+ STRCD *AR3+0%, AEQ ; 95
+ STRCD *AR3+0%, BEQ ; 96
+ STRCD *AR4, ALT ; 97
+ STRCD *AR4, BLT ; 98
+ STRCD *AR4, ALEQ ; 99
+ STRCD *AR4, BLEQ ; 100
+ STRCD *AR4, AGT ; 101
+ STRCD *AR4, BGT ; 102
+ STRCD *AR4, ANEQ ; 103
+ STRCD *AR4, BNEQ ; 104
+ STRCD *AR4, AGEQ ; 105
+ STRCD *AR4, BGEQ ; 106
+ STRCD *AR4, AEQ ; 107
+ STRCD *AR4, BEQ ; 108
+ STRCD *AR4-, ALT ; 109
+ STRCD *AR4-, BLT ; 110
+ STRCD *AR4-, ALEQ ; 111
+ STRCD *AR4-, BLEQ ; 112
+ STRCD *AR4-, AGT ; 113
+ STRCD *AR4-, BGT ; 114
+ STRCD *AR4-, ANEQ ; 115
+ STRCD *AR4-, BNEQ ; 116
+ STRCD *AR4-, AGEQ ; 117
+ STRCD *AR4-, BGEQ ; 118
+ STRCD *AR4-, AEQ ; 119
+ STRCD *AR4-, BEQ ; 120
+ STRCD *AR4+, ALT ; 121
+ STRCD *AR4+, BLT ; 122
+ STRCD *AR4+, ALEQ ; 123
+ STRCD *AR4+, BLEQ ; 124
+ STRCD *AR4+, AGT ; 125
+ STRCD *AR4+, BGT ; 126
+ STRCD *AR4+, ANEQ ; 127
+ STRCD *AR4+, BNEQ ; 128
+ STRCD *AR4+, AGEQ ; 129
+ STRCD *AR4+, BGEQ ; 130
+ STRCD *AR4+, AEQ ; 131
+ STRCD *AR4+, BEQ ; 132
+ STRCD *AR4+0%, ALT ; 133
+ STRCD *AR4+0%, BLT ; 134
+ STRCD *AR4+0%, ALEQ ; 135
+ STRCD *AR4+0%, BLEQ ; 136
+ STRCD *AR4+0%, AGT ; 137
+ STRCD *AR4+0%, BGT ; 138
+ STRCD *AR4+0%, ANEQ ; 139
+ STRCD *AR4+0%, BNEQ ; 140
+ STRCD *AR4+0%, AGEQ ; 141
+ STRCD *AR4+0%, BGEQ ; 142
+ STRCD *AR4+0%, AEQ ; 143
+ STRCD *AR4+0%, BEQ ; 144
+ STRCD *AR5, ALT ; 145
+ STRCD *AR5, BLT ; 146
+ STRCD *AR5, ALEQ ; 147
+ STRCD *AR5, BLEQ ; 148
+ STRCD *AR5, AGT ; 149
+ STRCD *AR5, BGT ; 150
+ STRCD *AR5, ANEQ ; 151
+ STRCD *AR5, BNEQ ; 152
+ STRCD *AR5, AGEQ ; 153
+ STRCD *AR5, BGEQ ; 154
+ STRCD *AR5, AEQ ; 155
+ STRCD *AR5, BEQ ; 156
+ STRCD *AR5-, ALT ; 157
+ STRCD *AR5-, BLT ; 158
+ STRCD *AR5-, ALEQ ; 159
+ STRCD *AR5-, BLEQ ; 160
+ STRCD *AR5-, AGT ; 161
+ STRCD *AR5-, BGT ; 162
+ STRCD *AR5-, ANEQ ; 163
+ STRCD *AR5-, BNEQ ; 164
+ STRCD *AR5-, AGEQ ; 165
+ STRCD *AR5-, BGEQ ; 166
+ STRCD *AR5-, AEQ ; 167
+ STRCD *AR5-, BEQ ; 168
+ STRCD *AR5+, ALT ; 169
+ STRCD *AR5+, BLT ; 170
+ STRCD *AR5+, ALEQ ; 171
+ STRCD *AR5+, BLEQ ; 172
+ STRCD *AR5+, AGT ; 173
+ STRCD *AR5+, BGT ; 174
+ STRCD *AR5+, ANEQ ; 175
+ STRCD *AR5+, BNEQ ; 176
+ STRCD *AR5+, AGEQ ; 177
+ STRCD *AR5+, BGEQ ; 178
+ STRCD *AR5+, AEQ ; 179
+ STRCD *AR5+, BEQ ; 180
+ STRCD *AR5+0%, ALT ; 181
+ STRCD *AR5+0%, BLT ; 182
+ STRCD *AR5+0%, ALEQ ; 183
+ STRCD *AR5+0%, BLEQ ; 184
+ STRCD *AR5+0%, AGT ; 185
+ STRCD *AR5+0%, BGT ; 186
+ STRCD *AR5+0%, ANEQ ; 187
+ STRCD *AR5+0%, BNEQ ; 188
+ STRCD *AR5+0%, AGEQ ; 189
+ STRCD *AR5+0%, BGEQ ; 190
+ STRCD *AR5+0%, AEQ ; 191
+ STRCD *AR5+0%, BEQ ; 192
+ ; STandADD : ST AB, Ymem || ADD Xmem, AB : 1024
+ ST A, *AR2 || ADD *AR2, A ; 1
+ ST A, *AR2 || ADD *AR2, B ; 2
+ ST A, *AR2 || ADD *AR2-, A ; 3
+ ST A, *AR2 || ADD *AR2-, B ; 4
+ ST A, *AR2 || ADD *AR2+, A ; 5
+ ST A, *AR2 || ADD *AR2+, B ; 6
+ ST A, *AR2 || ADD *AR2+0%, A ; 7
+ ST A, *AR2 || ADD *AR2+0%, B ; 8
+ ST A, *AR2 || ADD *AR3, A ; 9
+ ST A, *AR2 || ADD *AR3, B ; 10
+ ST A, *AR2 || ADD *AR3-, A ; 11
+ ST A, *AR2 || ADD *AR3-, B ; 12
+ ST A, *AR2 || ADD *AR3+, A ; 13
+ ST A, *AR2 || ADD *AR3+, B ; 14
+ ST A, *AR2 || ADD *AR3+0%, A ; 15
+ ST A, *AR2 || ADD *AR3+0%, B ; 16
+ ST A, *AR2 || ADD *AR4, A ; 17
+ ST A, *AR2 || ADD *AR4, B ; 18
+ ST A, *AR2 || ADD *AR4-, A ; 19
+ ST A, *AR2 || ADD *AR4-, B ; 20
+ ST A, *AR2 || ADD *AR4+, A ; 21
+ ST A, *AR2 || ADD *AR4+, B ; 22
+ ST A, *AR2 || ADD *AR4+0%, A ; 23
+ ST A, *AR2 || ADD *AR4+0%, B ; 24
+ ST A, *AR2 || ADD *AR5, A ; 25
+ ST A, *AR2 || ADD *AR5, B ; 26
+ ST A, *AR2 || ADD *AR5-, A ; 27
+ ST A, *AR2 || ADD *AR5-, B ; 28
+ ST A, *AR2 || ADD *AR5+, A ; 29
+ ST A, *AR2 || ADD *AR5+, B ; 30
+ ST A, *AR2 || ADD *AR5+0%, A ; 31
+ ST A, *AR2 || ADD *AR5+0%, B ; 32
+ ST A, *AR2- || ADD *AR2, A ; 33
+ ST A, *AR2- || ADD *AR2, B ; 34
+ ST A, *AR2- || ADD *AR2-, A ; 35
+ ST A, *AR2- || ADD *AR2-, B ; 36
+ ST A, *AR2- || ADD *AR2+, A ; 37
+ ST A, *AR2- || ADD *AR2+, B ; 38
+ ST A, *AR2- || ADD *AR2+0%, A ; 39
+ ST A, *AR2- || ADD *AR2+0%, B ; 40
+ ST A, *AR2- || ADD *AR3, A ; 41
+ ST A, *AR2- || ADD *AR3, B ; 42
+ ST A, *AR2- || ADD *AR3-, A ; 43
+ ST A, *AR2- || ADD *AR3-, B ; 44
+ ST A, *AR2- || ADD *AR3+, A ; 45
+ ST A, *AR2- || ADD *AR3+, B ; 46
+ ST A, *AR2- || ADD *AR3+0%, A ; 47
+ ST A, *AR2- || ADD *AR3+0%, B ; 48
+ ST A, *AR2- || ADD *AR4, A ; 49
+ ST A, *AR2- || ADD *AR4, B ; 50
+ ST A, *AR2- || ADD *AR4-, A ; 51
+ ST A, *AR2- || ADD *AR4-, B ; 52
+ ST A, *AR2- || ADD *AR4+, A ; 53
+ ST A, *AR2- || ADD *AR4+, B ; 54
+ ST A, *AR2- || ADD *AR4+0%, A ; 55
+ ST A, *AR2- || ADD *AR4+0%, B ; 56
+ ST A, *AR2- || ADD *AR5, A ; 57
+ ST A, *AR2- || ADD *AR5, B ; 58
+ ST A, *AR2- || ADD *AR5-, A ; 59
+ ST A, *AR2- || ADD *AR5-, B ; 60
+ ST A, *AR2- || ADD *AR5+, A ; 61
+ ST A, *AR2- || ADD *AR5+, B ; 62
+ ST A, *AR2- || ADD *AR5+0%, A ; 63
+ ST A, *AR2- || ADD *AR5+0%, B ; 64
+ ST A, *AR2+ || ADD *AR2, A ; 65
+ ST A, *AR2+ || ADD *AR2, B ; 66
+ ST A, *AR2+ || ADD *AR2-, A ; 67
+ ST A, *AR2+ || ADD *AR2-, B ; 68
+ ST A, *AR2+ || ADD *AR2+, A ; 69
+ ST A, *AR2+ || ADD *AR2+, B ; 70
+ ST A, *AR2+ || ADD *AR2+0%, A ; 71
+ ST A, *AR2+ || ADD *AR2+0%, B ; 72
+ ST A, *AR2+ || ADD *AR3, A ; 73
+ ST A, *AR2+ || ADD *AR3, B ; 74
+ ST A, *AR2+ || ADD *AR3-, A ; 75
+ ST A, *AR2+ || ADD *AR3-, B ; 76
+ ST A, *AR2+ || ADD *AR3+, A ; 77
+ ST A, *AR2+ || ADD *AR3+, B ; 78
+ ST A, *AR2+ || ADD *AR3+0%, A ; 79
+ ST A, *AR2+ || ADD *AR3+0%, B ; 80
+ ST A, *AR2+ || ADD *AR4, A ; 81
+ ST A, *AR2+ || ADD *AR4, B ; 82
+ ST A, *AR2+ || ADD *AR4-, A ; 83
+ ST A, *AR2+ || ADD *AR4-, B ; 84
+ ST A, *AR2+ || ADD *AR4+, A ; 85
+ ST A, *AR2+ || ADD *AR4+, B ; 86
+ ST A, *AR2+ || ADD *AR4+0%, A ; 87
+ ST A, *AR2+ || ADD *AR4+0%, B ; 88
+ ST A, *AR2+ || ADD *AR5, A ; 89
+ ST A, *AR2+ || ADD *AR5, B ; 90
+ ST A, *AR2+ || ADD *AR5-, A ; 91
+ ST A, *AR2+ || ADD *AR5-, B ; 92
+ ST A, *AR2+ || ADD *AR5+, A ; 93
+ ST A, *AR2+ || ADD *AR5+, B ; 94
+ ST A, *AR2+ || ADD *AR5+0%, A ; 95
+ ST A, *AR2+ || ADD *AR5+0%, B ; 96
+ ST A, *AR2+0% || ADD *AR2, A ; 97
+ ST A, *AR2+0% || ADD *AR2, B ; 98
+ ST A, *AR2+0% || ADD *AR2-, A ; 99
+ ST A, *AR2+0% || ADD *AR2-, B ; 100
+ ST A, *AR2+0% || ADD *AR2+, A ; 101
+ ST A, *AR2+0% || ADD *AR2+, B ; 102
+ ST A, *AR2+0% || ADD *AR2+0%, A ; 103
+ ST A, *AR2+0% || ADD *AR2+0%, B ; 104
+ ST A, *AR2+0% || ADD *AR3, A ; 105
+ ST A, *AR2+0% || ADD *AR3, B ; 106
+ ST A, *AR2+0% || ADD *AR3-, A ; 107
+ ST A, *AR2+0% || ADD *AR3-, B ; 108
+ ST A, *AR2+0% || ADD *AR3+, A ; 109
+ ST A, *AR2+0% || ADD *AR3+, B ; 110
+ ST A, *AR2+0% || ADD *AR3+0%, A ; 111
+ ST A, *AR2+0% || ADD *AR3+0%, B ; 112
+ ST A, *AR2+0% || ADD *AR4, A ; 113
+ ST A, *AR2+0% || ADD *AR4, B ; 114
+ ST A, *AR2+0% || ADD *AR4-, A ; 115
+ ST A, *AR2+0% || ADD *AR4-, B ; 116
+ ST A, *AR2+0% || ADD *AR4+, A ; 117
+ ST A, *AR2+0% || ADD *AR4+, B ; 118
+ ST A, *AR2+0% || ADD *AR4+0%, A ; 119
+ ST A, *AR2+0% || ADD *AR4+0%, B ; 120
+ ST A, *AR2+0% || ADD *AR5, A ; 121
+ ST A, *AR2+0% || ADD *AR5, B ; 122
+ ST A, *AR2+0% || ADD *AR5-, A ; 123
+ ST A, *AR2+0% || ADD *AR5-, B ; 124
+ ST A, *AR2+0% || ADD *AR5+, A ; 125
+ ST A, *AR2+0% || ADD *AR5+, B ; 126
+ ST A, *AR2+0% || ADD *AR5+0%, A ; 127
+ ST A, *AR2+0% || ADD *AR5+0%, B ; 128
+ ST A, *AR3 || ADD *AR2, A ; 129
+ ST A, *AR3 || ADD *AR2, B ; 130
+ ST A, *AR3 || ADD *AR2-, A ; 131
+ ST A, *AR3 || ADD *AR2-, B ; 132
+ ST A, *AR3 || ADD *AR2+, A ; 133
+ ST A, *AR3 || ADD *AR2+, B ; 134
+ ST A, *AR3 || ADD *AR2+0%, A ; 135
+ ST A, *AR3 || ADD *AR2+0%, B ; 136
+ ST A, *AR3 || ADD *AR3, A ; 137
+ ST A, *AR3 || ADD *AR3, B ; 138
+ ST A, *AR3 || ADD *AR3-, A ; 139
+ ST A, *AR3 || ADD *AR3-, B ; 140
+ ST A, *AR3 || ADD *AR3+, A ; 141
+ ST A, *AR3 || ADD *AR3+, B ; 142
+ ST A, *AR3 || ADD *AR3+0%, A ; 143
+ ST A, *AR3 || ADD *AR3+0%, B ; 144
+ ST A, *AR3 || ADD *AR4, A ; 145
+ ST A, *AR3 || ADD *AR4, B ; 146
+ ST A, *AR3 || ADD *AR4-, A ; 147
+ ST A, *AR3 || ADD *AR4-, B ; 148
+ ST A, *AR3 || ADD *AR4+, A ; 149
+ ST A, *AR3 || ADD *AR4+, B ; 150
+ ST A, *AR3 || ADD *AR4+0%, A ; 151
+ ST A, *AR3 || ADD *AR4+0%, B ; 152
+ ST A, *AR3 || ADD *AR5, A ; 153
+ ST A, *AR3 || ADD *AR5, B ; 154
+ ST A, *AR3 || ADD *AR5-, A ; 155
+ ST A, *AR3 || ADD *AR5-, B ; 156
+ ST A, *AR3 || ADD *AR5+, A ; 157
+ ST A, *AR3 || ADD *AR5+, B ; 158
+ ST A, *AR3 || ADD *AR5+0%, A ; 159
+ ST A, *AR3 || ADD *AR5+0%, B ; 160
+ ST A, *AR3- || ADD *AR2, A ; 161
+ ST A, *AR3- || ADD *AR2, B ; 162
+ ST A, *AR3- || ADD *AR2-, A ; 163
+ ST A, *AR3- || ADD *AR2-, B ; 164
+ ST A, *AR3- || ADD *AR2+, A ; 165
+ ST A, *AR3- || ADD *AR2+, B ; 166
+ ST A, *AR3- || ADD *AR2+0%, A ; 167
+ ST A, *AR3- || ADD *AR2+0%, B ; 168
+ ST A, *AR3- || ADD *AR3, A ; 169
+ ST A, *AR3- || ADD *AR3, B ; 170
+ ST A, *AR3- || ADD *AR3-, A ; 171
+ ST A, *AR3- || ADD *AR3-, B ; 172
+ ST A, *AR3- || ADD *AR3+, A ; 173
+ ST A, *AR3- || ADD *AR3+, B ; 174
+ ST A, *AR3- || ADD *AR3+0%, A ; 175
+ ST A, *AR3- || ADD *AR3+0%, B ; 176
+ ST A, *AR3- || ADD *AR4, A ; 177
+ ST A, *AR3- || ADD *AR4, B ; 178
+ ST A, *AR3- || ADD *AR4-, A ; 179
+ ST A, *AR3- || ADD *AR4-, B ; 180
+ ST A, *AR3- || ADD *AR4+, A ; 181
+ ST A, *AR3- || ADD *AR4+, B ; 182
+ ST A, *AR3- || ADD *AR4+0%, A ; 183
+ ST A, *AR3- || ADD *AR4+0%, B ; 184
+ ST A, *AR3- || ADD *AR5, A ; 185
+ ST A, *AR3- || ADD *AR5, B ; 186
+ ST A, *AR3- || ADD *AR5-, A ; 187
+ ST A, *AR3- || ADD *AR5-, B ; 188
+ ST A, *AR3- || ADD *AR5+, A ; 189
+ ST A, *AR3- || ADD *AR5+, B ; 190
+ ST A, *AR3- || ADD *AR5+0%, A ; 191
+ ST A, *AR3- || ADD *AR5+0%, B ; 192
+ ST A, *AR3+ || ADD *AR2, A ; 193
+ ST A, *AR3+ || ADD *AR2, B ; 194
+ ST A, *AR3+ || ADD *AR2-, A ; 195
+ ST A, *AR3+ || ADD *AR2-, B ; 196
+ ST A, *AR3+ || ADD *AR2+, A ; 197
+ ST A, *AR3+ || ADD *AR2+, B ; 198
+ ST A, *AR3+ || ADD *AR2+0%, A ; 199
+ ST A, *AR3+ || ADD *AR2+0%, B ; 200
+ ST A, *AR3+ || ADD *AR3, A ; 201
+ ST A, *AR3+ || ADD *AR3, B ; 202
+ ST A, *AR3+ || ADD *AR3-, A ; 203
+ ST A, *AR3+ || ADD *AR3-, B ; 204
+ ST A, *AR3+ || ADD *AR3+, A ; 205
+ ST A, *AR3+ || ADD *AR3+, B ; 206
+ ST A, *AR3+ || ADD *AR3+0%, A ; 207
+ ST A, *AR3+ || ADD *AR3+0%, B ; 208
+ ST A, *AR3+ || ADD *AR4, A ; 209
+ ST A, *AR3+ || ADD *AR4, B ; 210
+ ST A, *AR3+ || ADD *AR4-, A ; 211
+ ST A, *AR3+ || ADD *AR4-, B ; 212
+ ST A, *AR3+ || ADD *AR4+, A ; 213
+ ST A, *AR3+ || ADD *AR4+, B ; 214
+ ST A, *AR3+ || ADD *AR4+0%, A ; 215
+ ST A, *AR3+ || ADD *AR4+0%, B ; 216
+ ST A, *AR3+ || ADD *AR5, A ; 217
+ ST A, *AR3+ || ADD *AR5, B ; 218
+ ST A, *AR3+ || ADD *AR5-, A ; 219
+ ST A, *AR3+ || ADD *AR5-, B ; 220
+ ST A, *AR3+ || ADD *AR5+, A ; 221
+ ST A, *AR3+ || ADD *AR5+, B ; 222
+ ST A, *AR3+ || ADD *AR5+0%, A ; 223
+ ST A, *AR3+ || ADD *AR5+0%, B ; 224
+ ST A, *AR3+0% || ADD *AR2, A ; 225
+ ST A, *AR3+0% || ADD *AR2, B ; 226
+ ST A, *AR3+0% || ADD *AR2-, A ; 227
+ ST A, *AR3+0% || ADD *AR2-, B ; 228
+ ST A, *AR3+0% || ADD *AR2+, A ; 229
+ ST A, *AR3+0% || ADD *AR2+, B ; 230
+ ST A, *AR3+0% || ADD *AR2+0%, A ; 231
+ ST A, *AR3+0% || ADD *AR2+0%, B ; 232
+ ST A, *AR3+0% || ADD *AR3, A ; 233
+ ST A, *AR3+0% || ADD *AR3, B ; 234
+ ST A, *AR3+0% || ADD *AR3-, A ; 235
+ ST A, *AR3+0% || ADD *AR3-, B ; 236
+ ST A, *AR3+0% || ADD *AR3+, A ; 237
+ ST A, *AR3+0% || ADD *AR3+, B ; 238
+ ST A, *AR3+0% || ADD *AR3+0%, A ; 239
+ ST A, *AR3+0% || ADD *AR3+0%, B ; 240
+ ST A, *AR3+0% || ADD *AR4, A ; 241
+ ST A, *AR3+0% || ADD *AR4, B ; 242
+ ST A, *AR3+0% || ADD *AR4-, A ; 243
+ ST A, *AR3+0% || ADD *AR4-, B ; 244
+ ST A, *AR3+0% || ADD *AR4+, A ; 245
+ ST A, *AR3+0% || ADD *AR4+, B ; 246
+ ST A, *AR3+0% || ADD *AR4+0%, A ; 247
+ ST A, *AR3+0% || ADD *AR4+0%, B ; 248
+ ST A, *AR3+0% || ADD *AR5, A ; 249
+ ST A, *AR3+0% || ADD *AR5, B ; 250
+ ST A, *AR3+0% || ADD *AR5-, A ; 251
+ ST A, *AR3+0% || ADD *AR5-, B ; 252
+ ST A, *AR3+0% || ADD *AR5+, A ; 253
+ ST A, *AR3+0% || ADD *AR5+, B ; 254
+ ST A, *AR3+0% || ADD *AR5+0%, A ; 255
+ ST A, *AR3+0% || ADD *AR5+0%, B ; 256
+ ST A, *AR4 || ADD *AR2, A ; 257
+ ST A, *AR4 || ADD *AR2, B ; 258
+ ST A, *AR4 || ADD *AR2-, A ; 259
+ ST A, *AR4 || ADD *AR2-, B ; 260
+ ST A, *AR4 || ADD *AR2+, A ; 261
+ ST A, *AR4 || ADD *AR2+, B ; 262
+ ST A, *AR4 || ADD *AR2+0%, A ; 263
+ ST A, *AR4 || ADD *AR2+0%, B ; 264
+ ST A, *AR4 || ADD *AR3, A ; 265
+ ST A, *AR4 || ADD *AR3, B ; 266
+ ST A, *AR4 || ADD *AR3-, A ; 267
+ ST A, *AR4 || ADD *AR3-, B ; 268
+ ST A, *AR4 || ADD *AR3+, A ; 269
+ ST A, *AR4 || ADD *AR3+, B ; 270
+ ST A, *AR4 || ADD *AR3+0%, A ; 271
+ ST A, *AR4 || ADD *AR3+0%, B ; 272
+ ST A, *AR4 || ADD *AR4, A ; 273
+ ST A, *AR4 || ADD *AR4, B ; 274
+ ST A, *AR4 || ADD *AR4-, A ; 275
+ ST A, *AR4 || ADD *AR4-, B ; 276
+ ST A, *AR4 || ADD *AR4+, A ; 277
+ ST A, *AR4 || ADD *AR4+, B ; 278
+ ST A, *AR4 || ADD *AR4+0%, A ; 279
+ ST A, *AR4 || ADD *AR4+0%, B ; 280
+ ST A, *AR4 || ADD *AR5, A ; 281
+ ST A, *AR4 || ADD *AR5, B ; 282
+ ST A, *AR4 || ADD *AR5-, A ; 283
+ ST A, *AR4 || ADD *AR5-, B ; 284
+ ST A, *AR4 || ADD *AR5+, A ; 285
+ ST A, *AR4 || ADD *AR5+, B ; 286
+ ST A, *AR4 || ADD *AR5+0%, A ; 287
+ ST A, *AR4 || ADD *AR5+0%, B ; 288
+ ST A, *AR4- || ADD *AR2, A ; 289
+ ST A, *AR4- || ADD *AR2, B ; 290
+ ST A, *AR4- || ADD *AR2-, A ; 291
+ ST A, *AR4- || ADD *AR2-, B ; 292
+ ST A, *AR4- || ADD *AR2+, A ; 293
+ ST A, *AR4- || ADD *AR2+, B ; 294
+ ST A, *AR4- || ADD *AR2+0%, A ; 295
+ ST A, *AR4- || ADD *AR2+0%, B ; 296
+ ST A, *AR4- || ADD *AR3, A ; 297
+ ST A, *AR4- || ADD *AR3, B ; 298
+ ST A, *AR4- || ADD *AR3-, A ; 299
+ ST A, *AR4- || ADD *AR3-, B ; 300
+ ST A, *AR4- || ADD *AR3+, A ; 301
+ ST A, *AR4- || ADD *AR3+, B ; 302
+ ST A, *AR4- || ADD *AR3+0%, A ; 303
+ ST A, *AR4- || ADD *AR3+0%, B ; 304
+ ST A, *AR4- || ADD *AR4, A ; 305
+ ST A, *AR4- || ADD *AR4, B ; 306
+ ST A, *AR4- || ADD *AR4-, A ; 307
+ ST A, *AR4- || ADD *AR4-, B ; 308
+ ST A, *AR4- || ADD *AR4+, A ; 309
+ ST A, *AR4- || ADD *AR4+, B ; 310
+ ST A, *AR4- || ADD *AR4+0%, A ; 311
+ ST A, *AR4- || ADD *AR4+0%, B ; 312
+ ST A, *AR4- || ADD *AR5, A ; 313
+ ST A, *AR4- || ADD *AR5, B ; 314
+ ST A, *AR4- || ADD *AR5-, A ; 315
+ ST A, *AR4- || ADD *AR5-, B ; 316
+ ST A, *AR4- || ADD *AR5+, A ; 317
+ ST A, *AR4- || ADD *AR5+, B ; 318
+ ST A, *AR4- || ADD *AR5+0%, A ; 319
+ ST A, *AR4- || ADD *AR5+0%, B ; 320
+ ST A, *AR4+ || ADD *AR2, A ; 321
+ ST A, *AR4+ || ADD *AR2, B ; 322
+ ST A, *AR4+ || ADD *AR2-, A ; 323
+ ST A, *AR4+ || ADD *AR2-, B ; 324
+ ST A, *AR4+ || ADD *AR2+, A ; 325
+ ST A, *AR4+ || ADD *AR2+, B ; 326
+ ST A, *AR4+ || ADD *AR2+0%, A ; 327
+ ST A, *AR4+ || ADD *AR2+0%, B ; 328
+ ST A, *AR4+ || ADD *AR3, A ; 329
+ ST A, *AR4+ || ADD *AR3, B ; 330
+ ST A, *AR4+ || ADD *AR3-, A ; 331
+ ST A, *AR4+ || ADD *AR3-, B ; 332
+ ST A, *AR4+ || ADD *AR3+, A ; 333
+ ST A, *AR4+ || ADD *AR3+, B ; 334
+ ST A, *AR4+ || ADD *AR3+0%, A ; 335
+ ST A, *AR4+ || ADD *AR3+0%, B ; 336
+ ST A, *AR4+ || ADD *AR4, A ; 337
+ ST A, *AR4+ || ADD *AR4, B ; 338
+ ST A, *AR4+ || ADD *AR4-, A ; 339
+ ST A, *AR4+ || ADD *AR4-, B ; 340
+ ST A, *AR4+ || ADD *AR4+, A ; 341
+ ST A, *AR4+ || ADD *AR4+, B ; 342
+ ST A, *AR4+ || ADD *AR4+0%, A ; 343
+ ST A, *AR4+ || ADD *AR4+0%, B ; 344
+ ST A, *AR4+ || ADD *AR5, A ; 345
+ ST A, *AR4+ || ADD *AR5, B ; 346
+ ST A, *AR4+ || ADD *AR5-, A ; 347
+ ST A, *AR4+ || ADD *AR5-, B ; 348
+ ST A, *AR4+ || ADD *AR5+, A ; 349
+ ST A, *AR4+ || ADD *AR5+, B ; 350
+ ST A, *AR4+ || ADD *AR5+0%, A ; 351
+ ST A, *AR4+ || ADD *AR5+0%, B ; 352
+ ST A, *AR4+0% || ADD *AR2, A ; 353
+ ST A, *AR4+0% || ADD *AR2, B ; 354
+ ST A, *AR4+0% || ADD *AR2-, A ; 355
+ ST A, *AR4+0% || ADD *AR2-, B ; 356
+ ST A, *AR4+0% || ADD *AR2+, A ; 357
+ ST A, *AR4+0% || ADD *AR2+, B ; 358
+ ST A, *AR4+0% || ADD *AR2+0%, A ; 359
+ ST A, *AR4+0% || ADD *AR2+0%, B ; 360
+ ST A, *AR4+0% || ADD *AR3, A ; 361
+ ST A, *AR4+0% || ADD *AR3, B ; 362
+ ST A, *AR4+0% || ADD *AR3-, A ; 363
+ ST A, *AR4+0% || ADD *AR3-, B ; 364
+ ST A, *AR4+0% || ADD *AR3+, A ; 365
+ ST A, *AR4+0% || ADD *AR3+, B ; 366
+ ST A, *AR4+0% || ADD *AR3+0%, A ; 367
+ ST A, *AR4+0% || ADD *AR3+0%, B ; 368
+ ST A, *AR4+0% || ADD *AR4, A ; 369
+ ST A, *AR4+0% || ADD *AR4, B ; 370
+ ST A, *AR4+0% || ADD *AR4-, A ; 371
+ ST A, *AR4+0% || ADD *AR4-, B ; 372
+ ST A, *AR4+0% || ADD *AR4+, A ; 373
+ ST A, *AR4+0% || ADD *AR4+, B ; 374
+ ST A, *AR4+0% || ADD *AR4+0%, A ; 375
+ ST A, *AR4+0% || ADD *AR4+0%, B ; 376
+ ST A, *AR4+0% || ADD *AR5, A ; 377
+ ST A, *AR4+0% || ADD *AR5, B ; 378
+ ST A, *AR4+0% || ADD *AR5-, A ; 379
+ ST A, *AR4+0% || ADD *AR5-, B ; 380
+ ST A, *AR4+0% || ADD *AR5+, A ; 381
+ ST A, *AR4+0% || ADD *AR5+, B ; 382
+ ST A, *AR4+0% || ADD *AR5+0%, A ; 383
+ ST A, *AR4+0% || ADD *AR5+0%, B ; 384
+ ST A, *AR5 || ADD *AR2, A ; 385
+ ST A, *AR5 || ADD *AR2, B ; 386
+ ST A, *AR5 || ADD *AR2-, A ; 387
+ ST A, *AR5 || ADD *AR2-, B ; 388
+ ST A, *AR5 || ADD *AR2+, A ; 389
+ ST A, *AR5 || ADD *AR2+, B ; 390
+ ST A, *AR5 || ADD *AR2+0%, A ; 391
+ ST A, *AR5 || ADD *AR2+0%, B ; 392
+ ST A, *AR5 || ADD *AR3, A ; 393
+ ST A, *AR5 || ADD *AR3, B ; 394
+ ST A, *AR5 || ADD *AR3-, A ; 395
+ ST A, *AR5 || ADD *AR3-, B ; 396
+ ST A, *AR5 || ADD *AR3+, A ; 397
+ ST A, *AR5 || ADD *AR3+, B ; 398
+ ST A, *AR5 || ADD *AR3+0%, A ; 399
+ ST A, *AR5 || ADD *AR3+0%, B ; 400
+ ST A, *AR5 || ADD *AR4, A ; 401
+ ST A, *AR5 || ADD *AR4, B ; 402
+ ST A, *AR5 || ADD *AR4-, A ; 403
+ ST A, *AR5 || ADD *AR4-, B ; 404
+ ST A, *AR5 || ADD *AR4+, A ; 405
+ ST A, *AR5 || ADD *AR4+, B ; 406
+ ST A, *AR5 || ADD *AR4+0%, A ; 407
+ ST A, *AR5 || ADD *AR4+0%, B ; 408
+ ST A, *AR5 || ADD *AR5, A ; 409
+ ST A, *AR5 || ADD *AR5, B ; 410
+ ST A, *AR5 || ADD *AR5-, A ; 411
+ ST A, *AR5 || ADD *AR5-, B ; 412
+ ST A, *AR5 || ADD *AR5+, A ; 413
+ ST A, *AR5 || ADD *AR5+, B ; 414
+ ST A, *AR5 || ADD *AR5+0%, A ; 415
+ ST A, *AR5 || ADD *AR5+0%, B ; 416
+ ST A, *AR5- || ADD *AR2, A ; 417
+ ST A, *AR5- || ADD *AR2, B ; 418
+ ST A, *AR5- || ADD *AR2-, A ; 419
+ ST A, *AR5- || ADD *AR2-, B ; 420
+ ST A, *AR5- || ADD *AR2+, A ; 421
+ ST A, *AR5- || ADD *AR2+, B ; 422
+ ST A, *AR5- || ADD *AR2+0%, A ; 423
+ ST A, *AR5- || ADD *AR2+0%, B ; 424
+ ST A, *AR5- || ADD *AR3, A ; 425
+ ST A, *AR5- || ADD *AR3, B ; 426
+ ST A, *AR5- || ADD *AR3-, A ; 427
+ ST A, *AR5- || ADD *AR3-, B ; 428
+ ST A, *AR5- || ADD *AR3+, A ; 429
+ ST A, *AR5- || ADD *AR3+, B ; 430
+ ST A, *AR5- || ADD *AR3+0%, A ; 431
+ ST A, *AR5- || ADD *AR3+0%, B ; 432
+ ST A, *AR5- || ADD *AR4, A ; 433
+ ST A, *AR5- || ADD *AR4, B ; 434
+ ST A, *AR5- || ADD *AR4-, A ; 435
+ ST A, *AR5- || ADD *AR4-, B ; 436
+ ST A, *AR5- || ADD *AR4+, A ; 437
+ ST A, *AR5- || ADD *AR4+, B ; 438
+ ST A, *AR5- || ADD *AR4+0%, A ; 439
+ ST A, *AR5- || ADD *AR4+0%, B ; 440
+ ST A, *AR5- || ADD *AR5, A ; 441
+ ST A, *AR5- || ADD *AR5, B ; 442
+ ST A, *AR5- || ADD *AR5-, A ; 443
+ ST A, *AR5- || ADD *AR5-, B ; 444
+ ST A, *AR5- || ADD *AR5+, A ; 445
+ ST A, *AR5- || ADD *AR5+, B ; 446
+ ST A, *AR5- || ADD *AR5+0%, A ; 447
+ ST A, *AR5- || ADD *AR5+0%, B ; 448
+ ST A, *AR5+ || ADD *AR2, A ; 449
+ ST A, *AR5+ || ADD *AR2, B ; 450
+ ST A, *AR5+ || ADD *AR2-, A ; 451
+ ST A, *AR5+ || ADD *AR2-, B ; 452
+ ST A, *AR5+ || ADD *AR2+, A ; 453
+ ST A, *AR5+ || ADD *AR2+, B ; 454
+ ST A, *AR5+ || ADD *AR2+0%, A ; 455
+ ST A, *AR5+ || ADD *AR2+0%, B ; 456
+ ST A, *AR5+ || ADD *AR3, A ; 457
+ ST A, *AR5+ || ADD *AR3, B ; 458
+ ST A, *AR5+ || ADD *AR3-, A ; 459
+ ST A, *AR5+ || ADD *AR3-, B ; 460
+ ST A, *AR5+ || ADD *AR3+, A ; 461
+ ST A, *AR5+ || ADD *AR3+, B ; 462
+ ST A, *AR5+ || ADD *AR3+0%, A ; 463
+ ST A, *AR5+ || ADD *AR3+0%, B ; 464
+ ST A, *AR5+ || ADD *AR4, A ; 465
+ ST A, *AR5+ || ADD *AR4, B ; 466
+ ST A, *AR5+ || ADD *AR4-, A ; 467
+ ST A, *AR5+ || ADD *AR4-, B ; 468
+ ST A, *AR5+ || ADD *AR4+, A ; 469
+ ST A, *AR5+ || ADD *AR4+, B ; 470
+ ST A, *AR5+ || ADD *AR4+0%, A ; 471
+ ST A, *AR5+ || ADD *AR4+0%, B ; 472
+ ST A, *AR5+ || ADD *AR5, A ; 473
+ ST A, *AR5+ || ADD *AR5, B ; 474
+ ST A, *AR5+ || ADD *AR5-, A ; 475
+ ST A, *AR5+ || ADD *AR5-, B ; 476
+ ST A, *AR5+ || ADD *AR5+, A ; 477
+ ST A, *AR5+ || ADD *AR5+, B ; 478
+ ST A, *AR5+ || ADD *AR5+0%, A ; 479
+ ST A, *AR5+ || ADD *AR5+0%, B ; 480
+ ST A, *AR5+0% || ADD *AR2, A ; 481
+ ST A, *AR5+0% || ADD *AR2, B ; 482
+ ST A, *AR5+0% || ADD *AR2-, A ; 483
+ ST A, *AR5+0% || ADD *AR2-, B ; 484
+ ST A, *AR5+0% || ADD *AR2+, A ; 485
+ ST A, *AR5+0% || ADD *AR2+, B ; 486
+ ST A, *AR5+0% || ADD *AR2+0%, A ; 487
+ ST A, *AR5+0% || ADD *AR2+0%, B ; 488
+ ST A, *AR5+0% || ADD *AR3, A ; 489
+ ST A, *AR5+0% || ADD *AR3, B ; 490
+ ST A, *AR5+0% || ADD *AR3-, A ; 491
+ ST A, *AR5+0% || ADD *AR3-, B ; 492
+ ST A, *AR5+0% || ADD *AR3+, A ; 493
+ ST A, *AR5+0% || ADD *AR3+, B ; 494
+ ST A, *AR5+0% || ADD *AR3+0%, A ; 495
+ ST A, *AR5+0% || ADD *AR3+0%, B ; 496
+ ST A, *AR5+0% || ADD *AR4, A ; 497
+ ST A, *AR5+0% || ADD *AR4, B ; 498
+ ST A, *AR5+0% || ADD *AR4-, A ; 499
+ ST A, *AR5+0% || ADD *AR4-, B ; 500
+ ST A, *AR5+0% || ADD *AR4+, A ; 501
+ ST A, *AR5+0% || ADD *AR4+, B ; 502
+ ST A, *AR5+0% || ADD *AR4+0%, A ; 503
+ ST A, *AR5+0% || ADD *AR4+0%, B ; 504
+ ST A, *AR5+0% || ADD *AR5, A ; 505
+ ST A, *AR5+0% || ADD *AR5, B ; 506
+ ST A, *AR5+0% || ADD *AR5-, A ; 507
+ ST A, *AR5+0% || ADD *AR5-, B ; 508
+ ST A, *AR5+0% || ADD *AR5+, A ; 509
+ ST A, *AR5+0% || ADD *AR5+, B ; 510
+ ST A, *AR5+0% || ADD *AR5+0%, A ; 511
+ ST A, *AR5+0% || ADD *AR5+0%, B ; 512
+ ST B, *AR2 || ADD *AR2, A ; 513
+ ST B, *AR2 || ADD *AR2, B ; 514
+ ST B, *AR2 || ADD *AR2-, A ; 515
+ ST B, *AR2 || ADD *AR2-, B ; 516
+ ST B, *AR2 || ADD *AR2+, A ; 517
+ ST B, *AR2 || ADD *AR2+, B ; 518
+ ST B, *AR2 || ADD *AR2+0%, A ; 519
+ ST B, *AR2 || ADD *AR2+0%, B ; 520
+ ST B, *AR2 || ADD *AR3, A ; 521
+ ST B, *AR2 || ADD *AR3, B ; 522
+ ST B, *AR2 || ADD *AR3-, A ; 523
+ ST B, *AR2 || ADD *AR3-, B ; 524
+ ST B, *AR2 || ADD *AR3+, A ; 525
+ ST B, *AR2 || ADD *AR3+, B ; 526
+ ST B, *AR2 || ADD *AR3+0%, A ; 527
+ ST B, *AR2 || ADD *AR3+0%, B ; 528
+ ST B, *AR2 || ADD *AR4, A ; 529
+ ST B, *AR2 || ADD *AR4, B ; 530
+ ST B, *AR2 || ADD *AR4-, A ; 531
+ ST B, *AR2 || ADD *AR4-, B ; 532
+ ST B, *AR2 || ADD *AR4+, A ; 533
+ ST B, *AR2 || ADD *AR4+, B ; 534
+ ST B, *AR2 || ADD *AR4+0%, A ; 535
+ ST B, *AR2 || ADD *AR4+0%, B ; 536
+ ST B, *AR2 || ADD *AR5, A ; 537
+ ST B, *AR2 || ADD *AR5, B ; 538
+ ST B, *AR2 || ADD *AR5-, A ; 539
+ ST B, *AR2 || ADD *AR5-, B ; 540
+ ST B, *AR2 || ADD *AR5+, A ; 541
+ ST B, *AR2 || ADD *AR5+, B ; 542
+ ST B, *AR2 || ADD *AR5+0%, A ; 543
+ ST B, *AR2 || ADD *AR5+0%, B ; 544
+ ST B, *AR2- || ADD *AR2, A ; 545
+ ST B, *AR2- || ADD *AR2, B ; 546
+ ST B, *AR2- || ADD *AR2-, A ; 547
+ ST B, *AR2- || ADD *AR2-, B ; 548
+ ST B, *AR2- || ADD *AR2+, A ; 549
+ ST B, *AR2- || ADD *AR2+, B ; 550
+ ST B, *AR2- || ADD *AR2+0%, A ; 551
+ ST B, *AR2- || ADD *AR2+0%, B ; 552
+ ST B, *AR2- || ADD *AR3, A ; 553
+ ST B, *AR2- || ADD *AR3, B ; 554
+ ST B, *AR2- || ADD *AR3-, A ; 555
+ ST B, *AR2- || ADD *AR3-, B ; 556
+ ST B, *AR2- || ADD *AR3+, A ; 557
+ ST B, *AR2- || ADD *AR3+, B ; 558
+ ST B, *AR2- || ADD *AR3+0%, A ; 559
+ ST B, *AR2- || ADD *AR3+0%, B ; 560
+ ST B, *AR2- || ADD *AR4, A ; 561
+ ST B, *AR2- || ADD *AR4, B ; 562
+ ST B, *AR2- || ADD *AR4-, A ; 563
+ ST B, *AR2- || ADD *AR4-, B ; 564
+ ST B, *AR2- || ADD *AR4+, A ; 565
+ ST B, *AR2- || ADD *AR4+, B ; 566
+ ST B, *AR2- || ADD *AR4+0%, A ; 567
+ ST B, *AR2- || ADD *AR4+0%, B ; 568
+ ST B, *AR2- || ADD *AR5, A ; 569
+ ST B, *AR2- || ADD *AR5, B ; 570
+ ST B, *AR2- || ADD *AR5-, A ; 571
+ ST B, *AR2- || ADD *AR5-, B ; 572
+ ST B, *AR2- || ADD *AR5+, A ; 573
+ ST B, *AR2- || ADD *AR5+, B ; 574
+ ST B, *AR2- || ADD *AR5+0%, A ; 575
+ ST B, *AR2- || ADD *AR5+0%, B ; 576
+ ST B, *AR2+ || ADD *AR2, A ; 577
+ ST B, *AR2+ || ADD *AR2, B ; 578
+ ST B, *AR2+ || ADD *AR2-, A ; 579
+ ST B, *AR2+ || ADD *AR2-, B ; 580
+ ST B, *AR2+ || ADD *AR2+, A ; 581
+ ST B, *AR2+ || ADD *AR2+, B ; 582
+ ST B, *AR2+ || ADD *AR2+0%, A ; 583
+ ST B, *AR2+ || ADD *AR2+0%, B ; 584
+ ST B, *AR2+ || ADD *AR3, A ; 585
+ ST B, *AR2+ || ADD *AR3, B ; 586
+ ST B, *AR2+ || ADD *AR3-, A ; 587
+ ST B, *AR2+ || ADD *AR3-, B ; 588
+ ST B, *AR2+ || ADD *AR3+, A ; 589
+ ST B, *AR2+ || ADD *AR3+, B ; 590
+ ST B, *AR2+ || ADD *AR3+0%, A ; 591
+ ST B, *AR2+ || ADD *AR3+0%, B ; 592
+ ST B, *AR2+ || ADD *AR4, A ; 593
+ ST B, *AR2+ || ADD *AR4, B ; 594
+ ST B, *AR2+ || ADD *AR4-, A ; 595
+ ST B, *AR2+ || ADD *AR4-, B ; 596
+ ST B, *AR2+ || ADD *AR4+, A ; 597
+ ST B, *AR2+ || ADD *AR4+, B ; 598
+ ST B, *AR2+ || ADD *AR4+0%, A ; 599
+ ST B, *AR2+ || ADD *AR4+0%, B ; 600
+ ST B, *AR2+ || ADD *AR5, A ; 601
+ ST B, *AR2+ || ADD *AR5, B ; 602
+ ST B, *AR2+ || ADD *AR5-, A ; 603
+ ST B, *AR2+ || ADD *AR5-, B ; 604
+ ST B, *AR2+ || ADD *AR5+, A ; 605
+ ST B, *AR2+ || ADD *AR5+, B ; 606
+ ST B, *AR2+ || ADD *AR5+0%, A ; 607
+ ST B, *AR2+ || ADD *AR5+0%, B ; 608
+ ST B, *AR2+0% || ADD *AR2, A ; 609
+ ST B, *AR2+0% || ADD *AR2, B ; 610
+ ST B, *AR2+0% || ADD *AR2-, A ; 611
+ ST B, *AR2+0% || ADD *AR2-, B ; 612
+ ST B, *AR2+0% || ADD *AR2+, A ; 613
+ ST B, *AR2+0% || ADD *AR2+, B ; 614
+ ST B, *AR2+0% || ADD *AR2+0%, A ; 615
+ ST B, *AR2+0% || ADD *AR2+0%, B ; 616
+ ST B, *AR2+0% || ADD *AR3, A ; 617
+ ST B, *AR2+0% || ADD *AR3, B ; 618
+ ST B, *AR2+0% || ADD *AR3-, A ; 619
+ ST B, *AR2+0% || ADD *AR3-, B ; 620
+ ST B, *AR2+0% || ADD *AR3+, A ; 621
+ ST B, *AR2+0% || ADD *AR3+, B ; 622
+ ST B, *AR2+0% || ADD *AR3+0%, A ; 623
+ ST B, *AR2+0% || ADD *AR3+0%, B ; 624
+ ST B, *AR2+0% || ADD *AR4, A ; 625
+ ST B, *AR2+0% || ADD *AR4, B ; 626
+ ST B, *AR2+0% || ADD *AR4-, A ; 627
+ ST B, *AR2+0% || ADD *AR4-, B ; 628
+ ST B, *AR2+0% || ADD *AR4+, A ; 629
+ ST B, *AR2+0% || ADD *AR4+, B ; 630
+ ST B, *AR2+0% || ADD *AR4+0%, A ; 631
+ ST B, *AR2+0% || ADD *AR4+0%, B ; 632
+ ST B, *AR2+0% || ADD *AR5, A ; 633
+ ST B, *AR2+0% || ADD *AR5, B ; 634
+ ST B, *AR2+0% || ADD *AR5-, A ; 635
+ ST B, *AR2+0% || ADD *AR5-, B ; 636
+ ST B, *AR2+0% || ADD *AR5+, A ; 637
+ ST B, *AR2+0% || ADD *AR5+, B ; 638
+ ST B, *AR2+0% || ADD *AR5+0%, A ; 639
+ ST B, *AR2+0% || ADD *AR5+0%, B ; 640
+ ST B, *AR3 || ADD *AR2, A ; 641
+ ST B, *AR3 || ADD *AR2, B ; 642
+ ST B, *AR3 || ADD *AR2-, A ; 643
+ ST B, *AR3 || ADD *AR2-, B ; 644
+ ST B, *AR3 || ADD *AR2+, A ; 645
+ ST B, *AR3 || ADD *AR2+, B ; 646
+ ST B, *AR3 || ADD *AR2+0%, A ; 647
+ ST B, *AR3 || ADD *AR2+0%, B ; 648
+ ST B, *AR3 || ADD *AR3, A ; 649
+ ST B, *AR3 || ADD *AR3, B ; 650
+ ST B, *AR3 || ADD *AR3-, A ; 651
+ ST B, *AR3 || ADD *AR3-, B ; 652
+ ST B, *AR3 || ADD *AR3+, A ; 653
+ ST B, *AR3 || ADD *AR3+, B ; 654
+ ST B, *AR3 || ADD *AR3+0%, A ; 655
+ ST B, *AR3 || ADD *AR3+0%, B ; 656
+ ST B, *AR3 || ADD *AR4, A ; 657
+ ST B, *AR3 || ADD *AR4, B ; 658
+ ST B, *AR3 || ADD *AR4-, A ; 659
+ ST B, *AR3 || ADD *AR4-, B ; 660
+ ST B, *AR3 || ADD *AR4+, A ; 661
+ ST B, *AR3 || ADD *AR4+, B ; 662
+ ST B, *AR3 || ADD *AR4+0%, A ; 663
+ ST B, *AR3 || ADD *AR4+0%, B ; 664
+ ST B, *AR3 || ADD *AR5, A ; 665
+ ST B, *AR3 || ADD *AR5, B ; 666
+ ST B, *AR3 || ADD *AR5-, A ; 667
+ ST B, *AR3 || ADD *AR5-, B ; 668
+ ST B, *AR3 || ADD *AR5+, A ; 669
+ ST B, *AR3 || ADD *AR5+, B ; 670
+ ST B, *AR3 || ADD *AR5+0%, A ; 671
+ ST B, *AR3 || ADD *AR5+0%, B ; 672
+ ST B, *AR3- || ADD *AR2, A ; 673
+ ST B, *AR3- || ADD *AR2, B ; 674
+ ST B, *AR3- || ADD *AR2-, A ; 675
+ ST B, *AR3- || ADD *AR2-, B ; 676
+ ST B, *AR3- || ADD *AR2+, A ; 677
+ ST B, *AR3- || ADD *AR2+, B ; 678
+ ST B, *AR3- || ADD *AR2+0%, A ; 679
+ ST B, *AR3- || ADD *AR2+0%, B ; 680
+ ST B, *AR3- || ADD *AR3, A ; 681
+ ST B, *AR3- || ADD *AR3, B ; 682
+ ST B, *AR3- || ADD *AR3-, A ; 683
+ ST B, *AR3- || ADD *AR3-, B ; 684
+ ST B, *AR3- || ADD *AR3+, A ; 685
+ ST B, *AR3- || ADD *AR3+, B ; 686
+ ST B, *AR3- || ADD *AR3+0%, A ; 687
+ ST B, *AR3- || ADD *AR3+0%, B ; 688
+ ST B, *AR3- || ADD *AR4, A ; 689
+ ST B, *AR3- || ADD *AR4, B ; 690
+ ST B, *AR3- || ADD *AR4-, A ; 691
+ ST B, *AR3- || ADD *AR4-, B ; 692
+ ST B, *AR3- || ADD *AR4+, A ; 693
+ ST B, *AR3- || ADD *AR4+, B ; 694
+ ST B, *AR3- || ADD *AR4+0%, A ; 695
+ ST B, *AR3- || ADD *AR4+0%, B ; 696
+ ST B, *AR3- || ADD *AR5, A ; 697
+ ST B, *AR3- || ADD *AR5, B ; 698
+ ST B, *AR3- || ADD *AR5-, A ; 699
+ ST B, *AR3- || ADD *AR5-, B ; 700
+ ST B, *AR3- || ADD *AR5+, A ; 701
+ ST B, *AR3- || ADD *AR5+, B ; 702
+ ST B, *AR3- || ADD *AR5+0%, A ; 703
+ ST B, *AR3- || ADD *AR5+0%, B ; 704
+ ST B, *AR3+ || ADD *AR2, A ; 705
+ ST B, *AR3+ || ADD *AR2, B ; 706
+ ST B, *AR3+ || ADD *AR2-, A ; 707
+ ST B, *AR3+ || ADD *AR2-, B ; 708
+ ST B, *AR3+ || ADD *AR2+, A ; 709
+ ST B, *AR3+ || ADD *AR2+, B ; 710
+ ST B, *AR3+ || ADD *AR2+0%, A ; 711
+ ST B, *AR3+ || ADD *AR2+0%, B ; 712
+ ST B, *AR3+ || ADD *AR3, A ; 713
+ ST B, *AR3+ || ADD *AR3, B ; 714
+ ST B, *AR3+ || ADD *AR3-, A ; 715
+ ST B, *AR3+ || ADD *AR3-, B ; 716
+ ST B, *AR3+ || ADD *AR3+, A ; 717
+ ST B, *AR3+ || ADD *AR3+, B ; 718
+ ST B, *AR3+ || ADD *AR3+0%, A ; 719
+ ST B, *AR3+ || ADD *AR3+0%, B ; 720
+ ST B, *AR3+ || ADD *AR4, A ; 721
+ ST B, *AR3+ || ADD *AR4, B ; 722
+ ST B, *AR3+ || ADD *AR4-, A ; 723
+ ST B, *AR3+ || ADD *AR4-, B ; 724
+ ST B, *AR3+ || ADD *AR4+, A ; 725
+ ST B, *AR3+ || ADD *AR4+, B ; 726
+ ST B, *AR3+ || ADD *AR4+0%, A ; 727
+ ST B, *AR3+ || ADD *AR4+0%, B ; 728
+ ST B, *AR3+ || ADD *AR5, A ; 729
+ ST B, *AR3+ || ADD *AR5, B ; 730
+ ST B, *AR3+ || ADD *AR5-, A ; 731
+ ST B, *AR3+ || ADD *AR5-, B ; 732
+ ST B, *AR3+ || ADD *AR5+, A ; 733
+ ST B, *AR3+ || ADD *AR5+, B ; 734
+ ST B, *AR3+ || ADD *AR5+0%, A ; 735
+ ST B, *AR3+ || ADD *AR5+0%, B ; 736
+ ST B, *AR3+0% || ADD *AR2, A ; 737
+ ST B, *AR3+0% || ADD *AR2, B ; 738
+ ST B, *AR3+0% || ADD *AR2-, A ; 739
+ ST B, *AR3+0% || ADD *AR2-, B ; 740
+ ST B, *AR3+0% || ADD *AR2+, A ; 741
+ ST B, *AR3+0% || ADD *AR2+, B ; 742
+ ST B, *AR3+0% || ADD *AR2+0%, A ; 743
+ ST B, *AR3+0% || ADD *AR2+0%, B ; 744
+ ST B, *AR3+0% || ADD *AR3, A ; 745
+ ST B, *AR3+0% || ADD *AR3, B ; 746
+ ST B, *AR3+0% || ADD *AR3-, A ; 747
+ ST B, *AR3+0% || ADD *AR3-, B ; 748
+ ST B, *AR3+0% || ADD *AR3+, A ; 749
+ ST B, *AR3+0% || ADD *AR3+, B ; 750
+ ST B, *AR3+0% || ADD *AR3+0%, A ; 751
+ ST B, *AR3+0% || ADD *AR3+0%, B ; 752
+ ST B, *AR3+0% || ADD *AR4, A ; 753
+ ST B, *AR3+0% || ADD *AR4, B ; 754
+ ST B, *AR3+0% || ADD *AR4-, A ; 755
+ ST B, *AR3+0% || ADD *AR4-, B ; 756
+ ST B, *AR3+0% || ADD *AR4+, A ; 757
+ ST B, *AR3+0% || ADD *AR4+, B ; 758
+ ST B, *AR3+0% || ADD *AR4+0%, A ; 759
+ ST B, *AR3+0% || ADD *AR4+0%, B ; 760
+ ST B, *AR3+0% || ADD *AR5, A ; 761
+ ST B, *AR3+0% || ADD *AR5, B ; 762
+ ST B, *AR3+0% || ADD *AR5-, A ; 763
+ ST B, *AR3+0% || ADD *AR5-, B ; 764
+ ST B, *AR3+0% || ADD *AR5+, A ; 765
+ ST B, *AR3+0% || ADD *AR5+, B ; 766
+ ST B, *AR3+0% || ADD *AR5+0%, A ; 767
+ ST B, *AR3+0% || ADD *AR5+0%, B ; 768
+ ST B, *AR4 || ADD *AR2, A ; 769
+ ST B, *AR4 || ADD *AR2, B ; 770
+ ST B, *AR4 || ADD *AR2-, A ; 771
+ ST B, *AR4 || ADD *AR2-, B ; 772
+ ST B, *AR4 || ADD *AR2+, A ; 773
+ ST B, *AR4 || ADD *AR2+, B ; 774
+ ST B, *AR4 || ADD *AR2+0%, A ; 775
+ ST B, *AR4 || ADD *AR2+0%, B ; 776
+ ST B, *AR4 || ADD *AR3, A ; 777
+ ST B, *AR4 || ADD *AR3, B ; 778
+ ST B, *AR4 || ADD *AR3-, A ; 779
+ ST B, *AR4 || ADD *AR3-, B ; 780
+ ST B, *AR4 || ADD *AR3+, A ; 781
+ ST B, *AR4 || ADD *AR3+, B ; 782
+ ST B, *AR4 || ADD *AR3+0%, A ; 783
+ ST B, *AR4 || ADD *AR3+0%, B ; 784
+ ST B, *AR4 || ADD *AR4, A ; 785
+ ST B, *AR4 || ADD *AR4, B ; 786
+ ST B, *AR4 || ADD *AR4-, A ; 787
+ ST B, *AR4 || ADD *AR4-, B ; 788
+ ST B, *AR4 || ADD *AR4+, A ; 789
+ ST B, *AR4 || ADD *AR4+, B ; 790
+ ST B, *AR4 || ADD *AR4+0%, A ; 791
+ ST B, *AR4 || ADD *AR4+0%, B ; 792
+ ST B, *AR4 || ADD *AR5, A ; 793
+ ST B, *AR4 || ADD *AR5, B ; 794
+ ST B, *AR4 || ADD *AR5-, A ; 795
+ ST B, *AR4 || ADD *AR5-, B ; 796
+ ST B, *AR4 || ADD *AR5+, A ; 797
+ ST B, *AR4 || ADD *AR5+, B ; 798
+ ST B, *AR4 || ADD *AR5+0%, A ; 799
+ ST B, *AR4 || ADD *AR5+0%, B ; 800
+ ST B, *AR4- || ADD *AR2, A ; 801
+ ST B, *AR4- || ADD *AR2, B ; 802
+ ST B, *AR4- || ADD *AR2-, A ; 803
+ ST B, *AR4- || ADD *AR2-, B ; 804
+ ST B, *AR4- || ADD *AR2+, A ; 805
+ ST B, *AR4- || ADD *AR2+, B ; 806
+ ST B, *AR4- || ADD *AR2+0%, A ; 807
+ ST B, *AR4- || ADD *AR2+0%, B ; 808
+ ST B, *AR4- || ADD *AR3, A ; 809
+ ST B, *AR4- || ADD *AR3, B ; 810
+ ST B, *AR4- || ADD *AR3-, A ; 811
+ ST B, *AR4- || ADD *AR3-, B ; 812
+ ST B, *AR4- || ADD *AR3+, A ; 813
+ ST B, *AR4- || ADD *AR3+, B ; 814
+ ST B, *AR4- || ADD *AR3+0%, A ; 815
+ ST B, *AR4- || ADD *AR3+0%, B ; 816
+ ST B, *AR4- || ADD *AR4, A ; 817
+ ST B, *AR4- || ADD *AR4, B ; 818
+ ST B, *AR4- || ADD *AR4-, A ; 819
+ ST B, *AR4- || ADD *AR4-, B ; 820
+ ST B, *AR4- || ADD *AR4+, A ; 821
+ ST B, *AR4- || ADD *AR4+, B ; 822
+ ST B, *AR4- || ADD *AR4+0%, A ; 823
+ ST B, *AR4- || ADD *AR4+0%, B ; 824
+ ST B, *AR4- || ADD *AR5, A ; 825
+ ST B, *AR4- || ADD *AR5, B ; 826
+ ST B, *AR4- || ADD *AR5-, A ; 827
+ ST B, *AR4- || ADD *AR5-, B ; 828
+ ST B, *AR4- || ADD *AR5+, A ; 829
+ ST B, *AR4- || ADD *AR5+, B ; 830
+ ST B, *AR4- || ADD *AR5+0%, A ; 831
+ ST B, *AR4- || ADD *AR5+0%, B ; 832
+ ST B, *AR4+ || ADD *AR2, A ; 833
+ ST B, *AR4+ || ADD *AR2, B ; 834
+ ST B, *AR4+ || ADD *AR2-, A ; 835
+ ST B, *AR4+ || ADD *AR2-, B ; 836
+ ST B, *AR4+ || ADD *AR2+, A ; 837
+ ST B, *AR4+ || ADD *AR2+, B ; 838
+ ST B, *AR4+ || ADD *AR2+0%, A ; 839
+ ST B, *AR4+ || ADD *AR2+0%, B ; 840
+ ST B, *AR4+ || ADD *AR3, A ; 841
+ ST B, *AR4+ || ADD *AR3, B ; 842
+ ST B, *AR4+ || ADD *AR3-, A ; 843
+ ST B, *AR4+ || ADD *AR3-, B ; 844
+ ST B, *AR4+ || ADD *AR3+, A ; 845
+ ST B, *AR4+ || ADD *AR3+, B ; 846
+ ST B, *AR4+ || ADD *AR3+0%, A ; 847
+ ST B, *AR4+ || ADD *AR3+0%, B ; 848
+ ST B, *AR4+ || ADD *AR4, A ; 849
+ ST B, *AR4+ || ADD *AR4, B ; 850
+ ST B, *AR4+ || ADD *AR4-, A ; 851
+ ST B, *AR4+ || ADD *AR4-, B ; 852
+ ST B, *AR4+ || ADD *AR4+, A ; 853
+ ST B, *AR4+ || ADD *AR4+, B ; 854
+ ST B, *AR4+ || ADD *AR4+0%, A ; 855
+ ST B, *AR4+ || ADD *AR4+0%, B ; 856
+ ST B, *AR4+ || ADD *AR5, A ; 857
+ ST B, *AR4+ || ADD *AR5, B ; 858
+ ST B, *AR4+ || ADD *AR5-, A ; 859
+ ST B, *AR4+ || ADD *AR5-, B ; 860
+ ST B, *AR4+ || ADD *AR5+, A ; 861
+ ST B, *AR4+ || ADD *AR5+, B ; 862
+ ST B, *AR4+ || ADD *AR5+0%, A ; 863
+ ST B, *AR4+ || ADD *AR5+0%, B ; 864
+ ST B, *AR4+0% || ADD *AR2, A ; 865
+ ST B, *AR4+0% || ADD *AR2, B ; 866
+ ST B, *AR4+0% || ADD *AR2-, A ; 867
+ ST B, *AR4+0% || ADD *AR2-, B ; 868
+ ST B, *AR4+0% || ADD *AR2+, A ; 869
+ ST B, *AR4+0% || ADD *AR2+, B ; 870
+ ST B, *AR4+0% || ADD *AR2+0%, A ; 871
+ ST B, *AR4+0% || ADD *AR2+0%, B ; 872
+ ST B, *AR4+0% || ADD *AR3, A ; 873
+ ST B, *AR4+0% || ADD *AR3, B ; 874
+ ST B, *AR4+0% || ADD *AR3-, A ; 875
+ ST B, *AR4+0% || ADD *AR3-, B ; 876
+ ST B, *AR4+0% || ADD *AR3+, A ; 877
+ ST B, *AR4+0% || ADD *AR3+, B ; 878
+ ST B, *AR4+0% || ADD *AR3+0%, A ; 879
+ ST B, *AR4+0% || ADD *AR3+0%, B ; 880
+ ST B, *AR4+0% || ADD *AR4, A ; 881
+ ST B, *AR4+0% || ADD *AR4, B ; 882
+ ST B, *AR4+0% || ADD *AR4-, A ; 883
+ ST B, *AR4+0% || ADD *AR4-, B ; 884
+ ST B, *AR4+0% || ADD *AR4+, A ; 885
+ ST B, *AR4+0% || ADD *AR4+, B ; 886
+ ST B, *AR4+0% || ADD *AR4+0%, A ; 887
+ ST B, *AR4+0% || ADD *AR4+0%, B ; 888
+ ST B, *AR4+0% || ADD *AR5, A ; 889
+ ST B, *AR4+0% || ADD *AR5, B ; 890
+ ST B, *AR4+0% || ADD *AR5-, A ; 891
+ ST B, *AR4+0% || ADD *AR5-, B ; 892
+ ST B, *AR4+0% || ADD *AR5+, A ; 893
+ ST B, *AR4+0% || ADD *AR5+, B ; 894
+ ST B, *AR4+0% || ADD *AR5+0%, A ; 895
+ ST B, *AR4+0% || ADD *AR5+0%, B ; 896
+ ST B, *AR5 || ADD *AR2, A ; 897
+ ST B, *AR5 || ADD *AR2, B ; 898
+ ST B, *AR5 || ADD *AR2-, A ; 899
+ ST B, *AR5 || ADD *AR2-, B ; 900
+ ST B, *AR5 || ADD *AR2+, A ; 901
+ ST B, *AR5 || ADD *AR2+, B ; 902
+ ST B, *AR5 || ADD *AR2+0%, A ; 903
+ ST B, *AR5 || ADD *AR2+0%, B ; 904
+ ST B, *AR5 || ADD *AR3, A ; 905
+ ST B, *AR5 || ADD *AR3, B ; 906
+ ST B, *AR5 || ADD *AR3-, A ; 907
+ ST B, *AR5 || ADD *AR3-, B ; 908
+ ST B, *AR5 || ADD *AR3+, A ; 909
+ ST B, *AR5 || ADD *AR3+, B ; 910
+ ST B, *AR5 || ADD *AR3+0%, A ; 911
+ ST B, *AR5 || ADD *AR3+0%, B ; 912
+ ST B, *AR5 || ADD *AR4, A ; 913
+ ST B, *AR5 || ADD *AR4, B ; 914
+ ST B, *AR5 || ADD *AR4-, A ; 915
+ ST B, *AR5 || ADD *AR4-, B ; 916
+ ST B, *AR5 || ADD *AR4+, A ; 917
+ ST B, *AR5 || ADD *AR4+, B ; 918
+ ST B, *AR5 || ADD *AR4+0%, A ; 919
+ ST B, *AR5 || ADD *AR4+0%, B ; 920
+ ST B, *AR5 || ADD *AR5, A ; 921
+ ST B, *AR5 || ADD *AR5, B ; 922
+ ST B, *AR5 || ADD *AR5-, A ; 923
+ ST B, *AR5 || ADD *AR5-, B ; 924
+ ST B, *AR5 || ADD *AR5+, A ; 925
+ ST B, *AR5 || ADD *AR5+, B ; 926
+ ST B, *AR5 || ADD *AR5+0%, A ; 927
+ ST B, *AR5 || ADD *AR5+0%, B ; 928
+ ST B, *AR5- || ADD *AR2, A ; 929
+ ST B, *AR5- || ADD *AR2, B ; 930
+ ST B, *AR5- || ADD *AR2-, A ; 931
+ ST B, *AR5- || ADD *AR2-, B ; 932
+ ST B, *AR5- || ADD *AR2+, A ; 933
+ ST B, *AR5- || ADD *AR2+, B ; 934
+ ST B, *AR5- || ADD *AR2+0%, A ; 935
+ ST B, *AR5- || ADD *AR2+0%, B ; 936
+ ST B, *AR5- || ADD *AR3, A ; 937
+ ST B, *AR5- || ADD *AR3, B ; 938
+ ST B, *AR5- || ADD *AR3-, A ; 939
+ ST B, *AR5- || ADD *AR3-, B ; 940
+ ST B, *AR5- || ADD *AR3+, A ; 941
+ ST B, *AR5- || ADD *AR3+, B ; 942
+ ST B, *AR5- || ADD *AR3+0%, A ; 943
+ ST B, *AR5- || ADD *AR3+0%, B ; 944
+ ST B, *AR5- || ADD *AR4, A ; 945
+ ST B, *AR5- || ADD *AR4, B ; 946
+ ST B, *AR5- || ADD *AR4-, A ; 947
+ ST B, *AR5- || ADD *AR4-, B ; 948
+ ST B, *AR5- || ADD *AR4+, A ; 949
+ ST B, *AR5- || ADD *AR4+, B ; 950
+ ST B, *AR5- || ADD *AR4+0%, A ; 951
+ ST B, *AR5- || ADD *AR4+0%, B ; 952
+ ST B, *AR5- || ADD *AR5, A ; 953
+ ST B, *AR5- || ADD *AR5, B ; 954
+ ST B, *AR5- || ADD *AR5-, A ; 955
+ ST B, *AR5- || ADD *AR5-, B ; 956
+ ST B, *AR5- || ADD *AR5+, A ; 957
+ ST B, *AR5- || ADD *AR5+, B ; 958
+ ST B, *AR5- || ADD *AR5+0%, A ; 959
+ ST B, *AR5- || ADD *AR5+0%, B ; 960
+ ST B, *AR5+ || ADD *AR2, A ; 961
+ ST B, *AR5+ || ADD *AR2, B ; 962
+ ST B, *AR5+ || ADD *AR2-, A ; 963
+ ST B, *AR5+ || ADD *AR2-, B ; 964
+ ST B, *AR5+ || ADD *AR2+, A ; 965
+ ST B, *AR5+ || ADD *AR2+, B ; 966
+ ST B, *AR5+ || ADD *AR2+0%, A ; 967
+ ST B, *AR5+ || ADD *AR2+0%, B ; 968
+ ST B, *AR5+ || ADD *AR3, A ; 969
+ ST B, *AR5+ || ADD *AR3, B ; 970
+ ST B, *AR5+ || ADD *AR3-, A ; 971
+ ST B, *AR5+ || ADD *AR3-, B ; 972
+ ST B, *AR5+ || ADD *AR3+, A ; 973
+ ST B, *AR5+ || ADD *AR3+, B ; 974
+ ST B, *AR5+ || ADD *AR3+0%, A ; 975
+ ST B, *AR5+ || ADD *AR3+0%, B ; 976
+ ST B, *AR5+ || ADD *AR4, A ; 977
+ ST B, *AR5+ || ADD *AR4, B ; 978
+ ST B, *AR5+ || ADD *AR4-, A ; 979
+ ST B, *AR5+ || ADD *AR4-, B ; 980
+ ST B, *AR5+ || ADD *AR4+, A ; 981
+ ST B, *AR5+ || ADD *AR4+, B ; 982
+ ST B, *AR5+ || ADD *AR4+0%, A ; 983
+ ST B, *AR5+ || ADD *AR4+0%, B ; 984
+ ST B, *AR5+ || ADD *AR5, A ; 985
+ ST B, *AR5+ || ADD *AR5, B ; 986
+ ST B, *AR5+ || ADD *AR5-, A ; 987
+ ST B, *AR5+ || ADD *AR5-, B ; 988
+ ST B, *AR5+ || ADD *AR5+, A ; 989
+ ST B, *AR5+ || ADD *AR5+, B ; 990
+ ST B, *AR5+ || ADD *AR5+0%, A ; 991
+ ST B, *AR5+ || ADD *AR5+0%, B ; 992
+ ST B, *AR5+0% || ADD *AR2, A ; 993
+ ST B, *AR5+0% || ADD *AR2, B ; 994
+ ST B, *AR5+0% || ADD *AR2-, A ; 995
+ ST B, *AR5+0% || ADD *AR2-, B ; 996
+ ST B, *AR5+0% || ADD *AR2+, A ; 997
+ ST B, *AR5+0% || ADD *AR2+, B ; 998
+ ST B, *AR5+0% || ADD *AR2+0%, A ; 999
+ ST B, *AR5+0% || ADD *AR2+0%, B ; 1000
+ ST B, *AR5+0% || ADD *AR3, A ; 1001
+ ST B, *AR5+0% || ADD *AR3, B ; 1002
+ ST B, *AR5+0% || ADD *AR3-, A ; 1003
+ ST B, *AR5+0% || ADD *AR3-, B ; 1004
+ ST B, *AR5+0% || ADD *AR3+, A ; 1005
+ ST B, *AR5+0% || ADD *AR3+, B ; 1006
+ ST B, *AR5+0% || ADD *AR3+0%, A ; 1007
+ ST B, *AR5+0% || ADD *AR3+0%, B ; 1008
+ ST B, *AR5+0% || ADD *AR4, A ; 1009
+ ST B, *AR5+0% || ADD *AR4, B ; 1010
+ ST B, *AR5+0% || ADD *AR4-, A ; 1011
+ ST B, *AR5+0% || ADD *AR4-, B ; 1012
+ ST B, *AR5+0% || ADD *AR4+, A ; 1013
+ ST B, *AR5+0% || ADD *AR4+, B ; 1014
+ ST B, *AR5+0% || ADD *AR4+0%, A ; 1015
+ ST B, *AR5+0% || ADD *AR4+0%, B ; 1016
+ ST B, *AR5+0% || ADD *AR5, A ; 1017
+ ST B, *AR5+0% || ADD *AR5, B ; 1018
+ ST B, *AR5+0% || ADD *AR5-, A ; 1019
+ ST B, *AR5+0% || ADD *AR5-, B ; 1020
+ ST B, *AR5+0% || ADD *AR5+, A ; 1021
+ ST B, *AR5+0% || ADD *AR5+, B ; 1022
+ ST B, *AR5+0% || ADD *AR5+0%, A ; 1023
+ ST B, *AR5+0% || ADD *AR5+0%, B ; 1024
+ ; STandLD : ST AB, Ymem || LD Xmem, AB : 1024
+ ST A, *AR2 || LD *AR2, A ; 1
+ ST A, *AR2 || LD *AR2, B ; 2
+ ST A, *AR2 || LD *AR2-, A ; 3
+ ST A, *AR2 || LD *AR2-, B ; 4
+ ST A, *AR2 || LD *AR2+, A ; 5
+ ST A, *AR2 || LD *AR2+, B ; 6
+ ST A, *AR2 || LD *AR2+0%, A ; 7
+ ST A, *AR2 || LD *AR2+0%, B ; 8
+ ST A, *AR2 || LD *AR3, A ; 9
+ ST A, *AR2 || LD *AR3, B ; 10
+ ST A, *AR2 || LD *AR3-, A ; 11
+ ST A, *AR2 || LD *AR3-, B ; 12
+ ST A, *AR2 || LD *AR3+, A ; 13
+ ST A, *AR2 || LD *AR3+, B ; 14
+ ST A, *AR2 || LD *AR3+0%, A ; 15
+ ST A, *AR2 || LD *AR3+0%, B ; 16
+ ST A, *AR2 || LD *AR4, A ; 17
+ ST A, *AR2 || LD *AR4, B ; 18
+ ST A, *AR2 || LD *AR4-, A ; 19
+ ST A, *AR2 || LD *AR4-, B ; 20
+ ST A, *AR2 || LD *AR4+, A ; 21
+ ST A, *AR2 || LD *AR4+, B ; 22
+ ST A, *AR2 || LD *AR4+0%, A ; 23
+ ST A, *AR2 || LD *AR4+0%, B ; 24
+ ST A, *AR2 || LD *AR5, A ; 25
+ ST A, *AR2 || LD *AR5, B ; 26
+ ST A, *AR2 || LD *AR5-, A ; 27
+ ST A, *AR2 || LD *AR5-, B ; 28
+ ST A, *AR2 || LD *AR5+, A ; 29
+ ST A, *AR2 || LD *AR5+, B ; 30
+ ST A, *AR2 || LD *AR5+0%, A ; 31
+ ST A, *AR2 || LD *AR5+0%, B ; 32
+ ST A, *AR2- || LD *AR2, A ; 33
+ ST A, *AR2- || LD *AR2, B ; 34
+ ST A, *AR2- || LD *AR2-, A ; 35
+ ST A, *AR2- || LD *AR2-, B ; 36
+ ST A, *AR2- || LD *AR2+, A ; 37
+ ST A, *AR2- || LD *AR2+, B ; 38
+ ST A, *AR2- || LD *AR2+0%, A ; 39
+ ST A, *AR2- || LD *AR2+0%, B ; 40
+ ST A, *AR2- || LD *AR3, A ; 41
+ ST A, *AR2- || LD *AR3, B ; 42
+ ST A, *AR2- || LD *AR3-, A ; 43
+ ST A, *AR2- || LD *AR3-, B ; 44
+ ST A, *AR2- || LD *AR3+, A ; 45
+ ST A, *AR2- || LD *AR3+, B ; 46
+ ST A, *AR2- || LD *AR3+0%, A ; 47
+ ST A, *AR2- || LD *AR3+0%, B ; 48
+ ST A, *AR2- || LD *AR4, A ; 49
+ ST A, *AR2- || LD *AR4, B ; 50
+ ST A, *AR2- || LD *AR4-, A ; 51
+ ST A, *AR2- || LD *AR4-, B ; 52
+ ST A, *AR2- || LD *AR4+, A ; 53
+ ST A, *AR2- || LD *AR4+, B ; 54
+ ST A, *AR2- || LD *AR4+0%, A ; 55
+ ST A, *AR2- || LD *AR4+0%, B ; 56
+ ST A, *AR2- || LD *AR5, A ; 57
+ ST A, *AR2- || LD *AR5, B ; 58
+ ST A, *AR2- || LD *AR5-, A ; 59
+ ST A, *AR2- || LD *AR5-, B ; 60
+ ST A, *AR2- || LD *AR5+, A ; 61
+ ST A, *AR2- || LD *AR5+, B ; 62
+ ST A, *AR2- || LD *AR5+0%, A ; 63
+ ST A, *AR2- || LD *AR5+0%, B ; 64
+ ST A, *AR2+ || LD *AR2, A ; 65
+ ST A, *AR2+ || LD *AR2, B ; 66
+ ST A, *AR2+ || LD *AR2-, A ; 67
+ ST A, *AR2+ || LD *AR2-, B ; 68
+ ST A, *AR2+ || LD *AR2+, A ; 69
+ ST A, *AR2+ || LD *AR2+, B ; 70
+ ST A, *AR2+ || LD *AR2+0%, A ; 71
+ ST A, *AR2+ || LD *AR2+0%, B ; 72
+ ST A, *AR2+ || LD *AR3, A ; 73
+ ST A, *AR2+ || LD *AR3, B ; 74
+ ST A, *AR2+ || LD *AR3-, A ; 75
+ ST A, *AR2+ || LD *AR3-, B ; 76
+ ST A, *AR2+ || LD *AR3+, A ; 77
+ ST A, *AR2+ || LD *AR3+, B ; 78
+ ST A, *AR2+ || LD *AR3+0%, A ; 79
+ ST A, *AR2+ || LD *AR3+0%, B ; 80
+ ST A, *AR2+ || LD *AR4, A ; 81
+ ST A, *AR2+ || LD *AR4, B ; 82
+ ST A, *AR2+ || LD *AR4-, A ; 83
+ ST A, *AR2+ || LD *AR4-, B ; 84
+ ST A, *AR2+ || LD *AR4+, A ; 85
+ ST A, *AR2+ || LD *AR4+, B ; 86
+ ST A, *AR2+ || LD *AR4+0%, A ; 87
+ ST A, *AR2+ || LD *AR4+0%, B ; 88
+ ST A, *AR2+ || LD *AR5, A ; 89
+ ST A, *AR2+ || LD *AR5, B ; 90
+ ST A, *AR2+ || LD *AR5-, A ; 91
+ ST A, *AR2+ || LD *AR5-, B ; 92
+ ST A, *AR2+ || LD *AR5+, A ; 93
+ ST A, *AR2+ || LD *AR5+, B ; 94
+ ST A, *AR2+ || LD *AR5+0%, A ; 95
+ ST A, *AR2+ || LD *AR5+0%, B ; 96
+ ST A, *AR2+0% || LD *AR2, A ; 97
+ ST A, *AR2+0% || LD *AR2, B ; 98
+ ST A, *AR2+0% || LD *AR2-, A ; 99
+ ST A, *AR2+0% || LD *AR2-, B ; 100
+ ST A, *AR2+0% || LD *AR2+, A ; 101
+ ST A, *AR2+0% || LD *AR2+, B ; 102
+ ST A, *AR2+0% || LD *AR2+0%, A ; 103
+ ST A, *AR2+0% || LD *AR2+0%, B ; 104
+ ST A, *AR2+0% || LD *AR3, A ; 105
+ ST A, *AR2+0% || LD *AR3, B ; 106
+ ST A, *AR2+0% || LD *AR3-, A ; 107
+ ST A, *AR2+0% || LD *AR3-, B ; 108
+ ST A, *AR2+0% || LD *AR3+, A ; 109
+ ST A, *AR2+0% || LD *AR3+, B ; 110
+ ST A, *AR2+0% || LD *AR3+0%, A ; 111
+ ST A, *AR2+0% || LD *AR3+0%, B ; 112
+ ST A, *AR2+0% || LD *AR4, A ; 113
+ ST A, *AR2+0% || LD *AR4, B ; 114
+ ST A, *AR2+0% || LD *AR4-, A ; 115
+ ST A, *AR2+0% || LD *AR4-, B ; 116
+ ST A, *AR2+0% || LD *AR4+, A ; 117
+ ST A, *AR2+0% || LD *AR4+, B ; 118
+ ST A, *AR2+0% || LD *AR4+0%, A ; 119
+ ST A, *AR2+0% || LD *AR4+0%, B ; 120
+ ST A, *AR2+0% || LD *AR5, A ; 121
+ ST A, *AR2+0% || LD *AR5, B ; 122
+ ST A, *AR2+0% || LD *AR5-, A ; 123
+ ST A, *AR2+0% || LD *AR5-, B ; 124
+ ST A, *AR2+0% || LD *AR5+, A ; 125
+ ST A, *AR2+0% || LD *AR5+, B ; 126
+ ST A, *AR2+0% || LD *AR5+0%, A ; 127
+ ST A, *AR2+0% || LD *AR5+0%, B ; 128
+ ST A, *AR3 || LD *AR2, A ; 129
+ ST A, *AR3 || LD *AR2, B ; 130
+ ST A, *AR3 || LD *AR2-, A ; 131
+ ST A, *AR3 || LD *AR2-, B ; 132
+ ST A, *AR3 || LD *AR2+, A ; 133
+ ST A, *AR3 || LD *AR2+, B ; 134
+ ST A, *AR3 || LD *AR2+0%, A ; 135
+ ST A, *AR3 || LD *AR2+0%, B ; 136
+ ST A, *AR3 || LD *AR3, A ; 137
+ ST A, *AR3 || LD *AR3, B ; 138
+ ST A, *AR3 || LD *AR3-, A ; 139
+ ST A, *AR3 || LD *AR3-, B ; 140
+ ST A, *AR3 || LD *AR3+, A ; 141
+ ST A, *AR3 || LD *AR3+, B ; 142
+ ST A, *AR3 || LD *AR3+0%, A ; 143
+ ST A, *AR3 || LD *AR3+0%, B ; 144
+ ST A, *AR3 || LD *AR4, A ; 145
+ ST A, *AR3 || LD *AR4, B ; 146
+ ST A, *AR3 || LD *AR4-, A ; 147
+ ST A, *AR3 || LD *AR4-, B ; 148
+ ST A, *AR3 || LD *AR4+, A ; 149
+ ST A, *AR3 || LD *AR4+, B ; 150
+ ST A, *AR3 || LD *AR4+0%, A ; 151
+ ST A, *AR3 || LD *AR4+0%, B ; 152
+ ST A, *AR3 || LD *AR5, A ; 153
+ ST A, *AR3 || LD *AR5, B ; 154
+ ST A, *AR3 || LD *AR5-, A ; 155
+ ST A, *AR3 || LD *AR5-, B ; 156
+ ST A, *AR3 || LD *AR5+, A ; 157
+ ST A, *AR3 || LD *AR5+, B ; 158
+ ST A, *AR3 || LD *AR5+0%, A ; 159
+ ST A, *AR3 || LD *AR5+0%, B ; 160
+ ST A, *AR3- || LD *AR2, A ; 161
+ ST A, *AR3- || LD *AR2, B ; 162
+ ST A, *AR3- || LD *AR2-, A ; 163
+ ST A, *AR3- || LD *AR2-, B ; 164
+ ST A, *AR3- || LD *AR2+, A ; 165
+ ST A, *AR3- || LD *AR2+, B ; 166
+ ST A, *AR3- || LD *AR2+0%, A ; 167
+ ST A, *AR3- || LD *AR2+0%, B ; 168
+ ST A, *AR3- || LD *AR3, A ; 169
+ ST A, *AR3- || LD *AR3, B ; 170
+ ST A, *AR3- || LD *AR3-, A ; 171
+ ST A, *AR3- || LD *AR3-, B ; 172
+ ST A, *AR3- || LD *AR3+, A ; 173
+ ST A, *AR3- || LD *AR3+, B ; 174
+ ST A, *AR3- || LD *AR3+0%, A ; 175
+ ST A, *AR3- || LD *AR3+0%, B ; 176
+ ST A, *AR3- || LD *AR4, A ; 177
+ ST A, *AR3- || LD *AR4, B ; 178
+ ST A, *AR3- || LD *AR4-, A ; 179
+ ST A, *AR3- || LD *AR4-, B ; 180
+ ST A, *AR3- || LD *AR4+, A ; 181
+ ST A, *AR3- || LD *AR4+, B ; 182
+ ST A, *AR3- || LD *AR4+0%, A ; 183
+ ST A, *AR3- || LD *AR4+0%, B ; 184
+ ST A, *AR3- || LD *AR5, A ; 185
+ ST A, *AR3- || LD *AR5, B ; 186
+ ST A, *AR3- || LD *AR5-, A ; 187
+ ST A, *AR3- || LD *AR5-, B ; 188
+ ST A, *AR3- || LD *AR5+, A ; 189
+ ST A, *AR3- || LD *AR5+, B ; 190
+ ST A, *AR3- || LD *AR5+0%, A ; 191
+ ST A, *AR3- || LD *AR5+0%, B ; 192
+ ST A, *AR3+ || LD *AR2, A ; 193
+ ST A, *AR3+ || LD *AR2, B ; 194
+ ST A, *AR3+ || LD *AR2-, A ; 195
+ ST A, *AR3+ || LD *AR2-, B ; 196
+ ST A, *AR3+ || LD *AR2+, A ; 197
+ ST A, *AR3+ || LD *AR2+, B ; 198
+ ST A, *AR3+ || LD *AR2+0%, A ; 199
+ ST A, *AR3+ || LD *AR2+0%, B ; 200
+ ST A, *AR3+ || LD *AR3, A ; 201
+ ST A, *AR3+ || LD *AR3, B ; 202
+ ST A, *AR3+ || LD *AR3-, A ; 203
+ ST A, *AR3+ || LD *AR3-, B ; 204
+ ST A, *AR3+ || LD *AR3+, A ; 205
+ ST A, *AR3+ || LD *AR3+, B ; 206
+ ST A, *AR3+ || LD *AR3+0%, A ; 207
+ ST A, *AR3+ || LD *AR3+0%, B ; 208
+ ST A, *AR3+ || LD *AR4, A ; 209
+ ST A, *AR3+ || LD *AR4, B ; 210
+ ST A, *AR3+ || LD *AR4-, A ; 211
+ ST A, *AR3+ || LD *AR4-, B ; 212
+ ST A, *AR3+ || LD *AR4+, A ; 213
+ ST A, *AR3+ || LD *AR4+, B ; 214
+ ST A, *AR3+ || LD *AR4+0%, A ; 215
+ ST A, *AR3+ || LD *AR4+0%, B ; 216
+ ST A, *AR3+ || LD *AR5, A ; 217
+ ST A, *AR3+ || LD *AR5, B ; 218
+ ST A, *AR3+ || LD *AR5-, A ; 219
+ ST A, *AR3+ || LD *AR5-, B ; 220
+ ST A, *AR3+ || LD *AR5+, A ; 221
+ ST A, *AR3+ || LD *AR5+, B ; 222
+ ST A, *AR3+ || LD *AR5+0%, A ; 223
+ ST A, *AR3+ || LD *AR5+0%, B ; 224
+ ST A, *AR3+0% || LD *AR2, A ; 225
+ ST A, *AR3+0% || LD *AR2, B ; 226
+ ST A, *AR3+0% || LD *AR2-, A ; 227
+ ST A, *AR3+0% || LD *AR2-, B ; 228
+ ST A, *AR3+0% || LD *AR2+, A ; 229
+ ST A, *AR3+0% || LD *AR2+, B ; 230
+ ST A, *AR3+0% || LD *AR2+0%, A ; 231
+ ST A, *AR3+0% || LD *AR2+0%, B ; 232
+ ST A, *AR3+0% || LD *AR3, A ; 233
+ ST A, *AR3+0% || LD *AR3, B ; 234
+ ST A, *AR3+0% || LD *AR3-, A ; 235
+ ST A, *AR3+0% || LD *AR3-, B ; 236
+ ST A, *AR3+0% || LD *AR3+, A ; 237
+ ST A, *AR3+0% || LD *AR3+, B ; 238
+ ST A, *AR3+0% || LD *AR3+0%, A ; 239
+ ST A, *AR3+0% || LD *AR3+0%, B ; 240
+ ST A, *AR3+0% || LD *AR4, A ; 241
+ ST A, *AR3+0% || LD *AR4, B ; 242
+ ST A, *AR3+0% || LD *AR4-, A ; 243
+ ST A, *AR3+0% || LD *AR4-, B ; 244
+ ST A, *AR3+0% || LD *AR4+, A ; 245
+ ST A, *AR3+0% || LD *AR4+, B ; 246
+ ST A, *AR3+0% || LD *AR4+0%, A ; 247
+ ST A, *AR3+0% || LD *AR4+0%, B ; 248
+ ST A, *AR3+0% || LD *AR5, A ; 249
+ ST A, *AR3+0% || LD *AR5, B ; 250
+ ST A, *AR3+0% || LD *AR5-, A ; 251
+ ST A, *AR3+0% || LD *AR5-, B ; 252
+ ST A, *AR3+0% || LD *AR5+, A ; 253
+ ST A, *AR3+0% || LD *AR5+, B ; 254
+ ST A, *AR3+0% || LD *AR5+0%, A ; 255
+ ST A, *AR3+0% || LD *AR5+0%, B ; 256
+ ST A, *AR4 || LD *AR2, A ; 257
+ ST A, *AR4 || LD *AR2, B ; 258
+ ST A, *AR4 || LD *AR2-, A ; 259
+ ST A, *AR4 || LD *AR2-, B ; 260
+ ST A, *AR4 || LD *AR2+, A ; 261
+ ST A, *AR4 || LD *AR2+, B ; 262
+ ST A, *AR4 || LD *AR2+0%, A ; 263
+ ST A, *AR4 || LD *AR2+0%, B ; 264
+ ST A, *AR4 || LD *AR3, A ; 265
+ ST A, *AR4 || LD *AR3, B ; 266
+ ST A, *AR4 || LD *AR3-, A ; 267
+ ST A, *AR4 || LD *AR3-, B ; 268
+ ST A, *AR4 || LD *AR3+, A ; 269
+ ST A, *AR4 || LD *AR3+, B ; 270
+ ST A, *AR4 || LD *AR3+0%, A ; 271
+ ST A, *AR4 || LD *AR3+0%, B ; 272
+ ST A, *AR4 || LD *AR4, A ; 273
+ ST A, *AR4 || LD *AR4, B ; 274
+ ST A, *AR4 || LD *AR4-, A ; 275
+ ST A, *AR4 || LD *AR4-, B ; 276
+ ST A, *AR4 || LD *AR4+, A ; 277
+ ST A, *AR4 || LD *AR4+, B ; 278
+ ST A, *AR4 || LD *AR4+0%, A ; 279
+ ST A, *AR4 || LD *AR4+0%, B ; 280
+ ST A, *AR4 || LD *AR5, A ; 281
+ ST A, *AR4 || LD *AR5, B ; 282
+ ST A, *AR4 || LD *AR5-, A ; 283
+ ST A, *AR4 || LD *AR5-, B ; 284
+ ST A, *AR4 || LD *AR5+, A ; 285
+ ST A, *AR4 || LD *AR5+, B ; 286
+ ST A, *AR4 || LD *AR5+0%, A ; 287
+ ST A, *AR4 || LD *AR5+0%, B ; 288
+ ST A, *AR4- || LD *AR2, A ; 289
+ ST A, *AR4- || LD *AR2, B ; 290
+ ST A, *AR4- || LD *AR2-, A ; 291
+ ST A, *AR4- || LD *AR2-, B ; 292
+ ST A, *AR4- || LD *AR2+, A ; 293
+ ST A, *AR4- || LD *AR2+, B ; 294
+ ST A, *AR4- || LD *AR2+0%, A ; 295
+ ST A, *AR4- || LD *AR2+0%, B ; 296
+ ST A, *AR4- || LD *AR3, A ; 297
+ ST A, *AR4- || LD *AR3, B ; 298
+ ST A, *AR4- || LD *AR3-, A ; 299
+ ST A, *AR4- || LD *AR3-, B ; 300
+ ST A, *AR4- || LD *AR3+, A ; 301
+ ST A, *AR4- || LD *AR3+, B ; 302
+ ST A, *AR4- || LD *AR3+0%, A ; 303
+ ST A, *AR4- || LD *AR3+0%, B ; 304
+ ST A, *AR4- || LD *AR4, A ; 305
+ ST A, *AR4- || LD *AR4, B ; 306
+ ST A, *AR4- || LD *AR4-, A ; 307
+ ST A, *AR4- || LD *AR4-, B ; 308
+ ST A, *AR4- || LD *AR4+, A ; 309
+ ST A, *AR4- || LD *AR4+, B ; 310
+ ST A, *AR4- || LD *AR4+0%, A ; 311
+ ST A, *AR4- || LD *AR4+0%, B ; 312
+ ST A, *AR4- || LD *AR5, A ; 313
+ ST A, *AR4- || LD *AR5, B ; 314
+ ST A, *AR4- || LD *AR5-, A ; 315
+ ST A, *AR4- || LD *AR5-, B ; 316
+ ST A, *AR4- || LD *AR5+, A ; 317
+ ST A, *AR4- || LD *AR5+, B ; 318
+ ST A, *AR4- || LD *AR5+0%, A ; 319
+ ST A, *AR4- || LD *AR5+0%, B ; 320
+ ST A, *AR4+ || LD *AR2, A ; 321
+ ST A, *AR4+ || LD *AR2, B ; 322
+ ST A, *AR4+ || LD *AR2-, A ; 323
+ ST A, *AR4+ || LD *AR2-, B ; 324
+ ST A, *AR4+ || LD *AR2+, A ; 325
+ ST A, *AR4+ || LD *AR2+, B ; 326
+ ST A, *AR4+ || LD *AR2+0%, A ; 327
+ ST A, *AR4+ || LD *AR2+0%, B ; 328
+ ST A, *AR4+ || LD *AR3, A ; 329
+ ST A, *AR4+ || LD *AR3, B ; 330
+ ST A, *AR4+ || LD *AR3-, A ; 331
+ ST A, *AR4+ || LD *AR3-, B ; 332
+ ST A, *AR4+ || LD *AR3+, A ; 333
+ ST A, *AR4+ || LD *AR3+, B ; 334
+ ST A, *AR4+ || LD *AR3+0%, A ; 335
+ ST A, *AR4+ || LD *AR3+0%, B ; 336
+ ST A, *AR4+ || LD *AR4, A ; 337
+ ST A, *AR4+ || LD *AR4, B ; 338
+ ST A, *AR4+ || LD *AR4-, A ; 339
+ ST A, *AR4+ || LD *AR4-, B ; 340
+ ST A, *AR4+ || LD *AR4+, A ; 341
+ ST A, *AR4+ || LD *AR4+, B ; 342
+ ST A, *AR4+ || LD *AR4+0%, A ; 343
+ ST A, *AR4+ || LD *AR4+0%, B ; 344
+ ST A, *AR4+ || LD *AR5, A ; 345
+ ST A, *AR4+ || LD *AR5, B ; 346
+ ST A, *AR4+ || LD *AR5-, A ; 347
+ ST A, *AR4+ || LD *AR5-, B ; 348
+ ST A, *AR4+ || LD *AR5+, A ; 349
+ ST A, *AR4+ || LD *AR5+, B ; 350
+ ST A, *AR4+ || LD *AR5+0%, A ; 351
+ ST A, *AR4+ || LD *AR5+0%, B ; 352
+ ST A, *AR4+0% || LD *AR2, A ; 353
+ ST A, *AR4+0% || LD *AR2, B ; 354
+ ST A, *AR4+0% || LD *AR2-, A ; 355
+ ST A, *AR4+0% || LD *AR2-, B ; 356
+ ST A, *AR4+0% || LD *AR2+, A ; 357
+ ST A, *AR4+0% || LD *AR2+, B ; 358
+ ST A, *AR4+0% || LD *AR2+0%, A ; 359
+ ST A, *AR4+0% || LD *AR2+0%, B ; 360
+ ST A, *AR4+0% || LD *AR3, A ; 361
+ ST A, *AR4+0% || LD *AR3, B ; 362
+ ST A, *AR4+0% || LD *AR3-, A ; 363
+ ST A, *AR4+0% || LD *AR3-, B ; 364
+ ST A, *AR4+0% || LD *AR3+, A ; 365
+ ST A, *AR4+0% || LD *AR3+, B ; 366
+ ST A, *AR4+0% || LD *AR3+0%, A ; 367
+ ST A, *AR4+0% || LD *AR3+0%, B ; 368
+ ST A, *AR4+0% || LD *AR4, A ; 369
+ ST A, *AR4+0% || LD *AR4, B ; 370
+ ST A, *AR4+0% || LD *AR4-, A ; 371
+ ST A, *AR4+0% || LD *AR4-, B ; 372
+ ST A, *AR4+0% || LD *AR4+, A ; 373
+ ST A, *AR4+0% || LD *AR4+, B ; 374
+ ST A, *AR4+0% || LD *AR4+0%, A ; 375
+ ST A, *AR4+0% || LD *AR4+0%, B ; 376
+ ST A, *AR4+0% || LD *AR5, A ; 377
+ ST A, *AR4+0% || LD *AR5, B ; 378
+ ST A, *AR4+0% || LD *AR5-, A ; 379
+ ST A, *AR4+0% || LD *AR5-, B ; 380
+ ST A, *AR4+0% || LD *AR5+, A ; 381
+ ST A, *AR4+0% || LD *AR5+, B ; 382
+ ST A, *AR4+0% || LD *AR5+0%, A ; 383
+ ST A, *AR4+0% || LD *AR5+0%, B ; 384
+ ST A, *AR5 || LD *AR2, A ; 385
+ ST A, *AR5 || LD *AR2, B ; 386
+ ST A, *AR5 || LD *AR2-, A ; 387
+ ST A, *AR5 || LD *AR2-, B ; 388
+ ST A, *AR5 || LD *AR2+, A ; 389
+ ST A, *AR5 || LD *AR2+, B ; 390
+ ST A, *AR5 || LD *AR2+0%, A ; 391
+ ST A, *AR5 || LD *AR2+0%, B ; 392
+ ST A, *AR5 || LD *AR3, A ; 393
+ ST A, *AR5 || LD *AR3, B ; 394
+ ST A, *AR5 || LD *AR3-, A ; 395
+ ST A, *AR5 || LD *AR3-, B ; 396
+ ST A, *AR5 || LD *AR3+, A ; 397
+ ST A, *AR5 || LD *AR3+, B ; 398
+ ST A, *AR5 || LD *AR3+0%, A ; 399
+ ST A, *AR5 || LD *AR3+0%, B ; 400
+ ST A, *AR5 || LD *AR4, A ; 401
+ ST A, *AR5 || LD *AR4, B ; 402
+ ST A, *AR5 || LD *AR4-, A ; 403
+ ST A, *AR5 || LD *AR4-, B ; 404
+ ST A, *AR5 || LD *AR4+, A ; 405
+ ST A, *AR5 || LD *AR4+, B ; 406
+ ST A, *AR5 || LD *AR4+0%, A ; 407
+ ST A, *AR5 || LD *AR4+0%, B ; 408
+ ST A, *AR5 || LD *AR5, A ; 409
+ ST A, *AR5 || LD *AR5, B ; 410
+ ST A, *AR5 || LD *AR5-, A ; 411
+ ST A, *AR5 || LD *AR5-, B ; 412
+ ST A, *AR5 || LD *AR5+, A ; 413
+ ST A, *AR5 || LD *AR5+, B ; 414
+ ST A, *AR5 || LD *AR5+0%, A ; 415
+ ST A, *AR5 || LD *AR5+0%, B ; 416
+ ST A, *AR5- || LD *AR2, A ; 417
+ ST A, *AR5- || LD *AR2, B ; 418
+ ST A, *AR5- || LD *AR2-, A ; 419
+ ST A, *AR5- || LD *AR2-, B ; 420
+ ST A, *AR5- || LD *AR2+, A ; 421
+ ST A, *AR5- || LD *AR2+, B ; 422
+ ST A, *AR5- || LD *AR2+0%, A ; 423
+ ST A, *AR5- || LD *AR2+0%, B ; 424
+ ST A, *AR5- || LD *AR3, A ; 425
+ ST A, *AR5- || LD *AR3, B ; 426
+ ST A, *AR5- || LD *AR3-, A ; 427
+ ST A, *AR5- || LD *AR3-, B ; 428
+ ST A, *AR5- || LD *AR3+, A ; 429
+ ST A, *AR5- || LD *AR3+, B ; 430
+ ST A, *AR5- || LD *AR3+0%, A ; 431
+ ST A, *AR5- || LD *AR3+0%, B ; 432
+ ST A, *AR5- || LD *AR4, A ; 433
+ ST A, *AR5- || LD *AR4, B ; 434
+ ST A, *AR5- || LD *AR4-, A ; 435
+ ST A, *AR5- || LD *AR4-, B ; 436
+ ST A, *AR5- || LD *AR4+, A ; 437
+ ST A, *AR5- || LD *AR4+, B ; 438
+ ST A, *AR5- || LD *AR4+0%, A ; 439
+ ST A, *AR5- || LD *AR4+0%, B ; 440
+ ST A, *AR5- || LD *AR5, A ; 441
+ ST A, *AR5- || LD *AR5, B ; 442
+ ST A, *AR5- || LD *AR5-, A ; 443
+ ST A, *AR5- || LD *AR5-, B ; 444
+ ST A, *AR5- || LD *AR5+, A ; 445
+ ST A, *AR5- || LD *AR5+, B ; 446
+ ST A, *AR5- || LD *AR5+0%, A ; 447
+ ST A, *AR5- || LD *AR5+0%, B ; 448
+ ST A, *AR5+ || LD *AR2, A ; 449
+ ST A, *AR5+ || LD *AR2, B ; 450
+ ST A, *AR5+ || LD *AR2-, A ; 451
+ ST A, *AR5+ || LD *AR2-, B ; 452
+ ST A, *AR5+ || LD *AR2+, A ; 453
+ ST A, *AR5+ || LD *AR2+, B ; 454
+ ST A, *AR5+ || LD *AR2+0%, A ; 455
+ ST A, *AR5+ || LD *AR2+0%, B ; 456
+ ST A, *AR5+ || LD *AR3, A ; 457
+ ST A, *AR5+ || LD *AR3, B ; 458
+ ST A, *AR5+ || LD *AR3-, A ; 459
+ ST A, *AR5+ || LD *AR3-, B ; 460
+ ST A, *AR5+ || LD *AR3+, A ; 461
+ ST A, *AR5+ || LD *AR3+, B ; 462
+ ST A, *AR5+ || LD *AR3+0%, A ; 463
+ ST A, *AR5+ || LD *AR3+0%, B ; 464
+ ST A, *AR5+ || LD *AR4, A ; 465
+ ST A, *AR5+ || LD *AR4, B ; 466
+ ST A, *AR5+ || LD *AR4-, A ; 467
+ ST A, *AR5+ || LD *AR4-, B ; 468
+ ST A, *AR5+ || LD *AR4+, A ; 469
+ ST A, *AR5+ || LD *AR4+, B ; 470
+ ST A, *AR5+ || LD *AR4+0%, A ; 471
+ ST A, *AR5+ || LD *AR4+0%, B ; 472
+ ST A, *AR5+ || LD *AR5, A ; 473
+ ST A, *AR5+ || LD *AR5, B ; 474
+ ST A, *AR5+ || LD *AR5-, A ; 475
+ ST A, *AR5+ || LD *AR5-, B ; 476
+ ST A, *AR5+ || LD *AR5+, A ; 477
+ ST A, *AR5+ || LD *AR5+, B ; 478
+ ST A, *AR5+ || LD *AR5+0%, A ; 479
+ ST A, *AR5+ || LD *AR5+0%, B ; 480
+ ST A, *AR5+0% || LD *AR2, A ; 481
+ ST A, *AR5+0% || LD *AR2, B ; 482
+ ST A, *AR5+0% || LD *AR2-, A ; 483
+ ST A, *AR5+0% || LD *AR2-, B ; 484
+ ST A, *AR5+0% || LD *AR2+, A ; 485
+ ST A, *AR5+0% || LD *AR2+, B ; 486
+ ST A, *AR5+0% || LD *AR2+0%, A ; 487
+ ST A, *AR5+0% || LD *AR2+0%, B ; 488
+ ST A, *AR5+0% || LD *AR3, A ; 489
+ ST A, *AR5+0% || LD *AR3, B ; 490
+ ST A, *AR5+0% || LD *AR3-, A ; 491
+ ST A, *AR5+0% || LD *AR3-, B ; 492
+ ST A, *AR5+0% || LD *AR3+, A ; 493
+ ST A, *AR5+0% || LD *AR3+, B ; 494
+ ST A, *AR5+0% || LD *AR3+0%, A ; 495
+ ST A, *AR5+0% || LD *AR3+0%, B ; 496
+ ST A, *AR5+0% || LD *AR4, A ; 497
+ ST A, *AR5+0% || LD *AR4, B ; 498
+ ST A, *AR5+0% || LD *AR4-, A ; 499
+ ST A, *AR5+0% || LD *AR4-, B ; 500
+ ST A, *AR5+0% || LD *AR4+, A ; 501
+ ST A, *AR5+0% || LD *AR4+, B ; 502
+ ST A, *AR5+0% || LD *AR4+0%, A ; 503
+ ST A, *AR5+0% || LD *AR4+0%, B ; 504
+ ST A, *AR5+0% || LD *AR5, A ; 505
+ ST A, *AR5+0% || LD *AR5, B ; 506
+ ST A, *AR5+0% || LD *AR5-, A ; 507
+ ST A, *AR5+0% || LD *AR5-, B ; 508
+ ST A, *AR5+0% || LD *AR5+, A ; 509
+ ST A, *AR5+0% || LD *AR5+, B ; 510
+ ST A, *AR5+0% || LD *AR5+0%, A ; 511
+ ST A, *AR5+0% || LD *AR5+0%, B ; 512
+ ST B, *AR2 || LD *AR2, A ; 513
+ ST B, *AR2 || LD *AR2, B ; 514
+ ST B, *AR2 || LD *AR2-, A ; 515
+ ST B, *AR2 || LD *AR2-, B ; 516
+ ST B, *AR2 || LD *AR2+, A ; 517
+ ST B, *AR2 || LD *AR2+, B ; 518
+ ST B, *AR2 || LD *AR2+0%, A ; 519
+ ST B, *AR2 || LD *AR2+0%, B ; 520
+ ST B, *AR2 || LD *AR3, A ; 521
+ ST B, *AR2 || LD *AR3, B ; 522
+ ST B, *AR2 || LD *AR3-, A ; 523
+ ST B, *AR2 || LD *AR3-, B ; 524
+ ST B, *AR2 || LD *AR3+, A ; 525
+ ST B, *AR2 || LD *AR3+, B ; 526
+ ST B, *AR2 || LD *AR3+0%, A ; 527
+ ST B, *AR2 || LD *AR3+0%, B ; 528
+ ST B, *AR2 || LD *AR4, A ; 529
+ ST B, *AR2 || LD *AR4, B ; 530
+ ST B, *AR2 || LD *AR4-, A ; 531
+ ST B, *AR2 || LD *AR4-, B ; 532
+ ST B, *AR2 || LD *AR4+, A ; 533
+ ST B, *AR2 || LD *AR4+, B ; 534
+ ST B, *AR2 || LD *AR4+0%, A ; 535
+ ST B, *AR2 || LD *AR4+0%, B ; 536
+ ST B, *AR2 || LD *AR5, A ; 537
+ ST B, *AR2 || LD *AR5, B ; 538
+ ST B, *AR2 || LD *AR5-, A ; 539
+ ST B, *AR2 || LD *AR5-, B ; 540
+ ST B, *AR2 || LD *AR5+, A ; 541
+ ST B, *AR2 || LD *AR5+, B ; 542
+ ST B, *AR2 || LD *AR5+0%, A ; 543
+ ST B, *AR2 || LD *AR5+0%, B ; 544
+ ST B, *AR2- || LD *AR2, A ; 545
+ ST B, *AR2- || LD *AR2, B ; 546
+ ST B, *AR2- || LD *AR2-, A ; 547
+ ST B, *AR2- || LD *AR2-, B ; 548
+ ST B, *AR2- || LD *AR2+, A ; 549
+ ST B, *AR2- || LD *AR2+, B ; 550
+ ST B, *AR2- || LD *AR2+0%, A ; 551
+ ST B, *AR2- || LD *AR2+0%, B ; 552
+ ST B, *AR2- || LD *AR3, A ; 553
+ ST B, *AR2- || LD *AR3, B ; 554
+ ST B, *AR2- || LD *AR3-, A ; 555
+ ST B, *AR2- || LD *AR3-, B ; 556
+ ST B, *AR2- || LD *AR3+, A ; 557
+ ST B, *AR2- || LD *AR3+, B ; 558
+ ST B, *AR2- || LD *AR3+0%, A ; 559
+ ST B, *AR2- || LD *AR3+0%, B ; 560
+ ST B, *AR2- || LD *AR4, A ; 561
+ ST B, *AR2- || LD *AR4, B ; 562
+ ST B, *AR2- || LD *AR4-, A ; 563
+ ST B, *AR2- || LD *AR4-, B ; 564
+ ST B, *AR2- || LD *AR4+, A ; 565
+ ST B, *AR2- || LD *AR4+, B ; 566
+ ST B, *AR2- || LD *AR4+0%, A ; 567
+ ST B, *AR2- || LD *AR4+0%, B ; 568
+ ST B, *AR2- || LD *AR5, A ; 569
+ ST B, *AR2- || LD *AR5, B ; 570
+ ST B, *AR2- || LD *AR5-, A ; 571
+ ST B, *AR2- || LD *AR5-, B ; 572
+ ST B, *AR2- || LD *AR5+, A ; 573
+ ST B, *AR2- || LD *AR5+, B ; 574
+ ST B, *AR2- || LD *AR5+0%, A ; 575
+ ST B, *AR2- || LD *AR5+0%, B ; 576
+ ST B, *AR2+ || LD *AR2, A ; 577
+ ST B, *AR2+ || LD *AR2, B ; 578
+ ST B, *AR2+ || LD *AR2-, A ; 579
+ ST B, *AR2+ || LD *AR2-, B ; 580
+ ST B, *AR2+ || LD *AR2+, A ; 581
+ ST B, *AR2+ || LD *AR2+, B ; 582
+ ST B, *AR2+ || LD *AR2+0%, A ; 583
+ ST B, *AR2+ || LD *AR2+0%, B ; 584
+ ST B, *AR2+ || LD *AR3, A ; 585
+ ST B, *AR2+ || LD *AR3, B ; 586
+ ST B, *AR2+ || LD *AR3-, A ; 587
+ ST B, *AR2+ || LD *AR3-, B ; 588
+ ST B, *AR2+ || LD *AR3+, A ; 589
+ ST B, *AR2+ || LD *AR3+, B ; 590
+ ST B, *AR2+ || LD *AR3+0%, A ; 591
+ ST B, *AR2+ || LD *AR3+0%, B ; 592
+ ST B, *AR2+ || LD *AR4, A ; 593
+ ST B, *AR2+ || LD *AR4, B ; 594
+ ST B, *AR2+ || LD *AR4-, A ; 595
+ ST B, *AR2+ || LD *AR4-, B ; 596
+ ST B, *AR2+ || LD *AR4+, A ; 597
+ ST B, *AR2+ || LD *AR4+, B ; 598
+ ST B, *AR2+ || LD *AR4+0%, A ; 599
+ ST B, *AR2+ || LD *AR4+0%, B ; 600
+ ST B, *AR2+ || LD *AR5, A ; 601
+ ST B, *AR2+ || LD *AR5, B ; 602
+ ST B, *AR2+ || LD *AR5-, A ; 603
+ ST B, *AR2+ || LD *AR5-, B ; 604
+ ST B, *AR2+ || LD *AR5+, A ; 605
+ ST B, *AR2+ || LD *AR5+, B ; 606
+ ST B, *AR2+ || LD *AR5+0%, A ; 607
+ ST B, *AR2+ || LD *AR5+0%, B ; 608
+ ST B, *AR2+0% || LD *AR2, A ; 609
+ ST B, *AR2+0% || LD *AR2, B ; 610
+ ST B, *AR2+0% || LD *AR2-, A ; 611
+ ST B, *AR2+0% || LD *AR2-, B ; 612
+ ST B, *AR2+0% || LD *AR2+, A ; 613
+ ST B, *AR2+0% || LD *AR2+, B ; 614
+ ST B, *AR2+0% || LD *AR2+0%, A ; 615
+ ST B, *AR2+0% || LD *AR2+0%, B ; 616
+ ST B, *AR2+0% || LD *AR3, A ; 617
+ ST B, *AR2+0% || LD *AR3, B ; 618
+ ST B, *AR2+0% || LD *AR3-, A ; 619
+ ST B, *AR2+0% || LD *AR3-, B ; 620
+ ST B, *AR2+0% || LD *AR3+, A ; 621
+ ST B, *AR2+0% || LD *AR3+, B ; 622
+ ST B, *AR2+0% || LD *AR3+0%, A ; 623
+ ST B, *AR2+0% || LD *AR3+0%, B ; 624
+ ST B, *AR2+0% || LD *AR4, A ; 625
+ ST B, *AR2+0% || LD *AR4, B ; 626
+ ST B, *AR2+0% || LD *AR4-, A ; 627
+ ST B, *AR2+0% || LD *AR4-, B ; 628
+ ST B, *AR2+0% || LD *AR4+, A ; 629
+ ST B, *AR2+0% || LD *AR4+, B ; 630
+ ST B, *AR2+0% || LD *AR4+0%, A ; 631
+ ST B, *AR2+0% || LD *AR4+0%, B ; 632
+ ST B, *AR2+0% || LD *AR5, A ; 633
+ ST B, *AR2+0% || LD *AR5, B ; 634
+ ST B, *AR2+0% || LD *AR5-, A ; 635
+ ST B, *AR2+0% || LD *AR5-, B ; 636
+ ST B, *AR2+0% || LD *AR5+, A ; 637
+ ST B, *AR2+0% || LD *AR5+, B ; 638
+ ST B, *AR2+0% || LD *AR5+0%, A ; 639
+ ST B, *AR2+0% || LD *AR5+0%, B ; 640
+ ST B, *AR3 || LD *AR2, A ; 641
+ ST B, *AR3 || LD *AR2, B ; 642
+ ST B, *AR3 || LD *AR2-, A ; 643
+ ST B, *AR3 || LD *AR2-, B ; 644
+ ST B, *AR3 || LD *AR2+, A ; 645
+ ST B, *AR3 || LD *AR2+, B ; 646
+ ST B, *AR3 || LD *AR2+0%, A ; 647
+ ST B, *AR3 || LD *AR2+0%, B ; 648
+ ST B, *AR3 || LD *AR3, A ; 649
+ ST B, *AR3 || LD *AR3, B ; 650
+ ST B, *AR3 || LD *AR3-, A ; 651
+ ST B, *AR3 || LD *AR3-, B ; 652
+ ST B, *AR3 || LD *AR3+, A ; 653
+ ST B, *AR3 || LD *AR3+, B ; 654
+ ST B, *AR3 || LD *AR3+0%, A ; 655
+ ST B, *AR3 || LD *AR3+0%, B ; 656
+ ST B, *AR3 || LD *AR4, A ; 657
+ ST B, *AR3 || LD *AR4, B ; 658
+ ST B, *AR3 || LD *AR4-, A ; 659
+ ST B, *AR3 || LD *AR4-, B ; 660
+ ST B, *AR3 || LD *AR4+, A ; 661
+ ST B, *AR3 || LD *AR4+, B ; 662
+ ST B, *AR3 || LD *AR4+0%, A ; 663
+ ST B, *AR3 || LD *AR4+0%, B ; 664
+ ST B, *AR3 || LD *AR5, A ; 665
+ ST B, *AR3 || LD *AR5, B ; 666
+ ST B, *AR3 || LD *AR5-, A ; 667
+ ST B, *AR3 || LD *AR5-, B ; 668
+ ST B, *AR3 || LD *AR5+, A ; 669
+ ST B, *AR3 || LD *AR5+, B ; 670
+ ST B, *AR3 || LD *AR5+0%, A ; 671
+ ST B, *AR3 || LD *AR5+0%, B ; 672
+ ST B, *AR3- || LD *AR2, A ; 673
+ ST B, *AR3- || LD *AR2, B ; 674
+ ST B, *AR3- || LD *AR2-, A ; 675
+ ST B, *AR3- || LD *AR2-, B ; 676
+ ST B, *AR3- || LD *AR2+, A ; 677
+ ST B, *AR3- || LD *AR2+, B ; 678
+ ST B, *AR3- || LD *AR2+0%, A ; 679
+ ST B, *AR3- || LD *AR2+0%, B ; 680
+ ST B, *AR3- || LD *AR3, A ; 681
+ ST B, *AR3- || LD *AR3, B ; 682
+ ST B, *AR3- || LD *AR3-, A ; 683
+ ST B, *AR3- || LD *AR3-, B ; 684
+ ST B, *AR3- || LD *AR3+, A ; 685
+ ST B, *AR3- || LD *AR3+, B ; 686
+ ST B, *AR3- || LD *AR3+0%, A ; 687
+ ST B, *AR3- || LD *AR3+0%, B ; 688
+ ST B, *AR3- || LD *AR4, A ; 689
+ ST B, *AR3- || LD *AR4, B ; 690
+ ST B, *AR3- || LD *AR4-, A ; 691
+ ST B, *AR3- || LD *AR4-, B ; 692
+ ST B, *AR3- || LD *AR4+, A ; 693
+ ST B, *AR3- || LD *AR4+, B ; 694
+ ST B, *AR3- || LD *AR4+0%, A ; 695
+ ST B, *AR3- || LD *AR4+0%, B ; 696
+ ST B, *AR3- || LD *AR5, A ; 697
+ ST B, *AR3- || LD *AR5, B ; 698
+ ST B, *AR3- || LD *AR5-, A ; 699
+ ST B, *AR3- || LD *AR5-, B ; 700
+ ST B, *AR3- || LD *AR5+, A ; 701
+ ST B, *AR3- || LD *AR5+, B ; 702
+ ST B, *AR3- || LD *AR5+0%, A ; 703
+ ST B, *AR3- || LD *AR5+0%, B ; 704
+ ST B, *AR3+ || LD *AR2, A ; 705
+ ST B, *AR3+ || LD *AR2, B ; 706
+ ST B, *AR3+ || LD *AR2-, A ; 707
+ ST B, *AR3+ || LD *AR2-, B ; 708
+ ST B, *AR3+ || LD *AR2+, A ; 709
+ ST B, *AR3+ || LD *AR2+, B ; 710
+ ST B, *AR3+ || LD *AR2+0%, A ; 711
+ ST B, *AR3+ || LD *AR2+0%, B ; 712
+ ST B, *AR3+ || LD *AR3, A ; 713
+ ST B, *AR3+ || LD *AR3, B ; 714
+ ST B, *AR3+ || LD *AR3-, A ; 715
+ ST B, *AR3+ || LD *AR3-, B ; 716
+ ST B, *AR3+ || LD *AR3+, A ; 717
+ ST B, *AR3+ || LD *AR3+, B ; 718
+ ST B, *AR3+ || LD *AR3+0%, A ; 719
+ ST B, *AR3+ || LD *AR3+0%, B ; 720
+ ST B, *AR3+ || LD *AR4, A ; 721
+ ST B, *AR3+ || LD *AR4, B ; 722
+ ST B, *AR3+ || LD *AR4-, A ; 723
+ ST B, *AR3+ || LD *AR4-, B ; 724
+ ST B, *AR3+ || LD *AR4+, A ; 725
+ ST B, *AR3+ || LD *AR4+, B ; 726
+ ST B, *AR3+ || LD *AR4+0%, A ; 727
+ ST B, *AR3+ || LD *AR4+0%, B ; 728
+ ST B, *AR3+ || LD *AR5, A ; 729
+ ST B, *AR3+ || LD *AR5, B ; 730
+ ST B, *AR3+ || LD *AR5-, A ; 731
+ ST B, *AR3+ || LD *AR5-, B ; 732
+ ST B, *AR3+ || LD *AR5+, A ; 733
+ ST B, *AR3+ || LD *AR5+, B ; 734
+ ST B, *AR3+ || LD *AR5+0%, A ; 735
+ ST B, *AR3+ || LD *AR5+0%, B ; 736
+ ST B, *AR3+0% || LD *AR2, A ; 737
+ ST B, *AR3+0% || LD *AR2, B ; 738
+ ST B, *AR3+0% || LD *AR2-, A ; 739
+ ST B, *AR3+0% || LD *AR2-, B ; 740
+ ST B, *AR3+0% || LD *AR2+, A ; 741
+ ST B, *AR3+0% || LD *AR2+, B ; 742
+ ST B, *AR3+0% || LD *AR2+0%, A ; 743
+ ST B, *AR3+0% || LD *AR2+0%, B ; 744
+ ST B, *AR3+0% || LD *AR3, A ; 745
+ ST B, *AR3+0% || LD *AR3, B ; 746
+ ST B, *AR3+0% || LD *AR3-, A ; 747
+ ST B, *AR3+0% || LD *AR3-, B ; 748
+ ST B, *AR3+0% || LD *AR3+, A ; 749
+ ST B, *AR3+0% || LD *AR3+, B ; 750
+ ST B, *AR3+0% || LD *AR3+0%, A ; 751
+ ST B, *AR3+0% || LD *AR3+0%, B ; 752
+ ST B, *AR3+0% || LD *AR4, A ; 753
+ ST B, *AR3+0% || LD *AR4, B ; 754
+ ST B, *AR3+0% || LD *AR4-, A ; 755
+ ST B, *AR3+0% || LD *AR4-, B ; 756
+ ST B, *AR3+0% || LD *AR4+, A ; 757
+ ST B, *AR3+0% || LD *AR4+, B ; 758
+ ST B, *AR3+0% || LD *AR4+0%, A ; 759
+ ST B, *AR3+0% || LD *AR4+0%, B ; 760
+ ST B, *AR3+0% || LD *AR5, A ; 761
+ ST B, *AR3+0% || LD *AR5, B ; 762
+ ST B, *AR3+0% || LD *AR5-, A ; 763
+ ST B, *AR3+0% || LD *AR5-, B ; 764
+ ST B, *AR3+0% || LD *AR5+, A ; 765
+ ST B, *AR3+0% || LD *AR5+, B ; 766
+ ST B, *AR3+0% || LD *AR5+0%, A ; 767
+ ST B, *AR3+0% || LD *AR5+0%, B ; 768
+ ST B, *AR4 || LD *AR2, A ; 769
+ ST B, *AR4 || LD *AR2, B ; 770
+ ST B, *AR4 || LD *AR2-, A ; 771
+ ST B, *AR4 || LD *AR2-, B ; 772
+ ST B, *AR4 || LD *AR2+, A ; 773
+ ST B, *AR4 || LD *AR2+, B ; 774
+ ST B, *AR4 || LD *AR2+0%, A ; 775
+ ST B, *AR4 || LD *AR2+0%, B ; 776
+ ST B, *AR4 || LD *AR3, A ; 777
+ ST B, *AR4 || LD *AR3, B ; 778
+ ST B, *AR4 || LD *AR3-, A ; 779
+ ST B, *AR4 || LD *AR3-, B ; 780
+ ST B, *AR4 || LD *AR3+, A ; 781
+ ST B, *AR4 || LD *AR3+, B ; 782
+ ST B, *AR4 || LD *AR3+0%, A ; 783
+ ST B, *AR4 || LD *AR3+0%, B ; 784
+ ST B, *AR4 || LD *AR4, A ; 785
+ ST B, *AR4 || LD *AR4, B ; 786
+ ST B, *AR4 || LD *AR4-, A ; 787
+ ST B, *AR4 || LD *AR4-, B ; 788
+ ST B, *AR4 || LD *AR4+, A ; 789
+ ST B, *AR4 || LD *AR4+, B ; 790
+ ST B, *AR4 || LD *AR4+0%, A ; 791
+ ST B, *AR4 || LD *AR4+0%, B ; 792
+ ST B, *AR4 || LD *AR5, A ; 793
+ ST B, *AR4 || LD *AR5, B ; 794
+ ST B, *AR4 || LD *AR5-, A ; 795
+ ST B, *AR4 || LD *AR5-, B ; 796
+ ST B, *AR4 || LD *AR5+, A ; 797
+ ST B, *AR4 || LD *AR5+, B ; 798
+ ST B, *AR4 || LD *AR5+0%, A ; 799
+ ST B, *AR4 || LD *AR5+0%, B ; 800
+ ST B, *AR4- || LD *AR2, A ; 801
+ ST B, *AR4- || LD *AR2, B ; 802
+ ST B, *AR4- || LD *AR2-, A ; 803
+ ST B, *AR4- || LD *AR2-, B ; 804
+ ST B, *AR4- || LD *AR2+, A ; 805
+ ST B, *AR4- || LD *AR2+, B ; 806
+ ST B, *AR4- || LD *AR2+0%, A ; 807
+ ST B, *AR4- || LD *AR2+0%, B ; 808
+ ST B, *AR4- || LD *AR3, A ; 809
+ ST B, *AR4- || LD *AR3, B ; 810
+ ST B, *AR4- || LD *AR3-, A ; 811
+ ST B, *AR4- || LD *AR3-, B ; 812
+ ST B, *AR4- || LD *AR3+, A ; 813
+ ST B, *AR4- || LD *AR3+, B ; 814
+ ST B, *AR4- || LD *AR3+0%, A ; 815
+ ST B, *AR4- || LD *AR3+0%, B ; 816
+ ST B, *AR4- || LD *AR4, A ; 817
+ ST B, *AR4- || LD *AR4, B ; 818
+ ST B, *AR4- || LD *AR4-, A ; 819
+ ST B, *AR4- || LD *AR4-, B ; 820
+ ST B, *AR4- || LD *AR4+, A ; 821
+ ST B, *AR4- || LD *AR4+, B ; 822
+ ST B, *AR4- || LD *AR4+0%, A ; 823
+ ST B, *AR4- || LD *AR4+0%, B ; 824
+ ST B, *AR4- || LD *AR5, A ; 825
+ ST B, *AR4- || LD *AR5, B ; 826
+ ST B, *AR4- || LD *AR5-, A ; 827
+ ST B, *AR4- || LD *AR5-, B ; 828
+ ST B, *AR4- || LD *AR5+, A ; 829
+ ST B, *AR4- || LD *AR5+, B ; 830
+ ST B, *AR4- || LD *AR5+0%, A ; 831
+ ST B, *AR4- || LD *AR5+0%, B ; 832
+ ST B, *AR4+ || LD *AR2, A ; 833
+ ST B, *AR4+ || LD *AR2, B ; 834
+ ST B, *AR4+ || LD *AR2-, A ; 835
+ ST B, *AR4+ || LD *AR2-, B ; 836
+ ST B, *AR4+ || LD *AR2+, A ; 837
+ ST B, *AR4+ || LD *AR2+, B ; 838
+ ST B, *AR4+ || LD *AR2+0%, A ; 839
+ ST B, *AR4+ || LD *AR2+0%, B ; 840
+ ST B, *AR4+ || LD *AR3, A ; 841
+ ST B, *AR4+ || LD *AR3, B ; 842
+ ST B, *AR4+ || LD *AR3-, A ; 843
+ ST B, *AR4+ || LD *AR3-, B ; 844
+ ST B, *AR4+ || LD *AR3+, A ; 845
+ ST B, *AR4+ || LD *AR3+, B ; 846
+ ST B, *AR4+ || LD *AR3+0%, A ; 847
+ ST B, *AR4+ || LD *AR3+0%, B ; 848
+ ST B, *AR4+ || LD *AR4, A ; 849
+ ST B, *AR4+ || LD *AR4, B ; 850
+ ST B, *AR4+ || LD *AR4-, A ; 851
+ ST B, *AR4+ || LD *AR4-, B ; 852
+ ST B, *AR4+ || LD *AR4+, A ; 853
+ ST B, *AR4+ || LD *AR4+, B ; 854
+ ST B, *AR4+ || LD *AR4+0%, A ; 855
+ ST B, *AR4+ || LD *AR4+0%, B ; 856
+ ST B, *AR4+ || LD *AR5, A ; 857
+ ST B, *AR4+ || LD *AR5, B ; 858
+ ST B, *AR4+ || LD *AR5-, A ; 859
+ ST B, *AR4+ || LD *AR5-, B ; 860
+ ST B, *AR4+ || LD *AR5+, A ; 861
+ ST B, *AR4+ || LD *AR5+, B ; 862
+ ST B, *AR4+ || LD *AR5+0%, A ; 863
+ ST B, *AR4+ || LD *AR5+0%, B ; 864
+ ST B, *AR4+0% || LD *AR2, A ; 865
+ ST B, *AR4+0% || LD *AR2, B ; 866
+ ST B, *AR4+0% || LD *AR2-, A ; 867
+ ST B, *AR4+0% || LD *AR2-, B ; 868
+ ST B, *AR4+0% || LD *AR2+, A ; 869
+ ST B, *AR4+0% || LD *AR2+, B ; 870
+ ST B, *AR4+0% || LD *AR2+0%, A ; 871
+ ST B, *AR4+0% || LD *AR2+0%, B ; 872
+ ST B, *AR4+0% || LD *AR3, A ; 873
+ ST B, *AR4+0% || LD *AR3, B ; 874
+ ST B, *AR4+0% || LD *AR3-, A ; 875
+ ST B, *AR4+0% || LD *AR3-, B ; 876
+ ST B, *AR4+0% || LD *AR3+, A ; 877
+ ST B, *AR4+0% || LD *AR3+, B ; 878
+ ST B, *AR4+0% || LD *AR3+0%, A ; 879
+ ST B, *AR4+0% || LD *AR3+0%, B ; 880
+ ST B, *AR4+0% || LD *AR4, A ; 881
+ ST B, *AR4+0% || LD *AR4, B ; 882
+ ST B, *AR4+0% || LD *AR4-, A ; 883
+ ST B, *AR4+0% || LD *AR4-, B ; 884
+ ST B, *AR4+0% || LD *AR4+, A ; 885
+ ST B, *AR4+0% || LD *AR4+, B ; 886
+ ST B, *AR4+0% || LD *AR4+0%, A ; 887
+ ST B, *AR4+0% || LD *AR4+0%, B ; 888
+ ST B, *AR4+0% || LD *AR5, A ; 889
+ ST B, *AR4+0% || LD *AR5, B ; 890
+ ST B, *AR4+0% || LD *AR5-, A ; 891
+ ST B, *AR4+0% || LD *AR5-, B ; 892
+ ST B, *AR4+0% || LD *AR5+, A ; 893
+ ST B, *AR4+0% || LD *AR5+, B ; 894
+ ST B, *AR4+0% || LD *AR5+0%, A ; 895
+ ST B, *AR4+0% || LD *AR5+0%, B ; 896
+ ST B, *AR5 || LD *AR2, A ; 897
+ ST B, *AR5 || LD *AR2, B ; 898
+ ST B, *AR5 || LD *AR2-, A ; 899
+ ST B, *AR5 || LD *AR2-, B ; 900
+ ST B, *AR5 || LD *AR2+, A ; 901
+ ST B, *AR5 || LD *AR2+, B ; 902
+ ST B, *AR5 || LD *AR2+0%, A ; 903
+ ST B, *AR5 || LD *AR2+0%, B ; 904
+ ST B, *AR5 || LD *AR3, A ; 905
+ ST B, *AR5 || LD *AR3, B ; 906
+ ST B, *AR5 || LD *AR3-, A ; 907
+ ST B, *AR5 || LD *AR3-, B ; 908
+ ST B, *AR5 || LD *AR3+, A ; 909
+ ST B, *AR5 || LD *AR3+, B ; 910
+ ST B, *AR5 || LD *AR3+0%, A ; 911
+ ST B, *AR5 || LD *AR3+0%, B ; 912
+ ST B, *AR5 || LD *AR4, A ; 913
+ ST B, *AR5 || LD *AR4, B ; 914
+ ST B, *AR5 || LD *AR4-, A ; 915
+ ST B, *AR5 || LD *AR4-, B ; 916
+ ST B, *AR5 || LD *AR4+, A ; 917
+ ST B, *AR5 || LD *AR4+, B ; 918
+ ST B, *AR5 || LD *AR4+0%, A ; 919
+ ST B, *AR5 || LD *AR4+0%, B ; 920
+ ST B, *AR5 || LD *AR5, A ; 921
+ ST B, *AR5 || LD *AR5, B ; 922
+ ST B, *AR5 || LD *AR5-, A ; 923
+ ST B, *AR5 || LD *AR5-, B ; 924
+ ST B, *AR5 || LD *AR5+, A ; 925
+ ST B, *AR5 || LD *AR5+, B ; 926
+ ST B, *AR5 || LD *AR5+0%, A ; 927
+ ST B, *AR5 || LD *AR5+0%, B ; 928
+ ST B, *AR5- || LD *AR2, A ; 929
+ ST B, *AR5- || LD *AR2, B ; 930
+ ST B, *AR5- || LD *AR2-, A ; 931
+ ST B, *AR5- || LD *AR2-, B ; 932
+ ST B, *AR5- || LD *AR2+, A ; 933
+ ST B, *AR5- || LD *AR2+, B ; 934
+ ST B, *AR5- || LD *AR2+0%, A ; 935
+ ST B, *AR5- || LD *AR2+0%, B ; 936
+ ST B, *AR5- || LD *AR3, A ; 937
+ ST B, *AR5- || LD *AR3, B ; 938
+ ST B, *AR5- || LD *AR3-, A ; 939
+ ST B, *AR5- || LD *AR3-, B ; 940
+ ST B, *AR5- || LD *AR3+, A ; 941
+ ST B, *AR5- || LD *AR3+, B ; 942
+ ST B, *AR5- || LD *AR3+0%, A ; 943
+ ST B, *AR5- || LD *AR3+0%, B ; 944
+ ST B, *AR5- || LD *AR4, A ; 945
+ ST B, *AR5- || LD *AR4, B ; 946
+ ST B, *AR5- || LD *AR4-, A ; 947
+ ST B, *AR5- || LD *AR4-, B ; 948
+ ST B, *AR5- || LD *AR4+, A ; 949
+ ST B, *AR5- || LD *AR4+, B ; 950
+ ST B, *AR5- || LD *AR4+0%, A ; 951
+ ST B, *AR5- || LD *AR4+0%, B ; 952
+ ST B, *AR5- || LD *AR5, A ; 953
+ ST B, *AR5- || LD *AR5, B ; 954
+ ST B, *AR5- || LD *AR5-, A ; 955
+ ST B, *AR5- || LD *AR5-, B ; 956
+ ST B, *AR5- || LD *AR5+, A ; 957
+ ST B, *AR5- || LD *AR5+, B ; 958
+ ST B, *AR5- || LD *AR5+0%, A ; 959
+ ST B, *AR5- || LD *AR5+0%, B ; 960
+ ST B, *AR5+ || LD *AR2, A ; 961
+ ST B, *AR5+ || LD *AR2, B ; 962
+ ST B, *AR5+ || LD *AR2-, A ; 963
+ ST B, *AR5+ || LD *AR2-, B ; 964
+ ST B, *AR5+ || LD *AR2+, A ; 965
+ ST B, *AR5+ || LD *AR2+, B ; 966
+ ST B, *AR5+ || LD *AR2+0%, A ; 967
+ ST B, *AR5+ || LD *AR2+0%, B ; 968
+ ST B, *AR5+ || LD *AR3, A ; 969
+ ST B, *AR5+ || LD *AR3, B ; 970
+ ST B, *AR5+ || LD *AR3-, A ; 971
+ ST B, *AR5+ || LD *AR3-, B ; 972
+ ST B, *AR5+ || LD *AR3+, A ; 973
+ ST B, *AR5+ || LD *AR3+, B ; 974
+ ST B, *AR5+ || LD *AR3+0%, A ; 975
+ ST B, *AR5+ || LD *AR3+0%, B ; 976
+ ST B, *AR5+ || LD *AR4, A ; 977
+ ST B, *AR5+ || LD *AR4, B ; 978
+ ST B, *AR5+ || LD *AR4-, A ; 979
+ ST B, *AR5+ || LD *AR4-, B ; 980
+ ST B, *AR5+ || LD *AR4+, A ; 981
+ ST B, *AR5+ || LD *AR4+, B ; 982
+ ST B, *AR5+ || LD *AR4+0%, A ; 983
+ ST B, *AR5+ || LD *AR4+0%, B ; 984
+ ST B, *AR5+ || LD *AR5, A ; 985
+ ST B, *AR5+ || LD *AR5, B ; 986
+ ST B, *AR5+ || LD *AR5-, A ; 987
+ ST B, *AR5+ || LD *AR5-, B ; 988
+ ST B, *AR5+ || LD *AR5+, A ; 989
+ ST B, *AR5+ || LD *AR5+, B ; 990
+ ST B, *AR5+ || LD *AR5+0%, A ; 991
+ ST B, *AR5+ || LD *AR5+0%, B ; 992
+ ST B, *AR5+0% || LD *AR2, A ; 993
+ ST B, *AR5+0% || LD *AR2, B ; 994
+ ST B, *AR5+0% || LD *AR2-, A ; 995
+ ST B, *AR5+0% || LD *AR2-, B ; 996
+ ST B, *AR5+0% || LD *AR2+, A ; 997
+ ST B, *AR5+0% || LD *AR2+, B ; 998
+ ST B, *AR5+0% || LD *AR2+0%, A ; 999
+ ST B, *AR5+0% || LD *AR2+0%, B ; 1000
+ ST B, *AR5+0% || LD *AR3, A ; 1001
+ ST B, *AR5+0% || LD *AR3, B ; 1002
+ ST B, *AR5+0% || LD *AR3-, A ; 1003
+ ST B, *AR5+0% || LD *AR3-, B ; 1004
+ ST B, *AR5+0% || LD *AR3+, A ; 1005
+ ST B, *AR5+0% || LD *AR3+, B ; 1006
+ ST B, *AR5+0% || LD *AR3+0%, A ; 1007
+ ST B, *AR5+0% || LD *AR3+0%, B ; 1008
+ ST B, *AR5+0% || LD *AR4, A ; 1009
+ ST B, *AR5+0% || LD *AR4, B ; 1010
+ ST B, *AR5+0% || LD *AR4-, A ; 1011
+ ST B, *AR5+0% || LD *AR4-, B ; 1012
+ ST B, *AR5+0% || LD *AR4+, A ; 1013
+ ST B, *AR5+0% || LD *AR4+, B ; 1014
+ ST B, *AR5+0% || LD *AR4+0%, A ; 1015
+ ST B, *AR5+0% || LD *AR4+0%, B ; 1016
+ ST B, *AR5+0% || LD *AR5, A ; 1017
+ ST B, *AR5+0% || LD *AR5, B ; 1018
+ ST B, *AR5+0% || LD *AR5-, A ; 1019
+ ST B, *AR5+0% || LD *AR5-, B ; 1020
+ ST B, *AR5+0% || LD *AR5+, A ; 1021
+ ST B, *AR5+0% || LD *AR5+, B ; 1022
+ ST B, *AR5+0% || LD *AR5+0%, A ; 1023
+ ST B, *AR5+0% || LD *AR5+0%, B ; 1024
+ ; STandLDT : ST AB, Ymem || LD Xmem, T : 512
+ ST A, *AR2 || LD *AR2, T ; 1
+ ST A, *AR2 || LD *AR2-, T ; 2
+ ST A, *AR2 || LD *AR2+, T ; 3
+ ST A, *AR2 || LD *AR2+0%, T ; 4
+ ST A, *AR2 || LD *AR3, T ; 5
+ ST A, *AR2 || LD *AR3-, T ; 6
+ ST A, *AR2 || LD *AR3+, T ; 7
+ ST A, *AR2 || LD *AR3+0%, T ; 8
+ ST A, *AR2 || LD *AR4, T ; 9
+ ST A, *AR2 || LD *AR4-, T ; 10
+ ST A, *AR2 || LD *AR4+, T ; 11
+ ST A, *AR2 || LD *AR4+0%, T ; 12
+ ST A, *AR2 || LD *AR5, T ; 13
+ ST A, *AR2 || LD *AR5-, T ; 14
+ ST A, *AR2 || LD *AR5+, T ; 15
+ ST A, *AR2 || LD *AR5+0%, T ; 16
+ ST A, *AR2- || LD *AR2, T ; 17
+ ST A, *AR2- || LD *AR2-, T ; 18
+ ST A, *AR2- || LD *AR2+, T ; 19
+ ST A, *AR2- || LD *AR2+0%, T ; 20
+ ST A, *AR2- || LD *AR3, T ; 21
+ ST A, *AR2- || LD *AR3-, T ; 22
+ ST A, *AR2- || LD *AR3+, T ; 23
+ ST A, *AR2- || LD *AR3+0%, T ; 24
+ ST A, *AR2- || LD *AR4, T ; 25
+ ST A, *AR2- || LD *AR4-, T ; 26
+ ST A, *AR2- || LD *AR4+, T ; 27
+ ST A, *AR2- || LD *AR4+0%, T ; 28
+ ST A, *AR2- || LD *AR5, T ; 29
+ ST A, *AR2- || LD *AR5-, T ; 30
+ ST A, *AR2- || LD *AR5+, T ; 31
+ ST A, *AR2- || LD *AR5+0%, T ; 32
+ ST A, *AR2+ || LD *AR2, T ; 33
+ ST A, *AR2+ || LD *AR2-, T ; 34
+ ST A, *AR2+ || LD *AR2+, T ; 35
+ ST A, *AR2+ || LD *AR2+0%, T ; 36
+ ST A, *AR2+ || LD *AR3, T ; 37
+ ST A, *AR2+ || LD *AR3-, T ; 38
+ ST A, *AR2+ || LD *AR3+, T ; 39
+ ST A, *AR2+ || LD *AR3+0%, T ; 40
+ ST A, *AR2+ || LD *AR4, T ; 41
+ ST A, *AR2+ || LD *AR4-, T ; 42
+ ST A, *AR2+ || LD *AR4+, T ; 43
+ ST A, *AR2+ || LD *AR4+0%, T ; 44
+ ST A, *AR2+ || LD *AR5, T ; 45
+ ST A, *AR2+ || LD *AR5-, T ; 46
+ ST A, *AR2+ || LD *AR5+, T ; 47
+ ST A, *AR2+ || LD *AR5+0%, T ; 48
+ ST A, *AR2+0% || LD *AR2, T ; 49
+ ST A, *AR2+0% || LD *AR2-, T ; 50
+ ST A, *AR2+0% || LD *AR2+, T ; 51
+ ST A, *AR2+0% || LD *AR2+0%, T ; 52
+ ST A, *AR2+0% || LD *AR3, T ; 53
+ ST A, *AR2+0% || LD *AR3-, T ; 54
+ ST A, *AR2+0% || LD *AR3+, T ; 55
+ ST A, *AR2+0% || LD *AR3+0%, T ; 56
+ ST A, *AR2+0% || LD *AR4, T ; 57
+ ST A, *AR2+0% || LD *AR4-, T ; 58
+ ST A, *AR2+0% || LD *AR4+, T ; 59
+ ST A, *AR2+0% || LD *AR4+0%, T ; 60
+ ST A, *AR2+0% || LD *AR5, T ; 61
+ ST A, *AR2+0% || LD *AR5-, T ; 62
+ ST A, *AR2+0% || LD *AR5+, T ; 63
+ ST A, *AR2+0% || LD *AR5+0%, T ; 64
+ ST A, *AR3 || LD *AR2, T ; 65
+ ST A, *AR3 || LD *AR2-, T ; 66
+ ST A, *AR3 || LD *AR2+, T ; 67
+ ST A, *AR3 || LD *AR2+0%, T ; 68
+ ST A, *AR3 || LD *AR3, T ; 69
+ ST A, *AR3 || LD *AR3-, T ; 70
+ ST A, *AR3 || LD *AR3+, T ; 71
+ ST A, *AR3 || LD *AR3+0%, T ; 72
+ ST A, *AR3 || LD *AR4, T ; 73
+ ST A, *AR3 || LD *AR4-, T ; 74
+ ST A, *AR3 || LD *AR4+, T ; 75
+ ST A, *AR3 || LD *AR4+0%, T ; 76
+ ST A, *AR3 || LD *AR5, T ; 77
+ ST A, *AR3 || LD *AR5-, T ; 78
+ ST A, *AR3 || LD *AR5+, T ; 79
+ ST A, *AR3 || LD *AR5+0%, T ; 80
+ ST A, *AR3- || LD *AR2, T ; 81
+ ST A, *AR3- || LD *AR2-, T ; 82
+ ST A, *AR3- || LD *AR2+, T ; 83
+ ST A, *AR3- || LD *AR2+0%, T ; 84
+ ST A, *AR3- || LD *AR3, T ; 85
+ ST A, *AR3- || LD *AR3-, T ; 86
+ ST A, *AR3- || LD *AR3+, T ; 87
+ ST A, *AR3- || LD *AR3+0%, T ; 88
+ ST A, *AR3- || LD *AR4, T ; 89
+ ST A, *AR3- || LD *AR4-, T ; 90
+ ST A, *AR3- || LD *AR4+, T ; 91
+ ST A, *AR3- || LD *AR4+0%, T ; 92
+ ST A, *AR3- || LD *AR5, T ; 93
+ ST A, *AR3- || LD *AR5-, T ; 94
+ ST A, *AR3- || LD *AR5+, T ; 95
+ ST A, *AR3- || LD *AR5+0%, T ; 96
+ ST A, *AR3+ || LD *AR2, T ; 97
+ ST A, *AR3+ || LD *AR2-, T ; 98
+ ST A, *AR3+ || LD *AR2+, T ; 99
+ ST A, *AR3+ || LD *AR2+0%, T ; 100
+ ST A, *AR3+ || LD *AR3, T ; 101
+ ST A, *AR3+ || LD *AR3-, T ; 102
+ ST A, *AR3+ || LD *AR3+, T ; 103
+ ST A, *AR3+ || LD *AR3+0%, T ; 104
+ ST A, *AR3+ || LD *AR4, T ; 105
+ ST A, *AR3+ || LD *AR4-, T ; 106
+ ST A, *AR3+ || LD *AR4+, T ; 107
+ ST A, *AR3+ || LD *AR4+0%, T ; 108
+ ST A, *AR3+ || LD *AR5, T ; 109
+ ST A, *AR3+ || LD *AR5-, T ; 110
+ ST A, *AR3+ || LD *AR5+, T ; 111
+ ST A, *AR3+ || LD *AR5+0%, T ; 112
+ ST A, *AR3+0% || LD *AR2, T ; 113
+ ST A, *AR3+0% || LD *AR2-, T ; 114
+ ST A, *AR3+0% || LD *AR2+, T ; 115
+ ST A, *AR3+0% || LD *AR2+0%, T ; 116
+ ST A, *AR3+0% || LD *AR3, T ; 117
+ ST A, *AR3+0% || LD *AR3-, T ; 118
+ ST A, *AR3+0% || LD *AR3+, T ; 119
+ ST A, *AR3+0% || LD *AR3+0%, T ; 120
+ ST A, *AR3+0% || LD *AR4, T ; 121
+ ST A, *AR3+0% || LD *AR4-, T ; 122
+ ST A, *AR3+0% || LD *AR4+, T ; 123
+ ST A, *AR3+0% || LD *AR4+0%, T ; 124
+ ST A, *AR3+0% || LD *AR5, T ; 125
+ ST A, *AR3+0% || LD *AR5-, T ; 126
+ ST A, *AR3+0% || LD *AR5+, T ; 127
+ ST A, *AR3+0% || LD *AR5+0%, T ; 128
+ ST A, *AR4 || LD *AR2, T ; 129
+ ST A, *AR4 || LD *AR2-, T ; 130
+ ST A, *AR4 || LD *AR2+, T ; 131
+ ST A, *AR4 || LD *AR2+0%, T ; 132
+ ST A, *AR4 || LD *AR3, T ; 133
+ ST A, *AR4 || LD *AR3-, T ; 134
+ ST A, *AR4 || LD *AR3+, T ; 135
+ ST A, *AR4 || LD *AR3+0%, T ; 136
+ ST A, *AR4 || LD *AR4, T ; 137
+ ST A, *AR4 || LD *AR4-, T ; 138
+ ST A, *AR4 || LD *AR4+, T ; 139
+ ST A, *AR4 || LD *AR4+0%, T ; 140
+ ST A, *AR4 || LD *AR5, T ; 141
+ ST A, *AR4 || LD *AR5-, T ; 142
+ ST A, *AR4 || LD *AR5+, T ; 143
+ ST A, *AR4 || LD *AR5+0%, T ; 144
+ ST A, *AR4- || LD *AR2, T ; 145
+ ST A, *AR4- || LD *AR2-, T ; 146
+ ST A, *AR4- || LD *AR2+, T ; 147
+ ST A, *AR4- || LD *AR2+0%, T ; 148
+ ST A, *AR4- || LD *AR3, T ; 149
+ ST A, *AR4- || LD *AR3-, T ; 150
+ ST A, *AR4- || LD *AR3+, T ; 151
+ ST A, *AR4- || LD *AR3+0%, T ; 152
+ ST A, *AR4- || LD *AR4, T ; 153
+ ST A, *AR4- || LD *AR4-, T ; 154
+ ST A, *AR4- || LD *AR4+, T ; 155
+ ST A, *AR4- || LD *AR4+0%, T ; 156
+ ST A, *AR4- || LD *AR5, T ; 157
+ ST A, *AR4- || LD *AR5-, T ; 158
+ ST A, *AR4- || LD *AR5+, T ; 159
+ ST A, *AR4- || LD *AR5+0%, T ; 160
+ ST A, *AR4+ || LD *AR2, T ; 161
+ ST A, *AR4+ || LD *AR2-, T ; 162
+ ST A, *AR4+ || LD *AR2+, T ; 163
+ ST A, *AR4+ || LD *AR2+0%, T ; 164
+ ST A, *AR4+ || LD *AR3, T ; 165
+ ST A, *AR4+ || LD *AR3-, T ; 166
+ ST A, *AR4+ || LD *AR3+, T ; 167
+ ST A, *AR4+ || LD *AR3+0%, T ; 168
+ ST A, *AR4+ || LD *AR4, T ; 169
+ ST A, *AR4+ || LD *AR4-, T ; 170
+ ST A, *AR4+ || LD *AR4+, T ; 171
+ ST A, *AR4+ || LD *AR4+0%, T ; 172
+ ST A, *AR4+ || LD *AR5, T ; 173
+ ST A, *AR4+ || LD *AR5-, T ; 174
+ ST A, *AR4+ || LD *AR5+, T ; 175
+ ST A, *AR4+ || LD *AR5+0%, T ; 176
+ ST A, *AR4+0% || LD *AR2, T ; 177
+ ST A, *AR4+0% || LD *AR2-, T ; 178
+ ST A, *AR4+0% || LD *AR2+, T ; 179
+ ST A, *AR4+0% || LD *AR2+0%, T ; 180
+ ST A, *AR4+0% || LD *AR3, T ; 181
+ ST A, *AR4+0% || LD *AR3-, T ; 182
+ ST A, *AR4+0% || LD *AR3+, T ; 183
+ ST A, *AR4+0% || LD *AR3+0%, T ; 184
+ ST A, *AR4+0% || LD *AR4, T ; 185
+ ST A, *AR4+0% || LD *AR4-, T ; 186
+ ST A, *AR4+0% || LD *AR4+, T ; 187
+ ST A, *AR4+0% || LD *AR4+0%, T ; 188
+ ST A, *AR4+0% || LD *AR5, T ; 189
+ ST A, *AR4+0% || LD *AR5-, T ; 190
+ ST A, *AR4+0% || LD *AR5+, T ; 191
+ ST A, *AR4+0% || LD *AR5+0%, T ; 192
+ ST A, *AR5 || LD *AR2, T ; 193
+ ST A, *AR5 || LD *AR2-, T ; 194
+ ST A, *AR5 || LD *AR2+, T ; 195
+ ST A, *AR5 || LD *AR2+0%, T ; 196
+ ST A, *AR5 || LD *AR3, T ; 197
+ ST A, *AR5 || LD *AR3-, T ; 198
+ ST A, *AR5 || LD *AR3+, T ; 199
+ ST A, *AR5 || LD *AR3+0%, T ; 200
+ ST A, *AR5 || LD *AR4, T ; 201
+ ST A, *AR5 || LD *AR4-, T ; 202
+ ST A, *AR5 || LD *AR4+, T ; 203
+ ST A, *AR5 || LD *AR4+0%, T ; 204
+ ST A, *AR5 || LD *AR5, T ; 205
+ ST A, *AR5 || LD *AR5-, T ; 206
+ ST A, *AR5 || LD *AR5+, T ; 207
+ ST A, *AR5 || LD *AR5+0%, T ; 208
+ ST A, *AR5- || LD *AR2, T ; 209
+ ST A, *AR5- || LD *AR2-, T ; 210
+ ST A, *AR5- || LD *AR2+, T ; 211
+ ST A, *AR5- || LD *AR2+0%, T ; 212
+ ST A, *AR5- || LD *AR3, T ; 213
+ ST A, *AR5- || LD *AR3-, T ; 214
+ ST A, *AR5- || LD *AR3+, T ; 215
+ ST A, *AR5- || LD *AR3+0%, T ; 216
+ ST A, *AR5- || LD *AR4, T ; 217
+ ST A, *AR5- || LD *AR4-, T ; 218
+ ST A, *AR5- || LD *AR4+, T ; 219
+ ST A, *AR5- || LD *AR4+0%, T ; 220
+ ST A, *AR5- || LD *AR5, T ; 221
+ ST A, *AR5- || LD *AR5-, T ; 222
+ ST A, *AR5- || LD *AR5+, T ; 223
+ ST A, *AR5- || LD *AR5+0%, T ; 224
+ ST A, *AR5+ || LD *AR2, T ; 225
+ ST A, *AR5+ || LD *AR2-, T ; 226
+ ST A, *AR5+ || LD *AR2+, T ; 227
+ ST A, *AR5+ || LD *AR2+0%, T ; 228
+ ST A, *AR5+ || LD *AR3, T ; 229
+ ST A, *AR5+ || LD *AR3-, T ; 230
+ ST A, *AR5+ || LD *AR3+, T ; 231
+ ST A, *AR5+ || LD *AR3+0%, T ; 232
+ ST A, *AR5+ || LD *AR4, T ; 233
+ ST A, *AR5+ || LD *AR4-, T ; 234
+ ST A, *AR5+ || LD *AR4+, T ; 235
+ ST A, *AR5+ || LD *AR4+0%, T ; 236
+ ST A, *AR5+ || LD *AR5, T ; 237
+ ST A, *AR5+ || LD *AR5-, T ; 238
+ ST A, *AR5+ || LD *AR5+, T ; 239
+ ST A, *AR5+ || LD *AR5+0%, T ; 240
+ ST A, *AR5+0% || LD *AR2, T ; 241
+ ST A, *AR5+0% || LD *AR2-, T ; 242
+ ST A, *AR5+0% || LD *AR2+, T ; 243
+ ST A, *AR5+0% || LD *AR2+0%, T ; 244
+ ST A, *AR5+0% || LD *AR3, T ; 245
+ ST A, *AR5+0% || LD *AR3-, T ; 246
+ ST A, *AR5+0% || LD *AR3+, T ; 247
+ ST A, *AR5+0% || LD *AR3+0%, T ; 248
+ ST A, *AR5+0% || LD *AR4, T ; 249
+ ST A, *AR5+0% || LD *AR4-, T ; 250
+ ST A, *AR5+0% || LD *AR4+, T ; 251
+ ST A, *AR5+0% || LD *AR4+0%, T ; 252
+ ST A, *AR5+0% || LD *AR5, T ; 253
+ ST A, *AR5+0% || LD *AR5-, T ; 254
+ ST A, *AR5+0% || LD *AR5+, T ; 255
+ ST A, *AR5+0% || LD *AR5+0%, T ; 256
+ ST B, *AR2 || LD *AR2, T ; 257
+ ST B, *AR2 || LD *AR2-, T ; 258
+ ST B, *AR2 || LD *AR2+, T ; 259
+ ST B, *AR2 || LD *AR2+0%, T ; 260
+ ST B, *AR2 || LD *AR3, T ; 261
+ ST B, *AR2 || LD *AR3-, T ; 262
+ ST B, *AR2 || LD *AR3+, T ; 263
+ ST B, *AR2 || LD *AR3+0%, T ; 264
+ ST B, *AR2 || LD *AR4, T ; 265
+ ST B, *AR2 || LD *AR4-, T ; 266
+ ST B, *AR2 || LD *AR4+, T ; 267
+ ST B, *AR2 || LD *AR4+0%, T ; 268
+ ST B, *AR2 || LD *AR5, T ; 269
+ ST B, *AR2 || LD *AR5-, T ; 270
+ ST B, *AR2 || LD *AR5+, T ; 271
+ ST B, *AR2 || LD *AR5+0%, T ; 272
+ ST B, *AR2- || LD *AR2, T ; 273
+ ST B, *AR2- || LD *AR2-, T ; 274
+ ST B, *AR2- || LD *AR2+, T ; 275
+ ST B, *AR2- || LD *AR2+0%, T ; 276
+ ST B, *AR2- || LD *AR3, T ; 277
+ ST B, *AR2- || LD *AR3-, T ; 278
+ ST B, *AR2- || LD *AR3+, T ; 279
+ ST B, *AR2- || LD *AR3+0%, T ; 280
+ ST B, *AR2- || LD *AR4, T ; 281
+ ST B, *AR2- || LD *AR4-, T ; 282
+ ST B, *AR2- || LD *AR4+, T ; 283
+ ST B, *AR2- || LD *AR4+0%, T ; 284
+ ST B, *AR2- || LD *AR5, T ; 285
+ ST B, *AR2- || LD *AR5-, T ; 286
+ ST B, *AR2- || LD *AR5+, T ; 287
+ ST B, *AR2- || LD *AR5+0%, T ; 288
+ ST B, *AR2+ || LD *AR2, T ; 289
+ ST B, *AR2+ || LD *AR2-, T ; 290
+ ST B, *AR2+ || LD *AR2+, T ; 291
+ ST B, *AR2+ || LD *AR2+0%, T ; 292
+ ST B, *AR2+ || LD *AR3, T ; 293
+ ST B, *AR2+ || LD *AR3-, T ; 294
+ ST B, *AR2+ || LD *AR3+, T ; 295
+ ST B, *AR2+ || LD *AR3+0%, T ; 296
+ ST B, *AR2+ || LD *AR4, T ; 297
+ ST B, *AR2+ || LD *AR4-, T ; 298
+ ST B, *AR2+ || LD *AR4+, T ; 299
+ ST B, *AR2+ || LD *AR4+0%, T ; 300
+ ST B, *AR2+ || LD *AR5, T ; 301
+ ST B, *AR2+ || LD *AR5-, T ; 302
+ ST B, *AR2+ || LD *AR5+, T ; 303
+ ST B, *AR2+ || LD *AR5+0%, T ; 304
+ ST B, *AR2+0% || LD *AR2, T ; 305
+ ST B, *AR2+0% || LD *AR2-, T ; 306
+ ST B, *AR2+0% || LD *AR2+, T ; 307
+ ST B, *AR2+0% || LD *AR2+0%, T ; 308
+ ST B, *AR2+0% || LD *AR3, T ; 309
+ ST B, *AR2+0% || LD *AR3-, T ; 310
+ ST B, *AR2+0% || LD *AR3+, T ; 311
+ ST B, *AR2+0% || LD *AR3+0%, T ; 312
+ ST B, *AR2+0% || LD *AR4, T ; 313
+ ST B, *AR2+0% || LD *AR4-, T ; 314
+ ST B, *AR2+0% || LD *AR4+, T ; 315
+ ST B, *AR2+0% || LD *AR4+0%, T ; 316
+ ST B, *AR2+0% || LD *AR5, T ; 317
+ ST B, *AR2+0% || LD *AR5-, T ; 318
+ ST B, *AR2+0% || LD *AR5+, T ; 319
+ ST B, *AR2+0% || LD *AR5+0%, T ; 320
+ ST B, *AR3 || LD *AR2, T ; 321
+ ST B, *AR3 || LD *AR2-, T ; 322
+ ST B, *AR3 || LD *AR2+, T ; 323
+ ST B, *AR3 || LD *AR2+0%, T ; 324
+ ST B, *AR3 || LD *AR3, T ; 325
+ ST B, *AR3 || LD *AR3-, T ; 326
+ ST B, *AR3 || LD *AR3+, T ; 327
+ ST B, *AR3 || LD *AR3+0%, T ; 328
+ ST B, *AR3 || LD *AR4, T ; 329
+ ST B, *AR3 || LD *AR4-, T ; 330
+ ST B, *AR3 || LD *AR4+, T ; 331
+ ST B, *AR3 || LD *AR4+0%, T ; 332
+ ST B, *AR3 || LD *AR5, T ; 333
+ ST B, *AR3 || LD *AR5-, T ; 334
+ ST B, *AR3 || LD *AR5+, T ; 335
+ ST B, *AR3 || LD *AR5+0%, T ; 336
+ ST B, *AR3- || LD *AR2, T ; 337
+ ST B, *AR3- || LD *AR2-, T ; 338
+ ST B, *AR3- || LD *AR2+, T ; 339
+ ST B, *AR3- || LD *AR2+0%, T ; 340
+ ST B, *AR3- || LD *AR3, T ; 341
+ ST B, *AR3- || LD *AR3-, T ; 342
+ ST B, *AR3- || LD *AR3+, T ; 343
+ ST B, *AR3- || LD *AR3+0%, T ; 344
+ ST B, *AR3- || LD *AR4, T ; 345
+ ST B, *AR3- || LD *AR4-, T ; 346
+ ST B, *AR3- || LD *AR4+, T ; 347
+ ST B, *AR3- || LD *AR4+0%, T ; 348
+ ST B, *AR3- || LD *AR5, T ; 349
+ ST B, *AR3- || LD *AR5-, T ; 350
+ ST B, *AR3- || LD *AR5+, T ; 351
+ ST B, *AR3- || LD *AR5+0%, T ; 352
+ ST B, *AR3+ || LD *AR2, T ; 353
+ ST B, *AR3+ || LD *AR2-, T ; 354
+ ST B, *AR3+ || LD *AR2+, T ; 355
+ ST B, *AR3+ || LD *AR2+0%, T ; 356
+ ST B, *AR3+ || LD *AR3, T ; 357
+ ST B, *AR3+ || LD *AR3-, T ; 358
+ ST B, *AR3+ || LD *AR3+, T ; 359
+ ST B, *AR3+ || LD *AR3+0%, T ; 360
+ ST B, *AR3+ || LD *AR4, T ; 361
+ ST B, *AR3+ || LD *AR4-, T ; 362
+ ST B, *AR3+ || LD *AR4+, T ; 363
+ ST B, *AR3+ || LD *AR4+0%, T ; 364
+ ST B, *AR3+ || LD *AR5, T ; 365
+ ST B, *AR3+ || LD *AR5-, T ; 366
+ ST B, *AR3+ || LD *AR5+, T ; 367
+ ST B, *AR3+ || LD *AR5+0%, T ; 368
+ ST B, *AR3+0% || LD *AR2, T ; 369
+ ST B, *AR3+0% || LD *AR2-, T ; 370
+ ST B, *AR3+0% || LD *AR2+, T ; 371
+ ST B, *AR3+0% || LD *AR2+0%, T ; 372
+ ST B, *AR3+0% || LD *AR3, T ; 373
+ ST B, *AR3+0% || LD *AR3-, T ; 374
+ ST B, *AR3+0% || LD *AR3+, T ; 375
+ ST B, *AR3+0% || LD *AR3+0%, T ; 376
+ ST B, *AR3+0% || LD *AR4, T ; 377
+ ST B, *AR3+0% || LD *AR4-, T ; 378
+ ST B, *AR3+0% || LD *AR4+, T ; 379
+ ST B, *AR3+0% || LD *AR4+0%, T ; 380
+ ST B, *AR3+0% || LD *AR5, T ; 381
+ ST B, *AR3+0% || LD *AR5-, T ; 382
+ ST B, *AR3+0% || LD *AR5+, T ; 383
+ ST B, *AR3+0% || LD *AR5+0%, T ; 384
+ ST B, *AR4 || LD *AR2, T ; 385
+ ST B, *AR4 || LD *AR2-, T ; 386
+ ST B, *AR4 || LD *AR2+, T ; 387
+ ST B, *AR4 || LD *AR2+0%, T ; 388
+ ST B, *AR4 || LD *AR3, T ; 389
+ ST B, *AR4 || LD *AR3-, T ; 390
+ ST B, *AR4 || LD *AR3+, T ; 391
+ ST B, *AR4 || LD *AR3+0%, T ; 392
+ ST B, *AR4 || LD *AR4, T ; 393
+ ST B, *AR4 || LD *AR4-, T ; 394
+ ST B, *AR4 || LD *AR4+, T ; 395
+ ST B, *AR4 || LD *AR4+0%, T ; 396
+ ST B, *AR4 || LD *AR5, T ; 397
+ ST B, *AR4 || LD *AR5-, T ; 398
+ ST B, *AR4 || LD *AR5+, T ; 399
+ ST B, *AR4 || LD *AR5+0%, T ; 400
+ ST B, *AR4- || LD *AR2, T ; 401
+ ST B, *AR4- || LD *AR2-, T ; 402
+ ST B, *AR4- || LD *AR2+, T ; 403
+ ST B, *AR4- || LD *AR2+0%, T ; 404
+ ST B, *AR4- || LD *AR3, T ; 405
+ ST B, *AR4- || LD *AR3-, T ; 406
+ ST B, *AR4- || LD *AR3+, T ; 407
+ ST B, *AR4- || LD *AR3+0%, T ; 408
+ ST B, *AR4- || LD *AR4, T ; 409
+ ST B, *AR4- || LD *AR4-, T ; 410
+ ST B, *AR4- || LD *AR4+, T ; 411
+ ST B, *AR4- || LD *AR4+0%, T ; 412
+ ST B, *AR4- || LD *AR5, T ; 413
+ ST B, *AR4- || LD *AR5-, T ; 414
+ ST B, *AR4- || LD *AR5+, T ; 415
+ ST B, *AR4- || LD *AR5+0%, T ; 416
+ ST B, *AR4+ || LD *AR2, T ; 417
+ ST B, *AR4+ || LD *AR2-, T ; 418
+ ST B, *AR4+ || LD *AR2+, T ; 419
+ ST B, *AR4+ || LD *AR2+0%, T ; 420
+ ST B, *AR4+ || LD *AR3, T ; 421
+ ST B, *AR4+ || LD *AR3-, T ; 422
+ ST B, *AR4+ || LD *AR3+, T ; 423
+ ST B, *AR4+ || LD *AR3+0%, T ; 424
+ ST B, *AR4+ || LD *AR4, T ; 425
+ ST B, *AR4+ || LD *AR4-, T ; 426
+ ST B, *AR4+ || LD *AR4+, T ; 427
+ ST B, *AR4+ || LD *AR4+0%, T ; 428
+ ST B, *AR4+ || LD *AR5, T ; 429
+ ST B, *AR4+ || LD *AR5-, T ; 430
+ ST B, *AR4+ || LD *AR5+, T ; 431
+ ST B, *AR4+ || LD *AR5+0%, T ; 432
+ ST B, *AR4+0% || LD *AR2, T ; 433
+ ST B, *AR4+0% || LD *AR2-, T ; 434
+ ST B, *AR4+0% || LD *AR2+, T ; 435
+ ST B, *AR4+0% || LD *AR2+0%, T ; 436
+ ST B, *AR4+0% || LD *AR3, T ; 437
+ ST B, *AR4+0% || LD *AR3-, T ; 438
+ ST B, *AR4+0% || LD *AR3+, T ; 439
+ ST B, *AR4+0% || LD *AR3+0%, T ; 440
+ ST B, *AR4+0% || LD *AR4, T ; 441
+ ST B, *AR4+0% || LD *AR4-, T ; 442
+ ST B, *AR4+0% || LD *AR4+, T ; 443
+ ST B, *AR4+0% || LD *AR4+0%, T ; 444
+ ST B, *AR4+0% || LD *AR5, T ; 445
+ ST B, *AR4+0% || LD *AR5-, T ; 446
+ ST B, *AR4+0% || LD *AR5+, T ; 447
+ ST B, *AR4+0% || LD *AR5+0%, T ; 448
+ ST B, *AR5 || LD *AR2, T ; 449
+ ST B, *AR5 || LD *AR2-, T ; 450
+ ST B, *AR5 || LD *AR2+, T ; 451
+ ST B, *AR5 || LD *AR2+0%, T ; 452
+ ST B, *AR5 || LD *AR3, T ; 453
+ ST B, *AR5 || LD *AR3-, T ; 454
+ ST B, *AR5 || LD *AR3+, T ; 455
+ ST B, *AR5 || LD *AR3+0%, T ; 456
+ ST B, *AR5 || LD *AR4, T ; 457
+ ST B, *AR5 || LD *AR4-, T ; 458
+ ST B, *AR5 || LD *AR4+, T ; 459
+ ST B, *AR5 || LD *AR4+0%, T ; 460
+ ST B, *AR5 || LD *AR5, T ; 461
+ ST B, *AR5 || LD *AR5-, T ; 462
+ ST B, *AR5 || LD *AR5+, T ; 463
+ ST B, *AR5 || LD *AR5+0%, T ; 464
+ ST B, *AR5- || LD *AR2, T ; 465
+ ST B, *AR5- || LD *AR2-, T ; 466
+ ST B, *AR5- || LD *AR2+, T ; 467
+ ST B, *AR5- || LD *AR2+0%, T ; 468
+ ST B, *AR5- || LD *AR3, T ; 469
+ ST B, *AR5- || LD *AR3-, T ; 470
+ ST B, *AR5- || LD *AR3+, T ; 471
+ ST B, *AR5- || LD *AR3+0%, T ; 472
+ ST B, *AR5- || LD *AR4, T ; 473
+ ST B, *AR5- || LD *AR4-, T ; 474
+ ST B, *AR5- || LD *AR4+, T ; 475
+ ST B, *AR5- || LD *AR4+0%, T ; 476
+ ST B, *AR5- || LD *AR5, T ; 477
+ ST B, *AR5- || LD *AR5-, T ; 478
+ ST B, *AR5- || LD *AR5+, T ; 479
+ ST B, *AR5- || LD *AR5+0%, T ; 480
+ ST B, *AR5+ || LD *AR2, T ; 481
+ ST B, *AR5+ || LD *AR2-, T ; 482
+ ST B, *AR5+ || LD *AR2+, T ; 483
+ ST B, *AR5+ || LD *AR2+0%, T ; 484
+ ST B, *AR5+ || LD *AR3, T ; 485
+ ST B, *AR5+ || LD *AR3-, T ; 486
+ ST B, *AR5+ || LD *AR3+, T ; 487
+ ST B, *AR5+ || LD *AR3+0%, T ; 488
+ ST B, *AR5+ || LD *AR4, T ; 489
+ ST B, *AR5+ || LD *AR4-, T ; 490
+ ST B, *AR5+ || LD *AR4+, T ; 491
+ ST B, *AR5+ || LD *AR4+0%, T ; 492
+ ST B, *AR5+ || LD *AR5, T ; 493
+ ST B, *AR5+ || LD *AR5-, T ; 494
+ ST B, *AR5+ || LD *AR5+, T ; 495
+ ST B, *AR5+ || LD *AR5+0%, T ; 496
+ ST B, *AR5+0% || LD *AR2, T ; 497
+ ST B, *AR5+0% || LD *AR2-, T ; 498
+ ST B, *AR5+0% || LD *AR2+, T ; 499
+ ST B, *AR5+0% || LD *AR2+0%, T ; 500
+ ST B, *AR5+0% || LD *AR3, T ; 501
+ ST B, *AR5+0% || LD *AR3-, T ; 502
+ ST B, *AR5+0% || LD *AR3+, T ; 503
+ ST B, *AR5+0% || LD *AR3+0%, T ; 504
+ ST B, *AR5+0% || LD *AR4, T ; 505
+ ST B, *AR5+0% || LD *AR4-, T ; 506
+ ST B, *AR5+0% || LD *AR4+, T ; 507
+ ST B, *AR5+0% || LD *AR4+0%, T ; 508
+ ST B, *AR5+0% || LD *AR5, T ; 509
+ ST B, *AR5+0% || LD *AR5-, T ; 510
+ ST B, *AR5+0% || LD *AR5+, T ; 511
+ ST B, *AR5+0% || LD *AR5+0%, T ; 512
+ ; STandMAC : ST AB, Ymem || MAC Xmem, AB : 1024
+ ST A, *AR2 || MAC *AR2, A ; 1
+ ST A, *AR2 || MAC *AR2, B ; 2
+ ST A, *AR2 || MAC *AR2-, A ; 3
+ ST A, *AR2 || MAC *AR2-, B ; 4
+ ST A, *AR2 || MAC *AR2+, A ; 5
+ ST A, *AR2 || MAC *AR2+, B ; 6
+ ST A, *AR2 || MAC *AR2+0%, A ; 7
+ ST A, *AR2 || MAC *AR2+0%, B ; 8
+ ST A, *AR2 || MAC *AR3, A ; 9
+ ST A, *AR2 || MAC *AR3, B ; 10
+ ST A, *AR2 || MAC *AR3-, A ; 11
+ ST A, *AR2 || MAC *AR3-, B ; 12
+ ST A, *AR2 || MAC *AR3+, A ; 13
+ ST A, *AR2 || MAC *AR3+, B ; 14
+ ST A, *AR2 || MAC *AR3+0%, A ; 15
+ ST A, *AR2 || MAC *AR3+0%, B ; 16
+ ST A, *AR2 || MAC *AR4, A ; 17
+ ST A, *AR2 || MAC *AR4, B ; 18
+ ST A, *AR2 || MAC *AR4-, A ; 19
+ ST A, *AR2 || MAC *AR4-, B ; 20
+ ST A, *AR2 || MAC *AR4+, A ; 21
+ ST A, *AR2 || MAC *AR4+, B ; 22
+ ST A, *AR2 || MAC *AR4+0%, A ; 23
+ ST A, *AR2 || MAC *AR4+0%, B ; 24
+ ST A, *AR2 || MAC *AR5, A ; 25
+ ST A, *AR2 || MAC *AR5, B ; 26
+ ST A, *AR2 || MAC *AR5-, A ; 27
+ ST A, *AR2 || MAC *AR5-, B ; 28
+ ST A, *AR2 || MAC *AR5+, A ; 29
+ ST A, *AR2 || MAC *AR5+, B ; 30
+ ST A, *AR2 || MAC *AR5+0%, A ; 31
+ ST A, *AR2 || MAC *AR5+0%, B ; 32
+ ST A, *AR2- || MAC *AR2, A ; 33
+ ST A, *AR2- || MAC *AR2, B ; 34
+ ST A, *AR2- || MAC *AR2-, A ; 35
+ ST A, *AR2- || MAC *AR2-, B ; 36
+ ST A, *AR2- || MAC *AR2+, A ; 37
+ ST A, *AR2- || MAC *AR2+, B ; 38
+ ST A, *AR2- || MAC *AR2+0%, A ; 39
+ ST A, *AR2- || MAC *AR2+0%, B ; 40
+ ST A, *AR2- || MAC *AR3, A ; 41
+ ST A, *AR2- || MAC *AR3, B ; 42
+ ST A, *AR2- || MAC *AR3-, A ; 43
+ ST A, *AR2- || MAC *AR3-, B ; 44
+ ST A, *AR2- || MAC *AR3+, A ; 45
+ ST A, *AR2- || MAC *AR3+, B ; 46
+ ST A, *AR2- || MAC *AR3+0%, A ; 47
+ ST A, *AR2- || MAC *AR3+0%, B ; 48
+ ST A, *AR2- || MAC *AR4, A ; 49
+ ST A, *AR2- || MAC *AR4, B ; 50
+ ST A, *AR2- || MAC *AR4-, A ; 51
+ ST A, *AR2- || MAC *AR4-, B ; 52
+ ST A, *AR2- || MAC *AR4+, A ; 53
+ ST A, *AR2- || MAC *AR4+, B ; 54
+ ST A, *AR2- || MAC *AR4+0%, A ; 55
+ ST A, *AR2- || MAC *AR4+0%, B ; 56
+ ST A, *AR2- || MAC *AR5, A ; 57
+ ST A, *AR2- || MAC *AR5, B ; 58
+ ST A, *AR2- || MAC *AR5-, A ; 59
+ ST A, *AR2- || MAC *AR5-, B ; 60
+ ST A, *AR2- || MAC *AR5+, A ; 61
+ ST A, *AR2- || MAC *AR5+, B ; 62
+ ST A, *AR2- || MAC *AR5+0%, A ; 63
+ ST A, *AR2- || MAC *AR5+0%, B ; 64
+ ST A, *AR2+ || MAC *AR2, A ; 65
+ ST A, *AR2+ || MAC *AR2, B ; 66
+ ST A, *AR2+ || MAC *AR2-, A ; 67
+ ST A, *AR2+ || MAC *AR2-, B ; 68
+ ST A, *AR2+ || MAC *AR2+, A ; 69
+ ST A, *AR2+ || MAC *AR2+, B ; 70
+ ST A, *AR2+ || MAC *AR2+0%, A ; 71
+ ST A, *AR2+ || MAC *AR2+0%, B ; 72
+ ST A, *AR2+ || MAC *AR3, A ; 73
+ ST A, *AR2+ || MAC *AR3, B ; 74
+ ST A, *AR2+ || MAC *AR3-, A ; 75
+ ST A, *AR2+ || MAC *AR3-, B ; 76
+ ST A, *AR2+ || MAC *AR3+, A ; 77
+ ST A, *AR2+ || MAC *AR3+, B ; 78
+ ST A, *AR2+ || MAC *AR3+0%, A ; 79
+ ST A, *AR2+ || MAC *AR3+0%, B ; 80
+ ST A, *AR2+ || MAC *AR4, A ; 81
+ ST A, *AR2+ || MAC *AR4, B ; 82
+ ST A, *AR2+ || MAC *AR4-, A ; 83
+ ST A, *AR2+ || MAC *AR4-, B ; 84
+ ST A, *AR2+ || MAC *AR4+, A ; 85
+ ST A, *AR2+ || MAC *AR4+, B ; 86
+ ST A, *AR2+ || MAC *AR4+0%, A ; 87
+ ST A, *AR2+ || MAC *AR4+0%, B ; 88
+ ST A, *AR2+ || MAC *AR5, A ; 89
+ ST A, *AR2+ || MAC *AR5, B ; 90
+ ST A, *AR2+ || MAC *AR5-, A ; 91
+ ST A, *AR2+ || MAC *AR5-, B ; 92
+ ST A, *AR2+ || MAC *AR5+, A ; 93
+ ST A, *AR2+ || MAC *AR5+, B ; 94
+ ST A, *AR2+ || MAC *AR5+0%, A ; 95
+ ST A, *AR2+ || MAC *AR5+0%, B ; 96
+ ST A, *AR2+0% || MAC *AR2, A ; 97
+ ST A, *AR2+0% || MAC *AR2, B ; 98
+ ST A, *AR2+0% || MAC *AR2-, A ; 99
+ ST A, *AR2+0% || MAC *AR2-, B ; 100
+ ST A, *AR2+0% || MAC *AR2+, A ; 101
+ ST A, *AR2+0% || MAC *AR2+, B ; 102
+ ST A, *AR2+0% || MAC *AR2+0%, A ; 103
+ ST A, *AR2+0% || MAC *AR2+0%, B ; 104
+ ST A, *AR2+0% || MAC *AR3, A ; 105
+ ST A, *AR2+0% || MAC *AR3, B ; 106
+ ST A, *AR2+0% || MAC *AR3-, A ; 107
+ ST A, *AR2+0% || MAC *AR3-, B ; 108
+ ST A, *AR2+0% || MAC *AR3+, A ; 109
+ ST A, *AR2+0% || MAC *AR3+, B ; 110
+ ST A, *AR2+0% || MAC *AR3+0%, A ; 111
+ ST A, *AR2+0% || MAC *AR3+0%, B ; 112
+ ST A, *AR2+0% || MAC *AR4, A ; 113
+ ST A, *AR2+0% || MAC *AR4, B ; 114
+ ST A, *AR2+0% || MAC *AR4-, A ; 115
+ ST A, *AR2+0% || MAC *AR4-, B ; 116
+ ST A, *AR2+0% || MAC *AR4+, A ; 117
+ ST A, *AR2+0% || MAC *AR4+, B ; 118
+ ST A, *AR2+0% || MAC *AR4+0%, A ; 119
+ ST A, *AR2+0% || MAC *AR4+0%, B ; 120
+ ST A, *AR2+0% || MAC *AR5, A ; 121
+ ST A, *AR2+0% || MAC *AR5, B ; 122
+ ST A, *AR2+0% || MAC *AR5-, A ; 123
+ ST A, *AR2+0% || MAC *AR5-, B ; 124
+ ST A, *AR2+0% || MAC *AR5+, A ; 125
+ ST A, *AR2+0% || MAC *AR5+, B ; 126
+ ST A, *AR2+0% || MAC *AR5+0%, A ; 127
+ ST A, *AR2+0% || MAC *AR5+0%, B ; 128
+ ST A, *AR3 || MAC *AR2, A ; 129
+ ST A, *AR3 || MAC *AR2, B ; 130
+ ST A, *AR3 || MAC *AR2-, A ; 131
+ ST A, *AR3 || MAC *AR2-, B ; 132
+ ST A, *AR3 || MAC *AR2+, A ; 133
+ ST A, *AR3 || MAC *AR2+, B ; 134
+ ST A, *AR3 || MAC *AR2+0%, A ; 135
+ ST A, *AR3 || MAC *AR2+0%, B ; 136
+ ST A, *AR3 || MAC *AR3, A ; 137
+ ST A, *AR3 || MAC *AR3, B ; 138
+ ST A, *AR3 || MAC *AR3-, A ; 139
+ ST A, *AR3 || MAC *AR3-, B ; 140
+ ST A, *AR3 || MAC *AR3+, A ; 141
+ ST A, *AR3 || MAC *AR3+, B ; 142
+ ST A, *AR3 || MAC *AR3+0%, A ; 143
+ ST A, *AR3 || MAC *AR3+0%, B ; 144
+ ST A, *AR3 || MAC *AR4, A ; 145
+ ST A, *AR3 || MAC *AR4, B ; 146
+ ST A, *AR3 || MAC *AR4-, A ; 147
+ ST A, *AR3 || MAC *AR4-, B ; 148
+ ST A, *AR3 || MAC *AR4+, A ; 149
+ ST A, *AR3 || MAC *AR4+, B ; 150
+ ST A, *AR3 || MAC *AR4+0%, A ; 151
+ ST A, *AR3 || MAC *AR4+0%, B ; 152
+ ST A, *AR3 || MAC *AR5, A ; 153
+ ST A, *AR3 || MAC *AR5, B ; 154
+ ST A, *AR3 || MAC *AR5-, A ; 155
+ ST A, *AR3 || MAC *AR5-, B ; 156
+ ST A, *AR3 || MAC *AR5+, A ; 157
+ ST A, *AR3 || MAC *AR5+, B ; 158
+ ST A, *AR3 || MAC *AR5+0%, A ; 159
+ ST A, *AR3 || MAC *AR5+0%, B ; 160
+ ST A, *AR3- || MAC *AR2, A ; 161
+ ST A, *AR3- || MAC *AR2, B ; 162
+ ST A, *AR3- || MAC *AR2-, A ; 163
+ ST A, *AR3- || MAC *AR2-, B ; 164
+ ST A, *AR3- || MAC *AR2+, A ; 165
+ ST A, *AR3- || MAC *AR2+, B ; 166
+ ST A, *AR3- || MAC *AR2+0%, A ; 167
+ ST A, *AR3- || MAC *AR2+0%, B ; 168
+ ST A, *AR3- || MAC *AR3, A ; 169
+ ST A, *AR3- || MAC *AR3, B ; 170
+ ST A, *AR3- || MAC *AR3-, A ; 171
+ ST A, *AR3- || MAC *AR3-, B ; 172
+ ST A, *AR3- || MAC *AR3+, A ; 173
+ ST A, *AR3- || MAC *AR3+, B ; 174
+ ST A, *AR3- || MAC *AR3+0%, A ; 175
+ ST A, *AR3- || MAC *AR3+0%, B ; 176
+ ST A, *AR3- || MAC *AR4, A ; 177
+ ST A, *AR3- || MAC *AR4, B ; 178
+ ST A, *AR3- || MAC *AR4-, A ; 179
+ ST A, *AR3- || MAC *AR4-, B ; 180
+ ST A, *AR3- || MAC *AR4+, A ; 181
+ ST A, *AR3- || MAC *AR4+, B ; 182
+ ST A, *AR3- || MAC *AR4+0%, A ; 183
+ ST A, *AR3- || MAC *AR4+0%, B ; 184
+ ST A, *AR3- || MAC *AR5, A ; 185
+ ST A, *AR3- || MAC *AR5, B ; 186
+ ST A, *AR3- || MAC *AR5-, A ; 187
+ ST A, *AR3- || MAC *AR5-, B ; 188
+ ST A, *AR3- || MAC *AR5+, A ; 189
+ ST A, *AR3- || MAC *AR5+, B ; 190
+ ST A, *AR3- || MAC *AR5+0%, A ; 191
+ ST A, *AR3- || MAC *AR5+0%, B ; 192
+ ST A, *AR3+ || MAC *AR2, A ; 193
+ ST A, *AR3+ || MAC *AR2, B ; 194
+ ST A, *AR3+ || MAC *AR2-, A ; 195
+ ST A, *AR3+ || MAC *AR2-, B ; 196
+ ST A, *AR3+ || MAC *AR2+, A ; 197
+ ST A, *AR3+ || MAC *AR2+, B ; 198
+ ST A, *AR3+ || MAC *AR2+0%, A ; 199
+ ST A, *AR3+ || MAC *AR2+0%, B ; 200
+ ST A, *AR3+ || MAC *AR3, A ; 201
+ ST A, *AR3+ || MAC *AR3, B ; 202
+ ST A, *AR3+ || MAC *AR3-, A ; 203
+ ST A, *AR3+ || MAC *AR3-, B ; 204
+ ST A, *AR3+ || MAC *AR3+, A ; 205
+ ST A, *AR3+ || MAC *AR3+, B ; 206
+ ST A, *AR3+ || MAC *AR3+0%, A ; 207
+ ST A, *AR3+ || MAC *AR3+0%, B ; 208
+ ST A, *AR3+ || MAC *AR4, A ; 209
+ ST A, *AR3+ || MAC *AR4, B ; 210
+ ST A, *AR3+ || MAC *AR4-, A ; 211
+ ST A, *AR3+ || MAC *AR4-, B ; 212
+ ST A, *AR3+ || MAC *AR4+, A ; 213
+ ST A, *AR3+ || MAC *AR4+, B ; 214
+ ST A, *AR3+ || MAC *AR4+0%, A ; 215
+ ST A, *AR3+ || MAC *AR4+0%, B ; 216
+ ST A, *AR3+ || MAC *AR5, A ; 217
+ ST A, *AR3+ || MAC *AR5, B ; 218
+ ST A, *AR3+ || MAC *AR5-, A ; 219
+ ST A, *AR3+ || MAC *AR5-, B ; 220
+ ST A, *AR3+ || MAC *AR5+, A ; 221
+ ST A, *AR3+ || MAC *AR5+, B ; 222
+ ST A, *AR3+ || MAC *AR5+0%, A ; 223
+ ST A, *AR3+ || MAC *AR5+0%, B ; 224
+ ST A, *AR3+0% || MAC *AR2, A ; 225
+ ST A, *AR3+0% || MAC *AR2, B ; 226
+ ST A, *AR3+0% || MAC *AR2-, A ; 227
+ ST A, *AR3+0% || MAC *AR2-, B ; 228
+ ST A, *AR3+0% || MAC *AR2+, A ; 229
+ ST A, *AR3+0% || MAC *AR2+, B ; 230
+ ST A, *AR3+0% || MAC *AR2+0%, A ; 231
+ ST A, *AR3+0% || MAC *AR2+0%, B ; 232
+ ST A, *AR3+0% || MAC *AR3, A ; 233
+ ST A, *AR3+0% || MAC *AR3, B ; 234
+ ST A, *AR3+0% || MAC *AR3-, A ; 235
+ ST A, *AR3+0% || MAC *AR3-, B ; 236
+ ST A, *AR3+0% || MAC *AR3+, A ; 237
+ ST A, *AR3+0% || MAC *AR3+, B ; 238
+ ST A, *AR3+0% || MAC *AR3+0%, A ; 239
+ ST A, *AR3+0% || MAC *AR3+0%, B ; 240
+ ST A, *AR3+0% || MAC *AR4, A ; 241
+ ST A, *AR3+0% || MAC *AR4, B ; 242
+ ST A, *AR3+0% || MAC *AR4-, A ; 243
+ ST A, *AR3+0% || MAC *AR4-, B ; 244
+ ST A, *AR3+0% || MAC *AR4+, A ; 245
+ ST A, *AR3+0% || MAC *AR4+, B ; 246
+ ST A, *AR3+0% || MAC *AR4+0%, A ; 247
+ ST A, *AR3+0% || MAC *AR4+0%, B ; 248
+ ST A, *AR3+0% || MAC *AR5, A ; 249
+ ST A, *AR3+0% || MAC *AR5, B ; 250
+ ST A, *AR3+0% || MAC *AR5-, A ; 251
+ ST A, *AR3+0% || MAC *AR5-, B ; 252
+ ST A, *AR3+0% || MAC *AR5+, A ; 253
+ ST A, *AR3+0% || MAC *AR5+, B ; 254
+ ST A, *AR3+0% || MAC *AR5+0%, A ; 255
+ ST A, *AR3+0% || MAC *AR5+0%, B ; 256
+ ST A, *AR4 || MAC *AR2, A ; 257
+ ST A, *AR4 || MAC *AR2, B ; 258
+ ST A, *AR4 || MAC *AR2-, A ; 259
+ ST A, *AR4 || MAC *AR2-, B ; 260
+ ST A, *AR4 || MAC *AR2+, A ; 261
+ ST A, *AR4 || MAC *AR2+, B ; 262
+ ST A, *AR4 || MAC *AR2+0%, A ; 263
+ ST A, *AR4 || MAC *AR2+0%, B ; 264
+ ST A, *AR4 || MAC *AR3, A ; 265
+ ST A, *AR4 || MAC *AR3, B ; 266
+ ST A, *AR4 || MAC *AR3-, A ; 267
+ ST A, *AR4 || MAC *AR3-, B ; 268
+ ST A, *AR4 || MAC *AR3+, A ; 269
+ ST A, *AR4 || MAC *AR3+, B ; 270
+ ST A, *AR4 || MAC *AR3+0%, A ; 271
+ ST A, *AR4 || MAC *AR3+0%, B ; 272
+ ST A, *AR4 || MAC *AR4, A ; 273
+ ST A, *AR4 || MAC *AR4, B ; 274
+ ST A, *AR4 || MAC *AR4-, A ; 275
+ ST A, *AR4 || MAC *AR4-, B ; 276
+ ST A, *AR4 || MAC *AR4+, A ; 277
+ ST A, *AR4 || MAC *AR4+, B ; 278
+ ST A, *AR4 || MAC *AR4+0%, A ; 279
+ ST A, *AR4 || MAC *AR4+0%, B ; 280
+ ST A, *AR4 || MAC *AR5, A ; 281
+ ST A, *AR4 || MAC *AR5, B ; 282
+ ST A, *AR4 || MAC *AR5-, A ; 283
+ ST A, *AR4 || MAC *AR5-, B ; 284
+ ST A, *AR4 || MAC *AR5+, A ; 285
+ ST A, *AR4 || MAC *AR5+, B ; 286
+ ST A, *AR4 || MAC *AR5+0%, A ; 287
+ ST A, *AR4 || MAC *AR5+0%, B ; 288
+ ST A, *AR4- || MAC *AR2, A ; 289
+ ST A, *AR4- || MAC *AR2, B ; 290
+ ST A, *AR4- || MAC *AR2-, A ; 291
+ ST A, *AR4- || MAC *AR2-, B ; 292
+ ST A, *AR4- || MAC *AR2+, A ; 293
+ ST A, *AR4- || MAC *AR2+, B ; 294
+ ST A, *AR4- || MAC *AR2+0%, A ; 295
+ ST A, *AR4- || MAC *AR2+0%, B ; 296
+ ST A, *AR4- || MAC *AR3, A ; 297
+ ST A, *AR4- || MAC *AR3, B ; 298
+ ST A, *AR4- || MAC *AR3-, A ; 299
+ ST A, *AR4- || MAC *AR3-, B ; 300
+ ST A, *AR4- || MAC *AR3+, A ; 301
+ ST A, *AR4- || MAC *AR3+, B ; 302
+ ST A, *AR4- || MAC *AR3+0%, A ; 303
+ ST A, *AR4- || MAC *AR3+0%, B ; 304
+ ST A, *AR4- || MAC *AR4, A ; 305
+ ST A, *AR4- || MAC *AR4, B ; 306
+ ST A, *AR4- || MAC *AR4-, A ; 307
+ ST A, *AR4- || MAC *AR4-, B ; 308
+ ST A, *AR4- || MAC *AR4+, A ; 309
+ ST A, *AR4- || MAC *AR4+, B ; 310
+ ST A, *AR4- || MAC *AR4+0%, A ; 311
+ ST A, *AR4- || MAC *AR4+0%, B ; 312
+ ST A, *AR4- || MAC *AR5, A ; 313
+ ST A, *AR4- || MAC *AR5, B ; 314
+ ST A, *AR4- || MAC *AR5-, A ; 315
+ ST A, *AR4- || MAC *AR5-, B ; 316
+ ST A, *AR4- || MAC *AR5+, A ; 317
+ ST A, *AR4- || MAC *AR5+, B ; 318
+ ST A, *AR4- || MAC *AR5+0%, A ; 319
+ ST A, *AR4- || MAC *AR5+0%, B ; 320
+ ST A, *AR4+ || MAC *AR2, A ; 321
+ ST A, *AR4+ || MAC *AR2, B ; 322
+ ST A, *AR4+ || MAC *AR2-, A ; 323
+ ST A, *AR4+ || MAC *AR2-, B ; 324
+ ST A, *AR4+ || MAC *AR2+, A ; 325
+ ST A, *AR4+ || MAC *AR2+, B ; 326
+ ST A, *AR4+ || MAC *AR2+0%, A ; 327
+ ST A, *AR4+ || MAC *AR2+0%, B ; 328
+ ST A, *AR4+ || MAC *AR3, A ; 329
+ ST A, *AR4+ || MAC *AR3, B ; 330
+ ST A, *AR4+ || MAC *AR3-, A ; 331
+ ST A, *AR4+ || MAC *AR3-, B ; 332
+ ST A, *AR4+ || MAC *AR3+, A ; 333
+ ST A, *AR4+ || MAC *AR3+, B ; 334
+ ST A, *AR4+ || MAC *AR3+0%, A ; 335
+ ST A, *AR4+ || MAC *AR3+0%, B ; 336
+ ST A, *AR4+ || MAC *AR4, A ; 337
+ ST A, *AR4+ || MAC *AR4, B ; 338
+ ST A, *AR4+ || MAC *AR4-, A ; 339
+ ST A, *AR4+ || MAC *AR4-, B ; 340
+ ST A, *AR4+ || MAC *AR4+, A ; 341
+ ST A, *AR4+ || MAC *AR4+, B ; 342
+ ST A, *AR4+ || MAC *AR4+0%, A ; 343
+ ST A, *AR4+ || MAC *AR4+0%, B ; 344
+ ST A, *AR4+ || MAC *AR5, A ; 345
+ ST A, *AR4+ || MAC *AR5, B ; 346
+ ST A, *AR4+ || MAC *AR5-, A ; 347
+ ST A, *AR4+ || MAC *AR5-, B ; 348
+ ST A, *AR4+ || MAC *AR5+, A ; 349
+ ST A, *AR4+ || MAC *AR5+, B ; 350
+ ST A, *AR4+ || MAC *AR5+0%, A ; 351
+ ST A, *AR4+ || MAC *AR5+0%, B ; 352
+ ST A, *AR4+0% || MAC *AR2, A ; 353
+ ST A, *AR4+0% || MAC *AR2, B ; 354
+ ST A, *AR4+0% || MAC *AR2-, A ; 355
+ ST A, *AR4+0% || MAC *AR2-, B ; 356
+ ST A, *AR4+0% || MAC *AR2+, A ; 357
+ ST A, *AR4+0% || MAC *AR2+, B ; 358
+ ST A, *AR4+0% || MAC *AR2+0%, A ; 359
+ ST A, *AR4+0% || MAC *AR2+0%, B ; 360
+ ST A, *AR4+0% || MAC *AR3, A ; 361
+ ST A, *AR4+0% || MAC *AR3, B ; 362
+ ST A, *AR4+0% || MAC *AR3-, A ; 363
+ ST A, *AR4+0% || MAC *AR3-, B ; 364
+ ST A, *AR4+0% || MAC *AR3+, A ; 365
+ ST A, *AR4+0% || MAC *AR3+, B ; 366
+ ST A, *AR4+0% || MAC *AR3+0%, A ; 367
+ ST A, *AR4+0% || MAC *AR3+0%, B ; 368
+ ST A, *AR4+0% || MAC *AR4, A ; 369
+ ST A, *AR4+0% || MAC *AR4, B ; 370
+ ST A, *AR4+0% || MAC *AR4-, A ; 371
+ ST A, *AR4+0% || MAC *AR4-, B ; 372
+ ST A, *AR4+0% || MAC *AR4+, A ; 373
+ ST A, *AR4+0% || MAC *AR4+, B ; 374
+ ST A, *AR4+0% || MAC *AR4+0%, A ; 375
+ ST A, *AR4+0% || MAC *AR4+0%, B ; 376
+ ST A, *AR4+0% || MAC *AR5, A ; 377
+ ST A, *AR4+0% || MAC *AR5, B ; 378
+ ST A, *AR4+0% || MAC *AR5-, A ; 379
+ ST A, *AR4+0% || MAC *AR5-, B ; 380
+ ST A, *AR4+0% || MAC *AR5+, A ; 381
+ ST A, *AR4+0% || MAC *AR5+, B ; 382
+ ST A, *AR4+0% || MAC *AR5+0%, A ; 383
+ ST A, *AR4+0% || MAC *AR5+0%, B ; 384
+ ST A, *AR5 || MAC *AR2, A ; 385
+ ST A, *AR5 || MAC *AR2, B ; 386
+ ST A, *AR5 || MAC *AR2-, A ; 387
+ ST A, *AR5 || MAC *AR2-, B ; 388
+ ST A, *AR5 || MAC *AR2+, A ; 389
+ ST A, *AR5 || MAC *AR2+, B ; 390
+ ST A, *AR5 || MAC *AR2+0%, A ; 391
+ ST A, *AR5 || MAC *AR2+0%, B ; 392
+ ST A, *AR5 || MAC *AR3, A ; 393
+ ST A, *AR5 || MAC *AR3, B ; 394
+ ST A, *AR5 || MAC *AR3-, A ; 395
+ ST A, *AR5 || MAC *AR3-, B ; 396
+ ST A, *AR5 || MAC *AR3+, A ; 397
+ ST A, *AR5 || MAC *AR3+, B ; 398
+ ST A, *AR5 || MAC *AR3+0%, A ; 399
+ ST A, *AR5 || MAC *AR3+0%, B ; 400
+ ST A, *AR5 || MAC *AR4, A ; 401
+ ST A, *AR5 || MAC *AR4, B ; 402
+ ST A, *AR5 || MAC *AR4-, A ; 403
+ ST A, *AR5 || MAC *AR4-, B ; 404
+ ST A, *AR5 || MAC *AR4+, A ; 405
+ ST A, *AR5 || MAC *AR4+, B ; 406
+ ST A, *AR5 || MAC *AR4+0%, A ; 407
+ ST A, *AR5 || MAC *AR4+0%, B ; 408
+ ST A, *AR5 || MAC *AR5, A ; 409
+ ST A, *AR5 || MAC *AR5, B ; 410
+ ST A, *AR5 || MAC *AR5-, A ; 411
+ ST A, *AR5 || MAC *AR5-, B ; 412
+ ST A, *AR5 || MAC *AR5+, A ; 413
+ ST A, *AR5 || MAC *AR5+, B ; 414
+ ST A, *AR5 || MAC *AR5+0%, A ; 415
+ ST A, *AR5 || MAC *AR5+0%, B ; 416
+ ST A, *AR5- || MAC *AR2, A ; 417
+ ST A, *AR5- || MAC *AR2, B ; 418
+ ST A, *AR5- || MAC *AR2-, A ; 419
+ ST A, *AR5- || MAC *AR2-, B ; 420
+ ST A, *AR5- || MAC *AR2+, A ; 421
+ ST A, *AR5- || MAC *AR2+, B ; 422
+ ST A, *AR5- || MAC *AR2+0%, A ; 423
+ ST A, *AR5- || MAC *AR2+0%, B ; 424
+ ST A, *AR5- || MAC *AR3, A ; 425
+ ST A, *AR5- || MAC *AR3, B ; 426
+ ST A, *AR5- || MAC *AR3-, A ; 427
+ ST A, *AR5- || MAC *AR3-, B ; 428
+ ST A, *AR5- || MAC *AR3+, A ; 429
+ ST A, *AR5- || MAC *AR3+, B ; 430
+ ST A, *AR5- || MAC *AR3+0%, A ; 431
+ ST A, *AR5- || MAC *AR3+0%, B ; 432
+ ST A, *AR5- || MAC *AR4, A ; 433
+ ST A, *AR5- || MAC *AR4, B ; 434
+ ST A, *AR5- || MAC *AR4-, A ; 435
+ ST A, *AR5- || MAC *AR4-, B ; 436
+ ST A, *AR5- || MAC *AR4+, A ; 437
+ ST A, *AR5- || MAC *AR4+, B ; 438
+ ST A, *AR5- || MAC *AR4+0%, A ; 439
+ ST A, *AR5- || MAC *AR4+0%, B ; 440
+ ST A, *AR5- || MAC *AR5, A ; 441
+ ST A, *AR5- || MAC *AR5, B ; 442
+ ST A, *AR5- || MAC *AR5-, A ; 443
+ ST A, *AR5- || MAC *AR5-, B ; 444
+ ST A, *AR5- || MAC *AR5+, A ; 445
+ ST A, *AR5- || MAC *AR5+, B ; 446
+ ST A, *AR5- || MAC *AR5+0%, A ; 447
+ ST A, *AR5- || MAC *AR5+0%, B ; 448
+ ST A, *AR5+ || MAC *AR2, A ; 449
+ ST A, *AR5+ || MAC *AR2, B ; 450
+ ST A, *AR5+ || MAC *AR2-, A ; 451
+ ST A, *AR5+ || MAC *AR2-, B ; 452
+ ST A, *AR5+ || MAC *AR2+, A ; 453
+ ST A, *AR5+ || MAC *AR2+, B ; 454
+ ST A, *AR5+ || MAC *AR2+0%, A ; 455
+ ST A, *AR5+ || MAC *AR2+0%, B ; 456
+ ST A, *AR5+ || MAC *AR3, A ; 457
+ ST A, *AR5+ || MAC *AR3, B ; 458
+ ST A, *AR5+ || MAC *AR3-, A ; 459
+ ST A, *AR5+ || MAC *AR3-, B ; 460
+ ST A, *AR5+ || MAC *AR3+, A ; 461
+ ST A, *AR5+ || MAC *AR3+, B ; 462
+ ST A, *AR5+ || MAC *AR3+0%, A ; 463
+ ST A, *AR5+ || MAC *AR3+0%, B ; 464
+ ST A, *AR5+ || MAC *AR4, A ; 465
+ ST A, *AR5+ || MAC *AR4, B ; 466
+ ST A, *AR5+ || MAC *AR4-, A ; 467
+ ST A, *AR5+ || MAC *AR4-, B ; 468
+ ST A, *AR5+ || MAC *AR4+, A ; 469
+ ST A, *AR5+ || MAC *AR4+, B ; 470
+ ST A, *AR5+ || MAC *AR4+0%, A ; 471
+ ST A, *AR5+ || MAC *AR4+0%, B ; 472
+ ST A, *AR5+ || MAC *AR5, A ; 473
+ ST A, *AR5+ || MAC *AR5, B ; 474
+ ST A, *AR5+ || MAC *AR5-, A ; 475
+ ST A, *AR5+ || MAC *AR5-, B ; 476
+ ST A, *AR5+ || MAC *AR5+, A ; 477
+ ST A, *AR5+ || MAC *AR5+, B ; 478
+ ST A, *AR5+ || MAC *AR5+0%, A ; 479
+ ST A, *AR5+ || MAC *AR5+0%, B ; 480
+ ST A, *AR5+0% || MAC *AR2, A ; 481
+ ST A, *AR5+0% || MAC *AR2, B ; 482
+ ST A, *AR5+0% || MAC *AR2-, A ; 483
+ ST A, *AR5+0% || MAC *AR2-, B ; 484
+ ST A, *AR5+0% || MAC *AR2+, A ; 485
+ ST A, *AR5+0% || MAC *AR2+, B ; 486
+ ST A, *AR5+0% || MAC *AR2+0%, A ; 487
+ ST A, *AR5+0% || MAC *AR2+0%, B ; 488
+ ST A, *AR5+0% || MAC *AR3, A ; 489
+ ST A, *AR5+0% || MAC *AR3, B ; 490
+ ST A, *AR5+0% || MAC *AR3-, A ; 491
+ ST A, *AR5+0% || MAC *AR3-, B ; 492
+ ST A, *AR5+0% || MAC *AR3+, A ; 493
+ ST A, *AR5+0% || MAC *AR3+, B ; 494
+ ST A, *AR5+0% || MAC *AR3+0%, A ; 495
+ ST A, *AR5+0% || MAC *AR3+0%, B ; 496
+ ST A, *AR5+0% || MAC *AR4, A ; 497
+ ST A, *AR5+0% || MAC *AR4, B ; 498
+ ST A, *AR5+0% || MAC *AR4-, A ; 499
+ ST A, *AR5+0% || MAC *AR4-, B ; 500
+ ST A, *AR5+0% || MAC *AR4+, A ; 501
+ ST A, *AR5+0% || MAC *AR4+, B ; 502
+ ST A, *AR5+0% || MAC *AR4+0%, A ; 503
+ ST A, *AR5+0% || MAC *AR4+0%, B ; 504
+ ST A, *AR5+0% || MAC *AR5, A ; 505
+ ST A, *AR5+0% || MAC *AR5, B ; 506
+ ST A, *AR5+0% || MAC *AR5-, A ; 507
+ ST A, *AR5+0% || MAC *AR5-, B ; 508
+ ST A, *AR5+0% || MAC *AR5+, A ; 509
+ ST A, *AR5+0% || MAC *AR5+, B ; 510
+ ST A, *AR5+0% || MAC *AR5+0%, A ; 511
+ ST A, *AR5+0% || MAC *AR5+0%, B ; 512
+ ST B, *AR2 || MAC *AR2, A ; 513
+ ST B, *AR2 || MAC *AR2, B ; 514
+ ST B, *AR2 || MAC *AR2-, A ; 515
+ ST B, *AR2 || MAC *AR2-, B ; 516
+ ST B, *AR2 || MAC *AR2+, A ; 517
+ ST B, *AR2 || MAC *AR2+, B ; 518
+ ST B, *AR2 || MAC *AR2+0%, A ; 519
+ ST B, *AR2 || MAC *AR2+0%, B ; 520
+ ST B, *AR2 || MAC *AR3, A ; 521
+ ST B, *AR2 || MAC *AR3, B ; 522
+ ST B, *AR2 || MAC *AR3-, A ; 523
+ ST B, *AR2 || MAC *AR3-, B ; 524
+ ST B, *AR2 || MAC *AR3+, A ; 525
+ ST B, *AR2 || MAC *AR3+, B ; 526
+ ST B, *AR2 || MAC *AR3+0%, A ; 527
+ ST B, *AR2 || MAC *AR3+0%, B ; 528
+ ST B, *AR2 || MAC *AR4, A ; 529
+ ST B, *AR2 || MAC *AR4, B ; 530
+ ST B, *AR2 || MAC *AR4-, A ; 531
+ ST B, *AR2 || MAC *AR4-, B ; 532
+ ST B, *AR2 || MAC *AR4+, A ; 533
+ ST B, *AR2 || MAC *AR4+, B ; 534
+ ST B, *AR2 || MAC *AR4+0%, A ; 535
+ ST B, *AR2 || MAC *AR4+0%, B ; 536
+ ST B, *AR2 || MAC *AR5, A ; 537
+ ST B, *AR2 || MAC *AR5, B ; 538
+ ST B, *AR2 || MAC *AR5-, A ; 539
+ ST B, *AR2 || MAC *AR5-, B ; 540
+ ST B, *AR2 || MAC *AR5+, A ; 541
+ ST B, *AR2 || MAC *AR5+, B ; 542
+ ST B, *AR2 || MAC *AR5+0%, A ; 543
+ ST B, *AR2 || MAC *AR5+0%, B ; 544
+ ST B, *AR2- || MAC *AR2, A ; 545
+ ST B, *AR2- || MAC *AR2, B ; 546
+ ST B, *AR2- || MAC *AR2-, A ; 547
+ ST B, *AR2- || MAC *AR2-, B ; 548
+ ST B, *AR2- || MAC *AR2+, A ; 549
+ ST B, *AR2- || MAC *AR2+, B ; 550
+ ST B, *AR2- || MAC *AR2+0%, A ; 551
+ ST B, *AR2- || MAC *AR2+0%, B ; 552
+ ST B, *AR2- || MAC *AR3, A ; 553
+ ST B, *AR2- || MAC *AR3, B ; 554
+ ST B, *AR2- || MAC *AR3-, A ; 555
+ ST B, *AR2- || MAC *AR3-, B ; 556
+ ST B, *AR2- || MAC *AR3+, A ; 557
+ ST B, *AR2- || MAC *AR3+, B ; 558
+ ST B, *AR2- || MAC *AR3+0%, A ; 559
+ ST B, *AR2- || MAC *AR3+0%, B ; 560
+ ST B, *AR2- || MAC *AR4, A ; 561
+ ST B, *AR2- || MAC *AR4, B ; 562
+ ST B, *AR2- || MAC *AR4-, A ; 563
+ ST B, *AR2- || MAC *AR4-, B ; 564
+ ST B, *AR2- || MAC *AR4+, A ; 565
+ ST B, *AR2- || MAC *AR4+, B ; 566
+ ST B, *AR2- || MAC *AR4+0%, A ; 567
+ ST B, *AR2- || MAC *AR4+0%, B ; 568
+ ST B, *AR2- || MAC *AR5, A ; 569
+ ST B, *AR2- || MAC *AR5, B ; 570
+ ST B, *AR2- || MAC *AR5-, A ; 571
+ ST B, *AR2- || MAC *AR5-, B ; 572
+ ST B, *AR2- || MAC *AR5+, A ; 573
+ ST B, *AR2- || MAC *AR5+, B ; 574
+ ST B, *AR2- || MAC *AR5+0%, A ; 575
+ ST B, *AR2- || MAC *AR5+0%, B ; 576
+ ST B, *AR2+ || MAC *AR2, A ; 577
+ ST B, *AR2+ || MAC *AR2, B ; 578
+ ST B, *AR2+ || MAC *AR2-, A ; 579
+ ST B, *AR2+ || MAC *AR2-, B ; 580
+ ST B, *AR2+ || MAC *AR2+, A ; 581
+ ST B, *AR2+ || MAC *AR2+, B ; 582
+ ST B, *AR2+ || MAC *AR2+0%, A ; 583
+ ST B, *AR2+ || MAC *AR2+0%, B ; 584
+ ST B, *AR2+ || MAC *AR3, A ; 585
+ ST B, *AR2+ || MAC *AR3, B ; 586
+ ST B, *AR2+ || MAC *AR3-, A ; 587
+ ST B, *AR2+ || MAC *AR3-, B ; 588
+ ST B, *AR2+ || MAC *AR3+, A ; 589
+ ST B, *AR2+ || MAC *AR3+, B ; 590
+ ST B, *AR2+ || MAC *AR3+0%, A ; 591
+ ST B, *AR2+ || MAC *AR3+0%, B ; 592
+ ST B, *AR2+ || MAC *AR4, A ; 593
+ ST B, *AR2+ || MAC *AR4, B ; 594
+ ST B, *AR2+ || MAC *AR4-, A ; 595
+ ST B, *AR2+ || MAC *AR4-, B ; 596
+ ST B, *AR2+ || MAC *AR4+, A ; 597
+ ST B, *AR2+ || MAC *AR4+, B ; 598
+ ST B, *AR2+ || MAC *AR4+0%, A ; 599
+ ST B, *AR2+ || MAC *AR4+0%, B ; 600
+ ST B, *AR2+ || MAC *AR5, A ; 601
+ ST B, *AR2+ || MAC *AR5, B ; 602
+ ST B, *AR2+ || MAC *AR5-, A ; 603
+ ST B, *AR2+ || MAC *AR5-, B ; 604
+ ST B, *AR2+ || MAC *AR5+, A ; 605
+ ST B, *AR2+ || MAC *AR5+, B ; 606
+ ST B, *AR2+ || MAC *AR5+0%, A ; 607
+ ST B, *AR2+ || MAC *AR5+0%, B ; 608
+ ST B, *AR2+0% || MAC *AR2, A ; 609
+ ST B, *AR2+0% || MAC *AR2, B ; 610
+ ST B, *AR2+0% || MAC *AR2-, A ; 611
+ ST B, *AR2+0% || MAC *AR2-, B ; 612
+ ST B, *AR2+0% || MAC *AR2+, A ; 613
+ ST B, *AR2+0% || MAC *AR2+, B ; 614
+ ST B, *AR2+0% || MAC *AR2+0%, A ; 615
+ ST B, *AR2+0% || MAC *AR2+0%, B ; 616
+ ST B, *AR2+0% || MAC *AR3, A ; 617
+ ST B, *AR2+0% || MAC *AR3, B ; 618
+ ST B, *AR2+0% || MAC *AR3-, A ; 619
+ ST B, *AR2+0% || MAC *AR3-, B ; 620
+ ST B, *AR2+0% || MAC *AR3+, A ; 621
+ ST B, *AR2+0% || MAC *AR3+, B ; 622
+ ST B, *AR2+0% || MAC *AR3+0%, A ; 623
+ ST B, *AR2+0% || MAC *AR3+0%, B ; 624
+ ST B, *AR2+0% || MAC *AR4, A ; 625
+ ST B, *AR2+0% || MAC *AR4, B ; 626
+ ST B, *AR2+0% || MAC *AR4-, A ; 627
+ ST B, *AR2+0% || MAC *AR4-, B ; 628
+ ST B, *AR2+0% || MAC *AR4+, A ; 629
+ ST B, *AR2+0% || MAC *AR4+, B ; 630
+ ST B, *AR2+0% || MAC *AR4+0%, A ; 631
+ ST B, *AR2+0% || MAC *AR4+0%, B ; 632
+ ST B, *AR2+0% || MAC *AR5, A ; 633
+ ST B, *AR2+0% || MAC *AR5, B ; 634
+ ST B, *AR2+0% || MAC *AR5-, A ; 635
+ ST B, *AR2+0% || MAC *AR5-, B ; 636
+ ST B, *AR2+0% || MAC *AR5+, A ; 637
+ ST B, *AR2+0% || MAC *AR5+, B ; 638
+ ST B, *AR2+0% || MAC *AR5+0%, A ; 639
+ ST B, *AR2+0% || MAC *AR5+0%, B ; 640
+ ST B, *AR3 || MAC *AR2, A ; 641
+ ST B, *AR3 || MAC *AR2, B ; 642
+ ST B, *AR3 || MAC *AR2-, A ; 643
+ ST B, *AR3 || MAC *AR2-, B ; 644
+ ST B, *AR3 || MAC *AR2+, A ; 645
+ ST B, *AR3 || MAC *AR2+, B ; 646
+ ST B, *AR3 || MAC *AR2+0%, A ; 647
+ ST B, *AR3 || MAC *AR2+0%, B ; 648
+ ST B, *AR3 || MAC *AR3, A ; 649
+ ST B, *AR3 || MAC *AR3, B ; 650
+ ST B, *AR3 || MAC *AR3-, A ; 651
+ ST B, *AR3 || MAC *AR3-, B ; 652
+ ST B, *AR3 || MAC *AR3+, A ; 653
+ ST B, *AR3 || MAC *AR3+, B ; 654
+ ST B, *AR3 || MAC *AR3+0%, A ; 655
+ ST B, *AR3 || MAC *AR3+0%, B ; 656
+ ST B, *AR3 || MAC *AR4, A ; 657
+ ST B, *AR3 || MAC *AR4, B ; 658
+ ST B, *AR3 || MAC *AR4-, A ; 659
+ ST B, *AR3 || MAC *AR4-, B ; 660
+ ST B, *AR3 || MAC *AR4+, A ; 661
+ ST B, *AR3 || MAC *AR4+, B ; 662
+ ST B, *AR3 || MAC *AR4+0%, A ; 663
+ ST B, *AR3 || MAC *AR4+0%, B ; 664
+ ST B, *AR3 || MAC *AR5, A ; 665
+ ST B, *AR3 || MAC *AR5, B ; 666
+ ST B, *AR3 || MAC *AR5-, A ; 667
+ ST B, *AR3 || MAC *AR5-, B ; 668
+ ST B, *AR3 || MAC *AR5+, A ; 669
+ ST B, *AR3 || MAC *AR5+, B ; 670
+ ST B, *AR3 || MAC *AR5+0%, A ; 671
+ ST B, *AR3 || MAC *AR5+0%, B ; 672
+ ST B, *AR3- || MAC *AR2, A ; 673
+ ST B, *AR3- || MAC *AR2, B ; 674
+ ST B, *AR3- || MAC *AR2-, A ; 675
+ ST B, *AR3- || MAC *AR2-, B ; 676
+ ST B, *AR3- || MAC *AR2+, A ; 677
+ ST B, *AR3- || MAC *AR2+, B ; 678
+ ST B, *AR3- || MAC *AR2+0%, A ; 679
+ ST B, *AR3- || MAC *AR2+0%, B ; 680
+ ST B, *AR3- || MAC *AR3, A ; 681
+ ST B, *AR3- || MAC *AR3, B ; 682
+ ST B, *AR3- || MAC *AR3-, A ; 683
+ ST B, *AR3- || MAC *AR3-, B ; 684
+ ST B, *AR3- || MAC *AR3+, A ; 685
+ ST B, *AR3- || MAC *AR3+, B ; 686
+ ST B, *AR3- || MAC *AR3+0%, A ; 687
+ ST B, *AR3- || MAC *AR3+0%, B ; 688
+ ST B, *AR3- || MAC *AR4, A ; 689
+ ST B, *AR3- || MAC *AR4, B ; 690
+ ST B, *AR3- || MAC *AR4-, A ; 691
+ ST B, *AR3- || MAC *AR4-, B ; 692
+ ST B, *AR3- || MAC *AR4+, A ; 693
+ ST B, *AR3- || MAC *AR4+, B ; 694
+ ST B, *AR3- || MAC *AR4+0%, A ; 695
+ ST B, *AR3- || MAC *AR4+0%, B ; 696
+ ST B, *AR3- || MAC *AR5, A ; 697
+ ST B, *AR3- || MAC *AR5, B ; 698
+ ST B, *AR3- || MAC *AR5-, A ; 699
+ ST B, *AR3- || MAC *AR5-, B ; 700
+ ST B, *AR3- || MAC *AR5+, A ; 701
+ ST B, *AR3- || MAC *AR5+, B ; 702
+ ST B, *AR3- || MAC *AR5+0%, A ; 703
+ ST B, *AR3- || MAC *AR5+0%, B ; 704
+ ST B, *AR3+ || MAC *AR2, A ; 705
+ ST B, *AR3+ || MAC *AR2, B ; 706
+ ST B, *AR3+ || MAC *AR2-, A ; 707
+ ST B, *AR3+ || MAC *AR2-, B ; 708
+ ST B, *AR3+ || MAC *AR2+, A ; 709
+ ST B, *AR3+ || MAC *AR2+, B ; 710
+ ST B, *AR3+ || MAC *AR2+0%, A ; 711
+ ST B, *AR3+ || MAC *AR2+0%, B ; 712
+ ST B, *AR3+ || MAC *AR3, A ; 713
+ ST B, *AR3+ || MAC *AR3, B ; 714
+ ST B, *AR3+ || MAC *AR3-, A ; 715
+ ST B, *AR3+ || MAC *AR3-, B ; 716
+ ST B, *AR3+ || MAC *AR3+, A ; 717
+ ST B, *AR3+ || MAC *AR3+, B ; 718
+ ST B, *AR3+ || MAC *AR3+0%, A ; 719
+ ST B, *AR3+ || MAC *AR3+0%, B ; 720
+ ST B, *AR3+ || MAC *AR4, A ; 721
+ ST B, *AR3+ || MAC *AR4, B ; 722
+ ST B, *AR3+ || MAC *AR4-, A ; 723
+ ST B, *AR3+ || MAC *AR4-, B ; 724
+ ST B, *AR3+ || MAC *AR4+, A ; 725
+ ST B, *AR3+ || MAC *AR4+, B ; 726
+ ST B, *AR3+ || MAC *AR4+0%, A ; 727
+ ST B, *AR3+ || MAC *AR4+0%, B ; 728
+ ST B, *AR3+ || MAC *AR5, A ; 729
+ ST B, *AR3+ || MAC *AR5, B ; 730
+ ST B, *AR3+ || MAC *AR5-, A ; 731
+ ST B, *AR3+ || MAC *AR5-, B ; 732
+ ST B, *AR3+ || MAC *AR5+, A ; 733
+ ST B, *AR3+ || MAC *AR5+, B ; 734
+ ST B, *AR3+ || MAC *AR5+0%, A ; 735
+ ST B, *AR3+ || MAC *AR5+0%, B ; 736
+ ST B, *AR3+0% || MAC *AR2, A ; 737
+ ST B, *AR3+0% || MAC *AR2, B ; 738
+ ST B, *AR3+0% || MAC *AR2-, A ; 739
+ ST B, *AR3+0% || MAC *AR2-, B ; 740
+ ST B, *AR3+0% || MAC *AR2+, A ; 741
+ ST B, *AR3+0% || MAC *AR2+, B ; 742
+ ST B, *AR3+0% || MAC *AR2+0%, A ; 743
+ ST B, *AR3+0% || MAC *AR2+0%, B ; 744
+ ST B, *AR3+0% || MAC *AR3, A ; 745
+ ST B, *AR3+0% || MAC *AR3, B ; 746
+ ST B, *AR3+0% || MAC *AR3-, A ; 747
+ ST B, *AR3+0% || MAC *AR3-, B ; 748
+ ST B, *AR3+0% || MAC *AR3+, A ; 749
+ ST B, *AR3+0% || MAC *AR3+, B ; 750
+ ST B, *AR3+0% || MAC *AR3+0%, A ; 751
+ ST B, *AR3+0% || MAC *AR3+0%, B ; 752
+ ST B, *AR3+0% || MAC *AR4, A ; 753
+ ST B, *AR3+0% || MAC *AR4, B ; 754
+ ST B, *AR3+0% || MAC *AR4-, A ; 755
+ ST B, *AR3+0% || MAC *AR4-, B ; 756
+ ST B, *AR3+0% || MAC *AR4+, A ; 757
+ ST B, *AR3+0% || MAC *AR4+, B ; 758
+ ST B, *AR3+0% || MAC *AR4+0%, A ; 759
+ ST B, *AR3+0% || MAC *AR4+0%, B ; 760
+ ST B, *AR3+0% || MAC *AR5, A ; 761
+ ST B, *AR3+0% || MAC *AR5, B ; 762
+ ST B, *AR3+0% || MAC *AR5-, A ; 763
+ ST B, *AR3+0% || MAC *AR5-, B ; 764
+ ST B, *AR3+0% || MAC *AR5+, A ; 765
+ ST B, *AR3+0% || MAC *AR5+, B ; 766
+ ST B, *AR3+0% || MAC *AR5+0%, A ; 767
+ ST B, *AR3+0% || MAC *AR5+0%, B ; 768
+ ST B, *AR4 || MAC *AR2, A ; 769
+ ST B, *AR4 || MAC *AR2, B ; 770
+ ST B, *AR4 || MAC *AR2-, A ; 771
+ ST B, *AR4 || MAC *AR2-, B ; 772
+ ST B, *AR4 || MAC *AR2+, A ; 773
+ ST B, *AR4 || MAC *AR2+, B ; 774
+ ST B, *AR4 || MAC *AR2+0%, A ; 775
+ ST B, *AR4 || MAC *AR2+0%, B ; 776
+ ST B, *AR4 || MAC *AR3, A ; 777
+ ST B, *AR4 || MAC *AR3, B ; 778
+ ST B, *AR4 || MAC *AR3-, A ; 779
+ ST B, *AR4 || MAC *AR3-, B ; 780
+ ST B, *AR4 || MAC *AR3+, A ; 781
+ ST B, *AR4 || MAC *AR3+, B ; 782
+ ST B, *AR4 || MAC *AR3+0%, A ; 783
+ ST B, *AR4 || MAC *AR3+0%, B ; 784
+ ST B, *AR4 || MAC *AR4, A ; 785
+ ST B, *AR4 || MAC *AR4, B ; 786
+ ST B, *AR4 || MAC *AR4-, A ; 787
+ ST B, *AR4 || MAC *AR4-, B ; 788
+ ST B, *AR4 || MAC *AR4+, A ; 789
+ ST B, *AR4 || MAC *AR4+, B ; 790
+ ST B, *AR4 || MAC *AR4+0%, A ; 791
+ ST B, *AR4 || MAC *AR4+0%, B ; 792
+ ST B, *AR4 || MAC *AR5, A ; 793
+ ST B, *AR4 || MAC *AR5, B ; 794
+ ST B, *AR4 || MAC *AR5-, A ; 795
+ ST B, *AR4 || MAC *AR5-, B ; 796
+ ST B, *AR4 || MAC *AR5+, A ; 797
+ ST B, *AR4 || MAC *AR5+, B ; 798
+ ST B, *AR4 || MAC *AR5+0%, A ; 799
+ ST B, *AR4 || MAC *AR5+0%, B ; 800
+ ST B, *AR4- || MAC *AR2, A ; 801
+ ST B, *AR4- || MAC *AR2, B ; 802
+ ST B, *AR4- || MAC *AR2-, A ; 803
+ ST B, *AR4- || MAC *AR2-, B ; 804
+ ST B, *AR4- || MAC *AR2+, A ; 805
+ ST B, *AR4- || MAC *AR2+, B ; 806
+ ST B, *AR4- || MAC *AR2+0%, A ; 807
+ ST B, *AR4- || MAC *AR2+0%, B ; 808
+ ST B, *AR4- || MAC *AR3, A ; 809
+ ST B, *AR4- || MAC *AR3, B ; 810
+ ST B, *AR4- || MAC *AR3-, A ; 811
+ ST B, *AR4- || MAC *AR3-, B ; 812
+ ST B, *AR4- || MAC *AR3+, A ; 813
+ ST B, *AR4- || MAC *AR3+, B ; 814
+ ST B, *AR4- || MAC *AR3+0%, A ; 815
+ ST B, *AR4- || MAC *AR3+0%, B ; 816
+ ST B, *AR4- || MAC *AR4, A ; 817
+ ST B, *AR4- || MAC *AR4, B ; 818
+ ST B, *AR4- || MAC *AR4-, A ; 819
+ ST B, *AR4- || MAC *AR4-, B ; 820
+ ST B, *AR4- || MAC *AR4+, A ; 821
+ ST B, *AR4- || MAC *AR4+, B ; 822
+ ST B, *AR4- || MAC *AR4+0%, A ; 823
+ ST B, *AR4- || MAC *AR4+0%, B ; 824
+ ST B, *AR4- || MAC *AR5, A ; 825
+ ST B, *AR4- || MAC *AR5, B ; 826
+ ST B, *AR4- || MAC *AR5-, A ; 827
+ ST B, *AR4- || MAC *AR5-, B ; 828
+ ST B, *AR4- || MAC *AR5+, A ; 829
+ ST B, *AR4- || MAC *AR5+, B ; 830
+ ST B, *AR4- || MAC *AR5+0%, A ; 831
+ ST B, *AR4- || MAC *AR5+0%, B ; 832
+ ST B, *AR4+ || MAC *AR2, A ; 833
+ ST B, *AR4+ || MAC *AR2, B ; 834
+ ST B, *AR4+ || MAC *AR2-, A ; 835
+ ST B, *AR4+ || MAC *AR2-, B ; 836
+ ST B, *AR4+ || MAC *AR2+, A ; 837
+ ST B, *AR4+ || MAC *AR2+, B ; 838
+ ST B, *AR4+ || MAC *AR2+0%, A ; 839
+ ST B, *AR4+ || MAC *AR2+0%, B ; 840
+ ST B, *AR4+ || MAC *AR3, A ; 841
+ ST B, *AR4+ || MAC *AR3, B ; 842
+ ST B, *AR4+ || MAC *AR3-, A ; 843
+ ST B, *AR4+ || MAC *AR3-, B ; 844
+ ST B, *AR4+ || MAC *AR3+, A ; 845
+ ST B, *AR4+ || MAC *AR3+, B ; 846
+ ST B, *AR4+ || MAC *AR3+0%, A ; 847
+ ST B, *AR4+ || MAC *AR3+0%, B ; 848
+ ST B, *AR4+ || MAC *AR4, A ; 849
+ ST B, *AR4+ || MAC *AR4, B ; 850
+ ST B, *AR4+ || MAC *AR4-, A ; 851
+ ST B, *AR4+ || MAC *AR4-, B ; 852
+ ST B, *AR4+ || MAC *AR4+, A ; 853
+ ST B, *AR4+ || MAC *AR4+, B ; 854
+ ST B, *AR4+ || MAC *AR4+0%, A ; 855
+ ST B, *AR4+ || MAC *AR4+0%, B ; 856
+ ST B, *AR4+ || MAC *AR5, A ; 857
+ ST B, *AR4+ || MAC *AR5, B ; 858
+ ST B, *AR4+ || MAC *AR5-, A ; 859
+ ST B, *AR4+ || MAC *AR5-, B ; 860
+ ST B, *AR4+ || MAC *AR5+, A ; 861
+ ST B, *AR4+ || MAC *AR5+, B ; 862
+ ST B, *AR4+ || MAC *AR5+0%, A ; 863
+ ST B, *AR4+ || MAC *AR5+0%, B ; 864
+ ST B, *AR4+0% || MAC *AR2, A ; 865
+ ST B, *AR4+0% || MAC *AR2, B ; 866
+ ST B, *AR4+0% || MAC *AR2-, A ; 867
+ ST B, *AR4+0% || MAC *AR2-, B ; 868
+ ST B, *AR4+0% || MAC *AR2+, A ; 869
+ ST B, *AR4+0% || MAC *AR2+, B ; 870
+ ST B, *AR4+0% || MAC *AR2+0%, A ; 871
+ ST B, *AR4+0% || MAC *AR2+0%, B ; 872
+ ST B, *AR4+0% || MAC *AR3, A ; 873
+ ST B, *AR4+0% || MAC *AR3, B ; 874
+ ST B, *AR4+0% || MAC *AR3-, A ; 875
+ ST B, *AR4+0% || MAC *AR3-, B ; 876
+ ST B, *AR4+0% || MAC *AR3+, A ; 877
+ ST B, *AR4+0% || MAC *AR3+, B ; 878
+ ST B, *AR4+0% || MAC *AR3+0%, A ; 879
+ ST B, *AR4+0% || MAC *AR3+0%, B ; 880
+ ST B, *AR4+0% || MAC *AR4, A ; 881
+ ST B, *AR4+0% || MAC *AR4, B ; 882
+ ST B, *AR4+0% || MAC *AR4-, A ; 883
+ ST B, *AR4+0% || MAC *AR4-, B ; 884
+ ST B, *AR4+0% || MAC *AR4+, A ; 885
+ ST B, *AR4+0% || MAC *AR4+, B ; 886
+ ST B, *AR4+0% || MAC *AR4+0%, A ; 887
+ ST B, *AR4+0% || MAC *AR4+0%, B ; 888
+ ST B, *AR4+0% || MAC *AR5, A ; 889
+ ST B, *AR4+0% || MAC *AR5, B ; 890
+ ST B, *AR4+0% || MAC *AR5-, A ; 891
+ ST B, *AR4+0% || MAC *AR5-, B ; 892
+ ST B, *AR4+0% || MAC *AR5+, A ; 893
+ ST B, *AR4+0% || MAC *AR5+, B ; 894
+ ST B, *AR4+0% || MAC *AR5+0%, A ; 895
+ ST B, *AR4+0% || MAC *AR5+0%, B ; 896
+ ST B, *AR5 || MAC *AR2, A ; 897
+ ST B, *AR5 || MAC *AR2, B ; 898
+ ST B, *AR5 || MAC *AR2-, A ; 899
+ ST B, *AR5 || MAC *AR2-, B ; 900
+ ST B, *AR5 || MAC *AR2+, A ; 901
+ ST B, *AR5 || MAC *AR2+, B ; 902
+ ST B, *AR5 || MAC *AR2+0%, A ; 903
+ ST B, *AR5 || MAC *AR2+0%, B ; 904
+ ST B, *AR5 || MAC *AR3, A ; 905
+ ST B, *AR5 || MAC *AR3, B ; 906
+ ST B, *AR5 || MAC *AR3-, A ; 907
+ ST B, *AR5 || MAC *AR3-, B ; 908
+ ST B, *AR5 || MAC *AR3+, A ; 909
+ ST B, *AR5 || MAC *AR3+, B ; 910
+ ST B, *AR5 || MAC *AR3+0%, A ; 911
+ ST B, *AR5 || MAC *AR3+0%, B ; 912
+ ST B, *AR5 || MAC *AR4, A ; 913
+ ST B, *AR5 || MAC *AR4, B ; 914
+ ST B, *AR5 || MAC *AR4-, A ; 915
+ ST B, *AR5 || MAC *AR4-, B ; 916
+ ST B, *AR5 || MAC *AR4+, A ; 917
+ ST B, *AR5 || MAC *AR4+, B ; 918
+ ST B, *AR5 || MAC *AR4+0%, A ; 919
+ ST B, *AR5 || MAC *AR4+0%, B ; 920
+ ST B, *AR5 || MAC *AR5, A ; 921
+ ST B, *AR5 || MAC *AR5, B ; 922
+ ST B, *AR5 || MAC *AR5-, A ; 923
+ ST B, *AR5 || MAC *AR5-, B ; 924
+ ST B, *AR5 || MAC *AR5+, A ; 925
+ ST B, *AR5 || MAC *AR5+, B ; 926
+ ST B, *AR5 || MAC *AR5+0%, A ; 927
+ ST B, *AR5 || MAC *AR5+0%, B ; 928
+ ST B, *AR5- || MAC *AR2, A ; 929
+ ST B, *AR5- || MAC *AR2, B ; 930
+ ST B, *AR5- || MAC *AR2-, A ; 931
+ ST B, *AR5- || MAC *AR2-, B ; 932
+ ST B, *AR5- || MAC *AR2+, A ; 933
+ ST B, *AR5- || MAC *AR2+, B ; 934
+ ST B, *AR5- || MAC *AR2+0%, A ; 935
+ ST B, *AR5- || MAC *AR2+0%, B ; 936
+ ST B, *AR5- || MAC *AR3, A ; 937
+ ST B, *AR5- || MAC *AR3, B ; 938
+ ST B, *AR5- || MAC *AR3-, A ; 939
+ ST B, *AR5- || MAC *AR3-, B ; 940
+ ST B, *AR5- || MAC *AR3+, A ; 941
+ ST B, *AR5- || MAC *AR3+, B ; 942
+ ST B, *AR5- || MAC *AR3+0%, A ; 943
+ ST B, *AR5- || MAC *AR3+0%, B ; 944
+ ST B, *AR5- || MAC *AR4, A ; 945
+ ST B, *AR5- || MAC *AR4, B ; 946
+ ST B, *AR5- || MAC *AR4-, A ; 947
+ ST B, *AR5- || MAC *AR4-, B ; 948
+ ST B, *AR5- || MAC *AR4+, A ; 949
+ ST B, *AR5- || MAC *AR4+, B ; 950
+ ST B, *AR5- || MAC *AR4+0%, A ; 951
+ ST B, *AR5- || MAC *AR4+0%, B ; 952
+ ST B, *AR5- || MAC *AR5, A ; 953
+ ST B, *AR5- || MAC *AR5, B ; 954
+ ST B, *AR5- || MAC *AR5-, A ; 955
+ ST B, *AR5- || MAC *AR5-, B ; 956
+ ST B, *AR5- || MAC *AR5+, A ; 957
+ ST B, *AR5- || MAC *AR5+, B ; 958
+ ST B, *AR5- || MAC *AR5+0%, A ; 959
+ ST B, *AR5- || MAC *AR5+0%, B ; 960
+ ST B, *AR5+ || MAC *AR2, A ; 961
+ ST B, *AR5+ || MAC *AR2, B ; 962
+ ST B, *AR5+ || MAC *AR2-, A ; 963
+ ST B, *AR5+ || MAC *AR2-, B ; 964
+ ST B, *AR5+ || MAC *AR2+, A ; 965
+ ST B, *AR5+ || MAC *AR2+, B ; 966
+ ST B, *AR5+ || MAC *AR2+0%, A ; 967
+ ST B, *AR5+ || MAC *AR2+0%, B ; 968
+ ST B, *AR5+ || MAC *AR3, A ; 969
+ ST B, *AR5+ || MAC *AR3, B ; 970
+ ST B, *AR5+ || MAC *AR3-, A ; 971
+ ST B, *AR5+ || MAC *AR3-, B ; 972
+ ST B, *AR5+ || MAC *AR3+, A ; 973
+ ST B, *AR5+ || MAC *AR3+, B ; 974
+ ST B, *AR5+ || MAC *AR3+0%, A ; 975
+ ST B, *AR5+ || MAC *AR3+0%, B ; 976
+ ST B, *AR5+ || MAC *AR4, A ; 977
+ ST B, *AR5+ || MAC *AR4, B ; 978
+ ST B, *AR5+ || MAC *AR4-, A ; 979
+ ST B, *AR5+ || MAC *AR4-, B ; 980
+ ST B, *AR5+ || MAC *AR4+, A ; 981
+ ST B, *AR5+ || MAC *AR4+, B ; 982
+ ST B, *AR5+ || MAC *AR4+0%, A ; 983
+ ST B, *AR5+ || MAC *AR4+0%, B ; 984
+ ST B, *AR5+ || MAC *AR5, A ; 985
+ ST B, *AR5+ || MAC *AR5, B ; 986
+ ST B, *AR5+ || MAC *AR5-, A ; 987
+ ST B, *AR5+ || MAC *AR5-, B ; 988
+ ST B, *AR5+ || MAC *AR5+, A ; 989
+ ST B, *AR5+ || MAC *AR5+, B ; 990
+ ST B, *AR5+ || MAC *AR5+0%, A ; 991
+ ST B, *AR5+ || MAC *AR5+0%, B ; 992
+ ST B, *AR5+0% || MAC *AR2, A ; 993
+ ST B, *AR5+0% || MAC *AR2, B ; 994
+ ST B, *AR5+0% || MAC *AR2-, A ; 995
+ ST B, *AR5+0% || MAC *AR2-, B ; 996
+ ST B, *AR5+0% || MAC *AR2+, A ; 997
+ ST B, *AR5+0% || MAC *AR2+, B ; 998
+ ST B, *AR5+0% || MAC *AR2+0%, A ; 999
+ ST B, *AR5+0% || MAC *AR2+0%, B ; 1000
+ ST B, *AR5+0% || MAC *AR3, A ; 1001
+ ST B, *AR5+0% || MAC *AR3, B ; 1002
+ ST B, *AR5+0% || MAC *AR3-, A ; 1003
+ ST B, *AR5+0% || MAC *AR3-, B ; 1004
+ ST B, *AR5+0% || MAC *AR3+, A ; 1005
+ ST B, *AR5+0% || MAC *AR3+, B ; 1006
+ ST B, *AR5+0% || MAC *AR3+0%, A ; 1007
+ ST B, *AR5+0% || MAC *AR3+0%, B ; 1008
+ ST B, *AR5+0% || MAC *AR4, A ; 1009
+ ST B, *AR5+0% || MAC *AR4, B ; 1010
+ ST B, *AR5+0% || MAC *AR4-, A ; 1011
+ ST B, *AR5+0% || MAC *AR4-, B ; 1012
+ ST B, *AR5+0% || MAC *AR4+, A ; 1013
+ ST B, *AR5+0% || MAC *AR4+, B ; 1014
+ ST B, *AR5+0% || MAC *AR4+0%, A ; 1015
+ ST B, *AR5+0% || MAC *AR4+0%, B ; 1016
+ ST B, *AR5+0% || MAC *AR5, A ; 1017
+ ST B, *AR5+0% || MAC *AR5, B ; 1018
+ ST B, *AR5+0% || MAC *AR5-, A ; 1019
+ ST B, *AR5+0% || MAC *AR5-, B ; 1020
+ ST B, *AR5+0% || MAC *AR5+, A ; 1021
+ ST B, *AR5+0% || MAC *AR5+, B ; 1022
+ ST B, *AR5+0% || MAC *AR5+0%, A ; 1023
+ ST B, *AR5+0% || MAC *AR5+0%, B ; 1024
+ ; STandMACR : ST AB, Ymem || MACR Xmem, AB : 1024
+ ST A, *AR2 || MACR *AR2, A ; 1
+ ST A, *AR2 || MACR *AR2, B ; 2
+ ST A, *AR2 || MACR *AR2-, A ; 3
+ ST A, *AR2 || MACR *AR2-, B ; 4
+ ST A, *AR2 || MACR *AR2+, A ; 5
+ ST A, *AR2 || MACR *AR2+, B ; 6
+ ST A, *AR2 || MACR *AR2+0%, A ; 7
+ ST A, *AR2 || MACR *AR2+0%, B ; 8
+ ST A, *AR2 || MACR *AR3, A ; 9
+ ST A, *AR2 || MACR *AR3, B ; 10
+ ST A, *AR2 || MACR *AR3-, A ; 11
+ ST A, *AR2 || MACR *AR3-, B ; 12
+ ST A, *AR2 || MACR *AR3+, A ; 13
+ ST A, *AR2 || MACR *AR3+, B ; 14
+ ST A, *AR2 || MACR *AR3+0%, A ; 15
+ ST A, *AR2 || MACR *AR3+0%, B ; 16
+ ST A, *AR2 || MACR *AR4, A ; 17
+ ST A, *AR2 || MACR *AR4, B ; 18
+ ST A, *AR2 || MACR *AR4-, A ; 19
+ ST A, *AR2 || MACR *AR4-, B ; 20
+ ST A, *AR2 || MACR *AR4+, A ; 21
+ ST A, *AR2 || MACR *AR4+, B ; 22
+ ST A, *AR2 || MACR *AR4+0%, A ; 23
+ ST A, *AR2 || MACR *AR4+0%, B ; 24
+ ST A, *AR2 || MACR *AR5, A ; 25
+ ST A, *AR2 || MACR *AR5, B ; 26
+ ST A, *AR2 || MACR *AR5-, A ; 27
+ ST A, *AR2 || MACR *AR5-, B ; 28
+ ST A, *AR2 || MACR *AR5+, A ; 29
+ ST A, *AR2 || MACR *AR5+, B ; 30
+ ST A, *AR2 || MACR *AR5+0%, A ; 31
+ ST A, *AR2 || MACR *AR5+0%, B ; 32
+ ST A, *AR2- || MACR *AR2, A ; 33
+ ST A, *AR2- || MACR *AR2, B ; 34
+ ST A, *AR2- || MACR *AR2-, A ; 35
+ ST A, *AR2- || MACR *AR2-, B ; 36
+ ST A, *AR2- || MACR *AR2+, A ; 37
+ ST A, *AR2- || MACR *AR2+, B ; 38
+ ST A, *AR2- || MACR *AR2+0%, A ; 39
+ ST A, *AR2- || MACR *AR2+0%, B ; 40
+ ST A, *AR2- || MACR *AR3, A ; 41
+ ST A, *AR2- || MACR *AR3, B ; 42
+ ST A, *AR2- || MACR *AR3-, A ; 43
+ ST A, *AR2- || MACR *AR3-, B ; 44
+ ST A, *AR2- || MACR *AR3+, A ; 45
+ ST A, *AR2- || MACR *AR3+, B ; 46
+ ST A, *AR2- || MACR *AR3+0%, A ; 47
+ ST A, *AR2- || MACR *AR3+0%, B ; 48
+ ST A, *AR2- || MACR *AR4, A ; 49
+ ST A, *AR2- || MACR *AR4, B ; 50
+ ST A, *AR2- || MACR *AR4-, A ; 51
+ ST A, *AR2- || MACR *AR4-, B ; 52
+ ST A, *AR2- || MACR *AR4+, A ; 53
+ ST A, *AR2- || MACR *AR4+, B ; 54
+ ST A, *AR2- || MACR *AR4+0%, A ; 55
+ ST A, *AR2- || MACR *AR4+0%, B ; 56
+ ST A, *AR2- || MACR *AR5, A ; 57
+ ST A, *AR2- || MACR *AR5, B ; 58
+ ST A, *AR2- || MACR *AR5-, A ; 59
+ ST A, *AR2- || MACR *AR5-, B ; 60
+ ST A, *AR2- || MACR *AR5+, A ; 61
+ ST A, *AR2- || MACR *AR5+, B ; 62
+ ST A, *AR2- || MACR *AR5+0%, A ; 63
+ ST A, *AR2- || MACR *AR5+0%, B ; 64
+ ST A, *AR2+ || MACR *AR2, A ; 65
+ ST A, *AR2+ || MACR *AR2, B ; 66
+ ST A, *AR2+ || MACR *AR2-, A ; 67
+ ST A, *AR2+ || MACR *AR2-, B ; 68
+ ST A, *AR2+ || MACR *AR2+, A ; 69
+ ST A, *AR2+ || MACR *AR2+, B ; 70
+ ST A, *AR2+ || MACR *AR2+0%, A ; 71
+ ST A, *AR2+ || MACR *AR2+0%, B ; 72
+ ST A, *AR2+ || MACR *AR3, A ; 73
+ ST A, *AR2+ || MACR *AR3, B ; 74
+ ST A, *AR2+ || MACR *AR3-, A ; 75
+ ST A, *AR2+ || MACR *AR3-, B ; 76
+ ST A, *AR2+ || MACR *AR3+, A ; 77
+ ST A, *AR2+ || MACR *AR3+, B ; 78
+ ST A, *AR2+ || MACR *AR3+0%, A ; 79
+ ST A, *AR2+ || MACR *AR3+0%, B ; 80
+ ST A, *AR2+ || MACR *AR4, A ; 81
+ ST A, *AR2+ || MACR *AR4, B ; 82
+ ST A, *AR2+ || MACR *AR4-, A ; 83
+ ST A, *AR2+ || MACR *AR4-, B ; 84
+ ST A, *AR2+ || MACR *AR4+, A ; 85
+ ST A, *AR2+ || MACR *AR4+, B ; 86
+ ST A, *AR2+ || MACR *AR4+0%, A ; 87
+ ST A, *AR2+ || MACR *AR4+0%, B ; 88
+ ST A, *AR2+ || MACR *AR5, A ; 89
+ ST A, *AR2+ || MACR *AR5, B ; 90
+ ST A, *AR2+ || MACR *AR5-, A ; 91
+ ST A, *AR2+ || MACR *AR5-, B ; 92
+ ST A, *AR2+ || MACR *AR5+, A ; 93
+ ST A, *AR2+ || MACR *AR5+, B ; 94
+ ST A, *AR2+ || MACR *AR5+0%, A ; 95
+ ST A, *AR2+ || MACR *AR5+0%, B ; 96
+ ST A, *AR2+0% || MACR *AR2, A ; 97
+ ST A, *AR2+0% || MACR *AR2, B ; 98
+ ST A, *AR2+0% || MACR *AR2-, A ; 99
+ ST A, *AR2+0% || MACR *AR2-, B ; 100
+ ST A, *AR2+0% || MACR *AR2+, A ; 101
+ ST A, *AR2+0% || MACR *AR2+, B ; 102
+ ST A, *AR2+0% || MACR *AR2+0%, A ; 103
+ ST A, *AR2+0% || MACR *AR2+0%, B ; 104
+ ST A, *AR2+0% || MACR *AR3, A ; 105
+ ST A, *AR2+0% || MACR *AR3, B ; 106
+ ST A, *AR2+0% || MACR *AR3-, A ; 107
+ ST A, *AR2+0% || MACR *AR3-, B ; 108
+ ST A, *AR2+0% || MACR *AR3+, A ; 109
+ ST A, *AR2+0% || MACR *AR3+, B ; 110
+ ST A, *AR2+0% || MACR *AR3+0%, A ; 111
+ ST A, *AR2+0% || MACR *AR3+0%, B ; 112
+ ST A, *AR2+0% || MACR *AR4, A ; 113
+ ST A, *AR2+0% || MACR *AR4, B ; 114
+ ST A, *AR2+0% || MACR *AR4-, A ; 115
+ ST A, *AR2+0% || MACR *AR4-, B ; 116
+ ST A, *AR2+0% || MACR *AR4+, A ; 117
+ ST A, *AR2+0% || MACR *AR4+, B ; 118
+ ST A, *AR2+0% || MACR *AR4+0%, A ; 119
+ ST A, *AR2+0% || MACR *AR4+0%, B ; 120
+ ST A, *AR2+0% || MACR *AR5, A ; 121
+ ST A, *AR2+0% || MACR *AR5, B ; 122
+ ST A, *AR2+0% || MACR *AR5-, A ; 123
+ ST A, *AR2+0% || MACR *AR5-, B ; 124
+ ST A, *AR2+0% || MACR *AR5+, A ; 125
+ ST A, *AR2+0% || MACR *AR5+, B ; 126
+ ST A, *AR2+0% || MACR *AR5+0%, A ; 127
+ ST A, *AR2+0% || MACR *AR5+0%, B ; 128
+ ST A, *AR3 || MACR *AR2, A ; 129
+ ST A, *AR3 || MACR *AR2, B ; 130
+ ST A, *AR3 || MACR *AR2-, A ; 131
+ ST A, *AR3 || MACR *AR2-, B ; 132
+ ST A, *AR3 || MACR *AR2+, A ; 133
+ ST A, *AR3 || MACR *AR2+, B ; 134
+ ST A, *AR3 || MACR *AR2+0%, A ; 135
+ ST A, *AR3 || MACR *AR2+0%, B ; 136
+ ST A, *AR3 || MACR *AR3, A ; 137
+ ST A, *AR3 || MACR *AR3, B ; 138
+ ST A, *AR3 || MACR *AR3-, A ; 139
+ ST A, *AR3 || MACR *AR3-, B ; 140
+ ST A, *AR3 || MACR *AR3+, A ; 141
+ ST A, *AR3 || MACR *AR3+, B ; 142
+ ST A, *AR3 || MACR *AR3+0%, A ; 143
+ ST A, *AR3 || MACR *AR3+0%, B ; 144
+ ST A, *AR3 || MACR *AR4, A ; 145
+ ST A, *AR3 || MACR *AR4, B ; 146
+ ST A, *AR3 || MACR *AR4-, A ; 147
+ ST A, *AR3 || MACR *AR4-, B ; 148
+ ST A, *AR3 || MACR *AR4+, A ; 149
+ ST A, *AR3 || MACR *AR4+, B ; 150
+ ST A, *AR3 || MACR *AR4+0%, A ; 151
+ ST A, *AR3 || MACR *AR4+0%, B ; 152
+ ST A, *AR3 || MACR *AR5, A ; 153
+ ST A, *AR3 || MACR *AR5, B ; 154
+ ST A, *AR3 || MACR *AR5-, A ; 155
+ ST A, *AR3 || MACR *AR5-, B ; 156
+ ST A, *AR3 || MACR *AR5+, A ; 157
+ ST A, *AR3 || MACR *AR5+, B ; 158
+ ST A, *AR3 || MACR *AR5+0%, A ; 159
+ ST A, *AR3 || MACR *AR5+0%, B ; 160
+ ST A, *AR3- || MACR *AR2, A ; 161
+ ST A, *AR3- || MACR *AR2, B ; 162
+ ST A, *AR3- || MACR *AR2-, A ; 163
+ ST A, *AR3- || MACR *AR2-, B ; 164
+ ST A, *AR3- || MACR *AR2+, A ; 165
+ ST A, *AR3- || MACR *AR2+, B ; 166
+ ST A, *AR3- || MACR *AR2+0%, A ; 167
+ ST A, *AR3- || MACR *AR2+0%, B ; 168
+ ST A, *AR3- || MACR *AR3, A ; 169
+ ST A, *AR3- || MACR *AR3, B ; 170
+ ST A, *AR3- || MACR *AR3-, A ; 171
+ ST A, *AR3- || MACR *AR3-, B ; 172
+ ST A, *AR3- || MACR *AR3+, A ; 173
+ ST A, *AR3- || MACR *AR3+, B ; 174
+ ST A, *AR3- || MACR *AR3+0%, A ; 175
+ ST A, *AR3- || MACR *AR3+0%, B ; 176
+ ST A, *AR3- || MACR *AR4, A ; 177
+ ST A, *AR3- || MACR *AR4, B ; 178
+ ST A, *AR3- || MACR *AR4-, A ; 179
+ ST A, *AR3- || MACR *AR4-, B ; 180
+ ST A, *AR3- || MACR *AR4+, A ; 181
+ ST A, *AR3- || MACR *AR4+, B ; 182
+ ST A, *AR3- || MACR *AR4+0%, A ; 183
+ ST A, *AR3- || MACR *AR4+0%, B ; 184
+ ST A, *AR3- || MACR *AR5, A ; 185
+ ST A, *AR3- || MACR *AR5, B ; 186
+ ST A, *AR3- || MACR *AR5-, A ; 187
+ ST A, *AR3- || MACR *AR5-, B ; 188
+ ST A, *AR3- || MACR *AR5+, A ; 189
+ ST A, *AR3- || MACR *AR5+, B ; 190
+ ST A, *AR3- || MACR *AR5+0%, A ; 191
+ ST A, *AR3- || MACR *AR5+0%, B ; 192
+ ST A, *AR3+ || MACR *AR2, A ; 193
+ ST A, *AR3+ || MACR *AR2, B ; 194
+ ST A, *AR3+ || MACR *AR2-, A ; 195
+ ST A, *AR3+ || MACR *AR2-, B ; 196
+ ST A, *AR3+ || MACR *AR2+, A ; 197
+ ST A, *AR3+ || MACR *AR2+, B ; 198
+ ST A, *AR3+ || MACR *AR2+0%, A ; 199
+ ST A, *AR3+ || MACR *AR2+0%, B ; 200
+ ST A, *AR3+ || MACR *AR3, A ; 201
+ ST A, *AR3+ || MACR *AR3, B ; 202
+ ST A, *AR3+ || MACR *AR3-, A ; 203
+ ST A, *AR3+ || MACR *AR3-, B ; 204
+ ST A, *AR3+ || MACR *AR3+, A ; 205
+ ST A, *AR3+ || MACR *AR3+, B ; 206
+ ST A, *AR3+ || MACR *AR3+0%, A ; 207
+ ST A, *AR3+ || MACR *AR3+0%, B ; 208
+ ST A, *AR3+ || MACR *AR4, A ; 209
+ ST A, *AR3+ || MACR *AR4, B ; 210
+ ST A, *AR3+ || MACR *AR4-, A ; 211
+ ST A, *AR3+ || MACR *AR4-, B ; 212
+ ST A, *AR3+ || MACR *AR4+, A ; 213
+ ST A, *AR3+ || MACR *AR4+, B ; 214
+ ST A, *AR3+ || MACR *AR4+0%, A ; 215
+ ST A, *AR3+ || MACR *AR4+0%, B ; 216
+ ST A, *AR3+ || MACR *AR5, A ; 217
+ ST A, *AR3+ || MACR *AR5, B ; 218
+ ST A, *AR3+ || MACR *AR5-, A ; 219
+ ST A, *AR3+ || MACR *AR5-, B ; 220
+ ST A, *AR3+ || MACR *AR5+, A ; 221
+ ST A, *AR3+ || MACR *AR5+, B ; 222
+ ST A, *AR3+ || MACR *AR5+0%, A ; 223
+ ST A, *AR3+ || MACR *AR5+0%, B ; 224
+ ST A, *AR3+0% || MACR *AR2, A ; 225
+ ST A, *AR3+0% || MACR *AR2, B ; 226
+ ST A, *AR3+0% || MACR *AR2-, A ; 227
+ ST A, *AR3+0% || MACR *AR2-, B ; 228
+ ST A, *AR3+0% || MACR *AR2+, A ; 229
+ ST A, *AR3+0% || MACR *AR2+, B ; 230
+ ST A, *AR3+0% || MACR *AR2+0%, A ; 231
+ ST A, *AR3+0% || MACR *AR2+0%, B ; 232
+ ST A, *AR3+0% || MACR *AR3, A ; 233
+ ST A, *AR3+0% || MACR *AR3, B ; 234
+ ST A, *AR3+0% || MACR *AR3-, A ; 235
+ ST A, *AR3+0% || MACR *AR3-, B ; 236
+ ST A, *AR3+0% || MACR *AR3+, A ; 237
+ ST A, *AR3+0% || MACR *AR3+, B ; 238
+ ST A, *AR3+0% || MACR *AR3+0%, A ; 239
+ ST A, *AR3+0% || MACR *AR3+0%, B ; 240
+ ST A, *AR3+0% || MACR *AR4, A ; 241
+ ST A, *AR3+0% || MACR *AR4, B ; 242
+ ST A, *AR3+0% || MACR *AR4-, A ; 243
+ ST A, *AR3+0% || MACR *AR4-, B ; 244
+ ST A, *AR3+0% || MACR *AR4+, A ; 245
+ ST A, *AR3+0% || MACR *AR4+, B ; 246
+ ST A, *AR3+0% || MACR *AR4+0%, A ; 247
+ ST A, *AR3+0% || MACR *AR4+0%, B ; 248
+ ST A, *AR3+0% || MACR *AR5, A ; 249
+ ST A, *AR3+0% || MACR *AR5, B ; 250
+ ST A, *AR3+0% || MACR *AR5-, A ; 251
+ ST A, *AR3+0% || MACR *AR5-, B ; 252
+ ST A, *AR3+0% || MACR *AR5+, A ; 253
+ ST A, *AR3+0% || MACR *AR5+, B ; 254
+ ST A, *AR3+0% || MACR *AR5+0%, A ; 255
+ ST A, *AR3+0% || MACR *AR5+0%, B ; 256
+ ST A, *AR4 || MACR *AR2, A ; 257
+ ST A, *AR4 || MACR *AR2, B ; 258
+ ST A, *AR4 || MACR *AR2-, A ; 259
+ ST A, *AR4 || MACR *AR2-, B ; 260
+ ST A, *AR4 || MACR *AR2+, A ; 261
+ ST A, *AR4 || MACR *AR2+, B ; 262
+ ST A, *AR4 || MACR *AR2+0%, A ; 263
+ ST A, *AR4 || MACR *AR2+0%, B ; 264
+ ST A, *AR4 || MACR *AR3, A ; 265
+ ST A, *AR4 || MACR *AR3, B ; 266
+ ST A, *AR4 || MACR *AR3-, A ; 267
+ ST A, *AR4 || MACR *AR3-, B ; 268
+ ST A, *AR4 || MACR *AR3+, A ; 269
+ ST A, *AR4 || MACR *AR3+, B ; 270
+ ST A, *AR4 || MACR *AR3+0%, A ; 271
+ ST A, *AR4 || MACR *AR3+0%, B ; 272
+ ST A, *AR4 || MACR *AR4, A ; 273
+ ST A, *AR4 || MACR *AR4, B ; 274
+ ST A, *AR4 || MACR *AR4-, A ; 275
+ ST A, *AR4 || MACR *AR4-, B ; 276
+ ST A, *AR4 || MACR *AR4+, A ; 277
+ ST A, *AR4 || MACR *AR4+, B ; 278
+ ST A, *AR4 || MACR *AR4+0%, A ; 279
+ ST A, *AR4 || MACR *AR4+0%, B ; 280
+ ST A, *AR4 || MACR *AR5, A ; 281
+ ST A, *AR4 || MACR *AR5, B ; 282
+ ST A, *AR4 || MACR *AR5-, A ; 283
+ ST A, *AR4 || MACR *AR5-, B ; 284
+ ST A, *AR4 || MACR *AR5+, A ; 285
+ ST A, *AR4 || MACR *AR5+, B ; 286
+ ST A, *AR4 || MACR *AR5+0%, A ; 287
+ ST A, *AR4 || MACR *AR5+0%, B ; 288
+ ST A, *AR4- || MACR *AR2, A ; 289
+ ST A, *AR4- || MACR *AR2, B ; 290
+ ST A, *AR4- || MACR *AR2-, A ; 291
+ ST A, *AR4- || MACR *AR2-, B ; 292
+ ST A, *AR4- || MACR *AR2+, A ; 293
+ ST A, *AR4- || MACR *AR2+, B ; 294
+ ST A, *AR4- || MACR *AR2+0%, A ; 295
+ ST A, *AR4- || MACR *AR2+0%, B ; 296
+ ST A, *AR4- || MACR *AR3, A ; 297
+ ST A, *AR4- || MACR *AR3, B ; 298
+ ST A, *AR4- || MACR *AR3-, A ; 299
+ ST A, *AR4- || MACR *AR3-, B ; 300
+ ST A, *AR4- || MACR *AR3+, A ; 301
+ ST A, *AR4- || MACR *AR3+, B ; 302
+ ST A, *AR4- || MACR *AR3+0%, A ; 303
+ ST A, *AR4- || MACR *AR3+0%, B ; 304
+ ST A, *AR4- || MACR *AR4, A ; 305
+ ST A, *AR4- || MACR *AR4, B ; 306
+ ST A, *AR4- || MACR *AR4-, A ; 307
+ ST A, *AR4- || MACR *AR4-, B ; 308
+ ST A, *AR4- || MACR *AR4+, A ; 309
+ ST A, *AR4- || MACR *AR4+, B ; 310
+ ST A, *AR4- || MACR *AR4+0%, A ; 311
+ ST A, *AR4- || MACR *AR4+0%, B ; 312
+ ST A, *AR4- || MACR *AR5, A ; 313
+ ST A, *AR4- || MACR *AR5, B ; 314
+ ST A, *AR4- || MACR *AR5-, A ; 315
+ ST A, *AR4- || MACR *AR5-, B ; 316
+ ST A, *AR4- || MACR *AR5+, A ; 317
+ ST A, *AR4- || MACR *AR5+, B ; 318
+ ST A, *AR4- || MACR *AR5+0%, A ; 319
+ ST A, *AR4- || MACR *AR5+0%, B ; 320
+ ST A, *AR4+ || MACR *AR2, A ; 321
+ ST A, *AR4+ || MACR *AR2, B ; 322
+ ST A, *AR4+ || MACR *AR2-, A ; 323
+ ST A, *AR4+ || MACR *AR2-, B ; 324
+ ST A, *AR4+ || MACR *AR2+, A ; 325
+ ST A, *AR4+ || MACR *AR2+, B ; 326
+ ST A, *AR4+ || MACR *AR2+0%, A ; 327
+ ST A, *AR4+ || MACR *AR2+0%, B ; 328
+ ST A, *AR4+ || MACR *AR3, A ; 329
+ ST A, *AR4+ || MACR *AR3, B ; 330
+ ST A, *AR4+ || MACR *AR3-, A ; 331
+ ST A, *AR4+ || MACR *AR3-, B ; 332
+ ST A, *AR4+ || MACR *AR3+, A ; 333
+ ST A, *AR4+ || MACR *AR3+, B ; 334
+ ST A, *AR4+ || MACR *AR3+0%, A ; 335
+ ST A, *AR4+ || MACR *AR3+0%, B ; 336
+ ST A, *AR4+ || MACR *AR4, A ; 337
+ ST A, *AR4+ || MACR *AR4, B ; 338
+ ST A, *AR4+ || MACR *AR4-, A ; 339
+ ST A, *AR4+ || MACR *AR4-, B ; 340
+ ST A, *AR4+ || MACR *AR4+, A ; 341
+ ST A, *AR4+ || MACR *AR4+, B ; 342
+ ST A, *AR4+ || MACR *AR4+0%, A ; 343
+ ST A, *AR4+ || MACR *AR4+0%, B ; 344
+ ST A, *AR4+ || MACR *AR5, A ; 345
+ ST A, *AR4+ || MACR *AR5, B ; 346
+ ST A, *AR4+ || MACR *AR5-, A ; 347
+ ST A, *AR4+ || MACR *AR5-, B ; 348
+ ST A, *AR4+ || MACR *AR5+, A ; 349
+ ST A, *AR4+ || MACR *AR5+, B ; 350
+ ST A, *AR4+ || MACR *AR5+0%, A ; 351
+ ST A, *AR4+ || MACR *AR5+0%, B ; 352
+ ST A, *AR4+0% || MACR *AR2, A ; 353
+ ST A, *AR4+0% || MACR *AR2, B ; 354
+ ST A, *AR4+0% || MACR *AR2-, A ; 355
+ ST A, *AR4+0% || MACR *AR2-, B ; 356
+ ST A, *AR4+0% || MACR *AR2+, A ; 357
+ ST A, *AR4+0% || MACR *AR2+, B ; 358
+ ST A, *AR4+0% || MACR *AR2+0%, A ; 359
+ ST A, *AR4+0% || MACR *AR2+0%, B ; 360
+ ST A, *AR4+0% || MACR *AR3, A ; 361
+ ST A, *AR4+0% || MACR *AR3, B ; 362
+ ST A, *AR4+0% || MACR *AR3-, A ; 363
+ ST A, *AR4+0% || MACR *AR3-, B ; 364
+ ST A, *AR4+0% || MACR *AR3+, A ; 365
+ ST A, *AR4+0% || MACR *AR3+, B ; 366
+ ST A, *AR4+0% || MACR *AR3+0%, A ; 367
+ ST A, *AR4+0% || MACR *AR3+0%, B ; 368
+ ST A, *AR4+0% || MACR *AR4, A ; 369
+ ST A, *AR4+0% || MACR *AR4, B ; 370
+ ST A, *AR4+0% || MACR *AR4-, A ; 371
+ ST A, *AR4+0% || MACR *AR4-, B ; 372
+ ST A, *AR4+0% || MACR *AR4+, A ; 373
+ ST A, *AR4+0% || MACR *AR4+, B ; 374
+ ST A, *AR4+0% || MACR *AR4+0%, A ; 375
+ ST A, *AR4+0% || MACR *AR4+0%, B ; 376
+ ST A, *AR4+0% || MACR *AR5, A ; 377
+ ST A, *AR4+0% || MACR *AR5, B ; 378
+ ST A, *AR4+0% || MACR *AR5-, A ; 379
+ ST A, *AR4+0% || MACR *AR5-, B ; 380
+ ST A, *AR4+0% || MACR *AR5+, A ; 381
+ ST A, *AR4+0% || MACR *AR5+, B ; 382
+ ST A, *AR4+0% || MACR *AR5+0%, A ; 383
+ ST A, *AR4+0% || MACR *AR5+0%, B ; 384
+ ST A, *AR5 || MACR *AR2, A ; 385
+ ST A, *AR5 || MACR *AR2, B ; 386
+ ST A, *AR5 || MACR *AR2-, A ; 387
+ ST A, *AR5 || MACR *AR2-, B ; 388
+ ST A, *AR5 || MACR *AR2+, A ; 389
+ ST A, *AR5 || MACR *AR2+, B ; 390
+ ST A, *AR5 || MACR *AR2+0%, A ; 391
+ ST A, *AR5 || MACR *AR2+0%, B ; 392
+ ST A, *AR5 || MACR *AR3, A ; 393
+ ST A, *AR5 || MACR *AR3, B ; 394
+ ST A, *AR5 || MACR *AR3-, A ; 395
+ ST A, *AR5 || MACR *AR3-, B ; 396
+ ST A, *AR5 || MACR *AR3+, A ; 397
+ ST A, *AR5 || MACR *AR3+, B ; 398
+ ST A, *AR5 || MACR *AR3+0%, A ; 399
+ ST A, *AR5 || MACR *AR3+0%, B ; 400
+ ST A, *AR5 || MACR *AR4, A ; 401
+ ST A, *AR5 || MACR *AR4, B ; 402
+ ST A, *AR5 || MACR *AR4-, A ; 403
+ ST A, *AR5 || MACR *AR4-, B ; 404
+ ST A, *AR5 || MACR *AR4+, A ; 405
+ ST A, *AR5 || MACR *AR4+, B ; 406
+ ST A, *AR5 || MACR *AR4+0%, A ; 407
+ ST A, *AR5 || MACR *AR4+0%, B ; 408
+ ST A, *AR5 || MACR *AR5, A ; 409
+ ST A, *AR5 || MACR *AR5, B ; 410
+ ST A, *AR5 || MACR *AR5-, A ; 411
+ ST A, *AR5 || MACR *AR5-, B ; 412
+ ST A, *AR5 || MACR *AR5+, A ; 413
+ ST A, *AR5 || MACR *AR5+, B ; 414
+ ST A, *AR5 || MACR *AR5+0%, A ; 415
+ ST A, *AR5 || MACR *AR5+0%, B ; 416
+ ST A, *AR5- || MACR *AR2, A ; 417
+ ST A, *AR5- || MACR *AR2, B ; 418
+ ST A, *AR5- || MACR *AR2-, A ; 419
+ ST A, *AR5- || MACR *AR2-, B ; 420
+ ST A, *AR5- || MACR *AR2+, A ; 421
+ ST A, *AR5- || MACR *AR2+, B ; 422
+ ST A, *AR5- || MACR *AR2+0%, A ; 423
+ ST A, *AR5- || MACR *AR2+0%, B ; 424
+ ST A, *AR5- || MACR *AR3, A ; 425
+ ST A, *AR5- || MACR *AR3, B ; 426
+ ST A, *AR5- || MACR *AR3-, A ; 427
+ ST A, *AR5- || MACR *AR3-, B ; 428
+ ST A, *AR5- || MACR *AR3+, A ; 429
+ ST A, *AR5- || MACR *AR3+, B ; 430
+ ST A, *AR5- || MACR *AR3+0%, A ; 431
+ ST A, *AR5- || MACR *AR3+0%, B ; 432
+ ST A, *AR5- || MACR *AR4, A ; 433
+ ST A, *AR5- || MACR *AR4, B ; 434
+ ST A, *AR5- || MACR *AR4-, A ; 435
+ ST A, *AR5- || MACR *AR4-, B ; 436
+ ST A, *AR5- || MACR *AR4+, A ; 437
+ ST A, *AR5- || MACR *AR4+, B ; 438
+ ST A, *AR5- || MACR *AR4+0%, A ; 439
+ ST A, *AR5- || MACR *AR4+0%, B ; 440
+ ST A, *AR5- || MACR *AR5, A ; 441
+ ST A, *AR5- || MACR *AR5, B ; 442
+ ST A, *AR5- || MACR *AR5-, A ; 443
+ ST A, *AR5- || MACR *AR5-, B ; 444
+ ST A, *AR5- || MACR *AR5+, A ; 445
+ ST A, *AR5- || MACR *AR5+, B ; 446
+ ST A, *AR5- || MACR *AR5+0%, A ; 447
+ ST A, *AR5- || MACR *AR5+0%, B ; 448
+ ST A, *AR5+ || MACR *AR2, A ; 449
+ ST A, *AR5+ || MACR *AR2, B ; 450
+ ST A, *AR5+ || MACR *AR2-, A ; 451
+ ST A, *AR5+ || MACR *AR2-, B ; 452
+ ST A, *AR5+ || MACR *AR2+, A ; 453
+ ST A, *AR5+ || MACR *AR2+, B ; 454
+ ST A, *AR5+ || MACR *AR2+0%, A ; 455
+ ST A, *AR5+ || MACR *AR2+0%, B ; 456
+ ST A, *AR5+ || MACR *AR3, A ; 457
+ ST A, *AR5+ || MACR *AR3, B ; 458
+ ST A, *AR5+ || MACR *AR3-, A ; 459
+ ST A, *AR5+ || MACR *AR3-, B ; 460
+ ST A, *AR5+ || MACR *AR3+, A ; 461
+ ST A, *AR5+ || MACR *AR3+, B ; 462
+ ST A, *AR5+ || MACR *AR3+0%, A ; 463
+ ST A, *AR5+ || MACR *AR3+0%, B ; 464
+ ST A, *AR5+ || MACR *AR4, A ; 465
+ ST A, *AR5+ || MACR *AR4, B ; 466
+ ST A, *AR5+ || MACR *AR4-, A ; 467
+ ST A, *AR5+ || MACR *AR4-, B ; 468
+ ST A, *AR5+ || MACR *AR4+, A ; 469
+ ST A, *AR5+ || MACR *AR4+, B ; 470
+ ST A, *AR5+ || MACR *AR4+0%, A ; 471
+ ST A, *AR5+ || MACR *AR4+0%, B ; 472
+ ST A, *AR5+ || MACR *AR5, A ; 473
+ ST A, *AR5+ || MACR *AR5, B ; 474
+ ST A, *AR5+ || MACR *AR5-, A ; 475
+ ST A, *AR5+ || MACR *AR5-, B ; 476
+ ST A, *AR5+ || MACR *AR5+, A ; 477
+ ST A, *AR5+ || MACR *AR5+, B ; 478
+ ST A, *AR5+ || MACR *AR5+0%, A ; 479
+ ST A, *AR5+ || MACR *AR5+0%, B ; 480
+ ST A, *AR5+0% || MACR *AR2, A ; 481
+ ST A, *AR5+0% || MACR *AR2, B ; 482
+ ST A, *AR5+0% || MACR *AR2-, A ; 483
+ ST A, *AR5+0% || MACR *AR2-, B ; 484
+ ST A, *AR5+0% || MACR *AR2+, A ; 485
+ ST A, *AR5+0% || MACR *AR2+, B ; 486
+ ST A, *AR5+0% || MACR *AR2+0%, A ; 487
+ ST A, *AR5+0% || MACR *AR2+0%, B ; 488
+ ST A, *AR5+0% || MACR *AR3, A ; 489
+ ST A, *AR5+0% || MACR *AR3, B ; 490
+ ST A, *AR5+0% || MACR *AR3-, A ; 491
+ ST A, *AR5+0% || MACR *AR3-, B ; 492
+ ST A, *AR5+0% || MACR *AR3+, A ; 493
+ ST A, *AR5+0% || MACR *AR3+, B ; 494
+ ST A, *AR5+0% || MACR *AR3+0%, A ; 495
+ ST A, *AR5+0% || MACR *AR3+0%, B ; 496
+ ST A, *AR5+0% || MACR *AR4, A ; 497
+ ST A, *AR5+0% || MACR *AR4, B ; 498
+ ST A, *AR5+0% || MACR *AR4-, A ; 499
+ ST A, *AR5+0% || MACR *AR4-, B ; 500
+ ST A, *AR5+0% || MACR *AR4+, A ; 501
+ ST A, *AR5+0% || MACR *AR4+, B ; 502
+ ST A, *AR5+0% || MACR *AR4+0%, A ; 503
+ ST A, *AR5+0% || MACR *AR4+0%, B ; 504
+ ST A, *AR5+0% || MACR *AR5, A ; 505
+ ST A, *AR5+0% || MACR *AR5, B ; 506
+ ST A, *AR5+0% || MACR *AR5-, A ; 507
+ ST A, *AR5+0% || MACR *AR5-, B ; 508
+ ST A, *AR5+0% || MACR *AR5+, A ; 509
+ ST A, *AR5+0% || MACR *AR5+, B ; 510
+ ST A, *AR5+0% || MACR *AR5+0%, A ; 511
+ ST A, *AR5+0% || MACR *AR5+0%, B ; 512
+ ST B, *AR2 || MACR *AR2, A ; 513
+ ST B, *AR2 || MACR *AR2, B ; 514
+ ST B, *AR2 || MACR *AR2-, A ; 515
+ ST B, *AR2 || MACR *AR2-, B ; 516
+ ST B, *AR2 || MACR *AR2+, A ; 517
+ ST B, *AR2 || MACR *AR2+, B ; 518
+ ST B, *AR2 || MACR *AR2+0%, A ; 519
+ ST B, *AR2 || MACR *AR2+0%, B ; 520
+ ST B, *AR2 || MACR *AR3, A ; 521
+ ST B, *AR2 || MACR *AR3, B ; 522
+ ST B, *AR2 || MACR *AR3-, A ; 523
+ ST B, *AR2 || MACR *AR3-, B ; 524
+ ST B, *AR2 || MACR *AR3+, A ; 525
+ ST B, *AR2 || MACR *AR3+, B ; 526
+ ST B, *AR2 || MACR *AR3+0%, A ; 527
+ ST B, *AR2 || MACR *AR3+0%, B ; 528
+ ST B, *AR2 || MACR *AR4, A ; 529
+ ST B, *AR2 || MACR *AR4, B ; 530
+ ST B, *AR2 || MACR *AR4-, A ; 531
+ ST B, *AR2 || MACR *AR4-, B ; 532
+ ST B, *AR2 || MACR *AR4+, A ; 533
+ ST B, *AR2 || MACR *AR4+, B ; 534
+ ST B, *AR2 || MACR *AR4+0%, A ; 535
+ ST B, *AR2 || MACR *AR4+0%, B ; 536
+ ST B, *AR2 || MACR *AR5, A ; 537
+ ST B, *AR2 || MACR *AR5, B ; 538
+ ST B, *AR2 || MACR *AR5-, A ; 539
+ ST B, *AR2 || MACR *AR5-, B ; 540
+ ST B, *AR2 || MACR *AR5+, A ; 541
+ ST B, *AR2 || MACR *AR5+, B ; 542
+ ST B, *AR2 || MACR *AR5+0%, A ; 543
+ ST B, *AR2 || MACR *AR5+0%, B ; 544
+ ST B, *AR2- || MACR *AR2, A ; 545
+ ST B, *AR2- || MACR *AR2, B ; 546
+ ST B, *AR2- || MACR *AR2-, A ; 547
+ ST B, *AR2- || MACR *AR2-, B ; 548
+ ST B, *AR2- || MACR *AR2+, A ; 549
+ ST B, *AR2- || MACR *AR2+, B ; 550
+ ST B, *AR2- || MACR *AR2+0%, A ; 551
+ ST B, *AR2- || MACR *AR2+0%, B ; 552
+ ST B, *AR2- || MACR *AR3, A ; 553
+ ST B, *AR2- || MACR *AR3, B ; 554
+ ST B, *AR2- || MACR *AR3-, A ; 555
+ ST B, *AR2- || MACR *AR3-, B ; 556
+ ST B, *AR2- || MACR *AR3+, A ; 557
+ ST B, *AR2- || MACR *AR3+, B ; 558
+ ST B, *AR2- || MACR *AR3+0%, A ; 559
+ ST B, *AR2- || MACR *AR3+0%, B ; 560
+ ST B, *AR2- || MACR *AR4, A ; 561
+ ST B, *AR2- || MACR *AR4, B ; 562
+ ST B, *AR2- || MACR *AR4-, A ; 563
+ ST B, *AR2- || MACR *AR4-, B ; 564
+ ST B, *AR2- || MACR *AR4+, A ; 565
+ ST B, *AR2- || MACR *AR4+, B ; 566
+ ST B, *AR2- || MACR *AR4+0%, A ; 567
+ ST B, *AR2- || MACR *AR4+0%, B ; 568
+ ST B, *AR2- || MACR *AR5, A ; 569
+ ST B, *AR2- || MACR *AR5, B ; 570
+ ST B, *AR2- || MACR *AR5-, A ; 571
+ ST B, *AR2- || MACR *AR5-, B ; 572
+ ST B, *AR2- || MACR *AR5+, A ; 573
+ ST B, *AR2- || MACR *AR5+, B ; 574
+ ST B, *AR2- || MACR *AR5+0%, A ; 575
+ ST B, *AR2- || MACR *AR5+0%, B ; 576
+ ST B, *AR2+ || MACR *AR2, A ; 577
+ ST B, *AR2+ || MACR *AR2, B ; 578
+ ST B, *AR2+ || MACR *AR2-, A ; 579
+ ST B, *AR2+ || MACR *AR2-, B ; 580
+ ST B, *AR2+ || MACR *AR2+, A ; 581
+ ST B, *AR2+ || MACR *AR2+, B ; 582
+ ST B, *AR2+ || MACR *AR2+0%, A ; 583
+ ST B, *AR2+ || MACR *AR2+0%, B ; 584
+ ST B, *AR2+ || MACR *AR3, A ; 585
+ ST B, *AR2+ || MACR *AR3, B ; 586
+ ST B, *AR2+ || MACR *AR3-, A ; 587
+ ST B, *AR2+ || MACR *AR3-, B ; 588
+ ST B, *AR2+ || MACR *AR3+, A ; 589
+ ST B, *AR2+ || MACR *AR3+, B ; 590
+ ST B, *AR2+ || MACR *AR3+0%, A ; 591
+ ST B, *AR2+ || MACR *AR3+0%, B ; 592
+ ST B, *AR2+ || MACR *AR4, A ; 593
+ ST B, *AR2+ || MACR *AR4, B ; 594
+ ST B, *AR2+ || MACR *AR4-, A ; 595
+ ST B, *AR2+ || MACR *AR4-, B ; 596
+ ST B, *AR2+ || MACR *AR4+, A ; 597
+ ST B, *AR2+ || MACR *AR4+, B ; 598
+ ST B, *AR2+ || MACR *AR4+0%, A ; 599
+ ST B, *AR2+ || MACR *AR4+0%, B ; 600
+ ST B, *AR2+ || MACR *AR5, A ; 601
+ ST B, *AR2+ || MACR *AR5, B ; 602
+ ST B, *AR2+ || MACR *AR5-, A ; 603
+ ST B, *AR2+ || MACR *AR5-, B ; 604
+ ST B, *AR2+ || MACR *AR5+, A ; 605
+ ST B, *AR2+ || MACR *AR5+, B ; 606
+ ST B, *AR2+ || MACR *AR5+0%, A ; 607
+ ST B, *AR2+ || MACR *AR5+0%, B ; 608
+ ST B, *AR2+0% || MACR *AR2, A ; 609
+ ST B, *AR2+0% || MACR *AR2, B ; 610
+ ST B, *AR2+0% || MACR *AR2-, A ; 611
+ ST B, *AR2+0% || MACR *AR2-, B ; 612
+ ST B, *AR2+0% || MACR *AR2+, A ; 613
+ ST B, *AR2+0% || MACR *AR2+, B ; 614
+ ST B, *AR2+0% || MACR *AR2+0%, A ; 615
+ ST B, *AR2+0% || MACR *AR2+0%, B ; 616
+ ST B, *AR2+0% || MACR *AR3, A ; 617
+ ST B, *AR2+0% || MACR *AR3, B ; 618
+ ST B, *AR2+0% || MACR *AR3-, A ; 619
+ ST B, *AR2+0% || MACR *AR3-, B ; 620
+ ST B, *AR2+0% || MACR *AR3+, A ; 621
+ ST B, *AR2+0% || MACR *AR3+, B ; 622
+ ST B, *AR2+0% || MACR *AR3+0%, A ; 623
+ ST B, *AR2+0% || MACR *AR3+0%, B ; 624
+ ST B, *AR2+0% || MACR *AR4, A ; 625
+ ST B, *AR2+0% || MACR *AR4, B ; 626
+ ST B, *AR2+0% || MACR *AR4-, A ; 627
+ ST B, *AR2+0% || MACR *AR4-, B ; 628
+ ST B, *AR2+0% || MACR *AR4+, A ; 629
+ ST B, *AR2+0% || MACR *AR4+, B ; 630
+ ST B, *AR2+0% || MACR *AR4+0%, A ; 631
+ ST B, *AR2+0% || MACR *AR4+0%, B ; 632
+ ST B, *AR2+0% || MACR *AR5, A ; 633
+ ST B, *AR2+0% || MACR *AR5, B ; 634
+ ST B, *AR2+0% || MACR *AR5-, A ; 635
+ ST B, *AR2+0% || MACR *AR5-, B ; 636
+ ST B, *AR2+0% || MACR *AR5+, A ; 637
+ ST B, *AR2+0% || MACR *AR5+, B ; 638
+ ST B, *AR2+0% || MACR *AR5+0%, A ; 639
+ ST B, *AR2+0% || MACR *AR5+0%, B ; 640
+ ST B, *AR3 || MACR *AR2, A ; 641
+ ST B, *AR3 || MACR *AR2, B ; 642
+ ST B, *AR3 || MACR *AR2-, A ; 643
+ ST B, *AR3 || MACR *AR2-, B ; 644
+ ST B, *AR3 || MACR *AR2+, A ; 645
+ ST B, *AR3 || MACR *AR2+, B ; 646
+ ST B, *AR3 || MACR *AR2+0%, A ; 647
+ ST B, *AR3 || MACR *AR2+0%, B ; 648
+ ST B, *AR3 || MACR *AR3, A ; 649
+ ST B, *AR3 || MACR *AR3, B ; 650
+ ST B, *AR3 || MACR *AR3-, A ; 651
+ ST B, *AR3 || MACR *AR3-, B ; 652
+ ST B, *AR3 || MACR *AR3+, A ; 653
+ ST B, *AR3 || MACR *AR3+, B ; 654
+ ST B, *AR3 || MACR *AR3+0%, A ; 655
+ ST B, *AR3 || MACR *AR3+0%, B ; 656
+ ST B, *AR3 || MACR *AR4, A ; 657
+ ST B, *AR3 || MACR *AR4, B ; 658
+ ST B, *AR3 || MACR *AR4-, A ; 659
+ ST B, *AR3 || MACR *AR4-, B ; 660
+ ST B, *AR3 || MACR *AR4+, A ; 661
+ ST B, *AR3 || MACR *AR4+, B ; 662
+ ST B, *AR3 || MACR *AR4+0%, A ; 663
+ ST B, *AR3 || MACR *AR4+0%, B ; 664
+ ST B, *AR3 || MACR *AR5, A ; 665
+ ST B, *AR3 || MACR *AR5, B ; 666
+ ST B, *AR3 || MACR *AR5-, A ; 667
+ ST B, *AR3 || MACR *AR5-, B ; 668
+ ST B, *AR3 || MACR *AR5+, A ; 669
+ ST B, *AR3 || MACR *AR5+, B ; 670
+ ST B, *AR3 || MACR *AR5+0%, A ; 671
+ ST B, *AR3 || MACR *AR5+0%, B ; 672
+ ST B, *AR3- || MACR *AR2, A ; 673
+ ST B, *AR3- || MACR *AR2, B ; 674
+ ST B, *AR3- || MACR *AR2-, A ; 675
+ ST B, *AR3- || MACR *AR2-, B ; 676
+ ST B, *AR3- || MACR *AR2+, A ; 677
+ ST B, *AR3- || MACR *AR2+, B ; 678
+ ST B, *AR3- || MACR *AR2+0%, A ; 679
+ ST B, *AR3- || MACR *AR2+0%, B ; 680
+ ST B, *AR3- || MACR *AR3, A ; 681
+ ST B, *AR3- || MACR *AR3, B ; 682
+ ST B, *AR3- || MACR *AR3-, A ; 683
+ ST B, *AR3- || MACR *AR3-, B ; 684
+ ST B, *AR3- || MACR *AR3+, A ; 685
+ ST B, *AR3- || MACR *AR3+, B ; 686
+ ST B, *AR3- || MACR *AR3+0%, A ; 687
+ ST B, *AR3- || MACR *AR3+0%, B ; 688
+ ST B, *AR3- || MACR *AR4, A ; 689
+ ST B, *AR3- || MACR *AR4, B ; 690
+ ST B, *AR3- || MACR *AR4-, A ; 691
+ ST B, *AR3- || MACR *AR4-, B ; 692
+ ST B, *AR3- || MACR *AR4+, A ; 693
+ ST B, *AR3- || MACR *AR4+, B ; 694
+ ST B, *AR3- || MACR *AR4+0%, A ; 695
+ ST B, *AR3- || MACR *AR4+0%, B ; 696
+ ST B, *AR3- || MACR *AR5, A ; 697
+ ST B, *AR3- || MACR *AR5, B ; 698
+ ST B, *AR3- || MACR *AR5-, A ; 699
+ ST B, *AR3- || MACR *AR5-, B ; 700
+ ST B, *AR3- || MACR *AR5+, A ; 701
+ ST B, *AR3- || MACR *AR5+, B ; 702
+ ST B, *AR3- || MACR *AR5+0%, A ; 703
+ ST B, *AR3- || MACR *AR5+0%, B ; 704
+ ST B, *AR3+ || MACR *AR2, A ; 705
+ ST B, *AR3+ || MACR *AR2, B ; 706
+ ST B, *AR3+ || MACR *AR2-, A ; 707
+ ST B, *AR3+ || MACR *AR2-, B ; 708
+ ST B, *AR3+ || MACR *AR2+, A ; 709
+ ST B, *AR3+ || MACR *AR2+, B ; 710
+ ST B, *AR3+ || MACR *AR2+0%, A ; 711
+ ST B, *AR3+ || MACR *AR2+0%, B ; 712
+ ST B, *AR3+ || MACR *AR3, A ; 713
+ ST B, *AR3+ || MACR *AR3, B ; 714
+ ST B, *AR3+ || MACR *AR3-, A ; 715
+ ST B, *AR3+ || MACR *AR3-, B ; 716
+ ST B, *AR3+ || MACR *AR3+, A ; 717
+ ST B, *AR3+ || MACR *AR3+, B ; 718
+ ST B, *AR3+ || MACR *AR3+0%, A ; 719
+ ST B, *AR3+ || MACR *AR3+0%, B ; 720
+ ST B, *AR3+ || MACR *AR4, A ; 721
+ ST B, *AR3+ || MACR *AR4, B ; 722
+ ST B, *AR3+ || MACR *AR4-, A ; 723
+ ST B, *AR3+ || MACR *AR4-, B ; 724
+ ST B, *AR3+ || MACR *AR4+, A ; 725
+ ST B, *AR3+ || MACR *AR4+, B ; 726
+ ST B, *AR3+ || MACR *AR4+0%, A ; 727
+ ST B, *AR3+ || MACR *AR4+0%, B ; 728
+ ST B, *AR3+ || MACR *AR5, A ; 729
+ ST B, *AR3+ || MACR *AR5, B ; 730
+ ST B, *AR3+ || MACR *AR5-, A ; 731
+ ST B, *AR3+ || MACR *AR5-, B ; 732
+ ST B, *AR3+ || MACR *AR5+, A ; 733
+ ST B, *AR3+ || MACR *AR5+, B ; 734
+ ST B, *AR3+ || MACR *AR5+0%, A ; 735
+ ST B, *AR3+ || MACR *AR5+0%, B ; 736
+ ST B, *AR3+0% || MACR *AR2, A ; 737
+ ST B, *AR3+0% || MACR *AR2, B ; 738
+ ST B, *AR3+0% || MACR *AR2-, A ; 739
+ ST B, *AR3+0% || MACR *AR2-, B ; 740
+ ST B, *AR3+0% || MACR *AR2+, A ; 741
+ ST B, *AR3+0% || MACR *AR2+, B ; 742
+ ST B, *AR3+0% || MACR *AR2+0%, A ; 743
+ ST B, *AR3+0% || MACR *AR2+0%, B ; 744
+ ST B, *AR3+0% || MACR *AR3, A ; 745
+ ST B, *AR3+0% || MACR *AR3, B ; 746
+ ST B, *AR3+0% || MACR *AR3-, A ; 747
+ ST B, *AR3+0% || MACR *AR3-, B ; 748
+ ST B, *AR3+0% || MACR *AR3+, A ; 749
+ ST B, *AR3+0% || MACR *AR3+, B ; 750
+ ST B, *AR3+0% || MACR *AR3+0%, A ; 751
+ ST B, *AR3+0% || MACR *AR3+0%, B ; 752
+ ST B, *AR3+0% || MACR *AR4, A ; 753
+ ST B, *AR3+0% || MACR *AR4, B ; 754
+ ST B, *AR3+0% || MACR *AR4-, A ; 755
+ ST B, *AR3+0% || MACR *AR4-, B ; 756
+ ST B, *AR3+0% || MACR *AR4+, A ; 757
+ ST B, *AR3+0% || MACR *AR4+, B ; 758
+ ST B, *AR3+0% || MACR *AR4+0%, A ; 759
+ ST B, *AR3+0% || MACR *AR4+0%, B ; 760
+ ST B, *AR3+0% || MACR *AR5, A ; 761
+ ST B, *AR3+0% || MACR *AR5, B ; 762
+ ST B, *AR3+0% || MACR *AR5-, A ; 763
+ ST B, *AR3+0% || MACR *AR5-, B ; 764
+ ST B, *AR3+0% || MACR *AR5+, A ; 765
+ ST B, *AR3+0% || MACR *AR5+, B ; 766
+ ST B, *AR3+0% || MACR *AR5+0%, A ; 767
+ ST B, *AR3+0% || MACR *AR5+0%, B ; 768
+ ST B, *AR4 || MACR *AR2, A ; 769
+ ST B, *AR4 || MACR *AR2, B ; 770
+ ST B, *AR4 || MACR *AR2-, A ; 771
+ ST B, *AR4 || MACR *AR2-, B ; 772
+ ST B, *AR4 || MACR *AR2+, A ; 773
+ ST B, *AR4 || MACR *AR2+, B ; 774
+ ST B, *AR4 || MACR *AR2+0%, A ; 775
+ ST B, *AR4 || MACR *AR2+0%, B ; 776
+ ST B, *AR4 || MACR *AR3, A ; 777
+ ST B, *AR4 || MACR *AR3, B ; 778
+ ST B, *AR4 || MACR *AR3-, A ; 779
+ ST B, *AR4 || MACR *AR3-, B ; 780
+ ST B, *AR4 || MACR *AR3+, A ; 781
+ ST B, *AR4 || MACR *AR3+, B ; 782
+ ST B, *AR4 || MACR *AR3+0%, A ; 783
+ ST B, *AR4 || MACR *AR3+0%, B ; 784
+ ST B, *AR4 || MACR *AR4, A ; 785
+ ST B, *AR4 || MACR *AR4, B ; 786
+ ST B, *AR4 || MACR *AR4-, A ; 787
+ ST B, *AR4 || MACR *AR4-, B ; 788
+ ST B, *AR4 || MACR *AR4+, A ; 789
+ ST B, *AR4 || MACR *AR4+, B ; 790
+ ST B, *AR4 || MACR *AR4+0%, A ; 791
+ ST B, *AR4 || MACR *AR4+0%, B ; 792
+ ST B, *AR4 || MACR *AR5, A ; 793
+ ST B, *AR4 || MACR *AR5, B ; 794
+ ST B, *AR4 || MACR *AR5-, A ; 795
+ ST B, *AR4 || MACR *AR5-, B ; 796
+ ST B, *AR4 || MACR *AR5+, A ; 797
+ ST B, *AR4 || MACR *AR5+, B ; 798
+ ST B, *AR4 || MACR *AR5+0%, A ; 799
+ ST B, *AR4 || MACR *AR5+0%, B ; 800
+ ST B, *AR4- || MACR *AR2, A ; 801
+ ST B, *AR4- || MACR *AR2, B ; 802
+ ST B, *AR4- || MACR *AR2-, A ; 803
+ ST B, *AR4- || MACR *AR2-, B ; 804
+ ST B, *AR4- || MACR *AR2+, A ; 805
+ ST B, *AR4- || MACR *AR2+, B ; 806
+ ST B, *AR4- || MACR *AR2+0%, A ; 807
+ ST B, *AR4- || MACR *AR2+0%, B ; 808
+ ST B, *AR4- || MACR *AR3, A ; 809
+ ST B, *AR4- || MACR *AR3, B ; 810
+ ST B, *AR4- || MACR *AR3-, A ; 811
+ ST B, *AR4- || MACR *AR3-, B ; 812
+ ST B, *AR4- || MACR *AR3+, A ; 813
+ ST B, *AR4- || MACR *AR3+, B ; 814
+ ST B, *AR4- || MACR *AR3+0%, A ; 815
+ ST B, *AR4- || MACR *AR3+0%, B ; 816
+ ST B, *AR4- || MACR *AR4, A ; 817
+ ST B, *AR4- || MACR *AR4, B ; 818
+ ST B, *AR4- || MACR *AR4-, A ; 819
+ ST B, *AR4- || MACR *AR4-, B ; 820
+ ST B, *AR4- || MACR *AR4+, A ; 821
+ ST B, *AR4- || MACR *AR4+, B ; 822
+ ST B, *AR4- || MACR *AR4+0%, A ; 823
+ ST B, *AR4- || MACR *AR4+0%, B ; 824
+ ST B, *AR4- || MACR *AR5, A ; 825
+ ST B, *AR4- || MACR *AR5, B ; 826
+ ST B, *AR4- || MACR *AR5-, A ; 827
+ ST B, *AR4- || MACR *AR5-, B ; 828
+ ST B, *AR4- || MACR *AR5+, A ; 829
+ ST B, *AR4- || MACR *AR5+, B ; 830
+ ST B, *AR4- || MACR *AR5+0%, A ; 831
+ ST B, *AR4- || MACR *AR5+0%, B ; 832
+ ST B, *AR4+ || MACR *AR2, A ; 833
+ ST B, *AR4+ || MACR *AR2, B ; 834
+ ST B, *AR4+ || MACR *AR2-, A ; 835
+ ST B, *AR4+ || MACR *AR2-, B ; 836
+ ST B, *AR4+ || MACR *AR2+, A ; 837
+ ST B, *AR4+ || MACR *AR2+, B ; 838
+ ST B, *AR4+ || MACR *AR2+0%, A ; 839
+ ST B, *AR4+ || MACR *AR2+0%, B ; 840
+ ST B, *AR4+ || MACR *AR3, A ; 841
+ ST B, *AR4+ || MACR *AR3, B ; 842
+ ST B, *AR4+ || MACR *AR3-, A ; 843
+ ST B, *AR4+ || MACR *AR3-, B ; 844
+ ST B, *AR4+ || MACR *AR3+, A ; 845
+ ST B, *AR4+ || MACR *AR3+, B ; 846
+ ST B, *AR4+ || MACR *AR3+0%, A ; 847
+ ST B, *AR4+ || MACR *AR3+0%, B ; 848
+ ST B, *AR4+ || MACR *AR4, A ; 849
+ ST B, *AR4+ || MACR *AR4, B ; 850
+ ST B, *AR4+ || MACR *AR4-, A ; 851
+ ST B, *AR4+ || MACR *AR4-, B ; 852
+ ST B, *AR4+ || MACR *AR4+, A ; 853
+ ST B, *AR4+ || MACR *AR4+, B ; 854
+ ST B, *AR4+ || MACR *AR4+0%, A ; 855
+ ST B, *AR4+ || MACR *AR4+0%, B ; 856
+ ST B, *AR4+ || MACR *AR5, A ; 857
+ ST B, *AR4+ || MACR *AR5, B ; 858
+ ST B, *AR4+ || MACR *AR5-, A ; 859
+ ST B, *AR4+ || MACR *AR5-, B ; 860
+ ST B, *AR4+ || MACR *AR5+, A ; 861
+ ST B, *AR4+ || MACR *AR5+, B ; 862
+ ST B, *AR4+ || MACR *AR5+0%, A ; 863
+ ST B, *AR4+ || MACR *AR5+0%, B ; 864
+ ST B, *AR4+0% || MACR *AR2, A ; 865
+ ST B, *AR4+0% || MACR *AR2, B ; 866
+ ST B, *AR4+0% || MACR *AR2-, A ; 867
+ ST B, *AR4+0% || MACR *AR2-, B ; 868
+ ST B, *AR4+0% || MACR *AR2+, A ; 869
+ ST B, *AR4+0% || MACR *AR2+, B ; 870
+ ST B, *AR4+0% || MACR *AR2+0%, A ; 871
+ ST B, *AR4+0% || MACR *AR2+0%, B ; 872
+ ST B, *AR4+0% || MACR *AR3, A ; 873
+ ST B, *AR4+0% || MACR *AR3, B ; 874
+ ST B, *AR4+0% || MACR *AR3-, A ; 875
+ ST B, *AR4+0% || MACR *AR3-, B ; 876
+ ST B, *AR4+0% || MACR *AR3+, A ; 877
+ ST B, *AR4+0% || MACR *AR3+, B ; 878
+ ST B, *AR4+0% || MACR *AR3+0%, A ; 879
+ ST B, *AR4+0% || MACR *AR3+0%, B ; 880
+ ST B, *AR4+0% || MACR *AR4, A ; 881
+ ST B, *AR4+0% || MACR *AR4, B ; 882
+ ST B, *AR4+0% || MACR *AR4-, A ; 883
+ ST B, *AR4+0% || MACR *AR4-, B ; 884
+ ST B, *AR4+0% || MACR *AR4+, A ; 885
+ ST B, *AR4+0% || MACR *AR4+, B ; 886
+ ST B, *AR4+0% || MACR *AR4+0%, A ; 887
+ ST B, *AR4+0% || MACR *AR4+0%, B ; 888
+ ST B, *AR4+0% || MACR *AR5, A ; 889
+ ST B, *AR4+0% || MACR *AR5, B ; 890
+ ST B, *AR4+0% || MACR *AR5-, A ; 891
+ ST B, *AR4+0% || MACR *AR5-, B ; 892
+ ST B, *AR4+0% || MACR *AR5+, A ; 893
+ ST B, *AR4+0% || MACR *AR5+, B ; 894
+ ST B, *AR4+0% || MACR *AR5+0%, A ; 895
+ ST B, *AR4+0% || MACR *AR5+0%, B ; 896
+ ST B, *AR5 || MACR *AR2, A ; 897
+ ST B, *AR5 || MACR *AR2, B ; 898
+ ST B, *AR5 || MACR *AR2-, A ; 899
+ ST B, *AR5 || MACR *AR2-, B ; 900
+ ST B, *AR5 || MACR *AR2+, A ; 901
+ ST B, *AR5 || MACR *AR2+, B ; 902
+ ST B, *AR5 || MACR *AR2+0%, A ; 903
+ ST B, *AR5 || MACR *AR2+0%, B ; 904
+ ST B, *AR5 || MACR *AR3, A ; 905
+ ST B, *AR5 || MACR *AR3, B ; 906
+ ST B, *AR5 || MACR *AR3-, A ; 907
+ ST B, *AR5 || MACR *AR3-, B ; 908
+ ST B, *AR5 || MACR *AR3+, A ; 909
+ ST B, *AR5 || MACR *AR3+, B ; 910
+ ST B, *AR5 || MACR *AR3+0%, A ; 911
+ ST B, *AR5 || MACR *AR3+0%, B ; 912
+ ST B, *AR5 || MACR *AR4, A ; 913
+ ST B, *AR5 || MACR *AR4, B ; 914
+ ST B, *AR5 || MACR *AR4-, A ; 915
+ ST B, *AR5 || MACR *AR4-, B ; 916
+ ST B, *AR5 || MACR *AR4+, A ; 917
+ ST B, *AR5 || MACR *AR4+, B ; 918
+ ST B, *AR5 || MACR *AR4+0%, A ; 919
+ ST B, *AR5 || MACR *AR4+0%, B ; 920
+ ST B, *AR5 || MACR *AR5, A ; 921
+ ST B, *AR5 || MACR *AR5, B ; 922
+ ST B, *AR5 || MACR *AR5-, A ; 923
+ ST B, *AR5 || MACR *AR5-, B ; 924
+ ST B, *AR5 || MACR *AR5+, A ; 925
+ ST B, *AR5 || MACR *AR5+, B ; 926
+ ST B, *AR5 || MACR *AR5+0%, A ; 927
+ ST B, *AR5 || MACR *AR5+0%, B ; 928
+ ST B, *AR5- || MACR *AR2, A ; 929
+ ST B, *AR5- || MACR *AR2, B ; 930
+ ST B, *AR5- || MACR *AR2-, A ; 931
+ ST B, *AR5- || MACR *AR2-, B ; 932
+ ST B, *AR5- || MACR *AR2+, A ; 933
+ ST B, *AR5- || MACR *AR2+, B ; 934
+ ST B, *AR5- || MACR *AR2+0%, A ; 935
+ ST B, *AR5- || MACR *AR2+0%, B ; 936
+ ST B, *AR5- || MACR *AR3, A ; 937
+ ST B, *AR5- || MACR *AR3, B ; 938
+ ST B, *AR5- || MACR *AR3-, A ; 939
+ ST B, *AR5- || MACR *AR3-, B ; 940
+ ST B, *AR5- || MACR *AR3+, A ; 941
+ ST B, *AR5- || MACR *AR3+, B ; 942
+ ST B, *AR5- || MACR *AR3+0%, A ; 943
+ ST B, *AR5- || MACR *AR3+0%, B ; 944
+ ST B, *AR5- || MACR *AR4, A ; 945
+ ST B, *AR5- || MACR *AR4, B ; 946
+ ST B, *AR5- || MACR *AR4-, A ; 947
+ ST B, *AR5- || MACR *AR4-, B ; 948
+ ST B, *AR5- || MACR *AR4+, A ; 949
+ ST B, *AR5- || MACR *AR4+, B ; 950
+ ST B, *AR5- || MACR *AR4+0%, A ; 951
+ ST B, *AR5- || MACR *AR4+0%, B ; 952
+ ST B, *AR5- || MACR *AR5, A ; 953
+ ST B, *AR5- || MACR *AR5, B ; 954
+ ST B, *AR5- || MACR *AR5-, A ; 955
+ ST B, *AR5- || MACR *AR5-, B ; 956
+ ST B, *AR5- || MACR *AR5+, A ; 957
+ ST B, *AR5- || MACR *AR5+, B ; 958
+ ST B, *AR5- || MACR *AR5+0%, A ; 959
+ ST B, *AR5- || MACR *AR5+0%, B ; 960
+ ST B, *AR5+ || MACR *AR2, A ; 961
+ ST B, *AR5+ || MACR *AR2, B ; 962
+ ST B, *AR5+ || MACR *AR2-, A ; 963
+ ST B, *AR5+ || MACR *AR2-, B ; 964
+ ST B, *AR5+ || MACR *AR2+, A ; 965
+ ST B, *AR5+ || MACR *AR2+, B ; 966
+ ST B, *AR5+ || MACR *AR2+0%, A ; 967
+ ST B, *AR5+ || MACR *AR2+0%, B ; 968
+ ST B, *AR5+ || MACR *AR3, A ; 969
+ ST B, *AR5+ || MACR *AR3, B ; 970
+ ST B, *AR5+ || MACR *AR3-, A ; 971
+ ST B, *AR5+ || MACR *AR3-, B ; 972
+ ST B, *AR5+ || MACR *AR3+, A ; 973
+ ST B, *AR5+ || MACR *AR3+, B ; 974
+ ST B, *AR5+ || MACR *AR3+0%, A ; 975
+ ST B, *AR5+ || MACR *AR3+0%, B ; 976
+ ST B, *AR5+ || MACR *AR4, A ; 977
+ ST B, *AR5+ || MACR *AR4, B ; 978
+ ST B, *AR5+ || MACR *AR4-, A ; 979
+ ST B, *AR5+ || MACR *AR4-, B ; 980
+ ST B, *AR5+ || MACR *AR4+, A ; 981
+ ST B, *AR5+ || MACR *AR4+, B ; 982
+ ST B, *AR5+ || MACR *AR4+0%, A ; 983
+ ST B, *AR5+ || MACR *AR4+0%, B ; 984
+ ST B, *AR5+ || MACR *AR5, A ; 985
+ ST B, *AR5+ || MACR *AR5, B ; 986
+ ST B, *AR5+ || MACR *AR5-, A ; 987
+ ST B, *AR5+ || MACR *AR5-, B ; 988
+ ST B, *AR5+ || MACR *AR5+, A ; 989
+ ST B, *AR5+ || MACR *AR5+, B ; 990
+ ST B, *AR5+ || MACR *AR5+0%, A ; 991
+ ST B, *AR5+ || MACR *AR5+0%, B ; 992
+ ST B, *AR5+0% || MACR *AR2, A ; 993
+ ST B, *AR5+0% || MACR *AR2, B ; 994
+ ST B, *AR5+0% || MACR *AR2-, A ; 995
+ ST B, *AR5+0% || MACR *AR2-, B ; 996
+ ST B, *AR5+0% || MACR *AR2+, A ; 997
+ ST B, *AR5+0% || MACR *AR2+, B ; 998
+ ST B, *AR5+0% || MACR *AR2+0%, A ; 999
+ ST B, *AR5+0% || MACR *AR2+0%, B ; 1000
+ ST B, *AR5+0% || MACR *AR3, A ; 1001
+ ST B, *AR5+0% || MACR *AR3, B ; 1002
+ ST B, *AR5+0% || MACR *AR3-, A ; 1003
+ ST B, *AR5+0% || MACR *AR3-, B ; 1004
+ ST B, *AR5+0% || MACR *AR3+, A ; 1005
+ ST B, *AR5+0% || MACR *AR3+, B ; 1006
+ ST B, *AR5+0% || MACR *AR3+0%, A ; 1007
+ ST B, *AR5+0% || MACR *AR3+0%, B ; 1008
+ ST B, *AR5+0% || MACR *AR4, A ; 1009
+ ST B, *AR5+0% || MACR *AR4, B ; 1010
+ ST B, *AR5+0% || MACR *AR4-, A ; 1011
+ ST B, *AR5+0% || MACR *AR4-, B ; 1012
+ ST B, *AR5+0% || MACR *AR4+, A ; 1013
+ ST B, *AR5+0% || MACR *AR4+, B ; 1014
+ ST B, *AR5+0% || MACR *AR4+0%, A ; 1015
+ ST B, *AR5+0% || MACR *AR4+0%, B ; 1016
+ ST B, *AR5+0% || MACR *AR5, A ; 1017
+ ST B, *AR5+0% || MACR *AR5, B ; 1018
+ ST B, *AR5+0% || MACR *AR5-, A ; 1019
+ ST B, *AR5+0% || MACR *AR5-, B ; 1020
+ ST B, *AR5+0% || MACR *AR5+, A ; 1021
+ ST B, *AR5+0% || MACR *AR5+, B ; 1022
+ ST B, *AR5+0% || MACR *AR5+0%, A ; 1023
+ ST B, *AR5+0% || MACR *AR5+0%, B ; 1024
+ ; STandMAS : ST AB, Ymem || MAS Xmem, AB : 1024
+ ST A, *AR2 || MAS *AR2, A ; 1
+ ST A, *AR2 || MAS *AR2, B ; 2
+ ST A, *AR2 || MAS *AR2-, A ; 3
+ ST A, *AR2 || MAS *AR2-, B ; 4
+ ST A, *AR2 || MAS *AR2+, A ; 5
+ ST A, *AR2 || MAS *AR2+, B ; 6
+ ST A, *AR2 || MAS *AR2+0%, A ; 7
+ ST A, *AR2 || MAS *AR2+0%, B ; 8
+ ST A, *AR2 || MAS *AR3, A ; 9
+ ST A, *AR2 || MAS *AR3, B ; 10
+ ST A, *AR2 || MAS *AR3-, A ; 11
+ ST A, *AR2 || MAS *AR3-, B ; 12
+ ST A, *AR2 || MAS *AR3+, A ; 13
+ ST A, *AR2 || MAS *AR3+, B ; 14
+ ST A, *AR2 || MAS *AR3+0%, A ; 15
+ ST A, *AR2 || MAS *AR3+0%, B ; 16
+ ST A, *AR2 || MAS *AR4, A ; 17
+ ST A, *AR2 || MAS *AR4, B ; 18
+ ST A, *AR2 || MAS *AR4-, A ; 19
+ ST A, *AR2 || MAS *AR4-, B ; 20
+ ST A, *AR2 || MAS *AR4+, A ; 21
+ ST A, *AR2 || MAS *AR4+, B ; 22
+ ST A, *AR2 || MAS *AR4+0%, A ; 23
+ ST A, *AR2 || MAS *AR4+0%, B ; 24
+ ST A, *AR2 || MAS *AR5, A ; 25
+ ST A, *AR2 || MAS *AR5, B ; 26
+ ST A, *AR2 || MAS *AR5-, A ; 27
+ ST A, *AR2 || MAS *AR5-, B ; 28
+ ST A, *AR2 || MAS *AR5+, A ; 29
+ ST A, *AR2 || MAS *AR5+, B ; 30
+ ST A, *AR2 || MAS *AR5+0%, A ; 31
+ ST A, *AR2 || MAS *AR5+0%, B ; 32
+ ST A, *AR2- || MAS *AR2, A ; 33
+ ST A, *AR2- || MAS *AR2, B ; 34
+ ST A, *AR2- || MAS *AR2-, A ; 35
+ ST A, *AR2- || MAS *AR2-, B ; 36
+ ST A, *AR2- || MAS *AR2+, A ; 37
+ ST A, *AR2- || MAS *AR2+, B ; 38
+ ST A, *AR2- || MAS *AR2+0%, A ; 39
+ ST A, *AR2- || MAS *AR2+0%, B ; 40
+ ST A, *AR2- || MAS *AR3, A ; 41
+ ST A, *AR2- || MAS *AR3, B ; 42
+ ST A, *AR2- || MAS *AR3-, A ; 43
+ ST A, *AR2- || MAS *AR3-, B ; 44
+ ST A, *AR2- || MAS *AR3+, A ; 45
+ ST A, *AR2- || MAS *AR3+, B ; 46
+ ST A, *AR2- || MAS *AR3+0%, A ; 47
+ ST A, *AR2- || MAS *AR3+0%, B ; 48
+ ST A, *AR2- || MAS *AR4, A ; 49
+ ST A, *AR2- || MAS *AR4, B ; 50
+ ST A, *AR2- || MAS *AR4-, A ; 51
+ ST A, *AR2- || MAS *AR4-, B ; 52
+ ST A, *AR2- || MAS *AR4+, A ; 53
+ ST A, *AR2- || MAS *AR4+, B ; 54
+ ST A, *AR2- || MAS *AR4+0%, A ; 55
+ ST A, *AR2- || MAS *AR4+0%, B ; 56
+ ST A, *AR2- || MAS *AR5, A ; 57
+ ST A, *AR2- || MAS *AR5, B ; 58
+ ST A, *AR2- || MAS *AR5-, A ; 59
+ ST A, *AR2- || MAS *AR5-, B ; 60
+ ST A, *AR2- || MAS *AR5+, A ; 61
+ ST A, *AR2- || MAS *AR5+, B ; 62
+ ST A, *AR2- || MAS *AR5+0%, A ; 63
+ ST A, *AR2- || MAS *AR5+0%, B ; 64
+ ST A, *AR2+ || MAS *AR2, A ; 65
+ ST A, *AR2+ || MAS *AR2, B ; 66
+ ST A, *AR2+ || MAS *AR2-, A ; 67
+ ST A, *AR2+ || MAS *AR2-, B ; 68
+ ST A, *AR2+ || MAS *AR2+, A ; 69
+ ST A, *AR2+ || MAS *AR2+, B ; 70
+ ST A, *AR2+ || MAS *AR2+0%, A ; 71
+ ST A, *AR2+ || MAS *AR2+0%, B ; 72
+ ST A, *AR2+ || MAS *AR3, A ; 73
+ ST A, *AR2+ || MAS *AR3, B ; 74
+ ST A, *AR2+ || MAS *AR3-, A ; 75
+ ST A, *AR2+ || MAS *AR3-, B ; 76
+ ST A, *AR2+ || MAS *AR3+, A ; 77
+ ST A, *AR2+ || MAS *AR3+, B ; 78
+ ST A, *AR2+ || MAS *AR3+0%, A ; 79
+ ST A, *AR2+ || MAS *AR3+0%, B ; 80
+ ST A, *AR2+ || MAS *AR4, A ; 81
+ ST A, *AR2+ || MAS *AR4, B ; 82
+ ST A, *AR2+ || MAS *AR4-, A ; 83
+ ST A, *AR2+ || MAS *AR4-, B ; 84
+ ST A, *AR2+ || MAS *AR4+, A ; 85
+ ST A, *AR2+ || MAS *AR4+, B ; 86
+ ST A, *AR2+ || MAS *AR4+0%, A ; 87
+ ST A, *AR2+ || MAS *AR4+0%, B ; 88
+ ST A, *AR2+ || MAS *AR5, A ; 89
+ ST A, *AR2+ || MAS *AR5, B ; 90
+ ST A, *AR2+ || MAS *AR5-, A ; 91
+ ST A, *AR2+ || MAS *AR5-, B ; 92
+ ST A, *AR2+ || MAS *AR5+, A ; 93
+ ST A, *AR2+ || MAS *AR5+, B ; 94
+ ST A, *AR2+ || MAS *AR5+0%, A ; 95
+ ST A, *AR2+ || MAS *AR5+0%, B ; 96
+ ST A, *AR2+0% || MAS *AR2, A ; 97
+ ST A, *AR2+0% || MAS *AR2, B ; 98
+ ST A, *AR2+0% || MAS *AR2-, A ; 99
+ ST A, *AR2+0% || MAS *AR2-, B ; 100
+ ST A, *AR2+0% || MAS *AR2+, A ; 101
+ ST A, *AR2+0% || MAS *AR2+, B ; 102
+ ST A, *AR2+0% || MAS *AR2+0%, A ; 103
+ ST A, *AR2+0% || MAS *AR2+0%, B ; 104
+ ST A, *AR2+0% || MAS *AR3, A ; 105
+ ST A, *AR2+0% || MAS *AR3, B ; 106
+ ST A, *AR2+0% || MAS *AR3-, A ; 107
+ ST A, *AR2+0% || MAS *AR3-, B ; 108
+ ST A, *AR2+0% || MAS *AR3+, A ; 109
+ ST A, *AR2+0% || MAS *AR3+, B ; 110
+ ST A, *AR2+0% || MAS *AR3+0%, A ; 111
+ ST A, *AR2+0% || MAS *AR3+0%, B ; 112
+ ST A, *AR2+0% || MAS *AR4, A ; 113
+ ST A, *AR2+0% || MAS *AR4, B ; 114
+ ST A, *AR2+0% || MAS *AR4-, A ; 115
+ ST A, *AR2+0% || MAS *AR4-, B ; 116
+ ST A, *AR2+0% || MAS *AR4+, A ; 117
+ ST A, *AR2+0% || MAS *AR4+, B ; 118
+ ST A, *AR2+0% || MAS *AR4+0%, A ; 119
+ ST A, *AR2+0% || MAS *AR4+0%, B ; 120
+ ST A, *AR2+0% || MAS *AR5, A ; 121
+ ST A, *AR2+0% || MAS *AR5, B ; 122
+ ST A, *AR2+0% || MAS *AR5-, A ; 123
+ ST A, *AR2+0% || MAS *AR5-, B ; 124
+ ST A, *AR2+0% || MAS *AR5+, A ; 125
+ ST A, *AR2+0% || MAS *AR5+, B ; 126
+ ST A, *AR2+0% || MAS *AR5+0%, A ; 127
+ ST A, *AR2+0% || MAS *AR5+0%, B ; 128
+ ST A, *AR3 || MAS *AR2, A ; 129
+ ST A, *AR3 || MAS *AR2, B ; 130
+ ST A, *AR3 || MAS *AR2-, A ; 131
+ ST A, *AR3 || MAS *AR2-, B ; 132
+ ST A, *AR3 || MAS *AR2+, A ; 133
+ ST A, *AR3 || MAS *AR2+, B ; 134
+ ST A, *AR3 || MAS *AR2+0%, A ; 135
+ ST A, *AR3 || MAS *AR2+0%, B ; 136
+ ST A, *AR3 || MAS *AR3, A ; 137
+ ST A, *AR3 || MAS *AR3, B ; 138
+ ST A, *AR3 || MAS *AR3-, A ; 139
+ ST A, *AR3 || MAS *AR3-, B ; 140
+ ST A, *AR3 || MAS *AR3+, A ; 141
+ ST A, *AR3 || MAS *AR3+, B ; 142
+ ST A, *AR3 || MAS *AR3+0%, A ; 143
+ ST A, *AR3 || MAS *AR3+0%, B ; 144
+ ST A, *AR3 || MAS *AR4, A ; 145
+ ST A, *AR3 || MAS *AR4, B ; 146
+ ST A, *AR3 || MAS *AR4-, A ; 147
+ ST A, *AR3 || MAS *AR4-, B ; 148
+ ST A, *AR3 || MAS *AR4+, A ; 149
+ ST A, *AR3 || MAS *AR4+, B ; 150
+ ST A, *AR3 || MAS *AR4+0%, A ; 151
+ ST A, *AR3 || MAS *AR4+0%, B ; 152
+ ST A, *AR3 || MAS *AR5, A ; 153
+ ST A, *AR3 || MAS *AR5, B ; 154
+ ST A, *AR3 || MAS *AR5-, A ; 155
+ ST A, *AR3 || MAS *AR5-, B ; 156
+ ST A, *AR3 || MAS *AR5+, A ; 157
+ ST A, *AR3 || MAS *AR5+, B ; 158
+ ST A, *AR3 || MAS *AR5+0%, A ; 159
+ ST A, *AR3 || MAS *AR5+0%, B ; 160
+ ST A, *AR3- || MAS *AR2, A ; 161
+ ST A, *AR3- || MAS *AR2, B ; 162
+ ST A, *AR3- || MAS *AR2-, A ; 163
+ ST A, *AR3- || MAS *AR2-, B ; 164
+ ST A, *AR3- || MAS *AR2+, A ; 165
+ ST A, *AR3- || MAS *AR2+, B ; 166
+ ST A, *AR3- || MAS *AR2+0%, A ; 167
+ ST A, *AR3- || MAS *AR2+0%, B ; 168
+ ST A, *AR3- || MAS *AR3, A ; 169
+ ST A, *AR3- || MAS *AR3, B ; 170
+ ST A, *AR3- || MAS *AR3-, A ; 171
+ ST A, *AR3- || MAS *AR3-, B ; 172
+ ST A, *AR3- || MAS *AR3+, A ; 173
+ ST A, *AR3- || MAS *AR3+, B ; 174
+ ST A, *AR3- || MAS *AR3+0%, A ; 175
+ ST A, *AR3- || MAS *AR3+0%, B ; 176
+ ST A, *AR3- || MAS *AR4, A ; 177
+ ST A, *AR3- || MAS *AR4, B ; 178
+ ST A, *AR3- || MAS *AR4-, A ; 179
+ ST A, *AR3- || MAS *AR4-, B ; 180
+ ST A, *AR3- || MAS *AR4+, A ; 181
+ ST A, *AR3- || MAS *AR4+, B ; 182
+ ST A, *AR3- || MAS *AR4+0%, A ; 183
+ ST A, *AR3- || MAS *AR4+0%, B ; 184
+ ST A, *AR3- || MAS *AR5, A ; 185
+ ST A, *AR3- || MAS *AR5, B ; 186
+ ST A, *AR3- || MAS *AR5-, A ; 187
+ ST A, *AR3- || MAS *AR5-, B ; 188
+ ST A, *AR3- || MAS *AR5+, A ; 189
+ ST A, *AR3- || MAS *AR5+, B ; 190
+ ST A, *AR3- || MAS *AR5+0%, A ; 191
+ ST A, *AR3- || MAS *AR5+0%, B ; 192
+ ST A, *AR3+ || MAS *AR2, A ; 193
+ ST A, *AR3+ || MAS *AR2, B ; 194
+ ST A, *AR3+ || MAS *AR2-, A ; 195
+ ST A, *AR3+ || MAS *AR2-, B ; 196
+ ST A, *AR3+ || MAS *AR2+, A ; 197
+ ST A, *AR3+ || MAS *AR2+, B ; 198
+ ST A, *AR3+ || MAS *AR2+0%, A ; 199
+ ST A, *AR3+ || MAS *AR2+0%, B ; 200
+ ST A, *AR3+ || MAS *AR3, A ; 201
+ ST A, *AR3+ || MAS *AR3, B ; 202
+ ST A, *AR3+ || MAS *AR3-, A ; 203
+ ST A, *AR3+ || MAS *AR3-, B ; 204
+ ST A, *AR3+ || MAS *AR3+, A ; 205
+ ST A, *AR3+ || MAS *AR3+, B ; 206
+ ST A, *AR3+ || MAS *AR3+0%, A ; 207
+ ST A, *AR3+ || MAS *AR3+0%, B ; 208
+ ST A, *AR3+ || MAS *AR4, A ; 209
+ ST A, *AR3+ || MAS *AR4, B ; 210
+ ST A, *AR3+ || MAS *AR4-, A ; 211
+ ST A, *AR3+ || MAS *AR4-, B ; 212
+ ST A, *AR3+ || MAS *AR4+, A ; 213
+ ST A, *AR3+ || MAS *AR4+, B ; 214
+ ST A, *AR3+ || MAS *AR4+0%, A ; 215
+ ST A, *AR3+ || MAS *AR4+0%, B ; 216
+ ST A, *AR3+ || MAS *AR5, A ; 217
+ ST A, *AR3+ || MAS *AR5, B ; 218
+ ST A, *AR3+ || MAS *AR5-, A ; 219
+ ST A, *AR3+ || MAS *AR5-, B ; 220
+ ST A, *AR3+ || MAS *AR5+, A ; 221
+ ST A, *AR3+ || MAS *AR5+, B ; 222
+ ST A, *AR3+ || MAS *AR5+0%, A ; 223
+ ST A, *AR3+ || MAS *AR5+0%, B ; 224
+ ST A, *AR3+0% || MAS *AR2, A ; 225
+ ST A, *AR3+0% || MAS *AR2, B ; 226
+ ST A, *AR3+0% || MAS *AR2-, A ; 227
+ ST A, *AR3+0% || MAS *AR2-, B ; 228
+ ST A, *AR3+0% || MAS *AR2+, A ; 229
+ ST A, *AR3+0% || MAS *AR2+, B ; 230
+ ST A, *AR3+0% || MAS *AR2+0%, A ; 231
+ ST A, *AR3+0% || MAS *AR2+0%, B ; 232
+ ST A, *AR3+0% || MAS *AR3, A ; 233
+ ST A, *AR3+0% || MAS *AR3, B ; 234
+ ST A, *AR3+0% || MAS *AR3-, A ; 235
+ ST A, *AR3+0% || MAS *AR3-, B ; 236
+ ST A, *AR3+0% || MAS *AR3+, A ; 237
+ ST A, *AR3+0% || MAS *AR3+, B ; 238
+ ST A, *AR3+0% || MAS *AR3+0%, A ; 239
+ ST A, *AR3+0% || MAS *AR3+0%, B ; 240
+ ST A, *AR3+0% || MAS *AR4, A ; 241
+ ST A, *AR3+0% || MAS *AR4, B ; 242
+ ST A, *AR3+0% || MAS *AR4-, A ; 243
+ ST A, *AR3+0% || MAS *AR4-, B ; 244
+ ST A, *AR3+0% || MAS *AR4+, A ; 245
+ ST A, *AR3+0% || MAS *AR4+, B ; 246
+ ST A, *AR3+0% || MAS *AR4+0%, A ; 247
+ ST A, *AR3+0% || MAS *AR4+0%, B ; 248
+ ST A, *AR3+0% || MAS *AR5, A ; 249
+ ST A, *AR3+0% || MAS *AR5, B ; 250
+ ST A, *AR3+0% || MAS *AR5-, A ; 251
+ ST A, *AR3+0% || MAS *AR5-, B ; 252
+ ST A, *AR3+0% || MAS *AR5+, A ; 253
+ ST A, *AR3+0% || MAS *AR5+, B ; 254
+ ST A, *AR3+0% || MAS *AR5+0%, A ; 255
+ ST A, *AR3+0% || MAS *AR5+0%, B ; 256
+ ST A, *AR4 || MAS *AR2, A ; 257
+ ST A, *AR4 || MAS *AR2, B ; 258
+ ST A, *AR4 || MAS *AR2-, A ; 259
+ ST A, *AR4 || MAS *AR2-, B ; 260
+ ST A, *AR4 || MAS *AR2+, A ; 261
+ ST A, *AR4 || MAS *AR2+, B ; 262
+ ST A, *AR4 || MAS *AR2+0%, A ; 263
+ ST A, *AR4 || MAS *AR2+0%, B ; 264
+ ST A, *AR4 || MAS *AR3, A ; 265
+ ST A, *AR4 || MAS *AR3, B ; 266
+ ST A, *AR4 || MAS *AR3-, A ; 267
+ ST A, *AR4 || MAS *AR3-, B ; 268
+ ST A, *AR4 || MAS *AR3+, A ; 269
+ ST A, *AR4 || MAS *AR3+, B ; 270
+ ST A, *AR4 || MAS *AR3+0%, A ; 271
+ ST A, *AR4 || MAS *AR3+0%, B ; 272
+ ST A, *AR4 || MAS *AR4, A ; 273
+ ST A, *AR4 || MAS *AR4, B ; 274
+ ST A, *AR4 || MAS *AR4-, A ; 275
+ ST A, *AR4 || MAS *AR4-, B ; 276
+ ST A, *AR4 || MAS *AR4+, A ; 277
+ ST A, *AR4 || MAS *AR4+, B ; 278
+ ST A, *AR4 || MAS *AR4+0%, A ; 279
+ ST A, *AR4 || MAS *AR4+0%, B ; 280
+ ST A, *AR4 || MAS *AR5, A ; 281
+ ST A, *AR4 || MAS *AR5, B ; 282
+ ST A, *AR4 || MAS *AR5-, A ; 283
+ ST A, *AR4 || MAS *AR5-, B ; 284
+ ST A, *AR4 || MAS *AR5+, A ; 285
+ ST A, *AR4 || MAS *AR5+, B ; 286
+ ST A, *AR4 || MAS *AR5+0%, A ; 287
+ ST A, *AR4 || MAS *AR5+0%, B ; 288
+ ST A, *AR4- || MAS *AR2, A ; 289
+ ST A, *AR4- || MAS *AR2, B ; 290
+ ST A, *AR4- || MAS *AR2-, A ; 291
+ ST A, *AR4- || MAS *AR2-, B ; 292
+ ST A, *AR4- || MAS *AR2+, A ; 293
+ ST A, *AR4- || MAS *AR2+, B ; 294
+ ST A, *AR4- || MAS *AR2+0%, A ; 295
+ ST A, *AR4- || MAS *AR2+0%, B ; 296
+ ST A, *AR4- || MAS *AR3, A ; 297
+ ST A, *AR4- || MAS *AR3, B ; 298
+ ST A, *AR4- || MAS *AR3-, A ; 299
+ ST A, *AR4- || MAS *AR3-, B ; 300
+ ST A, *AR4- || MAS *AR3+, A ; 301
+ ST A, *AR4- || MAS *AR3+, B ; 302
+ ST A, *AR4- || MAS *AR3+0%, A ; 303
+ ST A, *AR4- || MAS *AR3+0%, B ; 304
+ ST A, *AR4- || MAS *AR4, A ; 305
+ ST A, *AR4- || MAS *AR4, B ; 306
+ ST A, *AR4- || MAS *AR4-, A ; 307
+ ST A, *AR4- || MAS *AR4-, B ; 308
+ ST A, *AR4- || MAS *AR4+, A ; 309
+ ST A, *AR4- || MAS *AR4+, B ; 310
+ ST A, *AR4- || MAS *AR4+0%, A ; 311
+ ST A, *AR4- || MAS *AR4+0%, B ; 312
+ ST A, *AR4- || MAS *AR5, A ; 313
+ ST A, *AR4- || MAS *AR5, B ; 314
+ ST A, *AR4- || MAS *AR5-, A ; 315
+ ST A, *AR4- || MAS *AR5-, B ; 316
+ ST A, *AR4- || MAS *AR5+, A ; 317
+ ST A, *AR4- || MAS *AR5+, B ; 318
+ ST A, *AR4- || MAS *AR5+0%, A ; 319
+ ST A, *AR4- || MAS *AR5+0%, B ; 320
+ ST A, *AR4+ || MAS *AR2, A ; 321
+ ST A, *AR4+ || MAS *AR2, B ; 322
+ ST A, *AR4+ || MAS *AR2-, A ; 323
+ ST A, *AR4+ || MAS *AR2-, B ; 324
+ ST A, *AR4+ || MAS *AR2+, A ; 325
+ ST A, *AR4+ || MAS *AR2+, B ; 326
+ ST A, *AR4+ || MAS *AR2+0%, A ; 327
+ ST A, *AR4+ || MAS *AR2+0%, B ; 328
+ ST A, *AR4+ || MAS *AR3, A ; 329
+ ST A, *AR4+ || MAS *AR3, B ; 330
+ ST A, *AR4+ || MAS *AR3-, A ; 331
+ ST A, *AR4+ || MAS *AR3-, B ; 332
+ ST A, *AR4+ || MAS *AR3+, A ; 333
+ ST A, *AR4+ || MAS *AR3+, B ; 334
+ ST A, *AR4+ || MAS *AR3+0%, A ; 335
+ ST A, *AR4+ || MAS *AR3+0%, B ; 336
+ ST A, *AR4+ || MAS *AR4, A ; 337
+ ST A, *AR4+ || MAS *AR4, B ; 338
+ ST A, *AR4+ || MAS *AR4-, A ; 339
+ ST A, *AR4+ || MAS *AR4-, B ; 340
+ ST A, *AR4+ || MAS *AR4+, A ; 341
+ ST A, *AR4+ || MAS *AR4+, B ; 342
+ ST A, *AR4+ || MAS *AR4+0%, A ; 343
+ ST A, *AR4+ || MAS *AR4+0%, B ; 344
+ ST A, *AR4+ || MAS *AR5, A ; 345
+ ST A, *AR4+ || MAS *AR5, B ; 346
+ ST A, *AR4+ || MAS *AR5-, A ; 347
+ ST A, *AR4+ || MAS *AR5-, B ; 348
+ ST A, *AR4+ || MAS *AR5+, A ; 349
+ ST A, *AR4+ || MAS *AR5+, B ; 350
+ ST A, *AR4+ || MAS *AR5+0%, A ; 351
+ ST A, *AR4+ || MAS *AR5+0%, B ; 352
+ ST A, *AR4+0% || MAS *AR2, A ; 353
+ ST A, *AR4+0% || MAS *AR2, B ; 354
+ ST A, *AR4+0% || MAS *AR2-, A ; 355
+ ST A, *AR4+0% || MAS *AR2-, B ; 356
+ ST A, *AR4+0% || MAS *AR2+, A ; 357
+ ST A, *AR4+0% || MAS *AR2+, B ; 358
+ ST A, *AR4+0% || MAS *AR2+0%, A ; 359
+ ST A, *AR4+0% || MAS *AR2+0%, B ; 360
+ ST A, *AR4+0% || MAS *AR3, A ; 361
+ ST A, *AR4+0% || MAS *AR3, B ; 362
+ ST A, *AR4+0% || MAS *AR3-, A ; 363
+ ST A, *AR4+0% || MAS *AR3-, B ; 364
+ ST A, *AR4+0% || MAS *AR3+, A ; 365
+ ST A, *AR4+0% || MAS *AR3+, B ; 366
+ ST A, *AR4+0% || MAS *AR3+0%, A ; 367
+ ST A, *AR4+0% || MAS *AR3+0%, B ; 368
+ ST A, *AR4+0% || MAS *AR4, A ; 369
+ ST A, *AR4+0% || MAS *AR4, B ; 370
+ ST A, *AR4+0% || MAS *AR4-, A ; 371
+ ST A, *AR4+0% || MAS *AR4-, B ; 372
+ ST A, *AR4+0% || MAS *AR4+, A ; 373
+ ST A, *AR4+0% || MAS *AR4+, B ; 374
+ ST A, *AR4+0% || MAS *AR4+0%, A ; 375
+ ST A, *AR4+0% || MAS *AR4+0%, B ; 376
+ ST A, *AR4+0% || MAS *AR5, A ; 377
+ ST A, *AR4+0% || MAS *AR5, B ; 378
+ ST A, *AR4+0% || MAS *AR5-, A ; 379
+ ST A, *AR4+0% || MAS *AR5-, B ; 380
+ ST A, *AR4+0% || MAS *AR5+, A ; 381
+ ST A, *AR4+0% || MAS *AR5+, B ; 382
+ ST A, *AR4+0% || MAS *AR5+0%, A ; 383
+ ST A, *AR4+0% || MAS *AR5+0%, B ; 384
+ ST A, *AR5 || MAS *AR2, A ; 385
+ ST A, *AR5 || MAS *AR2, B ; 386
+ ST A, *AR5 || MAS *AR2-, A ; 387
+ ST A, *AR5 || MAS *AR2-, B ; 388
+ ST A, *AR5 || MAS *AR2+, A ; 389
+ ST A, *AR5 || MAS *AR2+, B ; 390
+ ST A, *AR5 || MAS *AR2+0%, A ; 391
+ ST A, *AR5 || MAS *AR2+0%, B ; 392
+ ST A, *AR5 || MAS *AR3, A ; 393
+ ST A, *AR5 || MAS *AR3, B ; 394
+ ST A, *AR5 || MAS *AR3-, A ; 395
+ ST A, *AR5 || MAS *AR3-, B ; 396
+ ST A, *AR5 || MAS *AR3+, A ; 397
+ ST A, *AR5 || MAS *AR3+, B ; 398
+ ST A, *AR5 || MAS *AR3+0%, A ; 399
+ ST A, *AR5 || MAS *AR3+0%, B ; 400
+ ST A, *AR5 || MAS *AR4, A ; 401
+ ST A, *AR5 || MAS *AR4, B ; 402
+ ST A, *AR5 || MAS *AR4-, A ; 403
+ ST A, *AR5 || MAS *AR4-, B ; 404
+ ST A, *AR5 || MAS *AR4+, A ; 405
+ ST A, *AR5 || MAS *AR4+, B ; 406
+ ST A, *AR5 || MAS *AR4+0%, A ; 407
+ ST A, *AR5 || MAS *AR4+0%, B ; 408
+ ST A, *AR5 || MAS *AR5, A ; 409
+ ST A, *AR5 || MAS *AR5, B ; 410
+ ST A, *AR5 || MAS *AR5-, A ; 411
+ ST A, *AR5 || MAS *AR5-, B ; 412
+ ST A, *AR5 || MAS *AR5+, A ; 413
+ ST A, *AR5 || MAS *AR5+, B ; 414
+ ST A, *AR5 || MAS *AR5+0%, A ; 415
+ ST A, *AR5 || MAS *AR5+0%, B ; 416
+ ST A, *AR5- || MAS *AR2, A ; 417
+ ST A, *AR5- || MAS *AR2, B ; 418
+ ST A, *AR5- || MAS *AR2-, A ; 419
+ ST A, *AR5- || MAS *AR2-, B ; 420
+ ST A, *AR5- || MAS *AR2+, A ; 421
+ ST A, *AR5- || MAS *AR2+, B ; 422
+ ST A, *AR5- || MAS *AR2+0%, A ; 423
+ ST A, *AR5- || MAS *AR2+0%, B ; 424
+ ST A, *AR5- || MAS *AR3, A ; 425
+ ST A, *AR5- || MAS *AR3, B ; 426
+ ST A, *AR5- || MAS *AR3-, A ; 427
+ ST A, *AR5- || MAS *AR3-, B ; 428
+ ST A, *AR5- || MAS *AR3+, A ; 429
+ ST A, *AR5- || MAS *AR3+, B ; 430
+ ST A, *AR5- || MAS *AR3+0%, A ; 431
+ ST A, *AR5- || MAS *AR3+0%, B ; 432
+ ST A, *AR5- || MAS *AR4, A ; 433
+ ST A, *AR5- || MAS *AR4, B ; 434
+ ST A, *AR5- || MAS *AR4-, A ; 435
+ ST A, *AR5- || MAS *AR4-, B ; 436
+ ST A, *AR5- || MAS *AR4+, A ; 437
+ ST A, *AR5- || MAS *AR4+, B ; 438
+ ST A, *AR5- || MAS *AR4+0%, A ; 439
+ ST A, *AR5- || MAS *AR4+0%, B ; 440
+ ST A, *AR5- || MAS *AR5, A ; 441
+ ST A, *AR5- || MAS *AR5, B ; 442
+ ST A, *AR5- || MAS *AR5-, A ; 443
+ ST A, *AR5- || MAS *AR5-, B ; 444
+ ST A, *AR5- || MAS *AR5+, A ; 445
+ ST A, *AR5- || MAS *AR5+, B ; 446
+ ST A, *AR5- || MAS *AR5+0%, A ; 447
+ ST A, *AR5- || MAS *AR5+0%, B ; 448
+ ST A, *AR5+ || MAS *AR2, A ; 449
+ ST A, *AR5+ || MAS *AR2, B ; 450
+ ST A, *AR5+ || MAS *AR2-, A ; 451
+ ST A, *AR5+ || MAS *AR2-, B ; 452
+ ST A, *AR5+ || MAS *AR2+, A ; 453
+ ST A, *AR5+ || MAS *AR2+, B ; 454
+ ST A, *AR5+ || MAS *AR2+0%, A ; 455
+ ST A, *AR5+ || MAS *AR2+0%, B ; 456
+ ST A, *AR5+ || MAS *AR3, A ; 457
+ ST A, *AR5+ || MAS *AR3, B ; 458
+ ST A, *AR5+ || MAS *AR3-, A ; 459
+ ST A, *AR5+ || MAS *AR3-, B ; 460
+ ST A, *AR5+ || MAS *AR3+, A ; 461
+ ST A, *AR5+ || MAS *AR3+, B ; 462
+ ST A, *AR5+ || MAS *AR3+0%, A ; 463
+ ST A, *AR5+ || MAS *AR3+0%, B ; 464
+ ST A, *AR5+ || MAS *AR4, A ; 465
+ ST A, *AR5+ || MAS *AR4, B ; 466
+ ST A, *AR5+ || MAS *AR4-, A ; 467
+ ST A, *AR5+ || MAS *AR4-, B ; 468
+ ST A, *AR5+ || MAS *AR4+, A ; 469
+ ST A, *AR5+ || MAS *AR4+, B ; 470
+ ST A, *AR5+ || MAS *AR4+0%, A ; 471
+ ST A, *AR5+ || MAS *AR4+0%, B ; 472
+ ST A, *AR5+ || MAS *AR5, A ; 473
+ ST A, *AR5+ || MAS *AR5, B ; 474
+ ST A, *AR5+ || MAS *AR5-, A ; 475
+ ST A, *AR5+ || MAS *AR5-, B ; 476
+ ST A, *AR5+ || MAS *AR5+, A ; 477
+ ST A, *AR5+ || MAS *AR5+, B ; 478
+ ST A, *AR5+ || MAS *AR5+0%, A ; 479
+ ST A, *AR5+ || MAS *AR5+0%, B ; 480
+ ST A, *AR5+0% || MAS *AR2, A ; 481
+ ST A, *AR5+0% || MAS *AR2, B ; 482
+ ST A, *AR5+0% || MAS *AR2-, A ; 483
+ ST A, *AR5+0% || MAS *AR2-, B ; 484
+ ST A, *AR5+0% || MAS *AR2+, A ; 485
+ ST A, *AR5+0% || MAS *AR2+, B ; 486
+ ST A, *AR5+0% || MAS *AR2+0%, A ; 487
+ ST A, *AR5+0% || MAS *AR2+0%, B ; 488
+ ST A, *AR5+0% || MAS *AR3, A ; 489
+ ST A, *AR5+0% || MAS *AR3, B ; 490
+ ST A, *AR5+0% || MAS *AR3-, A ; 491
+ ST A, *AR5+0% || MAS *AR3-, B ; 492
+ ST A, *AR5+0% || MAS *AR3+, A ; 493
+ ST A, *AR5+0% || MAS *AR3+, B ; 494
+ ST A, *AR5+0% || MAS *AR3+0%, A ; 495
+ ST A, *AR5+0% || MAS *AR3+0%, B ; 496
+ ST A, *AR5+0% || MAS *AR4, A ; 497
+ ST A, *AR5+0% || MAS *AR4, B ; 498
+ ST A, *AR5+0% || MAS *AR4-, A ; 499
+ ST A, *AR5+0% || MAS *AR4-, B ; 500
+ ST A, *AR5+0% || MAS *AR4+, A ; 501
+ ST A, *AR5+0% || MAS *AR4+, B ; 502
+ ST A, *AR5+0% || MAS *AR4+0%, A ; 503
+ ST A, *AR5+0% || MAS *AR4+0%, B ; 504
+ ST A, *AR5+0% || MAS *AR5, A ; 505
+ ST A, *AR5+0% || MAS *AR5, B ; 506
+ ST A, *AR5+0% || MAS *AR5-, A ; 507
+ ST A, *AR5+0% || MAS *AR5-, B ; 508
+ ST A, *AR5+0% || MAS *AR5+, A ; 509
+ ST A, *AR5+0% || MAS *AR5+, B ; 510
+ ST A, *AR5+0% || MAS *AR5+0%, A ; 511
+ ST A, *AR5+0% || MAS *AR5+0%, B ; 512
+ ST B, *AR2 || MAS *AR2, A ; 513
+ ST B, *AR2 || MAS *AR2, B ; 514
+ ST B, *AR2 || MAS *AR2-, A ; 515
+ ST B, *AR2 || MAS *AR2-, B ; 516
+ ST B, *AR2 || MAS *AR2+, A ; 517
+ ST B, *AR2 || MAS *AR2+, B ; 518
+ ST B, *AR2 || MAS *AR2+0%, A ; 519
+ ST B, *AR2 || MAS *AR2+0%, B ; 520
+ ST B, *AR2 || MAS *AR3, A ; 521
+ ST B, *AR2 || MAS *AR3, B ; 522
+ ST B, *AR2 || MAS *AR3-, A ; 523
+ ST B, *AR2 || MAS *AR3-, B ; 524
+ ST B, *AR2 || MAS *AR3+, A ; 525
+ ST B, *AR2 || MAS *AR3+, B ; 526
+ ST B, *AR2 || MAS *AR3+0%, A ; 527
+ ST B, *AR2 || MAS *AR3+0%, B ; 528
+ ST B, *AR2 || MAS *AR4, A ; 529
+ ST B, *AR2 || MAS *AR4, B ; 530
+ ST B, *AR2 || MAS *AR4-, A ; 531
+ ST B, *AR2 || MAS *AR4-, B ; 532
+ ST B, *AR2 || MAS *AR4+, A ; 533
+ ST B, *AR2 || MAS *AR4+, B ; 534
+ ST B, *AR2 || MAS *AR4+0%, A ; 535
+ ST B, *AR2 || MAS *AR4+0%, B ; 536
+ ST B, *AR2 || MAS *AR5, A ; 537
+ ST B, *AR2 || MAS *AR5, B ; 538
+ ST B, *AR2 || MAS *AR5-, A ; 539
+ ST B, *AR2 || MAS *AR5-, B ; 540
+ ST B, *AR2 || MAS *AR5+, A ; 541
+ ST B, *AR2 || MAS *AR5+, B ; 542
+ ST B, *AR2 || MAS *AR5+0%, A ; 543
+ ST B, *AR2 || MAS *AR5+0%, B ; 544
+ ST B, *AR2- || MAS *AR2, A ; 545
+ ST B, *AR2- || MAS *AR2, B ; 546
+ ST B, *AR2- || MAS *AR2-, A ; 547
+ ST B, *AR2- || MAS *AR2-, B ; 548
+ ST B, *AR2- || MAS *AR2+, A ; 549
+ ST B, *AR2- || MAS *AR2+, B ; 550
+ ST B, *AR2- || MAS *AR2+0%, A ; 551
+ ST B, *AR2- || MAS *AR2+0%, B ; 552
+ ST B, *AR2- || MAS *AR3, A ; 553
+ ST B, *AR2- || MAS *AR3, B ; 554
+ ST B, *AR2- || MAS *AR3-, A ; 555
+ ST B, *AR2- || MAS *AR3-, B ; 556
+ ST B, *AR2- || MAS *AR3+, A ; 557
+ ST B, *AR2- || MAS *AR3+, B ; 558
+ ST B, *AR2- || MAS *AR3+0%, A ; 559
+ ST B, *AR2- || MAS *AR3+0%, B ; 560
+ ST B, *AR2- || MAS *AR4, A ; 561
+ ST B, *AR2- || MAS *AR4, B ; 562
+ ST B, *AR2- || MAS *AR4-, A ; 563
+ ST B, *AR2- || MAS *AR4-, B ; 564
+ ST B, *AR2- || MAS *AR4+, A ; 565
+ ST B, *AR2- || MAS *AR4+, B ; 566
+ ST B, *AR2- || MAS *AR4+0%, A ; 567
+ ST B, *AR2- || MAS *AR4+0%, B ; 568
+ ST B, *AR2- || MAS *AR5, A ; 569
+ ST B, *AR2- || MAS *AR5, B ; 570
+ ST B, *AR2- || MAS *AR5-, A ; 571
+ ST B, *AR2- || MAS *AR5-, B ; 572
+ ST B, *AR2- || MAS *AR5+, A ; 573
+ ST B, *AR2- || MAS *AR5+, B ; 574
+ ST B, *AR2- || MAS *AR5+0%, A ; 575
+ ST B, *AR2- || MAS *AR5+0%, B ; 576
+ ST B, *AR2+ || MAS *AR2, A ; 577
+ ST B, *AR2+ || MAS *AR2, B ; 578
+ ST B, *AR2+ || MAS *AR2-, A ; 579
+ ST B, *AR2+ || MAS *AR2-, B ; 580
+ ST B, *AR2+ || MAS *AR2+, A ; 581
+ ST B, *AR2+ || MAS *AR2+, B ; 582
+ ST B, *AR2+ || MAS *AR2+0%, A ; 583
+ ST B, *AR2+ || MAS *AR2+0%, B ; 584
+ ST B, *AR2+ || MAS *AR3, A ; 585
+ ST B, *AR2+ || MAS *AR3, B ; 586
+ ST B, *AR2+ || MAS *AR3-, A ; 587
+ ST B, *AR2+ || MAS *AR3-, B ; 588
+ ST B, *AR2+ || MAS *AR3+, A ; 589
+ ST B, *AR2+ || MAS *AR3+, B ; 590
+ ST B, *AR2+ || MAS *AR3+0%, A ; 591
+ ST B, *AR2+ || MAS *AR3+0%, B ; 592
+ ST B, *AR2+ || MAS *AR4, A ; 593
+ ST B, *AR2+ || MAS *AR4, B ; 594
+ ST B, *AR2+ || MAS *AR4-, A ; 595
+ ST B, *AR2+ || MAS *AR4-, B ; 596
+ ST B, *AR2+ || MAS *AR4+, A ; 597
+ ST B, *AR2+ || MAS *AR4+, B ; 598
+ ST B, *AR2+ || MAS *AR4+0%, A ; 599
+ ST B, *AR2+ || MAS *AR4+0%, B ; 600
+ ST B, *AR2+ || MAS *AR5, A ; 601
+ ST B, *AR2+ || MAS *AR5, B ; 602
+ ST B, *AR2+ || MAS *AR5-, A ; 603
+ ST B, *AR2+ || MAS *AR5-, B ; 604
+ ST B, *AR2+ || MAS *AR5+, A ; 605
+ ST B, *AR2+ || MAS *AR5+, B ; 606
+ ST B, *AR2+ || MAS *AR5+0%, A ; 607
+ ST B, *AR2+ || MAS *AR5+0%, B ; 608
+ ST B, *AR2+0% || MAS *AR2, A ; 609
+ ST B, *AR2+0% || MAS *AR2, B ; 610
+ ST B, *AR2+0% || MAS *AR2-, A ; 611
+ ST B, *AR2+0% || MAS *AR2-, B ; 612
+ ST B, *AR2+0% || MAS *AR2+, A ; 613
+ ST B, *AR2+0% || MAS *AR2+, B ; 614
+ ST B, *AR2+0% || MAS *AR2+0%, A ; 615
+ ST B, *AR2+0% || MAS *AR2+0%, B ; 616
+ ST B, *AR2+0% || MAS *AR3, A ; 617
+ ST B, *AR2+0% || MAS *AR3, B ; 618
+ ST B, *AR2+0% || MAS *AR3-, A ; 619
+ ST B, *AR2+0% || MAS *AR3-, B ; 620
+ ST B, *AR2+0% || MAS *AR3+, A ; 621
+ ST B, *AR2+0% || MAS *AR3+, B ; 622
+ ST B, *AR2+0% || MAS *AR3+0%, A ; 623
+ ST B, *AR2+0% || MAS *AR3+0%, B ; 624
+ ST B, *AR2+0% || MAS *AR4, A ; 625
+ ST B, *AR2+0% || MAS *AR4, B ; 626
+ ST B, *AR2+0% || MAS *AR4-, A ; 627
+ ST B, *AR2+0% || MAS *AR4-, B ; 628
+ ST B, *AR2+0% || MAS *AR4+, A ; 629
+ ST B, *AR2+0% || MAS *AR4+, B ; 630
+ ST B, *AR2+0% || MAS *AR4+0%, A ; 631
+ ST B, *AR2+0% || MAS *AR4+0%, B ; 632
+ ST B, *AR2+0% || MAS *AR5, A ; 633
+ ST B, *AR2+0% || MAS *AR5, B ; 634
+ ST B, *AR2+0% || MAS *AR5-, A ; 635
+ ST B, *AR2+0% || MAS *AR5-, B ; 636
+ ST B, *AR2+0% || MAS *AR5+, A ; 637
+ ST B, *AR2+0% || MAS *AR5+, B ; 638
+ ST B, *AR2+0% || MAS *AR5+0%, A ; 639
+ ST B, *AR2+0% || MAS *AR5+0%, B ; 640
+ ST B, *AR3 || MAS *AR2, A ; 641
+ ST B, *AR3 || MAS *AR2, B ; 642
+ ST B, *AR3 || MAS *AR2-, A ; 643
+ ST B, *AR3 || MAS *AR2-, B ; 644
+ ST B, *AR3 || MAS *AR2+, A ; 645
+ ST B, *AR3 || MAS *AR2+, B ; 646
+ ST B, *AR3 || MAS *AR2+0%, A ; 647
+ ST B, *AR3 || MAS *AR2+0%, B ; 648
+ ST B, *AR3 || MAS *AR3, A ; 649
+ ST B, *AR3 || MAS *AR3, B ; 650
+ ST B, *AR3 || MAS *AR3-, A ; 651
+ ST B, *AR3 || MAS *AR3-, B ; 652
+ ST B, *AR3 || MAS *AR3+, A ; 653
+ ST B, *AR3 || MAS *AR3+, B ; 654
+ ST B, *AR3 || MAS *AR3+0%, A ; 655
+ ST B, *AR3 || MAS *AR3+0%, B ; 656
+ ST B, *AR3 || MAS *AR4, A ; 657
+ ST B, *AR3 || MAS *AR4, B ; 658
+ ST B, *AR3 || MAS *AR4-, A ; 659
+ ST B, *AR3 || MAS *AR4-, B ; 660
+ ST B, *AR3 || MAS *AR4+, A ; 661
+ ST B, *AR3 || MAS *AR4+, B ; 662
+ ST B, *AR3 || MAS *AR4+0%, A ; 663
+ ST B, *AR3 || MAS *AR4+0%, B ; 664
+ ST B, *AR3 || MAS *AR5, A ; 665
+ ST B, *AR3 || MAS *AR5, B ; 666
+ ST B, *AR3 || MAS *AR5-, A ; 667
+ ST B, *AR3 || MAS *AR5-, B ; 668
+ ST B, *AR3 || MAS *AR5+, A ; 669
+ ST B, *AR3 || MAS *AR5+, B ; 670
+ ST B, *AR3 || MAS *AR5+0%, A ; 671
+ ST B, *AR3 || MAS *AR5+0%, B ; 672
+ ST B, *AR3- || MAS *AR2, A ; 673
+ ST B, *AR3- || MAS *AR2, B ; 674
+ ST B, *AR3- || MAS *AR2-, A ; 675
+ ST B, *AR3- || MAS *AR2-, B ; 676
+ ST B, *AR3- || MAS *AR2+, A ; 677
+ ST B, *AR3- || MAS *AR2+, B ; 678
+ ST B, *AR3- || MAS *AR2+0%, A ; 679
+ ST B, *AR3- || MAS *AR2+0%, B ; 680
+ ST B, *AR3- || MAS *AR3, A ; 681
+ ST B, *AR3- || MAS *AR3, B ; 682
+ ST B, *AR3- || MAS *AR3-, A ; 683
+ ST B, *AR3- || MAS *AR3-, B ; 684
+ ST B, *AR3- || MAS *AR3+, A ; 685
+ ST B, *AR3- || MAS *AR3+, B ; 686
+ ST B, *AR3- || MAS *AR3+0%, A ; 687
+ ST B, *AR3- || MAS *AR3+0%, B ; 688
+ ST B, *AR3- || MAS *AR4, A ; 689
+ ST B, *AR3- || MAS *AR4, B ; 690
+ ST B, *AR3- || MAS *AR4-, A ; 691
+ ST B, *AR3- || MAS *AR4-, B ; 692
+ ST B, *AR3- || MAS *AR4+, A ; 693
+ ST B, *AR3- || MAS *AR4+, B ; 694
+ ST B, *AR3- || MAS *AR4+0%, A ; 695
+ ST B, *AR3- || MAS *AR4+0%, B ; 696
+ ST B, *AR3- || MAS *AR5, A ; 697
+ ST B, *AR3- || MAS *AR5, B ; 698
+ ST B, *AR3- || MAS *AR5-, A ; 699
+ ST B, *AR3- || MAS *AR5-, B ; 700
+ ST B, *AR3- || MAS *AR5+, A ; 701
+ ST B, *AR3- || MAS *AR5+, B ; 702
+ ST B, *AR3- || MAS *AR5+0%, A ; 703
+ ST B, *AR3- || MAS *AR5+0%, B ; 704
+ ST B, *AR3+ || MAS *AR2, A ; 705
+ ST B, *AR3+ || MAS *AR2, B ; 706
+ ST B, *AR3+ || MAS *AR2-, A ; 707
+ ST B, *AR3+ || MAS *AR2-, B ; 708
+ ST B, *AR3+ || MAS *AR2+, A ; 709
+ ST B, *AR3+ || MAS *AR2+, B ; 710
+ ST B, *AR3+ || MAS *AR2+0%, A ; 711
+ ST B, *AR3+ || MAS *AR2+0%, B ; 712
+ ST B, *AR3+ || MAS *AR3, A ; 713
+ ST B, *AR3+ || MAS *AR3, B ; 714
+ ST B, *AR3+ || MAS *AR3-, A ; 715
+ ST B, *AR3+ || MAS *AR3-, B ; 716
+ ST B, *AR3+ || MAS *AR3+, A ; 717
+ ST B, *AR3+ || MAS *AR3+, B ; 718
+ ST B, *AR3+ || MAS *AR3+0%, A ; 719
+ ST B, *AR3+ || MAS *AR3+0%, B ; 720
+ ST B, *AR3+ || MAS *AR4, A ; 721
+ ST B, *AR3+ || MAS *AR4, B ; 722
+ ST B, *AR3+ || MAS *AR4-, A ; 723
+ ST B, *AR3+ || MAS *AR4-, B ; 724
+ ST B, *AR3+ || MAS *AR4+, A ; 725
+ ST B, *AR3+ || MAS *AR4+, B ; 726
+ ST B, *AR3+ || MAS *AR4+0%, A ; 727
+ ST B, *AR3+ || MAS *AR4+0%, B ; 728
+ ST B, *AR3+ || MAS *AR5, A ; 729
+ ST B, *AR3+ || MAS *AR5, B ; 730
+ ST B, *AR3+ || MAS *AR5-, A ; 731
+ ST B, *AR3+ || MAS *AR5-, B ; 732
+ ST B, *AR3+ || MAS *AR5+, A ; 733
+ ST B, *AR3+ || MAS *AR5+, B ; 734
+ ST B, *AR3+ || MAS *AR5+0%, A ; 735
+ ST B, *AR3+ || MAS *AR5+0%, B ; 736
+ ST B, *AR3+0% || MAS *AR2, A ; 737
+ ST B, *AR3+0% || MAS *AR2, B ; 738
+ ST B, *AR3+0% || MAS *AR2-, A ; 739
+ ST B, *AR3+0% || MAS *AR2-, B ; 740
+ ST B, *AR3+0% || MAS *AR2+, A ; 741
+ ST B, *AR3+0% || MAS *AR2+, B ; 742
+ ST B, *AR3+0% || MAS *AR2+0%, A ; 743
+ ST B, *AR3+0% || MAS *AR2+0%, B ; 744
+ ST B, *AR3+0% || MAS *AR3, A ; 745
+ ST B, *AR3+0% || MAS *AR3, B ; 746
+ ST B, *AR3+0% || MAS *AR3-, A ; 747
+ ST B, *AR3+0% || MAS *AR3-, B ; 748
+ ST B, *AR3+0% || MAS *AR3+, A ; 749
+ ST B, *AR3+0% || MAS *AR3+, B ; 750
+ ST B, *AR3+0% || MAS *AR3+0%, A ; 751
+ ST B, *AR3+0% || MAS *AR3+0%, B ; 752
+ ST B, *AR3+0% || MAS *AR4, A ; 753
+ ST B, *AR3+0% || MAS *AR4, B ; 754
+ ST B, *AR3+0% || MAS *AR4-, A ; 755
+ ST B, *AR3+0% || MAS *AR4-, B ; 756
+ ST B, *AR3+0% || MAS *AR4+, A ; 757
+ ST B, *AR3+0% || MAS *AR4+, B ; 758
+ ST B, *AR3+0% || MAS *AR4+0%, A ; 759
+ ST B, *AR3+0% || MAS *AR4+0%, B ; 760
+ ST B, *AR3+0% || MAS *AR5, A ; 761
+ ST B, *AR3+0% || MAS *AR5, B ; 762
+ ST B, *AR3+0% || MAS *AR5-, A ; 763
+ ST B, *AR3+0% || MAS *AR5-, B ; 764
+ ST B, *AR3+0% || MAS *AR5+, A ; 765
+ ST B, *AR3+0% || MAS *AR5+, B ; 766
+ ST B, *AR3+0% || MAS *AR5+0%, A ; 767
+ ST B, *AR3+0% || MAS *AR5+0%, B ; 768
+ ST B, *AR4 || MAS *AR2, A ; 769
+ ST B, *AR4 || MAS *AR2, B ; 770
+ ST B, *AR4 || MAS *AR2-, A ; 771
+ ST B, *AR4 || MAS *AR2-, B ; 772
+ ST B, *AR4 || MAS *AR2+, A ; 773
+ ST B, *AR4 || MAS *AR2+, B ; 774
+ ST B, *AR4 || MAS *AR2+0%, A ; 775
+ ST B, *AR4 || MAS *AR2+0%, B ; 776
+ ST B, *AR4 || MAS *AR3, A ; 777
+ ST B, *AR4 || MAS *AR3, B ; 778
+ ST B, *AR4 || MAS *AR3-, A ; 779
+ ST B, *AR4 || MAS *AR3-, B ; 780
+ ST B, *AR4 || MAS *AR3+, A ; 781
+ ST B, *AR4 || MAS *AR3+, B ; 782
+ ST B, *AR4 || MAS *AR3+0%, A ; 783
+ ST B, *AR4 || MAS *AR3+0%, B ; 784
+ ST B, *AR4 || MAS *AR4, A ; 785
+ ST B, *AR4 || MAS *AR4, B ; 786
+ ST B, *AR4 || MAS *AR4-, A ; 787
+ ST B, *AR4 || MAS *AR4-, B ; 788
+ ST B, *AR4 || MAS *AR4+, A ; 789
+ ST B, *AR4 || MAS *AR4+, B ; 790
+ ST B, *AR4 || MAS *AR4+0%, A ; 791
+ ST B, *AR4 || MAS *AR4+0%, B ; 792
+ ST B, *AR4 || MAS *AR5, A ; 793
+ ST B, *AR4 || MAS *AR5, B ; 794
+ ST B, *AR4 || MAS *AR5-, A ; 795
+ ST B, *AR4 || MAS *AR5-, B ; 796
+ ST B, *AR4 || MAS *AR5+, A ; 797
+ ST B, *AR4 || MAS *AR5+, B ; 798
+ ST B, *AR4 || MAS *AR5+0%, A ; 799
+ ST B, *AR4 || MAS *AR5+0%, B ; 800
+ ST B, *AR4- || MAS *AR2, A ; 801
+ ST B, *AR4- || MAS *AR2, B ; 802
+ ST B, *AR4- || MAS *AR2-, A ; 803
+ ST B, *AR4- || MAS *AR2-, B ; 804
+ ST B, *AR4- || MAS *AR2+, A ; 805
+ ST B, *AR4- || MAS *AR2+, B ; 806
+ ST B, *AR4- || MAS *AR2+0%, A ; 807
+ ST B, *AR4- || MAS *AR2+0%, B ; 808
+ ST B, *AR4- || MAS *AR3, A ; 809
+ ST B, *AR4- || MAS *AR3, B ; 810
+ ST B, *AR4- || MAS *AR3-, A ; 811
+ ST B, *AR4- || MAS *AR3-, B ; 812
+ ST B, *AR4- || MAS *AR3+, A ; 813
+ ST B, *AR4- || MAS *AR3+, B ; 814
+ ST B, *AR4- || MAS *AR3+0%, A ; 815
+ ST B, *AR4- || MAS *AR3+0%, B ; 816
+ ST B, *AR4- || MAS *AR4, A ; 817
+ ST B, *AR4- || MAS *AR4, B ; 818
+ ST B, *AR4- || MAS *AR4-, A ; 819
+ ST B, *AR4- || MAS *AR4-, B ; 820
+ ST B, *AR4- || MAS *AR4+, A ; 821
+ ST B, *AR4- || MAS *AR4+, B ; 822
+ ST B, *AR4- || MAS *AR4+0%, A ; 823
+ ST B, *AR4- || MAS *AR4+0%, B ; 824
+ ST B, *AR4- || MAS *AR5, A ; 825
+ ST B, *AR4- || MAS *AR5, B ; 826
+ ST B, *AR4- || MAS *AR5-, A ; 827
+ ST B, *AR4- || MAS *AR5-, B ; 828
+ ST B, *AR4- || MAS *AR5+, A ; 829
+ ST B, *AR4- || MAS *AR5+, B ; 830
+ ST B, *AR4- || MAS *AR5+0%, A ; 831
+ ST B, *AR4- || MAS *AR5+0%, B ; 832
+ ST B, *AR4+ || MAS *AR2, A ; 833
+ ST B, *AR4+ || MAS *AR2, B ; 834
+ ST B, *AR4+ || MAS *AR2-, A ; 835
+ ST B, *AR4+ || MAS *AR2-, B ; 836
+ ST B, *AR4+ || MAS *AR2+, A ; 837
+ ST B, *AR4+ || MAS *AR2+, B ; 838
+ ST B, *AR4+ || MAS *AR2+0%, A ; 839
+ ST B, *AR4+ || MAS *AR2+0%, B ; 840
+ ST B, *AR4+ || MAS *AR3, A ; 841
+ ST B, *AR4+ || MAS *AR3, B ; 842
+ ST B, *AR4+ || MAS *AR3-, A ; 843
+ ST B, *AR4+ || MAS *AR3-, B ; 844
+ ST B, *AR4+ || MAS *AR3+, A ; 845
+ ST B, *AR4+ || MAS *AR3+, B ; 846
+ ST B, *AR4+ || MAS *AR3+0%, A ; 847
+ ST B, *AR4+ || MAS *AR3+0%, B ; 848
+ ST B, *AR4+ || MAS *AR4, A ; 849
+ ST B, *AR4+ || MAS *AR4, B ; 850
+ ST B, *AR4+ || MAS *AR4-, A ; 851
+ ST B, *AR4+ || MAS *AR4-, B ; 852
+ ST B, *AR4+ || MAS *AR4+, A ; 853
+ ST B, *AR4+ || MAS *AR4+, B ; 854
+ ST B, *AR4+ || MAS *AR4+0%, A ; 855
+ ST B, *AR4+ || MAS *AR4+0%, B ; 856
+ ST B, *AR4+ || MAS *AR5, A ; 857
+ ST B, *AR4+ || MAS *AR5, B ; 858
+ ST B, *AR4+ || MAS *AR5-, A ; 859
+ ST B, *AR4+ || MAS *AR5-, B ; 860
+ ST B, *AR4+ || MAS *AR5+, A ; 861
+ ST B, *AR4+ || MAS *AR5+, B ; 862
+ ST B, *AR4+ || MAS *AR5+0%, A ; 863
+ ST B, *AR4+ || MAS *AR5+0%, B ; 864
+ ST B, *AR4+0% || MAS *AR2, A ; 865
+ ST B, *AR4+0% || MAS *AR2, B ; 866
+ ST B, *AR4+0% || MAS *AR2-, A ; 867
+ ST B, *AR4+0% || MAS *AR2-, B ; 868
+ ST B, *AR4+0% || MAS *AR2+, A ; 869
+ ST B, *AR4+0% || MAS *AR2+, B ; 870
+ ST B, *AR4+0% || MAS *AR2+0%, A ; 871
+ ST B, *AR4+0% || MAS *AR2+0%, B ; 872
+ ST B, *AR4+0% || MAS *AR3, A ; 873
+ ST B, *AR4+0% || MAS *AR3, B ; 874
+ ST B, *AR4+0% || MAS *AR3-, A ; 875
+ ST B, *AR4+0% || MAS *AR3-, B ; 876
+ ST B, *AR4+0% || MAS *AR3+, A ; 877
+ ST B, *AR4+0% || MAS *AR3+, B ; 878
+ ST B, *AR4+0% || MAS *AR3+0%, A ; 879
+ ST B, *AR4+0% || MAS *AR3+0%, B ; 880
+ ST B, *AR4+0% || MAS *AR4, A ; 881
+ ST B, *AR4+0% || MAS *AR4, B ; 882
+ ST B, *AR4+0% || MAS *AR4-, A ; 883
+ ST B, *AR4+0% || MAS *AR4-, B ; 884
+ ST B, *AR4+0% || MAS *AR4+, A ; 885
+ ST B, *AR4+0% || MAS *AR4+, B ; 886
+ ST B, *AR4+0% || MAS *AR4+0%, A ; 887
+ ST B, *AR4+0% || MAS *AR4+0%, B ; 888
+ ST B, *AR4+0% || MAS *AR5, A ; 889
+ ST B, *AR4+0% || MAS *AR5, B ; 890
+ ST B, *AR4+0% || MAS *AR5-, A ; 891
+ ST B, *AR4+0% || MAS *AR5-, B ; 892
+ ST B, *AR4+0% || MAS *AR5+, A ; 893
+ ST B, *AR4+0% || MAS *AR5+, B ; 894
+ ST B, *AR4+0% || MAS *AR5+0%, A ; 895
+ ST B, *AR4+0% || MAS *AR5+0%, B ; 896
+ ST B, *AR5 || MAS *AR2, A ; 897
+ ST B, *AR5 || MAS *AR2, B ; 898
+ ST B, *AR5 || MAS *AR2-, A ; 899
+ ST B, *AR5 || MAS *AR2-, B ; 900
+ ST B, *AR5 || MAS *AR2+, A ; 901
+ ST B, *AR5 || MAS *AR2+, B ; 902
+ ST B, *AR5 || MAS *AR2+0%, A ; 903
+ ST B, *AR5 || MAS *AR2+0%, B ; 904
+ ST B, *AR5 || MAS *AR3, A ; 905
+ ST B, *AR5 || MAS *AR3, B ; 906
+ ST B, *AR5 || MAS *AR3-, A ; 907
+ ST B, *AR5 || MAS *AR3-, B ; 908
+ ST B, *AR5 || MAS *AR3+, A ; 909
+ ST B, *AR5 || MAS *AR3+, B ; 910
+ ST B, *AR5 || MAS *AR3+0%, A ; 911
+ ST B, *AR5 || MAS *AR3+0%, B ; 912
+ ST B, *AR5 || MAS *AR4, A ; 913
+ ST B, *AR5 || MAS *AR4, B ; 914
+ ST B, *AR5 || MAS *AR4-, A ; 915
+ ST B, *AR5 || MAS *AR4-, B ; 916
+ ST B, *AR5 || MAS *AR4+, A ; 917
+ ST B, *AR5 || MAS *AR4+, B ; 918
+ ST B, *AR5 || MAS *AR4+0%, A ; 919
+ ST B, *AR5 || MAS *AR4+0%, B ; 920
+ ST B, *AR5 || MAS *AR5, A ; 921
+ ST B, *AR5 || MAS *AR5, B ; 922
+ ST B, *AR5 || MAS *AR5-, A ; 923
+ ST B, *AR5 || MAS *AR5-, B ; 924
+ ST B, *AR5 || MAS *AR5+, A ; 925
+ ST B, *AR5 || MAS *AR5+, B ; 926
+ ST B, *AR5 || MAS *AR5+0%, A ; 927
+ ST B, *AR5 || MAS *AR5+0%, B ; 928
+ ST B, *AR5- || MAS *AR2, A ; 929
+ ST B, *AR5- || MAS *AR2, B ; 930
+ ST B, *AR5- || MAS *AR2-, A ; 931
+ ST B, *AR5- || MAS *AR2-, B ; 932
+ ST B, *AR5- || MAS *AR2+, A ; 933
+ ST B, *AR5- || MAS *AR2+, B ; 934
+ ST B, *AR5- || MAS *AR2+0%, A ; 935
+ ST B, *AR5- || MAS *AR2+0%, B ; 936
+ ST B, *AR5- || MAS *AR3, A ; 937
+ ST B, *AR5- || MAS *AR3, B ; 938
+ ST B, *AR5- || MAS *AR3-, A ; 939
+ ST B, *AR5- || MAS *AR3-, B ; 940
+ ST B, *AR5- || MAS *AR3+, A ; 941
+ ST B, *AR5- || MAS *AR3+, B ; 942
+ ST B, *AR5- || MAS *AR3+0%, A ; 943
+ ST B, *AR5- || MAS *AR3+0%, B ; 944
+ ST B, *AR5- || MAS *AR4, A ; 945
+ ST B, *AR5- || MAS *AR4, B ; 946
+ ST B, *AR5- || MAS *AR4-, A ; 947
+ ST B, *AR5- || MAS *AR4-, B ; 948
+ ST B, *AR5- || MAS *AR4+, A ; 949
+ ST B, *AR5- || MAS *AR4+, B ; 950
+ ST B, *AR5- || MAS *AR4+0%, A ; 951
+ ST B, *AR5- || MAS *AR4+0%, B ; 952
+ ST B, *AR5- || MAS *AR5, A ; 953
+ ST B, *AR5- || MAS *AR5, B ; 954
+ ST B, *AR5- || MAS *AR5-, A ; 955
+ ST B, *AR5- || MAS *AR5-, B ; 956
+ ST B, *AR5- || MAS *AR5+, A ; 957
+ ST B, *AR5- || MAS *AR5+, B ; 958
+ ST B, *AR5- || MAS *AR5+0%, A ; 959
+ ST B, *AR5- || MAS *AR5+0%, B ; 960
+ ST B, *AR5+ || MAS *AR2, A ; 961
+ ST B, *AR5+ || MAS *AR2, B ; 962
+ ST B, *AR5+ || MAS *AR2-, A ; 963
+ ST B, *AR5+ || MAS *AR2-, B ; 964
+ ST B, *AR5+ || MAS *AR2+, A ; 965
+ ST B, *AR5+ || MAS *AR2+, B ; 966
+ ST B, *AR5+ || MAS *AR2+0%, A ; 967
+ ST B, *AR5+ || MAS *AR2+0%, B ; 968
+ ST B, *AR5+ || MAS *AR3, A ; 969
+ ST B, *AR5+ || MAS *AR3, B ; 970
+ ST B, *AR5+ || MAS *AR3-, A ; 971
+ ST B, *AR5+ || MAS *AR3-, B ; 972
+ ST B, *AR5+ || MAS *AR3+, A ; 973
+ ST B, *AR5+ || MAS *AR3+, B ; 974
+ ST B, *AR5+ || MAS *AR3+0%, A ; 975
+ ST B, *AR5+ || MAS *AR3+0%, B ; 976
+ ST B, *AR5+ || MAS *AR4, A ; 977
+ ST B, *AR5+ || MAS *AR4, B ; 978
+ ST B, *AR5+ || MAS *AR4-, A ; 979
+ ST B, *AR5+ || MAS *AR4-, B ; 980
+ ST B, *AR5+ || MAS *AR4+, A ; 981
+ ST B, *AR5+ || MAS *AR4+, B ; 982
+ ST B, *AR5+ || MAS *AR4+0%, A ; 983
+ ST B, *AR5+ || MAS *AR4+0%, B ; 984
+ ST B, *AR5+ || MAS *AR5, A ; 985
+ ST B, *AR5+ || MAS *AR5, B ; 986
+ ST B, *AR5+ || MAS *AR5-, A ; 987
+ ST B, *AR5+ || MAS *AR5-, B ; 988
+ ST B, *AR5+ || MAS *AR5+, A ; 989
+ ST B, *AR5+ || MAS *AR5+, B ; 990
+ ST B, *AR5+ || MAS *AR5+0%, A ; 991
+ ST B, *AR5+ || MAS *AR5+0%, B ; 992
+ ST B, *AR5+0% || MAS *AR2, A ; 993
+ ST B, *AR5+0% || MAS *AR2, B ; 994
+ ST B, *AR5+0% || MAS *AR2-, A ; 995
+ ST B, *AR5+0% || MAS *AR2-, B ; 996
+ ST B, *AR5+0% || MAS *AR2+, A ; 997
+ ST B, *AR5+0% || MAS *AR2+, B ; 998
+ ST B, *AR5+0% || MAS *AR2+0%, A ; 999
+ ST B, *AR5+0% || MAS *AR2+0%, B ; 1000
+ ST B, *AR5+0% || MAS *AR3, A ; 1001
+ ST B, *AR5+0% || MAS *AR3, B ; 1002
+ ST B, *AR5+0% || MAS *AR3-, A ; 1003
+ ST B, *AR5+0% || MAS *AR3-, B ; 1004
+ ST B, *AR5+0% || MAS *AR3+, A ; 1005
+ ST B, *AR5+0% || MAS *AR3+, B ; 1006
+ ST B, *AR5+0% || MAS *AR3+0%, A ; 1007
+ ST B, *AR5+0% || MAS *AR3+0%, B ; 1008
+ ST B, *AR5+0% || MAS *AR4, A ; 1009
+ ST B, *AR5+0% || MAS *AR4, B ; 1010
+ ST B, *AR5+0% || MAS *AR4-, A ; 1011
+ ST B, *AR5+0% || MAS *AR4-, B ; 1012
+ ST B, *AR5+0% || MAS *AR4+, A ; 1013
+ ST B, *AR5+0% || MAS *AR4+, B ; 1014
+ ST B, *AR5+0% || MAS *AR4+0%, A ; 1015
+ ST B, *AR5+0% || MAS *AR4+0%, B ; 1016
+ ST B, *AR5+0% || MAS *AR5, A ; 1017
+ ST B, *AR5+0% || MAS *AR5, B ; 1018
+ ST B, *AR5+0% || MAS *AR5-, A ; 1019
+ ST B, *AR5+0% || MAS *AR5-, B ; 1020
+ ST B, *AR5+0% || MAS *AR5+, A ; 1021
+ ST B, *AR5+0% || MAS *AR5+, B ; 1022
+ ST B, *AR5+0% || MAS *AR5+0%, A ; 1023
+ ST B, *AR5+0% || MAS *AR5+0%, B ; 1024
+ ; STandMASR : ST AB, Ymem || MASR Xmem, AB : 1024
+ ST A, *AR2 || MASR *AR2, A ; 1
+ ST A, *AR2 || MASR *AR2, B ; 2
+ ST A, *AR2 || MASR *AR2-, A ; 3
+ ST A, *AR2 || MASR *AR2-, B ; 4
+ ST A, *AR2 || MASR *AR2+, A ; 5
+ ST A, *AR2 || MASR *AR2+, B ; 6
+ ST A, *AR2 || MASR *AR2+0%, A ; 7
+ ST A, *AR2 || MASR *AR2+0%, B ; 8
+ ST A, *AR2 || MASR *AR3, A ; 9
+ ST A, *AR2 || MASR *AR3, B ; 10
+ ST A, *AR2 || MASR *AR3-, A ; 11
+ ST A, *AR2 || MASR *AR3-, B ; 12
+ ST A, *AR2 || MASR *AR3+, A ; 13
+ ST A, *AR2 || MASR *AR3+, B ; 14
+ ST A, *AR2 || MASR *AR3+0%, A ; 15
+ ST A, *AR2 || MASR *AR3+0%, B ; 16
+ ST A, *AR2 || MASR *AR4, A ; 17
+ ST A, *AR2 || MASR *AR4, B ; 18
+ ST A, *AR2 || MASR *AR4-, A ; 19
+ ST A, *AR2 || MASR *AR4-, B ; 20
+ ST A, *AR2 || MASR *AR4+, A ; 21
+ ST A, *AR2 || MASR *AR4+, B ; 22
+ ST A, *AR2 || MASR *AR4+0%, A ; 23
+ ST A, *AR2 || MASR *AR4+0%, B ; 24
+ ST A, *AR2 || MASR *AR5, A ; 25
+ ST A, *AR2 || MASR *AR5, B ; 26
+ ST A, *AR2 || MASR *AR5-, A ; 27
+ ST A, *AR2 || MASR *AR5-, B ; 28
+ ST A, *AR2 || MASR *AR5+, A ; 29
+ ST A, *AR2 || MASR *AR5+, B ; 30
+ ST A, *AR2 || MASR *AR5+0%, A ; 31
+ ST A, *AR2 || MASR *AR5+0%, B ; 32
+ ST A, *AR2- || MASR *AR2, A ; 33
+ ST A, *AR2- || MASR *AR2, B ; 34
+ ST A, *AR2- || MASR *AR2-, A ; 35
+ ST A, *AR2- || MASR *AR2-, B ; 36
+ ST A, *AR2- || MASR *AR2+, A ; 37
+ ST A, *AR2- || MASR *AR2+, B ; 38
+ ST A, *AR2- || MASR *AR2+0%, A ; 39
+ ST A, *AR2- || MASR *AR2+0%, B ; 40
+ ST A, *AR2- || MASR *AR3, A ; 41
+ ST A, *AR2- || MASR *AR3, B ; 42
+ ST A, *AR2- || MASR *AR3-, A ; 43
+ ST A, *AR2- || MASR *AR3-, B ; 44
+ ST A, *AR2- || MASR *AR3+, A ; 45
+ ST A, *AR2- || MASR *AR3+, B ; 46
+ ST A, *AR2- || MASR *AR3+0%, A ; 47
+ ST A, *AR2- || MASR *AR3+0%, B ; 48
+ ST A, *AR2- || MASR *AR4, A ; 49
+ ST A, *AR2- || MASR *AR4, B ; 50
+ ST A, *AR2- || MASR *AR4-, A ; 51
+ ST A, *AR2- || MASR *AR4-, B ; 52
+ ST A, *AR2- || MASR *AR4+, A ; 53
+ ST A, *AR2- || MASR *AR4+, B ; 54
+ ST A, *AR2- || MASR *AR4+0%, A ; 55
+ ST A, *AR2- || MASR *AR4+0%, B ; 56
+ ST A, *AR2- || MASR *AR5, A ; 57
+ ST A, *AR2- || MASR *AR5, B ; 58
+ ST A, *AR2- || MASR *AR5-, A ; 59
+ ST A, *AR2- || MASR *AR5-, B ; 60
+ ST A, *AR2- || MASR *AR5+, A ; 61
+ ST A, *AR2- || MASR *AR5+, B ; 62
+ ST A, *AR2- || MASR *AR5+0%, A ; 63
+ ST A, *AR2- || MASR *AR5+0%, B ; 64
+ ST A, *AR2+ || MASR *AR2, A ; 65
+ ST A, *AR2+ || MASR *AR2, B ; 66
+ ST A, *AR2+ || MASR *AR2-, A ; 67
+ ST A, *AR2+ || MASR *AR2-, B ; 68
+ ST A, *AR2+ || MASR *AR2+, A ; 69
+ ST A, *AR2+ || MASR *AR2+, B ; 70
+ ST A, *AR2+ || MASR *AR2+0%, A ; 71
+ ST A, *AR2+ || MASR *AR2+0%, B ; 72
+ ST A, *AR2+ || MASR *AR3, A ; 73
+ ST A, *AR2+ || MASR *AR3, B ; 74
+ ST A, *AR2+ || MASR *AR3-, A ; 75
+ ST A, *AR2+ || MASR *AR3-, B ; 76
+ ST A, *AR2+ || MASR *AR3+, A ; 77
+ ST A, *AR2+ || MASR *AR3+, B ; 78
+ ST A, *AR2+ || MASR *AR3+0%, A ; 79
+ ST A, *AR2+ || MASR *AR3+0%, B ; 80
+ ST A, *AR2+ || MASR *AR4, A ; 81
+ ST A, *AR2+ || MASR *AR4, B ; 82
+ ST A, *AR2+ || MASR *AR4-, A ; 83
+ ST A, *AR2+ || MASR *AR4-, B ; 84
+ ST A, *AR2+ || MASR *AR4+, A ; 85
+ ST A, *AR2+ || MASR *AR4+, B ; 86
+ ST A, *AR2+ || MASR *AR4+0%, A ; 87
+ ST A, *AR2+ || MASR *AR4+0%, B ; 88
+ ST A, *AR2+ || MASR *AR5, A ; 89
+ ST A, *AR2+ || MASR *AR5, B ; 90
+ ST A, *AR2+ || MASR *AR5-, A ; 91
+ ST A, *AR2+ || MASR *AR5-, B ; 92
+ ST A, *AR2+ || MASR *AR5+, A ; 93
+ ST A, *AR2+ || MASR *AR5+, B ; 94
+ ST A, *AR2+ || MASR *AR5+0%, A ; 95
+ ST A, *AR2+ || MASR *AR5+0%, B ; 96
+ ST A, *AR2+0% || MASR *AR2, A ; 97
+ ST A, *AR2+0% || MASR *AR2, B ; 98
+ ST A, *AR2+0% || MASR *AR2-, A ; 99
+ ST A, *AR2+0% || MASR *AR2-, B ; 100
+ ST A, *AR2+0% || MASR *AR2+, A ; 101
+ ST A, *AR2+0% || MASR *AR2+, B ; 102
+ ST A, *AR2+0% || MASR *AR2+0%, A ; 103
+ ST A, *AR2+0% || MASR *AR2+0%, B ; 104
+ ST A, *AR2+0% || MASR *AR3, A ; 105
+ ST A, *AR2+0% || MASR *AR3, B ; 106
+ ST A, *AR2+0% || MASR *AR3-, A ; 107
+ ST A, *AR2+0% || MASR *AR3-, B ; 108
+ ST A, *AR2+0% || MASR *AR3+, A ; 109
+ ST A, *AR2+0% || MASR *AR3+, B ; 110
+ ST A, *AR2+0% || MASR *AR3+0%, A ; 111
+ ST A, *AR2+0% || MASR *AR3+0%, B ; 112
+ ST A, *AR2+0% || MASR *AR4, A ; 113
+ ST A, *AR2+0% || MASR *AR4, B ; 114
+ ST A, *AR2+0% || MASR *AR4-, A ; 115
+ ST A, *AR2+0% || MASR *AR4-, B ; 116
+ ST A, *AR2+0% || MASR *AR4+, A ; 117
+ ST A, *AR2+0% || MASR *AR4+, B ; 118
+ ST A, *AR2+0% || MASR *AR4+0%, A ; 119
+ ST A, *AR2+0% || MASR *AR4+0%, B ; 120
+ ST A, *AR2+0% || MASR *AR5, A ; 121
+ ST A, *AR2+0% || MASR *AR5, B ; 122
+ ST A, *AR2+0% || MASR *AR5-, A ; 123
+ ST A, *AR2+0% || MASR *AR5-, B ; 124
+ ST A, *AR2+0% || MASR *AR5+, A ; 125
+ ST A, *AR2+0% || MASR *AR5+, B ; 126
+ ST A, *AR2+0% || MASR *AR5+0%, A ; 127
+ ST A, *AR2+0% || MASR *AR5+0%, B ; 128
+ ST A, *AR3 || MASR *AR2, A ; 129
+ ST A, *AR3 || MASR *AR2, B ; 130
+ ST A, *AR3 || MASR *AR2-, A ; 131
+ ST A, *AR3 || MASR *AR2-, B ; 132
+ ST A, *AR3 || MASR *AR2+, A ; 133
+ ST A, *AR3 || MASR *AR2+, B ; 134
+ ST A, *AR3 || MASR *AR2+0%, A ; 135
+ ST A, *AR3 || MASR *AR2+0%, B ; 136
+ ST A, *AR3 || MASR *AR3, A ; 137
+ ST A, *AR3 || MASR *AR3, B ; 138
+ ST A, *AR3 || MASR *AR3-, A ; 139
+ ST A, *AR3 || MASR *AR3-, B ; 140
+ ST A, *AR3 || MASR *AR3+, A ; 141
+ ST A, *AR3 || MASR *AR3+, B ; 142
+ ST A, *AR3 || MASR *AR3+0%, A ; 143
+ ST A, *AR3 || MASR *AR3+0%, B ; 144
+ ST A, *AR3 || MASR *AR4, A ; 145
+ ST A, *AR3 || MASR *AR4, B ; 146
+ ST A, *AR3 || MASR *AR4-, A ; 147
+ ST A, *AR3 || MASR *AR4-, B ; 148
+ ST A, *AR3 || MASR *AR4+, A ; 149
+ ST A, *AR3 || MASR *AR4+, B ; 150
+ ST A, *AR3 || MASR *AR4+0%, A ; 151
+ ST A, *AR3 || MASR *AR4+0%, B ; 152
+ ST A, *AR3 || MASR *AR5, A ; 153
+ ST A, *AR3 || MASR *AR5, B ; 154
+ ST A, *AR3 || MASR *AR5-, A ; 155
+ ST A, *AR3 || MASR *AR5-, B ; 156
+ ST A, *AR3 || MASR *AR5+, A ; 157
+ ST A, *AR3 || MASR *AR5+, B ; 158
+ ST A, *AR3 || MASR *AR5+0%, A ; 159
+ ST A, *AR3 || MASR *AR5+0%, B ; 160
+ ST A, *AR3- || MASR *AR2, A ; 161
+ ST A, *AR3- || MASR *AR2, B ; 162
+ ST A, *AR3- || MASR *AR2-, A ; 163
+ ST A, *AR3- || MASR *AR2-, B ; 164
+ ST A, *AR3- || MASR *AR2+, A ; 165
+ ST A, *AR3- || MASR *AR2+, B ; 166
+ ST A, *AR3- || MASR *AR2+0%, A ; 167
+ ST A, *AR3- || MASR *AR2+0%, B ; 168
+ ST A, *AR3- || MASR *AR3, A ; 169
+ ST A, *AR3- || MASR *AR3, B ; 170
+ ST A, *AR3- || MASR *AR3-, A ; 171
+ ST A, *AR3- || MASR *AR3-, B ; 172
+ ST A, *AR3- || MASR *AR3+, A ; 173
+ ST A, *AR3- || MASR *AR3+, B ; 174
+ ST A, *AR3- || MASR *AR3+0%, A ; 175
+ ST A, *AR3- || MASR *AR3+0%, B ; 176
+ ST A, *AR3- || MASR *AR4, A ; 177
+ ST A, *AR3- || MASR *AR4, B ; 178
+ ST A, *AR3- || MASR *AR4-, A ; 179
+ ST A, *AR3- || MASR *AR4-, B ; 180
+ ST A, *AR3- || MASR *AR4+, A ; 181
+ ST A, *AR3- || MASR *AR4+, B ; 182
+ ST A, *AR3- || MASR *AR4+0%, A ; 183
+ ST A, *AR3- || MASR *AR4+0%, B ; 184
+ ST A, *AR3- || MASR *AR5, A ; 185
+ ST A, *AR3- || MASR *AR5, B ; 186
+ ST A, *AR3- || MASR *AR5-, A ; 187
+ ST A, *AR3- || MASR *AR5-, B ; 188
+ ST A, *AR3- || MASR *AR5+, A ; 189
+ ST A, *AR3- || MASR *AR5+, B ; 190
+ ST A, *AR3- || MASR *AR5+0%, A ; 191
+ ST A, *AR3- || MASR *AR5+0%, B ; 192
+ ST A, *AR3+ || MASR *AR2, A ; 193
+ ST A, *AR3+ || MASR *AR2, B ; 194
+ ST A, *AR3+ || MASR *AR2-, A ; 195
+ ST A, *AR3+ || MASR *AR2-, B ; 196
+ ST A, *AR3+ || MASR *AR2+, A ; 197
+ ST A, *AR3+ || MASR *AR2+, B ; 198
+ ST A, *AR3+ || MASR *AR2+0%, A ; 199
+ ST A, *AR3+ || MASR *AR2+0%, B ; 200
+ ST A, *AR3+ || MASR *AR3, A ; 201
+ ST A, *AR3+ || MASR *AR3, B ; 202
+ ST A, *AR3+ || MASR *AR3-, A ; 203
+ ST A, *AR3+ || MASR *AR3-, B ; 204
+ ST A, *AR3+ || MASR *AR3+, A ; 205
+ ST A, *AR3+ || MASR *AR3+, B ; 206
+ ST A, *AR3+ || MASR *AR3+0%, A ; 207
+ ST A, *AR3+ || MASR *AR3+0%, B ; 208
+ ST A, *AR3+ || MASR *AR4, A ; 209
+ ST A, *AR3+ || MASR *AR4, B ; 210
+ ST A, *AR3+ || MASR *AR4-, A ; 211
+ ST A, *AR3+ || MASR *AR4-, B ; 212
+ ST A, *AR3+ || MASR *AR4+, A ; 213
+ ST A, *AR3+ || MASR *AR4+, B ; 214
+ ST A, *AR3+ || MASR *AR4+0%, A ; 215
+ ST A, *AR3+ || MASR *AR4+0%, B ; 216
+ ST A, *AR3+ || MASR *AR5, A ; 217
+ ST A, *AR3+ || MASR *AR5, B ; 218
+ ST A, *AR3+ || MASR *AR5-, A ; 219
+ ST A, *AR3+ || MASR *AR5-, B ; 220
+ ST A, *AR3+ || MASR *AR5+, A ; 221
+ ST A, *AR3+ || MASR *AR5+, B ; 222
+ ST A, *AR3+ || MASR *AR5+0%, A ; 223
+ ST A, *AR3+ || MASR *AR5+0%, B ; 224
+ ST A, *AR3+0% || MASR *AR2, A ; 225
+ ST A, *AR3+0% || MASR *AR2, B ; 226
+ ST A, *AR3+0% || MASR *AR2-, A ; 227
+ ST A, *AR3+0% || MASR *AR2-, B ; 228
+ ST A, *AR3+0% || MASR *AR2+, A ; 229
+ ST A, *AR3+0% || MASR *AR2+, B ; 230
+ ST A, *AR3+0% || MASR *AR2+0%, A ; 231
+ ST A, *AR3+0% || MASR *AR2+0%, B ; 232
+ ST A, *AR3+0% || MASR *AR3, A ; 233
+ ST A, *AR3+0% || MASR *AR3, B ; 234
+ ST A, *AR3+0% || MASR *AR3-, A ; 235
+ ST A, *AR3+0% || MASR *AR3-, B ; 236
+ ST A, *AR3+0% || MASR *AR3+, A ; 237
+ ST A, *AR3+0% || MASR *AR3+, B ; 238
+ ST A, *AR3+0% || MASR *AR3+0%, A ; 239
+ ST A, *AR3+0% || MASR *AR3+0%, B ; 240
+ ST A, *AR3+0% || MASR *AR4, A ; 241
+ ST A, *AR3+0% || MASR *AR4, B ; 242
+ ST A, *AR3+0% || MASR *AR4-, A ; 243
+ ST A, *AR3+0% || MASR *AR4-, B ; 244
+ ST A, *AR3+0% || MASR *AR4+, A ; 245
+ ST A, *AR3+0% || MASR *AR4+, B ; 246
+ ST A, *AR3+0% || MASR *AR4+0%, A ; 247
+ ST A, *AR3+0% || MASR *AR4+0%, B ; 248
+ ST A, *AR3+0% || MASR *AR5, A ; 249
+ ST A, *AR3+0% || MASR *AR5, B ; 250
+ ST A, *AR3+0% || MASR *AR5-, A ; 251
+ ST A, *AR3+0% || MASR *AR5-, B ; 252
+ ST A, *AR3+0% || MASR *AR5+, A ; 253
+ ST A, *AR3+0% || MASR *AR5+, B ; 254
+ ST A, *AR3+0% || MASR *AR5+0%, A ; 255
+ ST A, *AR3+0% || MASR *AR5+0%, B ; 256
+ ST A, *AR4 || MASR *AR2, A ; 257
+ ST A, *AR4 || MASR *AR2, B ; 258
+ ST A, *AR4 || MASR *AR2-, A ; 259
+ ST A, *AR4 || MASR *AR2-, B ; 260
+ ST A, *AR4 || MASR *AR2+, A ; 261
+ ST A, *AR4 || MASR *AR2+, B ; 262
+ ST A, *AR4 || MASR *AR2+0%, A ; 263
+ ST A, *AR4 || MASR *AR2+0%, B ; 264
+ ST A, *AR4 || MASR *AR3, A ; 265
+ ST A, *AR4 || MASR *AR3, B ; 266
+ ST A, *AR4 || MASR *AR3-, A ; 267
+ ST A, *AR4 || MASR *AR3-, B ; 268
+ ST A, *AR4 || MASR *AR3+, A ; 269
+ ST A, *AR4 || MASR *AR3+, B ; 270
+ ST A, *AR4 || MASR *AR3+0%, A ; 271
+ ST A, *AR4 || MASR *AR3+0%, B ; 272
+ ST A, *AR4 || MASR *AR4, A ; 273
+ ST A, *AR4 || MASR *AR4, B ; 274
+ ST A, *AR4 || MASR *AR4-, A ; 275
+ ST A, *AR4 || MASR *AR4-, B ; 276
+ ST A, *AR4 || MASR *AR4+, A ; 277
+ ST A, *AR4 || MASR *AR4+, B ; 278
+ ST A, *AR4 || MASR *AR4+0%, A ; 279
+ ST A, *AR4 || MASR *AR4+0%, B ; 280
+ ST A, *AR4 || MASR *AR5, A ; 281
+ ST A, *AR4 || MASR *AR5, B ; 282
+ ST A, *AR4 || MASR *AR5-, A ; 283
+ ST A, *AR4 || MASR *AR5-, B ; 284
+ ST A, *AR4 || MASR *AR5+, A ; 285
+ ST A, *AR4 || MASR *AR5+, B ; 286
+ ST A, *AR4 || MASR *AR5+0%, A ; 287
+ ST A, *AR4 || MASR *AR5+0%, B ; 288
+ ST A, *AR4- || MASR *AR2, A ; 289
+ ST A, *AR4- || MASR *AR2, B ; 290
+ ST A, *AR4- || MASR *AR2-, A ; 291
+ ST A, *AR4- || MASR *AR2-, B ; 292
+ ST A, *AR4- || MASR *AR2+, A ; 293
+ ST A, *AR4- || MASR *AR2+, B ; 294
+ ST A, *AR4- || MASR *AR2+0%, A ; 295
+ ST A, *AR4- || MASR *AR2+0%, B ; 296
+ ST A, *AR4- || MASR *AR3, A ; 297
+ ST A, *AR4- || MASR *AR3, B ; 298
+ ST A, *AR4- || MASR *AR3-, A ; 299
+ ST A, *AR4- || MASR *AR3-, B ; 300
+ ST A, *AR4- || MASR *AR3+, A ; 301
+ ST A, *AR4- || MASR *AR3+, B ; 302
+ ST A, *AR4- || MASR *AR3+0%, A ; 303
+ ST A, *AR4- || MASR *AR3+0%, B ; 304
+ ST A, *AR4- || MASR *AR4, A ; 305
+ ST A, *AR4- || MASR *AR4, B ; 306
+ ST A, *AR4- || MASR *AR4-, A ; 307
+ ST A, *AR4- || MASR *AR4-, B ; 308
+ ST A, *AR4- || MASR *AR4+, A ; 309
+ ST A, *AR4- || MASR *AR4+, B ; 310
+ ST A, *AR4- || MASR *AR4+0%, A ; 311
+ ST A, *AR4- || MASR *AR4+0%, B ; 312
+ ST A, *AR4- || MASR *AR5, A ; 313
+ ST A, *AR4- || MASR *AR5, B ; 314
+ ST A, *AR4- || MASR *AR5-, A ; 315
+ ST A, *AR4- || MASR *AR5-, B ; 316
+ ST A, *AR4- || MASR *AR5+, A ; 317
+ ST A, *AR4- || MASR *AR5+, B ; 318
+ ST A, *AR4- || MASR *AR5+0%, A ; 319
+ ST A, *AR4- || MASR *AR5+0%, B ; 320
+ ST A, *AR4+ || MASR *AR2, A ; 321
+ ST A, *AR4+ || MASR *AR2, B ; 322
+ ST A, *AR4+ || MASR *AR2-, A ; 323
+ ST A, *AR4+ || MASR *AR2-, B ; 324
+ ST A, *AR4+ || MASR *AR2+, A ; 325
+ ST A, *AR4+ || MASR *AR2+, B ; 326
+ ST A, *AR4+ || MASR *AR2+0%, A ; 327
+ ST A, *AR4+ || MASR *AR2+0%, B ; 328
+ ST A, *AR4+ || MASR *AR3, A ; 329
+ ST A, *AR4+ || MASR *AR3, B ; 330
+ ST A, *AR4+ || MASR *AR3-, A ; 331
+ ST A, *AR4+ || MASR *AR3-, B ; 332
+ ST A, *AR4+ || MASR *AR3+, A ; 333
+ ST A, *AR4+ || MASR *AR3+, B ; 334
+ ST A, *AR4+ || MASR *AR3+0%, A ; 335
+ ST A, *AR4+ || MASR *AR3+0%, B ; 336
+ ST A, *AR4+ || MASR *AR4, A ; 337
+ ST A, *AR4+ || MASR *AR4, B ; 338
+ ST A, *AR4+ || MASR *AR4-, A ; 339
+ ST A, *AR4+ || MASR *AR4-, B ; 340
+ ST A, *AR4+ || MASR *AR4+, A ; 341
+ ST A, *AR4+ || MASR *AR4+, B ; 342
+ ST A, *AR4+ || MASR *AR4+0%, A ; 343
+ ST A, *AR4+ || MASR *AR4+0%, B ; 344
+ ST A, *AR4+ || MASR *AR5, A ; 345
+ ST A, *AR4+ || MASR *AR5, B ; 346
+ ST A, *AR4+ || MASR *AR5-, A ; 347
+ ST A, *AR4+ || MASR *AR5-, B ; 348
+ ST A, *AR4+ || MASR *AR5+, A ; 349
+ ST A, *AR4+ || MASR *AR5+, B ; 350
+ ST A, *AR4+ || MASR *AR5+0%, A ; 351
+ ST A, *AR4+ || MASR *AR5+0%, B ; 352
+ ST A, *AR4+0% || MASR *AR2, A ; 353
+ ST A, *AR4+0% || MASR *AR2, B ; 354
+ ST A, *AR4+0% || MASR *AR2-, A ; 355
+ ST A, *AR4+0% || MASR *AR2-, B ; 356
+ ST A, *AR4+0% || MASR *AR2+, A ; 357
+ ST A, *AR4+0% || MASR *AR2+, B ; 358
+ ST A, *AR4+0% || MASR *AR2+0%, A ; 359
+ ST A, *AR4+0% || MASR *AR2+0%, B ; 360
+ ST A, *AR4+0% || MASR *AR3, A ; 361
+ ST A, *AR4+0% || MASR *AR3, B ; 362
+ ST A, *AR4+0% || MASR *AR3-, A ; 363
+ ST A, *AR4+0% || MASR *AR3-, B ; 364
+ ST A, *AR4+0% || MASR *AR3+, A ; 365
+ ST A, *AR4+0% || MASR *AR3+, B ; 366
+ ST A, *AR4+0% || MASR *AR3+0%, A ; 367
+ ST A, *AR4+0% || MASR *AR3+0%, B ; 368
+ ST A, *AR4+0% || MASR *AR4, A ; 369
+ ST A, *AR4+0% || MASR *AR4, B ; 370
+ ST A, *AR4+0% || MASR *AR4-, A ; 371
+ ST A, *AR4+0% || MASR *AR4-, B ; 372
+ ST A, *AR4+0% || MASR *AR4+, A ; 373
+ ST A, *AR4+0% || MASR *AR4+, B ; 374
+ ST A, *AR4+0% || MASR *AR4+0%, A ; 375
+ ST A, *AR4+0% || MASR *AR4+0%, B ; 376
+ ST A, *AR4+0% || MASR *AR5, A ; 377
+ ST A, *AR4+0% || MASR *AR5, B ; 378
+ ST A, *AR4+0% || MASR *AR5-, A ; 379
+ ST A, *AR4+0% || MASR *AR5-, B ; 380
+ ST A, *AR4+0% || MASR *AR5+, A ; 381
+ ST A, *AR4+0% || MASR *AR5+, B ; 382
+ ST A, *AR4+0% || MASR *AR5+0%, A ; 383
+ ST A, *AR4+0% || MASR *AR5+0%, B ; 384
+ ST A, *AR5 || MASR *AR2, A ; 385
+ ST A, *AR5 || MASR *AR2, B ; 386
+ ST A, *AR5 || MASR *AR2-, A ; 387
+ ST A, *AR5 || MASR *AR2-, B ; 388
+ ST A, *AR5 || MASR *AR2+, A ; 389
+ ST A, *AR5 || MASR *AR2+, B ; 390
+ ST A, *AR5 || MASR *AR2+0%, A ; 391
+ ST A, *AR5 || MASR *AR2+0%, B ; 392
+ ST A, *AR5 || MASR *AR3, A ; 393
+ ST A, *AR5 || MASR *AR3, B ; 394
+ ST A, *AR5 || MASR *AR3-, A ; 395
+ ST A, *AR5 || MASR *AR3-, B ; 396
+ ST A, *AR5 || MASR *AR3+, A ; 397
+ ST A, *AR5 || MASR *AR3+, B ; 398
+ ST A, *AR5 || MASR *AR3+0%, A ; 399
+ ST A, *AR5 || MASR *AR3+0%, B ; 400
+ ST A, *AR5 || MASR *AR4, A ; 401
+ ST A, *AR5 || MASR *AR4, B ; 402
+ ST A, *AR5 || MASR *AR4-, A ; 403
+ ST A, *AR5 || MASR *AR4-, B ; 404
+ ST A, *AR5 || MASR *AR4+, A ; 405
+ ST A, *AR5 || MASR *AR4+, B ; 406
+ ST A, *AR5 || MASR *AR4+0%, A ; 407
+ ST A, *AR5 || MASR *AR4+0%, B ; 408
+ ST A, *AR5 || MASR *AR5, A ; 409
+ ST A, *AR5 || MASR *AR5, B ; 410
+ ST A, *AR5 || MASR *AR5-, A ; 411
+ ST A, *AR5 || MASR *AR5-, B ; 412
+ ST A, *AR5 || MASR *AR5+, A ; 413
+ ST A, *AR5 || MASR *AR5+, B ; 414
+ ST A, *AR5 || MASR *AR5+0%, A ; 415
+ ST A, *AR5 || MASR *AR5+0%, B ; 416
+ ST A, *AR5- || MASR *AR2, A ; 417
+ ST A, *AR5- || MASR *AR2, B ; 418
+ ST A, *AR5- || MASR *AR2-, A ; 419
+ ST A, *AR5- || MASR *AR2-, B ; 420
+ ST A, *AR5- || MASR *AR2+, A ; 421
+ ST A, *AR5- || MASR *AR2+, B ; 422
+ ST A, *AR5- || MASR *AR2+0%, A ; 423
+ ST A, *AR5- || MASR *AR2+0%, B ; 424
+ ST A, *AR5- || MASR *AR3, A ; 425
+ ST A, *AR5- || MASR *AR3, B ; 426
+ ST A, *AR5- || MASR *AR3-, A ; 427
+ ST A, *AR5- || MASR *AR3-, B ; 428
+ ST A, *AR5- || MASR *AR3+, A ; 429
+ ST A, *AR5- || MASR *AR3+, B ; 430
+ ST A, *AR5- || MASR *AR3+0%, A ; 431
+ ST A, *AR5- || MASR *AR3+0%, B ; 432
+ ST A, *AR5- || MASR *AR4, A ; 433
+ ST A, *AR5- || MASR *AR4, B ; 434
+ ST A, *AR5- || MASR *AR4-, A ; 435
+ ST A, *AR5- || MASR *AR4-, B ; 436
+ ST A, *AR5- || MASR *AR4+, A ; 437
+ ST A, *AR5- || MASR *AR4+, B ; 438
+ ST A, *AR5- || MASR *AR4+0%, A ; 439
+ ST A, *AR5- || MASR *AR4+0%, B ; 440
+ ST A, *AR5- || MASR *AR5, A ; 441
+ ST A, *AR5- || MASR *AR5, B ; 442
+ ST A, *AR5- || MASR *AR5-, A ; 443
+ ST A, *AR5- || MASR *AR5-, B ; 444
+ ST A, *AR5- || MASR *AR5+, A ; 445
+ ST A, *AR5- || MASR *AR5+, B ; 446
+ ST A, *AR5- || MASR *AR5+0%, A ; 447
+ ST A, *AR5- || MASR *AR5+0%, B ; 448
+ ST A, *AR5+ || MASR *AR2, A ; 449
+ ST A, *AR5+ || MASR *AR2, B ; 450
+ ST A, *AR5+ || MASR *AR2-, A ; 451
+ ST A, *AR5+ || MASR *AR2-, B ; 452
+ ST A, *AR5+ || MASR *AR2+, A ; 453
+ ST A, *AR5+ || MASR *AR2+, B ; 454
+ ST A, *AR5+ || MASR *AR2+0%, A ; 455
+ ST A, *AR5+ || MASR *AR2+0%, B ; 456
+ ST A, *AR5+ || MASR *AR3, A ; 457
+ ST A, *AR5+ || MASR *AR3, B ; 458
+ ST A, *AR5+ || MASR *AR3-, A ; 459
+ ST A, *AR5+ || MASR *AR3-, B ; 460
+ ST A, *AR5+ || MASR *AR3+, A ; 461
+ ST A, *AR5+ || MASR *AR3+, B ; 462
+ ST A, *AR5+ || MASR *AR3+0%, A ; 463
+ ST A, *AR5+ || MASR *AR3+0%, B ; 464
+ ST A, *AR5+ || MASR *AR4, A ; 465
+ ST A, *AR5+ || MASR *AR4, B ; 466
+ ST A, *AR5+ || MASR *AR4-, A ; 467
+ ST A, *AR5+ || MASR *AR4-, B ; 468
+ ST A, *AR5+ || MASR *AR4+, A ; 469
+ ST A, *AR5+ || MASR *AR4+, B ; 470
+ ST A, *AR5+ || MASR *AR4+0%, A ; 471
+ ST A, *AR5+ || MASR *AR4+0%, B ; 472
+ ST A, *AR5+ || MASR *AR5, A ; 473
+ ST A, *AR5+ || MASR *AR5, B ; 474
+ ST A, *AR5+ || MASR *AR5-, A ; 475
+ ST A, *AR5+ || MASR *AR5-, B ; 476
+ ST A, *AR5+ || MASR *AR5+, A ; 477
+ ST A, *AR5+ || MASR *AR5+, B ; 478
+ ST A, *AR5+ || MASR *AR5+0%, A ; 479
+ ST A, *AR5+ || MASR *AR5+0%, B ; 480
+ ST A, *AR5+0% || MASR *AR2, A ; 481
+ ST A, *AR5+0% || MASR *AR2, B ; 482
+ ST A, *AR5+0% || MASR *AR2-, A ; 483
+ ST A, *AR5+0% || MASR *AR2-, B ; 484
+ ST A, *AR5+0% || MASR *AR2+, A ; 485
+ ST A, *AR5+0% || MASR *AR2+, B ; 486
+ ST A, *AR5+0% || MASR *AR2+0%, A ; 487
+ ST A, *AR5+0% || MASR *AR2+0%, B ; 488
+ ST A, *AR5+0% || MASR *AR3, A ; 489
+ ST A, *AR5+0% || MASR *AR3, B ; 490
+ ST A, *AR5+0% || MASR *AR3-, A ; 491
+ ST A, *AR5+0% || MASR *AR3-, B ; 492
+ ST A, *AR5+0% || MASR *AR3+, A ; 493
+ ST A, *AR5+0% || MASR *AR3+, B ; 494
+ ST A, *AR5+0% || MASR *AR3+0%, A ; 495
+ ST A, *AR5+0% || MASR *AR3+0%, B ; 496
+ ST A, *AR5+0% || MASR *AR4, A ; 497
+ ST A, *AR5+0% || MASR *AR4, B ; 498
+ ST A, *AR5+0% || MASR *AR4-, A ; 499
+ ST A, *AR5+0% || MASR *AR4-, B ; 500
+ ST A, *AR5+0% || MASR *AR4+, A ; 501
+ ST A, *AR5+0% || MASR *AR4+, B ; 502
+ ST A, *AR5+0% || MASR *AR4+0%, A ; 503
+ ST A, *AR5+0% || MASR *AR4+0%, B ; 504
+ ST A, *AR5+0% || MASR *AR5, A ; 505
+ ST A, *AR5+0% || MASR *AR5, B ; 506
+ ST A, *AR5+0% || MASR *AR5-, A ; 507
+ ST A, *AR5+0% || MASR *AR5-, B ; 508
+ ST A, *AR5+0% || MASR *AR5+, A ; 509
+ ST A, *AR5+0% || MASR *AR5+, B ; 510
+ ST A, *AR5+0% || MASR *AR5+0%, A ; 511
+ ST A, *AR5+0% || MASR *AR5+0%, B ; 512
+ ST B, *AR2 || MASR *AR2, A ; 513
+ ST B, *AR2 || MASR *AR2, B ; 514
+ ST B, *AR2 || MASR *AR2-, A ; 515
+ ST B, *AR2 || MASR *AR2-, B ; 516
+ ST B, *AR2 || MASR *AR2+, A ; 517
+ ST B, *AR2 || MASR *AR2+, B ; 518
+ ST B, *AR2 || MASR *AR2+0%, A ; 519
+ ST B, *AR2 || MASR *AR2+0%, B ; 520
+ ST B, *AR2 || MASR *AR3, A ; 521
+ ST B, *AR2 || MASR *AR3, B ; 522
+ ST B, *AR2 || MASR *AR3-, A ; 523
+ ST B, *AR2 || MASR *AR3-, B ; 524
+ ST B, *AR2 || MASR *AR3+, A ; 525
+ ST B, *AR2 || MASR *AR3+, B ; 526
+ ST B, *AR2 || MASR *AR3+0%, A ; 527
+ ST B, *AR2 || MASR *AR3+0%, B ; 528
+ ST B, *AR2 || MASR *AR4, A ; 529
+ ST B, *AR2 || MASR *AR4, B ; 530
+ ST B, *AR2 || MASR *AR4-, A ; 531
+ ST B, *AR2 || MASR *AR4-, B ; 532
+ ST B, *AR2 || MASR *AR4+, A ; 533
+ ST B, *AR2 || MASR *AR4+, B ; 534
+ ST B, *AR2 || MASR *AR4+0%, A ; 535
+ ST B, *AR2 || MASR *AR4+0%, B ; 536
+ ST B, *AR2 || MASR *AR5, A ; 537
+ ST B, *AR2 || MASR *AR5, B ; 538
+ ST B, *AR2 || MASR *AR5-, A ; 539
+ ST B, *AR2 || MASR *AR5-, B ; 540
+ ST B, *AR2 || MASR *AR5+, A ; 541
+ ST B, *AR2 || MASR *AR5+, B ; 542
+ ST B, *AR2 || MASR *AR5+0%, A ; 543
+ ST B, *AR2 || MASR *AR5+0%, B ; 544
+ ST B, *AR2- || MASR *AR2, A ; 545
+ ST B, *AR2- || MASR *AR2, B ; 546
+ ST B, *AR2- || MASR *AR2-, A ; 547
+ ST B, *AR2- || MASR *AR2-, B ; 548
+ ST B, *AR2- || MASR *AR2+, A ; 549
+ ST B, *AR2- || MASR *AR2+, B ; 550
+ ST B, *AR2- || MASR *AR2+0%, A ; 551
+ ST B, *AR2- || MASR *AR2+0%, B ; 552
+ ST B, *AR2- || MASR *AR3, A ; 553
+ ST B, *AR2- || MASR *AR3, B ; 554
+ ST B, *AR2- || MASR *AR3-, A ; 555
+ ST B, *AR2- || MASR *AR3-, B ; 556
+ ST B, *AR2- || MASR *AR3+, A ; 557
+ ST B, *AR2- || MASR *AR3+, B ; 558
+ ST B, *AR2- || MASR *AR3+0%, A ; 559
+ ST B, *AR2- || MASR *AR3+0%, B ; 560
+ ST B, *AR2- || MASR *AR4, A ; 561
+ ST B, *AR2- || MASR *AR4, B ; 562
+ ST B, *AR2- || MASR *AR4-, A ; 563
+ ST B, *AR2- || MASR *AR4-, B ; 564
+ ST B, *AR2- || MASR *AR4+, A ; 565
+ ST B, *AR2- || MASR *AR4+, B ; 566
+ ST B, *AR2- || MASR *AR4+0%, A ; 567
+ ST B, *AR2- || MASR *AR4+0%, B ; 568
+ ST B, *AR2- || MASR *AR5, A ; 569
+ ST B, *AR2- || MASR *AR5, B ; 570
+ ST B, *AR2- || MASR *AR5-, A ; 571
+ ST B, *AR2- || MASR *AR5-, B ; 572
+ ST B, *AR2- || MASR *AR5+, A ; 573
+ ST B, *AR2- || MASR *AR5+, B ; 574
+ ST B, *AR2- || MASR *AR5+0%, A ; 575
+ ST B, *AR2- || MASR *AR5+0%, B ; 576
+ ST B, *AR2+ || MASR *AR2, A ; 577
+ ST B, *AR2+ || MASR *AR2, B ; 578
+ ST B, *AR2+ || MASR *AR2-, A ; 579
+ ST B, *AR2+ || MASR *AR2-, B ; 580
+ ST B, *AR2+ || MASR *AR2+, A ; 581
+ ST B, *AR2+ || MASR *AR2+, B ; 582
+ ST B, *AR2+ || MASR *AR2+0%, A ; 583
+ ST B, *AR2+ || MASR *AR2+0%, B ; 584
+ ST B, *AR2+ || MASR *AR3, A ; 585
+ ST B, *AR2+ || MASR *AR3, B ; 586
+ ST B, *AR2+ || MASR *AR3-, A ; 587
+ ST B, *AR2+ || MASR *AR3-, B ; 588
+ ST B, *AR2+ || MASR *AR3+, A ; 589
+ ST B, *AR2+ || MASR *AR3+, B ; 590
+ ST B, *AR2+ || MASR *AR3+0%, A ; 591
+ ST B, *AR2+ || MASR *AR3+0%, B ; 592
+ ST B, *AR2+ || MASR *AR4, A ; 593
+ ST B, *AR2+ || MASR *AR4, B ; 594
+ ST B, *AR2+ || MASR *AR4-, A ; 595
+ ST B, *AR2+ || MASR *AR4-, B ; 596
+ ST B, *AR2+ || MASR *AR4+, A ; 597
+ ST B, *AR2+ || MASR *AR4+, B ; 598
+ ST B, *AR2+ || MASR *AR4+0%, A ; 599
+ ST B, *AR2+ || MASR *AR4+0%, B ; 600
+ ST B, *AR2+ || MASR *AR5, A ; 601
+ ST B, *AR2+ || MASR *AR5, B ; 602
+ ST B, *AR2+ || MASR *AR5-, A ; 603
+ ST B, *AR2+ || MASR *AR5-, B ; 604
+ ST B, *AR2+ || MASR *AR5+, A ; 605
+ ST B, *AR2+ || MASR *AR5+, B ; 606
+ ST B, *AR2+ || MASR *AR5+0%, A ; 607
+ ST B, *AR2+ || MASR *AR5+0%, B ; 608
+ ST B, *AR2+0% || MASR *AR2, A ; 609
+ ST B, *AR2+0% || MASR *AR2, B ; 610
+ ST B, *AR2+0% || MASR *AR2-, A ; 611
+ ST B, *AR2+0% || MASR *AR2-, B ; 612
+ ST B, *AR2+0% || MASR *AR2+, A ; 613
+ ST B, *AR2+0% || MASR *AR2+, B ; 614
+ ST B, *AR2+0% || MASR *AR2+0%, A ; 615
+ ST B, *AR2+0% || MASR *AR2+0%, B ; 616
+ ST B, *AR2+0% || MASR *AR3, A ; 617
+ ST B, *AR2+0% || MASR *AR3, B ; 618
+ ST B, *AR2+0% || MASR *AR3-, A ; 619
+ ST B, *AR2+0% || MASR *AR3-, B ; 620
+ ST B, *AR2+0% || MASR *AR3+, A ; 621
+ ST B, *AR2+0% || MASR *AR3+, B ; 622
+ ST B, *AR2+0% || MASR *AR3+0%, A ; 623
+ ST B, *AR2+0% || MASR *AR3+0%, B ; 624
+ ST B, *AR2+0% || MASR *AR4, A ; 625
+ ST B, *AR2+0% || MASR *AR4, B ; 626
+ ST B, *AR2+0% || MASR *AR4-, A ; 627
+ ST B, *AR2+0% || MASR *AR4-, B ; 628
+ ST B, *AR2+0% || MASR *AR4+, A ; 629
+ ST B, *AR2+0% || MASR *AR4+, B ; 630
+ ST B, *AR2+0% || MASR *AR4+0%, A ; 631
+ ST B, *AR2+0% || MASR *AR4+0%, B ; 632
+ ST B, *AR2+0% || MASR *AR5, A ; 633
+ ST B, *AR2+0% || MASR *AR5, B ; 634
+ ST B, *AR2+0% || MASR *AR5-, A ; 635
+ ST B, *AR2+0% || MASR *AR5-, B ; 636
+ ST B, *AR2+0% || MASR *AR5+, A ; 637
+ ST B, *AR2+0% || MASR *AR5+, B ; 638
+ ST B, *AR2+0% || MASR *AR5+0%, A ; 639
+ ST B, *AR2+0% || MASR *AR5+0%, B ; 640
+ ST B, *AR3 || MASR *AR2, A ; 641
+ ST B, *AR3 || MASR *AR2, B ; 642
+ ST B, *AR3 || MASR *AR2-, A ; 643
+ ST B, *AR3 || MASR *AR2-, B ; 644
+ ST B, *AR3 || MASR *AR2+, A ; 645
+ ST B, *AR3 || MASR *AR2+, B ; 646
+ ST B, *AR3 || MASR *AR2+0%, A ; 647
+ ST B, *AR3 || MASR *AR2+0%, B ; 648
+ ST B, *AR3 || MASR *AR3, A ; 649
+ ST B, *AR3 || MASR *AR3, B ; 650
+ ST B, *AR3 || MASR *AR3-, A ; 651
+ ST B, *AR3 || MASR *AR3-, B ; 652
+ ST B, *AR3 || MASR *AR3+, A ; 653
+ ST B, *AR3 || MASR *AR3+, B ; 654
+ ST B, *AR3 || MASR *AR3+0%, A ; 655
+ ST B, *AR3 || MASR *AR3+0%, B ; 656
+ ST B, *AR3 || MASR *AR4, A ; 657
+ ST B, *AR3 || MASR *AR4, B ; 658
+ ST B, *AR3 || MASR *AR4-, A ; 659
+ ST B, *AR3 || MASR *AR4-, B ; 660
+ ST B, *AR3 || MASR *AR4+, A ; 661
+ ST B, *AR3 || MASR *AR4+, B ; 662
+ ST B, *AR3 || MASR *AR4+0%, A ; 663
+ ST B, *AR3 || MASR *AR4+0%, B ; 664
+ ST B, *AR3 || MASR *AR5, A ; 665
+ ST B, *AR3 || MASR *AR5, B ; 666
+ ST B, *AR3 || MASR *AR5-, A ; 667
+ ST B, *AR3 || MASR *AR5-, B ; 668
+ ST B, *AR3 || MASR *AR5+, A ; 669
+ ST B, *AR3 || MASR *AR5+, B ; 670
+ ST B, *AR3 || MASR *AR5+0%, A ; 671
+ ST B, *AR3 || MASR *AR5+0%, B ; 672
+ ST B, *AR3- || MASR *AR2, A ; 673
+ ST B, *AR3- || MASR *AR2, B ; 674
+ ST B, *AR3- || MASR *AR2-, A ; 675
+ ST B, *AR3- || MASR *AR2-, B ; 676
+ ST B, *AR3- || MASR *AR2+, A ; 677
+ ST B, *AR3- || MASR *AR2+, B ; 678
+ ST B, *AR3- || MASR *AR2+0%, A ; 679
+ ST B, *AR3- || MASR *AR2+0%, B ; 680
+ ST B, *AR3- || MASR *AR3, A ; 681
+ ST B, *AR3- || MASR *AR3, B ; 682
+ ST B, *AR3- || MASR *AR3-, A ; 683
+ ST B, *AR3- || MASR *AR3-, B ; 684
+ ST B, *AR3- || MASR *AR3+, A ; 685
+ ST B, *AR3- || MASR *AR3+, B ; 686
+ ST B, *AR3- || MASR *AR3+0%, A ; 687
+ ST B, *AR3- || MASR *AR3+0%, B ; 688
+ ST B, *AR3- || MASR *AR4, A ; 689
+ ST B, *AR3- || MASR *AR4, B ; 690
+ ST B, *AR3- || MASR *AR4-, A ; 691
+ ST B, *AR3- || MASR *AR4-, B ; 692
+ ST B, *AR3- || MASR *AR4+, A ; 693
+ ST B, *AR3- || MASR *AR4+, B ; 694
+ ST B, *AR3- || MASR *AR4+0%, A ; 695
+ ST B, *AR3- || MASR *AR4+0%, B ; 696
+ ST B, *AR3- || MASR *AR5, A ; 697
+ ST B, *AR3- || MASR *AR5, B ; 698
+ ST B, *AR3- || MASR *AR5-, A ; 699
+ ST B, *AR3- || MASR *AR5-, B ; 700
+ ST B, *AR3- || MASR *AR5+, A ; 701
+ ST B, *AR3- || MASR *AR5+, B ; 702
+ ST B, *AR3- || MASR *AR5+0%, A ; 703
+ ST B, *AR3- || MASR *AR5+0%, B ; 704
+ ST B, *AR3+ || MASR *AR2, A ; 705
+ ST B, *AR3+ || MASR *AR2, B ; 706
+ ST B, *AR3+ || MASR *AR2-, A ; 707
+ ST B, *AR3+ || MASR *AR2-, B ; 708
+ ST B, *AR3+ || MASR *AR2+, A ; 709
+ ST B, *AR3+ || MASR *AR2+, B ; 710
+ ST B, *AR3+ || MASR *AR2+0%, A ; 711
+ ST B, *AR3+ || MASR *AR2+0%, B ; 712
+ ST B, *AR3+ || MASR *AR3, A ; 713
+ ST B, *AR3+ || MASR *AR3, B ; 714
+ ST B, *AR3+ || MASR *AR3-, A ; 715
+ ST B, *AR3+ || MASR *AR3-, B ; 716
+ ST B, *AR3+ || MASR *AR3+, A ; 717
+ ST B, *AR3+ || MASR *AR3+, B ; 718
+ ST B, *AR3+ || MASR *AR3+0%, A ; 719
+ ST B, *AR3+ || MASR *AR3+0%, B ; 720
+ ST B, *AR3+ || MASR *AR4, A ; 721
+ ST B, *AR3+ || MASR *AR4, B ; 722
+ ST B, *AR3+ || MASR *AR4-, A ; 723
+ ST B, *AR3+ || MASR *AR4-, B ; 724
+ ST B, *AR3+ || MASR *AR4+, A ; 725
+ ST B, *AR3+ || MASR *AR4+, B ; 726
+ ST B, *AR3+ || MASR *AR4+0%, A ; 727
+ ST B, *AR3+ || MASR *AR4+0%, B ; 728
+ ST B, *AR3+ || MASR *AR5, A ; 729
+ ST B, *AR3+ || MASR *AR5, B ; 730
+ ST B, *AR3+ || MASR *AR5-, A ; 731
+ ST B, *AR3+ || MASR *AR5-, B ; 732
+ ST B, *AR3+ || MASR *AR5+, A ; 733
+ ST B, *AR3+ || MASR *AR5+, B ; 734
+ ST B, *AR3+ || MASR *AR5+0%, A ; 735
+ ST B, *AR3+ || MASR *AR5+0%, B ; 736
+ ST B, *AR3+0% || MASR *AR2, A ; 737
+ ST B, *AR3+0% || MASR *AR2, B ; 738
+ ST B, *AR3+0% || MASR *AR2-, A ; 739
+ ST B, *AR3+0% || MASR *AR2-, B ; 740
+ ST B, *AR3+0% || MASR *AR2+, A ; 741
+ ST B, *AR3+0% || MASR *AR2+, B ; 742
+ ST B, *AR3+0% || MASR *AR2+0%, A ; 743
+ ST B, *AR3+0% || MASR *AR2+0%, B ; 744
+ ST B, *AR3+0% || MASR *AR3, A ; 745
+ ST B, *AR3+0% || MASR *AR3, B ; 746
+ ST B, *AR3+0% || MASR *AR3-, A ; 747
+ ST B, *AR3+0% || MASR *AR3-, B ; 748
+ ST B, *AR3+0% || MASR *AR3+, A ; 749
+ ST B, *AR3+0% || MASR *AR3+, B ; 750
+ ST B, *AR3+0% || MASR *AR3+0%, A ; 751
+ ST B, *AR3+0% || MASR *AR3+0%, B ; 752
+ ST B, *AR3+0% || MASR *AR4, A ; 753
+ ST B, *AR3+0% || MASR *AR4, B ; 754
+ ST B, *AR3+0% || MASR *AR4-, A ; 755
+ ST B, *AR3+0% || MASR *AR4-, B ; 756
+ ST B, *AR3+0% || MASR *AR4+, A ; 757
+ ST B, *AR3+0% || MASR *AR4+, B ; 758
+ ST B, *AR3+0% || MASR *AR4+0%, A ; 759
+ ST B, *AR3+0% || MASR *AR4+0%, B ; 760
+ ST B, *AR3+0% || MASR *AR5, A ; 761
+ ST B, *AR3+0% || MASR *AR5, B ; 762
+ ST B, *AR3+0% || MASR *AR5-, A ; 763
+ ST B, *AR3+0% || MASR *AR5-, B ; 764
+ ST B, *AR3+0% || MASR *AR5+, A ; 765
+ ST B, *AR3+0% || MASR *AR5+, B ; 766
+ ST B, *AR3+0% || MASR *AR5+0%, A ; 767
+ ST B, *AR3+0% || MASR *AR5+0%, B ; 768
+ ST B, *AR4 || MASR *AR2, A ; 769
+ ST B, *AR4 || MASR *AR2, B ; 770
+ ST B, *AR4 || MASR *AR2-, A ; 771
+ ST B, *AR4 || MASR *AR2-, B ; 772
+ ST B, *AR4 || MASR *AR2+, A ; 773
+ ST B, *AR4 || MASR *AR2+, B ; 774
+ ST B, *AR4 || MASR *AR2+0%, A ; 775
+ ST B, *AR4 || MASR *AR2+0%, B ; 776
+ ST B, *AR4 || MASR *AR3, A ; 777
+ ST B, *AR4 || MASR *AR3, B ; 778
+ ST B, *AR4 || MASR *AR3-, A ; 779
+ ST B, *AR4 || MASR *AR3-, B ; 780
+ ST B, *AR4 || MASR *AR3+, A ; 781
+ ST B, *AR4 || MASR *AR3+, B ; 782
+ ST B, *AR4 || MASR *AR3+0%, A ; 783
+ ST B, *AR4 || MASR *AR3+0%, B ; 784
+ ST B, *AR4 || MASR *AR4, A ; 785
+ ST B, *AR4 || MASR *AR4, B ; 786
+ ST B, *AR4 || MASR *AR4-, A ; 787
+ ST B, *AR4 || MASR *AR4-, B ; 788
+ ST B, *AR4 || MASR *AR4+, A ; 789
+ ST B, *AR4 || MASR *AR4+, B ; 790
+ ST B, *AR4 || MASR *AR4+0%, A ; 791
+ ST B, *AR4 || MASR *AR4+0%, B ; 792
+ ST B, *AR4 || MASR *AR5, A ; 793
+ ST B, *AR4 || MASR *AR5, B ; 794
+ ST B, *AR4 || MASR *AR5-, A ; 795
+ ST B, *AR4 || MASR *AR5-, B ; 796
+ ST B, *AR4 || MASR *AR5+, A ; 797
+ ST B, *AR4 || MASR *AR5+, B ; 798
+ ST B, *AR4 || MASR *AR5+0%, A ; 799
+ ST B, *AR4 || MASR *AR5+0%, B ; 800
+ ST B, *AR4- || MASR *AR2, A ; 801
+ ST B, *AR4- || MASR *AR2, B ; 802
+ ST B, *AR4- || MASR *AR2-, A ; 803
+ ST B, *AR4- || MASR *AR2-, B ; 804
+ ST B, *AR4- || MASR *AR2+, A ; 805
+ ST B, *AR4- || MASR *AR2+, B ; 806
+ ST B, *AR4- || MASR *AR2+0%, A ; 807
+ ST B, *AR4- || MASR *AR2+0%, B ; 808
+ ST B, *AR4- || MASR *AR3, A ; 809
+ ST B, *AR4- || MASR *AR3, B ; 810
+ ST B, *AR4- || MASR *AR3-, A ; 811
+ ST B, *AR4- || MASR *AR3-, B ; 812
+ ST B, *AR4- || MASR *AR3+, A ; 813
+ ST B, *AR4- || MASR *AR3+, B ; 814
+ ST B, *AR4- || MASR *AR3+0%, A ; 815
+ ST B, *AR4- || MASR *AR3+0%, B ; 816
+ ST B, *AR4- || MASR *AR4, A ; 817
+ ST B, *AR4- || MASR *AR4, B ; 818
+ ST B, *AR4- || MASR *AR4-, A ; 819
+ ST B, *AR4- || MASR *AR4-, B ; 820
+ ST B, *AR4- || MASR *AR4+, A ; 821
+ ST B, *AR4- || MASR *AR4+, B ; 822
+ ST B, *AR4- || MASR *AR4+0%, A ; 823
+ ST B, *AR4- || MASR *AR4+0%, B ; 824
+ ST B, *AR4- || MASR *AR5, A ; 825
+ ST B, *AR4- || MASR *AR5, B ; 826
+ ST B, *AR4- || MASR *AR5-, A ; 827
+ ST B, *AR4- || MASR *AR5-, B ; 828
+ ST B, *AR4- || MASR *AR5+, A ; 829
+ ST B, *AR4- || MASR *AR5+, B ; 830
+ ST B, *AR4- || MASR *AR5+0%, A ; 831
+ ST B, *AR4- || MASR *AR5+0%, B ; 832
+ ST B, *AR4+ || MASR *AR2, A ; 833
+ ST B, *AR4+ || MASR *AR2, B ; 834
+ ST B, *AR4+ || MASR *AR2-, A ; 835
+ ST B, *AR4+ || MASR *AR2-, B ; 836
+ ST B, *AR4+ || MASR *AR2+, A ; 837
+ ST B, *AR4+ || MASR *AR2+, B ; 838
+ ST B, *AR4+ || MASR *AR2+0%, A ; 839
+ ST B, *AR4+ || MASR *AR2+0%, B ; 840
+ ST B, *AR4+ || MASR *AR3, A ; 841
+ ST B, *AR4+ || MASR *AR3, B ; 842
+ ST B, *AR4+ || MASR *AR3-, A ; 843
+ ST B, *AR4+ || MASR *AR3-, B ; 844
+ ST B, *AR4+ || MASR *AR3+, A ; 845
+ ST B, *AR4+ || MASR *AR3+, B ; 846
+ ST B, *AR4+ || MASR *AR3+0%, A ; 847
+ ST B, *AR4+ || MASR *AR3+0%, B ; 848
+ ST B, *AR4+ || MASR *AR4, A ; 849
+ ST B, *AR4+ || MASR *AR4, B ; 850
+ ST B, *AR4+ || MASR *AR4-, A ; 851
+ ST B, *AR4+ || MASR *AR4-, B ; 852
+ ST B, *AR4+ || MASR *AR4+, A ; 853
+ ST B, *AR4+ || MASR *AR4+, B ; 854
+ ST B, *AR4+ || MASR *AR4+0%, A ; 855
+ ST B, *AR4+ || MASR *AR4+0%, B ; 856
+ ST B, *AR4+ || MASR *AR5, A ; 857
+ ST B, *AR4+ || MASR *AR5, B ; 858
+ ST B, *AR4+ || MASR *AR5-, A ; 859
+ ST B, *AR4+ || MASR *AR5-, B ; 860
+ ST B, *AR4+ || MASR *AR5+, A ; 861
+ ST B, *AR4+ || MASR *AR5+, B ; 862
+ ST B, *AR4+ || MASR *AR5+0%, A ; 863
+ ST B, *AR4+ || MASR *AR5+0%, B ; 864
+ ST B, *AR4+0% || MASR *AR2, A ; 865
+ ST B, *AR4+0% || MASR *AR2, B ; 866
+ ST B, *AR4+0% || MASR *AR2-, A ; 867
+ ST B, *AR4+0% || MASR *AR2-, B ; 868
+ ST B, *AR4+0% || MASR *AR2+, A ; 869
+ ST B, *AR4+0% || MASR *AR2+, B ; 870
+ ST B, *AR4+0% || MASR *AR2+0%, A ; 871
+ ST B, *AR4+0% || MASR *AR2+0%, B ; 872
+ ST B, *AR4+0% || MASR *AR3, A ; 873
+ ST B, *AR4+0% || MASR *AR3, B ; 874
+ ST B, *AR4+0% || MASR *AR3-, A ; 875
+ ST B, *AR4+0% || MASR *AR3-, B ; 876
+ ST B, *AR4+0% || MASR *AR3+, A ; 877
+ ST B, *AR4+0% || MASR *AR3+, B ; 878
+ ST B, *AR4+0% || MASR *AR3+0%, A ; 879
+ ST B, *AR4+0% || MASR *AR3+0%, B ; 880
+ ST B, *AR4+0% || MASR *AR4, A ; 881
+ ST B, *AR4+0% || MASR *AR4, B ; 882
+ ST B, *AR4+0% || MASR *AR4-, A ; 883
+ ST B, *AR4+0% || MASR *AR4-, B ; 884
+ ST B, *AR4+0% || MASR *AR4+, A ; 885
+ ST B, *AR4+0% || MASR *AR4+, B ; 886
+ ST B, *AR4+0% || MASR *AR4+0%, A ; 887
+ ST B, *AR4+0% || MASR *AR4+0%, B ; 888
+ ST B, *AR4+0% || MASR *AR5, A ; 889
+ ST B, *AR4+0% || MASR *AR5, B ; 890
+ ST B, *AR4+0% || MASR *AR5-, A ; 891
+ ST B, *AR4+0% || MASR *AR5-, B ; 892
+ ST B, *AR4+0% || MASR *AR5+, A ; 893
+ ST B, *AR4+0% || MASR *AR5+, B ; 894
+ ST B, *AR4+0% || MASR *AR5+0%, A ; 895
+ ST B, *AR4+0% || MASR *AR5+0%, B ; 896
+ ST B, *AR5 || MASR *AR2, A ; 897
+ ST B, *AR5 || MASR *AR2, B ; 898
+ ST B, *AR5 || MASR *AR2-, A ; 899
+ ST B, *AR5 || MASR *AR2-, B ; 900
+ ST B, *AR5 || MASR *AR2+, A ; 901
+ ST B, *AR5 || MASR *AR2+, B ; 902
+ ST B, *AR5 || MASR *AR2+0%, A ; 903
+ ST B, *AR5 || MASR *AR2+0%, B ; 904
+ ST B, *AR5 || MASR *AR3, A ; 905
+ ST B, *AR5 || MASR *AR3, B ; 906
+ ST B, *AR5 || MASR *AR3-, A ; 907
+ ST B, *AR5 || MASR *AR3-, B ; 908
+ ST B, *AR5 || MASR *AR3+, A ; 909
+ ST B, *AR5 || MASR *AR3+, B ; 910
+ ST B, *AR5 || MASR *AR3+0%, A ; 911
+ ST B, *AR5 || MASR *AR3+0%, B ; 912
+ ST B, *AR5 || MASR *AR4, A ; 913
+ ST B, *AR5 || MASR *AR4, B ; 914
+ ST B, *AR5 || MASR *AR4-, A ; 915
+ ST B, *AR5 || MASR *AR4-, B ; 916
+ ST B, *AR5 || MASR *AR4+, A ; 917
+ ST B, *AR5 || MASR *AR4+, B ; 918
+ ST B, *AR5 || MASR *AR4+0%, A ; 919
+ ST B, *AR5 || MASR *AR4+0%, B ; 920
+ ST B, *AR5 || MASR *AR5, A ; 921
+ ST B, *AR5 || MASR *AR5, B ; 922
+ ST B, *AR5 || MASR *AR5-, A ; 923
+ ST B, *AR5 || MASR *AR5-, B ; 924
+ ST B, *AR5 || MASR *AR5+, A ; 925
+ ST B, *AR5 || MASR *AR5+, B ; 926
+ ST B, *AR5 || MASR *AR5+0%, A ; 927
+ ST B, *AR5 || MASR *AR5+0%, B ; 928
+ ST B, *AR5- || MASR *AR2, A ; 929
+ ST B, *AR5- || MASR *AR2, B ; 930
+ ST B, *AR5- || MASR *AR2-, A ; 931
+ ST B, *AR5- || MASR *AR2-, B ; 932
+ ST B, *AR5- || MASR *AR2+, A ; 933
+ ST B, *AR5- || MASR *AR2+, B ; 934
+ ST B, *AR5- || MASR *AR2+0%, A ; 935
+ ST B, *AR5- || MASR *AR2+0%, B ; 936
+ ST B, *AR5- || MASR *AR3, A ; 937
+ ST B, *AR5- || MASR *AR3, B ; 938
+ ST B, *AR5- || MASR *AR3-, A ; 939
+ ST B, *AR5- || MASR *AR3-, B ; 940
+ ST B, *AR5- || MASR *AR3+, A ; 941
+ ST B, *AR5- || MASR *AR3+, B ; 942
+ ST B, *AR5- || MASR *AR3+0%, A ; 943
+ ST B, *AR5- || MASR *AR3+0%, B ; 944
+ ST B, *AR5- || MASR *AR4, A ; 945
+ ST B, *AR5- || MASR *AR4, B ; 946
+ ST B, *AR5- || MASR *AR4-, A ; 947
+ ST B, *AR5- || MASR *AR4-, B ; 948
+ ST B, *AR5- || MASR *AR4+, A ; 949
+ ST B, *AR5- || MASR *AR4+, B ; 950
+ ST B, *AR5- || MASR *AR4+0%, A ; 951
+ ST B, *AR5- || MASR *AR4+0%, B ; 952
+ ST B, *AR5- || MASR *AR5, A ; 953
+ ST B, *AR5- || MASR *AR5, B ; 954
+ ST B, *AR5- || MASR *AR5-, A ; 955
+ ST B, *AR5- || MASR *AR5-, B ; 956
+ ST B, *AR5- || MASR *AR5+, A ; 957
+ ST B, *AR5- || MASR *AR5+, B ; 958
+ ST B, *AR5- || MASR *AR5+0%, A ; 959
+ ST B, *AR5- || MASR *AR5+0%, B ; 960
+ ST B, *AR5+ || MASR *AR2, A ; 961
+ ST B, *AR5+ || MASR *AR2, B ; 962
+ ST B, *AR5+ || MASR *AR2-, A ; 963
+ ST B, *AR5+ || MASR *AR2-, B ; 964
+ ST B, *AR5+ || MASR *AR2+, A ; 965
+ ST B, *AR5+ || MASR *AR2+, B ; 966
+ ST B, *AR5+ || MASR *AR2+0%, A ; 967
+ ST B, *AR5+ || MASR *AR2+0%, B ; 968
+ ST B, *AR5+ || MASR *AR3, A ; 969
+ ST B, *AR5+ || MASR *AR3, B ; 970
+ ST B, *AR5+ || MASR *AR3-, A ; 971
+ ST B, *AR5+ || MASR *AR3-, B ; 972
+ ST B, *AR5+ || MASR *AR3+, A ; 973
+ ST B, *AR5+ || MASR *AR3+, B ; 974
+ ST B, *AR5+ || MASR *AR3+0%, A ; 975
+ ST B, *AR5+ || MASR *AR3+0%, B ; 976
+ ST B, *AR5+ || MASR *AR4, A ; 977
+ ST B, *AR5+ || MASR *AR4, B ; 978
+ ST B, *AR5+ || MASR *AR4-, A ; 979
+ ST B, *AR5+ || MASR *AR4-, B ; 980
+ ST B, *AR5+ || MASR *AR4+, A ; 981
+ ST B, *AR5+ || MASR *AR4+, B ; 982
+ ST B, *AR5+ || MASR *AR4+0%, A ; 983
+ ST B, *AR5+ || MASR *AR4+0%, B ; 984
+ ST B, *AR5+ || MASR *AR5, A ; 985
+ ST B, *AR5+ || MASR *AR5, B ; 986
+ ST B, *AR5+ || MASR *AR5-, A ; 987
+ ST B, *AR5+ || MASR *AR5-, B ; 988
+ ST B, *AR5+ || MASR *AR5+, A ; 989
+ ST B, *AR5+ || MASR *AR5+, B ; 990
+ ST B, *AR5+ || MASR *AR5+0%, A ; 991
+ ST B, *AR5+ || MASR *AR5+0%, B ; 992
+ ST B, *AR5+0% || MASR *AR2, A ; 993
+ ST B, *AR5+0% || MASR *AR2, B ; 994
+ ST B, *AR5+0% || MASR *AR2-, A ; 995
+ ST B, *AR5+0% || MASR *AR2-, B ; 996
+ ST B, *AR5+0% || MASR *AR2+, A ; 997
+ ST B, *AR5+0% || MASR *AR2+, B ; 998
+ ST B, *AR5+0% || MASR *AR2+0%, A ; 999
+ ST B, *AR5+0% || MASR *AR2+0%, B ; 1000
+ ST B, *AR5+0% || MASR *AR3, A ; 1001
+ ST B, *AR5+0% || MASR *AR3, B ; 1002
+ ST B, *AR5+0% || MASR *AR3-, A ; 1003
+ ST B, *AR5+0% || MASR *AR3-, B ; 1004
+ ST B, *AR5+0% || MASR *AR3+, A ; 1005
+ ST B, *AR5+0% || MASR *AR3+, B ; 1006
+ ST B, *AR5+0% || MASR *AR3+0%, A ; 1007
+ ST B, *AR5+0% || MASR *AR3+0%, B ; 1008
+ ST B, *AR5+0% || MASR *AR4, A ; 1009
+ ST B, *AR5+0% || MASR *AR4, B ; 1010
+ ST B, *AR5+0% || MASR *AR4-, A ; 1011
+ ST B, *AR5+0% || MASR *AR4-, B ; 1012
+ ST B, *AR5+0% || MASR *AR4+, A ; 1013
+ ST B, *AR5+0% || MASR *AR4+, B ; 1014
+ ST B, *AR5+0% || MASR *AR4+0%, A ; 1015
+ ST B, *AR5+0% || MASR *AR4+0%, B ; 1016
+ ST B, *AR5+0% || MASR *AR5, A ; 1017
+ ST B, *AR5+0% || MASR *AR5, B ; 1018
+ ST B, *AR5+0% || MASR *AR5-, A ; 1019
+ ST B, *AR5+0% || MASR *AR5-, B ; 1020
+ ST B, *AR5+0% || MASR *AR5+, A ; 1021
+ ST B, *AR5+0% || MASR *AR5+, B ; 1022
+ ST B, *AR5+0% || MASR *AR5+0%, A ; 1023
+ ST B, *AR5+0% || MASR *AR5+0%, B ; 1024
+ ; STandMPY : ST AB, Ymem || MPY Xmem, AB : 1024
+ ST A, *AR2 || MPY *AR2, A ; 1
+ ST A, *AR2 || MPY *AR2, B ; 2
+ ST A, *AR2 || MPY *AR2-, A ; 3
+ ST A, *AR2 || MPY *AR2-, B ; 4
+ ST A, *AR2 || MPY *AR2+, A ; 5
+ ST A, *AR2 || MPY *AR2+, B ; 6
+ ST A, *AR2 || MPY *AR2+0%, A ; 7
+ ST A, *AR2 || MPY *AR2+0%, B ; 8
+ ST A, *AR2 || MPY *AR3, A ; 9
+ ST A, *AR2 || MPY *AR3, B ; 10
+ ST A, *AR2 || MPY *AR3-, A ; 11
+ ST A, *AR2 || MPY *AR3-, B ; 12
+ ST A, *AR2 || MPY *AR3+, A ; 13
+ ST A, *AR2 || MPY *AR3+, B ; 14
+ ST A, *AR2 || MPY *AR3+0%, A ; 15
+ ST A, *AR2 || MPY *AR3+0%, B ; 16
+ ST A, *AR2 || MPY *AR4, A ; 17
+ ST A, *AR2 || MPY *AR4, B ; 18
+ ST A, *AR2 || MPY *AR4-, A ; 19
+ ST A, *AR2 || MPY *AR4-, B ; 20
+ ST A, *AR2 || MPY *AR4+, A ; 21
+ ST A, *AR2 || MPY *AR4+, B ; 22
+ ST A, *AR2 || MPY *AR4+0%, A ; 23
+ ST A, *AR2 || MPY *AR4+0%, B ; 24
+ ST A, *AR2 || MPY *AR5, A ; 25
+ ST A, *AR2 || MPY *AR5, B ; 26
+ ST A, *AR2 || MPY *AR5-, A ; 27
+ ST A, *AR2 || MPY *AR5-, B ; 28
+ ST A, *AR2 || MPY *AR5+, A ; 29
+ ST A, *AR2 || MPY *AR5+, B ; 30
+ ST A, *AR2 || MPY *AR5+0%, A ; 31
+ ST A, *AR2 || MPY *AR5+0%, B ; 32
+ ST A, *AR2- || MPY *AR2, A ; 33
+ ST A, *AR2- || MPY *AR2, B ; 34
+ ST A, *AR2- || MPY *AR2-, A ; 35
+ ST A, *AR2- || MPY *AR2-, B ; 36
+ ST A, *AR2- || MPY *AR2+, A ; 37
+ ST A, *AR2- || MPY *AR2+, B ; 38
+ ST A, *AR2- || MPY *AR2+0%, A ; 39
+ ST A, *AR2- || MPY *AR2+0%, B ; 40
+ ST A, *AR2- || MPY *AR3, A ; 41
+ ST A, *AR2- || MPY *AR3, B ; 42
+ ST A, *AR2- || MPY *AR3-, A ; 43
+ ST A, *AR2- || MPY *AR3-, B ; 44
+ ST A, *AR2- || MPY *AR3+, A ; 45
+ ST A, *AR2- || MPY *AR3+, B ; 46
+ ST A, *AR2- || MPY *AR3+0%, A ; 47
+ ST A, *AR2- || MPY *AR3+0%, B ; 48
+ ST A, *AR2- || MPY *AR4, A ; 49
+ ST A, *AR2- || MPY *AR4, B ; 50
+ ST A, *AR2- || MPY *AR4-, A ; 51
+ ST A, *AR2- || MPY *AR4-, B ; 52
+ ST A, *AR2- || MPY *AR4+, A ; 53
+ ST A, *AR2- || MPY *AR4+, B ; 54
+ ST A, *AR2- || MPY *AR4+0%, A ; 55
+ ST A, *AR2- || MPY *AR4+0%, B ; 56
+ ST A, *AR2- || MPY *AR5, A ; 57
+ ST A, *AR2- || MPY *AR5, B ; 58
+ ST A, *AR2- || MPY *AR5-, A ; 59
+ ST A, *AR2- || MPY *AR5-, B ; 60
+ ST A, *AR2- || MPY *AR5+, A ; 61
+ ST A, *AR2- || MPY *AR5+, B ; 62
+ ST A, *AR2- || MPY *AR5+0%, A ; 63
+ ST A, *AR2- || MPY *AR5+0%, B ; 64
+ ST A, *AR2+ || MPY *AR2, A ; 65
+ ST A, *AR2+ || MPY *AR2, B ; 66
+ ST A, *AR2+ || MPY *AR2-, A ; 67
+ ST A, *AR2+ || MPY *AR2-, B ; 68
+ ST A, *AR2+ || MPY *AR2+, A ; 69
+ ST A, *AR2+ || MPY *AR2+, B ; 70
+ ST A, *AR2+ || MPY *AR2+0%, A ; 71
+ ST A, *AR2+ || MPY *AR2+0%, B ; 72
+ ST A, *AR2+ || MPY *AR3, A ; 73
+ ST A, *AR2+ || MPY *AR3, B ; 74
+ ST A, *AR2+ || MPY *AR3-, A ; 75
+ ST A, *AR2+ || MPY *AR3-, B ; 76
+ ST A, *AR2+ || MPY *AR3+, A ; 77
+ ST A, *AR2+ || MPY *AR3+, B ; 78
+ ST A, *AR2+ || MPY *AR3+0%, A ; 79
+ ST A, *AR2+ || MPY *AR3+0%, B ; 80
+ ST A, *AR2+ || MPY *AR4, A ; 81
+ ST A, *AR2+ || MPY *AR4, B ; 82
+ ST A, *AR2+ || MPY *AR4-, A ; 83
+ ST A, *AR2+ || MPY *AR4-, B ; 84
+ ST A, *AR2+ || MPY *AR4+, A ; 85
+ ST A, *AR2+ || MPY *AR4+, B ; 86
+ ST A, *AR2+ || MPY *AR4+0%, A ; 87
+ ST A, *AR2+ || MPY *AR4+0%, B ; 88
+ ST A, *AR2+ || MPY *AR5, A ; 89
+ ST A, *AR2+ || MPY *AR5, B ; 90
+ ST A, *AR2+ || MPY *AR5-, A ; 91
+ ST A, *AR2+ || MPY *AR5-, B ; 92
+ ST A, *AR2+ || MPY *AR5+, A ; 93
+ ST A, *AR2+ || MPY *AR5+, B ; 94
+ ST A, *AR2+ || MPY *AR5+0%, A ; 95
+ ST A, *AR2+ || MPY *AR5+0%, B ; 96
+ ST A, *AR2+0% || MPY *AR2, A ; 97
+ ST A, *AR2+0% || MPY *AR2, B ; 98
+ ST A, *AR2+0% || MPY *AR2-, A ; 99
+ ST A, *AR2+0% || MPY *AR2-, B ; 100
+ ST A, *AR2+0% || MPY *AR2+, A ; 101
+ ST A, *AR2+0% || MPY *AR2+, B ; 102
+ ST A, *AR2+0% || MPY *AR2+0%, A ; 103
+ ST A, *AR2+0% || MPY *AR2+0%, B ; 104
+ ST A, *AR2+0% || MPY *AR3, A ; 105
+ ST A, *AR2+0% || MPY *AR3, B ; 106
+ ST A, *AR2+0% || MPY *AR3-, A ; 107
+ ST A, *AR2+0% || MPY *AR3-, B ; 108
+ ST A, *AR2+0% || MPY *AR3+, A ; 109
+ ST A, *AR2+0% || MPY *AR3+, B ; 110
+ ST A, *AR2+0% || MPY *AR3+0%, A ; 111
+ ST A, *AR2+0% || MPY *AR3+0%, B ; 112
+ ST A, *AR2+0% || MPY *AR4, A ; 113
+ ST A, *AR2+0% || MPY *AR4, B ; 114
+ ST A, *AR2+0% || MPY *AR4-, A ; 115
+ ST A, *AR2+0% || MPY *AR4-, B ; 116
+ ST A, *AR2+0% || MPY *AR4+, A ; 117
+ ST A, *AR2+0% || MPY *AR4+, B ; 118
+ ST A, *AR2+0% || MPY *AR4+0%, A ; 119
+ ST A, *AR2+0% || MPY *AR4+0%, B ; 120
+ ST A, *AR2+0% || MPY *AR5, A ; 121
+ ST A, *AR2+0% || MPY *AR5, B ; 122
+ ST A, *AR2+0% || MPY *AR5-, A ; 123
+ ST A, *AR2+0% || MPY *AR5-, B ; 124
+ ST A, *AR2+0% || MPY *AR5+, A ; 125
+ ST A, *AR2+0% || MPY *AR5+, B ; 126
+ ST A, *AR2+0% || MPY *AR5+0%, A ; 127
+ ST A, *AR2+0% || MPY *AR5+0%, B ; 128
+ ST A, *AR3 || MPY *AR2, A ; 129
+ ST A, *AR3 || MPY *AR2, B ; 130
+ ST A, *AR3 || MPY *AR2-, A ; 131
+ ST A, *AR3 || MPY *AR2-, B ; 132
+ ST A, *AR3 || MPY *AR2+, A ; 133
+ ST A, *AR3 || MPY *AR2+, B ; 134
+ ST A, *AR3 || MPY *AR2+0%, A ; 135
+ ST A, *AR3 || MPY *AR2+0%, B ; 136
+ ST A, *AR3 || MPY *AR3, A ; 137
+ ST A, *AR3 || MPY *AR3, B ; 138
+ ST A, *AR3 || MPY *AR3-, A ; 139
+ ST A, *AR3 || MPY *AR3-, B ; 140
+ ST A, *AR3 || MPY *AR3+, A ; 141
+ ST A, *AR3 || MPY *AR3+, B ; 142
+ ST A, *AR3 || MPY *AR3+0%, A ; 143
+ ST A, *AR3 || MPY *AR3+0%, B ; 144
+ ST A, *AR3 || MPY *AR4, A ; 145
+ ST A, *AR3 || MPY *AR4, B ; 146
+ ST A, *AR3 || MPY *AR4-, A ; 147
+ ST A, *AR3 || MPY *AR4-, B ; 148
+ ST A, *AR3 || MPY *AR4+, A ; 149
+ ST A, *AR3 || MPY *AR4+, B ; 150
+ ST A, *AR3 || MPY *AR4+0%, A ; 151
+ ST A, *AR3 || MPY *AR4+0%, B ; 152
+ ST A, *AR3 || MPY *AR5, A ; 153
+ ST A, *AR3 || MPY *AR5, B ; 154
+ ST A, *AR3 || MPY *AR5-, A ; 155
+ ST A, *AR3 || MPY *AR5-, B ; 156
+ ST A, *AR3 || MPY *AR5+, A ; 157
+ ST A, *AR3 || MPY *AR5+, B ; 158
+ ST A, *AR3 || MPY *AR5+0%, A ; 159
+ ST A, *AR3 || MPY *AR5+0%, B ; 160
+ ST A, *AR3- || MPY *AR2, A ; 161
+ ST A, *AR3- || MPY *AR2, B ; 162
+ ST A, *AR3- || MPY *AR2-, A ; 163
+ ST A, *AR3- || MPY *AR2-, B ; 164
+ ST A, *AR3- || MPY *AR2+, A ; 165
+ ST A, *AR3- || MPY *AR2+, B ; 166
+ ST A, *AR3- || MPY *AR2+0%, A ; 167
+ ST A, *AR3- || MPY *AR2+0%, B ; 168
+ ST A, *AR3- || MPY *AR3, A ; 169
+ ST A, *AR3- || MPY *AR3, B ; 170
+ ST A, *AR3- || MPY *AR3-, A ; 171
+ ST A, *AR3- || MPY *AR3-, B ; 172
+ ST A, *AR3- || MPY *AR3+, A ; 173
+ ST A, *AR3- || MPY *AR3+, B ; 174
+ ST A, *AR3- || MPY *AR3+0%, A ; 175
+ ST A, *AR3- || MPY *AR3+0%, B ; 176
+ ST A, *AR3- || MPY *AR4, A ; 177
+ ST A, *AR3- || MPY *AR4, B ; 178
+ ST A, *AR3- || MPY *AR4-, A ; 179
+ ST A, *AR3- || MPY *AR4-, B ; 180
+ ST A, *AR3- || MPY *AR4+, A ; 181
+ ST A, *AR3- || MPY *AR4+, B ; 182
+ ST A, *AR3- || MPY *AR4+0%, A ; 183
+ ST A, *AR3- || MPY *AR4+0%, B ; 184
+ ST A, *AR3- || MPY *AR5, A ; 185
+ ST A, *AR3- || MPY *AR5, B ; 186
+ ST A, *AR3- || MPY *AR5-, A ; 187
+ ST A, *AR3- || MPY *AR5-, B ; 188
+ ST A, *AR3- || MPY *AR5+, A ; 189
+ ST A, *AR3- || MPY *AR5+, B ; 190
+ ST A, *AR3- || MPY *AR5+0%, A ; 191
+ ST A, *AR3- || MPY *AR5+0%, B ; 192
+ ST A, *AR3+ || MPY *AR2, A ; 193
+ ST A, *AR3+ || MPY *AR2, B ; 194
+ ST A, *AR3+ || MPY *AR2-, A ; 195
+ ST A, *AR3+ || MPY *AR2-, B ; 196
+ ST A, *AR3+ || MPY *AR2+, A ; 197
+ ST A, *AR3+ || MPY *AR2+, B ; 198
+ ST A, *AR3+ || MPY *AR2+0%, A ; 199
+ ST A, *AR3+ || MPY *AR2+0%, B ; 200
+ ST A, *AR3+ || MPY *AR3, A ; 201
+ ST A, *AR3+ || MPY *AR3, B ; 202
+ ST A, *AR3+ || MPY *AR3-, A ; 203
+ ST A, *AR3+ || MPY *AR3-, B ; 204
+ ST A, *AR3+ || MPY *AR3+, A ; 205
+ ST A, *AR3+ || MPY *AR3+, B ; 206
+ ST A, *AR3+ || MPY *AR3+0%, A ; 207
+ ST A, *AR3+ || MPY *AR3+0%, B ; 208
+ ST A, *AR3+ || MPY *AR4, A ; 209
+ ST A, *AR3+ || MPY *AR4, B ; 210
+ ST A, *AR3+ || MPY *AR4-, A ; 211
+ ST A, *AR3+ || MPY *AR4-, B ; 212
+ ST A, *AR3+ || MPY *AR4+, A ; 213
+ ST A, *AR3+ || MPY *AR4+, B ; 214
+ ST A, *AR3+ || MPY *AR4+0%, A ; 215
+ ST A, *AR3+ || MPY *AR4+0%, B ; 216
+ ST A, *AR3+ || MPY *AR5, A ; 217
+ ST A, *AR3+ || MPY *AR5, B ; 218
+ ST A, *AR3+ || MPY *AR5-, A ; 219
+ ST A, *AR3+ || MPY *AR5-, B ; 220
+ ST A, *AR3+ || MPY *AR5+, A ; 221
+ ST A, *AR3+ || MPY *AR5+, B ; 222
+ ST A, *AR3+ || MPY *AR5+0%, A ; 223
+ ST A, *AR3+ || MPY *AR5+0%, B ; 224
+ ST A, *AR3+0% || MPY *AR2, A ; 225
+ ST A, *AR3+0% || MPY *AR2, B ; 226
+ ST A, *AR3+0% || MPY *AR2-, A ; 227
+ ST A, *AR3+0% || MPY *AR2-, B ; 228
+ ST A, *AR3+0% || MPY *AR2+, A ; 229
+ ST A, *AR3+0% || MPY *AR2+, B ; 230
+ ST A, *AR3+0% || MPY *AR2+0%, A ; 231
+ ST A, *AR3+0% || MPY *AR2+0%, B ; 232
+ ST A, *AR3+0% || MPY *AR3, A ; 233
+ ST A, *AR3+0% || MPY *AR3, B ; 234
+ ST A, *AR3+0% || MPY *AR3-, A ; 235
+ ST A, *AR3+0% || MPY *AR3-, B ; 236
+ ST A, *AR3+0% || MPY *AR3+, A ; 237
+ ST A, *AR3+0% || MPY *AR3+, B ; 238
+ ST A, *AR3+0% || MPY *AR3+0%, A ; 239
+ ST A, *AR3+0% || MPY *AR3+0%, B ; 240
+ ST A, *AR3+0% || MPY *AR4, A ; 241
+ ST A, *AR3+0% || MPY *AR4, B ; 242
+ ST A, *AR3+0% || MPY *AR4-, A ; 243
+ ST A, *AR3+0% || MPY *AR4-, B ; 244
+ ST A, *AR3+0% || MPY *AR4+, A ; 245
+ ST A, *AR3+0% || MPY *AR4+, B ; 246
+ ST A, *AR3+0% || MPY *AR4+0%, A ; 247
+ ST A, *AR3+0% || MPY *AR4+0%, B ; 248
+ ST A, *AR3+0% || MPY *AR5, A ; 249
+ ST A, *AR3+0% || MPY *AR5, B ; 250
+ ST A, *AR3+0% || MPY *AR5-, A ; 251
+ ST A, *AR3+0% || MPY *AR5-, B ; 252
+ ST A, *AR3+0% || MPY *AR5+, A ; 253
+ ST A, *AR3+0% || MPY *AR5+, B ; 254
+ ST A, *AR3+0% || MPY *AR5+0%, A ; 255
+ ST A, *AR3+0% || MPY *AR5+0%, B ; 256
+ ST A, *AR4 || MPY *AR2, A ; 257
+ ST A, *AR4 || MPY *AR2, B ; 258
+ ST A, *AR4 || MPY *AR2-, A ; 259
+ ST A, *AR4 || MPY *AR2-, B ; 260
+ ST A, *AR4 || MPY *AR2+, A ; 261
+ ST A, *AR4 || MPY *AR2+, B ; 262
+ ST A, *AR4 || MPY *AR2+0%, A ; 263
+ ST A, *AR4 || MPY *AR2+0%, B ; 264
+ ST A, *AR4 || MPY *AR3, A ; 265
+ ST A, *AR4 || MPY *AR3, B ; 266
+ ST A, *AR4 || MPY *AR3-, A ; 267
+ ST A, *AR4 || MPY *AR3-, B ; 268
+ ST A, *AR4 || MPY *AR3+, A ; 269
+ ST A, *AR4 || MPY *AR3+, B ; 270
+ ST A, *AR4 || MPY *AR3+0%, A ; 271
+ ST A, *AR4 || MPY *AR3+0%, B ; 272
+ ST A, *AR4 || MPY *AR4, A ; 273
+ ST A, *AR4 || MPY *AR4, B ; 274
+ ST A, *AR4 || MPY *AR4-, A ; 275
+ ST A, *AR4 || MPY *AR4-, B ; 276
+ ST A, *AR4 || MPY *AR4+, A ; 277
+ ST A, *AR4 || MPY *AR4+, B ; 278
+ ST A, *AR4 || MPY *AR4+0%, A ; 279
+ ST A, *AR4 || MPY *AR4+0%, B ; 280
+ ST A, *AR4 || MPY *AR5, A ; 281
+ ST A, *AR4 || MPY *AR5, B ; 282
+ ST A, *AR4 || MPY *AR5-, A ; 283
+ ST A, *AR4 || MPY *AR5-, B ; 284
+ ST A, *AR4 || MPY *AR5+, A ; 285
+ ST A, *AR4 || MPY *AR5+, B ; 286
+ ST A, *AR4 || MPY *AR5+0%, A ; 287
+ ST A, *AR4 || MPY *AR5+0%, B ; 288
+ ST A, *AR4- || MPY *AR2, A ; 289
+ ST A, *AR4- || MPY *AR2, B ; 290
+ ST A, *AR4- || MPY *AR2-, A ; 291
+ ST A, *AR4- || MPY *AR2-, B ; 292
+ ST A, *AR4- || MPY *AR2+, A ; 293
+ ST A, *AR4- || MPY *AR2+, B ; 294
+ ST A, *AR4- || MPY *AR2+0%, A ; 295
+ ST A, *AR4- || MPY *AR2+0%, B ; 296
+ ST A, *AR4- || MPY *AR3, A ; 297
+ ST A, *AR4- || MPY *AR3, B ; 298
+ ST A, *AR4- || MPY *AR3-, A ; 299
+ ST A, *AR4- || MPY *AR3-, B ; 300
+ ST A, *AR4- || MPY *AR3+, A ; 301
+ ST A, *AR4- || MPY *AR3+, B ; 302
+ ST A, *AR4- || MPY *AR3+0%, A ; 303
+ ST A, *AR4- || MPY *AR3+0%, B ; 304
+ ST A, *AR4- || MPY *AR4, A ; 305
+ ST A, *AR4- || MPY *AR4, B ; 306
+ ST A, *AR4- || MPY *AR4-, A ; 307
+ ST A, *AR4- || MPY *AR4-, B ; 308
+ ST A, *AR4- || MPY *AR4+, A ; 309
+ ST A, *AR4- || MPY *AR4+, B ; 310
+ ST A, *AR4- || MPY *AR4+0%, A ; 311
+ ST A, *AR4- || MPY *AR4+0%, B ; 312
+ ST A, *AR4- || MPY *AR5, A ; 313
+ ST A, *AR4- || MPY *AR5, B ; 314
+ ST A, *AR4- || MPY *AR5-, A ; 315
+ ST A, *AR4- || MPY *AR5-, B ; 316
+ ST A, *AR4- || MPY *AR5+, A ; 317
+ ST A, *AR4- || MPY *AR5+, B ; 318
+ ST A, *AR4- || MPY *AR5+0%, A ; 319
+ ST A, *AR4- || MPY *AR5+0%, B ; 320
+ ST A, *AR4+ || MPY *AR2, A ; 321
+ ST A, *AR4+ || MPY *AR2, B ; 322
+ ST A, *AR4+ || MPY *AR2-, A ; 323
+ ST A, *AR4+ || MPY *AR2-, B ; 324
+ ST A, *AR4+ || MPY *AR2+, A ; 325
+ ST A, *AR4+ || MPY *AR2+, B ; 326
+ ST A, *AR4+ || MPY *AR2+0%, A ; 327
+ ST A, *AR4+ || MPY *AR2+0%, B ; 328
+ ST A, *AR4+ || MPY *AR3, A ; 329
+ ST A, *AR4+ || MPY *AR3, B ; 330
+ ST A, *AR4+ || MPY *AR3-, A ; 331
+ ST A, *AR4+ || MPY *AR3-, B ; 332
+ ST A, *AR4+ || MPY *AR3+, A ; 333
+ ST A, *AR4+ || MPY *AR3+, B ; 334
+ ST A, *AR4+ || MPY *AR3+0%, A ; 335
+ ST A, *AR4+ || MPY *AR3+0%, B ; 336
+ ST A, *AR4+ || MPY *AR4, A ; 337
+ ST A, *AR4+ || MPY *AR4, B ; 338
+ ST A, *AR4+ || MPY *AR4-, A ; 339
+ ST A, *AR4+ || MPY *AR4-, B ; 340
+ ST A, *AR4+ || MPY *AR4+, A ; 341
+ ST A, *AR4+ || MPY *AR4+, B ; 342
+ ST A, *AR4+ || MPY *AR4+0%, A ; 343
+ ST A, *AR4+ || MPY *AR4+0%, B ; 344
+ ST A, *AR4+ || MPY *AR5, A ; 345
+ ST A, *AR4+ || MPY *AR5, B ; 346
+ ST A, *AR4+ || MPY *AR5-, A ; 347
+ ST A, *AR4+ || MPY *AR5-, B ; 348
+ ST A, *AR4+ || MPY *AR5+, A ; 349
+ ST A, *AR4+ || MPY *AR5+, B ; 350
+ ST A, *AR4+ || MPY *AR5+0%, A ; 351
+ ST A, *AR4+ || MPY *AR5+0%, B ; 352
+ ST A, *AR4+0% || MPY *AR2, A ; 353
+ ST A, *AR4+0% || MPY *AR2, B ; 354
+ ST A, *AR4+0% || MPY *AR2-, A ; 355
+ ST A, *AR4+0% || MPY *AR2-, B ; 356
+ ST A, *AR4+0% || MPY *AR2+, A ; 357
+ ST A, *AR4+0% || MPY *AR2+, B ; 358
+ ST A, *AR4+0% || MPY *AR2+0%, A ; 359
+ ST A, *AR4+0% || MPY *AR2+0%, B ; 360
+ ST A, *AR4+0% || MPY *AR3, A ; 361
+ ST A, *AR4+0% || MPY *AR3, B ; 362
+ ST A, *AR4+0% || MPY *AR3-, A ; 363
+ ST A, *AR4+0% || MPY *AR3-, B ; 364
+ ST A, *AR4+0% || MPY *AR3+, A ; 365
+ ST A, *AR4+0% || MPY *AR3+, B ; 366
+ ST A, *AR4+0% || MPY *AR3+0%, A ; 367
+ ST A, *AR4+0% || MPY *AR3+0%, B ; 368
+ ST A, *AR4+0% || MPY *AR4, A ; 369
+ ST A, *AR4+0% || MPY *AR4, B ; 370
+ ST A, *AR4+0% || MPY *AR4-, A ; 371
+ ST A, *AR4+0% || MPY *AR4-, B ; 372
+ ST A, *AR4+0% || MPY *AR4+, A ; 373
+ ST A, *AR4+0% || MPY *AR4+, B ; 374
+ ST A, *AR4+0% || MPY *AR4+0%, A ; 375
+ ST A, *AR4+0% || MPY *AR4+0%, B ; 376
+ ST A, *AR4+0% || MPY *AR5, A ; 377
+ ST A, *AR4+0% || MPY *AR5, B ; 378
+ ST A, *AR4+0% || MPY *AR5-, A ; 379
+ ST A, *AR4+0% || MPY *AR5-, B ; 380
+ ST A, *AR4+0% || MPY *AR5+, A ; 381
+ ST A, *AR4+0% || MPY *AR5+, B ; 382
+ ST A, *AR4+0% || MPY *AR5+0%, A ; 383
+ ST A, *AR4+0% || MPY *AR5+0%, B ; 384
+ ST A, *AR5 || MPY *AR2, A ; 385
+ ST A, *AR5 || MPY *AR2, B ; 386
+ ST A, *AR5 || MPY *AR2-, A ; 387
+ ST A, *AR5 || MPY *AR2-, B ; 388
+ ST A, *AR5 || MPY *AR2+, A ; 389
+ ST A, *AR5 || MPY *AR2+, B ; 390
+ ST A, *AR5 || MPY *AR2+0%, A ; 391
+ ST A, *AR5 || MPY *AR2+0%, B ; 392
+ ST A, *AR5 || MPY *AR3, A ; 393
+ ST A, *AR5 || MPY *AR3, B ; 394
+ ST A, *AR5 || MPY *AR3-, A ; 395
+ ST A, *AR5 || MPY *AR3-, B ; 396
+ ST A, *AR5 || MPY *AR3+, A ; 397
+ ST A, *AR5 || MPY *AR3+, B ; 398
+ ST A, *AR5 || MPY *AR3+0%, A ; 399
+ ST A, *AR5 || MPY *AR3+0%, B ; 400
+ ST A, *AR5 || MPY *AR4, A ; 401
+ ST A, *AR5 || MPY *AR4, B ; 402
+ ST A, *AR5 || MPY *AR4-, A ; 403
+ ST A, *AR5 || MPY *AR4-, B ; 404
+ ST A, *AR5 || MPY *AR4+, A ; 405
+ ST A, *AR5 || MPY *AR4+, B ; 406
+ ST A, *AR5 || MPY *AR4+0%, A ; 407
+ ST A, *AR5 || MPY *AR4+0%, B ; 408
+ ST A, *AR5 || MPY *AR5, A ; 409
+ ST A, *AR5 || MPY *AR5, B ; 410
+ ST A, *AR5 || MPY *AR5-, A ; 411
+ ST A, *AR5 || MPY *AR5-, B ; 412
+ ST A, *AR5 || MPY *AR5+, A ; 413
+ ST A, *AR5 || MPY *AR5+, B ; 414
+ ST A, *AR5 || MPY *AR5+0%, A ; 415
+ ST A, *AR5 || MPY *AR5+0%, B ; 416
+ ST A, *AR5- || MPY *AR2, A ; 417
+ ST A, *AR5- || MPY *AR2, B ; 418
+ ST A, *AR5- || MPY *AR2-, A ; 419
+ ST A, *AR5- || MPY *AR2-, B ; 420
+ ST A, *AR5- || MPY *AR2+, A ; 421
+ ST A, *AR5- || MPY *AR2+, B ; 422
+ ST A, *AR5- || MPY *AR2+0%, A ; 423
+ ST A, *AR5- || MPY *AR2+0%, B ; 424
+ ST A, *AR5- || MPY *AR3, A ; 425
+ ST A, *AR5- || MPY *AR3, B ; 426
+ ST A, *AR5- || MPY *AR3-, A ; 427
+ ST A, *AR5- || MPY *AR3-, B ; 428
+ ST A, *AR5- || MPY *AR3+, A ; 429
+ ST A, *AR5- || MPY *AR3+, B ; 430
+ ST A, *AR5- || MPY *AR3+0%, A ; 431
+ ST A, *AR5- || MPY *AR3+0%, B ; 432
+ ST A, *AR5- || MPY *AR4, A ; 433
+ ST A, *AR5- || MPY *AR4, B ; 434
+ ST A, *AR5- || MPY *AR4-, A ; 435
+ ST A, *AR5- || MPY *AR4-, B ; 436
+ ST A, *AR5- || MPY *AR4+, A ; 437
+ ST A, *AR5- || MPY *AR4+, B ; 438
+ ST A, *AR5- || MPY *AR4+0%, A ; 439
+ ST A, *AR5- || MPY *AR4+0%, B ; 440
+ ST A, *AR5- || MPY *AR5, A ; 441
+ ST A, *AR5- || MPY *AR5, B ; 442
+ ST A, *AR5- || MPY *AR5-, A ; 443
+ ST A, *AR5- || MPY *AR5-, B ; 444
+ ST A, *AR5- || MPY *AR5+, A ; 445
+ ST A, *AR5- || MPY *AR5+, B ; 446
+ ST A, *AR5- || MPY *AR5+0%, A ; 447
+ ST A, *AR5- || MPY *AR5+0%, B ; 448
+ ST A, *AR5+ || MPY *AR2, A ; 449
+ ST A, *AR5+ || MPY *AR2, B ; 450
+ ST A, *AR5+ || MPY *AR2-, A ; 451
+ ST A, *AR5+ || MPY *AR2-, B ; 452
+ ST A, *AR5+ || MPY *AR2+, A ; 453
+ ST A, *AR5+ || MPY *AR2+, B ; 454
+ ST A, *AR5+ || MPY *AR2+0%, A ; 455
+ ST A, *AR5+ || MPY *AR2+0%, B ; 456
+ ST A, *AR5+ || MPY *AR3, A ; 457
+ ST A, *AR5+ || MPY *AR3, B ; 458
+ ST A, *AR5+ || MPY *AR3-, A ; 459
+ ST A, *AR5+ || MPY *AR3-, B ; 460
+ ST A, *AR5+ || MPY *AR3+, A ; 461
+ ST A, *AR5+ || MPY *AR3+, B ; 462
+ ST A, *AR5+ || MPY *AR3+0%, A ; 463
+ ST A, *AR5+ || MPY *AR3+0%, B ; 464
+ ST A, *AR5+ || MPY *AR4, A ; 465
+ ST A, *AR5+ || MPY *AR4, B ; 466
+ ST A, *AR5+ || MPY *AR4-, A ; 467
+ ST A, *AR5+ || MPY *AR4-, B ; 468
+ ST A, *AR5+ || MPY *AR4+, A ; 469
+ ST A, *AR5+ || MPY *AR4+, B ; 470
+ ST A, *AR5+ || MPY *AR4+0%, A ; 471
+ ST A, *AR5+ || MPY *AR4+0%, B ; 472
+ ST A, *AR5+ || MPY *AR5, A ; 473
+ ST A, *AR5+ || MPY *AR5, B ; 474
+ ST A, *AR5+ || MPY *AR5-, A ; 475
+ ST A, *AR5+ || MPY *AR5-, B ; 476
+ ST A, *AR5+ || MPY *AR5+, A ; 477
+ ST A, *AR5+ || MPY *AR5+, B ; 478
+ ST A, *AR5+ || MPY *AR5+0%, A ; 479
+ ST A, *AR5+ || MPY *AR5+0%, B ; 480
+ ST A, *AR5+0% || MPY *AR2, A ; 481
+ ST A, *AR5+0% || MPY *AR2, B ; 482
+ ST A, *AR5+0% || MPY *AR2-, A ; 483
+ ST A, *AR5+0% || MPY *AR2-, B ; 484
+ ST A, *AR5+0% || MPY *AR2+, A ; 485
+ ST A, *AR5+0% || MPY *AR2+, B ; 486
+ ST A, *AR5+0% || MPY *AR2+0%, A ; 487
+ ST A, *AR5+0% || MPY *AR2+0%, B ; 488
+ ST A, *AR5+0% || MPY *AR3, A ; 489
+ ST A, *AR5+0% || MPY *AR3, B ; 490
+ ST A, *AR5+0% || MPY *AR3-, A ; 491
+ ST A, *AR5+0% || MPY *AR3-, B ; 492
+ ST A, *AR5+0% || MPY *AR3+, A ; 493
+ ST A, *AR5+0% || MPY *AR3+, B ; 494
+ ST A, *AR5+0% || MPY *AR3+0%, A ; 495
+ ST A, *AR5+0% || MPY *AR3+0%, B ; 496
+ ST A, *AR5+0% || MPY *AR4, A ; 497
+ ST A, *AR5+0% || MPY *AR4, B ; 498
+ ST A, *AR5+0% || MPY *AR4-, A ; 499
+ ST A, *AR5+0% || MPY *AR4-, B ; 500
+ ST A, *AR5+0% || MPY *AR4+, A ; 501
+ ST A, *AR5+0% || MPY *AR4+, B ; 502
+ ST A, *AR5+0% || MPY *AR4+0%, A ; 503
+ ST A, *AR5+0% || MPY *AR4+0%, B ; 504
+ ST A, *AR5+0% || MPY *AR5, A ; 505
+ ST A, *AR5+0% || MPY *AR5, B ; 506
+ ST A, *AR5+0% || MPY *AR5-, A ; 507
+ ST A, *AR5+0% || MPY *AR5-, B ; 508
+ ST A, *AR5+0% || MPY *AR5+, A ; 509
+ ST A, *AR5+0% || MPY *AR5+, B ; 510
+ ST A, *AR5+0% || MPY *AR5+0%, A ; 511
+ ST A, *AR5+0% || MPY *AR5+0%, B ; 512
+ ST B, *AR2 || MPY *AR2, A ; 513
+ ST B, *AR2 || MPY *AR2, B ; 514
+ ST B, *AR2 || MPY *AR2-, A ; 515
+ ST B, *AR2 || MPY *AR2-, B ; 516
+ ST B, *AR2 || MPY *AR2+, A ; 517
+ ST B, *AR2 || MPY *AR2+, B ; 518
+ ST B, *AR2 || MPY *AR2+0%, A ; 519
+ ST B, *AR2 || MPY *AR2+0%, B ; 520
+ ST B, *AR2 || MPY *AR3, A ; 521
+ ST B, *AR2 || MPY *AR3, B ; 522
+ ST B, *AR2 || MPY *AR3-, A ; 523
+ ST B, *AR2 || MPY *AR3-, B ; 524
+ ST B, *AR2 || MPY *AR3+, A ; 525
+ ST B, *AR2 || MPY *AR3+, B ; 526
+ ST B, *AR2 || MPY *AR3+0%, A ; 527
+ ST B, *AR2 || MPY *AR3+0%, B ; 528
+ ST B, *AR2 || MPY *AR4, A ; 529
+ ST B, *AR2 || MPY *AR4, B ; 530
+ ST B, *AR2 || MPY *AR4-, A ; 531
+ ST B, *AR2 || MPY *AR4-, B ; 532
+ ST B, *AR2 || MPY *AR4+, A ; 533
+ ST B, *AR2 || MPY *AR4+, B ; 534
+ ST B, *AR2 || MPY *AR4+0%, A ; 535
+ ST B, *AR2 || MPY *AR4+0%, B ; 536
+ ST B, *AR2 || MPY *AR5, A ; 537
+ ST B, *AR2 || MPY *AR5, B ; 538
+ ST B, *AR2 || MPY *AR5-, A ; 539
+ ST B, *AR2 || MPY *AR5-, B ; 540
+ ST B, *AR2 || MPY *AR5+, A ; 541
+ ST B, *AR2 || MPY *AR5+, B ; 542
+ ST B, *AR2 || MPY *AR5+0%, A ; 543
+ ST B, *AR2 || MPY *AR5+0%, B ; 544
+ ST B, *AR2- || MPY *AR2, A ; 545
+ ST B, *AR2- || MPY *AR2, B ; 546
+ ST B, *AR2- || MPY *AR2-, A ; 547
+ ST B, *AR2- || MPY *AR2-, B ; 548
+ ST B, *AR2- || MPY *AR2+, A ; 549
+ ST B, *AR2- || MPY *AR2+, B ; 550
+ ST B, *AR2- || MPY *AR2+0%, A ; 551
+ ST B, *AR2- || MPY *AR2+0%, B ; 552
+ ST B, *AR2- || MPY *AR3, A ; 553
+ ST B, *AR2- || MPY *AR3, B ; 554
+ ST B, *AR2- || MPY *AR3-, A ; 555
+ ST B, *AR2- || MPY *AR3-, B ; 556
+ ST B, *AR2- || MPY *AR3+, A ; 557
+ ST B, *AR2- || MPY *AR3+, B ; 558
+ ST B, *AR2- || MPY *AR3+0%, A ; 559
+ ST B, *AR2- || MPY *AR3+0%, B ; 560
+ ST B, *AR2- || MPY *AR4, A ; 561
+ ST B, *AR2- || MPY *AR4, B ; 562
+ ST B, *AR2- || MPY *AR4-, A ; 563
+ ST B, *AR2- || MPY *AR4-, B ; 564
+ ST B, *AR2- || MPY *AR4+, A ; 565
+ ST B, *AR2- || MPY *AR4+, B ; 566
+ ST B, *AR2- || MPY *AR4+0%, A ; 567
+ ST B, *AR2- || MPY *AR4+0%, B ; 568
+ ST B, *AR2- || MPY *AR5, A ; 569
+ ST B, *AR2- || MPY *AR5, B ; 570
+ ST B, *AR2- || MPY *AR5-, A ; 571
+ ST B, *AR2- || MPY *AR5-, B ; 572
+ ST B, *AR2- || MPY *AR5+, A ; 573
+ ST B, *AR2- || MPY *AR5+, B ; 574
+ ST B, *AR2- || MPY *AR5+0%, A ; 575
+ ST B, *AR2- || MPY *AR5+0%, B ; 576
+ ST B, *AR2+ || MPY *AR2, A ; 577
+ ST B, *AR2+ || MPY *AR2, B ; 578
+ ST B, *AR2+ || MPY *AR2-, A ; 579
+ ST B, *AR2+ || MPY *AR2-, B ; 580
+ ST B, *AR2+ || MPY *AR2+, A ; 581
+ ST B, *AR2+ || MPY *AR2+, B ; 582
+ ST B, *AR2+ || MPY *AR2+0%, A ; 583
+ ST B, *AR2+ || MPY *AR2+0%, B ; 584
+ ST B, *AR2+ || MPY *AR3, A ; 585
+ ST B, *AR2+ || MPY *AR3, B ; 586
+ ST B, *AR2+ || MPY *AR3-, A ; 587
+ ST B, *AR2+ || MPY *AR3-, B ; 588
+ ST B, *AR2+ || MPY *AR3+, A ; 589
+ ST B, *AR2+ || MPY *AR3+, B ; 590
+ ST B, *AR2+ || MPY *AR3+0%, A ; 591
+ ST B, *AR2+ || MPY *AR3+0%, B ; 592
+ ST B, *AR2+ || MPY *AR4, A ; 593
+ ST B, *AR2+ || MPY *AR4, B ; 594
+ ST B, *AR2+ || MPY *AR4-, A ; 595
+ ST B, *AR2+ || MPY *AR4-, B ; 596
+ ST B, *AR2+ || MPY *AR4+, A ; 597
+ ST B, *AR2+ || MPY *AR4+, B ; 598
+ ST B, *AR2+ || MPY *AR4+0%, A ; 599
+ ST B, *AR2+ || MPY *AR4+0%, B ; 600
+ ST B, *AR2+ || MPY *AR5, A ; 601
+ ST B, *AR2+ || MPY *AR5, B ; 602
+ ST B, *AR2+ || MPY *AR5-, A ; 603
+ ST B, *AR2+ || MPY *AR5-, B ; 604
+ ST B, *AR2+ || MPY *AR5+, A ; 605
+ ST B, *AR2+ || MPY *AR5+, B ; 606
+ ST B, *AR2+ || MPY *AR5+0%, A ; 607
+ ST B, *AR2+ || MPY *AR5+0%, B ; 608
+ ST B, *AR2+0% || MPY *AR2, A ; 609
+ ST B, *AR2+0% || MPY *AR2, B ; 610
+ ST B, *AR2+0% || MPY *AR2-, A ; 611
+ ST B, *AR2+0% || MPY *AR2-, B ; 612
+ ST B, *AR2+0% || MPY *AR2+, A ; 613
+ ST B, *AR2+0% || MPY *AR2+, B ; 614
+ ST B, *AR2+0% || MPY *AR2+0%, A ; 615
+ ST B, *AR2+0% || MPY *AR2+0%, B ; 616
+ ST B, *AR2+0% || MPY *AR3, A ; 617
+ ST B, *AR2+0% || MPY *AR3, B ; 618
+ ST B, *AR2+0% || MPY *AR3-, A ; 619
+ ST B, *AR2+0% || MPY *AR3-, B ; 620
+ ST B, *AR2+0% || MPY *AR3+, A ; 621
+ ST B, *AR2+0% || MPY *AR3+, B ; 622
+ ST B, *AR2+0% || MPY *AR3+0%, A ; 623
+ ST B, *AR2+0% || MPY *AR3+0%, B ; 624
+ ST B, *AR2+0% || MPY *AR4, A ; 625
+ ST B, *AR2+0% || MPY *AR4, B ; 626
+ ST B, *AR2+0% || MPY *AR4-, A ; 627
+ ST B, *AR2+0% || MPY *AR4-, B ; 628
+ ST B, *AR2+0% || MPY *AR4+, A ; 629
+ ST B, *AR2+0% || MPY *AR4+, B ; 630
+ ST B, *AR2+0% || MPY *AR4+0%, A ; 631
+ ST B, *AR2+0% || MPY *AR4+0%, B ; 632
+ ST B, *AR2+0% || MPY *AR5, A ; 633
+ ST B, *AR2+0% || MPY *AR5, B ; 634
+ ST B, *AR2+0% || MPY *AR5-, A ; 635
+ ST B, *AR2+0% || MPY *AR5-, B ; 636
+ ST B, *AR2+0% || MPY *AR5+, A ; 637
+ ST B, *AR2+0% || MPY *AR5+, B ; 638
+ ST B, *AR2+0% || MPY *AR5+0%, A ; 639
+ ST B, *AR2+0% || MPY *AR5+0%, B ; 640
+ ST B, *AR3 || MPY *AR2, A ; 641
+ ST B, *AR3 || MPY *AR2, B ; 642
+ ST B, *AR3 || MPY *AR2-, A ; 643
+ ST B, *AR3 || MPY *AR2-, B ; 644
+ ST B, *AR3 || MPY *AR2+, A ; 645
+ ST B, *AR3 || MPY *AR2+, B ; 646
+ ST B, *AR3 || MPY *AR2+0%, A ; 647
+ ST B, *AR3 || MPY *AR2+0%, B ; 648
+ ST B, *AR3 || MPY *AR3, A ; 649
+ ST B, *AR3 || MPY *AR3, B ; 650
+ ST B, *AR3 || MPY *AR3-, A ; 651
+ ST B, *AR3 || MPY *AR3-, B ; 652
+ ST B, *AR3 || MPY *AR3+, A ; 653
+ ST B, *AR3 || MPY *AR3+, B ; 654
+ ST B, *AR3 || MPY *AR3+0%, A ; 655
+ ST B, *AR3 || MPY *AR3+0%, B ; 656
+ ST B, *AR3 || MPY *AR4, A ; 657
+ ST B, *AR3 || MPY *AR4, B ; 658
+ ST B, *AR3 || MPY *AR4-, A ; 659
+ ST B, *AR3 || MPY *AR4-, B ; 660
+ ST B, *AR3 || MPY *AR4+, A ; 661
+ ST B, *AR3 || MPY *AR4+, B ; 662
+ ST B, *AR3 || MPY *AR4+0%, A ; 663
+ ST B, *AR3 || MPY *AR4+0%, B ; 664
+ ST B, *AR3 || MPY *AR5, A ; 665
+ ST B, *AR3 || MPY *AR5, B ; 666
+ ST B, *AR3 || MPY *AR5-, A ; 667
+ ST B, *AR3 || MPY *AR5-, B ; 668
+ ST B, *AR3 || MPY *AR5+, A ; 669
+ ST B, *AR3 || MPY *AR5+, B ; 670
+ ST B, *AR3 || MPY *AR5+0%, A ; 671
+ ST B, *AR3 || MPY *AR5+0%, B ; 672
+ ST B, *AR3- || MPY *AR2, A ; 673
+ ST B, *AR3- || MPY *AR2, B ; 674
+ ST B, *AR3- || MPY *AR2-, A ; 675
+ ST B, *AR3- || MPY *AR2-, B ; 676
+ ST B, *AR3- || MPY *AR2+, A ; 677
+ ST B, *AR3- || MPY *AR2+, B ; 678
+ ST B, *AR3- || MPY *AR2+0%, A ; 679
+ ST B, *AR3- || MPY *AR2+0%, B ; 680
+ ST B, *AR3- || MPY *AR3, A ; 681
+ ST B, *AR3- || MPY *AR3, B ; 682
+ ST B, *AR3- || MPY *AR3-, A ; 683
+ ST B, *AR3- || MPY *AR3-, B ; 684
+ ST B, *AR3- || MPY *AR3+, A ; 685
+ ST B, *AR3- || MPY *AR3+, B ; 686
+ ST B, *AR3- || MPY *AR3+0%, A ; 687
+ ST B, *AR3- || MPY *AR3+0%, B ; 688
+ ST B, *AR3- || MPY *AR4, A ; 689
+ ST B, *AR3- || MPY *AR4, B ; 690
+ ST B, *AR3- || MPY *AR4-, A ; 691
+ ST B, *AR3- || MPY *AR4-, B ; 692
+ ST B, *AR3- || MPY *AR4+, A ; 693
+ ST B, *AR3- || MPY *AR4+, B ; 694
+ ST B, *AR3- || MPY *AR4+0%, A ; 695
+ ST B, *AR3- || MPY *AR4+0%, B ; 696
+ ST B, *AR3- || MPY *AR5, A ; 697
+ ST B, *AR3- || MPY *AR5, B ; 698
+ ST B, *AR3- || MPY *AR5-, A ; 699
+ ST B, *AR3- || MPY *AR5-, B ; 700
+ ST B, *AR3- || MPY *AR5+, A ; 701
+ ST B, *AR3- || MPY *AR5+, B ; 702
+ ST B, *AR3- || MPY *AR5+0%, A ; 703
+ ST B, *AR3- || MPY *AR5+0%, B ; 704
+ ST B, *AR3+ || MPY *AR2, A ; 705
+ ST B, *AR3+ || MPY *AR2, B ; 706
+ ST B, *AR3+ || MPY *AR2-, A ; 707
+ ST B, *AR3+ || MPY *AR2-, B ; 708
+ ST B, *AR3+ || MPY *AR2+, A ; 709
+ ST B, *AR3+ || MPY *AR2+, B ; 710
+ ST B, *AR3+ || MPY *AR2+0%, A ; 711
+ ST B, *AR3+ || MPY *AR2+0%, B ; 712
+ ST B, *AR3+ || MPY *AR3, A ; 713
+ ST B, *AR3+ || MPY *AR3, B ; 714
+ ST B, *AR3+ || MPY *AR3-, A ; 715
+ ST B, *AR3+ || MPY *AR3-, B ; 716
+ ST B, *AR3+ || MPY *AR3+, A ; 717
+ ST B, *AR3+ || MPY *AR3+, B ; 718
+ ST B, *AR3+ || MPY *AR3+0%, A ; 719
+ ST B, *AR3+ || MPY *AR3+0%, B ; 720
+ ST B, *AR3+ || MPY *AR4, A ; 721
+ ST B, *AR3+ || MPY *AR4, B ; 722
+ ST B, *AR3+ || MPY *AR4-, A ; 723
+ ST B, *AR3+ || MPY *AR4-, B ; 724
+ ST B, *AR3+ || MPY *AR4+, A ; 725
+ ST B, *AR3+ || MPY *AR4+, B ; 726
+ ST B, *AR3+ || MPY *AR4+0%, A ; 727
+ ST B, *AR3+ || MPY *AR4+0%, B ; 728
+ ST B, *AR3+ || MPY *AR5, A ; 729
+ ST B, *AR3+ || MPY *AR5, B ; 730
+ ST B, *AR3+ || MPY *AR5-, A ; 731
+ ST B, *AR3+ || MPY *AR5-, B ; 732
+ ST B, *AR3+ || MPY *AR5+, A ; 733
+ ST B, *AR3+ || MPY *AR5+, B ; 734
+ ST B, *AR3+ || MPY *AR5+0%, A ; 735
+ ST B, *AR3+ || MPY *AR5+0%, B ; 736
+ ST B, *AR3+0% || MPY *AR2, A ; 737
+ ST B, *AR3+0% || MPY *AR2, B ; 738
+ ST B, *AR3+0% || MPY *AR2-, A ; 739
+ ST B, *AR3+0% || MPY *AR2-, B ; 740
+ ST B, *AR3+0% || MPY *AR2+, A ; 741
+ ST B, *AR3+0% || MPY *AR2+, B ; 742
+ ST B, *AR3+0% || MPY *AR2+0%, A ; 743
+ ST B, *AR3+0% || MPY *AR2+0%, B ; 744
+ ST B, *AR3+0% || MPY *AR3, A ; 745
+ ST B, *AR3+0% || MPY *AR3, B ; 746
+ ST B, *AR3+0% || MPY *AR3-, A ; 747
+ ST B, *AR3+0% || MPY *AR3-, B ; 748
+ ST B, *AR3+0% || MPY *AR3+, A ; 749
+ ST B, *AR3+0% || MPY *AR3+, B ; 750
+ ST B, *AR3+0% || MPY *AR3+0%, A ; 751
+ ST B, *AR3+0% || MPY *AR3+0%, B ; 752
+ ST B, *AR3+0% || MPY *AR4, A ; 753
+ ST B, *AR3+0% || MPY *AR4, B ; 754
+ ST B, *AR3+0% || MPY *AR4-, A ; 755
+ ST B, *AR3+0% || MPY *AR4-, B ; 756
+ ST B, *AR3+0% || MPY *AR4+, A ; 757
+ ST B, *AR3+0% || MPY *AR4+, B ; 758
+ ST B, *AR3+0% || MPY *AR4+0%, A ; 759
+ ST B, *AR3+0% || MPY *AR4+0%, B ; 760
+ ST B, *AR3+0% || MPY *AR5, A ; 761
+ ST B, *AR3+0% || MPY *AR5, B ; 762
+ ST B, *AR3+0% || MPY *AR5-, A ; 763
+ ST B, *AR3+0% || MPY *AR5-, B ; 764
+ ST B, *AR3+0% || MPY *AR5+, A ; 765
+ ST B, *AR3+0% || MPY *AR5+, B ; 766
+ ST B, *AR3+0% || MPY *AR5+0%, A ; 767
+ ST B, *AR3+0% || MPY *AR5+0%, B ; 768
+ ST B, *AR4 || MPY *AR2, A ; 769
+ ST B, *AR4 || MPY *AR2, B ; 770
+ ST B, *AR4 || MPY *AR2-, A ; 771
+ ST B, *AR4 || MPY *AR2-, B ; 772
+ ST B, *AR4 || MPY *AR2+, A ; 773
+ ST B, *AR4 || MPY *AR2+, B ; 774
+ ST B, *AR4 || MPY *AR2+0%, A ; 775
+ ST B, *AR4 || MPY *AR2+0%, B ; 776
+ ST B, *AR4 || MPY *AR3, A ; 777
+ ST B, *AR4 || MPY *AR3, B ; 778
+ ST B, *AR4 || MPY *AR3-, A ; 779
+ ST B, *AR4 || MPY *AR3-, B ; 780
+ ST B, *AR4 || MPY *AR3+, A ; 781
+ ST B, *AR4 || MPY *AR3+, B ; 782
+ ST B, *AR4 || MPY *AR3+0%, A ; 783
+ ST B, *AR4 || MPY *AR3+0%, B ; 784
+ ST B, *AR4 || MPY *AR4, A ; 785
+ ST B, *AR4 || MPY *AR4, B ; 786
+ ST B, *AR4 || MPY *AR4-, A ; 787
+ ST B, *AR4 || MPY *AR4-, B ; 788
+ ST B, *AR4 || MPY *AR4+, A ; 789
+ ST B, *AR4 || MPY *AR4+, B ; 790
+ ST B, *AR4 || MPY *AR4+0%, A ; 791
+ ST B, *AR4 || MPY *AR4+0%, B ; 792
+ ST B, *AR4 || MPY *AR5, A ; 793
+ ST B, *AR4 || MPY *AR5, B ; 794
+ ST B, *AR4 || MPY *AR5-, A ; 795
+ ST B, *AR4 || MPY *AR5-, B ; 796
+ ST B, *AR4 || MPY *AR5+, A ; 797
+ ST B, *AR4 || MPY *AR5+, B ; 798
+ ST B, *AR4 || MPY *AR5+0%, A ; 799
+ ST B, *AR4 || MPY *AR5+0%, B ; 800
+ ST B, *AR4- || MPY *AR2, A ; 801
+ ST B, *AR4- || MPY *AR2, B ; 802
+ ST B, *AR4- || MPY *AR2-, A ; 803
+ ST B, *AR4- || MPY *AR2-, B ; 804
+ ST B, *AR4- || MPY *AR2+, A ; 805
+ ST B, *AR4- || MPY *AR2+, B ; 806
+ ST B, *AR4- || MPY *AR2+0%, A ; 807
+ ST B, *AR4- || MPY *AR2+0%, B ; 808
+ ST B, *AR4- || MPY *AR3, A ; 809
+ ST B, *AR4- || MPY *AR3, B ; 810
+ ST B, *AR4- || MPY *AR3-, A ; 811
+ ST B, *AR4- || MPY *AR3-, B ; 812
+ ST B, *AR4- || MPY *AR3+, A ; 813
+ ST B, *AR4- || MPY *AR3+, B ; 814
+ ST B, *AR4- || MPY *AR3+0%, A ; 815
+ ST B, *AR4- || MPY *AR3+0%, B ; 816
+ ST B, *AR4- || MPY *AR4, A ; 817
+ ST B, *AR4- || MPY *AR4, B ; 818
+ ST B, *AR4- || MPY *AR4-, A ; 819
+ ST B, *AR4- || MPY *AR4-, B ; 820
+ ST B, *AR4- || MPY *AR4+, A ; 821
+ ST B, *AR4- || MPY *AR4+, B ; 822
+ ST B, *AR4- || MPY *AR4+0%, A ; 823
+ ST B, *AR4- || MPY *AR4+0%, B ; 824
+ ST B, *AR4- || MPY *AR5, A ; 825
+ ST B, *AR4- || MPY *AR5, B ; 826
+ ST B, *AR4- || MPY *AR5-, A ; 827
+ ST B, *AR4- || MPY *AR5-, B ; 828
+ ST B, *AR4- || MPY *AR5+, A ; 829
+ ST B, *AR4- || MPY *AR5+, B ; 830
+ ST B, *AR4- || MPY *AR5+0%, A ; 831
+ ST B, *AR4- || MPY *AR5+0%, B ; 832
+ ST B, *AR4+ || MPY *AR2, A ; 833
+ ST B, *AR4+ || MPY *AR2, B ; 834
+ ST B, *AR4+ || MPY *AR2-, A ; 835
+ ST B, *AR4+ || MPY *AR2-, B ; 836
+ ST B, *AR4+ || MPY *AR2+, A ; 837
+ ST B, *AR4+ || MPY *AR2+, B ; 838
+ ST B, *AR4+ || MPY *AR2+0%, A ; 839
+ ST B, *AR4+ || MPY *AR2+0%, B ; 840
+ ST B, *AR4+ || MPY *AR3, A ; 841
+ ST B, *AR4+ || MPY *AR3, B ; 842
+ ST B, *AR4+ || MPY *AR3-, A ; 843
+ ST B, *AR4+ || MPY *AR3-, B ; 844
+ ST B, *AR4+ || MPY *AR3+, A ; 845
+ ST B, *AR4+ || MPY *AR3+, B ; 846
+ ST B, *AR4+ || MPY *AR3+0%, A ; 847
+ ST B, *AR4+ || MPY *AR3+0%, B ; 848
+ ST B, *AR4+ || MPY *AR4, A ; 849
+ ST B, *AR4+ || MPY *AR4, B ; 850
+ ST B, *AR4+ || MPY *AR4-, A ; 851
+ ST B, *AR4+ || MPY *AR4-, B ; 852
+ ST B, *AR4+ || MPY *AR4+, A ; 853
+ ST B, *AR4+ || MPY *AR4+, B ; 854
+ ST B, *AR4+ || MPY *AR4+0%, A ; 855
+ ST B, *AR4+ || MPY *AR4+0%, B ; 856
+ ST B, *AR4+ || MPY *AR5, A ; 857
+ ST B, *AR4+ || MPY *AR5, B ; 858
+ ST B, *AR4+ || MPY *AR5-, A ; 859
+ ST B, *AR4+ || MPY *AR5-, B ; 860
+ ST B, *AR4+ || MPY *AR5+, A ; 861
+ ST B, *AR4+ || MPY *AR5+, B ; 862
+ ST B, *AR4+ || MPY *AR5+0%, A ; 863
+ ST B, *AR4+ || MPY *AR5+0%, B ; 864
+ ST B, *AR4+0% || MPY *AR2, A ; 865
+ ST B, *AR4+0% || MPY *AR2, B ; 866
+ ST B, *AR4+0% || MPY *AR2-, A ; 867
+ ST B, *AR4+0% || MPY *AR2-, B ; 868
+ ST B, *AR4+0% || MPY *AR2+, A ; 869
+ ST B, *AR4+0% || MPY *AR2+, B ; 870
+ ST B, *AR4+0% || MPY *AR2+0%, A ; 871
+ ST B, *AR4+0% || MPY *AR2+0%, B ; 872
+ ST B, *AR4+0% || MPY *AR3, A ; 873
+ ST B, *AR4+0% || MPY *AR3, B ; 874
+ ST B, *AR4+0% || MPY *AR3-, A ; 875
+ ST B, *AR4+0% || MPY *AR3-, B ; 876
+ ST B, *AR4+0% || MPY *AR3+, A ; 877
+ ST B, *AR4+0% || MPY *AR3+, B ; 878
+ ST B, *AR4+0% || MPY *AR3+0%, A ; 879
+ ST B, *AR4+0% || MPY *AR3+0%, B ; 880
+ ST B, *AR4+0% || MPY *AR4, A ; 881
+ ST B, *AR4+0% || MPY *AR4, B ; 882
+ ST B, *AR4+0% || MPY *AR4-, A ; 883
+ ST B, *AR4+0% || MPY *AR4-, B ; 884
+ ST B, *AR4+0% || MPY *AR4+, A ; 885
+ ST B, *AR4+0% || MPY *AR4+, B ; 886
+ ST B, *AR4+0% || MPY *AR4+0%, A ; 887
+ ST B, *AR4+0% || MPY *AR4+0%, B ; 888
+ ST B, *AR4+0% || MPY *AR5, A ; 889
+ ST B, *AR4+0% || MPY *AR5, B ; 890
+ ST B, *AR4+0% || MPY *AR5-, A ; 891
+ ST B, *AR4+0% || MPY *AR5-, B ; 892
+ ST B, *AR4+0% || MPY *AR5+, A ; 893
+ ST B, *AR4+0% || MPY *AR5+, B ; 894
+ ST B, *AR4+0% || MPY *AR5+0%, A ; 895
+ ST B, *AR4+0% || MPY *AR5+0%, B ; 896
+ ST B, *AR5 || MPY *AR2, A ; 897
+ ST B, *AR5 || MPY *AR2, B ; 898
+ ST B, *AR5 || MPY *AR2-, A ; 899
+ ST B, *AR5 || MPY *AR2-, B ; 900
+ ST B, *AR5 || MPY *AR2+, A ; 901
+ ST B, *AR5 || MPY *AR2+, B ; 902
+ ST B, *AR5 || MPY *AR2+0%, A ; 903
+ ST B, *AR5 || MPY *AR2+0%, B ; 904
+ ST B, *AR5 || MPY *AR3, A ; 905
+ ST B, *AR5 || MPY *AR3, B ; 906
+ ST B, *AR5 || MPY *AR3-, A ; 907
+ ST B, *AR5 || MPY *AR3-, B ; 908
+ ST B, *AR5 || MPY *AR3+, A ; 909
+ ST B, *AR5 || MPY *AR3+, B ; 910
+ ST B, *AR5 || MPY *AR3+0%, A ; 911
+ ST B, *AR5 || MPY *AR3+0%, B ; 912
+ ST B, *AR5 || MPY *AR4, A ; 913
+ ST B, *AR5 || MPY *AR4, B ; 914
+ ST B, *AR5 || MPY *AR4-, A ; 915
+ ST B, *AR5 || MPY *AR4-, B ; 916
+ ST B, *AR5 || MPY *AR4+, A ; 917
+ ST B, *AR5 || MPY *AR4+, B ; 918
+ ST B, *AR5 || MPY *AR4+0%, A ; 919
+ ST B, *AR5 || MPY *AR4+0%, B ; 920
+ ST B, *AR5 || MPY *AR5, A ; 921
+ ST B, *AR5 || MPY *AR5, B ; 922
+ ST B, *AR5 || MPY *AR5-, A ; 923
+ ST B, *AR5 || MPY *AR5-, B ; 924
+ ST B, *AR5 || MPY *AR5+, A ; 925
+ ST B, *AR5 || MPY *AR5+, B ; 926
+ ST B, *AR5 || MPY *AR5+0%, A ; 927
+ ST B, *AR5 || MPY *AR5+0%, B ; 928
+ ST B, *AR5- || MPY *AR2, A ; 929
+ ST B, *AR5- || MPY *AR2, B ; 930
+ ST B, *AR5- || MPY *AR2-, A ; 931
+ ST B, *AR5- || MPY *AR2-, B ; 932
+ ST B, *AR5- || MPY *AR2+, A ; 933
+ ST B, *AR5- || MPY *AR2+, B ; 934
+ ST B, *AR5- || MPY *AR2+0%, A ; 935
+ ST B, *AR5- || MPY *AR2+0%, B ; 936
+ ST B, *AR5- || MPY *AR3, A ; 937
+ ST B, *AR5- || MPY *AR3, B ; 938
+ ST B, *AR5- || MPY *AR3-, A ; 939
+ ST B, *AR5- || MPY *AR3-, B ; 940
+ ST B, *AR5- || MPY *AR3+, A ; 941
+ ST B, *AR5- || MPY *AR3+, B ; 942
+ ST B, *AR5- || MPY *AR3+0%, A ; 943
+ ST B, *AR5- || MPY *AR3+0%, B ; 944
+ ST B, *AR5- || MPY *AR4, A ; 945
+ ST B, *AR5- || MPY *AR4, B ; 946
+ ST B, *AR5- || MPY *AR4-, A ; 947
+ ST B, *AR5- || MPY *AR4-, B ; 948
+ ST B, *AR5- || MPY *AR4+, A ; 949
+ ST B, *AR5- || MPY *AR4+, B ; 950
+ ST B, *AR5- || MPY *AR4+0%, A ; 951
+ ST B, *AR5- || MPY *AR4+0%, B ; 952
+ ST B, *AR5- || MPY *AR5, A ; 953
+ ST B, *AR5- || MPY *AR5, B ; 954
+ ST B, *AR5- || MPY *AR5-, A ; 955
+ ST B, *AR5- || MPY *AR5-, B ; 956
+ ST B, *AR5- || MPY *AR5+, A ; 957
+ ST B, *AR5- || MPY *AR5+, B ; 958
+ ST B, *AR5- || MPY *AR5+0%, A ; 959
+ ST B, *AR5- || MPY *AR5+0%, B ; 960
+ ST B, *AR5+ || MPY *AR2, A ; 961
+ ST B, *AR5+ || MPY *AR2, B ; 962
+ ST B, *AR5+ || MPY *AR2-, A ; 963
+ ST B, *AR5+ || MPY *AR2-, B ; 964
+ ST B, *AR5+ || MPY *AR2+, A ; 965
+ ST B, *AR5+ || MPY *AR2+, B ; 966
+ ST B, *AR5+ || MPY *AR2+0%, A ; 967
+ ST B, *AR5+ || MPY *AR2+0%, B ; 968
+ ST B, *AR5+ || MPY *AR3, A ; 969
+ ST B, *AR5+ || MPY *AR3, B ; 970
+ ST B, *AR5+ || MPY *AR3-, A ; 971
+ ST B, *AR5+ || MPY *AR3-, B ; 972
+ ST B, *AR5+ || MPY *AR3+, A ; 973
+ ST B, *AR5+ || MPY *AR3+, B ; 974
+ ST B, *AR5+ || MPY *AR3+0%, A ; 975
+ ST B, *AR5+ || MPY *AR3+0%, B ; 976
+ ST B, *AR5+ || MPY *AR4, A ; 977
+ ST B, *AR5+ || MPY *AR4, B ; 978
+ ST B, *AR5+ || MPY *AR4-, A ; 979
+ ST B, *AR5+ || MPY *AR4-, B ; 980
+ ST B, *AR5+ || MPY *AR4+, A ; 981
+ ST B, *AR5+ || MPY *AR4+, B ; 982
+ ST B, *AR5+ || MPY *AR4+0%, A ; 983
+ ST B, *AR5+ || MPY *AR4+0%, B ; 984
+ ST B, *AR5+ || MPY *AR5, A ; 985
+ ST B, *AR5+ || MPY *AR5, B ; 986
+ ST B, *AR5+ || MPY *AR5-, A ; 987
+ ST B, *AR5+ || MPY *AR5-, B ; 988
+ ST B, *AR5+ || MPY *AR5+, A ; 989
+ ST B, *AR5+ || MPY *AR5+, B ; 990
+ ST B, *AR5+ || MPY *AR5+0%, A ; 991
+ ST B, *AR5+ || MPY *AR5+0%, B ; 992
+ ST B, *AR5+0% || MPY *AR2, A ; 993
+ ST B, *AR5+0% || MPY *AR2, B ; 994
+ ST B, *AR5+0% || MPY *AR2-, A ; 995
+ ST B, *AR5+0% || MPY *AR2-, B ; 996
+ ST B, *AR5+0% || MPY *AR2+, A ; 997
+ ST B, *AR5+0% || MPY *AR2+, B ; 998
+ ST B, *AR5+0% || MPY *AR2+0%, A ; 999
+ ST B, *AR5+0% || MPY *AR2+0%, B ; 1000
+ ST B, *AR5+0% || MPY *AR3, A ; 1001
+ ST B, *AR5+0% || MPY *AR3, B ; 1002
+ ST B, *AR5+0% || MPY *AR3-, A ; 1003
+ ST B, *AR5+0% || MPY *AR3-, B ; 1004
+ ST B, *AR5+0% || MPY *AR3+, A ; 1005
+ ST B, *AR5+0% || MPY *AR3+, B ; 1006
+ ST B, *AR5+0% || MPY *AR3+0%, A ; 1007
+ ST B, *AR5+0% || MPY *AR3+0%, B ; 1008
+ ST B, *AR5+0% || MPY *AR4, A ; 1009
+ ST B, *AR5+0% || MPY *AR4, B ; 1010
+ ST B, *AR5+0% || MPY *AR4-, A ; 1011
+ ST B, *AR5+0% || MPY *AR4-, B ; 1012
+ ST B, *AR5+0% || MPY *AR4+, A ; 1013
+ ST B, *AR5+0% || MPY *AR4+, B ; 1014
+ ST B, *AR5+0% || MPY *AR4+0%, A ; 1015
+ ST B, *AR5+0% || MPY *AR4+0%, B ; 1016
+ ST B, *AR5+0% || MPY *AR5, A ; 1017
+ ST B, *AR5+0% || MPY *AR5, B ; 1018
+ ST B, *AR5+0% || MPY *AR5-, A ; 1019
+ ST B, *AR5+0% || MPY *AR5-, B ; 1020
+ ST B, *AR5+0% || MPY *AR5+, A ; 1021
+ ST B, *AR5+0% || MPY *AR5+, B ; 1022
+ ST B, *AR5+0% || MPY *AR5+0%, A ; 1023
+ ST B, *AR5+0% || MPY *AR5+0%, B ; 1024
+ ; STandSUB : ST AB, Ymem || SUB Xmem, AB : 1024
+ ST A, *AR2 || SUB *AR2, A ; 1
+ ST A, *AR2 || SUB *AR2, B ; 2
+ ST A, *AR2 || SUB *AR2-, A ; 3
+ ST A, *AR2 || SUB *AR2-, B ; 4
+ ST A, *AR2 || SUB *AR2+, A ; 5
+ ST A, *AR2 || SUB *AR2+, B ; 6
+ ST A, *AR2 || SUB *AR2+0%, A ; 7
+ ST A, *AR2 || SUB *AR2+0%, B ; 8
+ ST A, *AR2 || SUB *AR3, A ; 9
+ ST A, *AR2 || SUB *AR3, B ; 10
+ ST A, *AR2 || SUB *AR3-, A ; 11
+ ST A, *AR2 || SUB *AR3-, B ; 12
+ ST A, *AR2 || SUB *AR3+, A ; 13
+ ST A, *AR2 || SUB *AR3+, B ; 14
+ ST A, *AR2 || SUB *AR3+0%, A ; 15
+ ST A, *AR2 || SUB *AR3+0%, B ; 16
+ ST A, *AR2 || SUB *AR4, A ; 17
+ ST A, *AR2 || SUB *AR4, B ; 18
+ ST A, *AR2 || SUB *AR4-, A ; 19
+ ST A, *AR2 || SUB *AR4-, B ; 20
+ ST A, *AR2 || SUB *AR4+, A ; 21
+ ST A, *AR2 || SUB *AR4+, B ; 22
+ ST A, *AR2 || SUB *AR4+0%, A ; 23
+ ST A, *AR2 || SUB *AR4+0%, B ; 24
+ ST A, *AR2 || SUB *AR5, A ; 25
+ ST A, *AR2 || SUB *AR5, B ; 26
+ ST A, *AR2 || SUB *AR5-, A ; 27
+ ST A, *AR2 || SUB *AR5-, B ; 28
+ ST A, *AR2 || SUB *AR5+, A ; 29
+ ST A, *AR2 || SUB *AR5+, B ; 30
+ ST A, *AR2 || SUB *AR5+0%, A ; 31
+ ST A, *AR2 || SUB *AR5+0%, B ; 32
+ ST A, *AR2- || SUB *AR2, A ; 33
+ ST A, *AR2- || SUB *AR2, B ; 34
+ ST A, *AR2- || SUB *AR2-, A ; 35
+ ST A, *AR2- || SUB *AR2-, B ; 36
+ ST A, *AR2- || SUB *AR2+, A ; 37
+ ST A, *AR2- || SUB *AR2+, B ; 38
+ ST A, *AR2- || SUB *AR2+0%, A ; 39
+ ST A, *AR2- || SUB *AR2+0%, B ; 40
+ ST A, *AR2- || SUB *AR3, A ; 41
+ ST A, *AR2- || SUB *AR3, B ; 42
+ ST A, *AR2- || SUB *AR3-, A ; 43
+ ST A, *AR2- || SUB *AR3-, B ; 44
+ ST A, *AR2- || SUB *AR3+, A ; 45
+ ST A, *AR2- || SUB *AR3+, B ; 46
+ ST A, *AR2- || SUB *AR3+0%, A ; 47
+ ST A, *AR2- || SUB *AR3+0%, B ; 48
+ ST A, *AR2- || SUB *AR4, A ; 49
+ ST A, *AR2- || SUB *AR4, B ; 50
+ ST A, *AR2- || SUB *AR4-, A ; 51
+ ST A, *AR2- || SUB *AR4-, B ; 52
+ ST A, *AR2- || SUB *AR4+, A ; 53
+ ST A, *AR2- || SUB *AR4+, B ; 54
+ ST A, *AR2- || SUB *AR4+0%, A ; 55
+ ST A, *AR2- || SUB *AR4+0%, B ; 56
+ ST A, *AR2- || SUB *AR5, A ; 57
+ ST A, *AR2- || SUB *AR5, B ; 58
+ ST A, *AR2- || SUB *AR5-, A ; 59
+ ST A, *AR2- || SUB *AR5-, B ; 60
+ ST A, *AR2- || SUB *AR5+, A ; 61
+ ST A, *AR2- || SUB *AR5+, B ; 62
+ ST A, *AR2- || SUB *AR5+0%, A ; 63
+ ST A, *AR2- || SUB *AR5+0%, B ; 64
+ ST A, *AR2+ || SUB *AR2, A ; 65
+ ST A, *AR2+ || SUB *AR2, B ; 66
+ ST A, *AR2+ || SUB *AR2-, A ; 67
+ ST A, *AR2+ || SUB *AR2-, B ; 68
+ ST A, *AR2+ || SUB *AR2+, A ; 69
+ ST A, *AR2+ || SUB *AR2+, B ; 70
+ ST A, *AR2+ || SUB *AR2+0%, A ; 71
+ ST A, *AR2+ || SUB *AR2+0%, B ; 72
+ ST A, *AR2+ || SUB *AR3, A ; 73
+ ST A, *AR2+ || SUB *AR3, B ; 74
+ ST A, *AR2+ || SUB *AR3-, A ; 75
+ ST A, *AR2+ || SUB *AR3-, B ; 76
+ ST A, *AR2+ || SUB *AR3+, A ; 77
+ ST A, *AR2+ || SUB *AR3+, B ; 78
+ ST A, *AR2+ || SUB *AR3+0%, A ; 79
+ ST A, *AR2+ || SUB *AR3+0%, B ; 80
+ ST A, *AR2+ || SUB *AR4, A ; 81
+ ST A, *AR2+ || SUB *AR4, B ; 82
+ ST A, *AR2+ || SUB *AR4-, A ; 83
+ ST A, *AR2+ || SUB *AR4-, B ; 84
+ ST A, *AR2+ || SUB *AR4+, A ; 85
+ ST A, *AR2+ || SUB *AR4+, B ; 86
+ ST A, *AR2+ || SUB *AR4+0%, A ; 87
+ ST A, *AR2+ || SUB *AR4+0%, B ; 88
+ ST A, *AR2+ || SUB *AR5, A ; 89
+ ST A, *AR2+ || SUB *AR5, B ; 90
+ ST A, *AR2+ || SUB *AR5-, A ; 91
+ ST A, *AR2+ || SUB *AR5-, B ; 92
+ ST A, *AR2+ || SUB *AR5+, A ; 93
+ ST A, *AR2+ || SUB *AR5+, B ; 94
+ ST A, *AR2+ || SUB *AR5+0%, A ; 95
+ ST A, *AR2+ || SUB *AR5+0%, B ; 96
+ ST A, *AR2+0% || SUB *AR2, A ; 97
+ ST A, *AR2+0% || SUB *AR2, B ; 98
+ ST A, *AR2+0% || SUB *AR2-, A ; 99
+ ST A, *AR2+0% || SUB *AR2-, B ; 100
+ ST A, *AR2+0% || SUB *AR2+, A ; 101
+ ST A, *AR2+0% || SUB *AR2+, B ; 102
+ ST A, *AR2+0% || SUB *AR2+0%, A ; 103
+ ST A, *AR2+0% || SUB *AR2+0%, B ; 104
+ ST A, *AR2+0% || SUB *AR3, A ; 105
+ ST A, *AR2+0% || SUB *AR3, B ; 106
+ ST A, *AR2+0% || SUB *AR3-, A ; 107
+ ST A, *AR2+0% || SUB *AR3-, B ; 108
+ ST A, *AR2+0% || SUB *AR3+, A ; 109
+ ST A, *AR2+0% || SUB *AR3+, B ; 110
+ ST A, *AR2+0% || SUB *AR3+0%, A ; 111
+ ST A, *AR2+0% || SUB *AR3+0%, B ; 112
+ ST A, *AR2+0% || SUB *AR4, A ; 113
+ ST A, *AR2+0% || SUB *AR4, B ; 114
+ ST A, *AR2+0% || SUB *AR4-, A ; 115
+ ST A, *AR2+0% || SUB *AR4-, B ; 116
+ ST A, *AR2+0% || SUB *AR4+, A ; 117
+ ST A, *AR2+0% || SUB *AR4+, B ; 118
+ ST A, *AR2+0% || SUB *AR4+0%, A ; 119
+ ST A, *AR2+0% || SUB *AR4+0%, B ; 120
+ ST A, *AR2+0% || SUB *AR5, A ; 121
+ ST A, *AR2+0% || SUB *AR5, B ; 122
+ ST A, *AR2+0% || SUB *AR5-, A ; 123
+ ST A, *AR2+0% || SUB *AR5-, B ; 124
+ ST A, *AR2+0% || SUB *AR5+, A ; 125
+ ST A, *AR2+0% || SUB *AR5+, B ; 126
+ ST A, *AR2+0% || SUB *AR5+0%, A ; 127
+ ST A, *AR2+0% || SUB *AR5+0%, B ; 128
+ ST A, *AR3 || SUB *AR2, A ; 129
+ ST A, *AR3 || SUB *AR2, B ; 130
+ ST A, *AR3 || SUB *AR2-, A ; 131
+ ST A, *AR3 || SUB *AR2-, B ; 132
+ ST A, *AR3 || SUB *AR2+, A ; 133
+ ST A, *AR3 || SUB *AR2+, B ; 134
+ ST A, *AR3 || SUB *AR2+0%, A ; 135
+ ST A, *AR3 || SUB *AR2+0%, B ; 136
+ ST A, *AR3 || SUB *AR3, A ; 137
+ ST A, *AR3 || SUB *AR3, B ; 138
+ ST A, *AR3 || SUB *AR3-, A ; 139
+ ST A, *AR3 || SUB *AR3-, B ; 140
+ ST A, *AR3 || SUB *AR3+, A ; 141
+ ST A, *AR3 || SUB *AR3+, B ; 142
+ ST A, *AR3 || SUB *AR3+0%, A ; 143
+ ST A, *AR3 || SUB *AR3+0%, B ; 144
+ ST A, *AR3 || SUB *AR4, A ; 145
+ ST A, *AR3 || SUB *AR4, B ; 146
+ ST A, *AR3 || SUB *AR4-, A ; 147
+ ST A, *AR3 || SUB *AR4-, B ; 148
+ ST A, *AR3 || SUB *AR4+, A ; 149
+ ST A, *AR3 || SUB *AR4+, B ; 150
+ ST A, *AR3 || SUB *AR4+0%, A ; 151
+ ST A, *AR3 || SUB *AR4+0%, B ; 152
+ ST A, *AR3 || SUB *AR5, A ; 153
+ ST A, *AR3 || SUB *AR5, B ; 154
+ ST A, *AR3 || SUB *AR5-, A ; 155
+ ST A, *AR3 || SUB *AR5-, B ; 156
+ ST A, *AR3 || SUB *AR5+, A ; 157
+ ST A, *AR3 || SUB *AR5+, B ; 158
+ ST A, *AR3 || SUB *AR5+0%, A ; 159
+ ST A, *AR3 || SUB *AR5+0%, B ; 160
+ ST A, *AR3- || SUB *AR2, A ; 161
+ ST A, *AR3- || SUB *AR2, B ; 162
+ ST A, *AR3- || SUB *AR2-, A ; 163
+ ST A, *AR3- || SUB *AR2-, B ; 164
+ ST A, *AR3- || SUB *AR2+, A ; 165
+ ST A, *AR3- || SUB *AR2+, B ; 166
+ ST A, *AR3- || SUB *AR2+0%, A ; 167
+ ST A, *AR3- || SUB *AR2+0%, B ; 168
+ ST A, *AR3- || SUB *AR3, A ; 169
+ ST A, *AR3- || SUB *AR3, B ; 170
+ ST A, *AR3- || SUB *AR3-, A ; 171
+ ST A, *AR3- || SUB *AR3-, B ; 172
+ ST A, *AR3- || SUB *AR3+, A ; 173
+ ST A, *AR3- || SUB *AR3+, B ; 174
+ ST A, *AR3- || SUB *AR3+0%, A ; 175
+ ST A, *AR3- || SUB *AR3+0%, B ; 176
+ ST A, *AR3- || SUB *AR4, A ; 177
+ ST A, *AR3- || SUB *AR4, B ; 178
+ ST A, *AR3- || SUB *AR4-, A ; 179
+ ST A, *AR3- || SUB *AR4-, B ; 180
+ ST A, *AR3- || SUB *AR4+, A ; 181
+ ST A, *AR3- || SUB *AR4+, B ; 182
+ ST A, *AR3- || SUB *AR4+0%, A ; 183
+ ST A, *AR3- || SUB *AR4+0%, B ; 184
+ ST A, *AR3- || SUB *AR5, A ; 185
+ ST A, *AR3- || SUB *AR5, B ; 186
+ ST A, *AR3- || SUB *AR5-, A ; 187
+ ST A, *AR3- || SUB *AR5-, B ; 188
+ ST A, *AR3- || SUB *AR5+, A ; 189
+ ST A, *AR3- || SUB *AR5+, B ; 190
+ ST A, *AR3- || SUB *AR5+0%, A ; 191
+ ST A, *AR3- || SUB *AR5+0%, B ; 192
+ ST A, *AR3+ || SUB *AR2, A ; 193
+ ST A, *AR3+ || SUB *AR2, B ; 194
+ ST A, *AR3+ || SUB *AR2-, A ; 195
+ ST A, *AR3+ || SUB *AR2-, B ; 196
+ ST A, *AR3+ || SUB *AR2+, A ; 197
+ ST A, *AR3+ || SUB *AR2+, B ; 198
+ ST A, *AR3+ || SUB *AR2+0%, A ; 199
+ ST A, *AR3+ || SUB *AR2+0%, B ; 200
+ ST A, *AR3+ || SUB *AR3, A ; 201
+ ST A, *AR3+ || SUB *AR3, B ; 202
+ ST A, *AR3+ || SUB *AR3-, A ; 203
+ ST A, *AR3+ || SUB *AR3-, B ; 204
+ ST A, *AR3+ || SUB *AR3+, A ; 205
+ ST A, *AR3+ || SUB *AR3+, B ; 206
+ ST A, *AR3+ || SUB *AR3+0%, A ; 207
+ ST A, *AR3+ || SUB *AR3+0%, B ; 208
+ ST A, *AR3+ || SUB *AR4, A ; 209
+ ST A, *AR3+ || SUB *AR4, B ; 210
+ ST A, *AR3+ || SUB *AR4-, A ; 211
+ ST A, *AR3+ || SUB *AR4-, B ; 212
+ ST A, *AR3+ || SUB *AR4+, A ; 213
+ ST A, *AR3+ || SUB *AR4+, B ; 214
+ ST A, *AR3+ || SUB *AR4+0%, A ; 215
+ ST A, *AR3+ || SUB *AR4+0%, B ; 216
+ ST A, *AR3+ || SUB *AR5, A ; 217
+ ST A, *AR3+ || SUB *AR5, B ; 218
+ ST A, *AR3+ || SUB *AR5-, A ; 219
+ ST A, *AR3+ || SUB *AR5-, B ; 220
+ ST A, *AR3+ || SUB *AR5+, A ; 221
+ ST A, *AR3+ || SUB *AR5+, B ; 222
+ ST A, *AR3+ || SUB *AR5+0%, A ; 223
+ ST A, *AR3+ || SUB *AR5+0%, B ; 224
+ ST A, *AR3+0% || SUB *AR2, A ; 225
+ ST A, *AR3+0% || SUB *AR2, B ; 226
+ ST A, *AR3+0% || SUB *AR2-, A ; 227
+ ST A, *AR3+0% || SUB *AR2-, B ; 228
+ ST A, *AR3+0% || SUB *AR2+, A ; 229
+ ST A, *AR3+0% || SUB *AR2+, B ; 230
+ ST A, *AR3+0% || SUB *AR2+0%, A ; 231
+ ST A, *AR3+0% || SUB *AR2+0%, B ; 232
+ ST A, *AR3+0% || SUB *AR3, A ; 233
+ ST A, *AR3+0% || SUB *AR3, B ; 234
+ ST A, *AR3+0% || SUB *AR3-, A ; 235
+ ST A, *AR3+0% || SUB *AR3-, B ; 236
+ ST A, *AR3+0% || SUB *AR3+, A ; 237
+ ST A, *AR3+0% || SUB *AR3+, B ; 238
+ ST A, *AR3+0% || SUB *AR3+0%, A ; 239
+ ST A, *AR3+0% || SUB *AR3+0%, B ; 240
+ ST A, *AR3+0% || SUB *AR4, A ; 241
+ ST A, *AR3+0% || SUB *AR4, B ; 242
+ ST A, *AR3+0% || SUB *AR4-, A ; 243
+ ST A, *AR3+0% || SUB *AR4-, B ; 244
+ ST A, *AR3+0% || SUB *AR4+, A ; 245
+ ST A, *AR3+0% || SUB *AR4+, B ; 246
+ ST A, *AR3+0% || SUB *AR4+0%, A ; 247
+ ST A, *AR3+0% || SUB *AR4+0%, B ; 248
+ ST A, *AR3+0% || SUB *AR5, A ; 249
+ ST A, *AR3+0% || SUB *AR5, B ; 250
+ ST A, *AR3+0% || SUB *AR5-, A ; 251
+ ST A, *AR3+0% || SUB *AR5-, B ; 252
+ ST A, *AR3+0% || SUB *AR5+, A ; 253
+ ST A, *AR3+0% || SUB *AR5+, B ; 254
+ ST A, *AR3+0% || SUB *AR5+0%, A ; 255
+ ST A, *AR3+0% || SUB *AR5+0%, B ; 256
+ ST A, *AR4 || SUB *AR2, A ; 257
+ ST A, *AR4 || SUB *AR2, B ; 258
+ ST A, *AR4 || SUB *AR2-, A ; 259
+ ST A, *AR4 || SUB *AR2-, B ; 260
+ ST A, *AR4 || SUB *AR2+, A ; 261
+ ST A, *AR4 || SUB *AR2+, B ; 262
+ ST A, *AR4 || SUB *AR2+0%, A ; 263
+ ST A, *AR4 || SUB *AR2+0%, B ; 264
+ ST A, *AR4 || SUB *AR3, A ; 265
+ ST A, *AR4 || SUB *AR3, B ; 266
+ ST A, *AR4 || SUB *AR3-, A ; 267
+ ST A, *AR4 || SUB *AR3-, B ; 268
+ ST A, *AR4 || SUB *AR3+, A ; 269
+ ST A, *AR4 || SUB *AR3+, B ; 270
+ ST A, *AR4 || SUB *AR3+0%, A ; 271
+ ST A, *AR4 || SUB *AR3+0%, B ; 272
+ ST A, *AR4 || SUB *AR4, A ; 273
+ ST A, *AR4 || SUB *AR4, B ; 274
+ ST A, *AR4 || SUB *AR4-, A ; 275
+ ST A, *AR4 || SUB *AR4-, B ; 276
+ ST A, *AR4 || SUB *AR4+, A ; 277
+ ST A, *AR4 || SUB *AR4+, B ; 278
+ ST A, *AR4 || SUB *AR4+0%, A ; 279
+ ST A, *AR4 || SUB *AR4+0%, B ; 280
+ ST A, *AR4 || SUB *AR5, A ; 281
+ ST A, *AR4 || SUB *AR5, B ; 282
+ ST A, *AR4 || SUB *AR5-, A ; 283
+ ST A, *AR4 || SUB *AR5-, B ; 284
+ ST A, *AR4 || SUB *AR5+, A ; 285
+ ST A, *AR4 || SUB *AR5+, B ; 286
+ ST A, *AR4 || SUB *AR5+0%, A ; 287
+ ST A, *AR4 || SUB *AR5+0%, B ; 288
+ ST A, *AR4- || SUB *AR2, A ; 289
+ ST A, *AR4- || SUB *AR2, B ; 290
+ ST A, *AR4- || SUB *AR2-, A ; 291
+ ST A, *AR4- || SUB *AR2-, B ; 292
+ ST A, *AR4- || SUB *AR2+, A ; 293
+ ST A, *AR4- || SUB *AR2+, B ; 294
+ ST A, *AR4- || SUB *AR2+0%, A ; 295
+ ST A, *AR4- || SUB *AR2+0%, B ; 296
+ ST A, *AR4- || SUB *AR3, A ; 297
+ ST A, *AR4- || SUB *AR3, B ; 298
+ ST A, *AR4- || SUB *AR3-, A ; 299
+ ST A, *AR4- || SUB *AR3-, B ; 300
+ ST A, *AR4- || SUB *AR3+, A ; 301
+ ST A, *AR4- || SUB *AR3+, B ; 302
+ ST A, *AR4- || SUB *AR3+0%, A ; 303
+ ST A, *AR4- || SUB *AR3+0%, B ; 304
+ ST A, *AR4- || SUB *AR4, A ; 305
+ ST A, *AR4- || SUB *AR4, B ; 306
+ ST A, *AR4- || SUB *AR4-, A ; 307
+ ST A, *AR4- || SUB *AR4-, B ; 308
+ ST A, *AR4- || SUB *AR4+, A ; 309
+ ST A, *AR4- || SUB *AR4+, B ; 310
+ ST A, *AR4- || SUB *AR4+0%, A ; 311
+ ST A, *AR4- || SUB *AR4+0%, B ; 312
+ ST A, *AR4- || SUB *AR5, A ; 313
+ ST A, *AR4- || SUB *AR5, B ; 314
+ ST A, *AR4- || SUB *AR5-, A ; 315
+ ST A, *AR4- || SUB *AR5-, B ; 316
+ ST A, *AR4- || SUB *AR5+, A ; 317
+ ST A, *AR4- || SUB *AR5+, B ; 318
+ ST A, *AR4- || SUB *AR5+0%, A ; 319
+ ST A, *AR4- || SUB *AR5+0%, B ; 320
+ ST A, *AR4+ || SUB *AR2, A ; 321
+ ST A, *AR4+ || SUB *AR2, B ; 322
+ ST A, *AR4+ || SUB *AR2-, A ; 323
+ ST A, *AR4+ || SUB *AR2-, B ; 324
+ ST A, *AR4+ || SUB *AR2+, A ; 325
+ ST A, *AR4+ || SUB *AR2+, B ; 326
+ ST A, *AR4+ || SUB *AR2+0%, A ; 327
+ ST A, *AR4+ || SUB *AR2+0%, B ; 328
+ ST A, *AR4+ || SUB *AR3, A ; 329
+ ST A, *AR4+ || SUB *AR3, B ; 330
+ ST A, *AR4+ || SUB *AR3-, A ; 331
+ ST A, *AR4+ || SUB *AR3-, B ; 332
+ ST A, *AR4+ || SUB *AR3+, A ; 333
+ ST A, *AR4+ || SUB *AR3+, B ; 334
+ ST A, *AR4+ || SUB *AR3+0%, A ; 335
+ ST A, *AR4+ || SUB *AR3+0%, B ; 336
+ ST A, *AR4+ || SUB *AR4, A ; 337
+ ST A, *AR4+ || SUB *AR4, B ; 338
+ ST A, *AR4+ || SUB *AR4-, A ; 339
+ ST A, *AR4+ || SUB *AR4-, B ; 340
+ ST A, *AR4+ || SUB *AR4+, A ; 341
+ ST A, *AR4+ || SUB *AR4+, B ; 342
+ ST A, *AR4+ || SUB *AR4+0%, A ; 343
+ ST A, *AR4+ || SUB *AR4+0%, B ; 344
+ ST A, *AR4+ || SUB *AR5, A ; 345
+ ST A, *AR4+ || SUB *AR5, B ; 346
+ ST A, *AR4+ || SUB *AR5-, A ; 347
+ ST A, *AR4+ || SUB *AR5-, B ; 348
+ ST A, *AR4+ || SUB *AR5+, A ; 349
+ ST A, *AR4+ || SUB *AR5+, B ; 350
+ ST A, *AR4+ || SUB *AR5+0%, A ; 351
+ ST A, *AR4+ || SUB *AR5+0%, B ; 352
+ ST A, *AR4+0% || SUB *AR2, A ; 353
+ ST A, *AR4+0% || SUB *AR2, B ; 354
+ ST A, *AR4+0% || SUB *AR2-, A ; 355
+ ST A, *AR4+0% || SUB *AR2-, B ; 356
+ ST A, *AR4+0% || SUB *AR2+, A ; 357
+ ST A, *AR4+0% || SUB *AR2+, B ; 358
+ ST A, *AR4+0% || SUB *AR2+0%, A ; 359
+ ST A, *AR4+0% || SUB *AR2+0%, B ; 360
+ ST A, *AR4+0% || SUB *AR3, A ; 361
+ ST A, *AR4+0% || SUB *AR3, B ; 362
+ ST A, *AR4+0% || SUB *AR3-, A ; 363
+ ST A, *AR4+0% || SUB *AR3-, B ; 364
+ ST A, *AR4+0% || SUB *AR3+, A ; 365
+ ST A, *AR4+0% || SUB *AR3+, B ; 366
+ ST A, *AR4+0% || SUB *AR3+0%, A ; 367
+ ST A, *AR4+0% || SUB *AR3+0%, B ; 368
+ ST A, *AR4+0% || SUB *AR4, A ; 369
+ ST A, *AR4+0% || SUB *AR4, B ; 370
+ ST A, *AR4+0% || SUB *AR4-, A ; 371
+ ST A, *AR4+0% || SUB *AR4-, B ; 372
+ ST A, *AR4+0% || SUB *AR4+, A ; 373
+ ST A, *AR4+0% || SUB *AR4+, B ; 374
+ ST A, *AR4+0% || SUB *AR4+0%, A ; 375
+ ST A, *AR4+0% || SUB *AR4+0%, B ; 376
+ ST A, *AR4+0% || SUB *AR5, A ; 377
+ ST A, *AR4+0% || SUB *AR5, B ; 378
+ ST A, *AR4+0% || SUB *AR5-, A ; 379
+ ST A, *AR4+0% || SUB *AR5-, B ; 380
+ ST A, *AR4+0% || SUB *AR5+, A ; 381
+ ST A, *AR4+0% || SUB *AR5+, B ; 382
+ ST A, *AR4+0% || SUB *AR5+0%, A ; 383
+ ST A, *AR4+0% || SUB *AR5+0%, B ; 384
+ ST A, *AR5 || SUB *AR2, A ; 385
+ ST A, *AR5 || SUB *AR2, B ; 386
+ ST A, *AR5 || SUB *AR2-, A ; 387
+ ST A, *AR5 || SUB *AR2-, B ; 388
+ ST A, *AR5 || SUB *AR2+, A ; 389
+ ST A, *AR5 || SUB *AR2+, B ; 390
+ ST A, *AR5 || SUB *AR2+0%, A ; 391
+ ST A, *AR5 || SUB *AR2+0%, B ; 392
+ ST A, *AR5 || SUB *AR3, A ; 393
+ ST A, *AR5 || SUB *AR3, B ; 394
+ ST A, *AR5 || SUB *AR3-, A ; 395
+ ST A, *AR5 || SUB *AR3-, B ; 396
+ ST A, *AR5 || SUB *AR3+, A ; 397
+ ST A, *AR5 || SUB *AR3+, B ; 398
+ ST A, *AR5 || SUB *AR3+0%, A ; 399
+ ST A, *AR5 || SUB *AR3+0%, B ; 400
+ ST A, *AR5 || SUB *AR4, A ; 401
+ ST A, *AR5 || SUB *AR4, B ; 402
+ ST A, *AR5 || SUB *AR4-, A ; 403
+ ST A, *AR5 || SUB *AR4-, B ; 404
+ ST A, *AR5 || SUB *AR4+, A ; 405
+ ST A, *AR5 || SUB *AR4+, B ; 406
+ ST A, *AR5 || SUB *AR4+0%, A ; 407
+ ST A, *AR5 || SUB *AR4+0%, B ; 408
+ ST A, *AR5 || SUB *AR5, A ; 409
+ ST A, *AR5 || SUB *AR5, B ; 410
+ ST A, *AR5 || SUB *AR5-, A ; 411
+ ST A, *AR5 || SUB *AR5-, B ; 412
+ ST A, *AR5 || SUB *AR5+, A ; 413
+ ST A, *AR5 || SUB *AR5+, B ; 414
+ ST A, *AR5 || SUB *AR5+0%, A ; 415
+ ST A, *AR5 || SUB *AR5+0%, B ; 416
+ ST A, *AR5- || SUB *AR2, A ; 417
+ ST A, *AR5- || SUB *AR2, B ; 418
+ ST A, *AR5- || SUB *AR2-, A ; 419
+ ST A, *AR5- || SUB *AR2-, B ; 420
+ ST A, *AR5- || SUB *AR2+, A ; 421
+ ST A, *AR5- || SUB *AR2+, B ; 422
+ ST A, *AR5- || SUB *AR2+0%, A ; 423
+ ST A, *AR5- || SUB *AR2+0%, B ; 424
+ ST A, *AR5- || SUB *AR3, A ; 425
+ ST A, *AR5- || SUB *AR3, B ; 426
+ ST A, *AR5- || SUB *AR3-, A ; 427
+ ST A, *AR5- || SUB *AR3-, B ; 428
+ ST A, *AR5- || SUB *AR3+, A ; 429
+ ST A, *AR5- || SUB *AR3+, B ; 430
+ ST A, *AR5- || SUB *AR3+0%, A ; 431
+ ST A, *AR5- || SUB *AR3+0%, B ; 432
+ ST A, *AR5- || SUB *AR4, A ; 433
+ ST A, *AR5- || SUB *AR4, B ; 434
+ ST A, *AR5- || SUB *AR4-, A ; 435
+ ST A, *AR5- || SUB *AR4-, B ; 436
+ ST A, *AR5- || SUB *AR4+, A ; 437
+ ST A, *AR5- || SUB *AR4+, B ; 438
+ ST A, *AR5- || SUB *AR4+0%, A ; 439
+ ST A, *AR5- || SUB *AR4+0%, B ; 440
+ ST A, *AR5- || SUB *AR5, A ; 441
+ ST A, *AR5- || SUB *AR5, B ; 442
+ ST A, *AR5- || SUB *AR5-, A ; 443
+ ST A, *AR5- || SUB *AR5-, B ; 444
+ ST A, *AR5- || SUB *AR5+, A ; 445
+ ST A, *AR5- || SUB *AR5+, B ; 446
+ ST A, *AR5- || SUB *AR5+0%, A ; 447
+ ST A, *AR5- || SUB *AR5+0%, B ; 448
+ ST A, *AR5+ || SUB *AR2, A ; 449
+ ST A, *AR5+ || SUB *AR2, B ; 450
+ ST A, *AR5+ || SUB *AR2-, A ; 451
+ ST A, *AR5+ || SUB *AR2-, B ; 452
+ ST A, *AR5+ || SUB *AR2+, A ; 453
+ ST A, *AR5+ || SUB *AR2+, B ; 454
+ ST A, *AR5+ || SUB *AR2+0%, A ; 455
+ ST A, *AR5+ || SUB *AR2+0%, B ; 456
+ ST A, *AR5+ || SUB *AR3, A ; 457
+ ST A, *AR5+ || SUB *AR3, B ; 458
+ ST A, *AR5+ || SUB *AR3-, A ; 459
+ ST A, *AR5+ || SUB *AR3-, B ; 460
+ ST A, *AR5+ || SUB *AR3+, A ; 461
+ ST A, *AR5+ || SUB *AR3+, B ; 462
+ ST A, *AR5+ || SUB *AR3+0%, A ; 463
+ ST A, *AR5+ || SUB *AR3+0%, B ; 464
+ ST A, *AR5+ || SUB *AR4, A ; 465
+ ST A, *AR5+ || SUB *AR4, B ; 466
+ ST A, *AR5+ || SUB *AR4-, A ; 467
+ ST A, *AR5+ || SUB *AR4-, B ; 468
+ ST A, *AR5+ || SUB *AR4+, A ; 469
+ ST A, *AR5+ || SUB *AR4+, B ; 470
+ ST A, *AR5+ || SUB *AR4+0%, A ; 471
+ ST A, *AR5+ || SUB *AR4+0%, B ; 472
+ ST A, *AR5+ || SUB *AR5, A ; 473
+ ST A, *AR5+ || SUB *AR5, B ; 474
+ ST A, *AR5+ || SUB *AR5-, A ; 475
+ ST A, *AR5+ || SUB *AR5-, B ; 476
+ ST A, *AR5+ || SUB *AR5+, A ; 477
+ ST A, *AR5+ || SUB *AR5+, B ; 478
+ ST A, *AR5+ || SUB *AR5+0%, A ; 479
+ ST A, *AR5+ || SUB *AR5+0%, B ; 480
+ ST A, *AR5+0% || SUB *AR2, A ; 481
+ ST A, *AR5+0% || SUB *AR2, B ; 482
+ ST A, *AR5+0% || SUB *AR2-, A ; 483
+ ST A, *AR5+0% || SUB *AR2-, B ; 484
+ ST A, *AR5+0% || SUB *AR2+, A ; 485
+ ST A, *AR5+0% || SUB *AR2+, B ; 486
+ ST A, *AR5+0% || SUB *AR2+0%, A ; 487
+ ST A, *AR5+0% || SUB *AR2+0%, B ; 488
+ ST A, *AR5+0% || SUB *AR3, A ; 489
+ ST A, *AR5+0% || SUB *AR3, B ; 490
+ ST A, *AR5+0% || SUB *AR3-, A ; 491
+ ST A, *AR5+0% || SUB *AR3-, B ; 492
+ ST A, *AR5+0% || SUB *AR3+, A ; 493
+ ST A, *AR5+0% || SUB *AR3+, B ; 494
+ ST A, *AR5+0% || SUB *AR3+0%, A ; 495
+ ST A, *AR5+0% || SUB *AR3+0%, B ; 496
+ ST A, *AR5+0% || SUB *AR4, A ; 497
+ ST A, *AR5+0% || SUB *AR4, B ; 498
+ ST A, *AR5+0% || SUB *AR4-, A ; 499
+ ST A, *AR5+0% || SUB *AR4-, B ; 500
+ ST A, *AR5+0% || SUB *AR4+, A ; 501
+ ST A, *AR5+0% || SUB *AR4+, B ; 502
+ ST A, *AR5+0% || SUB *AR4+0%, A ; 503
+ ST A, *AR5+0% || SUB *AR4+0%, B ; 504
+ ST A, *AR5+0% || SUB *AR5, A ; 505
+ ST A, *AR5+0% || SUB *AR5, B ; 506
+ ST A, *AR5+0% || SUB *AR5-, A ; 507
+ ST A, *AR5+0% || SUB *AR5-, B ; 508
+ ST A, *AR5+0% || SUB *AR5+, A ; 509
+ ST A, *AR5+0% || SUB *AR5+, B ; 510
+ ST A, *AR5+0% || SUB *AR5+0%, A ; 511
+ ST A, *AR5+0% || SUB *AR5+0%, B ; 512
+ ST B, *AR2 || SUB *AR2, A ; 513
+ ST B, *AR2 || SUB *AR2, B ; 514
+ ST B, *AR2 || SUB *AR2-, A ; 515
+ ST B, *AR2 || SUB *AR2-, B ; 516
+ ST B, *AR2 || SUB *AR2+, A ; 517
+ ST B, *AR2 || SUB *AR2+, B ; 518
+ ST B, *AR2 || SUB *AR2+0%, A ; 519
+ ST B, *AR2 || SUB *AR2+0%, B ; 520
+ ST B, *AR2 || SUB *AR3, A ; 521
+ ST B, *AR2 || SUB *AR3, B ; 522
+ ST B, *AR2 || SUB *AR3-, A ; 523
+ ST B, *AR2 || SUB *AR3-, B ; 524
+ ST B, *AR2 || SUB *AR3+, A ; 525
+ ST B, *AR2 || SUB *AR3+, B ; 526
+ ST B, *AR2 || SUB *AR3+0%, A ; 527
+ ST B, *AR2 || SUB *AR3+0%, B ; 528
+ ST B, *AR2 || SUB *AR4, A ; 529
+ ST B, *AR2 || SUB *AR4, B ; 530
+ ST B, *AR2 || SUB *AR4-, A ; 531
+ ST B, *AR2 || SUB *AR4-, B ; 532
+ ST B, *AR2 || SUB *AR4+, A ; 533
+ ST B, *AR2 || SUB *AR4+, B ; 534
+ ST B, *AR2 || SUB *AR4+0%, A ; 535
+ ST B, *AR2 || SUB *AR4+0%, B ; 536
+ ST B, *AR2 || SUB *AR5, A ; 537
+ ST B, *AR2 || SUB *AR5, B ; 538
+ ST B, *AR2 || SUB *AR5-, A ; 539
+ ST B, *AR2 || SUB *AR5-, B ; 540
+ ST B, *AR2 || SUB *AR5+, A ; 541
+ ST B, *AR2 || SUB *AR5+, B ; 542
+ ST B, *AR2 || SUB *AR5+0%, A ; 543
+ ST B, *AR2 || SUB *AR5+0%, B ; 544
+ ST B, *AR2- || SUB *AR2, A ; 545
+ ST B, *AR2- || SUB *AR2, B ; 546
+ ST B, *AR2- || SUB *AR2-, A ; 547
+ ST B, *AR2- || SUB *AR2-, B ; 548
+ ST B, *AR2- || SUB *AR2+, A ; 549
+ ST B, *AR2- || SUB *AR2+, B ; 550
+ ST B, *AR2- || SUB *AR2+0%, A ; 551
+ ST B, *AR2- || SUB *AR2+0%, B ; 552
+ ST B, *AR2- || SUB *AR3, A ; 553
+ ST B, *AR2- || SUB *AR3, B ; 554
+ ST B, *AR2- || SUB *AR3-, A ; 555
+ ST B, *AR2- || SUB *AR3-, B ; 556
+ ST B, *AR2- || SUB *AR3+, A ; 557
+ ST B, *AR2- || SUB *AR3+, B ; 558
+ ST B, *AR2- || SUB *AR3+0%, A ; 559
+ ST B, *AR2- || SUB *AR3+0%, B ; 560
+ ST B, *AR2- || SUB *AR4, A ; 561
+ ST B, *AR2- || SUB *AR4, B ; 562
+ ST B, *AR2- || SUB *AR4-, A ; 563
+ ST B, *AR2- || SUB *AR4-, B ; 564
+ ST B, *AR2- || SUB *AR4+, A ; 565
+ ST B, *AR2- || SUB *AR4+, B ; 566
+ ST B, *AR2- || SUB *AR4+0%, A ; 567
+ ST B, *AR2- || SUB *AR4+0%, B ; 568
+ ST B, *AR2- || SUB *AR5, A ; 569
+ ST B, *AR2- || SUB *AR5, B ; 570
+ ST B, *AR2- || SUB *AR5-, A ; 571
+ ST B, *AR2- || SUB *AR5-, B ; 572
+ ST B, *AR2- || SUB *AR5+, A ; 573
+ ST B, *AR2- || SUB *AR5+, B ; 574
+ ST B, *AR2- || SUB *AR5+0%, A ; 575
+ ST B, *AR2- || SUB *AR5+0%, B ; 576
+ ST B, *AR2+ || SUB *AR2, A ; 577
+ ST B, *AR2+ || SUB *AR2, B ; 578
+ ST B, *AR2+ || SUB *AR2-, A ; 579
+ ST B, *AR2+ || SUB *AR2-, B ; 580
+ ST B, *AR2+ || SUB *AR2+, A ; 581
+ ST B, *AR2+ || SUB *AR2+, B ; 582
+ ST B, *AR2+ || SUB *AR2+0%, A ; 583
+ ST B, *AR2+ || SUB *AR2+0%, B ; 584
+ ST B, *AR2+ || SUB *AR3, A ; 585
+ ST B, *AR2+ || SUB *AR3, B ; 586
+ ST B, *AR2+ || SUB *AR3-, A ; 587
+ ST B, *AR2+ || SUB *AR3-, B ; 588
+ ST B, *AR2+ || SUB *AR3+, A ; 589
+ ST B, *AR2+ || SUB *AR3+, B ; 590
+ ST B, *AR2+ || SUB *AR3+0%, A ; 591
+ ST B, *AR2+ || SUB *AR3+0%, B ; 592
+ ST B, *AR2+ || SUB *AR4, A ; 593
+ ST B, *AR2+ || SUB *AR4, B ; 594
+ ST B, *AR2+ || SUB *AR4-, A ; 595
+ ST B, *AR2+ || SUB *AR4-, B ; 596
+ ST B, *AR2+ || SUB *AR4+, A ; 597
+ ST B, *AR2+ || SUB *AR4+, B ; 598
+ ST B, *AR2+ || SUB *AR4+0%, A ; 599
+ ST B, *AR2+ || SUB *AR4+0%, B ; 600
+ ST B, *AR2+ || SUB *AR5, A ; 601
+ ST B, *AR2+ || SUB *AR5, B ; 602
+ ST B, *AR2+ || SUB *AR5-, A ; 603
+ ST B, *AR2+ || SUB *AR5-, B ; 604
+ ST B, *AR2+ || SUB *AR5+, A ; 605
+ ST B, *AR2+ || SUB *AR5+, B ; 606
+ ST B, *AR2+ || SUB *AR5+0%, A ; 607
+ ST B, *AR2+ || SUB *AR5+0%, B ; 608
+ ST B, *AR2+0% || SUB *AR2, A ; 609
+ ST B, *AR2+0% || SUB *AR2, B ; 610
+ ST B, *AR2+0% || SUB *AR2-, A ; 611
+ ST B, *AR2+0% || SUB *AR2-, B ; 612
+ ST B, *AR2+0% || SUB *AR2+, A ; 613
+ ST B, *AR2+0% || SUB *AR2+, B ; 614
+ ST B, *AR2+0% || SUB *AR2+0%, A ; 615
+ ST B, *AR2+0% || SUB *AR2+0%, B ; 616
+ ST B, *AR2+0% || SUB *AR3, A ; 617
+ ST B, *AR2+0% || SUB *AR3, B ; 618
+ ST B, *AR2+0% || SUB *AR3-, A ; 619
+ ST B, *AR2+0% || SUB *AR3-, B ; 620
+ ST B, *AR2+0% || SUB *AR3+, A ; 621
+ ST B, *AR2+0% || SUB *AR3+, B ; 622
+ ST B, *AR2+0% || SUB *AR3+0%, A ; 623
+ ST B, *AR2+0% || SUB *AR3+0%, B ; 624
+ ST B, *AR2+0% || SUB *AR4, A ; 625
+ ST B, *AR2+0% || SUB *AR4, B ; 626
+ ST B, *AR2+0% || SUB *AR4-, A ; 627
+ ST B, *AR2+0% || SUB *AR4-, B ; 628
+ ST B, *AR2+0% || SUB *AR4+, A ; 629
+ ST B, *AR2+0% || SUB *AR4+, B ; 630
+ ST B, *AR2+0% || SUB *AR4+0%, A ; 631
+ ST B, *AR2+0% || SUB *AR4+0%, B ; 632
+ ST B, *AR2+0% || SUB *AR5, A ; 633
+ ST B, *AR2+0% || SUB *AR5, B ; 634
+ ST B, *AR2+0% || SUB *AR5-, A ; 635
+ ST B, *AR2+0% || SUB *AR5-, B ; 636
+ ST B, *AR2+0% || SUB *AR5+, A ; 637
+ ST B, *AR2+0% || SUB *AR5+, B ; 638
+ ST B, *AR2+0% || SUB *AR5+0%, A ; 639
+ ST B, *AR2+0% || SUB *AR5+0%, B ; 640
+ ST B, *AR3 || SUB *AR2, A ; 641
+ ST B, *AR3 || SUB *AR2, B ; 642
+ ST B, *AR3 || SUB *AR2-, A ; 643
+ ST B, *AR3 || SUB *AR2-, B ; 644
+ ST B, *AR3 || SUB *AR2+, A ; 645
+ ST B, *AR3 || SUB *AR2+, B ; 646
+ ST B, *AR3 || SUB *AR2+0%, A ; 647
+ ST B, *AR3 || SUB *AR2+0%, B ; 648
+ ST B, *AR3 || SUB *AR3, A ; 649
+ ST B, *AR3 || SUB *AR3, B ; 650
+ ST B, *AR3 || SUB *AR3-, A ; 651
+ ST B, *AR3 || SUB *AR3-, B ; 652
+ ST B, *AR3 || SUB *AR3+, A ; 653
+ ST B, *AR3 || SUB *AR3+, B ; 654
+ ST B, *AR3 || SUB *AR3+0%, A ; 655
+ ST B, *AR3 || SUB *AR3+0%, B ; 656
+ ST B, *AR3 || SUB *AR4, A ; 657
+ ST B, *AR3 || SUB *AR4, B ; 658
+ ST B, *AR3 || SUB *AR4-, A ; 659
+ ST B, *AR3 || SUB *AR4-, B ; 660
+ ST B, *AR3 || SUB *AR4+, A ; 661
+ ST B, *AR3 || SUB *AR4+, B ; 662
+ ST B, *AR3 || SUB *AR4+0%, A ; 663
+ ST B, *AR3 || SUB *AR4+0%, B ; 664
+ ST B, *AR3 || SUB *AR5, A ; 665
+ ST B, *AR3 || SUB *AR5, B ; 666
+ ST B, *AR3 || SUB *AR5-, A ; 667
+ ST B, *AR3 || SUB *AR5-, B ; 668
+ ST B, *AR3 || SUB *AR5+, A ; 669
+ ST B, *AR3 || SUB *AR5+, B ; 670
+ ST B, *AR3 || SUB *AR5+0%, A ; 671
+ ST B, *AR3 || SUB *AR5+0%, B ; 672
+ ST B, *AR3- || SUB *AR2, A ; 673
+ ST B, *AR3- || SUB *AR2, B ; 674
+ ST B, *AR3- || SUB *AR2-, A ; 675
+ ST B, *AR3- || SUB *AR2-, B ; 676
+ ST B, *AR3- || SUB *AR2+, A ; 677
+ ST B, *AR3- || SUB *AR2+, B ; 678
+ ST B, *AR3- || SUB *AR2+0%, A ; 679
+ ST B, *AR3- || SUB *AR2+0%, B ; 680
+ ST B, *AR3- || SUB *AR3, A ; 681
+ ST B, *AR3- || SUB *AR3, B ; 682
+ ST B, *AR3- || SUB *AR3-, A ; 683
+ ST B, *AR3- || SUB *AR3-, B ; 684
+ ST B, *AR3- || SUB *AR3+, A ; 685
+ ST B, *AR3- || SUB *AR3+, B ; 686
+ ST B, *AR3- || SUB *AR3+0%, A ; 687
+ ST B, *AR3- || SUB *AR3+0%, B ; 688
+ ST B, *AR3- || SUB *AR4, A ; 689
+ ST B, *AR3- || SUB *AR4, B ; 690
+ ST B, *AR3- || SUB *AR4-, A ; 691
+ ST B, *AR3- || SUB *AR4-, B ; 692
+ ST B, *AR3- || SUB *AR4+, A ; 693
+ ST B, *AR3- || SUB *AR4+, B ; 694
+ ST B, *AR3- || SUB *AR4+0%, A ; 695
+ ST B, *AR3- || SUB *AR4+0%, B ; 696
+ ST B, *AR3- || SUB *AR5, A ; 697
+ ST B, *AR3- || SUB *AR5, B ; 698
+ ST B, *AR3- || SUB *AR5-, A ; 699
+ ST B, *AR3- || SUB *AR5-, B ; 700
+ ST B, *AR3- || SUB *AR5+, A ; 701
+ ST B, *AR3- || SUB *AR5+, B ; 702
+ ST B, *AR3- || SUB *AR5+0%, A ; 703
+ ST B, *AR3- || SUB *AR5+0%, B ; 704
+ ST B, *AR3+ || SUB *AR2, A ; 705
+ ST B, *AR3+ || SUB *AR2, B ; 706
+ ST B, *AR3+ || SUB *AR2-, A ; 707
+ ST B, *AR3+ || SUB *AR2-, B ; 708
+ ST B, *AR3+ || SUB *AR2+, A ; 709
+ ST B, *AR3+ || SUB *AR2+, B ; 710
+ ST B, *AR3+ || SUB *AR2+0%, A ; 711
+ ST B, *AR3+ || SUB *AR2+0%, B ; 712
+ ST B, *AR3+ || SUB *AR3, A ; 713
+ ST B, *AR3+ || SUB *AR3, B ; 714
+ ST B, *AR3+ || SUB *AR3-, A ; 715
+ ST B, *AR3+ || SUB *AR3-, B ; 716
+ ST B, *AR3+ || SUB *AR3+, A ; 717
+ ST B, *AR3+ || SUB *AR3+, B ; 718
+ ST B, *AR3+ || SUB *AR3+0%, A ; 719
+ ST B, *AR3+ || SUB *AR3+0%, B ; 720
+ ST B, *AR3+ || SUB *AR4, A ; 721
+ ST B, *AR3+ || SUB *AR4, B ; 722
+ ST B, *AR3+ || SUB *AR4-, A ; 723
+ ST B, *AR3+ || SUB *AR4-, B ; 724
+ ST B, *AR3+ || SUB *AR4+, A ; 725
+ ST B, *AR3+ || SUB *AR4+, B ; 726
+ ST B, *AR3+ || SUB *AR4+0%, A ; 727
+ ST B, *AR3+ || SUB *AR4+0%, B ; 728
+ ST B, *AR3+ || SUB *AR5, A ; 729
+ ST B, *AR3+ || SUB *AR5, B ; 730
+ ST B, *AR3+ || SUB *AR5-, A ; 731
+ ST B, *AR3+ || SUB *AR5-, B ; 732
+ ST B, *AR3+ || SUB *AR5+, A ; 733
+ ST B, *AR3+ || SUB *AR5+, B ; 734
+ ST B, *AR3+ || SUB *AR5+0%, A ; 735
+ ST B, *AR3+ || SUB *AR5+0%, B ; 736
+ ST B, *AR3+0% || SUB *AR2, A ; 737
+ ST B, *AR3+0% || SUB *AR2, B ; 738
+ ST B, *AR3+0% || SUB *AR2-, A ; 739
+ ST B, *AR3+0% || SUB *AR2-, B ; 740
+ ST B, *AR3+0% || SUB *AR2+, A ; 741
+ ST B, *AR3+0% || SUB *AR2+, B ; 742
+ ST B, *AR3+0% || SUB *AR2+0%, A ; 743
+ ST B, *AR3+0% || SUB *AR2+0%, B ; 744
+ ST B, *AR3+0% || SUB *AR3, A ; 745
+ ST B, *AR3+0% || SUB *AR3, B ; 746
+ ST B, *AR3+0% || SUB *AR3-, A ; 747
+ ST B, *AR3+0% || SUB *AR3-, B ; 748
+ ST B, *AR3+0% || SUB *AR3+, A ; 749
+ ST B, *AR3+0% || SUB *AR3+, B ; 750
+ ST B, *AR3+0% || SUB *AR3+0%, A ; 751
+ ST B, *AR3+0% || SUB *AR3+0%, B ; 752
+ ST B, *AR3+0% || SUB *AR4, A ; 753
+ ST B, *AR3+0% || SUB *AR4, B ; 754
+ ST B, *AR3+0% || SUB *AR4-, A ; 755
+ ST B, *AR3+0% || SUB *AR4-, B ; 756
+ ST B, *AR3+0% || SUB *AR4+, A ; 757
+ ST B, *AR3+0% || SUB *AR4+, B ; 758
+ ST B, *AR3+0% || SUB *AR4+0%, A ; 759
+ ST B, *AR3+0% || SUB *AR4+0%, B ; 760
+ ST B, *AR3+0% || SUB *AR5, A ; 761
+ ST B, *AR3+0% || SUB *AR5, B ; 762
+ ST B, *AR3+0% || SUB *AR5-, A ; 763
+ ST B, *AR3+0% || SUB *AR5-, B ; 764
+ ST B, *AR3+0% || SUB *AR5+, A ; 765
+ ST B, *AR3+0% || SUB *AR5+, B ; 766
+ ST B, *AR3+0% || SUB *AR5+0%, A ; 767
+ ST B, *AR3+0% || SUB *AR5+0%, B ; 768
+ ST B, *AR4 || SUB *AR2, A ; 769
+ ST B, *AR4 || SUB *AR2, B ; 770
+ ST B, *AR4 || SUB *AR2-, A ; 771
+ ST B, *AR4 || SUB *AR2-, B ; 772
+ ST B, *AR4 || SUB *AR2+, A ; 773
+ ST B, *AR4 || SUB *AR2+, B ; 774
+ ST B, *AR4 || SUB *AR2+0%, A ; 775
+ ST B, *AR4 || SUB *AR2+0%, B ; 776
+ ST B, *AR4 || SUB *AR3, A ; 777
+ ST B, *AR4 || SUB *AR3, B ; 778
+ ST B, *AR4 || SUB *AR3-, A ; 779
+ ST B, *AR4 || SUB *AR3-, B ; 780
+ ST B, *AR4 || SUB *AR3+, A ; 781
+ ST B, *AR4 || SUB *AR3+, B ; 782
+ ST B, *AR4 || SUB *AR3+0%, A ; 783
+ ST B, *AR4 || SUB *AR3+0%, B ; 784
+ ST B, *AR4 || SUB *AR4, A ; 785
+ ST B, *AR4 || SUB *AR4, B ; 786
+ ST B, *AR4 || SUB *AR4-, A ; 787
+ ST B, *AR4 || SUB *AR4-, B ; 788
+ ST B, *AR4 || SUB *AR4+, A ; 789
+ ST B, *AR4 || SUB *AR4+, B ; 790
+ ST B, *AR4 || SUB *AR4+0%, A ; 791
+ ST B, *AR4 || SUB *AR4+0%, B ; 792
+ ST B, *AR4 || SUB *AR5, A ; 793
+ ST B, *AR4 || SUB *AR5, B ; 794
+ ST B, *AR4 || SUB *AR5-, A ; 795
+ ST B, *AR4 || SUB *AR5-, B ; 796
+ ST B, *AR4 || SUB *AR5+, A ; 797
+ ST B, *AR4 || SUB *AR5+, B ; 798
+ ST B, *AR4 || SUB *AR5+0%, A ; 799
+ ST B, *AR4 || SUB *AR5+0%, B ; 800
+ ST B, *AR4- || SUB *AR2, A ; 801
+ ST B, *AR4- || SUB *AR2, B ; 802
+ ST B, *AR4- || SUB *AR2-, A ; 803
+ ST B, *AR4- || SUB *AR2-, B ; 804
+ ST B, *AR4- || SUB *AR2+, A ; 805
+ ST B, *AR4- || SUB *AR2+, B ; 806
+ ST B, *AR4- || SUB *AR2+0%, A ; 807
+ ST B, *AR4- || SUB *AR2+0%, B ; 808
+ ST B, *AR4- || SUB *AR3, A ; 809
+ ST B, *AR4- || SUB *AR3, B ; 810
+ ST B, *AR4- || SUB *AR3-, A ; 811
+ ST B, *AR4- || SUB *AR3-, B ; 812
+ ST B, *AR4- || SUB *AR3+, A ; 813
+ ST B, *AR4- || SUB *AR3+, B ; 814
+ ST B, *AR4- || SUB *AR3+0%, A ; 815
+ ST B, *AR4- || SUB *AR3+0%, B ; 816
+ ST B, *AR4- || SUB *AR4, A ; 817
+ ST B, *AR4- || SUB *AR4, B ; 818
+ ST B, *AR4- || SUB *AR4-, A ; 819
+ ST B, *AR4- || SUB *AR4-, B ; 820
+ ST B, *AR4- || SUB *AR4+, A ; 821
+ ST B, *AR4- || SUB *AR4+, B ; 822
+ ST B, *AR4- || SUB *AR4+0%, A ; 823
+ ST B, *AR4- || SUB *AR4+0%, B ; 824
+ ST B, *AR4- || SUB *AR5, A ; 825
+ ST B, *AR4- || SUB *AR5, B ; 826
+ ST B, *AR4- || SUB *AR5-, A ; 827
+ ST B, *AR4- || SUB *AR5-, B ; 828
+ ST B, *AR4- || SUB *AR5+, A ; 829
+ ST B, *AR4- || SUB *AR5+, B ; 830
+ ST B, *AR4- || SUB *AR5+0%, A ; 831
+ ST B, *AR4- || SUB *AR5+0%, B ; 832
+ ST B, *AR4+ || SUB *AR2, A ; 833
+ ST B, *AR4+ || SUB *AR2, B ; 834
+ ST B, *AR4+ || SUB *AR2-, A ; 835
+ ST B, *AR4+ || SUB *AR2-, B ; 836
+ ST B, *AR4+ || SUB *AR2+, A ; 837
+ ST B, *AR4+ || SUB *AR2+, B ; 838
+ ST B, *AR4+ || SUB *AR2+0%, A ; 839
+ ST B, *AR4+ || SUB *AR2+0%, B ; 840
+ ST B, *AR4+ || SUB *AR3, A ; 841
+ ST B, *AR4+ || SUB *AR3, B ; 842
+ ST B, *AR4+ || SUB *AR3-, A ; 843
+ ST B, *AR4+ || SUB *AR3-, B ; 844
+ ST B, *AR4+ || SUB *AR3+, A ; 845
+ ST B, *AR4+ || SUB *AR3+, B ; 846
+ ST B, *AR4+ || SUB *AR3+0%, A ; 847
+ ST B, *AR4+ || SUB *AR3+0%, B ; 848
+ ST B, *AR4+ || SUB *AR4, A ; 849
+ ST B, *AR4+ || SUB *AR4, B ; 850
+ ST B, *AR4+ || SUB *AR4-, A ; 851
+ ST B, *AR4+ || SUB *AR4-, B ; 852
+ ST B, *AR4+ || SUB *AR4+, A ; 853
+ ST B, *AR4+ || SUB *AR4+, B ; 854
+ ST B, *AR4+ || SUB *AR4+0%, A ; 855
+ ST B, *AR4+ || SUB *AR4+0%, B ; 856
+ ST B, *AR4+ || SUB *AR5, A ; 857
+ ST B, *AR4+ || SUB *AR5, B ; 858
+ ST B, *AR4+ || SUB *AR5-, A ; 859
+ ST B, *AR4+ || SUB *AR5-, B ; 860
+ ST B, *AR4+ || SUB *AR5+, A ; 861
+ ST B, *AR4+ || SUB *AR5+, B ; 862
+ ST B, *AR4+ || SUB *AR5+0%, A ; 863
+ ST B, *AR4+ || SUB *AR5+0%, B ; 864
+ ST B, *AR4+0% || SUB *AR2, A ; 865
+ ST B, *AR4+0% || SUB *AR2, B ; 866
+ ST B, *AR4+0% || SUB *AR2-, A ; 867
+ ST B, *AR4+0% || SUB *AR2-, B ; 868
+ ST B, *AR4+0% || SUB *AR2+, A ; 869
+ ST B, *AR4+0% || SUB *AR2+, B ; 870
+ ST B, *AR4+0% || SUB *AR2+0%, A ; 871
+ ST B, *AR4+0% || SUB *AR2+0%, B ; 872
+ ST B, *AR4+0% || SUB *AR3, A ; 873
+ ST B, *AR4+0% || SUB *AR3, B ; 874
+ ST B, *AR4+0% || SUB *AR3-, A ; 875
+ ST B, *AR4+0% || SUB *AR3-, B ; 876
+ ST B, *AR4+0% || SUB *AR3+, A ; 877
+ ST B, *AR4+0% || SUB *AR3+, B ; 878
+ ST B, *AR4+0% || SUB *AR3+0%, A ; 879
+ ST B, *AR4+0% || SUB *AR3+0%, B ; 880
+ ST B, *AR4+0% || SUB *AR4, A ; 881
+ ST B, *AR4+0% || SUB *AR4, B ; 882
+ ST B, *AR4+0% || SUB *AR4-, A ; 883
+ ST B, *AR4+0% || SUB *AR4-, B ; 884
+ ST B, *AR4+0% || SUB *AR4+, A ; 885
+ ST B, *AR4+0% || SUB *AR4+, B ; 886
+ ST B, *AR4+0% || SUB *AR4+0%, A ; 887
+ ST B, *AR4+0% || SUB *AR4+0%, B ; 888
+ ST B, *AR4+0% || SUB *AR5, A ; 889
+ ST B, *AR4+0% || SUB *AR5, B ; 890
+ ST B, *AR4+0% || SUB *AR5-, A ; 891
+ ST B, *AR4+0% || SUB *AR5-, B ; 892
+ ST B, *AR4+0% || SUB *AR5+, A ; 893
+ ST B, *AR4+0% || SUB *AR5+, B ; 894
+ ST B, *AR4+0% || SUB *AR5+0%, A ; 895
+ ST B, *AR4+0% || SUB *AR5+0%, B ; 896
+ ST B, *AR5 || SUB *AR2, A ; 897
+ ST B, *AR5 || SUB *AR2, B ; 898
+ ST B, *AR5 || SUB *AR2-, A ; 899
+ ST B, *AR5 || SUB *AR2-, B ; 900
+ ST B, *AR5 || SUB *AR2+, A ; 901
+ ST B, *AR5 || SUB *AR2+, B ; 902
+ ST B, *AR5 || SUB *AR2+0%, A ; 903
+ ST B, *AR5 || SUB *AR2+0%, B ; 904
+ ST B, *AR5 || SUB *AR3, A ; 905
+ ST B, *AR5 || SUB *AR3, B ; 906
+ ST B, *AR5 || SUB *AR3-, A ; 907
+ ST B, *AR5 || SUB *AR3-, B ; 908
+ ST B, *AR5 || SUB *AR3+, A ; 909
+ ST B, *AR5 || SUB *AR3+, B ; 910
+ ST B, *AR5 || SUB *AR3+0%, A ; 911
+ ST B, *AR5 || SUB *AR3+0%, B ; 912
+ ST B, *AR5 || SUB *AR4, A ; 913
+ ST B, *AR5 || SUB *AR4, B ; 914
+ ST B, *AR5 || SUB *AR4-, A ; 915
+ ST B, *AR5 || SUB *AR4-, B ; 916
+ ST B, *AR5 || SUB *AR4+, A ; 917
+ ST B, *AR5 || SUB *AR4+, B ; 918
+ ST B, *AR5 || SUB *AR4+0%, A ; 919
+ ST B, *AR5 || SUB *AR4+0%, B ; 920
+ ST B, *AR5 || SUB *AR5, A ; 921
+ ST B, *AR5 || SUB *AR5, B ; 922
+ ST B, *AR5 || SUB *AR5-, A ; 923
+ ST B, *AR5 || SUB *AR5-, B ; 924
+ ST B, *AR5 || SUB *AR5+, A ; 925
+ ST B, *AR5 || SUB *AR5+, B ; 926
+ ST B, *AR5 || SUB *AR5+0%, A ; 927
+ ST B, *AR5 || SUB *AR5+0%, B ; 928
+ ST B, *AR5- || SUB *AR2, A ; 929
+ ST B, *AR5- || SUB *AR2, B ; 930
+ ST B, *AR5- || SUB *AR2-, A ; 931
+ ST B, *AR5- || SUB *AR2-, B ; 932
+ ST B, *AR5- || SUB *AR2+, A ; 933
+ ST B, *AR5- || SUB *AR2+, B ; 934
+ ST B, *AR5- || SUB *AR2+0%, A ; 935
+ ST B, *AR5- || SUB *AR2+0%, B ; 936
+ ST B, *AR5- || SUB *AR3, A ; 937
+ ST B, *AR5- || SUB *AR3, B ; 938
+ ST B, *AR5- || SUB *AR3-, A ; 939
+ ST B, *AR5- || SUB *AR3-, B ; 940
+ ST B, *AR5- || SUB *AR3+, A ; 941
+ ST B, *AR5- || SUB *AR3+, B ; 942
+ ST B, *AR5- || SUB *AR3+0%, A ; 943
+ ST B, *AR5- || SUB *AR3+0%, B ; 944
+ ST B, *AR5- || SUB *AR4, A ; 945
+ ST B, *AR5- || SUB *AR4, B ; 946
+ ST B, *AR5- || SUB *AR4-, A ; 947
+ ST B, *AR5- || SUB *AR4-, B ; 948
+ ST B, *AR5- || SUB *AR4+, A ; 949
+ ST B, *AR5- || SUB *AR4+, B ; 950
+ ST B, *AR5- || SUB *AR4+0%, A ; 951
+ ST B, *AR5- || SUB *AR4+0%, B ; 952
+ ST B, *AR5- || SUB *AR5, A ; 953
+ ST B, *AR5- || SUB *AR5, B ; 954
+ ST B, *AR5- || SUB *AR5-, A ; 955
+ ST B, *AR5- || SUB *AR5-, B ; 956
+ ST B, *AR5- || SUB *AR5+, A ; 957
+ ST B, *AR5- || SUB *AR5+, B ; 958
+ ST B, *AR5- || SUB *AR5+0%, A ; 959
+ ST B, *AR5- || SUB *AR5+0%, B ; 960
+ ST B, *AR5+ || SUB *AR2, A ; 961
+ ST B, *AR5+ || SUB *AR2, B ; 962
+ ST B, *AR5+ || SUB *AR2-, A ; 963
+ ST B, *AR5+ || SUB *AR2-, B ; 964
+ ST B, *AR5+ || SUB *AR2+, A ; 965
+ ST B, *AR5+ || SUB *AR2+, B ; 966
+ ST B, *AR5+ || SUB *AR2+0%, A ; 967
+ ST B, *AR5+ || SUB *AR2+0%, B ; 968
+ ST B, *AR5+ || SUB *AR3, A ; 969
+ ST B, *AR5+ || SUB *AR3, B ; 970
+ ST B, *AR5+ || SUB *AR3-, A ; 971
+ ST B, *AR5+ || SUB *AR3-, B ; 972
+ ST B, *AR5+ || SUB *AR3+, A ; 973
+ ST B, *AR5+ || SUB *AR3+, B ; 974
+ ST B, *AR5+ || SUB *AR3+0%, A ; 975
+ ST B, *AR5+ || SUB *AR3+0%, B ; 976
+ ST B, *AR5+ || SUB *AR4, A ; 977
+ ST B, *AR5+ || SUB *AR4, B ; 978
+ ST B, *AR5+ || SUB *AR4-, A ; 979
+ ST B, *AR5+ || SUB *AR4-, B ; 980
+ ST B, *AR5+ || SUB *AR4+, A ; 981
+ ST B, *AR5+ || SUB *AR4+, B ; 982
+ ST B, *AR5+ || SUB *AR4+0%, A ; 983
+ ST B, *AR5+ || SUB *AR4+0%, B ; 984
+ ST B, *AR5+ || SUB *AR5, A ; 985
+ ST B, *AR5+ || SUB *AR5, B ; 986
+ ST B, *AR5+ || SUB *AR5-, A ; 987
+ ST B, *AR5+ || SUB *AR5-, B ; 988
+ ST B, *AR5+ || SUB *AR5+, A ; 989
+ ST B, *AR5+ || SUB *AR5+, B ; 990
+ ST B, *AR5+ || SUB *AR5+0%, A ; 991
+ ST B, *AR5+ || SUB *AR5+0%, B ; 992
+ ST B, *AR5+0% || SUB *AR2, A ; 993
+ ST B, *AR5+0% || SUB *AR2, B ; 994
+ ST B, *AR5+0% || SUB *AR2-, A ; 995
+ ST B, *AR5+0% || SUB *AR2-, B ; 996
+ ST B, *AR5+0% || SUB *AR2+, A ; 997
+ ST B, *AR5+0% || SUB *AR2+, B ; 998
+ ST B, *AR5+0% || SUB *AR2+0%, A ; 999
+ ST B, *AR5+0% || SUB *AR2+0%, B ; 1000
+ ST B, *AR5+0% || SUB *AR3, A ; 1001
+ ST B, *AR5+0% || SUB *AR3, B ; 1002
+ ST B, *AR5+0% || SUB *AR3-, A ; 1003
+ ST B, *AR5+0% || SUB *AR3-, B ; 1004
+ ST B, *AR5+0% || SUB *AR3+, A ; 1005
+ ST B, *AR5+0% || SUB *AR3+, B ; 1006
+ ST B, *AR5+0% || SUB *AR3+0%, A ; 1007
+ ST B, *AR5+0% || SUB *AR3+0%, B ; 1008
+ ST B, *AR5+0% || SUB *AR4, A ; 1009
+ ST B, *AR5+0% || SUB *AR4, B ; 1010
+ ST B, *AR5+0% || SUB *AR4-, A ; 1011
+ ST B, *AR5+0% || SUB *AR4-, B ; 1012
+ ST B, *AR5+0% || SUB *AR4+, A ; 1013
+ ST B, *AR5+0% || SUB *AR4+, B ; 1014
+ ST B, *AR5+0% || SUB *AR4+0%, A ; 1015
+ ST B, *AR5+0% || SUB *AR4+0%, B ; 1016
+ ST B, *AR5+0% || SUB *AR5, A ; 1017
+ ST B, *AR5+0% || SUB *AR5, B ; 1018
+ ST B, *AR5+0% || SUB *AR5-, A ; 1019
+ ST B, *AR5+0% || SUB *AR5-, B ; 1020
+ ST B, *AR5+0% || SUB *AR5+, A ; 1021
+ ST B, *AR5+0% || SUB *AR5+, B ; 1022
+ ST B, *AR5+0% || SUB *AR5+0%, A ; 1023
+ ST B, *AR5+0% || SUB *AR5+0%, B ; 1024
+ ; STlk : ST lk, Smem : 1
+ ST #048B9h, 0Dh ; 1
+ ; STlk_I : ST lk, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ST #048BAh, *AR0 ; 1
+ ST #048BBh, *AR0- ; 2
+ ST #048BCh, *AR0+ ; 3
+ ST #048BDh, *+AR0 ; 4
+ ST #048BEh, *AR0-0B ; 5
+ ST #048BFh, *AR0-0 ; 6
+ ST #048C0h, *AR0+0 ; 7
+ ST #048C1h, *AR0+0B ; 8
+ ST #048C2h, *AR0-% ; 9
+ ST #048C3h, *AR0-0% ; 10
+ ST #048C4h, *AR0+% ; 11
+ ST #048C5h, *AR0+0% ; 12
+ ST #048C7h, *AR0(048C6h) ; 13
+ ST #048C9h, *+AR0(048C8h) ; 14
+ ST #048CBh, *+AR0(048CAh)% ; 15
+ ST #048CDh, *(048CCh) ; 16
+ ST #048CEh, *AR1 ; 17
+ ST #048CFh, *AR1- ; 18
+ ST #048D0h, *AR1+ ; 19
+ ST #048D1h, *+AR1 ; 20
+ ST #048D2h, *AR1-0B ; 21
+ ST #048D3h, *AR1-0 ; 22
+ ST #048D4h, *AR1+0 ; 23
+ ST #048D5h, *AR1+0B ; 24
+ ST #048D6h, *AR1-% ; 25
+ ST #048D7h, *AR1-0% ; 26
+ ST #048D8h, *AR1+% ; 27
+ ST #048D9h, *AR1+0% ; 28
+ ST #048DBh, *AR1(048DAh) ; 29
+ ST #048DDh, *+AR1(048DCh) ; 30
+ ST #048DFh, *+AR1(048DEh)% ; 31
+ ST #048E1h, *(048E0h) ; 32
+ ST #048E2h, *AR2 ; 33
+ ST #048E3h, *AR2- ; 34
+ ST #048E4h, *AR2+ ; 35
+ ST #048E5h, *+AR2 ; 36
+ ST #048E6h, *AR2-0B ; 37
+ ST #048E7h, *AR2-0 ; 38
+ ST #048E8h, *AR2+0 ; 39
+ ST #048E9h, *AR2+0B ; 40
+ ST #048EAh, *AR2-% ; 41
+ ST #048EBh, *AR2-0% ; 42
+ ST #048ECh, *AR2+% ; 43
+ ST #048EDh, *AR2+0% ; 44
+ ST #048EFh, *AR2(048EEh) ; 45
+ ST #048F1h, *+AR2(048F0h) ; 46
+ ST #048F3h, *+AR2(048F2h)% ; 47
+ ST #048F5h, *(048F4h) ; 48
+ ST #048F6h, *AR3 ; 49
+ ST #048F7h, *AR3- ; 50
+ ST #048F8h, *AR3+ ; 51
+ ST #048F9h, *+AR3 ; 52
+ ST #048FAh, *AR3-0B ; 53
+ ST #048FBh, *AR3-0 ; 54
+ ST #048FCh, *AR3+0 ; 55
+ ST #048FDh, *AR3+0B ; 56
+ ST #048FEh, *AR3-% ; 57
+ ST #048FFh, *AR3-0% ; 58
+ ST #04900h, *AR3+% ; 59
+ ST #04901h, *AR3+0% ; 60
+ ST #04903h, *AR3(04902h) ; 61
+ ST #04905h, *+AR3(04904h) ; 62
+ ST #04907h, *+AR3(04906h)% ; 63
+ ST #04909h, *(04908h) ; 64
+ ST #0490Ah, *AR4 ; 65
+ ST #0490Bh, *AR4- ; 66
+ ST #0490Ch, *AR4+ ; 67
+ ST #0490Dh, *+AR4 ; 68
+ ST #0490Eh, *AR4-0B ; 69
+ ST #0490Fh, *AR4-0 ; 70
+ ST #04910h, *AR4+0 ; 71
+ ST #04911h, *AR4+0B ; 72
+ ST #04912h, *AR4-% ; 73
+ ST #04913h, *AR4-0% ; 74
+ ST #04914h, *AR4+% ; 75
+ ST #04915h, *AR4+0% ; 76
+ ST #04917h, *AR4(04916h) ; 77
+ ST #04919h, *+AR4(04918h) ; 78
+ ST #0491Bh, *+AR4(0491Ah)% ; 79
+ ST #0491Dh, *(0491Ch) ; 80
+ ST #0491Eh, *AR5 ; 81
+ ST #0491Fh, *AR5- ; 82
+ ST #04920h, *AR5+ ; 83
+ ST #04921h, *+AR5 ; 84
+ ST #04922h, *AR5-0B ; 85
+ ST #04923h, *AR5-0 ; 86
+ ST #04924h, *AR5+0 ; 87
+ ST #04925h, *AR5+0B ; 88
+ ST #04926h, *AR5-% ; 89
+ ST #04927h, *AR5-0% ; 90
+ ST #04928h, *AR5+% ; 91
+ ST #04929h, *AR5+0% ; 92
+ ST #0492Bh, *AR5(0492Ah) ; 93
+ ST #0492Dh, *+AR5(0492Ch) ; 94
+ ST #0492Fh, *+AR5(0492Eh)% ; 95
+ ST #04931h, *(04930h) ; 96
+ ST #04932h, *AR6 ; 97
+ ST #04933h, *AR6- ; 98
+ ST #04934h, *AR6+ ; 99
+ ST #04935h, *+AR6 ; 100
+ ST #04936h, *AR6-0B ; 101
+ ST #04937h, *AR6-0 ; 102
+ ST #04938h, *AR6+0 ; 103
+ ST #04939h, *AR6+0B ; 104
+ ST #0493Ah, *AR6-% ; 105
+ ST #0493Bh, *AR6-0% ; 106
+ ST #0493Ch, *AR6+% ; 107
+ ST #0493Dh, *AR6+0% ; 108
+ ST #0493Fh, *AR6(0493Eh) ; 109
+ ST #04941h, *+AR6(04940h) ; 110
+ ST #04943h, *+AR6(04942h)% ; 111
+ ST #04945h, *(04944h) ; 112
+ ST #04946h, *AR7 ; 113
+ ST #04947h, *AR7- ; 114
+ ST #04948h, *AR7+ ; 115
+ ST #04949h, *+AR7 ; 116
+ ST #0494Ah, *AR7-0B ; 117
+ ST #0494Bh, *AR7-0 ; 118
+ ST #0494Ch, *AR7+0 ; 119
+ ST #0494Dh, *AR7+0B ; 120
+ ST #0494Eh, *AR7-% ; 121
+ ST #0494Fh, *AR7-0% ; 122
+ ST #04950h, *AR7+% ; 123
+ ST #04951h, *AR7+0% ; 124
+ ST #04953h, *AR7(04952h) ; 125
+ ST #04955h, *+AR7(04954h) ; 126
+ ST #04957h, *+AR7(04956h)% ; 127
+ ST #04959h, *(04958h) ; 128
+ ; STtreg : ST T, Smem : 1
+ ST T, 0Eh ; 1
+ ; STtreg_I : ST T, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ST T, *AR0 ; 1
+ ST T, *AR0- ; 2
+ ST T, *AR0+ ; 3
+ ST T, *+AR0 ; 4
+ ST T, *AR0-0B ; 5
+ ST T, *AR0-0 ; 6
+ ST T, *AR0+0 ; 7
+ ST T, *AR0+0B ; 8
+ ST T, *AR0-% ; 9
+ ST T, *AR0-0% ; 10
+ ST T, *AR0+% ; 11
+ ST T, *AR0+0% ; 12
+ ST T, *AR0(0495Ah) ; 13
+ ST T, *+AR0(0495Bh) ; 14
+ ST T, *+AR0(0495Ch)% ; 15
+ ST T, *(0495Dh) ; 16
+ ST T, *AR1 ; 17
+ ST T, *AR1- ; 18
+ ST T, *AR1+ ; 19
+ ST T, *+AR1 ; 20
+ ST T, *AR1-0B ; 21
+ ST T, *AR1-0 ; 22
+ ST T, *AR1+0 ; 23
+ ST T, *AR1+0B ; 24
+ ST T, *AR1-% ; 25
+ ST T, *AR1-0% ; 26
+ ST T, *AR1+% ; 27
+ ST T, *AR1+0% ; 28
+ ST T, *AR1(0495Eh) ; 29
+ ST T, *+AR1(0495Fh) ; 30
+ ST T, *+AR1(04960h)% ; 31
+ ST T, *(04961h) ; 32
+ ST T, *AR2 ; 33
+ ST T, *AR2- ; 34
+ ST T, *AR2+ ; 35
+ ST T, *+AR2 ; 36
+ ST T, *AR2-0B ; 37
+ ST T, *AR2-0 ; 38
+ ST T, *AR2+0 ; 39
+ ST T, *AR2+0B ; 40
+ ST T, *AR2-% ; 41
+ ST T, *AR2-0% ; 42
+ ST T, *AR2+% ; 43
+ ST T, *AR2+0% ; 44
+ ST T, *AR2(04962h) ; 45
+ ST T, *+AR2(04963h) ; 46
+ ST T, *+AR2(04964h)% ; 47
+ ST T, *(04965h) ; 48
+ ST T, *AR3 ; 49
+ ST T, *AR3- ; 50
+ ST T, *AR3+ ; 51
+ ST T, *+AR3 ; 52
+ ST T, *AR3-0B ; 53
+ ST T, *AR3-0 ; 54
+ ST T, *AR3+0 ; 55
+ ST T, *AR3+0B ; 56
+ ST T, *AR3-% ; 57
+ ST T, *AR3-0% ; 58
+ ST T, *AR3+% ; 59
+ ST T, *AR3+0% ; 60
+ ST T, *AR3(04966h) ; 61
+ ST T, *+AR3(04967h) ; 62
+ ST T, *+AR3(04968h)% ; 63
+ ST T, *(04969h) ; 64
+ ST T, *AR4 ; 65
+ ST T, *AR4- ; 66
+ ST T, *AR4+ ; 67
+ ST T, *+AR4 ; 68
+ ST T, *AR4-0B ; 69
+ ST T, *AR4-0 ; 70
+ ST T, *AR4+0 ; 71
+ ST T, *AR4+0B ; 72
+ ST T, *AR4-% ; 73
+ ST T, *AR4-0% ; 74
+ ST T, *AR4+% ; 75
+ ST T, *AR4+0% ; 76
+ ST T, *AR4(0496Ah) ; 77
+ ST T, *+AR4(0496Bh) ; 78
+ ST T, *+AR4(0496Ch)% ; 79
+ ST T, *(0496Dh) ; 80
+ ST T, *AR5 ; 81
+ ST T, *AR5- ; 82
+ ST T, *AR5+ ; 83
+ ST T, *+AR5 ; 84
+ ST T, *AR5-0B ; 85
+ ST T, *AR5-0 ; 86
+ ST T, *AR5+0 ; 87
+ ST T, *AR5+0B ; 88
+ ST T, *AR5-% ; 89
+ ST T, *AR5-0% ; 90
+ ST T, *AR5+% ; 91
+ ST T, *AR5+0% ; 92
+ ST T, *AR5(0496Eh) ; 93
+ ST T, *+AR5(0496Fh) ; 94
+ ST T, *+AR5(04970h)% ; 95
+ ST T, *(04971h) ; 96
+ ST T, *AR6 ; 97
+ ST T, *AR6- ; 98
+ ST T, *AR6+ ; 99
+ ST T, *+AR6 ; 100
+ ST T, *AR6-0B ; 101
+ ST T, *AR6-0 ; 102
+ ST T, *AR6+0 ; 103
+ ST T, *AR6+0B ; 104
+ ST T, *AR6-% ; 105
+ ST T, *AR6-0% ; 106
+ ST T, *AR6+% ; 107
+ ST T, *AR6+0% ; 108
+ ST T, *AR6(04972h) ; 109
+ ST T, *+AR6(04973h) ; 110
+ ST T, *+AR6(04974h)% ; 111
+ ST T, *(04975h) ; 112
+ ST T, *AR7 ; 113
+ ST T, *AR7- ; 114
+ ST T, *AR7+ ; 115
+ ST T, *+AR7 ; 116
+ ST T, *AR7-0B ; 117
+ ST T, *AR7-0 ; 118
+ ST T, *AR7+0 ; 119
+ ST T, *AR7+0B ; 120
+ ST T, *AR7-% ; 121
+ ST T, *AR7-0% ; 122
+ ST T, *AR7+% ; 123
+ ST T, *AR7+0% ; 124
+ ST T, *AR7(04976h) ; 125
+ ST T, *+AR7(04977h) ; 126
+ ST T, *+AR7(04978h)% ; 127
+ ST T, *(04979h) ; 128
+ ; STtrn : ST TRN, Smem : 1
+ ST TRN, 0Fh ; 1
+ ; STtrn_I : ST TRN, Smem_I : 128
+ ; Included Indirect Addressing Mode 3 due to Write Operation.
+ ST TRN, *AR0 ; 1
+ ST TRN, *AR0- ; 2
+ ST TRN, *AR0+ ; 3
+ ST TRN, *+AR0 ; 4
+ ST TRN, *AR0-0B ; 5
+ ST TRN, *AR0-0 ; 6
+ ST TRN, *AR0+0 ; 7
+ ST TRN, *AR0+0B ; 8
+ ST TRN, *AR0-% ; 9
+ ST TRN, *AR0-0% ; 10
+ ST TRN, *AR0+% ; 11
+ ST TRN, *AR0+0% ; 12
+ ST TRN, *AR0(0497Ah) ; 13
+ ST TRN, *+AR0(0497Bh) ; 14
+ ST TRN, *+AR0(0497Ch)% ; 15
+ ST TRN, *(0497Dh) ; 16
+ ST TRN, *AR1 ; 17
+ ST TRN, *AR1- ; 18
+ ST TRN, *AR1+ ; 19
+ ST TRN, *+AR1 ; 20
+ ST TRN, *AR1-0B ; 21
+ ST TRN, *AR1-0 ; 22
+ ST TRN, *AR1+0 ; 23
+ ST TRN, *AR1+0B ; 24
+ ST TRN, *AR1-% ; 25
+ ST TRN, *AR1-0% ; 26
+ ST TRN, *AR1+% ; 27
+ ST TRN, *AR1+0% ; 28
+ ST TRN, *AR1(0497Eh) ; 29
+ ST TRN, *+AR1(0497Fh) ; 30
+ ST TRN, *+AR1(04980h)% ; 31
+ ST TRN, *(04981h) ; 32
+ ST TRN, *AR2 ; 33
+ ST TRN, *AR2- ; 34
+ ST TRN, *AR2+ ; 35
+ ST TRN, *+AR2 ; 36
+ ST TRN, *AR2-0B ; 37
+ ST TRN, *AR2-0 ; 38
+ ST TRN, *AR2+0 ; 39
+ ST TRN, *AR2+0B ; 40
+ ST TRN, *AR2-% ; 41
+ ST TRN, *AR2-0% ; 42
+ ST TRN, *AR2+% ; 43
+ ST TRN, *AR2+0% ; 44
+ ST TRN, *AR2(04982h) ; 45
+ ST TRN, *+AR2(04983h) ; 46
+ ST TRN, *+AR2(04984h)% ; 47
+ ST TRN, *(04985h) ; 48
+ ST TRN, *AR3 ; 49
+ ST TRN, *AR3- ; 50
+ ST TRN, *AR3+ ; 51
+ ST TRN, *+AR3 ; 52
+ ST TRN, *AR3-0B ; 53
+ ST TRN, *AR3-0 ; 54
+ ST TRN, *AR3+0 ; 55
+ ST TRN, *AR3+0B ; 56
+ ST TRN, *AR3-% ; 57
+ ST TRN, *AR3-0% ; 58
+ ST TRN, *AR3+% ; 59
+ ST TRN, *AR3+0% ; 60
+ ST TRN, *AR3(04986h) ; 61
+ ST TRN, *+AR3(04987h) ; 62
+ ST TRN, *+AR3(04988h)% ; 63
+ ST TRN, *(04989h) ; 64
+ ST TRN, *AR4 ; 65
+ ST TRN, *AR4- ; 66
+ ST TRN, *AR4+ ; 67
+ ST TRN, *+AR4 ; 68
+ ST TRN, *AR4-0B ; 69
+ ST TRN, *AR4-0 ; 70
+ ST TRN, *AR4+0 ; 71
+ ST TRN, *AR4+0B ; 72
+ ST TRN, *AR4-% ; 73
+ ST TRN, *AR4-0% ; 74
+ ST TRN, *AR4+% ; 75
+ ST TRN, *AR4+0% ; 76
+ ST TRN, *AR4(0498Ah) ; 77
+ ST TRN, *+AR4(0498Bh) ; 78
+ ST TRN, *+AR4(0498Ch)% ; 79
+ ST TRN, *(0498Dh) ; 80
+ ST TRN, *AR5 ; 81
+ ST TRN, *AR5- ; 82
+ ST TRN, *AR5+ ; 83
+ ST TRN, *+AR5 ; 84
+ ST TRN, *AR5-0B ; 85
+ ST TRN, *AR5-0 ; 86
+ ST TRN, *AR5+0 ; 87
+ ST TRN, *AR5+0B ; 88
+ ST TRN, *AR5-% ; 89
+ ST TRN, *AR5-0% ; 90
+ ST TRN, *AR5+% ; 91
+ ST TRN, *AR5+0% ; 92
+ ST TRN, *AR5(0498Eh) ; 93
+ ST TRN, *+AR5(0498Fh) ; 94
+ ST TRN, *+AR5(04990h)% ; 95
+ ST TRN, *(04991h) ; 96
+ ST TRN, *AR6 ; 97
+ ST TRN, *AR6- ; 98
+ ST TRN, *AR6+ ; 99
+ ST TRN, *+AR6 ; 100
+ ST TRN, *AR6-0B ; 101
+ ST TRN, *AR6-0 ; 102
+ ST TRN, *AR6+0 ; 103
+ ST TRN, *AR6+0B ; 104
+ ST TRN, *AR6-% ; 105
+ ST TRN, *AR6-0% ; 106
+ ST TRN, *AR6+% ; 107
+ ST TRN, *AR6+0% ; 108
+ ST TRN, *AR6(04992h) ; 109
+ ST TRN, *+AR6(04993h) ; 110
+ ST TRN, *+AR6(04994h)% ; 111
+ ST TRN, *(04995h) ; 112
+ ST TRN, *AR7 ; 113
+ ST TRN, *AR7- ; 114
+ ST TRN, *AR7+ ; 115
+ ST TRN, *+AR7 ; 116
+ ST TRN, *AR7-0B ; 117
+ ST TRN, *AR7-0 ; 118
+ ST TRN, *AR7+0 ; 119
+ ST TRN, *AR7+0B ; 120
+ ST TRN, *AR7-% ; 121
+ ST TRN, *AR7-0% ; 122
+ ST TRN, *AR7+% ; 123
+ ST TRN, *AR7+0% ; 124
+ ST TRN, *AR7(04996h) ; 125
+ ST TRN, *+AR7(04997h) ; 126
+ ST TRN, *+AR7(04998h)% ; 127
+ ST TRN, *(04999h) ; 128
+ ; SUB16lk : SUB lk, 16, AB, AB2 : 6
+ SUB #0499Ah, 16, A, A ; 1
+ SUB #0499Bh, 16, A, B ; 2
+ SUB #0499Ch, 16, A ; 3
+ SUB #0499Dh, 16, B, A ; 4
+ SUB #0499Eh, 16, B, B ; 5
+ SUB #0499Fh, 16, B ; 6
+ ; SUB16smem : SUB Smem, 16, AB, AB2 : 6
+ SUB 10h, 16, A, A ; 1
+ SUB 11h, 16, A, B ; 2
+ SUB 12h, 16, A ; 3
+ SUB 13h, 16, B, A ; 4
+ SUB 14h, 16, B, B ; 5
+ SUB 15h, 16, B ; 6
+ ; SUB16smem_I : SUB Smem_I, 16, AB, AB2 : 720
+ SUB *AR0, 16, A, A ; 1
+ SUB *AR0, 16, A, B ; 2
+ SUB *AR0, 16, A ; 3
+ SUB *AR0, 16, B, A ; 4
+ SUB *AR0, 16, B, B ; 5
+ SUB *AR0, 16, B ; 6
+ SUB *AR0-, 16, A, A ; 7
+ SUB *AR0-, 16, A, B ; 8
+ SUB *AR0-, 16, A ; 9
+ SUB *AR0-, 16, B, A ; 10
+ SUB *AR0-, 16, B, B ; 11
+ SUB *AR0-, 16, B ; 12
+ SUB *AR0+, 16, A, A ; 13
+ SUB *AR0+, 16, A, B ; 14
+ SUB *AR0+, 16, A ; 15
+ SUB *AR0+, 16, B, A ; 16
+ SUB *AR0+, 16, B, B ; 17
+ SUB *AR0+, 16, B ; 18
+ SUB *AR0-0B, 16, A, A ; 19
+ SUB *AR0-0B, 16, A, B ; 20
+ SUB *AR0-0B, 16, A ; 21
+ SUB *AR0-0B, 16, B, A ; 22
+ SUB *AR0-0B, 16, B, B ; 23
+ SUB *AR0-0B, 16, B ; 24
+ SUB *AR0-0, 16, A, A ; 25
+ SUB *AR0-0, 16, A, B ; 26
+ SUB *AR0-0, 16, A ; 27
+ SUB *AR0-0, 16, B, A ; 28
+ SUB *AR0-0, 16, B, B ; 29
+ SUB *AR0-0, 16, B ; 30
+ SUB *AR0+0, 16, A, A ; 31
+ SUB *AR0+0, 16, A, B ; 32
+ SUB *AR0+0, 16, A ; 33
+ SUB *AR0+0, 16, B, A ; 34
+ SUB *AR0+0, 16, B, B ; 35
+ SUB *AR0+0, 16, B ; 36
+ SUB *AR0+0B, 16, A, A ; 37
+ SUB *AR0+0B, 16, A, B ; 38
+ SUB *AR0+0B, 16, A ; 39
+ SUB *AR0+0B, 16, B, A ; 40
+ SUB *AR0+0B, 16, B, B ; 41
+ SUB *AR0+0B, 16, B ; 42
+ SUB *AR0-%, 16, A, A ; 43
+ SUB *AR0-%, 16, A, B ; 44
+ SUB *AR0-%, 16, A ; 45
+ SUB *AR0-%, 16, B, A ; 46
+ SUB *AR0-%, 16, B, B ; 47
+ SUB *AR0-%, 16, B ; 48
+ SUB *AR0-0%, 16, A, A ; 49
+ SUB *AR0-0%, 16, A, B ; 50
+ SUB *AR0-0%, 16, A ; 51
+ SUB *AR0-0%, 16, B, A ; 52
+ SUB *AR0-0%, 16, B, B ; 53
+ SUB *AR0-0%, 16, B ; 54
+ SUB *AR0+%, 16, A, A ; 55
+ SUB *AR0+%, 16, A, B ; 56
+ SUB *AR0+%, 16, A ; 57
+ SUB *AR0+%, 16, B, A ; 58
+ SUB *AR0+%, 16, B, B ; 59
+ SUB *AR0+%, 16, B ; 60
+ SUB *AR0+0%, 16, A, A ; 61
+ SUB *AR0+0%, 16, A, B ; 62
+ SUB *AR0+0%, 16, A ; 63
+ SUB *AR0+0%, 16, B, A ; 64
+ SUB *AR0+0%, 16, B, B ; 65
+ SUB *AR0+0%, 16, B ; 66
+ SUB *AR0(049A0h), 16, A, A ; 67
+ SUB *AR0(049A1h), 16, A, B ; 68
+ SUB *AR0(049A2h), 16, A ; 69
+ SUB *AR0(049A3h), 16, B, A ; 70
+ SUB *AR0(049A4h), 16, B, B ; 71
+ SUB *AR0(049A5h), 16, B ; 72
+ SUB *+AR0(049A6h), 16, A, A ; 73
+ SUB *+AR0(049A7h), 16, A, B ; 74
+ SUB *+AR0(049A8h), 16, A ; 75
+ SUB *+AR0(049A9h), 16, B, A ; 76
+ SUB *+AR0(049AAh), 16, B, B ; 77
+ SUB *+AR0(049ABh), 16, B ; 78
+ SUB *+AR0(049ACh)%, 16, A, A ; 79
+ SUB *+AR0(049ADh)%, 16, A, B ; 80
+ SUB *+AR0(049AEh)%, 16, A ; 81
+ SUB *+AR0(049AFh)%, 16, B, A ; 82
+ SUB *+AR0(049B0h)%, 16, B, B ; 83
+ SUB *+AR0(049B1h)%, 16, B ; 84
+ SUB *(049B2h), 16, A, A ; 85
+ SUB *(049B3h), 16, A, B ; 86
+ SUB *(049B4h), 16, A ; 87
+ SUB *(049B5h), 16, B, A ; 88
+ SUB *(049B6h), 16, B, B ; 89
+ SUB *(049B7h), 16, B ; 90
+ SUB *AR1, 16, A, A ; 91
+ SUB *AR1, 16, A, B ; 92
+ SUB *AR1, 16, A ; 93
+ SUB *AR1, 16, B, A ; 94
+ SUB *AR1, 16, B, B ; 95
+ SUB *AR1, 16, B ; 96
+ SUB *AR1-, 16, A, A ; 97
+ SUB *AR1-, 16, A, B ; 98
+ SUB *AR1-, 16, A ; 99
+ SUB *AR1-, 16, B, A ; 100
+ SUB *AR1-, 16, B, B ; 101
+ SUB *AR1-, 16, B ; 102
+ SUB *AR1+, 16, A, A ; 103
+ SUB *AR1+, 16, A, B ; 104
+ SUB *AR1+, 16, A ; 105
+ SUB *AR1+, 16, B, A ; 106
+ SUB *AR1+, 16, B, B ; 107
+ SUB *AR1+, 16, B ; 108
+ SUB *AR1-0B, 16, A, A ; 109
+ SUB *AR1-0B, 16, A, B ; 110
+ SUB *AR1-0B, 16, A ; 111
+ SUB *AR1-0B, 16, B, A ; 112
+ SUB *AR1-0B, 16, B, B ; 113
+ SUB *AR1-0B, 16, B ; 114
+ SUB *AR1-0, 16, A, A ; 115
+ SUB *AR1-0, 16, A, B ; 116
+ SUB *AR1-0, 16, A ; 117
+ SUB *AR1-0, 16, B, A ; 118
+ SUB *AR1-0, 16, B, B ; 119
+ SUB *AR1-0, 16, B ; 120
+ SUB *AR1+0, 16, A, A ; 121
+ SUB *AR1+0, 16, A, B ; 122
+ SUB *AR1+0, 16, A ; 123
+ SUB *AR1+0, 16, B, A ; 124
+ SUB *AR1+0, 16, B, B ; 125
+ SUB *AR1+0, 16, B ; 126
+ SUB *AR1+0B, 16, A, A ; 127
+ SUB *AR1+0B, 16, A, B ; 128
+ SUB *AR1+0B, 16, A ; 129
+ SUB *AR1+0B, 16, B, A ; 130
+ SUB *AR1+0B, 16, B, B ; 131
+ SUB *AR1+0B, 16, B ; 132
+ SUB *AR1-%, 16, A, A ; 133
+ SUB *AR1-%, 16, A, B ; 134
+ SUB *AR1-%, 16, A ; 135
+ SUB *AR1-%, 16, B, A ; 136
+ SUB *AR1-%, 16, B, B ; 137
+ SUB *AR1-%, 16, B ; 138
+ SUB *AR1-0%, 16, A, A ; 139
+ SUB *AR1-0%, 16, A, B ; 140
+ SUB *AR1-0%, 16, A ; 141
+ SUB *AR1-0%, 16, B, A ; 142
+ SUB *AR1-0%, 16, B, B ; 143
+ SUB *AR1-0%, 16, B ; 144
+ SUB *AR1+%, 16, A, A ; 145
+ SUB *AR1+%, 16, A, B ; 146
+ SUB *AR1+%, 16, A ; 147
+ SUB *AR1+%, 16, B, A ; 148
+ SUB *AR1+%, 16, B, B ; 149
+ SUB *AR1+%, 16, B ; 150
+ SUB *AR1+0%, 16, A, A ; 151
+ SUB *AR1+0%, 16, A, B ; 152
+ SUB *AR1+0%, 16, A ; 153
+ SUB *AR1+0%, 16, B, A ; 154
+ SUB *AR1+0%, 16, B, B ; 155
+ SUB *AR1+0%, 16, B ; 156
+ SUB *AR1(049B8h), 16, A, A ; 157
+ SUB *AR1(049B9h), 16, A, B ; 158
+ SUB *AR1(049BAh), 16, A ; 159
+ SUB *AR1(049BBh), 16, B, A ; 160
+ SUB *AR1(049BCh), 16, B, B ; 161
+ SUB *AR1(049BDh), 16, B ; 162
+ SUB *+AR1(049BEh), 16, A, A ; 163
+ SUB *+AR1(049BFh), 16, A, B ; 164
+ SUB *+AR1(049C0h), 16, A ; 165
+ SUB *+AR1(049C1h), 16, B, A ; 166
+ SUB *+AR1(049C2h), 16, B, B ; 167
+ SUB *+AR1(049C3h), 16, B ; 168
+ SUB *+AR1(049C4h)%, 16, A, A ; 169
+ SUB *+AR1(049C5h)%, 16, A, B ; 170
+ SUB *+AR1(049C6h)%, 16, A ; 171
+ SUB *+AR1(049C7h)%, 16, B, A ; 172
+ SUB *+AR1(049C8h)%, 16, B, B ; 173
+ SUB *+AR1(049C9h)%, 16, B ; 174
+ SUB *(049CAh), 16, A, A ; 175
+ SUB *(049CBh), 16, A, B ; 176
+ SUB *(049CCh), 16, A ; 177
+ SUB *(049CDh), 16, B, A ; 178
+ SUB *(049CEh), 16, B, B ; 179
+ SUB *(049CFh), 16, B ; 180
+ SUB *AR2, 16, A, A ; 181
+ SUB *AR2, 16, A, B ; 182
+ SUB *AR2, 16, A ; 183
+ SUB *AR2, 16, B, A ; 184
+ SUB *AR2, 16, B, B ; 185
+ SUB *AR2, 16, B ; 186
+ SUB *AR2-, 16, A, A ; 187
+ SUB *AR2-, 16, A, B ; 188
+ SUB *AR2-, 16, A ; 189
+ SUB *AR2-, 16, B, A ; 190
+ SUB *AR2-, 16, B, B ; 191
+ SUB *AR2-, 16, B ; 192
+ SUB *AR2+, 16, A, A ; 193
+ SUB *AR2+, 16, A, B ; 194
+ SUB *AR2+, 16, A ; 195
+ SUB *AR2+, 16, B, A ; 196
+ SUB *AR2+, 16, B, B ; 197
+ SUB *AR2+, 16, B ; 198
+ SUB *AR2-0B, 16, A, A ; 199
+ SUB *AR2-0B, 16, A, B ; 200
+ SUB *AR2-0B, 16, A ; 201
+ SUB *AR2-0B, 16, B, A ; 202
+ SUB *AR2-0B, 16, B, B ; 203
+ SUB *AR2-0B, 16, B ; 204
+ SUB *AR2-0, 16, A, A ; 205
+ SUB *AR2-0, 16, A, B ; 206
+ SUB *AR2-0, 16, A ; 207
+ SUB *AR2-0, 16, B, A ; 208
+ SUB *AR2-0, 16, B, B ; 209
+ SUB *AR2-0, 16, B ; 210
+ SUB *AR2+0, 16, A, A ; 211
+ SUB *AR2+0, 16, A, B ; 212
+ SUB *AR2+0, 16, A ; 213
+ SUB *AR2+0, 16, B, A ; 214
+ SUB *AR2+0, 16, B, B ; 215
+ SUB *AR2+0, 16, B ; 216
+ SUB *AR2+0B, 16, A, A ; 217
+ SUB *AR2+0B, 16, A, B ; 218
+ SUB *AR2+0B, 16, A ; 219
+ SUB *AR2+0B, 16, B, A ; 220
+ SUB *AR2+0B, 16, B, B ; 221
+ SUB *AR2+0B, 16, B ; 222
+ SUB *AR2-%, 16, A, A ; 223
+ SUB *AR2-%, 16, A, B ; 224
+ SUB *AR2-%, 16, A ; 225
+ SUB *AR2-%, 16, B, A ; 226
+ SUB *AR2-%, 16, B, B ; 227
+ SUB *AR2-%, 16, B ; 228
+ SUB *AR2-0%, 16, A, A ; 229
+ SUB *AR2-0%, 16, A, B ; 230
+ SUB *AR2-0%, 16, A ; 231
+ SUB *AR2-0%, 16, B, A ; 232
+ SUB *AR2-0%, 16, B, B ; 233
+ SUB *AR2-0%, 16, B ; 234
+ SUB *AR2+%, 16, A, A ; 235
+ SUB *AR2+%, 16, A, B ; 236
+ SUB *AR2+%, 16, A ; 237
+ SUB *AR2+%, 16, B, A ; 238
+ SUB *AR2+%, 16, B, B ; 239
+ SUB *AR2+%, 16, B ; 240
+ SUB *AR2+0%, 16, A, A ; 241
+ SUB *AR2+0%, 16, A, B ; 242
+ SUB *AR2+0%, 16, A ; 243
+ SUB *AR2+0%, 16, B, A ; 244
+ SUB *AR2+0%, 16, B, B ; 245
+ SUB *AR2+0%, 16, B ; 246
+ SUB *AR2(049D0h), 16, A, A ; 247
+ SUB *AR2(049D1h), 16, A, B ; 248
+ SUB *AR2(049D2h), 16, A ; 249
+ SUB *AR2(049D3h), 16, B, A ; 250
+ SUB *AR2(049D4h), 16, B, B ; 251
+ SUB *AR2(049D5h), 16, B ; 252
+ SUB *+AR2(049D6h), 16, A, A ; 253
+ SUB *+AR2(049D7h), 16, A, B ; 254
+ SUB *+AR2(049D8h), 16, A ; 255
+ SUB *+AR2(049D9h), 16, B, A ; 256
+ SUB *+AR2(049DAh), 16, B, B ; 257
+ SUB *+AR2(049DBh), 16, B ; 258
+ SUB *+AR2(049DCh)%, 16, A, A ; 259
+ SUB *+AR2(049DDh)%, 16, A, B ; 260
+ SUB *+AR2(049DEh)%, 16, A ; 261
+ SUB *+AR2(049DFh)%, 16, B, A ; 262
+ SUB *+AR2(049E0h)%, 16, B, B ; 263
+ SUB *+AR2(049E1h)%, 16, B ; 264
+ SUB *(049E2h), 16, A, A ; 265
+ SUB *(049E3h), 16, A, B ; 266
+ SUB *(049E4h), 16, A ; 267
+ SUB *(049E5h), 16, B, A ; 268
+ SUB *(049E6h), 16, B, B ; 269
+ SUB *(049E7h), 16, B ; 270
+ SUB *AR3, 16, A, A ; 271
+ SUB *AR3, 16, A, B ; 272
+ SUB *AR3, 16, A ; 273
+ SUB *AR3, 16, B, A ; 274
+ SUB *AR3, 16, B, B ; 275
+ SUB *AR3, 16, B ; 276
+ SUB *AR3-, 16, A, A ; 277
+ SUB *AR3-, 16, A, B ; 278
+ SUB *AR3-, 16, A ; 279
+ SUB *AR3-, 16, B, A ; 280
+ SUB *AR3-, 16, B, B ; 281
+ SUB *AR3-, 16, B ; 282
+ SUB *AR3+, 16, A, A ; 283
+ SUB *AR3+, 16, A, B ; 284
+ SUB *AR3+, 16, A ; 285
+ SUB *AR3+, 16, B, A ; 286
+ SUB *AR3+, 16, B, B ; 287
+ SUB *AR3+, 16, B ; 288
+ SUB *AR3-0B, 16, A, A ; 289
+ SUB *AR3-0B, 16, A, B ; 290
+ SUB *AR3-0B, 16, A ; 291
+ SUB *AR3-0B, 16, B, A ; 292
+ SUB *AR3-0B, 16, B, B ; 293
+ SUB *AR3-0B, 16, B ; 294
+ SUB *AR3-0, 16, A, A ; 295
+ SUB *AR3-0, 16, A, B ; 296
+ SUB *AR3-0, 16, A ; 297
+ SUB *AR3-0, 16, B, A ; 298
+ SUB *AR3-0, 16, B, B ; 299
+ SUB *AR3-0, 16, B ; 300
+ SUB *AR3+0, 16, A, A ; 301
+ SUB *AR3+0, 16, A, B ; 302
+ SUB *AR3+0, 16, A ; 303
+ SUB *AR3+0, 16, B, A ; 304
+ SUB *AR3+0, 16, B, B ; 305
+ SUB *AR3+0, 16, B ; 306
+ SUB *AR3+0B, 16, A, A ; 307
+ SUB *AR3+0B, 16, A, B ; 308
+ SUB *AR3+0B, 16, A ; 309
+ SUB *AR3+0B, 16, B, A ; 310
+ SUB *AR3+0B, 16, B, B ; 311
+ SUB *AR3+0B, 16, B ; 312
+ SUB *AR3-%, 16, A, A ; 313
+ SUB *AR3-%, 16, A, B ; 314
+ SUB *AR3-%, 16, A ; 315
+ SUB *AR3-%, 16, B, A ; 316
+ SUB *AR3-%, 16, B, B ; 317
+ SUB *AR3-%, 16, B ; 318
+ SUB *AR3-0%, 16, A, A ; 319
+ SUB *AR3-0%, 16, A, B ; 320
+ SUB *AR3-0%, 16, A ; 321
+ SUB *AR3-0%, 16, B, A ; 322
+ SUB *AR3-0%, 16, B, B ; 323
+ SUB *AR3-0%, 16, B ; 324
+ SUB *AR3+%, 16, A, A ; 325
+ SUB *AR3+%, 16, A, B ; 326
+ SUB *AR3+%, 16, A ; 327
+ SUB *AR3+%, 16, B, A ; 328
+ SUB *AR3+%, 16, B, B ; 329
+ SUB *AR3+%, 16, B ; 330
+ SUB *AR3+0%, 16, A, A ; 331
+ SUB *AR3+0%, 16, A, B ; 332
+ SUB *AR3+0%, 16, A ; 333
+ SUB *AR3+0%, 16, B, A ; 334
+ SUB *AR3+0%, 16, B, B ; 335
+ SUB *AR3+0%, 16, B ; 336
+ SUB *AR3(049E8h), 16, A, A ; 337
+ SUB *AR3(049E9h), 16, A, B ; 338
+ SUB *AR3(049EAh), 16, A ; 339
+ SUB *AR3(049EBh), 16, B, A ; 340
+ SUB *AR3(049ECh), 16, B, B ; 341
+ SUB *AR3(049EDh), 16, B ; 342
+ SUB *+AR3(049EEh), 16, A, A ; 343
+ SUB *+AR3(049EFh), 16, A, B ; 344
+ SUB *+AR3(049F0h), 16, A ; 345
+ SUB *+AR3(049F1h), 16, B, A ; 346
+ SUB *+AR3(049F2h), 16, B, B ; 347
+ SUB *+AR3(049F3h), 16, B ; 348
+ SUB *+AR3(049F4h)%, 16, A, A ; 349
+ SUB *+AR3(049F5h)%, 16, A, B ; 350
+ SUB *+AR3(049F6h)%, 16, A ; 351
+ SUB *+AR3(049F7h)%, 16, B, A ; 352
+ SUB *+AR3(049F8h)%, 16, B, B ; 353
+ SUB *+AR3(049F9h)%, 16, B ; 354
+ SUB *(049FAh), 16, A, A ; 355
+ SUB *(049FBh), 16, A, B ; 356
+ SUB *(049FCh), 16, A ; 357
+ SUB *(049FDh), 16, B, A ; 358
+ SUB *(049FEh), 16, B, B ; 359
+ SUB *(049FFh), 16, B ; 360
+ SUB *AR4, 16, A, A ; 361
+ SUB *AR4, 16, A, B ; 362
+ SUB *AR4, 16, A ; 363
+ SUB *AR4, 16, B, A ; 364
+ SUB *AR4, 16, B, B ; 365
+ SUB *AR4, 16, B ; 366
+ SUB *AR4-, 16, A, A ; 367
+ SUB *AR4-, 16, A, B ; 368
+ SUB *AR4-, 16, A ; 369
+ SUB *AR4-, 16, B, A ; 370
+ SUB *AR4-, 16, B, B ; 371
+ SUB *AR4-, 16, B ; 372
+ SUB *AR4+, 16, A, A ; 373
+ SUB *AR4+, 16, A, B ; 374
+ SUB *AR4+, 16, A ; 375
+ SUB *AR4+, 16, B, A ; 376
+ SUB *AR4+, 16, B, B ; 377
+ SUB *AR4+, 16, B ; 378
+ SUB *AR4-0B, 16, A, A ; 379
+ SUB *AR4-0B, 16, A, B ; 380
+ SUB *AR4-0B, 16, A ; 381
+ SUB *AR4-0B, 16, B, A ; 382
+ SUB *AR4-0B, 16, B, B ; 383
+ SUB *AR4-0B, 16, B ; 384
+ SUB *AR4-0, 16, A, A ; 385
+ SUB *AR4-0, 16, A, B ; 386
+ SUB *AR4-0, 16, A ; 387
+ SUB *AR4-0, 16, B, A ; 388
+ SUB *AR4-0, 16, B, B ; 389
+ SUB *AR4-0, 16, B ; 390
+ SUB *AR4+0, 16, A, A ; 391
+ SUB *AR4+0, 16, A, B ; 392
+ SUB *AR4+0, 16, A ; 393
+ SUB *AR4+0, 16, B, A ; 394
+ SUB *AR4+0, 16, B, B ; 395
+ SUB *AR4+0, 16, B ; 396
+ SUB *AR4+0B, 16, A, A ; 397
+ SUB *AR4+0B, 16, A, B ; 398
+ SUB *AR4+0B, 16, A ; 399
+ SUB *AR4+0B, 16, B, A ; 400
+ SUB *AR4+0B, 16, B, B ; 401
+ SUB *AR4+0B, 16, B ; 402
+ SUB *AR4-%, 16, A, A ; 403
+ SUB *AR4-%, 16, A, B ; 404
+ SUB *AR4-%, 16, A ; 405
+ SUB *AR4-%, 16, B, A ; 406
+ SUB *AR4-%, 16, B, B ; 407
+ SUB *AR4-%, 16, B ; 408
+ SUB *AR4-0%, 16, A, A ; 409
+ SUB *AR4-0%, 16, A, B ; 410
+ SUB *AR4-0%, 16, A ; 411
+ SUB *AR4-0%, 16, B, A ; 412
+ SUB *AR4-0%, 16, B, B ; 413
+ SUB *AR4-0%, 16, B ; 414
+ SUB *AR4+%, 16, A, A ; 415
+ SUB *AR4+%, 16, A, B ; 416
+ SUB *AR4+%, 16, A ; 417
+ SUB *AR4+%, 16, B, A ; 418
+ SUB *AR4+%, 16, B, B ; 419
+ SUB *AR4+%, 16, B ; 420
+ SUB *AR4+0%, 16, A, A ; 421
+ SUB *AR4+0%, 16, A, B ; 422
+ SUB *AR4+0%, 16, A ; 423
+ SUB *AR4+0%, 16, B, A ; 424
+ SUB *AR4+0%, 16, B, B ; 425
+ SUB *AR4+0%, 16, B ; 426
+ SUB *AR4(04A00h), 16, A, A ; 427
+ SUB *AR4(04A01h), 16, A, B ; 428
+ SUB *AR4(04A02h), 16, A ; 429
+ SUB *AR4(04A03h), 16, B, A ; 430
+ SUB *AR4(04A04h), 16, B, B ; 431
+ SUB *AR4(04A05h), 16, B ; 432
+ SUB *+AR4(04A06h), 16, A, A ; 433
+ SUB *+AR4(04A07h), 16, A, B ; 434
+ SUB *+AR4(04A08h), 16, A ; 435
+ SUB *+AR4(04A09h), 16, B, A ; 436
+ SUB *+AR4(04A0Ah), 16, B, B ; 437
+ SUB *+AR4(04A0Bh), 16, B ; 438
+ SUB *+AR4(04A0Ch)%, 16, A, A ; 439
+ SUB *+AR4(04A0Dh)%, 16, A, B ; 440
+ SUB *+AR4(04A0Eh)%, 16, A ; 441
+ SUB *+AR4(04A0Fh)%, 16, B, A ; 442
+ SUB *+AR4(04A10h)%, 16, B, B ; 443
+ SUB *+AR4(04A11h)%, 16, B ; 444
+ SUB *(04A12h), 16, A, A ; 445
+ SUB *(04A13h), 16, A, B ; 446
+ SUB *(04A14h), 16, A ; 447
+ SUB *(04A15h), 16, B, A ; 448
+ SUB *(04A16h), 16, B, B ; 449
+ SUB *(04A17h), 16, B ; 450
+ SUB *AR5, 16, A, A ; 451
+ SUB *AR5, 16, A, B ; 452
+ SUB *AR5, 16, A ; 453
+ SUB *AR5, 16, B, A ; 454
+ SUB *AR5, 16, B, B ; 455
+ SUB *AR5, 16, B ; 456
+ SUB *AR5-, 16, A, A ; 457
+ SUB *AR5-, 16, A, B ; 458
+ SUB *AR5-, 16, A ; 459
+ SUB *AR5-, 16, B, A ; 460
+ SUB *AR5-, 16, B, B ; 461
+ SUB *AR5-, 16, B ; 462
+ SUB *AR5+, 16, A, A ; 463
+ SUB *AR5+, 16, A, B ; 464
+ SUB *AR5+, 16, A ; 465
+ SUB *AR5+, 16, B, A ; 466
+ SUB *AR5+, 16, B, B ; 467
+ SUB *AR5+, 16, B ; 468
+ SUB *AR5-0B, 16, A, A ; 469
+ SUB *AR5-0B, 16, A, B ; 470
+ SUB *AR5-0B, 16, A ; 471
+ SUB *AR5-0B, 16, B, A ; 472
+ SUB *AR5-0B, 16, B, B ; 473
+ SUB *AR5-0B, 16, B ; 474
+ SUB *AR5-0, 16, A, A ; 475
+ SUB *AR5-0, 16, A, B ; 476
+ SUB *AR5-0, 16, A ; 477
+ SUB *AR5-0, 16, B, A ; 478
+ SUB *AR5-0, 16, B, B ; 479
+ SUB *AR5-0, 16, B ; 480
+ SUB *AR5+0, 16, A, A ; 481
+ SUB *AR5+0, 16, A, B ; 482
+ SUB *AR5+0, 16, A ; 483
+ SUB *AR5+0, 16, B, A ; 484
+ SUB *AR5+0, 16, B, B ; 485
+ SUB *AR5+0, 16, B ; 486
+ SUB *AR5+0B, 16, A, A ; 487
+ SUB *AR5+0B, 16, A, B ; 488
+ SUB *AR5+0B, 16, A ; 489
+ SUB *AR5+0B, 16, B, A ; 490
+ SUB *AR5+0B, 16, B, B ; 491
+ SUB *AR5+0B, 16, B ; 492
+ SUB *AR5-%, 16, A, A ; 493
+ SUB *AR5-%, 16, A, B ; 494
+ SUB *AR5-%, 16, A ; 495
+ SUB *AR5-%, 16, B, A ; 496
+ SUB *AR5-%, 16, B, B ; 497
+ SUB *AR5-%, 16, B ; 498
+ SUB *AR5-0%, 16, A, A ; 499
+ SUB *AR5-0%, 16, A, B ; 500
+ SUB *AR5-0%, 16, A ; 501
+ SUB *AR5-0%, 16, B, A ; 502
+ SUB *AR5-0%, 16, B, B ; 503
+ SUB *AR5-0%, 16, B ; 504
+ SUB *AR5+%, 16, A, A ; 505
+ SUB *AR5+%, 16, A, B ; 506
+ SUB *AR5+%, 16, A ; 507
+ SUB *AR5+%, 16, B, A ; 508
+ SUB *AR5+%, 16, B, B ; 509
+ SUB *AR5+%, 16, B ; 510
+ SUB *AR5+0%, 16, A, A ; 511
+ SUB *AR5+0%, 16, A, B ; 512
+ SUB *AR5+0%, 16, A ; 513
+ SUB *AR5+0%, 16, B, A ; 514
+ SUB *AR5+0%, 16, B, B ; 515
+ SUB *AR5+0%, 16, B ; 516
+ SUB *AR5(04A18h), 16, A, A ; 517
+ SUB *AR5(04A19h), 16, A, B ; 518
+ SUB *AR5(04A1Ah), 16, A ; 519
+ SUB *AR5(04A1Bh), 16, B, A ; 520
+ SUB *AR5(04A1Ch), 16, B, B ; 521
+ SUB *AR5(04A1Dh), 16, B ; 522
+ SUB *+AR5(04A1Eh), 16, A, A ; 523
+ SUB *+AR5(04A1Fh), 16, A, B ; 524
+ SUB *+AR5(04A20h), 16, A ; 525
+ SUB *+AR5(04A21h), 16, B, A ; 526
+ SUB *+AR5(04A22h), 16, B, B ; 527
+ SUB *+AR5(04A23h), 16, B ; 528
+ SUB *+AR5(04A24h)%, 16, A, A ; 529
+ SUB *+AR5(04A25h)%, 16, A, B ; 530
+ SUB *+AR5(04A26h)%, 16, A ; 531
+ SUB *+AR5(04A27h)%, 16, B, A ; 532
+ SUB *+AR5(04A28h)%, 16, B, B ; 533
+ SUB *+AR5(04A29h)%, 16, B ; 534
+ SUB *(04A2Ah), 16, A, A ; 535
+ SUB *(04A2Bh), 16, A, B ; 536
+ SUB *(04A2Ch), 16, A ; 537
+ SUB *(04A2Dh), 16, B, A ; 538
+ SUB *(04A2Eh), 16, B, B ; 539
+ SUB *(04A2Fh), 16, B ; 540
+ SUB *AR6, 16, A, A ; 541
+ SUB *AR6, 16, A, B ; 542
+ SUB *AR6, 16, A ; 543
+ SUB *AR6, 16, B, A ; 544
+ SUB *AR6, 16, B, B ; 545
+ SUB *AR6, 16, B ; 546
+ SUB *AR6-, 16, A, A ; 547
+ SUB *AR6-, 16, A, B ; 548
+ SUB *AR6-, 16, A ; 549
+ SUB *AR6-, 16, B, A ; 550
+ SUB *AR6-, 16, B, B ; 551
+ SUB *AR6-, 16, B ; 552
+ SUB *AR6+, 16, A, A ; 553
+ SUB *AR6+, 16, A, B ; 554
+ SUB *AR6+, 16, A ; 555
+ SUB *AR6+, 16, B, A ; 556
+ SUB *AR6+, 16, B, B ; 557
+ SUB *AR6+, 16, B ; 558
+ SUB *AR6-0B, 16, A, A ; 559
+ SUB *AR6-0B, 16, A, B ; 560
+ SUB *AR6-0B, 16, A ; 561
+ SUB *AR6-0B, 16, B, A ; 562
+ SUB *AR6-0B, 16, B, B ; 563
+ SUB *AR6-0B, 16, B ; 564
+ SUB *AR6-0, 16, A, A ; 565
+ SUB *AR6-0, 16, A, B ; 566
+ SUB *AR6-0, 16, A ; 567
+ SUB *AR6-0, 16, B, A ; 568
+ SUB *AR6-0, 16, B, B ; 569
+ SUB *AR6-0, 16, B ; 570
+ SUB *AR6+0, 16, A, A ; 571
+ SUB *AR6+0, 16, A, B ; 572
+ SUB *AR6+0, 16, A ; 573
+ SUB *AR6+0, 16, B, A ; 574
+ SUB *AR6+0, 16, B, B ; 575
+ SUB *AR6+0, 16, B ; 576
+ SUB *AR6+0B, 16, A, A ; 577
+ SUB *AR6+0B, 16, A, B ; 578
+ SUB *AR6+0B, 16, A ; 579
+ SUB *AR6+0B, 16, B, A ; 580
+ SUB *AR6+0B, 16, B, B ; 581
+ SUB *AR6+0B, 16, B ; 582
+ SUB *AR6-%, 16, A, A ; 583
+ SUB *AR6-%, 16, A, B ; 584
+ SUB *AR6-%, 16, A ; 585
+ SUB *AR6-%, 16, B, A ; 586
+ SUB *AR6-%, 16, B, B ; 587
+ SUB *AR6-%, 16, B ; 588
+ SUB *AR6-0%, 16, A, A ; 589
+ SUB *AR6-0%, 16, A, B ; 590
+ SUB *AR6-0%, 16, A ; 591
+ SUB *AR6-0%, 16, B, A ; 592
+ SUB *AR6-0%, 16, B, B ; 593
+ SUB *AR6-0%, 16, B ; 594
+ SUB *AR6+%, 16, A, A ; 595
+ SUB *AR6+%, 16, A, B ; 596
+ SUB *AR6+%, 16, A ; 597
+ SUB *AR6+%, 16, B, A ; 598
+ SUB *AR6+%, 16, B, B ; 599
+ SUB *AR6+%, 16, B ; 600
+ SUB *AR6+0%, 16, A, A ; 601
+ SUB *AR6+0%, 16, A, B ; 602
+ SUB *AR6+0%, 16, A ; 603
+ SUB *AR6+0%, 16, B, A ; 604
+ SUB *AR6+0%, 16, B, B ; 605
+ SUB *AR6+0%, 16, B ; 606
+ SUB *AR6(04A30h), 16, A, A ; 607
+ SUB *AR6(04A31h), 16, A, B ; 608
+ SUB *AR6(04A32h), 16, A ; 609
+ SUB *AR6(04A33h), 16, B, A ; 610
+ SUB *AR6(04A34h), 16, B, B ; 611
+ SUB *AR6(04A35h), 16, B ; 612
+ SUB *+AR6(04A36h), 16, A, A ; 613
+ SUB *+AR6(04A37h), 16, A, B ; 614
+ SUB *+AR6(04A38h), 16, A ; 615
+ SUB *+AR6(04A39h), 16, B, A ; 616
+ SUB *+AR6(04A3Ah), 16, B, B ; 617
+ SUB *+AR6(04A3Bh), 16, B ; 618
+ SUB *+AR6(04A3Ch)%, 16, A, A ; 619
+ SUB *+AR6(04A3Dh)%, 16, A, B ; 620
+ SUB *+AR6(04A3Eh)%, 16, A ; 621
+ SUB *+AR6(04A3Fh)%, 16, B, A ; 622
+ SUB *+AR6(04A40h)%, 16, B, B ; 623
+ SUB *+AR6(04A41h)%, 16, B ; 624
+ SUB *(04A42h), 16, A, A ; 625
+ SUB *(04A43h), 16, A, B ; 626
+ SUB *(04A44h), 16, A ; 627
+ SUB *(04A45h), 16, B, A ; 628
+ SUB *(04A46h), 16, B, B ; 629
+ SUB *(04A47h), 16, B ; 630
+ SUB *AR7, 16, A, A ; 631
+ SUB *AR7, 16, A, B ; 632
+ SUB *AR7, 16, A ; 633
+ SUB *AR7, 16, B, A ; 634
+ SUB *AR7, 16, B, B ; 635
+ SUB *AR7, 16, B ; 636
+ SUB *AR7-, 16, A, A ; 637
+ SUB *AR7-, 16, A, B ; 638
+ SUB *AR7-, 16, A ; 639
+ SUB *AR7-, 16, B, A ; 640
+ SUB *AR7-, 16, B, B ; 641
+ SUB *AR7-, 16, B ; 642
+ SUB *AR7+, 16, A, A ; 643
+ SUB *AR7+, 16, A, B ; 644
+ SUB *AR7+, 16, A ; 645
+ SUB *AR7+, 16, B, A ; 646
+ SUB *AR7+, 16, B, B ; 647
+ SUB *AR7+, 16, B ; 648
+ SUB *AR7-0B, 16, A, A ; 649
+ SUB *AR7-0B, 16, A, B ; 650
+ SUB *AR7-0B, 16, A ; 651
+ SUB *AR7-0B, 16, B, A ; 652
+ SUB *AR7-0B, 16, B, B ; 653
+ SUB *AR7-0B, 16, B ; 654
+ SUB *AR7-0, 16, A, A ; 655
+ SUB *AR7-0, 16, A, B ; 656
+ SUB *AR7-0, 16, A ; 657
+ SUB *AR7-0, 16, B, A ; 658
+ SUB *AR7-0, 16, B, B ; 659
+ SUB *AR7-0, 16, B ; 660
+ SUB *AR7+0, 16, A, A ; 661
+ SUB *AR7+0, 16, A, B ; 662
+ SUB *AR7+0, 16, A ; 663
+ SUB *AR7+0, 16, B, A ; 664
+ SUB *AR7+0, 16, B, B ; 665
+ SUB *AR7+0, 16, B ; 666
+ SUB *AR7+0B, 16, A, A ; 667
+ SUB *AR7+0B, 16, A, B ; 668
+ SUB *AR7+0B, 16, A ; 669
+ SUB *AR7+0B, 16, B, A ; 670
+ SUB *AR7+0B, 16, B, B ; 671
+ SUB *AR7+0B, 16, B ; 672
+ SUB *AR7-%, 16, A, A ; 673
+ SUB *AR7-%, 16, A, B ; 674
+ SUB *AR7-%, 16, A ; 675
+ SUB *AR7-%, 16, B, A ; 676
+ SUB *AR7-%, 16, B, B ; 677
+ SUB *AR7-%, 16, B ; 678
+ SUB *AR7-0%, 16, A, A ; 679
+ SUB *AR7-0%, 16, A, B ; 680
+ SUB *AR7-0%, 16, A ; 681
+ SUB *AR7-0%, 16, B, A ; 682
+ SUB *AR7-0%, 16, B, B ; 683
+ SUB *AR7-0%, 16, B ; 684
+ SUB *AR7+%, 16, A, A ; 685
+ SUB *AR7+%, 16, A, B ; 686
+ SUB *AR7+%, 16, A ; 687
+ SUB *AR7+%, 16, B, A ; 688
+ SUB *AR7+%, 16, B, B ; 689
+ SUB *AR7+%, 16, B ; 690
+ SUB *AR7+0%, 16, A, A ; 691
+ SUB *AR7+0%, 16, A, B ; 692
+ SUB *AR7+0%, 16, A ; 693
+ SUB *AR7+0%, 16, B, A ; 694
+ SUB *AR7+0%, 16, B, B ; 695
+ SUB *AR7+0%, 16, B ; 696
+ SUB *AR7(04A48h), 16, A, A ; 697
+ SUB *AR7(04A49h), 16, A, B ; 698
+ SUB *AR7(04A4Ah), 16, A ; 699
+ SUB *AR7(04A4Bh), 16, B, A ; 700
+ SUB *AR7(04A4Ch), 16, B, B ; 701
+ SUB *AR7(04A4Dh), 16, B ; 702
+ SUB *+AR7(04A4Eh), 16, A, A ; 703
+ SUB *+AR7(04A4Fh), 16, A, B ; 704
+ SUB *+AR7(04A50h), 16, A ; 705
+ SUB *+AR7(04A51h), 16, B, A ; 706
+ SUB *+AR7(04A52h), 16, B, B ; 707
+ SUB *+AR7(04A53h), 16, B ; 708
+ SUB *+AR7(04A54h)%, 16, A, A ; 709
+ SUB *+AR7(04A55h)%, 16, A, B ; 710
+ SUB *+AR7(04A56h)%, 16, A ; 711
+ SUB *+AR7(04A57h)%, 16, B, A ; 712
+ SUB *+AR7(04A58h)%, 16, B, B ; 713
+ SUB *+AR7(04A59h)%, 16, B ; 714
+ SUB *(04A5Ah), 16, A, A ; 715
+ SUB *(04A5Bh), 16, A, B ; 716
+ SUB *(04A5Ch), 16, A ; 717
+ SUB *(04A5Dh), 16, B, A ; 718
+ SUB *(04A5Eh), 16, B, B ; 719
+ SUB *(04A5Fh), 16, B ; 720
+ ; SUBB : SUBB Smem, AB : 2
+ SUBB 16h, A ; 1
+ SUBB 17h, B ; 2
+ ; SUBB_I : SUBB Smem_I, AB : 240
+ SUBB *AR0, A ; 1
+ SUBB *AR0, B ; 2
+ SUBB *AR0-, A ; 3
+ SUBB *AR0-, B ; 4
+ SUBB *AR0+, A ; 5
+ SUBB *AR0+, B ; 6
+ SUBB *AR0-0B, A ; 7
+ SUBB *AR0-0B, B ; 8
+ SUBB *AR0-0, A ; 9
+ SUBB *AR0-0, B ; 10
+ SUBB *AR0+0, A ; 11
+ SUBB *AR0+0, B ; 12
+ SUBB *AR0+0B, A ; 13
+ SUBB *AR0+0B, B ; 14
+ SUBB *AR0-%, A ; 15
+ SUBB *AR0-%, B ; 16
+ SUBB *AR0-0%, A ; 17
+ SUBB *AR0-0%, B ; 18
+ SUBB *AR0+%, A ; 19
+ SUBB *AR0+%, B ; 20
+ SUBB *AR0+0%, A ; 21
+ SUBB *AR0+0%, B ; 22
+ SUBB *AR0(04A60h), A ; 23
+ SUBB *AR0(04A61h), B ; 24
+ SUBB *+AR0(04A62h), A ; 25
+ SUBB *+AR0(04A63h), B ; 26
+ SUBB *+AR0(04A64h)%, A ; 27
+ SUBB *+AR0(04A65h)%, B ; 28
+ SUBB *(04A66h), A ; 29
+ SUBB *(04A67h), B ; 30
+ SUBB *AR1, A ; 31
+ SUBB *AR1, B ; 32
+ SUBB *AR1-, A ; 33
+ SUBB *AR1-, B ; 34
+ SUBB *AR1+, A ; 35
+ SUBB *AR1+, B ; 36
+ SUBB *AR1-0B, A ; 37
+ SUBB *AR1-0B, B ; 38
+ SUBB *AR1-0, A ; 39
+ SUBB *AR1-0, B ; 40
+ SUBB *AR1+0, A ; 41
+ SUBB *AR1+0, B ; 42
+ SUBB *AR1+0B, A ; 43
+ SUBB *AR1+0B, B ; 44
+ SUBB *AR1-%, A ; 45
+ SUBB *AR1-%, B ; 46
+ SUBB *AR1-0%, A ; 47
+ SUBB *AR1-0%, B ; 48
+ SUBB *AR1+%, A ; 49
+ SUBB *AR1+%, B ; 50
+ SUBB *AR1+0%, A ; 51
+ SUBB *AR1+0%, B ; 52
+ SUBB *AR1(04A68h), A ; 53
+ SUBB *AR1(04A69h), B ; 54
+ SUBB *+AR1(04A6Ah), A ; 55
+ SUBB *+AR1(04A6Bh), B ; 56
+ SUBB *+AR1(04A6Ch)%, A ; 57
+ SUBB *+AR1(04A6Dh)%, B ; 58
+ SUBB *(04A6Eh), A ; 59
+ SUBB *(04A6Fh), B ; 60
+ SUBB *AR2, A ; 61
+ SUBB *AR2, B ; 62
+ SUBB *AR2-, A ; 63
+ SUBB *AR2-, B ; 64
+ SUBB *AR2+, A ; 65
+ SUBB *AR2+, B ; 66
+ SUBB *AR2-0B, A ; 67
+ SUBB *AR2-0B, B ; 68
+ SUBB *AR2-0, A ; 69
+ SUBB *AR2-0, B ; 70
+ SUBB *AR2+0, A ; 71
+ SUBB *AR2+0, B ; 72
+ SUBB *AR2+0B, A ; 73
+ SUBB *AR2+0B, B ; 74
+ SUBB *AR2-%, A ; 75
+ SUBB *AR2-%, B ; 76
+ SUBB *AR2-0%, A ; 77
+ SUBB *AR2-0%, B ; 78
+ SUBB *AR2+%, A ; 79
+ SUBB *AR2+%, B ; 80
+ SUBB *AR2+0%, A ; 81
+ SUBB *AR2+0%, B ; 82
+ SUBB *AR2(04A70h), A ; 83
+ SUBB *AR2(04A71h), B ; 84
+ SUBB *+AR2(04A72h), A ; 85
+ SUBB *+AR2(04A73h), B ; 86
+ SUBB *+AR2(04A74h)%, A ; 87
+ SUBB *+AR2(04A75h)%, B ; 88
+ SUBB *(04A76h), A ; 89
+ SUBB *(04A77h), B ; 90
+ SUBB *AR3, A ; 91
+ SUBB *AR3, B ; 92
+ SUBB *AR3-, A ; 93
+ SUBB *AR3-, B ; 94
+ SUBB *AR3+, A ; 95
+ SUBB *AR3+, B ; 96
+ SUBB *AR3-0B, A ; 97
+ SUBB *AR3-0B, B ; 98
+ SUBB *AR3-0, A ; 99
+ SUBB *AR3-0, B ; 100
+ SUBB *AR3+0, A ; 101
+ SUBB *AR3+0, B ; 102
+ SUBB *AR3+0B, A ; 103
+ SUBB *AR3+0B, B ; 104
+ SUBB *AR3-%, A ; 105
+ SUBB *AR3-%, B ; 106
+ SUBB *AR3-0%, A ; 107
+ SUBB *AR3-0%, B ; 108
+ SUBB *AR3+%, A ; 109
+ SUBB *AR3+%, B ; 110
+ SUBB *AR3+0%, A ; 111
+ SUBB *AR3+0%, B ; 112
+ SUBB *AR3(04A78h), A ; 113
+ SUBB *AR3(04A79h), B ; 114
+ SUBB *+AR3(04A7Ah), A ; 115
+ SUBB *+AR3(04A7Bh), B ; 116
+ SUBB *+AR3(04A7Ch)%, A ; 117
+ SUBB *+AR3(04A7Dh)%, B ; 118
+ SUBB *(04A7Eh), A ; 119
+ SUBB *(04A7Fh), B ; 120
+ SUBB *AR4, A ; 121
+ SUBB *AR4, B ; 122
+ SUBB *AR4-, A ; 123
+ SUBB *AR4-, B ; 124
+ SUBB *AR4+, A ; 125
+ SUBB *AR4+, B ; 126
+ SUBB *AR4-0B, A ; 127
+ SUBB *AR4-0B, B ; 128
+ SUBB *AR4-0, A ; 129
+ SUBB *AR4-0, B ; 130
+ SUBB *AR4+0, A ; 131
+ SUBB *AR4+0, B ; 132
+ SUBB *AR4+0B, A ; 133
+ SUBB *AR4+0B, B ; 134
+ SUBB *AR4-%, A ; 135
+ SUBB *AR4-%, B ; 136
+ SUBB *AR4-0%, A ; 137
+ SUBB *AR4-0%, B ; 138
+ SUBB *AR4+%, A ; 139
+ SUBB *AR4+%, B ; 140
+ SUBB *AR4+0%, A ; 141
+ SUBB *AR4+0%, B ; 142
+ SUBB *AR4(04A80h), A ; 143
+ SUBB *AR4(04A81h), B ; 144
+ SUBB *+AR4(04A82h), A ; 145
+ SUBB *+AR4(04A83h), B ; 146
+ SUBB *+AR4(04A84h)%, A ; 147
+ SUBB *+AR4(04A85h)%, B ; 148
+ SUBB *(04A86h), A ; 149
+ SUBB *(04A87h), B ; 150
+ SUBB *AR5, A ; 151
+ SUBB *AR5, B ; 152
+ SUBB *AR5-, A ; 153
+ SUBB *AR5-, B ; 154
+ SUBB *AR5+, A ; 155
+ SUBB *AR5+, B ; 156
+ SUBB *AR5-0B, A ; 157
+ SUBB *AR5-0B, B ; 158
+ SUBB *AR5-0, A ; 159
+ SUBB *AR5-0, B ; 160
+ SUBB *AR5+0, A ; 161
+ SUBB *AR5+0, B ; 162
+ SUBB *AR5+0B, A ; 163
+ SUBB *AR5+0B, B ; 164
+ SUBB *AR5-%, A ; 165
+ SUBB *AR5-%, B ; 166
+ SUBB *AR5-0%, A ; 167
+ SUBB *AR5-0%, B ; 168
+ SUBB *AR5+%, A ; 169
+ SUBB *AR5+%, B ; 170
+ SUBB *AR5+0%, A ; 171
+ SUBB *AR5+0%, B ; 172
+ SUBB *AR5(04A88h), A ; 173
+ SUBB *AR5(04A89h), B ; 174
+ SUBB *+AR5(04A8Ah), A ; 175
+ SUBB *+AR5(04A8Bh), B ; 176
+ SUBB *+AR5(04A8Ch)%, A ; 177
+ SUBB *+AR5(04A8Dh)%, B ; 178
+ SUBB *(04A8Eh), A ; 179
+ SUBB *(04A8Fh), B ; 180
+ SUBB *AR6, A ; 181
+ SUBB *AR6, B ; 182
+ SUBB *AR6-, A ; 183
+ SUBB *AR6-, B ; 184
+ SUBB *AR6+, A ; 185
+ SUBB *AR6+, B ; 186
+ SUBB *AR6-0B, A ; 187
+ SUBB *AR6-0B, B ; 188
+ SUBB *AR6-0, A ; 189
+ SUBB *AR6-0, B ; 190
+ SUBB *AR6+0, A ; 191
+ SUBB *AR6+0, B ; 192
+ SUBB *AR6+0B, A ; 193
+ SUBB *AR6+0B, B ; 194
+ SUBB *AR6-%, A ; 195
+ SUBB *AR6-%, B ; 196
+ SUBB *AR6-0%, A ; 197
+ SUBB *AR6-0%, B ; 198
+ SUBB *AR6+%, A ; 199
+ SUBB *AR6+%, B ; 200
+ SUBB *AR6+0%, A ; 201
+ SUBB *AR6+0%, B ; 202
+ SUBB *AR6(04A90h), A ; 203
+ SUBB *AR6(04A91h), B ; 204
+ SUBB *+AR6(04A92h), A ; 205
+ SUBB *+AR6(04A93h), B ; 206
+ SUBB *+AR6(04A94h)%, A ; 207
+ SUBB *+AR6(04A95h)%, B ; 208
+ SUBB *(04A96h), A ; 209
+ SUBB *(04A97h), B ; 210
+ SUBB *AR7, A ; 211
+ SUBB *AR7, B ; 212
+ SUBB *AR7-, A ; 213
+ SUBB *AR7-, B ; 214
+ SUBB *AR7+, A ; 215
+ SUBB *AR7+, B ; 216
+ SUBB *AR7-0B, A ; 217
+ SUBB *AR7-0B, B ; 218
+ SUBB *AR7-0, A ; 219
+ SUBB *AR7-0, B ; 220
+ SUBB *AR7+0, A ; 221
+ SUBB *AR7+0, B ; 222
+ SUBB *AR7+0B, A ; 223
+ SUBB *AR7+0B, B ; 224
+ SUBB *AR7-%, A ; 225
+ SUBB *AR7-%, B ; 226
+ SUBB *AR7-0%, A ; 227
+ SUBB *AR7-0%, B ; 228
+ SUBB *AR7+%, A ; 229
+ SUBB *AR7+%, B ; 230
+ SUBB *AR7+0%, A ; 231
+ SUBB *AR7+0%, B ; 232
+ SUBB *AR7(04A98h), A ; 233
+ SUBB *AR7(04A99h), B ; 234
+ SUBB *+AR7(04A9Ah), A ; 235
+ SUBB *+AR7(04A9Bh), B ; 236
+ SUBB *+AR7(04A9Ch)%, A ; 237
+ SUBB *+AR7(04A9Dh)%, B ; 238
+ SUBB *(04A9Eh), A ; 239
+ SUBB *(04A9Fh), B ; 240
+ ; SUBC : SUBC Smem, AB : 2
+ SUBC 18h, A ; 1
+ SUBC 19h, B ; 2
+ ; SUBC_I : SUBC Smem_I, AB : 240
+ SUBC *AR0, A ; 1
+ SUBC *AR0, B ; 2
+ SUBC *AR0-, A ; 3
+ SUBC *AR0-, B ; 4
+ SUBC *AR0+, A ; 5
+ SUBC *AR0+, B ; 6
+ SUBC *AR0-0B, A ; 7
+ SUBC *AR0-0B, B ; 8
+ SUBC *AR0-0, A ; 9
+ SUBC *AR0-0, B ; 10
+ SUBC *AR0+0, A ; 11
+ SUBC *AR0+0, B ; 12
+ SUBC *AR0+0B, A ; 13
+ SUBC *AR0+0B, B ; 14
+ SUBC *AR0-%, A ; 15
+ SUBC *AR0-%, B ; 16
+ SUBC *AR0-0%, A ; 17
+ SUBC *AR0-0%, B ; 18
+ SUBC *AR0+%, A ; 19
+ SUBC *AR0+%, B ; 20
+ SUBC *AR0+0%, A ; 21
+ SUBC *AR0+0%, B ; 22
+ SUBC *AR0(04AA0h), A ; 23
+ SUBC *AR0(04AA1h), B ; 24
+ SUBC *+AR0(04AA2h), A ; 25
+ SUBC *+AR0(04AA3h), B ; 26
+ SUBC *+AR0(04AA4h)%, A ; 27
+ SUBC *+AR0(04AA5h)%, B ; 28
+ SUBC *(04AA6h), A ; 29
+ SUBC *(04AA7h), B ; 30
+ SUBC *AR1, A ; 31
+ SUBC *AR1, B ; 32
+ SUBC *AR1-, A ; 33
+ SUBC *AR1-, B ; 34
+ SUBC *AR1+, A ; 35
+ SUBC *AR1+, B ; 36
+ SUBC *AR1-0B, A ; 37
+ SUBC *AR1-0B, B ; 38
+ SUBC *AR1-0, A ; 39
+ SUBC *AR1-0, B ; 40
+ SUBC *AR1+0, A ; 41
+ SUBC *AR1+0, B ; 42
+ SUBC *AR1+0B, A ; 43
+ SUBC *AR1+0B, B ; 44
+ SUBC *AR1-%, A ; 45
+ SUBC *AR1-%, B ; 46
+ SUBC *AR1-0%, A ; 47
+ SUBC *AR1-0%, B ; 48
+ SUBC *AR1+%, A ; 49
+ SUBC *AR1+%, B ; 50
+ SUBC *AR1+0%, A ; 51
+ SUBC *AR1+0%, B ; 52
+ SUBC *AR1(04AA8h), A ; 53
+ SUBC *AR1(04AA9h), B ; 54
+ SUBC *+AR1(04AAAh), A ; 55
+ SUBC *+AR1(04AABh), B ; 56
+ SUBC *+AR1(04AACh)%, A ; 57
+ SUBC *+AR1(04AADh)%, B ; 58
+ SUBC *(04AAEh), A ; 59
+ SUBC *(04AAFh), B ; 60
+ SUBC *AR2, A ; 61
+ SUBC *AR2, B ; 62
+ SUBC *AR2-, A ; 63
+ SUBC *AR2-, B ; 64
+ SUBC *AR2+, A ; 65
+ SUBC *AR2+, B ; 66
+ SUBC *AR2-0B, A ; 67
+ SUBC *AR2-0B, B ; 68
+ SUBC *AR2-0, A ; 69
+ SUBC *AR2-0, B ; 70
+ SUBC *AR2+0, A ; 71
+ SUBC *AR2+0, B ; 72
+ SUBC *AR2+0B, A ; 73
+ SUBC *AR2+0B, B ; 74
+ SUBC *AR2-%, A ; 75
+ SUBC *AR2-%, B ; 76
+ SUBC *AR2-0%, A ; 77
+ SUBC *AR2-0%, B ; 78
+ SUBC *AR2+%, A ; 79
+ SUBC *AR2+%, B ; 80
+ SUBC *AR2+0%, A ; 81
+ SUBC *AR2+0%, B ; 82
+ SUBC *AR2(04AB0h), A ; 83
+ SUBC *AR2(04AB1h), B ; 84
+ SUBC *+AR2(04AB2h), A ; 85
+ SUBC *+AR2(04AB3h), B ; 86
+ SUBC *+AR2(04AB4h)%, A ; 87
+ SUBC *+AR2(04AB5h)%, B ; 88
+ SUBC *(04AB6h), A ; 89
+ SUBC *(04AB7h), B ; 90
+ SUBC *AR3, A ; 91
+ SUBC *AR3, B ; 92
+ SUBC *AR3-, A ; 93
+ SUBC *AR3-, B ; 94
+ SUBC *AR3+, A ; 95
+ SUBC *AR3+, B ; 96
+ SUBC *AR3-0B, A ; 97
+ SUBC *AR3-0B, B ; 98
+ SUBC *AR3-0, A ; 99
+ SUBC *AR3-0, B ; 100
+ SUBC *AR3+0, A ; 101
+ SUBC *AR3+0, B ; 102
+ SUBC *AR3+0B, A ; 103
+ SUBC *AR3+0B, B ; 104
+ SUBC *AR3-%, A ; 105
+ SUBC *AR3-%, B ; 106
+ SUBC *AR3-0%, A ; 107
+ SUBC *AR3-0%, B ; 108
+ SUBC *AR3+%, A ; 109
+ SUBC *AR3+%, B ; 110
+ SUBC *AR3+0%, A ; 111
+ SUBC *AR3+0%, B ; 112
+ SUBC *AR3(04AB8h), A ; 113
+ SUBC *AR3(04AB9h), B ; 114
+ SUBC *+AR3(04ABAh), A ; 115
+ SUBC *+AR3(04ABBh), B ; 116
+ SUBC *+AR3(04ABCh)%, A ; 117
+ SUBC *+AR3(04ABDh)%, B ; 118
+ SUBC *(04ABEh), A ; 119
+ SUBC *(04ABFh), B ; 120
+ SUBC *AR4, A ; 121
+ SUBC *AR4, B ; 122
+ SUBC *AR4-, A ; 123
+ SUBC *AR4-, B ; 124
+ SUBC *AR4+, A ; 125
+ SUBC *AR4+, B ; 126
+ SUBC *AR4-0B, A ; 127
+ SUBC *AR4-0B, B ; 128
+ SUBC *AR4-0, A ; 129
+ SUBC *AR4-0, B ; 130
+ SUBC *AR4+0, A ; 131
+ SUBC *AR4+0, B ; 132
+ SUBC *AR4+0B, A ; 133
+ SUBC *AR4+0B, B ; 134
+ SUBC *AR4-%, A ; 135
+ SUBC *AR4-%, B ; 136
+ SUBC *AR4-0%, A ; 137
+ SUBC *AR4-0%, B ; 138
+ SUBC *AR4+%, A ; 139
+ SUBC *AR4+%, B ; 140
+ SUBC *AR4+0%, A ; 141
+ SUBC *AR4+0%, B ; 142
+ SUBC *AR4(04AC0h), A ; 143
+ SUBC *AR4(04AC1h), B ; 144
+ SUBC *+AR4(04AC2h), A ; 145
+ SUBC *+AR4(04AC3h), B ; 146
+ SUBC *+AR4(04AC4h)%, A ; 147
+ SUBC *+AR4(04AC5h)%, B ; 148
+ SUBC *(04AC6h), A ; 149
+ SUBC *(04AC7h), B ; 150
+ SUBC *AR5, A ; 151
+ SUBC *AR5, B ; 152
+ SUBC *AR5-, A ; 153
+ SUBC *AR5-, B ; 154
+ SUBC *AR5+, A ; 155
+ SUBC *AR5+, B ; 156
+ SUBC *AR5-0B, A ; 157
+ SUBC *AR5-0B, B ; 158
+ SUBC *AR5-0, A ; 159
+ SUBC *AR5-0, B ; 160
+ SUBC *AR5+0, A ; 161
+ SUBC *AR5+0, B ; 162
+ SUBC *AR5+0B, A ; 163
+ SUBC *AR5+0B, B ; 164
+ SUBC *AR5-%, A ; 165
+ SUBC *AR5-%, B ; 166
+ SUBC *AR5-0%, A ; 167
+ SUBC *AR5-0%, B ; 168
+ SUBC *AR5+%, A ; 169
+ SUBC *AR5+%, B ; 170
+ SUBC *AR5+0%, A ; 171
+ SUBC *AR5+0%, B ; 172
+ SUBC *AR5(04AC8h), A ; 173
+ SUBC *AR5(04AC9h), B ; 174
+ SUBC *+AR5(04ACAh), A ; 175
+ SUBC *+AR5(04ACBh), B ; 176
+ SUBC *+AR5(04ACCh)%, A ; 177
+ SUBC *+AR5(04ACDh)%, B ; 178
+ SUBC *(04ACEh), A ; 179
+ SUBC *(04ACFh), B ; 180
+ SUBC *AR6, A ; 181
+ SUBC *AR6, B ; 182
+ SUBC *AR6-, A ; 183
+ SUBC *AR6-, B ; 184
+ SUBC *AR6+, A ; 185
+ SUBC *AR6+, B ; 186
+ SUBC *AR6-0B, A ; 187
+ SUBC *AR6-0B, B ; 188
+ SUBC *AR6-0, A ; 189
+ SUBC *AR6-0, B ; 190
+ SUBC *AR6+0, A ; 191
+ SUBC *AR6+0, B ; 192
+ SUBC *AR6+0B, A ; 193
+ SUBC *AR6+0B, B ; 194
+ SUBC *AR6-%, A ; 195
+ SUBC *AR6-%, B ; 196
+ SUBC *AR6-0%, A ; 197
+ SUBC *AR6-0%, B ; 198
+ SUBC *AR6+%, A ; 199
+ SUBC *AR6+%, B ; 200
+ SUBC *AR6+0%, A ; 201
+ SUBC *AR6+0%, B ; 202
+ SUBC *AR6(04AD0h), A ; 203
+ SUBC *AR6(04AD1h), B ; 204
+ SUBC *+AR6(04AD2h), A ; 205
+ SUBC *+AR6(04AD3h), B ; 206
+ SUBC *+AR6(04AD4h)%, A ; 207
+ SUBC *+AR6(04AD5h)%, B ; 208
+ SUBC *(04AD6h), A ; 209
+ SUBC *(04AD7h), B ; 210
+ SUBC *AR7, A ; 211
+ SUBC *AR7, B ; 212
+ SUBC *AR7-, A ; 213
+ SUBC *AR7-, B ; 214
+ SUBC *AR7+, A ; 215
+ SUBC *AR7+, B ; 216
+ SUBC *AR7-0B, A ; 217
+ SUBC *AR7-0B, B ; 218
+ SUBC *AR7-0, A ; 219
+ SUBC *AR7-0, B ; 220
+ SUBC *AR7+0, A ; 221
+ SUBC *AR7+0, B ; 222
+ SUBC *AR7+0B, A ; 223
+ SUBC *AR7+0B, B ; 224
+ SUBC *AR7-%, A ; 225
+ SUBC *AR7-%, B ; 226
+ SUBC *AR7-0%, A ; 227
+ SUBC *AR7-0%, B ; 228
+ SUBC *AR7+%, A ; 229
+ SUBC *AR7+%, B ; 230
+ SUBC *AR7+0%, A ; 231
+ SUBC *AR7+0%, B ; 232
+ SUBC *AR7(04AD8h), A ; 233
+ SUBC *AR7(04AD9h), B ; 234
+ SUBC *+AR7(04ADAh), A ; 235
+ SUBC *+AR7(04ADBh), B ; 236
+ SUBC *+AR7(04ADCh)%, A ; 237
+ SUBC *+AR7(04ADDh)%, B ; 238
+ SUBC *(04ADEh), A ; 239
+ SUBC *(04ADFh), B ; 240
+ ; SUBS : SUBS Smem, AB : 2
+ SUBS 1Ah, A ; 1
+ SUBS 1Bh, B ; 2
+ ; SUBS_I : SUBS Smem_I, AB : 240
+ SUBS *AR0, A ; 1
+ SUBS *AR0, B ; 2
+ SUBS *AR0-, A ; 3
+ SUBS *AR0-, B ; 4
+ SUBS *AR0+, A ; 5
+ SUBS *AR0+, B ; 6
+ SUBS *AR0-0B, A ; 7
+ SUBS *AR0-0B, B ; 8
+ SUBS *AR0-0, A ; 9
+ SUBS *AR0-0, B ; 10
+ SUBS *AR0+0, A ; 11
+ SUBS *AR0+0, B ; 12
+ SUBS *AR0+0B, A ; 13
+ SUBS *AR0+0B, B ; 14
+ SUBS *AR0-%, A ; 15
+ SUBS *AR0-%, B ; 16
+ SUBS *AR0-0%, A ; 17
+ SUBS *AR0-0%, B ; 18
+ SUBS *AR0+%, A ; 19
+ SUBS *AR0+%, B ; 20
+ SUBS *AR0+0%, A ; 21
+ SUBS *AR0+0%, B ; 22
+ SUBS *AR0(04AE0h), A ; 23
+ SUBS *AR0(04AE1h), B ; 24
+ SUBS *+AR0(04AE2h), A ; 25
+ SUBS *+AR0(04AE3h), B ; 26
+ SUBS *+AR0(04AE4h)%, A ; 27
+ SUBS *+AR0(04AE5h)%, B ; 28
+ SUBS *(04AE6h), A ; 29
+ SUBS *(04AE7h), B ; 30
+ SUBS *AR1, A ; 31
+ SUBS *AR1, B ; 32
+ SUBS *AR1-, A ; 33
+ SUBS *AR1-, B ; 34
+ SUBS *AR1+, A ; 35
+ SUBS *AR1+, B ; 36
+ SUBS *AR1-0B, A ; 37
+ SUBS *AR1-0B, B ; 38
+ SUBS *AR1-0, A ; 39
+ SUBS *AR1-0, B ; 40
+ SUBS *AR1+0, A ; 41
+ SUBS *AR1+0, B ; 42
+ SUBS *AR1+0B, A ; 43
+ SUBS *AR1+0B, B ; 44
+ SUBS *AR1-%, A ; 45
+ SUBS *AR1-%, B ; 46
+ SUBS *AR1-0%, A ; 47
+ SUBS *AR1-0%, B ; 48
+ SUBS *AR1+%, A ; 49
+ SUBS *AR1+%, B ; 50
+ SUBS *AR1+0%, A ; 51
+ SUBS *AR1+0%, B ; 52
+ SUBS *AR1(04AE8h), A ; 53
+ SUBS *AR1(04AE9h), B ; 54
+ SUBS *+AR1(04AEAh), A ; 55
+ SUBS *+AR1(04AEBh), B ; 56
+ SUBS *+AR1(04AECh)%, A ; 57
+ SUBS *+AR1(04AEDh)%, B ; 58
+ SUBS *(04AEEh), A ; 59
+ SUBS *(04AEFh), B ; 60
+ SUBS *AR2, A ; 61
+ SUBS *AR2, B ; 62
+ SUBS *AR2-, A ; 63
+ SUBS *AR2-, B ; 64
+ SUBS *AR2+, A ; 65
+ SUBS *AR2+, B ; 66
+ SUBS *AR2-0B, A ; 67
+ SUBS *AR2-0B, B ; 68
+ SUBS *AR2-0, A ; 69
+ SUBS *AR2-0, B ; 70
+ SUBS *AR2+0, A ; 71
+ SUBS *AR2+0, B ; 72
+ SUBS *AR2+0B, A ; 73
+ SUBS *AR2+0B, B ; 74
+ SUBS *AR2-%, A ; 75
+ SUBS *AR2-%, B ; 76
+ SUBS *AR2-0%, A ; 77
+ SUBS *AR2-0%, B ; 78
+ SUBS *AR2+%, A ; 79
+ SUBS *AR2+%, B ; 80
+ SUBS *AR2+0%, A ; 81
+ SUBS *AR2+0%, B ; 82
+ SUBS *AR2(04AF0h), A ; 83
+ SUBS *AR2(04AF1h), B ; 84
+ SUBS *+AR2(04AF2h), A ; 85
+ SUBS *+AR2(04AF3h), B ; 86
+ SUBS *+AR2(04AF4h)%, A ; 87
+ SUBS *+AR2(04AF5h)%, B ; 88
+ SUBS *(04AF6h), A ; 89
+ SUBS *(04AF7h), B ; 90
+ SUBS *AR3, A ; 91
+ SUBS *AR3, B ; 92
+ SUBS *AR3-, A ; 93
+ SUBS *AR3-, B ; 94
+ SUBS *AR3+, A ; 95
+ SUBS *AR3+, B ; 96
+ SUBS *AR3-0B, A ; 97
+ SUBS *AR3-0B, B ; 98
+ SUBS *AR3-0, A ; 99
+ SUBS *AR3-0, B ; 100
+ SUBS *AR3+0, A ; 101
+ SUBS *AR3+0, B ; 102
+ SUBS *AR3+0B, A ; 103
+ SUBS *AR3+0B, B ; 104
+ SUBS *AR3-%, A ; 105
+ SUBS *AR3-%, B ; 106
+ SUBS *AR3-0%, A ; 107
+ SUBS *AR3-0%, B ; 108
+ SUBS *AR3+%, A ; 109
+ SUBS *AR3+%, B ; 110
+ SUBS *AR3+0%, A ; 111
+ SUBS *AR3+0%, B ; 112
+ SUBS *AR3(04AF8h), A ; 113
+ SUBS *AR3(04AF9h), B ; 114
+ SUBS *+AR3(04AFAh), A ; 115
+ SUBS *+AR3(04AFBh), B ; 116
+ SUBS *+AR3(04AFCh)%, A ; 117
+ SUBS *+AR3(04AFDh)%, B ; 118
+ SUBS *(04AFEh), A ; 119
+ SUBS *(04AFFh), B ; 120
+ SUBS *AR4, A ; 121
+ SUBS *AR4, B ; 122
+ SUBS *AR4-, A ; 123
+ SUBS *AR4-, B ; 124
+ SUBS *AR4+, A ; 125
+ SUBS *AR4+, B ; 126
+ SUBS *AR4-0B, A ; 127
+ SUBS *AR4-0B, B ; 128
+ SUBS *AR4-0, A ; 129
+ SUBS *AR4-0, B ; 130
+ SUBS *AR4+0, A ; 131
+ SUBS *AR4+0, B ; 132
+ SUBS *AR4+0B, A ; 133
+ SUBS *AR4+0B, B ; 134
+ SUBS *AR4-%, A ; 135
+ SUBS *AR4-%, B ; 136
+ SUBS *AR4-0%, A ; 137
+ SUBS *AR4-0%, B ; 138
+ SUBS *AR4+%, A ; 139
+ SUBS *AR4+%, B ; 140
+ SUBS *AR4+0%, A ; 141
+ SUBS *AR4+0%, B ; 142
+ SUBS *AR4(04B00h), A ; 143
+ SUBS *AR4(04B01h), B ; 144
+ SUBS *+AR4(04B02h), A ; 145
+ SUBS *+AR4(04B03h), B ; 146
+ SUBS *+AR4(04B04h)%, A ; 147
+ SUBS *+AR4(04B05h)%, B ; 148
+ SUBS *(04B06h), A ; 149
+ SUBS *(04B07h), B ; 150
+ SUBS *AR5, A ; 151
+ SUBS *AR5, B ; 152
+ SUBS *AR5-, A ; 153
+ SUBS *AR5-, B ; 154
+ SUBS *AR5+, A ; 155
+ SUBS *AR5+, B ; 156
+ SUBS *AR5-0B, A ; 157
+ SUBS *AR5-0B, B ; 158
+ SUBS *AR5-0, A ; 159
+ SUBS *AR5-0, B ; 160
+ SUBS *AR5+0, A ; 161
+ SUBS *AR5+0, B ; 162
+ SUBS *AR5+0B, A ; 163
+ SUBS *AR5+0B, B ; 164
+ SUBS *AR5-%, A ; 165
+ SUBS *AR5-%, B ; 166
+ SUBS *AR5-0%, A ; 167
+ SUBS *AR5-0%, B ; 168
+ SUBS *AR5+%, A ; 169
+ SUBS *AR5+%, B ; 170
+ SUBS *AR5+0%, A ; 171
+ SUBS *AR5+0%, B ; 172
+ SUBS *AR5(04B08h), A ; 173
+ SUBS *AR5(04B09h), B ; 174
+ SUBS *+AR5(04B0Ah), A ; 175
+ SUBS *+AR5(04B0Bh), B ; 176
+ SUBS *+AR5(04B0Ch)%, A ; 177
+ SUBS *+AR5(04B0Dh)%, B ; 178
+ SUBS *(04B0Eh), A ; 179
+ SUBS *(04B0Fh), B ; 180
+ SUBS *AR6, A ; 181
+ SUBS *AR6, B ; 182
+ SUBS *AR6-, A ; 183
+ SUBS *AR6-, B ; 184
+ SUBS *AR6+, A ; 185
+ SUBS *AR6+, B ; 186
+ SUBS *AR6-0B, A ; 187
+ SUBS *AR6-0B, B ; 188
+ SUBS *AR6-0, A ; 189
+ SUBS *AR6-0, B ; 190
+ SUBS *AR6+0, A ; 191
+ SUBS *AR6+0, B ; 192
+ SUBS *AR6+0B, A ; 193
+ SUBS *AR6+0B, B ; 194
+ SUBS *AR6-%, A ; 195
+ SUBS *AR6-%, B ; 196
+ SUBS *AR6-0%, A ; 197
+ SUBS *AR6-0%, B ; 198
+ SUBS *AR6+%, A ; 199
+ SUBS *AR6+%, B ; 200
+ SUBS *AR6+0%, A ; 201
+ SUBS *AR6+0%, B ; 202
+ SUBS *AR6(04B10h), A ; 203
+ SUBS *AR6(04B11h), B ; 204
+ SUBS *+AR6(04B12h), A ; 205
+ SUBS *+AR6(04B13h), B ; 206
+ SUBS *+AR6(04B14h)%, A ; 207
+ SUBS *+AR6(04B15h)%, B ; 208
+ SUBS *(04B16h), A ; 209
+ SUBS *(04B17h), B ; 210
+ SUBS *AR7, A ; 211
+ SUBS *AR7, B ; 212
+ SUBS *AR7-, A ; 213
+ SUBS *AR7-, B ; 214
+ SUBS *AR7+, A ; 215
+ SUBS *AR7+, B ; 216
+ SUBS *AR7-0B, A ; 217
+ SUBS *AR7-0B, B ; 218
+ SUBS *AR7-0, A ; 219
+ SUBS *AR7-0, B ; 220
+ SUBS *AR7+0, A ; 221
+ SUBS *AR7+0, B ; 222
+ SUBS *AR7+0B, A ; 223
+ SUBS *AR7+0B, B ; 224
+ SUBS *AR7-%, A ; 225
+ SUBS *AR7-%, B ; 226
+ SUBS *AR7-0%, A ; 227
+ SUBS *AR7-0%, B ; 228
+ SUBS *AR7+%, A ; 229
+ SUBS *AR7+%, B ; 230
+ SUBS *AR7+0%, A ; 231
+ SUBS *AR7+0%, B ; 232
+ SUBS *AR7(04B18h), A ; 233
+ SUBS *AR7(04B19h), B ; 234
+ SUBS *+AR7(04B1Ah), A ; 235
+ SUBS *+AR7(04B1Bh), B ; 236
+ SUBS *+AR7(04B1Ch)%, A ; 237
+ SUBS *+AR7(04B1Dh)%, B ; 238
+ SUBS *(04B1Eh), A ; 239
+ SUBS *(04B1Fh), B ; 240
+ ; SUBasm : SUB AB, ASM, AB2 : 6
+ SUB A, ASM, A ; 1
+ SUB A, ASM, B ; 2
+ SUB A, ASM ; 3
+ SUB B, ASM, A ; 4
+ SUB B, ASM, B ; 5
+ SUB B, ASM ; 6
+ ; SUBlkShift : SUB lk, SHFT2, AB, AB2 : 102
+ SUB #04B20h, A, A ; 1
+ SUB #04B21h, A, B ; 2
+ SUB #04B22h, A ; 3
+ SUB #04B23h, B, A ; 4
+ SUB #04B24h, B, B ; 5
+ SUB #04B25h, B ; 6
+ SUB #04B26h, 0, A, A ; 7
+ SUB #04B27h, 0, A, B ; 8
+ SUB #04B28h, 0, A ; 9
+ SUB #04B29h, 0, B, A ; 10
+ SUB #04B2Ah, 0, B, B ; 11
+ SUB #04B2Bh, 0, B ; 12
+ SUB #04B2Ch, 1, A, A ; 13
+ SUB #04B2Dh, 1, A, B ; 14
+ SUB #04B2Eh, 1, A ; 15
+ SUB #04B2Fh, 1, B, A ; 16
+ SUB #04B30h, 1, B, B ; 17
+ SUB #04B31h, 1, B ; 18
+ SUB #04B32h, 2, A, A ; 19
+ SUB #04B33h, 2, A, B ; 20
+ SUB #04B34h, 2, A ; 21
+ SUB #04B35h, 2, B, A ; 22
+ SUB #04B36h, 2, B, B ; 23
+ SUB #04B37h, 2, B ; 24
+ SUB #04B38h, 3, A, A ; 25
+ SUB #04B39h, 3, A, B ; 26
+ SUB #04B3Ah, 3, A ; 27
+ SUB #04B3Bh, 3, B, A ; 28
+ SUB #04B3Ch, 3, B, B ; 29
+ SUB #04B3Dh, 3, B ; 30
+ SUB #04B3Eh, 4, A, A ; 31
+ SUB #04B3Fh, 4, A, B ; 32
+ SUB #04B40h, 4, A ; 33
+ SUB #04B41h, 4, B, A ; 34
+ SUB #04B42h, 4, B, B ; 35
+ SUB #04B43h, 4, B ; 36
+ SUB #04B44h, 5, A, A ; 37
+ SUB #04B45h, 5, A, B ; 38
+ SUB #04B46h, 5, A ; 39
+ SUB #04B47h, 5, B, A ; 40
+ SUB #04B48h, 5, B, B ; 41
+ SUB #04B49h, 5, B ; 42
+ SUB #04B4Ah, 6, A, A ; 43
+ SUB #04B4Bh, 6, A, B ; 44
+ SUB #04B4Ch, 6, A ; 45
+ SUB #04B4Dh, 6, B, A ; 46
+ SUB #04B4Eh, 6, B, B ; 47
+ SUB #04B4Fh, 6, B ; 48
+ SUB #04B50h, 7, A, A ; 49
+ SUB #04B51h, 7, A, B ; 50
+ SUB #04B52h, 7, A ; 51
+ SUB #04B53h, 7, B, A ; 52
+ SUB #04B54h, 7, B, B ; 53
+ SUB #04B55h, 7, B ; 54
+ SUB #04B56h, 8, A, A ; 55
+ SUB #04B57h, 8, A, B ; 56
+ SUB #04B58h, 8, A ; 57
+ SUB #04B59h, 8, B, A ; 58
+ SUB #04B5Ah, 8, B, B ; 59
+ SUB #04B5Bh, 8, B ; 60
+ SUB #04B5Ch, 9, A, A ; 61
+ SUB #04B5Dh, 9, A, B ; 62
+ SUB #04B5Eh, 9, A ; 63
+ SUB #04B5Fh, 9, B, A ; 64
+ SUB #04B60h, 9, B, B ; 65
+ SUB #04B61h, 9, B ; 66
+ SUB #04B62h, 10, A, A ; 67
+ SUB #04B63h, 10, A, B ; 68
+ SUB #04B64h, 10, A ; 69
+ SUB #04B65h, 10, B, A ; 70
+ SUB #04B66h, 10, B, B ; 71
+ SUB #04B67h, 10, B ; 72
+ SUB #04B68h, 11, A, A ; 73
+ SUB #04B69h, 11, A, B ; 74
+ SUB #04B6Ah, 11, A ; 75
+ SUB #04B6Bh, 11, B, A ; 76
+ SUB #04B6Ch, 11, B, B ; 77
+ SUB #04B6Dh, 11, B ; 78
+ SUB #04B6Eh, 12, A, A ; 79
+ SUB #04B6Fh, 12, A, B ; 80
+ SUB #04B70h, 12, A ; 81
+ SUB #04B71h, 12, B, A ; 82
+ SUB #04B72h, 12, B, B ; 83
+ SUB #04B73h, 12, B ; 84
+ SUB #04B74h, 13, A, A ; 85
+ SUB #04B75h, 13, A, B ; 86
+ SUB #04B76h, 13, A ; 87
+ SUB #04B77h, 13, B, A ; 88
+ SUB #04B78h, 13, B, B ; 89
+ SUB #04B79h, 13, B ; 90
+ SUB #04B7Ah, 14, A, A ; 91
+ SUB #04B7Bh, 14, A, B ; 92
+ SUB #04B7Ch, 14, A ; 93
+ SUB #04B7Dh, 14, B, A ; 94
+ SUB #04B7Eh, 14, B, B ; 95
+ SUB #04B7Fh, 14, B ; 96
+ SUB #04B80h, 15, A, A ; 97
+ SUB #04B81h, 15, A, B ; 98
+ SUB #04B82h, 15, A ; 99
+ SUB #04B83h, 15, B, A ; 100
+ SUB #04B84h, 15, B, B ; 101
+ SUB #04B85h, 15, B ; 102
+ ; SUBsdShift : SUB AB, SHIFT, AB2 : 198
+ SUB A, A ; 1
+ SUB A, B ; 2
+ SUB A ; 3
+ SUB A, -16, A ; 4
+ SUB A, -16, B ; 5
+ SUB A, -16 ; 6
+ SUB A, -15, A ; 7
+ SUB A, -15, B ; 8
+ SUB A, -15 ; 9
+ SUB A, -14, A ; 10
+ SUB A, -14, B ; 11
+ SUB A, -14 ; 12
+ SUB A, -13, A ; 13
+ SUB A, -13, B ; 14
+ SUB A, -13 ; 15
+ SUB A, -12, A ; 16
+ SUB A, -12, B ; 17
+ SUB A, -12 ; 18
+ SUB A, -11, A ; 19
+ SUB A, -11, B ; 20
+ SUB A, -11 ; 21
+ SUB A, -10, A ; 22
+ SUB A, -10, B ; 23
+ SUB A, -10 ; 24
+ SUB A, -9, A ; 25
+ SUB A, -9, B ; 26
+ SUB A, -9 ; 27
+ SUB A, -8, A ; 28
+ SUB A, -8, B ; 29
+ SUB A, -8 ; 30
+ SUB A, -7, A ; 31
+ SUB A, -7, B ; 32
+ SUB A, -7 ; 33
+ SUB A, -6, A ; 34
+ SUB A, -6, B ; 35
+ SUB A, -6 ; 36
+ SUB A, -5, A ; 37
+ SUB A, -5, B ; 38
+ SUB A, -5 ; 39
+ SUB A, -4, A ; 40
+ SUB A, -4, B ; 41
+ SUB A, -4 ; 42
+ SUB A, -3, A ; 43
+ SUB A, -3, B ; 44
+ SUB A, -3 ; 45
+ SUB A, -2, A ; 46
+ SUB A, -2, B ; 47
+ SUB A, -2 ; 48
+ SUB A, -1, A ; 49
+ SUB A, -1, B ; 50
+ SUB A, -1 ; 51
+ SUB A, 0, A ; 52
+ SUB A, 0, B ; 53
+ SUB A, 0 ; 54
+ SUB A, 1, A ; 55
+ SUB A, 1, B ; 56
+ SUB A, 1 ; 57
+ SUB A, 2, A ; 58
+ SUB A, 2, B ; 59
+ SUB A, 2 ; 60
+ SUB A, 3, A ; 61
+ SUB A, 3, B ; 62
+ SUB A, 3 ; 63
+ SUB A, 4, A ; 64
+ SUB A, 4, B ; 65
+ SUB A, 4 ; 66
+ SUB A, 5, A ; 67
+ SUB A, 5, B ; 68
+ SUB A, 5 ; 69
+ SUB A, 6, A ; 70
+ SUB A, 6, B ; 71
+ SUB A, 6 ; 72
+ SUB A, 7, A ; 73
+ SUB A, 7, B ; 74
+ SUB A, 7 ; 75
+ SUB A, 8, A ; 76
+ SUB A, 8, B ; 77
+ SUB A, 8 ; 78
+ SUB A, 9, A ; 79
+ SUB A, 9, B ; 80
+ SUB A, 9 ; 81
+ SUB A, 10, A ; 82
+ SUB A, 10, B ; 83
+ SUB A, 10 ; 84
+ SUB A, 11, A ; 85
+ SUB A, 11, B ; 86
+ SUB A, 11 ; 87
+ SUB A, 12, A ; 88
+ SUB A, 12, B ; 89
+ SUB A, 12 ; 90
+ SUB A, 13, A ; 91
+ SUB A, 13, B ; 92
+ SUB A, 13 ; 93
+ SUB A, 14, A ; 94
+ SUB A, 14, B ; 95
+ SUB A, 14 ; 96
+ SUB A, 15, A ; 97
+ SUB A, 15, B ; 98
+ SUB A, 15 ; 99
+ SUB B, A ; 100
+ SUB B, B ; 101
+ SUB B ; 102
+ SUB B, -16, A ; 103
+ SUB B, -16, B ; 104
+ SUB B, -16 ; 105
+ SUB B, -15, A ; 106
+ SUB B, -15, B ; 107
+ SUB B, -15 ; 108
+ SUB B, -14, A ; 109
+ SUB B, -14, B ; 110
+ SUB B, -14 ; 111
+ SUB B, -13, A ; 112
+ SUB B, -13, B ; 113
+ SUB B, -13 ; 114
+ SUB B, -12, A ; 115
+ SUB B, -12, B ; 116
+ SUB B, -12 ; 117
+ SUB B, -11, A ; 118
+ SUB B, -11, B ; 119
+ SUB B, -11 ; 120
+ SUB B, -10, A ; 121
+ SUB B, -10, B ; 122
+ SUB B, -10 ; 123
+ SUB B, -9, A ; 124
+ SUB B, -9, B ; 125
+ SUB B, -9 ; 126
+ SUB B, -8, A ; 127
+ SUB B, -8, B ; 128
+ SUB B, -8 ; 129
+ SUB B, -7, A ; 130
+ SUB B, -7, B ; 131
+ SUB B, -7 ; 132
+ SUB B, -6, A ; 133
+ SUB B, -6, B ; 134
+ SUB B, -6 ; 135
+ SUB B, -5, A ; 136
+ SUB B, -5, B ; 137
+ SUB B, -5 ; 138
+ SUB B, -4, A ; 139
+ SUB B, -4, B ; 140
+ SUB B, -4 ; 141
+ SUB B, -3, A ; 142
+ SUB B, -3, B ; 143
+ SUB B, -3 ; 144
+ SUB B, -2, A ; 145
+ SUB B, -2, B ; 146
+ SUB B, -2 ; 147
+ SUB B, -1, A ; 148
+ SUB B, -1, B ; 149
+ SUB B, -1 ; 150
+ SUB B, 0, A ; 151
+ SUB B, 0, B ; 152
+ SUB B, 0 ; 153
+ SUB B, 1, A ; 154
+ SUB B, 1, B ; 155
+ SUB B, 1 ; 156
+ SUB B, 2, A ; 157
+ SUB B, 2, B ; 158
+ SUB B, 2 ; 159
+ SUB B, 3, A ; 160
+ SUB B, 3, B ; 161
+ SUB B, 3 ; 162
+ SUB B, 4, A ; 163
+ SUB B, 4, B ; 164
+ SUB B, 4 ; 165
+ SUB B, 5, A ; 166
+ SUB B, 5, B ; 167
+ SUB B, 5 ; 168
+ SUB B, 6, A ; 169
+ SUB B, 6, B ; 170
+ SUB B, 6 ; 171
+ SUB B, 7, A ; 172
+ SUB B, 7, B ; 173
+ SUB B, 7 ; 174
+ SUB B, 8, A ; 175
+ SUB B, 8, B ; 176
+ SUB B, 8 ; 177
+ SUB B, 9, A ; 178
+ SUB B, 9, B ; 179
+ SUB B, 9 ; 180
+ SUB B, 10, A ; 181
+ SUB B, 10, B ; 182
+ SUB B, 10 ; 183
+ SUB B, 11, A ; 184
+ SUB B, 11, B ; 185
+ SUB B, 11 ; 186
+ SUB B, 12, A ; 187
+ SUB B, 12, B ; 188
+ SUB B, 12 ; 189
+ SUB B, 13, A ; 190
+ SUB B, 13, B ; 191
+ SUB B, 13 ; 192
+ SUB B, 14, A ; 193
+ SUB B, 14, B ; 194
+ SUB B, 14 ; 195
+ SUB B, 15, A ; 196
+ SUB B, 15, B ; 197
+ SUB B, 15 ; 198
+ ; SUBsmem : SUB Smem, AB : 2
+ SUB 1Ch, A ; 1
+ SUB 1Dh, B ; 2
+ ; SUBsmemShift : SUB Smem, SHIFT, AB, AB2 : 198
+ SUB 1Eh, A, A ; 1
+ SUB 1Fh, A, B ; 2
+ SUB 20h, A ; 3
+ SUB 21h, B, A ; 4
+ SUB 22h, B, B ; 5
+ SUB 23h, B ; 6
+ SUB 24h, -16, A, A ; 7
+ SUB 25h, -16, A, B ; 8
+ SUB 26h, -16, A ; 9
+ SUB 27h, -16, B, A ; 10
+ SUB 28h, -16, B, B ; 11
+ SUB 29h, -16, B ; 12
+ SUB 2Ah, -15, A, A ; 13
+ SUB 2Bh, -15, A, B ; 14
+ SUB 2Ch, -15, A ; 15
+ SUB 2Dh, -15, B, A ; 16
+ SUB 2Eh, -15, B, B ; 17
+ SUB 2Fh, -15, B ; 18
+ SUB 30h, -14, A, A ; 19
+ SUB 31h, -14, A, B ; 20
+ SUB 32h, -14, A ; 21
+ SUB 33h, -14, B, A ; 22
+ SUB 34h, -14, B, B ; 23
+ SUB 35h, -14, B ; 24
+ SUB 36h, -13, A, A ; 25
+ SUB 37h, -13, A, B ; 26
+ SUB 38h, -13, A ; 27
+ SUB 39h, -13, B, A ; 28
+ SUB 3Ah, -13, B, B ; 29
+ SUB 3Bh, -13, B ; 30
+ SUB 3Ch, -12, A, A ; 31
+ SUB 3Dh, -12, A, B ; 32
+ SUB 3Eh, -12, A ; 33
+ SUB 3Fh, -12, B, A ; 34
+ SUB 40h, -12, B, B ; 35
+ SUB 41h, -12, B ; 36
+ SUB 42h, -11, A, A ; 37
+ SUB 43h, -11, A, B ; 38
+ SUB 44h, -11, A ; 39
+ SUB 45h, -11, B, A ; 40
+ SUB 46h, -11, B, B ; 41
+ SUB 47h, -11, B ; 42
+ SUB 48h, -10, A, A ; 43
+ SUB 49h, -10, A, B ; 44
+ SUB 4Ah, -10, A ; 45
+ SUB 4Bh, -10, B, A ; 46
+ SUB 4Ch, -10, B, B ; 47
+ SUB 4Dh, -10, B ; 48
+ SUB 4Eh, -9, A, A ; 49
+ SUB 4Fh, -9, A, B ; 50
+ SUB 50h, -9, A ; 51
+ SUB 51h, -9, B, A ; 52
+ SUB 52h, -9, B, B ; 53
+ SUB 53h, -9, B ; 54
+ SUB 54h, -8, A, A ; 55
+ SUB 55h, -8, A, B ; 56
+ SUB 56h, -8, A ; 57
+ SUB 57h, -8, B, A ; 58
+ SUB 58h, -8, B, B ; 59
+ SUB 59h, -8, B ; 60
+ SUB 5Ah, -7, A, A ; 61
+ SUB 5Bh, -7, A, B ; 62
+ SUB 5Ch, -7, A ; 63
+ SUB 5Dh, -7, B, A ; 64
+ SUB 5Eh, -7, B, B ; 65
+ SUB 5Fh, -7, B ; 66
+ SUB 60h, -6, A, A ; 67
+ SUB 61h, -6, A, B ; 68
+ SUB 62h, -6, A ; 69
+ SUB 63h, -6, B, A ; 70
+ SUB 64h, -6, B, B ; 71
+ SUB 65h, -6, B ; 72
+ SUB 66h, -5, A, A ; 73
+ SUB 67h, -5, A, B ; 74
+ SUB 68h, -5, A ; 75
+ SUB 69h, -5, B, A ; 76
+ SUB 6Ah, -5, B, B ; 77
+ SUB 6Bh, -5, B ; 78
+ SUB 6Ch, -4, A, A ; 79
+ SUB 6Dh, -4, A, B ; 80
+ SUB 6Eh, -4, A ; 81
+ SUB 6Fh, -4, B, A ; 82
+ SUB 70h, -4, B, B ; 83
+ SUB 71h, -4, B ; 84
+ SUB 72h, -3, A, A ; 85
+ SUB 73h, -3, A, B ; 86
+ SUB 74h, -3, A ; 87
+ SUB 75h, -3, B, A ; 88
+ SUB 76h, -3, B, B ; 89
+ SUB 77h, -3, B ; 90
+ SUB 78h, -2, A, A ; 91
+ SUB 79h, -2, A, B ; 92
+ SUB 7Ah, -2, A ; 93
+ SUB 7Bh, -2, B, A ; 94
+ SUB 7Ch, -2, B, B ; 95
+ SUB 7Dh, -2, B ; 96
+ SUB 7Eh, -1, A, A ; 97
+ SUB 7Fh, -1, A, B ; 98
+ SUB 00h, -1, A ; 99
+ SUB 01h, -1, B, A ; 100
+ SUB 02h, -1, B, B ; 101
+ SUB 03h, -1, B ; 102
+ SUB 04h, 0, A, A ; 103
+ SUB 05h, 0, A, B ; 104
+ SUB 06h, 0, A ; 105
+ SUB 07h, 0, B, A ; 106
+ SUB 08h, 0, B, B ; 107
+ SUB 09h, 0, B ; 108
+ SUB 0Ah, 1, A, A ; 109
+ SUB 0Bh, 1, A, B ; 110
+ SUB 0Ch, 1, A ; 111
+ SUB 0Dh, 1, B, A ; 112
+ SUB 0Eh, 1, B, B ; 113
+ SUB 0Fh, 1, B ; 114
+ SUB 10h, 2, A, A ; 115
+ SUB 11h, 2, A, B ; 116
+ SUB 12h, 2, A ; 117
+ SUB 13h, 2, B, A ; 118
+ SUB 14h, 2, B, B ; 119
+ SUB 15h, 2, B ; 120
+ SUB 16h, 3, A, A ; 121
+ SUB 17h, 3, A, B ; 122
+ SUB 18h, 3, A ; 123
+ SUB 19h, 3, B, A ; 124
+ SUB 1Ah, 3, B, B ; 125
+ SUB 1Bh, 3, B ; 126
+ SUB 1Ch, 4, A, A ; 127
+ SUB 1Dh, 4, A, B ; 128
+ SUB 1Eh, 4, A ; 129
+ SUB 1Fh, 4, B, A ; 130
+ SUB 20h, 4, B, B ; 131
+ SUB 21h, 4, B ; 132
+ SUB 22h, 5, A, A ; 133
+ SUB 23h, 5, A, B ; 134
+ SUB 24h, 5, A ; 135
+ SUB 25h, 5, B, A ; 136
+ SUB 26h, 5, B, B ; 137
+ SUB 27h, 5, B ; 138
+ SUB 28h, 6, A, A ; 139
+ SUB 29h, 6, A, B ; 140
+ SUB 2Ah, 6, A ; 141
+ SUB 2Bh, 6, B, A ; 142
+ SUB 2Ch, 6, B, B ; 143
+ SUB 2Dh, 6, B ; 144
+ SUB 2Eh, 7, A, A ; 145
+ SUB 2Fh, 7, A, B ; 146
+ SUB 30h, 7, A ; 147
+ SUB 31h, 7, B, A ; 148
+ SUB 32h, 7, B, B ; 149
+ SUB 33h, 7, B ; 150
+ SUB 34h, 8, A, A ; 151
+ SUB 35h, 8, A, B ; 152
+ SUB 36h, 8, A ; 153
+ SUB 37h, 8, B, A ; 154
+ SUB 38h, 8, B, B ; 155
+ SUB 39h, 8, B ; 156
+ SUB 3Ah, 9, A, A ; 157
+ SUB 3Bh, 9, A, B ; 158
+ SUB 3Ch, 9, A ; 159
+ SUB 3Dh, 9, B, A ; 160
+ SUB 3Eh, 9, B, B ; 161
+ SUB 3Fh, 9, B ; 162
+ SUB 40h, 10, A, A ; 163
+ SUB 41h, 10, A, B ; 164
+ SUB 42h, 10, A ; 165
+ SUB 43h, 10, B, A ; 166
+ SUB 44h, 10, B, B ; 167
+ SUB 45h, 10, B ; 168
+ SUB 46h, 11, A, A ; 169
+ SUB 47h, 11, A, B ; 170
+ SUB 48h, 11, A ; 171
+ SUB 49h, 11, B, A ; 172
+ SUB 4Ah, 11, B, B ; 173
+ SUB 4Bh, 11, B ; 174
+ SUB 4Ch, 12, A, A ; 175
+ SUB 4Dh, 12, A, B ; 176
+ SUB 4Eh, 12, A ; 177
+ SUB 4Fh, 12, B, A ; 178
+ SUB 50h, 12, B, B ; 179
+ SUB 51h, 12, B ; 180
+ SUB 52h, 13, A, A ; 181
+ SUB 53h, 13, A, B ; 182
+ SUB 54h, 13, A ; 183
+ SUB 55h, 13, B, A ; 184
+ SUB 56h, 13, B, B ; 185
+ SUB 57h, 13, B ; 186
+ SUB 58h, 14, A, A ; 187
+ SUB 59h, 14, A, B ; 188
+ SUB 5Ah, 14, A ; 189
+ SUB 5Bh, 14, B, A ; 190
+ SUB 5Ch, 14, B, B ; 191
+ SUB 5Dh, 14, B ; 192
+ SUB 5Eh, 15, A, A ; 193
+ SUB 5Fh, 15, A, B ; 194
+ SUB 60h, 15, A ; 195
+ SUB 61h, 15, B, A ; 196
+ SUB 62h, 15, B, B ; 197
+ SUB 63h, 15, B ; 198
+ ; SUBsmemShift_I : SUB Smem_I, SHIFT, AB, AB2 : 23760
+ SUB *AR0, A, A ; 1
+ SUB *AR0, A, B ; 2
+ SUB *AR0, A ; 3
+ SUB *AR0, B, A ; 4
+ SUB *AR0, B, B ; 5
+ SUB *AR0, B ; 6
+ SUB *AR0, -16, A, A ; 7
+ SUB *AR0, -16, A, B ; 8
+ SUB *AR0, -16, A ; 9
+ SUB *AR0, -16, B, A ; 10
+ SUB *AR0, -16, B, B ; 11
+ SUB *AR0, -16, B ; 12
+ SUB *AR0, -15, A, A ; 13
+ SUB *AR0, -15, A, B ; 14
+ SUB *AR0, -15, A ; 15
+ SUB *AR0, -15, B, A ; 16
+ SUB *AR0, -15, B, B ; 17
+ SUB *AR0, -15, B ; 18
+ SUB *AR0, -14, A, A ; 19
+ SUB *AR0, -14, A, B ; 20
+ SUB *AR0, -14, A ; 21
+ SUB *AR0, -14, B, A ; 22
+ SUB *AR0, -14, B, B ; 23
+ SUB *AR0, -14, B ; 24
+ SUB *AR0, -13, A, A ; 25
+ SUB *AR0, -13, A, B ; 26
+ SUB *AR0, -13, A ; 27
+ SUB *AR0, -13, B, A ; 28
+ SUB *AR0, -13, B, B ; 29
+ SUB *AR0, -13, B ; 30
+ SUB *AR0, -12, A, A ; 31
+ SUB *AR0, -12, A, B ; 32
+ SUB *AR0, -12, A ; 33
+ SUB *AR0, -12, B, A ; 34
+ SUB *AR0, -12, B, B ; 35
+ SUB *AR0, -12, B ; 36
+ SUB *AR0, -11, A, A ; 37
+ SUB *AR0, -11, A, B ; 38
+ SUB *AR0, -11, A ; 39
+ SUB *AR0, -11, B, A ; 40
+ SUB *AR0, -11, B, B ; 41
+ SUB *AR0, -11, B ; 42
+ SUB *AR0, -10, A, A ; 43
+ SUB *AR0, -10, A, B ; 44
+ SUB *AR0, -10, A ; 45
+ SUB *AR0, -10, B, A ; 46
+ SUB *AR0, -10, B, B ; 47
+ SUB *AR0, -10, B ; 48
+ SUB *AR0, -9, A, A ; 49
+ SUB *AR0, -9, A, B ; 50
+ SUB *AR0, -9, A ; 51
+ SUB *AR0, -9, B, A ; 52
+ SUB *AR0, -9, B, B ; 53
+ SUB *AR0, -9, B ; 54
+ SUB *AR0, -8, A, A ; 55
+ SUB *AR0, -8, A, B ; 56
+ SUB *AR0, -8, A ; 57
+ SUB *AR0, -8, B, A ; 58
+ SUB *AR0, -8, B, B ; 59
+ SUB *AR0, -8, B ; 60
+ SUB *AR0, -7, A, A ; 61
+ SUB *AR0, -7, A, B ; 62
+ SUB *AR0, -7, A ; 63
+ SUB *AR0, -7, B, A ; 64
+ SUB *AR0, -7, B, B ; 65
+ SUB *AR0, -7, B ; 66
+ SUB *AR0, -6, A, A ; 67
+ SUB *AR0, -6, A, B ; 68
+ SUB *AR0, -6, A ; 69
+ SUB *AR0, -6, B, A ; 70
+ SUB *AR0, -6, B, B ; 71
+ SUB *AR0, -6, B ; 72
+ SUB *AR0, -5, A, A ; 73
+ SUB *AR0, -5, A, B ; 74
+ SUB *AR0, -5, A ; 75
+ SUB *AR0, -5, B, A ; 76
+ SUB *AR0, -5, B, B ; 77
+ SUB *AR0, -5, B ; 78
+ SUB *AR0, -4, A, A ; 79
+ SUB *AR0, -4, A, B ; 80
+ SUB *AR0, -4, A ; 81
+ SUB *AR0, -4, B, A ; 82
+ SUB *AR0, -4, B, B ; 83
+ SUB *AR0, -4, B ; 84
+ SUB *AR0, -3, A, A ; 85
+ SUB *AR0, -3, A, B ; 86
+ SUB *AR0, -3, A ; 87
+ SUB *AR0, -3, B, A ; 88
+ SUB *AR0, -3, B, B ; 89
+ SUB *AR0, -3, B ; 90
+ SUB *AR0, -2, A, A ; 91
+ SUB *AR0, -2, A, B ; 92
+ SUB *AR0, -2, A ; 93
+ SUB *AR0, -2, B, A ; 94
+ SUB *AR0, -2, B, B ; 95
+ SUB *AR0, -2, B ; 96
+ SUB *AR0, -1, A, A ; 97
+ SUB *AR0, -1, A, B ; 98
+ SUB *AR0, -1, A ; 99
+ SUB *AR0, -1, B, A ; 100
+ SUB *AR0, -1, B, B ; 101
+ SUB *AR0, -1, B ; 102
+ SUB *AR0, 0, A, A ; 103
+ SUB *AR0, 0, A, B ; 104
+ SUB *AR0, 0, A ; 105
+ SUB *AR0, 0, B, A ; 106
+ SUB *AR0, 0, B, B ; 107
+ SUB *AR0, 0, B ; 108
+ SUB *AR0, 1, A, A ; 109
+ SUB *AR0, 1, A, B ; 110
+ SUB *AR0, 1, A ; 111
+ SUB *AR0, 1, B, A ; 112
+ SUB *AR0, 1, B, B ; 113
+ SUB *AR0, 1, B ; 114
+ SUB *AR0, 2, A, A ; 115
+ SUB *AR0, 2, A, B ; 116
+ SUB *AR0, 2, A ; 117
+ SUB *AR0, 2, B, A ; 118
+ SUB *AR0, 2, B, B ; 119
+ SUB *AR0, 2, B ; 120
+ SUB *AR0, 3, A, A ; 121
+ SUB *AR0, 3, A, B ; 122
+ SUB *AR0, 3, A ; 123
+ SUB *AR0, 3, B, A ; 124
+ SUB *AR0, 3, B, B ; 125
+ SUB *AR0, 3, B ; 126
+ SUB *AR0, 4, A, A ; 127
+ SUB *AR0, 4, A, B ; 128
+ SUB *AR0, 4, A ; 129
+ SUB *AR0, 4, B, A ; 130
+ SUB *AR0, 4, B, B ; 131
+ SUB *AR0, 4, B ; 132
+ SUB *AR0, 5, A, A ; 133
+ SUB *AR0, 5, A, B ; 134
+ SUB *AR0, 5, A ; 135
+ SUB *AR0, 5, B, A ; 136
+ SUB *AR0, 5, B, B ; 137
+ SUB *AR0, 5, B ; 138
+ SUB *AR0, 6, A, A ; 139
+ SUB *AR0, 6, A, B ; 140
+ SUB *AR0, 6, A ; 141
+ SUB *AR0, 6, B, A ; 142
+ SUB *AR0, 6, B, B ; 143
+ SUB *AR0, 6, B ; 144
+ SUB *AR0, 7, A, A ; 145
+ SUB *AR0, 7, A, B ; 146
+ SUB *AR0, 7, A ; 147
+ SUB *AR0, 7, B, A ; 148
+ SUB *AR0, 7, B, B ; 149
+ SUB *AR0, 7, B ; 150
+ SUB *AR0, 8, A, A ; 151
+ SUB *AR0, 8, A, B ; 152
+ SUB *AR0, 8, A ; 153
+ SUB *AR0, 8, B, A ; 154
+ SUB *AR0, 8, B, B ; 155
+ SUB *AR0, 8, B ; 156
+ SUB *AR0, 9, A, A ; 157
+ SUB *AR0, 9, A, B ; 158
+ SUB *AR0, 9, A ; 159
+ SUB *AR0, 9, B, A ; 160
+ SUB *AR0, 9, B, B ; 161
+ SUB *AR0, 9, B ; 162
+ SUB *AR0, 10, A, A ; 163
+ SUB *AR0, 10, A, B ; 164
+ SUB *AR0, 10, A ; 165
+ SUB *AR0, 10, B, A ; 166
+ SUB *AR0, 10, B, B ; 167
+ SUB *AR0, 10, B ; 168
+ SUB *AR0, 11, A, A ; 169
+ SUB *AR0, 11, A, B ; 170
+ SUB *AR0, 11, A ; 171
+ SUB *AR0, 11, B, A ; 172
+ SUB *AR0, 11, B, B ; 173
+ SUB *AR0, 11, B ; 174
+ SUB *AR0, 12, A, A ; 175
+ SUB *AR0, 12, A, B ; 176
+ SUB *AR0, 12, A ; 177
+ SUB *AR0, 12, B, A ; 178
+ SUB *AR0, 12, B, B ; 179
+ SUB *AR0, 12, B ; 180
+ SUB *AR0, 13, A, A ; 181
+ SUB *AR0, 13, A, B ; 182
+ SUB *AR0, 13, A ; 183
+ SUB *AR0, 13, B, A ; 184
+ SUB *AR0, 13, B, B ; 185
+ SUB *AR0, 13, B ; 186
+ SUB *AR0, 14, A, A ; 187
+ SUB *AR0, 14, A, B ; 188
+ SUB *AR0, 14, A ; 189
+ SUB *AR0, 14, B, A ; 190
+ SUB *AR0, 14, B, B ; 191
+ SUB *AR0, 14, B ; 192
+ SUB *AR0, 15, A, A ; 193
+ SUB *AR0, 15, A, B ; 194
+ SUB *AR0, 15, A ; 195
+ SUB *AR0, 15, B, A ; 196
+ SUB *AR0, 15, B, B ; 197
+ SUB *AR0, 15, B ; 198
+ SUB *AR0-, A, A ; 199
+ SUB *AR0-, A, B ; 200
+ SUB *AR0-, A ; 201
+ SUB *AR0-, B, A ; 202
+ SUB *AR0-, B, B ; 203
+ SUB *AR0-, B ; 204
+ SUB *AR0-, -16, A, A ; 205
+ SUB *AR0-, -16, A, B ; 206
+ SUB *AR0-, -16, A ; 207
+ SUB *AR0-, -16, B, A ; 208
+ SUB *AR0-, -16, B, B ; 209
+ SUB *AR0-, -16, B ; 210
+ SUB *AR0-, -15, A, A ; 211
+ SUB *AR0-, -15, A, B ; 212
+ SUB *AR0-, -15, A ; 213
+ SUB *AR0-, -15, B, A ; 214
+ SUB *AR0-, -15, B, B ; 215
+ SUB *AR0-, -15, B ; 216
+ SUB *AR0-, -14, A, A ; 217
+ SUB *AR0-, -14, A, B ; 218
+ SUB *AR0-, -14, A ; 219
+ SUB *AR0-, -14, B, A ; 220
+ SUB *AR0-, -14, B, B ; 221
+ SUB *AR0-, -14, B ; 222
+ SUB *AR0-, -13, A, A ; 223
+ SUB *AR0-, -13, A, B ; 224
+ SUB *AR0-, -13, A ; 225
+ SUB *AR0-, -13, B, A ; 226
+ SUB *AR0-, -13, B, B ; 227
+ SUB *AR0-, -13, B ; 228
+ SUB *AR0-, -12, A, A ; 229
+ SUB *AR0-, -12, A, B ; 230
+ SUB *AR0-, -12, A ; 231
+ SUB *AR0-, -12, B, A ; 232
+ SUB *AR0-, -12, B, B ; 233
+ SUB *AR0-, -12, B ; 234
+ SUB *AR0-, -11, A, A ; 235
+ SUB *AR0-, -11, A, B ; 236
+ SUB *AR0-, -11, A ; 237
+ SUB *AR0-, -11, B, A ; 238
+ SUB *AR0-, -11, B, B ; 239
+ SUB *AR0-, -11, B ; 240
+ SUB *AR0-, -10, A, A ; 241
+ SUB *AR0-, -10, A, B ; 242
+ SUB *AR0-, -10, A ; 243
+ SUB *AR0-, -10, B, A ; 244
+ SUB *AR0-, -10, B, B ; 245
+ SUB *AR0-, -10, B ; 246
+ SUB *AR0-, -9, A, A ; 247
+ SUB *AR0-, -9, A, B ; 248
+ SUB *AR0-, -9, A ; 249
+ SUB *AR0-, -9, B, A ; 250
+ SUB *AR0-, -9, B, B ; 251
+ SUB *AR0-, -9, B ; 252
+ SUB *AR0-, -8, A, A ; 253
+ SUB *AR0-, -8, A, B ; 254
+ SUB *AR0-, -8, A ; 255
+ SUB *AR0-, -8, B, A ; 256
+ SUB *AR0-, -8, B, B ; 257
+ SUB *AR0-, -8, B ; 258
+ SUB *AR0-, -7, A, A ; 259
+ SUB *AR0-, -7, A, B ; 260
+ SUB *AR0-, -7, A ; 261
+ SUB *AR0-, -7, B, A ; 262
+ SUB *AR0-, -7, B, B ; 263
+ SUB *AR0-, -7, B ; 264
+ SUB *AR0-, -6, A, A ; 265
+ SUB *AR0-, -6, A, B ; 266
+ SUB *AR0-, -6, A ; 267
+ SUB *AR0-, -6, B, A ; 268
+ SUB *AR0-, -6, B, B ; 269
+ SUB *AR0-, -6, B ; 270
+ SUB *AR0-, -5, A, A ; 271
+ SUB *AR0-, -5, A, B ; 272
+ SUB *AR0-, -5, A ; 273
+ SUB *AR0-, -5, B, A ; 274
+ SUB *AR0-, -5, B, B ; 275
+ SUB *AR0-, -5, B ; 276
+ SUB *AR0-, -4, A, A ; 277
+ SUB *AR0-, -4, A, B ; 278
+ SUB *AR0-, -4, A ; 279
+ SUB *AR0-, -4, B, A ; 280
+ SUB *AR0-, -4, B, B ; 281
+ SUB *AR0-, -4, B ; 282
+ SUB *AR0-, -3, A, A ; 283
+ SUB *AR0-, -3, A, B ; 284
+ SUB *AR0-, -3, A ; 285
+ SUB *AR0-, -3, B, A ; 286
+ SUB *AR0-, -3, B, B ; 287
+ SUB *AR0-, -3, B ; 288
+ SUB *AR0-, -2, A, A ; 289
+ SUB *AR0-, -2, A, B ; 290
+ SUB *AR0-, -2, A ; 291
+ SUB *AR0-, -2, B, A ; 292
+ SUB *AR0-, -2, B, B ; 293
+ SUB *AR0-, -2, B ; 294
+ SUB *AR0-, -1, A, A ; 295
+ SUB *AR0-, -1, A, B ; 296
+ SUB *AR0-, -1, A ; 297
+ SUB *AR0-, -1, B, A ; 298
+ SUB *AR0-, -1, B, B ; 299
+ SUB *AR0-, -1, B ; 300
+ SUB *AR0-, 0, A, A ; 301
+ SUB *AR0-, 0, A, B ; 302
+ SUB *AR0-, 0, A ; 303
+ SUB *AR0-, 0, B, A ; 304
+ SUB *AR0-, 0, B, B ; 305
+ SUB *AR0-, 0, B ; 306
+ SUB *AR0-, 1, A, A ; 307
+ SUB *AR0-, 1, A, B ; 308
+ SUB *AR0-, 1, A ; 309
+ SUB *AR0-, 1, B, A ; 310
+ SUB *AR0-, 1, B, B ; 311
+ SUB *AR0-, 1, B ; 312
+ SUB *AR0-, 2, A, A ; 313
+ SUB *AR0-, 2, A, B ; 314
+ SUB *AR0-, 2, A ; 315
+ SUB *AR0-, 2, B, A ; 316
+ SUB *AR0-, 2, B, B ; 317
+ SUB *AR0-, 2, B ; 318
+ SUB *AR0-, 3, A, A ; 319
+ SUB *AR0-, 3, A, B ; 320
+ SUB *AR0-, 3, A ; 321
+ SUB *AR0-, 3, B, A ; 322
+ SUB *AR0-, 3, B, B ; 323
+ SUB *AR0-, 3, B ; 324
+ SUB *AR0-, 4, A, A ; 325
+ SUB *AR0-, 4, A, B ; 326
+ SUB *AR0-, 4, A ; 327
+ SUB *AR0-, 4, B, A ; 328
+ SUB *AR0-, 4, B, B ; 329
+ SUB *AR0-, 4, B ; 330
+ SUB *AR0-, 5, A, A ; 331
+ SUB *AR0-, 5, A, B ; 332
+ SUB *AR0-, 5, A ; 333
+ SUB *AR0-, 5, B, A ; 334
+ SUB *AR0-, 5, B, B ; 335
+ SUB *AR0-, 5, B ; 336
+ SUB *AR0-, 6, A, A ; 337
+ SUB *AR0-, 6, A, B ; 338
+ SUB *AR0-, 6, A ; 339
+ SUB *AR0-, 6, B, A ; 340
+ SUB *AR0-, 6, B, B ; 341
+ SUB *AR0-, 6, B ; 342
+ SUB *AR0-, 7, A, A ; 343
+ SUB *AR0-, 7, A, B ; 344
+ SUB *AR0-, 7, A ; 345
+ SUB *AR0-, 7, B, A ; 346
+ SUB *AR0-, 7, B, B ; 347
+ SUB *AR0-, 7, B ; 348
+ SUB *AR0-, 8, A, A ; 349
+ SUB *AR0-, 8, A, B ; 350
+ SUB *AR0-, 8, A ; 351
+ SUB *AR0-, 8, B, A ; 352
+ SUB *AR0-, 8, B, B ; 353
+ SUB *AR0-, 8, B ; 354
+ SUB *AR0-, 9, A, A ; 355
+ SUB *AR0-, 9, A, B ; 356
+ SUB *AR0-, 9, A ; 357
+ SUB *AR0-, 9, B, A ; 358
+ SUB *AR0-, 9, B, B ; 359
+ SUB *AR0-, 9, B ; 360
+ SUB *AR0-, 10, A, A ; 361
+ SUB *AR0-, 10, A, B ; 362
+ SUB *AR0-, 10, A ; 363
+ SUB *AR0-, 10, B, A ; 364
+ SUB *AR0-, 10, B, B ; 365
+ SUB *AR0-, 10, B ; 366
+ SUB *AR0-, 11, A, A ; 367
+ SUB *AR0-, 11, A, B ; 368
+ SUB *AR0-, 11, A ; 369
+ SUB *AR0-, 11, B, A ; 370
+ SUB *AR0-, 11, B, B ; 371
+ SUB *AR0-, 11, B ; 372
+ SUB *AR0-, 12, A, A ; 373
+ SUB *AR0-, 12, A, B ; 374
+ SUB *AR0-, 12, A ; 375
+ SUB *AR0-, 12, B, A ; 376
+ SUB *AR0-, 12, B, B ; 377
+ SUB *AR0-, 12, B ; 378
+ SUB *AR0-, 13, A, A ; 379
+ SUB *AR0-, 13, A, B ; 380
+ SUB *AR0-, 13, A ; 381
+ SUB *AR0-, 13, B, A ; 382
+ SUB *AR0-, 13, B, B ; 383
+ SUB *AR0-, 13, B ; 384
+ SUB *AR0-, 14, A, A ; 385
+ SUB *AR0-, 14, A, B ; 386
+ SUB *AR0-, 14, A ; 387
+ SUB *AR0-, 14, B, A ; 388
+ SUB *AR0-, 14, B, B ; 389
+ SUB *AR0-, 14, B ; 390
+ SUB *AR0-, 15, A, A ; 391
+ SUB *AR0-, 15, A, B ; 392
+ SUB *AR0-, 15, A ; 393
+ SUB *AR0-, 15, B, A ; 394
+ SUB *AR0-, 15, B, B ; 395
+ SUB *AR0-, 15, B ; 396
+ SUB *AR0+, A, A ; 397
+ SUB *AR0+, A, B ; 398
+ SUB *AR0+, A ; 399
+ SUB *AR0+, B, A ; 400
+ SUB *AR0+, B, B ; 401
+ SUB *AR0+, B ; 402
+ SUB *AR0+, -16, A, A ; 403
+ SUB *AR0+, -16, A, B ; 404
+ SUB *AR0+, -16, A ; 405
+ SUB *AR0+, -16, B, A ; 406
+ SUB *AR0+, -16, B, B ; 407
+ SUB *AR0+, -16, B ; 408
+ SUB *AR0+, -15, A, A ; 409
+ SUB *AR0+, -15, A, B ; 410
+ SUB *AR0+, -15, A ; 411
+ SUB *AR0+, -15, B, A ; 412
+ SUB *AR0+, -15, B, B ; 413
+ SUB *AR0+, -15, B ; 414
+ SUB *AR0+, -14, A, A ; 415
+ SUB *AR0+, -14, A, B ; 416
+ SUB *AR0+, -14, A ; 417
+ SUB *AR0+, -14, B, A ; 418
+ SUB *AR0+, -14, B, B ; 419
+ SUB *AR0+, -14, B ; 420
+ SUB *AR0+, -13, A, A ; 421
+ SUB *AR0+, -13, A, B ; 422
+ SUB *AR0+, -13, A ; 423
+ SUB *AR0+, -13, B, A ; 424
+ SUB *AR0+, -13, B, B ; 425
+ SUB *AR0+, -13, B ; 426
+ SUB *AR0+, -12, A, A ; 427
+ SUB *AR0+, -12, A, B ; 428
+ SUB *AR0+, -12, A ; 429
+ SUB *AR0+, -12, B, A ; 430
+ SUB *AR0+, -12, B, B ; 431
+ SUB *AR0+, -12, B ; 432
+ SUB *AR0+, -11, A, A ; 433
+ SUB *AR0+, -11, A, B ; 434
+ SUB *AR0+, -11, A ; 435
+ SUB *AR0+, -11, B, A ; 436
+ SUB *AR0+, -11, B, B ; 437
+ SUB *AR0+, -11, B ; 438
+ SUB *AR0+, -10, A, A ; 439
+ SUB *AR0+, -10, A, B ; 440
+ SUB *AR0+, -10, A ; 441
+ SUB *AR0+, -10, B, A ; 442
+ SUB *AR0+, -10, B, B ; 443
+ SUB *AR0+, -10, B ; 444
+ SUB *AR0+, -9, A, A ; 445
+ SUB *AR0+, -9, A, B ; 446
+ SUB *AR0+, -9, A ; 447
+ SUB *AR0+, -9, B, A ; 448
+ SUB *AR0+, -9, B, B ; 449
+ SUB *AR0+, -9, B ; 450
+ SUB *AR0+, -8, A, A ; 451
+ SUB *AR0+, -8, A, B ; 452
+ SUB *AR0+, -8, A ; 453
+ SUB *AR0+, -8, B, A ; 454
+ SUB *AR0+, -8, B, B ; 455
+ SUB *AR0+, -8, B ; 456
+ SUB *AR0+, -7, A, A ; 457
+ SUB *AR0+, -7, A, B ; 458
+ SUB *AR0+, -7, A ; 459
+ SUB *AR0+, -7, B, A ; 460
+ SUB *AR0+, -7, B, B ; 461
+ SUB *AR0+, -7, B ; 462
+ SUB *AR0+, -6, A, A ; 463
+ SUB *AR0+, -6, A, B ; 464
+ SUB *AR0+, -6, A ; 465
+ SUB *AR0+, -6, B, A ; 466
+ SUB *AR0+, -6, B, B ; 467
+ SUB *AR0+, -6, B ; 468
+ SUB *AR0+, -5, A, A ; 469
+ SUB *AR0+, -5, A, B ; 470
+ SUB *AR0+, -5, A ; 471
+ SUB *AR0+, -5, B, A ; 472
+ SUB *AR0+, -5, B, B ; 473
+ SUB *AR0+, -5, B ; 474
+ SUB *AR0+, -4, A, A ; 475
+ SUB *AR0+, -4, A, B ; 476
+ SUB *AR0+, -4, A ; 477
+ SUB *AR0+, -4, B, A ; 478
+ SUB *AR0+, -4, B, B ; 479
+ SUB *AR0+, -4, B ; 480
+ SUB *AR0+, -3, A, A ; 481
+ SUB *AR0+, -3, A, B ; 482
+ SUB *AR0+, -3, A ; 483
+ SUB *AR0+, -3, B, A ; 484
+ SUB *AR0+, -3, B, B ; 485
+ SUB *AR0+, -3, B ; 486
+ SUB *AR0+, -2, A, A ; 487
+ SUB *AR0+, -2, A, B ; 488
+ SUB *AR0+, -2, A ; 489
+ SUB *AR0+, -2, B, A ; 490
+ SUB *AR0+, -2, B, B ; 491
+ SUB *AR0+, -2, B ; 492
+ SUB *AR0+, -1, A, A ; 493
+ SUB *AR0+, -1, A, B ; 494
+ SUB *AR0+, -1, A ; 495
+ SUB *AR0+, -1, B, A ; 496
+ SUB *AR0+, -1, B, B ; 497
+ SUB *AR0+, -1, B ; 498
+ SUB *AR0+, 0, A, A ; 499
+ SUB *AR0+, 0, A, B ; 500
+ SUB *AR0+, 0, A ; 501
+ SUB *AR0+, 0, B, A ; 502
+ SUB *AR0+, 0, B, B ; 503
+ SUB *AR0+, 0, B ; 504
+ SUB *AR0+, 1, A, A ; 505
+ SUB *AR0+, 1, A, B ; 506
+ SUB *AR0+, 1, A ; 507
+ SUB *AR0+, 1, B, A ; 508
+ SUB *AR0+, 1, B, B ; 509
+ SUB *AR0+, 1, B ; 510
+ SUB *AR0+, 2, A, A ; 511
+ SUB *AR0+, 2, A, B ; 512
+ SUB *AR0+, 2, A ; 513
+ SUB *AR0+, 2, B, A ; 514
+ SUB *AR0+, 2, B, B ; 515
+ SUB *AR0+, 2, B ; 516
+ SUB *AR0+, 3, A, A ; 517
+ SUB *AR0+, 3, A, B ; 518
+ SUB *AR0+, 3, A ; 519
+ SUB *AR0+, 3, B, A ; 520
+ SUB *AR0+, 3, B, B ; 521
+ SUB *AR0+, 3, B ; 522
+ SUB *AR0+, 4, A, A ; 523
+ SUB *AR0+, 4, A, B ; 524
+ SUB *AR0+, 4, A ; 525
+ SUB *AR0+, 4, B, A ; 526
+ SUB *AR0+, 4, B, B ; 527
+ SUB *AR0+, 4, B ; 528
+ SUB *AR0+, 5, A, A ; 529
+ SUB *AR0+, 5, A, B ; 530
+ SUB *AR0+, 5, A ; 531
+ SUB *AR0+, 5, B, A ; 532
+ SUB *AR0+, 5, B, B ; 533
+ SUB *AR0+, 5, B ; 534
+ SUB *AR0+, 6, A, A ; 535
+ SUB *AR0+, 6, A, B ; 536
+ SUB *AR0+, 6, A ; 537
+ SUB *AR0+, 6, B, A ; 538
+ SUB *AR0+, 6, B, B ; 539
+ SUB *AR0+, 6, B ; 540
+ SUB *AR0+, 7, A, A ; 541
+ SUB *AR0+, 7, A, B ; 542
+ SUB *AR0+, 7, A ; 543
+ SUB *AR0+, 7, B, A ; 544
+ SUB *AR0+, 7, B, B ; 545
+ SUB *AR0+, 7, B ; 546
+ SUB *AR0+, 8, A, A ; 547
+ SUB *AR0+, 8, A, B ; 548
+ SUB *AR0+, 8, A ; 549
+ SUB *AR0+, 8, B, A ; 550
+ SUB *AR0+, 8, B, B ; 551
+ SUB *AR0+, 8, B ; 552
+ SUB *AR0+, 9, A, A ; 553
+ SUB *AR0+, 9, A, B ; 554
+ SUB *AR0+, 9, A ; 555
+ SUB *AR0+, 9, B, A ; 556
+ SUB *AR0+, 9, B, B ; 557
+ SUB *AR0+, 9, B ; 558
+ SUB *AR0+, 10, A, A ; 559
+ SUB *AR0+, 10, A, B ; 560
+ SUB *AR0+, 10, A ; 561
+ SUB *AR0+, 10, B, A ; 562
+ SUB *AR0+, 10, B, B ; 563
+ SUB *AR0+, 10, B ; 564
+ SUB *AR0+, 11, A, A ; 565
+ SUB *AR0+, 11, A, B ; 566
+ SUB *AR0+, 11, A ; 567
+ SUB *AR0+, 11, B, A ; 568
+ SUB *AR0+, 11, B, B ; 569
+ SUB *AR0+, 11, B ; 570
+ SUB *AR0+, 12, A, A ; 571
+ SUB *AR0+, 12, A, B ; 572
+ SUB *AR0+, 12, A ; 573
+ SUB *AR0+, 12, B, A ; 574
+ SUB *AR0+, 12, B, B ; 575
+ SUB *AR0+, 12, B ; 576
+ SUB *AR0+, 13, A, A ; 577
+ SUB *AR0+, 13, A, B ; 578
+ SUB *AR0+, 13, A ; 579
+ SUB *AR0+, 13, B, A ; 580
+ SUB *AR0+, 13, B, B ; 581
+ SUB *AR0+, 13, B ; 582
+ SUB *AR0+, 14, A, A ; 583
+ SUB *AR0+, 14, A, B ; 584
+ SUB *AR0+, 14, A ; 585
+ SUB *AR0+, 14, B, A ; 586
+ SUB *AR0+, 14, B, B ; 587
+ SUB *AR0+, 14, B ; 588
+ SUB *AR0+, 15, A, A ; 589
+ SUB *AR0+, 15, A, B ; 590
+ SUB *AR0+, 15, A ; 591
+ SUB *AR0+, 15, B, A ; 592
+ SUB *AR0+, 15, B, B ; 593
+ SUB *AR0+, 15, B ; 594
+ SUB *AR0-0B, A, A ; 595
+ SUB *AR0-0B, A, B ; 596
+ SUB *AR0-0B, A ; 597
+ SUB *AR0-0B, B, A ; 598
+ SUB *AR0-0B, B, B ; 599
+ SUB *AR0-0B, B ; 600
+ SUB *AR0-0B, -16, A, A ; 601
+ SUB *AR0-0B, -16, A, B ; 602
+ SUB *AR0-0B, -16, A ; 603
+ SUB *AR0-0B, -16, B, A ; 604
+ SUB *AR0-0B, -16, B, B ; 605
+ SUB *AR0-0B, -16, B ; 606
+ SUB *AR0-0B, -15, A, A ; 607
+ SUB *AR0-0B, -15, A, B ; 608
+ SUB *AR0-0B, -15, A ; 609
+ SUB *AR0-0B, -15, B, A ; 610
+ SUB *AR0-0B, -15, B, B ; 611
+ SUB *AR0-0B, -15, B ; 612
+ SUB *AR0-0B, -14, A, A ; 613
+ SUB *AR0-0B, -14, A, B ; 614
+ SUB *AR0-0B, -14, A ; 615
+ SUB *AR0-0B, -14, B, A ; 616
+ SUB *AR0-0B, -14, B, B ; 617
+ SUB *AR0-0B, -14, B ; 618
+ SUB *AR0-0B, -13, A, A ; 619
+ SUB *AR0-0B, -13, A, B ; 620
+ SUB *AR0-0B, -13, A ; 621
+ SUB *AR0-0B, -13, B, A ; 622
+ SUB *AR0-0B, -13, B, B ; 623
+ SUB *AR0-0B, -13, B ; 624
+ SUB *AR0-0B, -12, A, A ; 625
+ SUB *AR0-0B, -12, A, B ; 626
+ SUB *AR0-0B, -12, A ; 627
+ SUB *AR0-0B, -12, B, A ; 628
+ SUB *AR0-0B, -12, B, B ; 629
+ SUB *AR0-0B, -12, B ; 630
+ SUB *AR0-0B, -11, A, A ; 631
+ SUB *AR0-0B, -11, A, B ; 632
+ SUB *AR0-0B, -11, A ; 633
+ SUB *AR0-0B, -11, B, A ; 634
+ SUB *AR0-0B, -11, B, B ; 635
+ SUB *AR0-0B, -11, B ; 636
+ SUB *AR0-0B, -10, A, A ; 637
+ SUB *AR0-0B, -10, A, B ; 638
+ SUB *AR0-0B, -10, A ; 639
+ SUB *AR0-0B, -10, B, A ; 640
+ SUB *AR0-0B, -10, B, B ; 641
+ SUB *AR0-0B, -10, B ; 642
+ SUB *AR0-0B, -9, A, A ; 643
+ SUB *AR0-0B, -9, A, B ; 644
+ SUB *AR0-0B, -9, A ; 645
+ SUB *AR0-0B, -9, B, A ; 646
+ SUB *AR0-0B, -9, B, B ; 647
+ SUB *AR0-0B, -9, B ; 648
+ SUB *AR0-0B, -8, A, A ; 649
+ SUB *AR0-0B, -8, A, B ; 650
+ SUB *AR0-0B, -8, A ; 651
+ SUB *AR0-0B, -8, B, A ; 652
+ SUB *AR0-0B, -8, B, B ; 653
+ SUB *AR0-0B, -8, B ; 654
+ SUB *AR0-0B, -7, A, A ; 655
+ SUB *AR0-0B, -7, A, B ; 656
+ SUB *AR0-0B, -7, A ; 657
+ SUB *AR0-0B, -7, B, A ; 658
+ SUB *AR0-0B, -7, B, B ; 659
+ SUB *AR0-0B, -7, B ; 660
+ SUB *AR0-0B, -6, A, A ; 661
+ SUB *AR0-0B, -6, A, B ; 662
+ SUB *AR0-0B, -6, A ; 663
+ SUB *AR0-0B, -6, B, A ; 664
+ SUB *AR0-0B, -6, B, B ; 665
+ SUB *AR0-0B, -6, B ; 666
+ SUB *AR0-0B, -5, A, A ; 667
+ SUB *AR0-0B, -5, A, B ; 668
+ SUB *AR0-0B, -5, A ; 669
+ SUB *AR0-0B, -5, B, A ; 670
+ SUB *AR0-0B, -5, B, B ; 671
+ SUB *AR0-0B, -5, B ; 672
+ SUB *AR0-0B, -4, A, A ; 673
+ SUB *AR0-0B, -4, A, B ; 674
+ SUB *AR0-0B, -4, A ; 675
+ SUB *AR0-0B, -4, B, A ; 676
+ SUB *AR0-0B, -4, B, B ; 677
+ SUB *AR0-0B, -4, B ; 678
+ SUB *AR0-0B, -3, A, A ; 679
+ SUB *AR0-0B, -3, A, B ; 680
+ SUB *AR0-0B, -3, A ; 681
+ SUB *AR0-0B, -3, B, A ; 682
+ SUB *AR0-0B, -3, B, B ; 683
+ SUB *AR0-0B, -3, B ; 684
+ SUB *AR0-0B, -2, A, A ; 685
+ SUB *AR0-0B, -2, A, B ; 686
+ SUB *AR0-0B, -2, A ; 687
+ SUB *AR0-0B, -2, B, A ; 688
+ SUB *AR0-0B, -2, B, B ; 689
+ SUB *AR0-0B, -2, B ; 690
+ SUB *AR0-0B, -1, A, A ; 691
+ SUB *AR0-0B, -1, A, B ; 692
+ SUB *AR0-0B, -1, A ; 693
+ SUB *AR0-0B, -1, B, A ; 694
+ SUB *AR0-0B, -1, B, B ; 695
+ SUB *AR0-0B, -1, B ; 696
+ SUB *AR0-0B, 0, A, A ; 697
+ SUB *AR0-0B, 0, A, B ; 698
+ SUB *AR0-0B, 0, A ; 699
+ SUB *AR0-0B, 0, B, A ; 700
+ SUB *AR0-0B, 0, B, B ; 701
+ SUB *AR0-0B, 0, B ; 702
+ SUB *AR0-0B, 1, A, A ; 703
+ SUB *AR0-0B, 1, A, B ; 704
+ SUB *AR0-0B, 1, A ; 705
+ SUB *AR0-0B, 1, B, A ; 706
+ SUB *AR0-0B, 1, B, B ; 707
+ SUB *AR0-0B, 1, B ; 708
+ SUB *AR0-0B, 2, A, A ; 709
+ SUB *AR0-0B, 2, A, B ; 710
+ SUB *AR0-0B, 2, A ; 711
+ SUB *AR0-0B, 2, B, A ; 712
+ SUB *AR0-0B, 2, B, B ; 713
+ SUB *AR0-0B, 2, B ; 714
+ SUB *AR0-0B, 3, A, A ; 715
+ SUB *AR0-0B, 3, A, B ; 716
+ SUB *AR0-0B, 3, A ; 717
+ SUB *AR0-0B, 3, B, A ; 718
+ SUB *AR0-0B, 3, B, B ; 719
+ SUB *AR0-0B, 3, B ; 720
+ SUB *AR0-0B, 4, A, A ; 721
+ SUB *AR0-0B, 4, A, B ; 722
+ SUB *AR0-0B, 4, A ; 723
+ SUB *AR0-0B, 4, B, A ; 724
+ SUB *AR0-0B, 4, B, B ; 725
+ SUB *AR0-0B, 4, B ; 726
+ SUB *AR0-0B, 5, A, A ; 727
+ SUB *AR0-0B, 5, A, B ; 728
+ SUB *AR0-0B, 5, A ; 729
+ SUB *AR0-0B, 5, B, A ; 730
+ SUB *AR0-0B, 5, B, B ; 731
+ SUB *AR0-0B, 5, B ; 732
+ SUB *AR0-0B, 6, A, A ; 733
+ SUB *AR0-0B, 6, A, B ; 734
+ SUB *AR0-0B, 6, A ; 735
+ SUB *AR0-0B, 6, B, A ; 736
+ SUB *AR0-0B, 6, B, B ; 737
+ SUB *AR0-0B, 6, B ; 738
+ SUB *AR0-0B, 7, A, A ; 739
+ SUB *AR0-0B, 7, A, B ; 740
+ SUB *AR0-0B, 7, A ; 741
+ SUB *AR0-0B, 7, B, A ; 742
+ SUB *AR0-0B, 7, B, B ; 743
+ SUB *AR0-0B, 7, B ; 744
+ SUB *AR0-0B, 8, A, A ; 745
+ SUB *AR0-0B, 8, A, B ; 746
+ SUB *AR0-0B, 8, A ; 747
+ SUB *AR0-0B, 8, B, A ; 748
+ SUB *AR0-0B, 8, B, B ; 749
+ SUB *AR0-0B, 8, B ; 750
+ SUB *AR0-0B, 9, A, A ; 751
+ SUB *AR0-0B, 9, A, B ; 752
+ SUB *AR0-0B, 9, A ; 753
+ SUB *AR0-0B, 9, B, A ; 754
+ SUB *AR0-0B, 9, B, B ; 755
+ SUB *AR0-0B, 9, B ; 756
+ SUB *AR0-0B, 10, A, A ; 757
+ SUB *AR0-0B, 10, A, B ; 758
+ SUB *AR0-0B, 10, A ; 759
+ SUB *AR0-0B, 10, B, A ; 760
+ SUB *AR0-0B, 10, B, B ; 761
+ SUB *AR0-0B, 10, B ; 762
+ SUB *AR0-0B, 11, A, A ; 763
+ SUB *AR0-0B, 11, A, B ; 764
+ SUB *AR0-0B, 11, A ; 765
+ SUB *AR0-0B, 11, B, A ; 766
+ SUB *AR0-0B, 11, B, B ; 767
+ SUB *AR0-0B, 11, B ; 768
+ SUB *AR0-0B, 12, A, A ; 769
+ SUB *AR0-0B, 12, A, B ; 770
+ SUB *AR0-0B, 12, A ; 771
+ SUB *AR0-0B, 12, B, A ; 772
+ SUB *AR0-0B, 12, B, B ; 773
+ SUB *AR0-0B, 12, B ; 774
+ SUB *AR0-0B, 13, A, A ; 775
+ SUB *AR0-0B, 13, A, B ; 776
+ SUB *AR0-0B, 13, A ; 777
+ SUB *AR0-0B, 13, B, A ; 778
+ SUB *AR0-0B, 13, B, B ; 779
+ SUB *AR0-0B, 13, B ; 780
+ SUB *AR0-0B, 14, A, A ; 781
+ SUB *AR0-0B, 14, A, B ; 782
+ SUB *AR0-0B, 14, A ; 783
+ SUB *AR0-0B, 14, B, A ; 784
+ SUB *AR0-0B, 14, B, B ; 785
+ SUB *AR0-0B, 14, B ; 786
+ SUB *AR0-0B, 15, A, A ; 787
+ SUB *AR0-0B, 15, A, B ; 788
+ SUB *AR0-0B, 15, A ; 789
+ SUB *AR0-0B, 15, B, A ; 790
+ SUB *AR0-0B, 15, B, B ; 791
+ SUB *AR0-0B, 15, B ; 792
+ SUB *AR0-0, A, A ; 793
+ SUB *AR0-0, A, B ; 794
+ SUB *AR0-0, A ; 795
+ SUB *AR0-0, B, A ; 796
+ SUB *AR0-0, B, B ; 797
+ SUB *AR0-0, B ; 798
+ SUB *AR0-0, -16, A, A ; 799
+ SUB *AR0-0, -16, A, B ; 800
+ SUB *AR0-0, -16, A ; 801
+ SUB *AR0-0, -16, B, A ; 802
+ SUB *AR0-0, -16, B, B ; 803
+ SUB *AR0-0, -16, B ; 804
+ SUB *AR0-0, -15, A, A ; 805
+ SUB *AR0-0, -15, A, B ; 806
+ SUB *AR0-0, -15, A ; 807
+ SUB *AR0-0, -15, B, A ; 808
+ SUB *AR0-0, -15, B, B ; 809
+ SUB *AR0-0, -15, B ; 810
+ SUB *AR0-0, -14, A, A ; 811
+ SUB *AR0-0, -14, A, B ; 812
+ SUB *AR0-0, -14, A ; 813
+ SUB *AR0-0, -14, B, A ; 814
+ SUB *AR0-0, -14, B, B ; 815
+ SUB *AR0-0, -14, B ; 816
+ SUB *AR0-0, -13, A, A ; 817
+ SUB *AR0-0, -13, A, B ; 818
+ SUB *AR0-0, -13, A ; 819
+ SUB *AR0-0, -13, B, A ; 820
+ SUB *AR0-0, -13, B, B ; 821
+ SUB *AR0-0, -13, B ; 822
+ SUB *AR0-0, -12, A, A ; 823
+ SUB *AR0-0, -12, A, B ; 824
+ SUB *AR0-0, -12, A ; 825
+ SUB *AR0-0, -12, B, A ; 826
+ SUB *AR0-0, -12, B, B ; 827
+ SUB *AR0-0, -12, B ; 828
+ SUB *AR0-0, -11, A, A ; 829
+ SUB *AR0-0, -11, A, B ; 830
+ SUB *AR0-0, -11, A ; 831
+ SUB *AR0-0, -11, B, A ; 832
+ SUB *AR0-0, -11, B, B ; 833
+ SUB *AR0-0, -11, B ; 834
+ SUB *AR0-0, -10, A, A ; 835
+ SUB *AR0-0, -10, A, B ; 836
+ SUB *AR0-0, -10, A ; 837
+ SUB *AR0-0, -10, B, A ; 838
+ SUB *AR0-0, -10, B, B ; 839
+ SUB *AR0-0, -10, B ; 840
+ SUB *AR0-0, -9, A, A ; 841
+ SUB *AR0-0, -9, A, B ; 842
+ SUB *AR0-0, -9, A ; 843
+ SUB *AR0-0, -9, B, A ; 844
+ SUB *AR0-0, -9, B, B ; 845
+ SUB *AR0-0, -9, B ; 846
+ SUB *AR0-0, -8, A, A ; 847
+ SUB *AR0-0, -8, A, B ; 848
+ SUB *AR0-0, -8, A ; 849
+ SUB *AR0-0, -8, B, A ; 850
+ SUB *AR0-0, -8, B, B ; 851
+ SUB *AR0-0, -8, B ; 852
+ SUB *AR0-0, -7, A, A ; 853
+ SUB *AR0-0, -7, A, B ; 854
+ SUB *AR0-0, -7, A ; 855
+ SUB *AR0-0, -7, B, A ; 856
+ SUB *AR0-0, -7, B, B ; 857
+ SUB *AR0-0, -7, B ; 858
+ SUB *AR0-0, -6, A, A ; 859
+ SUB *AR0-0, -6, A, B ; 860
+ SUB *AR0-0, -6, A ; 861
+ SUB *AR0-0, -6, B, A ; 862
+ SUB *AR0-0, -6, B, B ; 863
+ SUB *AR0-0, -6, B ; 864
+ SUB *AR0-0, -5, A, A ; 865
+ SUB *AR0-0, -5, A, B ; 866
+ SUB *AR0-0, -5, A ; 867
+ SUB *AR0-0, -5, B, A ; 868
+ SUB *AR0-0, -5, B, B ; 869
+ SUB *AR0-0, -5, B ; 870
+ SUB *AR0-0, -4, A, A ; 871
+ SUB *AR0-0, -4, A, B ; 872
+ SUB *AR0-0, -4, A ; 873
+ SUB *AR0-0, -4, B, A ; 874
+ SUB *AR0-0, -4, B, B ; 875
+ SUB *AR0-0, -4, B ; 876
+ SUB *AR0-0, -3, A, A ; 877
+ SUB *AR0-0, -3, A, B ; 878
+ SUB *AR0-0, -3, A ; 879
+ SUB *AR0-0, -3, B, A ; 880
+ SUB *AR0-0, -3, B, B ; 881
+ SUB *AR0-0, -3, B ; 882
+ SUB *AR0-0, -2, A, A ; 883
+ SUB *AR0-0, -2, A, B ; 884
+ SUB *AR0-0, -2, A ; 885
+ SUB *AR0-0, -2, B, A ; 886
+ SUB *AR0-0, -2, B, B ; 887
+ SUB *AR0-0, -2, B ; 888
+ SUB *AR0-0, -1, A, A ; 889
+ SUB *AR0-0, -1, A, B ; 890
+ SUB *AR0-0, -1, A ; 891
+ SUB *AR0-0, -1, B, A ; 892
+ SUB *AR0-0, -1, B, B ; 893
+ SUB *AR0-0, -1, B ; 894
+ SUB *AR0-0, 0, A, A ; 895
+ SUB *AR0-0, 0, A, B ; 896
+ SUB *AR0-0, 0, A ; 897
+ SUB *AR0-0, 0, B, A ; 898
+ SUB *AR0-0, 0, B, B ; 899
+ SUB *AR0-0, 0, B ; 900
+ SUB *AR0-0, 1, A, A ; 901
+ SUB *AR0-0, 1, A, B ; 902
+ SUB *AR0-0, 1, A ; 903
+ SUB *AR0-0, 1, B, A ; 904
+ SUB *AR0-0, 1, B, B ; 905
+ SUB *AR0-0, 1, B ; 906
+ SUB *AR0-0, 2, A, A ; 907
+ SUB *AR0-0, 2, A, B ; 908
+ SUB *AR0-0, 2, A ; 909
+ SUB *AR0-0, 2, B, A ; 910
+ SUB *AR0-0, 2, B, B ; 911
+ SUB *AR0-0, 2, B ; 912
+ SUB *AR0-0, 3, A, A ; 913
+ SUB *AR0-0, 3, A, B ; 914
+ SUB *AR0-0, 3, A ; 915
+ SUB *AR0-0, 3, B, A ; 916
+ SUB *AR0-0, 3, B, B ; 917
+ SUB *AR0-0, 3, B ; 918
+ SUB *AR0-0, 4, A, A ; 919
+ SUB *AR0-0, 4, A, B ; 920
+ SUB *AR0-0, 4, A ; 921
+ SUB *AR0-0, 4, B, A ; 922
+ SUB *AR0-0, 4, B, B ; 923
+ SUB *AR0-0, 4, B ; 924
+ SUB *AR0-0, 5, A, A ; 925
+ SUB *AR0-0, 5, A, B ; 926
+ SUB *AR0-0, 5, A ; 927
+ SUB *AR0-0, 5, B, A ; 928
+ SUB *AR0-0, 5, B, B ; 929
+ SUB *AR0-0, 5, B ; 930
+ SUB *AR0-0, 6, A, A ; 931
+ SUB *AR0-0, 6, A, B ; 932
+ SUB *AR0-0, 6, A ; 933
+ SUB *AR0-0, 6, B, A ; 934
+ SUB *AR0-0, 6, B, B ; 935
+ SUB *AR0-0, 6, B ; 936
+ SUB *AR0-0, 7, A, A ; 937
+ SUB *AR0-0, 7, A, B ; 938
+ SUB *AR0-0, 7, A ; 939
+ SUB *AR0-0, 7, B, A ; 940
+ SUB *AR0-0, 7, B, B ; 941
+ SUB *AR0-0, 7, B ; 942
+ SUB *AR0-0, 8, A, A ; 943
+ SUB *AR0-0, 8, A, B ; 944
+ SUB *AR0-0, 8, A ; 945
+ SUB *AR0-0, 8, B, A ; 946
+ SUB *AR0-0, 8, B, B ; 947
+ SUB *AR0-0, 8, B ; 948
+ SUB *AR0-0, 9, A, A ; 949
+ SUB *AR0-0, 9, A, B ; 950
+ SUB *AR0-0, 9, A ; 951
+ SUB *AR0-0, 9, B, A ; 952
+ SUB *AR0-0, 9, B, B ; 953
+ SUB *AR0-0, 9, B ; 954
+ SUB *AR0-0, 10, A, A ; 955
+ SUB *AR0-0, 10, A, B ; 956
+ SUB *AR0-0, 10, A ; 957
+ SUB *AR0-0, 10, B, A ; 958
+ SUB *AR0-0, 10, B, B ; 959
+ SUB *AR0-0, 10, B ; 960
+ SUB *AR0-0, 11, A, A ; 961
+ SUB *AR0-0, 11, A, B ; 962
+ SUB *AR0-0, 11, A ; 963
+ SUB *AR0-0, 11, B, A ; 964
+ SUB *AR0-0, 11, B, B ; 965
+ SUB *AR0-0, 11, B ; 966
+ SUB *AR0-0, 12, A, A ; 967
+ SUB *AR0-0, 12, A, B ; 968
+ SUB *AR0-0, 12, A ; 969
+ SUB *AR0-0, 12, B, A ; 970
+ SUB *AR0-0, 12, B, B ; 971
+ SUB *AR0-0, 12, B ; 972
+ SUB *AR0-0, 13, A, A ; 973
+ SUB *AR0-0, 13, A, B ; 974
+ SUB *AR0-0, 13, A ; 975
+ SUB *AR0-0, 13, B, A ; 976
+ SUB *AR0-0, 13, B, B ; 977
+ SUB *AR0-0, 13, B ; 978
+ SUB *AR0-0, 14, A, A ; 979
+ SUB *AR0-0, 14, A, B ; 980
+ SUB *AR0-0, 14, A ; 981
+ SUB *AR0-0, 14, B, A ; 982
+ SUB *AR0-0, 14, B, B ; 983
+ SUB *AR0-0, 14, B ; 984
+ SUB *AR0-0, 15, A, A ; 985
+ SUB *AR0-0, 15, A, B ; 986
+ SUB *AR0-0, 15, A ; 987
+ SUB *AR0-0, 15, B, A ; 988
+ SUB *AR0-0, 15, B, B ; 989
+ SUB *AR0-0, 15, B ; 990
+ SUB *AR0+0, A, A ; 991
+ SUB *AR0+0, A, B ; 992
+ SUB *AR0+0, A ; 993
+ SUB *AR0+0, B, A ; 994
+ SUB *AR0+0, B, B ; 995
+ SUB *AR0+0, B ; 996
+ SUB *AR0+0, -16, A, A ; 997
+ SUB *AR0+0, -16, A, B ; 998
+ SUB *AR0+0, -16, A ; 999
+ SUB *AR0+0, -16, B, A ; 1000
+ SUB *AR0+0, -16, B, B ; 1001
+ SUB *AR0+0, -16, B ; 1002
+ SUB *AR0+0, -15, A, A ; 1003
+ SUB *AR0+0, -15, A, B ; 1004
+ SUB *AR0+0, -15, A ; 1005
+ SUB *AR0+0, -15, B, A ; 1006
+ SUB *AR0+0, -15, B, B ; 1007
+ SUB *AR0+0, -15, B ; 1008
+ SUB *AR0+0, -14, A, A ; 1009
+ SUB *AR0+0, -14, A, B ; 1010
+ SUB *AR0+0, -14, A ; 1011
+ SUB *AR0+0, -14, B, A ; 1012
+ SUB *AR0+0, -14, B, B ; 1013
+ SUB *AR0+0, -14, B ; 1014
+ SUB *AR0+0, -13, A, A ; 1015
+ SUB *AR0+0, -13, A, B ; 1016
+ SUB *AR0+0, -13, A ; 1017
+ SUB *AR0+0, -13, B, A ; 1018
+ SUB *AR0+0, -13, B, B ; 1019
+ SUB *AR0+0, -13, B ; 1020
+ SUB *AR0+0, -12, A, A ; 1021
+ SUB *AR0+0, -12, A, B ; 1022
+ SUB *AR0+0, -12, A ; 1023
+ SUB *AR0+0, -12, B, A ; 1024
+ SUB *AR0+0, -12, B, B ; 1025
+ SUB *AR0+0, -12, B ; 1026
+ SUB *AR0+0, -11, A, A ; 1027
+ SUB *AR0+0, -11, A, B ; 1028
+ SUB *AR0+0, -11, A ; 1029
+ SUB *AR0+0, -11, B, A ; 1030
+ SUB *AR0+0, -11, B, B ; 1031
+ SUB *AR0+0, -11, B ; 1032
+ SUB *AR0+0, -10, A, A ; 1033
+ SUB *AR0+0, -10, A, B ; 1034
+ SUB *AR0+0, -10, A ; 1035
+ SUB *AR0+0, -10, B, A ; 1036
+ SUB *AR0+0, -10, B, B ; 1037
+ SUB *AR0+0, -10, B ; 1038
+ SUB *AR0+0, -9, A, A ; 1039
+ SUB *AR0+0, -9, A, B ; 1040
+ SUB *AR0+0, -9, A ; 1041
+ SUB *AR0+0, -9, B, A ; 1042
+ SUB *AR0+0, -9, B, B ; 1043
+ SUB *AR0+0, -9, B ; 1044
+ SUB *AR0+0, -8, A, A ; 1045
+ SUB *AR0+0, -8, A, B ; 1046
+ SUB *AR0+0, -8, A ; 1047
+ SUB *AR0+0, -8, B, A ; 1048
+ SUB *AR0+0, -8, B, B ; 1049
+ SUB *AR0+0, -8, B ; 1050
+ SUB *AR0+0, -7, A, A ; 1051
+ SUB *AR0+0, -7, A, B ; 1052
+ SUB *AR0+0, -7, A ; 1053
+ SUB *AR0+0, -7, B, A ; 1054
+ SUB *AR0+0, -7, B, B ; 1055
+ SUB *AR0+0, -7, B ; 1056
+ SUB *AR0+0, -6, A, A ; 1057
+ SUB *AR0+0, -6, A, B ; 1058
+ SUB *AR0+0, -6, A ; 1059
+ SUB *AR0+0, -6, B, A ; 1060
+ SUB *AR0+0, -6, B, B ; 1061
+ SUB *AR0+0, -6, B ; 1062
+ SUB *AR0+0, -5, A, A ; 1063
+ SUB *AR0+0, -5, A, B ; 1064
+ SUB *AR0+0, -5, A ; 1065
+ SUB *AR0+0, -5, B, A ; 1066
+ SUB *AR0+0, -5, B, B ; 1067
+ SUB *AR0+0, -5, B ; 1068
+ SUB *AR0+0, -4, A, A ; 1069
+ SUB *AR0+0, -4, A, B ; 1070
+ SUB *AR0+0, -4, A ; 1071
+ SUB *AR0+0, -4, B, A ; 1072
+ SUB *AR0+0, -4, B, B ; 1073
+ SUB *AR0+0, -4, B ; 1074
+ SUB *AR0+0, -3, A, A ; 1075
+ SUB *AR0+0, -3, A, B ; 1076
+ SUB *AR0+0, -3, A ; 1077
+ SUB *AR0+0, -3, B, A ; 1078
+ SUB *AR0+0, -3, B, B ; 1079
+ SUB *AR0+0, -3, B ; 1080
+ SUB *AR0+0, -2, A, A ; 1081
+ SUB *AR0+0, -2, A, B ; 1082
+ SUB *AR0+0, -2, A ; 1083
+ SUB *AR0+0, -2, B, A ; 1084
+ SUB *AR0+0, -2, B, B ; 1085
+ SUB *AR0+0, -2, B ; 1086
+ SUB *AR0+0, -1, A, A ; 1087
+ SUB *AR0+0, -1, A, B ; 1088
+ SUB *AR0+0, -1, A ; 1089
+ SUB *AR0+0, -1, B, A ; 1090
+ SUB *AR0+0, -1, B, B ; 1091
+ SUB *AR0+0, -1, B ; 1092
+ SUB *AR0+0, 0, A, A ; 1093
+ SUB *AR0+0, 0, A, B ; 1094
+ SUB *AR0+0, 0, A ; 1095
+ SUB *AR0+0, 0, B, A ; 1096
+ SUB *AR0+0, 0, B, B ; 1097
+ SUB *AR0+0, 0, B ; 1098
+ SUB *AR0+0, 1, A, A ; 1099
+ SUB *AR0+0, 1, A, B ; 1100
+ SUB *AR0+0, 1, A ; 1101
+ SUB *AR0+0, 1, B, A ; 1102
+ SUB *AR0+0, 1, B, B ; 1103
+ SUB *AR0+0, 1, B ; 1104
+ SUB *AR0+0, 2, A, A ; 1105
+ SUB *AR0+0, 2, A, B ; 1106
+ SUB *AR0+0, 2, A ; 1107
+ SUB *AR0+0, 2, B, A ; 1108
+ SUB *AR0+0, 2, B, B ; 1109
+ SUB *AR0+0, 2, B ; 1110
+ SUB *AR0+0, 3, A, A ; 1111
+ SUB *AR0+0, 3, A, B ; 1112
+ SUB *AR0+0, 3, A ; 1113
+ SUB *AR0+0, 3, B, A ; 1114
+ SUB *AR0+0, 3, B, B ; 1115
+ SUB *AR0+0, 3, B ; 1116
+ SUB *AR0+0, 4, A, A ; 1117
+ SUB *AR0+0, 4, A, B ; 1118
+ SUB *AR0+0, 4, A ; 1119
+ SUB *AR0+0, 4, B, A ; 1120
+ SUB *AR0+0, 4, B, B ; 1121
+ SUB *AR0+0, 4, B ; 1122
+ SUB *AR0+0, 5, A, A ; 1123
+ SUB *AR0+0, 5, A, B ; 1124
+ SUB *AR0+0, 5, A ; 1125
+ SUB *AR0+0, 5, B, A ; 1126
+ SUB *AR0+0, 5, B, B ; 1127
+ SUB *AR0+0, 5, B ; 1128
+ SUB *AR0+0, 6, A, A ; 1129
+ SUB *AR0+0, 6, A, B ; 1130
+ SUB *AR0+0, 6, A ; 1131
+ SUB *AR0+0, 6, B, A ; 1132
+ SUB *AR0+0, 6, B, B ; 1133
+ SUB *AR0+0, 6, B ; 1134
+ SUB *AR0+0, 7, A, A ; 1135
+ SUB *AR0+0, 7, A, B ; 1136
+ SUB *AR0+0, 7, A ; 1137
+ SUB *AR0+0, 7, B, A ; 1138
+ SUB *AR0+0, 7, B, B ; 1139
+ SUB *AR0+0, 7, B ; 1140
+ SUB *AR0+0, 8, A, A ; 1141
+ SUB *AR0+0, 8, A, B ; 1142
+ SUB *AR0+0, 8, A ; 1143
+ SUB *AR0+0, 8, B, A ; 1144
+ SUB *AR0+0, 8, B, B ; 1145
+ SUB *AR0+0, 8, B ; 1146
+ SUB *AR0+0, 9, A, A ; 1147
+ SUB *AR0+0, 9, A, B ; 1148
+ SUB *AR0+0, 9, A ; 1149
+ SUB *AR0+0, 9, B, A ; 1150
+ SUB *AR0+0, 9, B, B ; 1151
+ SUB *AR0+0, 9, B ; 1152
+ SUB *AR0+0, 10, A, A ; 1153
+ SUB *AR0+0, 10, A, B ; 1154
+ SUB *AR0+0, 10, A ; 1155
+ SUB *AR0+0, 10, B, A ; 1156
+ SUB *AR0+0, 10, B, B ; 1157
+ SUB *AR0+0, 10, B ; 1158
+ SUB *AR0+0, 11, A, A ; 1159
+ SUB *AR0+0, 11, A, B ; 1160
+ SUB *AR0+0, 11, A ; 1161
+ SUB *AR0+0, 11, B, A ; 1162
+ SUB *AR0+0, 11, B, B ; 1163
+ SUB *AR0+0, 11, B ; 1164
+ SUB *AR0+0, 12, A, A ; 1165
+ SUB *AR0+0, 12, A, B ; 1166
+ SUB *AR0+0, 12, A ; 1167
+ SUB *AR0+0, 12, B, A ; 1168
+ SUB *AR0+0, 12, B, B ; 1169
+ SUB *AR0+0, 12, B ; 1170
+ SUB *AR0+0, 13, A, A ; 1171
+ SUB *AR0+0, 13, A, B ; 1172
+ SUB *AR0+0, 13, A ; 1173
+ SUB *AR0+0, 13, B, A ; 1174
+ SUB *AR0+0, 13, B, B ; 1175
+ SUB *AR0+0, 13, B ; 1176
+ SUB *AR0+0, 14, A, A ; 1177
+ SUB *AR0+0, 14, A, B ; 1178
+ SUB *AR0+0, 14, A ; 1179
+ SUB *AR0+0, 14, B, A ; 1180
+ SUB *AR0+0, 14, B, B ; 1181
+ SUB *AR0+0, 14, B ; 1182
+ SUB *AR0+0, 15, A, A ; 1183
+ SUB *AR0+0, 15, A, B ; 1184
+ SUB *AR0+0, 15, A ; 1185
+ SUB *AR0+0, 15, B, A ; 1186
+ SUB *AR0+0, 15, B, B ; 1187
+ SUB *AR0+0, 15, B ; 1188
+ SUB *AR0+0B, A, A ; 1189
+ SUB *AR0+0B, A, B ; 1190
+ SUB *AR0+0B, A ; 1191
+ SUB *AR0+0B, B, A ; 1192
+ SUB *AR0+0B, B, B ; 1193
+ SUB *AR0+0B, B ; 1194
+ SUB *AR0+0B, -16, A, A ; 1195
+ SUB *AR0+0B, -16, A, B ; 1196
+ SUB *AR0+0B, -16, A ; 1197
+ SUB *AR0+0B, -16, B, A ; 1198
+ SUB *AR0+0B, -16, B, B ; 1199
+ SUB *AR0+0B, -16, B ; 1200
+ SUB *AR0+0B, -15, A, A ; 1201
+ SUB *AR0+0B, -15, A, B ; 1202
+ SUB *AR0+0B, -15, A ; 1203
+ SUB *AR0+0B, -15, B, A ; 1204
+ SUB *AR0+0B, -15, B, B ; 1205
+ SUB *AR0+0B, -15, B ; 1206
+ SUB *AR0+0B, -14, A, A ; 1207
+ SUB *AR0+0B, -14, A, B ; 1208
+ SUB *AR0+0B, -14, A ; 1209
+ SUB *AR0+0B, -14, B, A ; 1210
+ SUB *AR0+0B, -14, B, B ; 1211
+ SUB *AR0+0B, -14, B ; 1212
+ SUB *AR0+0B, -13, A, A ; 1213
+ SUB *AR0+0B, -13, A, B ; 1214
+ SUB *AR0+0B, -13, A ; 1215
+ SUB *AR0+0B, -13, B, A ; 1216
+ SUB *AR0+0B, -13, B, B ; 1217
+ SUB *AR0+0B, -13, B ; 1218
+ SUB *AR0+0B, -12, A, A ; 1219
+ SUB *AR0+0B, -12, A, B ; 1220
+ SUB *AR0+0B, -12, A ; 1221
+ SUB *AR0+0B, -12, B, A ; 1222
+ SUB *AR0+0B, -12, B, B ; 1223
+ SUB *AR0+0B, -12, B ; 1224
+ SUB *AR0+0B, -11, A, A ; 1225
+ SUB *AR0+0B, -11, A, B ; 1226
+ SUB *AR0+0B, -11, A ; 1227
+ SUB *AR0+0B, -11, B, A ; 1228
+ SUB *AR0+0B, -11, B, B ; 1229
+ SUB *AR0+0B, -11, B ; 1230
+ SUB *AR0+0B, -10, A, A ; 1231
+ SUB *AR0+0B, -10, A, B ; 1232
+ SUB *AR0+0B, -10, A ; 1233
+ SUB *AR0+0B, -10, B, A ; 1234
+ SUB *AR0+0B, -10, B, B ; 1235
+ SUB *AR0+0B, -10, B ; 1236
+ SUB *AR0+0B, -9, A, A ; 1237
+ SUB *AR0+0B, -9, A, B ; 1238
+ SUB *AR0+0B, -9, A ; 1239
+ SUB *AR0+0B, -9, B, A ; 1240
+ SUB *AR0+0B, -9, B, B ; 1241
+ SUB *AR0+0B, -9, B ; 1242
+ SUB *AR0+0B, -8, A, A ; 1243
+ SUB *AR0+0B, -8, A, B ; 1244
+ SUB *AR0+0B, -8, A ; 1245
+ SUB *AR0+0B, -8, B, A ; 1246
+ SUB *AR0+0B, -8, B, B ; 1247
+ SUB *AR0+0B, -8, B ; 1248
+ SUB *AR0+0B, -7, A, A ; 1249
+ SUB *AR0+0B, -7, A, B ; 1250
+ SUB *AR0+0B, -7, A ; 1251
+ SUB *AR0+0B, -7, B, A ; 1252
+ SUB *AR0+0B, -7, B, B ; 1253
+ SUB *AR0+0B, -7, B ; 1254
+ SUB *AR0+0B, -6, A, A ; 1255
+ SUB *AR0+0B, -6, A, B ; 1256
+ SUB *AR0+0B, -6, A ; 1257
+ SUB *AR0+0B, -6, B, A ; 1258
+ SUB *AR0+0B, -6, B, B ; 1259
+ SUB *AR0+0B, -6, B ; 1260
+ SUB *AR0+0B, -5, A, A ; 1261
+ SUB *AR0+0B, -5, A, B ; 1262
+ SUB *AR0+0B, -5, A ; 1263
+ SUB *AR0+0B, -5, B, A ; 1264
+ SUB *AR0+0B, -5, B, B ; 1265
+ SUB *AR0+0B, -5, B ; 1266
+ SUB *AR0+0B, -4, A, A ; 1267
+ SUB *AR0+0B, -4, A, B ; 1268
+ SUB *AR0+0B, -4, A ; 1269
+ SUB *AR0+0B, -4, B, A ; 1270
+ SUB *AR0+0B, -4, B, B ; 1271
+ SUB *AR0+0B, -4, B ; 1272
+ SUB *AR0+0B, -3, A, A ; 1273
+ SUB *AR0+0B, -3, A, B ; 1274
+ SUB *AR0+0B, -3, A ; 1275
+ SUB *AR0+0B, -3, B, A ; 1276
+ SUB *AR0+0B, -3, B, B ; 1277
+ SUB *AR0+0B, -3, B ; 1278
+ SUB *AR0+0B, -2, A, A ; 1279
+ SUB *AR0+0B, -2, A, B ; 1280
+ SUB *AR0+0B, -2, A ; 1281
+ SUB *AR0+0B, -2, B, A ; 1282
+ SUB *AR0+0B, -2, B, B ; 1283
+ SUB *AR0+0B, -2, B ; 1284
+ SUB *AR0+0B, -1, A, A ; 1285
+ SUB *AR0+0B, -1, A, B ; 1286
+ SUB *AR0+0B, -1, A ; 1287
+ SUB *AR0+0B, -1, B, A ; 1288
+ SUB *AR0+0B, -1, B, B ; 1289
+ SUB *AR0+0B, -1, B ; 1290
+ SUB *AR0+0B, 0, A, A ; 1291
+ SUB *AR0+0B, 0, A, B ; 1292
+ SUB *AR0+0B, 0, A ; 1293
+ SUB *AR0+0B, 0, B, A ; 1294
+ SUB *AR0+0B, 0, B, B ; 1295
+ SUB *AR0+0B, 0, B ; 1296
+ SUB *AR0+0B, 1, A, A ; 1297
+ SUB *AR0+0B, 1, A, B ; 1298
+ SUB *AR0+0B, 1, A ; 1299
+ SUB *AR0+0B, 1, B, A ; 1300
+ SUB *AR0+0B, 1, B, B ; 1301
+ SUB *AR0+0B, 1, B ; 1302
+ SUB *AR0+0B, 2, A, A ; 1303
+ SUB *AR0+0B, 2, A, B ; 1304
+ SUB *AR0+0B, 2, A ; 1305
+ SUB *AR0+0B, 2, B, A ; 1306
+ SUB *AR0+0B, 2, B, B ; 1307
+ SUB *AR0+0B, 2, B ; 1308
+ SUB *AR0+0B, 3, A, A ; 1309
+ SUB *AR0+0B, 3, A, B ; 1310
+ SUB *AR0+0B, 3, A ; 1311
+ SUB *AR0+0B, 3, B, A ; 1312
+ SUB *AR0+0B, 3, B, B ; 1313
+ SUB *AR0+0B, 3, B ; 1314
+ SUB *AR0+0B, 4, A, A ; 1315
+ SUB *AR0+0B, 4, A, B ; 1316
+ SUB *AR0+0B, 4, A ; 1317
+ SUB *AR0+0B, 4, B, A ; 1318
+ SUB *AR0+0B, 4, B, B ; 1319
+ SUB *AR0+0B, 4, B ; 1320
+ SUB *AR0+0B, 5, A, A ; 1321
+ SUB *AR0+0B, 5, A, B ; 1322
+ SUB *AR0+0B, 5, A ; 1323
+ SUB *AR0+0B, 5, B, A ; 1324
+ SUB *AR0+0B, 5, B, B ; 1325
+ SUB *AR0+0B, 5, B ; 1326
+ SUB *AR0+0B, 6, A, A ; 1327
+ SUB *AR0+0B, 6, A, B ; 1328
+ SUB *AR0+0B, 6, A ; 1329
+ SUB *AR0+0B, 6, B, A ; 1330
+ SUB *AR0+0B, 6, B, B ; 1331
+ SUB *AR0+0B, 6, B ; 1332
+ SUB *AR0+0B, 7, A, A ; 1333
+ SUB *AR0+0B, 7, A, B ; 1334
+ SUB *AR0+0B, 7, A ; 1335
+ SUB *AR0+0B, 7, B, A ; 1336
+ SUB *AR0+0B, 7, B, B ; 1337
+ SUB *AR0+0B, 7, B ; 1338
+ SUB *AR0+0B, 8, A, A ; 1339
+ SUB *AR0+0B, 8, A, B ; 1340
+ SUB *AR0+0B, 8, A ; 1341
+ SUB *AR0+0B, 8, B, A ; 1342
+ SUB *AR0+0B, 8, B, B ; 1343
+ SUB *AR0+0B, 8, B ; 1344
+ SUB *AR0+0B, 9, A, A ; 1345
+ SUB *AR0+0B, 9, A, B ; 1346
+ SUB *AR0+0B, 9, A ; 1347
+ SUB *AR0+0B, 9, B, A ; 1348
+ SUB *AR0+0B, 9, B, B ; 1349
+ SUB *AR0+0B, 9, B ; 1350
+ SUB *AR0+0B, 10, A, A ; 1351
+ SUB *AR0+0B, 10, A, B ; 1352
+ SUB *AR0+0B, 10, A ; 1353
+ SUB *AR0+0B, 10, B, A ; 1354
+ SUB *AR0+0B, 10, B, B ; 1355
+ SUB *AR0+0B, 10, B ; 1356
+ SUB *AR0+0B, 11, A, A ; 1357
+ SUB *AR0+0B, 11, A, B ; 1358
+ SUB *AR0+0B, 11, A ; 1359
+ SUB *AR0+0B, 11, B, A ; 1360
+ SUB *AR0+0B, 11, B, B ; 1361
+ SUB *AR0+0B, 11, B ; 1362
+ SUB *AR0+0B, 12, A, A ; 1363
+ SUB *AR0+0B, 12, A, B ; 1364
+ SUB *AR0+0B, 12, A ; 1365
+ SUB *AR0+0B, 12, B, A ; 1366
+ SUB *AR0+0B, 12, B, B ; 1367
+ SUB *AR0+0B, 12, B ; 1368
+ SUB *AR0+0B, 13, A, A ; 1369
+ SUB *AR0+0B, 13, A, B ; 1370
+ SUB *AR0+0B, 13, A ; 1371
+ SUB *AR0+0B, 13, B, A ; 1372
+ SUB *AR0+0B, 13, B, B ; 1373
+ SUB *AR0+0B, 13, B ; 1374
+ SUB *AR0+0B, 14, A, A ; 1375
+ SUB *AR0+0B, 14, A, B ; 1376
+ SUB *AR0+0B, 14, A ; 1377
+ SUB *AR0+0B, 14, B, A ; 1378
+ SUB *AR0+0B, 14, B, B ; 1379
+ SUB *AR0+0B, 14, B ; 1380
+ SUB *AR0+0B, 15, A, A ; 1381
+ SUB *AR0+0B, 15, A, B ; 1382
+ SUB *AR0+0B, 15, A ; 1383
+ SUB *AR0+0B, 15, B, A ; 1384
+ SUB *AR0+0B, 15, B, B ; 1385
+ SUB *AR0+0B, 15, B ; 1386
+ SUB *AR0-%, A, A ; 1387
+ SUB *AR0-%, A, B ; 1388
+ SUB *AR0-%, A ; 1389
+ SUB *AR0-%, B, A ; 1390
+ SUB *AR0-%, B, B ; 1391
+ SUB *AR0-%, B ; 1392
+ SUB *AR0-%, -16, A, A ; 1393
+ SUB *AR0-%, -16, A, B ; 1394
+ SUB *AR0-%, -16, A ; 1395
+ SUB *AR0-%, -16, B, A ; 1396
+ SUB *AR0-%, -16, B, B ; 1397
+ SUB *AR0-%, -16, B ; 1398
+ SUB *AR0-%, -15, A, A ; 1399
+ SUB *AR0-%, -15, A, B ; 1400
+ SUB *AR0-%, -15, A ; 1401
+ SUB *AR0-%, -15, B, A ; 1402
+ SUB *AR0-%, -15, B, B ; 1403
+ SUB *AR0-%, -15, B ; 1404
+ SUB *AR0-%, -14, A, A ; 1405
+ SUB *AR0-%, -14, A, B ; 1406
+ SUB *AR0-%, -14, A ; 1407
+ SUB *AR0-%, -14, B, A ; 1408
+ SUB *AR0-%, -14, B, B ; 1409
+ SUB *AR0-%, -14, B ; 1410
+ SUB *AR0-%, -13, A, A ; 1411
+ SUB *AR0-%, -13, A, B ; 1412
+ SUB *AR0-%, -13, A ; 1413
+ SUB *AR0-%, -13, B, A ; 1414
+ SUB *AR0-%, -13, B, B ; 1415
+ SUB *AR0-%, -13, B ; 1416
+ SUB *AR0-%, -12, A, A ; 1417
+ SUB *AR0-%, -12, A, B ; 1418
+ SUB *AR0-%, -12, A ; 1419
+ SUB *AR0-%, -12, B, A ; 1420
+ SUB *AR0-%, -12, B, B ; 1421
+ SUB *AR0-%, -12, B ; 1422
+ SUB *AR0-%, -11, A, A ; 1423
+ SUB *AR0-%, -11, A, B ; 1424
+ SUB *AR0-%, -11, A ; 1425
+ SUB *AR0-%, -11, B, A ; 1426
+ SUB *AR0-%, -11, B, B ; 1427
+ SUB *AR0-%, -11, B ; 1428
+ SUB *AR0-%, -10, A, A ; 1429
+ SUB *AR0-%, -10, A, B ; 1430
+ SUB *AR0-%, -10, A ; 1431
+ SUB *AR0-%, -10, B, A ; 1432
+ SUB *AR0-%, -10, B, B ; 1433
+ SUB *AR0-%, -10, B ; 1434
+ SUB *AR0-%, -9, A, A ; 1435
+ SUB *AR0-%, -9, A, B ; 1436
+ SUB *AR0-%, -9, A ; 1437
+ SUB *AR0-%, -9, B, A ; 1438
+ SUB *AR0-%, -9, B, B ; 1439
+ SUB *AR0-%, -9, B ; 1440
+ SUB *AR0-%, -8, A, A ; 1441
+ SUB *AR0-%, -8, A, B ; 1442
+ SUB *AR0-%, -8, A ; 1443
+ SUB *AR0-%, -8, B, A ; 1444
+ SUB *AR0-%, -8, B, B ; 1445
+ SUB *AR0-%, -8, B ; 1446
+ SUB *AR0-%, -7, A, A ; 1447
+ SUB *AR0-%, -7, A, B ; 1448
+ SUB *AR0-%, -7, A ; 1449
+ SUB *AR0-%, -7, B, A ; 1450
+ SUB *AR0-%, -7, B, B ; 1451
+ SUB *AR0-%, -7, B ; 1452
+ SUB *AR0-%, -6, A, A ; 1453
+ SUB *AR0-%, -6, A, B ; 1454
+ SUB *AR0-%, -6, A ; 1455
+ SUB *AR0-%, -6, B, A ; 1456
+ SUB *AR0-%, -6, B, B ; 1457
+ SUB *AR0-%, -6, B ; 1458
+ SUB *AR0-%, -5, A, A ; 1459
+ SUB *AR0-%, -5, A, B ; 1460
+ SUB *AR0-%, -5, A ; 1461
+ SUB *AR0-%, -5, B, A ; 1462
+ SUB *AR0-%, -5, B, B ; 1463
+ SUB *AR0-%, -5, B ; 1464
+ SUB *AR0-%, -4, A, A ; 1465
+ SUB *AR0-%, -4, A, B ; 1466
+ SUB *AR0-%, -4, A ; 1467
+ SUB *AR0-%, -4, B, A ; 1468
+ SUB *AR0-%, -4, B, B ; 1469
+ SUB *AR0-%, -4, B ; 1470
+ SUB *AR0-%, -3, A, A ; 1471
+ SUB *AR0-%, -3, A, B ; 1472
+ SUB *AR0-%, -3, A ; 1473
+ SUB *AR0-%, -3, B, A ; 1474
+ SUB *AR0-%, -3, B, B ; 1475
+ SUB *AR0-%, -3, B ; 1476
+ SUB *AR0-%, -2, A, A ; 1477
+ SUB *AR0-%, -2, A, B ; 1478
+ SUB *AR0-%, -2, A ; 1479
+ SUB *AR0-%, -2, B, A ; 1480
+ SUB *AR0-%, -2, B, B ; 1481
+ SUB *AR0-%, -2, B ; 1482
+ SUB *AR0-%, -1, A, A ; 1483
+ SUB *AR0-%, -1, A, B ; 1484
+ SUB *AR0-%, -1, A ; 1485
+ SUB *AR0-%, -1, B, A ; 1486
+ SUB *AR0-%, -1, B, B ; 1487
+ SUB *AR0-%, -1, B ; 1488
+ SUB *AR0-%, 0, A, A ; 1489
+ SUB *AR0-%, 0, A, B ; 1490
+ SUB *AR0-%, 0, A ; 1491
+ SUB *AR0-%, 0, B, A ; 1492
+ SUB *AR0-%, 0, B, B ; 1493
+ SUB *AR0-%, 0, B ; 1494
+ SUB *AR0-%, 1, A, A ; 1495
+ SUB *AR0-%, 1, A, B ; 1496
+ SUB *AR0-%, 1, A ; 1497
+ SUB *AR0-%, 1, B, A ; 1498
+ SUB *AR0-%, 1, B, B ; 1499
+ SUB *AR0-%, 1, B ; 1500
+ SUB *AR0-%, 2, A, A ; 1501
+ SUB *AR0-%, 2, A, B ; 1502
+ SUB *AR0-%, 2, A ; 1503
+ SUB *AR0-%, 2, B, A ; 1504
+ SUB *AR0-%, 2, B, B ; 1505
+ SUB *AR0-%, 2, B ; 1506
+ SUB *AR0-%, 3, A, A ; 1507
+ SUB *AR0-%, 3, A, B ; 1508
+ SUB *AR0-%, 3, A ; 1509
+ SUB *AR0-%, 3, B, A ; 1510
+ SUB *AR0-%, 3, B, B ; 1511
+ SUB *AR0-%, 3, B ; 1512
+ SUB *AR0-%, 4, A, A ; 1513
+ SUB *AR0-%, 4, A, B ; 1514
+ SUB *AR0-%, 4, A ; 1515
+ SUB *AR0-%, 4, B, A ; 1516
+ SUB *AR0-%, 4, B, B ; 1517
+ SUB *AR0-%, 4, B ; 1518
+ SUB *AR0-%, 5, A, A ; 1519
+ SUB *AR0-%, 5, A, B ; 1520
+ SUB *AR0-%, 5, A ; 1521
+ SUB *AR0-%, 5, B, A ; 1522
+ SUB *AR0-%, 5, B, B ; 1523
+ SUB *AR0-%, 5, B ; 1524
+ SUB *AR0-%, 6, A, A ; 1525
+ SUB *AR0-%, 6, A, B ; 1526
+ SUB *AR0-%, 6, A ; 1527
+ SUB *AR0-%, 6, B, A ; 1528
+ SUB *AR0-%, 6, B, B ; 1529
+ SUB *AR0-%, 6, B ; 1530
+ SUB *AR0-%, 7, A, A ; 1531
+ SUB *AR0-%, 7, A, B ; 1532
+ SUB *AR0-%, 7, A ; 1533
+ SUB *AR0-%, 7, B, A ; 1534
+ SUB *AR0-%, 7, B, B ; 1535
+ SUB *AR0-%, 7, B ; 1536
+ SUB *AR0-%, 8, A, A ; 1537
+ SUB *AR0-%, 8, A, B ; 1538
+ SUB *AR0-%, 8, A ; 1539
+ SUB *AR0-%, 8, B, A ; 1540
+ SUB *AR0-%, 8, B, B ; 1541
+ SUB *AR0-%, 8, B ; 1542
+ SUB *AR0-%, 9, A, A ; 1543
+ SUB *AR0-%, 9, A, B ; 1544
+ SUB *AR0-%, 9, A ; 1545
+ SUB *AR0-%, 9, B, A ; 1546
+ SUB *AR0-%, 9, B, B ; 1547
+ SUB *AR0-%, 9, B ; 1548
+ SUB *AR0-%, 10, A, A ; 1549
+ SUB *AR0-%, 10, A, B ; 1550
+ SUB *AR0-%, 10, A ; 1551
+ SUB *AR0-%, 10, B, A ; 1552
+ SUB *AR0-%, 10, B, B ; 1553
+ SUB *AR0-%, 10, B ; 1554
+ SUB *AR0-%, 11, A, A ; 1555
+ SUB *AR0-%, 11, A, B ; 1556
+ SUB *AR0-%, 11, A ; 1557
+ SUB *AR0-%, 11, B, A ; 1558
+ SUB *AR0-%, 11, B, B ; 1559
+ SUB *AR0-%, 11, B ; 1560
+ SUB *AR0-%, 12, A, A ; 1561
+ SUB *AR0-%, 12, A, B ; 1562
+ SUB *AR0-%, 12, A ; 1563
+ SUB *AR0-%, 12, B, A ; 1564
+ SUB *AR0-%, 12, B, B ; 1565
+ SUB *AR0-%, 12, B ; 1566
+ SUB *AR0-%, 13, A, A ; 1567
+ SUB *AR0-%, 13, A, B ; 1568
+ SUB *AR0-%, 13, A ; 1569
+ SUB *AR0-%, 13, B, A ; 1570
+ SUB *AR0-%, 13, B, B ; 1571
+ SUB *AR0-%, 13, B ; 1572
+ SUB *AR0-%, 14, A, A ; 1573
+ SUB *AR0-%, 14, A, B ; 1574
+ SUB *AR0-%, 14, A ; 1575
+ SUB *AR0-%, 14, B, A ; 1576
+ SUB *AR0-%, 14, B, B ; 1577
+ SUB *AR0-%, 14, B ; 1578
+ SUB *AR0-%, 15, A, A ; 1579
+ SUB *AR0-%, 15, A, B ; 1580
+ SUB *AR0-%, 15, A ; 1581
+ SUB *AR0-%, 15, B, A ; 1582
+ SUB *AR0-%, 15, B, B ; 1583
+ SUB *AR0-%, 15, B ; 1584
+ SUB *AR0-0%, A, A ; 1585
+ SUB *AR0-0%, A, B ; 1586
+ SUB *AR0-0%, A ; 1587
+ SUB *AR0-0%, B, A ; 1588
+ SUB *AR0-0%, B, B ; 1589
+ SUB *AR0-0%, B ; 1590
+ SUB *AR0-0%, -16, A, A ; 1591
+ SUB *AR0-0%, -16, A, B ; 1592
+ SUB *AR0-0%, -16, A ; 1593
+ SUB *AR0-0%, -16, B, A ; 1594
+ SUB *AR0-0%, -16, B, B ; 1595
+ SUB *AR0-0%, -16, B ; 1596
+ SUB *AR0-0%, -15, A, A ; 1597
+ SUB *AR0-0%, -15, A, B ; 1598
+ SUB *AR0-0%, -15, A ; 1599
+ SUB *AR0-0%, -15, B, A ; 1600
+ SUB *AR0-0%, -15, B, B ; 1601
+ SUB *AR0-0%, -15, B ; 1602
+ SUB *AR0-0%, -14, A, A ; 1603
+ SUB *AR0-0%, -14, A, B ; 1604
+ SUB *AR0-0%, -14, A ; 1605
+ SUB *AR0-0%, -14, B, A ; 1606
+ SUB *AR0-0%, -14, B, B ; 1607
+ SUB *AR0-0%, -14, B ; 1608
+ SUB *AR0-0%, -13, A, A ; 1609
+ SUB *AR0-0%, -13, A, B ; 1610
+ SUB *AR0-0%, -13, A ; 1611
+ SUB *AR0-0%, -13, B, A ; 1612
+ SUB *AR0-0%, -13, B, B ; 1613
+ SUB *AR0-0%, -13, B ; 1614
+ SUB *AR0-0%, -12, A, A ; 1615
+ SUB *AR0-0%, -12, A, B ; 1616
+ SUB *AR0-0%, -12, A ; 1617
+ SUB *AR0-0%, -12, B, A ; 1618
+ SUB *AR0-0%, -12, B, B ; 1619
+ SUB *AR0-0%, -12, B ; 1620
+ SUB *AR0-0%, -11, A, A ; 1621
+ SUB *AR0-0%, -11, A, B ; 1622
+ SUB *AR0-0%, -11, A ; 1623
+ SUB *AR0-0%, -11, B, A ; 1624
+ SUB *AR0-0%, -11, B, B ; 1625
+ SUB *AR0-0%, -11, B ; 1626
+ SUB *AR0-0%, -10, A, A ; 1627
+ SUB *AR0-0%, -10, A, B ; 1628
+ SUB *AR0-0%, -10, A ; 1629
+ SUB *AR0-0%, -10, B, A ; 1630
+ SUB *AR0-0%, -10, B, B ; 1631
+ SUB *AR0-0%, -10, B ; 1632
+ SUB *AR0-0%, -9, A, A ; 1633
+ SUB *AR0-0%, -9, A, B ; 1634
+ SUB *AR0-0%, -9, A ; 1635
+ SUB *AR0-0%, -9, B, A ; 1636
+ SUB *AR0-0%, -9, B, B ; 1637
+ SUB *AR0-0%, -9, B ; 1638
+ SUB *AR0-0%, -8, A, A ; 1639
+ SUB *AR0-0%, -8, A, B ; 1640
+ SUB *AR0-0%, -8, A ; 1641
+ SUB *AR0-0%, -8, B, A ; 1642
+ SUB *AR0-0%, -8, B, B ; 1643
+ SUB *AR0-0%, -8, B ; 1644
+ SUB *AR0-0%, -7, A, A ; 1645
+ SUB *AR0-0%, -7, A, B ; 1646
+ SUB *AR0-0%, -7, A ; 1647
+ SUB *AR0-0%, -7, B, A ; 1648
+ SUB *AR0-0%, -7, B, B ; 1649
+ SUB *AR0-0%, -7, B ; 1650
+ SUB *AR0-0%, -6, A, A ; 1651
+ SUB *AR0-0%, -6, A, B ; 1652
+ SUB *AR0-0%, -6, A ; 1653
+ SUB *AR0-0%, -6, B, A ; 1654
+ SUB *AR0-0%, -6, B, B ; 1655
+ SUB *AR0-0%, -6, B ; 1656
+ SUB *AR0-0%, -5, A, A ; 1657
+ SUB *AR0-0%, -5, A, B ; 1658
+ SUB *AR0-0%, -5, A ; 1659
+ SUB *AR0-0%, -5, B, A ; 1660
+ SUB *AR0-0%, -5, B, B ; 1661
+ SUB *AR0-0%, -5, B ; 1662
+ SUB *AR0-0%, -4, A, A ; 1663
+ SUB *AR0-0%, -4, A, B ; 1664
+ SUB *AR0-0%, -4, A ; 1665
+ SUB *AR0-0%, -4, B, A ; 1666
+ SUB *AR0-0%, -4, B, B ; 1667
+ SUB *AR0-0%, -4, B ; 1668
+ SUB *AR0-0%, -3, A, A ; 1669
+ SUB *AR0-0%, -3, A, B ; 1670
+ SUB *AR0-0%, -3, A ; 1671
+ SUB *AR0-0%, -3, B, A ; 1672
+ SUB *AR0-0%, -3, B, B ; 1673
+ SUB *AR0-0%, -3, B ; 1674
+ SUB *AR0-0%, -2, A, A ; 1675
+ SUB *AR0-0%, -2, A, B ; 1676
+ SUB *AR0-0%, -2, A ; 1677
+ SUB *AR0-0%, -2, B, A ; 1678
+ SUB *AR0-0%, -2, B, B ; 1679
+ SUB *AR0-0%, -2, B ; 1680
+ SUB *AR0-0%, -1, A, A ; 1681
+ SUB *AR0-0%, -1, A, B ; 1682
+ SUB *AR0-0%, -1, A ; 1683
+ SUB *AR0-0%, -1, B, A ; 1684
+ SUB *AR0-0%, -1, B, B ; 1685
+ SUB *AR0-0%, -1, B ; 1686
+ SUB *AR0-0%, 0, A, A ; 1687
+ SUB *AR0-0%, 0, A, B ; 1688
+ SUB *AR0-0%, 0, A ; 1689
+ SUB *AR0-0%, 0, B, A ; 1690
+ SUB *AR0-0%, 0, B, B ; 1691
+ SUB *AR0-0%, 0, B ; 1692
+ SUB *AR0-0%, 1, A, A ; 1693
+ SUB *AR0-0%, 1, A, B ; 1694
+ SUB *AR0-0%, 1, A ; 1695
+ SUB *AR0-0%, 1, B, A ; 1696
+ SUB *AR0-0%, 1, B, B ; 1697
+ SUB *AR0-0%, 1, B ; 1698
+ SUB *AR0-0%, 2, A, A ; 1699
+ SUB *AR0-0%, 2, A, B ; 1700
+ SUB *AR0-0%, 2, A ; 1701
+ SUB *AR0-0%, 2, B, A ; 1702
+ SUB *AR0-0%, 2, B, B ; 1703
+ SUB *AR0-0%, 2, B ; 1704
+ SUB *AR0-0%, 3, A, A ; 1705
+ SUB *AR0-0%, 3, A, B ; 1706
+ SUB *AR0-0%, 3, A ; 1707
+ SUB *AR0-0%, 3, B, A ; 1708
+ SUB *AR0-0%, 3, B, B ; 1709
+ SUB *AR0-0%, 3, B ; 1710
+ SUB *AR0-0%, 4, A, A ; 1711
+ SUB *AR0-0%, 4, A, B ; 1712
+ SUB *AR0-0%, 4, A ; 1713
+ SUB *AR0-0%, 4, B, A ; 1714
+ SUB *AR0-0%, 4, B, B ; 1715
+ SUB *AR0-0%, 4, B ; 1716
+ SUB *AR0-0%, 5, A, A ; 1717
+ SUB *AR0-0%, 5, A, B ; 1718
+ SUB *AR0-0%, 5, A ; 1719
+ SUB *AR0-0%, 5, B, A ; 1720
+ SUB *AR0-0%, 5, B, B ; 1721
+ SUB *AR0-0%, 5, B ; 1722
+ SUB *AR0-0%, 6, A, A ; 1723
+ SUB *AR0-0%, 6, A, B ; 1724
+ SUB *AR0-0%, 6, A ; 1725
+ SUB *AR0-0%, 6, B, A ; 1726
+ SUB *AR0-0%, 6, B, B ; 1727
+ SUB *AR0-0%, 6, B ; 1728
+ SUB *AR0-0%, 7, A, A ; 1729
+ SUB *AR0-0%, 7, A, B ; 1730
+ SUB *AR0-0%, 7, A ; 1731
+ SUB *AR0-0%, 7, B, A ; 1732
+ SUB *AR0-0%, 7, B, B ; 1733
+ SUB *AR0-0%, 7, B ; 1734
+ SUB *AR0-0%, 8, A, A ; 1735
+ SUB *AR0-0%, 8, A, B ; 1736
+ SUB *AR0-0%, 8, A ; 1737
+ SUB *AR0-0%, 8, B, A ; 1738
+ SUB *AR0-0%, 8, B, B ; 1739
+ SUB *AR0-0%, 8, B ; 1740
+ SUB *AR0-0%, 9, A, A ; 1741
+ SUB *AR0-0%, 9, A, B ; 1742
+ SUB *AR0-0%, 9, A ; 1743
+ SUB *AR0-0%, 9, B, A ; 1744
+ SUB *AR0-0%, 9, B, B ; 1745
+ SUB *AR0-0%, 9, B ; 1746
+ SUB *AR0-0%, 10, A, A ; 1747
+ SUB *AR0-0%, 10, A, B ; 1748
+ SUB *AR0-0%, 10, A ; 1749
+ SUB *AR0-0%, 10, B, A ; 1750
+ SUB *AR0-0%, 10, B, B ; 1751
+ SUB *AR0-0%, 10, B ; 1752
+ SUB *AR0-0%, 11, A, A ; 1753
+ SUB *AR0-0%, 11, A, B ; 1754
+ SUB *AR0-0%, 11, A ; 1755
+ SUB *AR0-0%, 11, B, A ; 1756
+ SUB *AR0-0%, 11, B, B ; 1757
+ SUB *AR0-0%, 11, B ; 1758
+ SUB *AR0-0%, 12, A, A ; 1759
+ SUB *AR0-0%, 12, A, B ; 1760
+ SUB *AR0-0%, 12, A ; 1761
+ SUB *AR0-0%, 12, B, A ; 1762
+ SUB *AR0-0%, 12, B, B ; 1763
+ SUB *AR0-0%, 12, B ; 1764
+ SUB *AR0-0%, 13, A, A ; 1765
+ SUB *AR0-0%, 13, A, B ; 1766
+ SUB *AR0-0%, 13, A ; 1767
+ SUB *AR0-0%, 13, B, A ; 1768
+ SUB *AR0-0%, 13, B, B ; 1769
+ SUB *AR0-0%, 13, B ; 1770
+ SUB *AR0-0%, 14, A, A ; 1771
+ SUB *AR0-0%, 14, A, B ; 1772
+ SUB *AR0-0%, 14, A ; 1773
+ SUB *AR0-0%, 14, B, A ; 1774
+ SUB *AR0-0%, 14, B, B ; 1775
+ SUB *AR0-0%, 14, B ; 1776
+ SUB *AR0-0%, 15, A, A ; 1777
+ SUB *AR0-0%, 15, A, B ; 1778
+ SUB *AR0-0%, 15, A ; 1779
+ SUB *AR0-0%, 15, B, A ; 1780
+ SUB *AR0-0%, 15, B, B ; 1781
+ SUB *AR0-0%, 15, B ; 1782
+ SUB *AR0+%, A, A ; 1783
+ SUB *AR0+%, A, B ; 1784
+ SUB *AR0+%, A ; 1785
+ SUB *AR0+%, B, A ; 1786
+ SUB *AR0+%, B, B ; 1787
+ SUB *AR0+%, B ; 1788
+ SUB *AR0+%, -16, A, A ; 1789
+ SUB *AR0+%, -16, A, B ; 1790
+ SUB *AR0+%, -16, A ; 1791
+ SUB *AR0+%, -16, B, A ; 1792
+ SUB *AR0+%, -16, B, B ; 1793
+ SUB *AR0+%, -16, B ; 1794
+ SUB *AR0+%, -15, A, A ; 1795
+ SUB *AR0+%, -15, A, B ; 1796
+ SUB *AR0+%, -15, A ; 1797
+ SUB *AR0+%, -15, B, A ; 1798
+ SUB *AR0+%, -15, B, B ; 1799
+ SUB *AR0+%, -15, B ; 1800
+ SUB *AR0+%, -14, A, A ; 1801
+ SUB *AR0+%, -14, A, B ; 1802
+ SUB *AR0+%, -14, A ; 1803
+ SUB *AR0+%, -14, B, A ; 1804
+ SUB *AR0+%, -14, B, B ; 1805
+ SUB *AR0+%, -14, B ; 1806
+ SUB *AR0+%, -13, A, A ; 1807
+ SUB *AR0+%, -13, A, B ; 1808
+ SUB *AR0+%, -13, A ; 1809
+ SUB *AR0+%, -13, B, A ; 1810
+ SUB *AR0+%, -13, B, B ; 1811
+ SUB *AR0+%, -13, B ; 1812
+ SUB *AR0+%, -12, A, A ; 1813
+ SUB *AR0+%, -12, A, B ; 1814
+ SUB *AR0+%, -12, A ; 1815
+ SUB *AR0+%, -12, B, A ; 1816
+ SUB *AR0+%, -12, B, B ; 1817
+ SUB *AR0+%, -12, B ; 1818
+ SUB *AR0+%, -11, A, A ; 1819
+ SUB *AR0+%, -11, A, B ; 1820
+ SUB *AR0+%, -11, A ; 1821
+ SUB *AR0+%, -11, B, A ; 1822
+ SUB *AR0+%, -11, B, B ; 1823
+ SUB *AR0+%, -11, B ; 1824
+ SUB *AR0+%, -10, A, A ; 1825
+ SUB *AR0+%, -10, A, B ; 1826
+ SUB *AR0+%, -10, A ; 1827
+ SUB *AR0+%, -10, B, A ; 1828
+ SUB *AR0+%, -10, B, B ; 1829
+ SUB *AR0+%, -10, B ; 1830
+ SUB *AR0+%, -9, A, A ; 1831
+ SUB *AR0+%, -9, A, B ; 1832
+ SUB *AR0+%, -9, A ; 1833
+ SUB *AR0+%, -9, B, A ; 1834
+ SUB *AR0+%, -9, B, B ; 1835
+ SUB *AR0+%, -9, B ; 1836
+ SUB *AR0+%, -8, A, A ; 1837
+ SUB *AR0+%, -8, A, B ; 1838
+ SUB *AR0+%, -8, A ; 1839
+ SUB *AR0+%, -8, B, A ; 1840
+ SUB *AR0+%, -8, B, B ; 1841
+ SUB *AR0+%, -8, B ; 1842
+ SUB *AR0+%, -7, A, A ; 1843
+ SUB *AR0+%, -7, A, B ; 1844
+ SUB *AR0+%, -7, A ; 1845
+ SUB *AR0+%, -7, B, A ; 1846
+ SUB *AR0+%, -7, B, B ; 1847
+ SUB *AR0+%, -7, B ; 1848
+ SUB *AR0+%, -6, A, A ; 1849
+ SUB *AR0+%, -6, A, B ; 1850
+ SUB *AR0+%, -6, A ; 1851
+ SUB *AR0+%, -6, B, A ; 1852
+ SUB *AR0+%, -6, B, B ; 1853
+ SUB *AR0+%, -6, B ; 1854
+ SUB *AR0+%, -5, A, A ; 1855
+ SUB *AR0+%, -5, A, B ; 1856
+ SUB *AR0+%, -5, A ; 1857
+ SUB *AR0+%, -5, B, A ; 1858
+ SUB *AR0+%, -5, B, B ; 1859
+ SUB *AR0+%, -5, B ; 1860
+ SUB *AR0+%, -4, A, A ; 1861
+ SUB *AR0+%, -4, A, B ; 1862
+ SUB *AR0+%, -4, A ; 1863
+ SUB *AR0+%, -4, B, A ; 1864
+ SUB *AR0+%, -4, B, B ; 1865
+ SUB *AR0+%, -4, B ; 1866
+ SUB *AR0+%, -3, A, A ; 1867
+ SUB *AR0+%, -3, A, B ; 1868
+ SUB *AR0+%, -3, A ; 1869
+ SUB *AR0+%, -3, B, A ; 1870
+ SUB *AR0+%, -3, B, B ; 1871
+ SUB *AR0+%, -3, B ; 1872
+ SUB *AR0+%, -2, A, A ; 1873
+ SUB *AR0+%, -2, A, B ; 1874
+ SUB *AR0+%, -2, A ; 1875
+ SUB *AR0+%, -2, B, A ; 1876
+ SUB *AR0+%, -2, B, B ; 1877
+ SUB *AR0+%, -2, B ; 1878
+ SUB *AR0+%, -1, A, A ; 1879
+ SUB *AR0+%, -1, A, B ; 1880
+ SUB *AR0+%, -1, A ; 1881
+ SUB *AR0+%, -1, B, A ; 1882
+ SUB *AR0+%, -1, B, B ; 1883
+ SUB *AR0+%, -1, B ; 1884
+ SUB *AR0+%, 0, A, A ; 1885
+ SUB *AR0+%, 0, A, B ; 1886
+ SUB *AR0+%, 0, A ; 1887
+ SUB *AR0+%, 0, B, A ; 1888
+ SUB *AR0+%, 0, B, B ; 1889
+ SUB *AR0+%, 0, B ; 1890
+ SUB *AR0+%, 1, A, A ; 1891
+ SUB *AR0+%, 1, A, B ; 1892
+ SUB *AR0+%, 1, A ; 1893
+ SUB *AR0+%, 1, B, A ; 1894
+ SUB *AR0+%, 1, B, B ; 1895
+ SUB *AR0+%, 1, B ; 1896
+ SUB *AR0+%, 2, A, A ; 1897
+ SUB *AR0+%, 2, A, B ; 1898
+ SUB *AR0+%, 2, A ; 1899
+ SUB *AR0+%, 2, B, A ; 1900
+ SUB *AR0+%, 2, B, B ; 1901
+ SUB *AR0+%, 2, B ; 1902
+ SUB *AR0+%, 3, A, A ; 1903
+ SUB *AR0+%, 3, A, B ; 1904
+ SUB *AR0+%, 3, A ; 1905
+ SUB *AR0+%, 3, B, A ; 1906
+ SUB *AR0+%, 3, B, B ; 1907
+ SUB *AR0+%, 3, B ; 1908
+ SUB *AR0+%, 4, A, A ; 1909
+ SUB *AR0+%, 4, A, B ; 1910
+ SUB *AR0+%, 4, A ; 1911
+ SUB *AR0+%, 4, B, A ; 1912
+ SUB *AR0+%, 4, B, B ; 1913
+ SUB *AR0+%, 4, B ; 1914
+ SUB *AR0+%, 5, A, A ; 1915
+ SUB *AR0+%, 5, A, B ; 1916
+ SUB *AR0+%, 5, A ; 1917
+ SUB *AR0+%, 5, B, A ; 1918
+ SUB *AR0+%, 5, B, B ; 1919
+ SUB *AR0+%, 5, B ; 1920
+ SUB *AR0+%, 6, A, A ; 1921
+ SUB *AR0+%, 6, A, B ; 1922
+ SUB *AR0+%, 6, A ; 1923
+ SUB *AR0+%, 6, B, A ; 1924
+ SUB *AR0+%, 6, B, B ; 1925
+ SUB *AR0+%, 6, B ; 1926
+ SUB *AR0+%, 7, A, A ; 1927
+ SUB *AR0+%, 7, A, B ; 1928
+ SUB *AR0+%, 7, A ; 1929
+ SUB *AR0+%, 7, B, A ; 1930
+ SUB *AR0+%, 7, B, B ; 1931
+ SUB *AR0+%, 7, B ; 1932
+ SUB *AR0+%, 8, A, A ; 1933
+ SUB *AR0+%, 8, A, B ; 1934
+ SUB *AR0+%, 8, A ; 1935
+ SUB *AR0+%, 8, B, A ; 1936
+ SUB *AR0+%, 8, B, B ; 1937
+ SUB *AR0+%, 8, B ; 1938
+ SUB *AR0+%, 9, A, A ; 1939
+ SUB *AR0+%, 9, A, B ; 1940
+ SUB *AR0+%, 9, A ; 1941
+ SUB *AR0+%, 9, B, A ; 1942
+ SUB *AR0+%, 9, B, B ; 1943
+ SUB *AR0+%, 9, B ; 1944
+ SUB *AR0+%, 10, A, A ; 1945
+ SUB *AR0+%, 10, A, B ; 1946
+ SUB *AR0+%, 10, A ; 1947
+ SUB *AR0+%, 10, B, A ; 1948
+ SUB *AR0+%, 10, B, B ; 1949
+ SUB *AR0+%, 10, B ; 1950
+ SUB *AR0+%, 11, A, A ; 1951
+ SUB *AR0+%, 11, A, B ; 1952
+ SUB *AR0+%, 11, A ; 1953
+ SUB *AR0+%, 11, B, A ; 1954
+ SUB *AR0+%, 11, B, B ; 1955
+ SUB *AR0+%, 11, B ; 1956
+ SUB *AR0+%, 12, A, A ; 1957
+ SUB *AR0+%, 12, A, B ; 1958
+ SUB *AR0+%, 12, A ; 1959
+ SUB *AR0+%, 12, B, A ; 1960
+ SUB *AR0+%, 12, B, B ; 1961
+ SUB *AR0+%, 12, B ; 1962
+ SUB *AR0+%, 13, A, A ; 1963
+ SUB *AR0+%, 13, A, B ; 1964
+ SUB *AR0+%, 13, A ; 1965
+ SUB *AR0+%, 13, B, A ; 1966
+ SUB *AR0+%, 13, B, B ; 1967
+ SUB *AR0+%, 13, B ; 1968
+ SUB *AR0+%, 14, A, A ; 1969
+ SUB *AR0+%, 14, A, B ; 1970
+ SUB *AR0+%, 14, A ; 1971
+ SUB *AR0+%, 14, B, A ; 1972
+ SUB *AR0+%, 14, B, B ; 1973
+ SUB *AR0+%, 14, B ; 1974
+ SUB *AR0+%, 15, A, A ; 1975
+ SUB *AR0+%, 15, A, B ; 1976
+ SUB *AR0+%, 15, A ; 1977
+ SUB *AR0+%, 15, B, A ; 1978
+ SUB *AR0+%, 15, B, B ; 1979
+ SUB *AR0+%, 15, B ; 1980
+ SUB *AR0+0%, A, A ; 1981
+ SUB *AR0+0%, A, B ; 1982
+ SUB *AR0+0%, A ; 1983
+ SUB *AR0+0%, B, A ; 1984
+ SUB *AR0+0%, B, B ; 1985
+ SUB *AR0+0%, B ; 1986
+ SUB *AR0+0%, -16, A, A ; 1987
+ SUB *AR0+0%, -16, A, B ; 1988
+ SUB *AR0+0%, -16, A ; 1989
+ SUB *AR0+0%, -16, B, A ; 1990
+ SUB *AR0+0%, -16, B, B ; 1991
+ SUB *AR0+0%, -16, B ; 1992
+ SUB *AR0+0%, -15, A, A ; 1993
+ SUB *AR0+0%, -15, A, B ; 1994
+ SUB *AR0+0%, -15, A ; 1995
+ SUB *AR0+0%, -15, B, A ; 1996
+ SUB *AR0+0%, -15, B, B ; 1997
+ SUB *AR0+0%, -15, B ; 1998
+ SUB *AR0+0%, -14, A, A ; 1999
+ SUB *AR0+0%, -14, A, B ; 2000
+ SUB *AR0+0%, -14, A ; 2001
+ SUB *AR0+0%, -14, B, A ; 2002
+ SUB *AR0+0%, -14, B, B ; 2003
+ SUB *AR0+0%, -14, B ; 2004
+ SUB *AR0+0%, -13, A, A ; 2005
+ SUB *AR0+0%, -13, A, B ; 2006
+ SUB *AR0+0%, -13, A ; 2007
+ SUB *AR0+0%, -13, B, A ; 2008
+ SUB *AR0+0%, -13, B, B ; 2009
+ SUB *AR0+0%, -13, B ; 2010
+ SUB *AR0+0%, -12, A, A ; 2011
+ SUB *AR0+0%, -12, A, B ; 2012
+ SUB *AR0+0%, -12, A ; 2013
+ SUB *AR0+0%, -12, B, A ; 2014
+ SUB *AR0+0%, -12, B, B ; 2015
+ SUB *AR0+0%, -12, B ; 2016
+ SUB *AR0+0%, -11, A, A ; 2017
+ SUB *AR0+0%, -11, A, B ; 2018
+ SUB *AR0+0%, -11, A ; 2019
+ SUB *AR0+0%, -11, B, A ; 2020
+ SUB *AR0+0%, -11, B, B ; 2021
+ SUB *AR0+0%, -11, B ; 2022
+ SUB *AR0+0%, -10, A, A ; 2023
+ SUB *AR0+0%, -10, A, B ; 2024
+ SUB *AR0+0%, -10, A ; 2025
+ SUB *AR0+0%, -10, B, A ; 2026
+ SUB *AR0+0%, -10, B, B ; 2027
+ SUB *AR0+0%, -10, B ; 2028
+ SUB *AR0+0%, -9, A, A ; 2029
+ SUB *AR0+0%, -9, A, B ; 2030
+ SUB *AR0+0%, -9, A ; 2031
+ SUB *AR0+0%, -9, B, A ; 2032
+ SUB *AR0+0%, -9, B, B ; 2033
+ SUB *AR0+0%, -9, B ; 2034
+ SUB *AR0+0%, -8, A, A ; 2035
+ SUB *AR0+0%, -8, A, B ; 2036
+ SUB *AR0+0%, -8, A ; 2037
+ SUB *AR0+0%, -8, B, A ; 2038
+ SUB *AR0+0%, -8, B, B ; 2039
+ SUB *AR0+0%, -8, B ; 2040
+ SUB *AR0+0%, -7, A, A ; 2041
+ SUB *AR0+0%, -7, A, B ; 2042
+ SUB *AR0+0%, -7, A ; 2043
+ SUB *AR0+0%, -7, B, A ; 2044
+ SUB *AR0+0%, -7, B, B ; 2045
+ SUB *AR0+0%, -7, B ; 2046
+ SUB *AR0+0%, -6, A, A ; 2047
+ SUB *AR0+0%, -6, A, B ; 2048
+ SUB *AR0+0%, -6, A ; 2049
+ SUB *AR0+0%, -6, B, A ; 2050
+ SUB *AR0+0%, -6, B, B ; 2051
+ SUB *AR0+0%, -6, B ; 2052
+ SUB *AR0+0%, -5, A, A ; 2053
+ SUB *AR0+0%, -5, A, B ; 2054
+ SUB *AR0+0%, -5, A ; 2055
+ SUB *AR0+0%, -5, B, A ; 2056
+ SUB *AR0+0%, -5, B, B ; 2057
+ SUB *AR0+0%, -5, B ; 2058
+ SUB *AR0+0%, -4, A, A ; 2059
+ SUB *AR0+0%, -4, A, B ; 2060
+ SUB *AR0+0%, -4, A ; 2061
+ SUB *AR0+0%, -4, B, A ; 2062
+ SUB *AR0+0%, -4, B, B ; 2063
+ SUB *AR0+0%, -4, B ; 2064
+ SUB *AR0+0%, -3, A, A ; 2065
+ SUB *AR0+0%, -3, A, B ; 2066
+ SUB *AR0+0%, -3, A ; 2067
+ SUB *AR0+0%, -3, B, A ; 2068
+ SUB *AR0+0%, -3, B, B ; 2069
+ SUB *AR0+0%, -3, B ; 2070
+ SUB *AR0+0%, -2, A, A ; 2071
+ SUB *AR0+0%, -2, A, B ; 2072
+ SUB *AR0+0%, -2, A ; 2073
+ SUB *AR0+0%, -2, B, A ; 2074
+ SUB *AR0+0%, -2, B, B ; 2075
+ SUB *AR0+0%, -2, B ; 2076
+ SUB *AR0+0%, -1, A, A ; 2077
+ SUB *AR0+0%, -1, A, B ; 2078
+ SUB *AR0+0%, -1, A ; 2079
+ SUB *AR0+0%, -1, B, A ; 2080
+ SUB *AR0+0%, -1, B, B ; 2081
+ SUB *AR0+0%, -1, B ; 2082
+ SUB *AR0+0%, 0, A, A ; 2083
+ SUB *AR0+0%, 0, A, B ; 2084
+ SUB *AR0+0%, 0, A ; 2085
+ SUB *AR0+0%, 0, B, A ; 2086
+ SUB *AR0+0%, 0, B, B ; 2087
+ SUB *AR0+0%, 0, B ; 2088
+ SUB *AR0+0%, 1, A, A ; 2089
+ SUB *AR0+0%, 1, A, B ; 2090
+ SUB *AR0+0%, 1, A ; 2091
+ SUB *AR0+0%, 1, B, A ; 2092
+ SUB *AR0+0%, 1, B, B ; 2093
+ SUB *AR0+0%, 1, B ; 2094
+ SUB *AR0+0%, 2, A, A ; 2095
+ SUB *AR0+0%, 2, A, B ; 2096
+ SUB *AR0+0%, 2, A ; 2097
+ SUB *AR0+0%, 2, B, A ; 2098
+ SUB *AR0+0%, 2, B, B ; 2099
+ SUB *AR0+0%, 2, B ; 2100
+ SUB *AR0+0%, 3, A, A ; 2101
+ SUB *AR0+0%, 3, A, B ; 2102
+ SUB *AR0+0%, 3, A ; 2103
+ SUB *AR0+0%, 3, B, A ; 2104
+ SUB *AR0+0%, 3, B, B ; 2105
+ SUB *AR0+0%, 3, B ; 2106
+ SUB *AR0+0%, 4, A, A ; 2107
+ SUB *AR0+0%, 4, A, B ; 2108
+ SUB *AR0+0%, 4, A ; 2109
+ SUB *AR0+0%, 4, B, A ; 2110
+ SUB *AR0+0%, 4, B, B ; 2111
+ SUB *AR0+0%, 4, B ; 2112
+ SUB *AR0+0%, 5, A, A ; 2113
+ SUB *AR0+0%, 5, A, B ; 2114
+ SUB *AR0+0%, 5, A ; 2115
+ SUB *AR0+0%, 5, B, A ; 2116
+ SUB *AR0+0%, 5, B, B ; 2117
+ SUB *AR0+0%, 5, B ; 2118
+ SUB *AR0+0%, 6, A, A ; 2119
+ SUB *AR0+0%, 6, A, B ; 2120
+ SUB *AR0+0%, 6, A ; 2121
+ SUB *AR0+0%, 6, B, A ; 2122
+ SUB *AR0+0%, 6, B, B ; 2123
+ SUB *AR0+0%, 6, B ; 2124
+ SUB *AR0+0%, 7, A, A ; 2125
+ SUB *AR0+0%, 7, A, B ; 2126
+ SUB *AR0+0%, 7, A ; 2127
+ SUB *AR0+0%, 7, B, A ; 2128
+ SUB *AR0+0%, 7, B, B ; 2129
+ SUB *AR0+0%, 7, B ; 2130
+ SUB *AR0+0%, 8, A, A ; 2131
+ SUB *AR0+0%, 8, A, B ; 2132
+ SUB *AR0+0%, 8, A ; 2133
+ SUB *AR0+0%, 8, B, A ; 2134
+ SUB *AR0+0%, 8, B, B ; 2135
+ SUB *AR0+0%, 8, B ; 2136
+ SUB *AR0+0%, 9, A, A ; 2137
+ SUB *AR0+0%, 9, A, B ; 2138
+ SUB *AR0+0%, 9, A ; 2139
+ SUB *AR0+0%, 9, B, A ; 2140
+ SUB *AR0+0%, 9, B, B ; 2141
+ SUB *AR0+0%, 9, B ; 2142
+ SUB *AR0+0%, 10, A, A ; 2143
+ SUB *AR0+0%, 10, A, B ; 2144
+ SUB *AR0+0%, 10, A ; 2145
+ SUB *AR0+0%, 10, B, A ; 2146
+ SUB *AR0+0%, 10, B, B ; 2147
+ SUB *AR0+0%, 10, B ; 2148
+ SUB *AR0+0%, 11, A, A ; 2149
+ SUB *AR0+0%, 11, A, B ; 2150
+ SUB *AR0+0%, 11, A ; 2151
+ SUB *AR0+0%, 11, B, A ; 2152
+ SUB *AR0+0%, 11, B, B ; 2153
+ SUB *AR0+0%, 11, B ; 2154
+ SUB *AR0+0%, 12, A, A ; 2155
+ SUB *AR0+0%, 12, A, B ; 2156
+ SUB *AR0+0%, 12, A ; 2157
+ SUB *AR0+0%, 12, B, A ; 2158
+ SUB *AR0+0%, 12, B, B ; 2159
+ SUB *AR0+0%, 12, B ; 2160
+ SUB *AR0+0%, 13, A, A ; 2161
+ SUB *AR0+0%, 13, A, B ; 2162
+ SUB *AR0+0%, 13, A ; 2163
+ SUB *AR0+0%, 13, B, A ; 2164
+ SUB *AR0+0%, 13, B, B ; 2165
+ SUB *AR0+0%, 13, B ; 2166
+ SUB *AR0+0%, 14, A, A ; 2167
+ SUB *AR0+0%, 14, A, B ; 2168
+ SUB *AR0+0%, 14, A ; 2169
+ SUB *AR0+0%, 14, B, A ; 2170
+ SUB *AR0+0%, 14, B, B ; 2171
+ SUB *AR0+0%, 14, B ; 2172
+ SUB *AR0+0%, 15, A, A ; 2173
+ SUB *AR0+0%, 15, A, B ; 2174
+ SUB *AR0+0%, 15, A ; 2175
+ SUB *AR0+0%, 15, B, A ; 2176
+ SUB *AR0+0%, 15, B, B ; 2177
+ SUB *AR0+0%, 15, B ; 2178
+ SUB *AR0(04B86h), A, A ; 2179
+ SUB *AR0(04B87h), A, B ; 2180
+ SUB *AR0(04B88h), A ; 2181
+ SUB *AR0(04B89h), B, A ; 2182
+ SUB *AR0(04B8Ah), B, B ; 2183
+ SUB *AR0(04B8Bh), B ; 2184
+ SUB *AR0(04B8Ch), -16, A, A ; 2185
+ SUB *AR0(04B8Dh), -16, A, B ; 2186
+ SUB *AR0(04B8Eh), -16, A ; 2187
+ SUB *AR0(04B8Fh), -16, B, A ; 2188
+ SUB *AR0(04B90h), -16, B, B ; 2189
+ SUB *AR0(04B91h), -16, B ; 2190
+ SUB *AR0(04B92h), -15, A, A ; 2191
+ SUB *AR0(04B93h), -15, A, B ; 2192
+ SUB *AR0(04B94h), -15, A ; 2193
+ SUB *AR0(04B95h), -15, B, A ; 2194
+ SUB *AR0(04B96h), -15, B, B ; 2195
+ SUB *AR0(04B97h), -15, B ; 2196
+ SUB *AR0(04B98h), -14, A, A ; 2197
+ SUB *AR0(04B99h), -14, A, B ; 2198
+ SUB *AR0(04B9Ah), -14, A ; 2199
+ SUB *AR0(04B9Bh), -14, B, A ; 2200
+ SUB *AR0(04B9Ch), -14, B, B ; 2201
+ SUB *AR0(04B9Dh), -14, B ; 2202
+ SUB *AR0(04B9Eh), -13, A, A ; 2203
+ SUB *AR0(04B9Fh), -13, A, B ; 2204
+ SUB *AR0(04BA0h), -13, A ; 2205
+ SUB *AR0(04BA1h), -13, B, A ; 2206
+ SUB *AR0(04BA2h), -13, B, B ; 2207
+ SUB *AR0(04BA3h), -13, B ; 2208
+ SUB *AR0(04BA4h), -12, A, A ; 2209
+ SUB *AR0(04BA5h), -12, A, B ; 2210
+ SUB *AR0(04BA6h), -12, A ; 2211
+ SUB *AR0(04BA7h), -12, B, A ; 2212
+ SUB *AR0(04BA8h), -12, B, B ; 2213
+ SUB *AR0(04BA9h), -12, B ; 2214
+ SUB *AR0(04BAAh), -11, A, A ; 2215
+ SUB *AR0(04BABh), -11, A, B ; 2216
+ SUB *AR0(04BACh), -11, A ; 2217
+ SUB *AR0(04BADh), -11, B, A ; 2218
+ SUB *AR0(04BAEh), -11, B, B ; 2219
+ SUB *AR0(04BAFh), -11, B ; 2220
+ SUB *AR0(04BB0h), -10, A, A ; 2221
+ SUB *AR0(04BB1h), -10, A, B ; 2222
+ SUB *AR0(04BB2h), -10, A ; 2223
+ SUB *AR0(04BB3h), -10, B, A ; 2224
+ SUB *AR0(04BB4h), -10, B, B ; 2225
+ SUB *AR0(04BB5h), -10, B ; 2226
+ SUB *AR0(04BB6h), -9, A, A ; 2227
+ SUB *AR0(04BB7h), -9, A, B ; 2228
+ SUB *AR0(04BB8h), -9, A ; 2229
+ SUB *AR0(04BB9h), -9, B, A ; 2230
+ SUB *AR0(04BBAh), -9, B, B ; 2231
+ SUB *AR0(04BBBh), -9, B ; 2232
+ SUB *AR0(04BBCh), -8, A, A ; 2233
+ SUB *AR0(04BBDh), -8, A, B ; 2234
+ SUB *AR0(04BBEh), -8, A ; 2235
+ SUB *AR0(04BBFh), -8, B, A ; 2236
+ SUB *AR0(04BC0h), -8, B, B ; 2237
+ SUB *AR0(04BC1h), -8, B ; 2238
+ SUB *AR0(04BC2h), -7, A, A ; 2239
+ SUB *AR0(04BC3h), -7, A, B ; 2240
+ SUB *AR0(04BC4h), -7, A ; 2241
+ SUB *AR0(04BC5h), -7, B, A ; 2242
+ SUB *AR0(04BC6h), -7, B, B ; 2243
+ SUB *AR0(04BC7h), -7, B ; 2244
+ SUB *AR0(04BC8h), -6, A, A ; 2245
+ SUB *AR0(04BC9h), -6, A, B ; 2246
+ SUB *AR0(04BCAh), -6, A ; 2247
+ SUB *AR0(04BCBh), -6, B, A ; 2248
+ SUB *AR0(04BCCh), -6, B, B ; 2249
+ SUB *AR0(04BCDh), -6, B ; 2250
+ SUB *AR0(04BCEh), -5, A, A ; 2251
+ SUB *AR0(04BCFh), -5, A, B ; 2252
+ SUB *AR0(04BD0h), -5, A ; 2253
+ SUB *AR0(04BD1h), -5, B, A ; 2254
+ SUB *AR0(04BD2h), -5, B, B ; 2255
+ SUB *AR0(04BD3h), -5, B ; 2256
+ SUB *AR0(04BD4h), -4, A, A ; 2257
+ SUB *AR0(04BD5h), -4, A, B ; 2258
+ SUB *AR0(04BD6h), -4, A ; 2259
+ SUB *AR0(04BD7h), -4, B, A ; 2260
+ SUB *AR0(04BD8h), -4, B, B ; 2261
+ SUB *AR0(04BD9h), -4, B ; 2262
+ SUB *AR0(04BDAh), -3, A, A ; 2263
+ SUB *AR0(04BDBh), -3, A, B ; 2264
+ SUB *AR0(04BDCh), -3, A ; 2265
+ SUB *AR0(04BDDh), -3, B, A ; 2266
+ SUB *AR0(04BDEh), -3, B, B ; 2267
+ SUB *AR0(04BDFh), -3, B ; 2268
+ SUB *AR0(04BE0h), -2, A, A ; 2269
+ SUB *AR0(04BE1h), -2, A, B ; 2270
+ SUB *AR0(04BE2h), -2, A ; 2271
+ SUB *AR0(04BE3h), -2, B, A ; 2272
+ SUB *AR0(04BE4h), -2, B, B ; 2273
+ SUB *AR0(04BE5h), -2, B ; 2274
+ SUB *AR0(04BE6h), -1, A, A ; 2275
+ SUB *AR0(04BE7h), -1, A, B ; 2276
+ SUB *AR0(04BE8h), -1, A ; 2277
+ SUB *AR0(04BE9h), -1, B, A ; 2278
+ SUB *AR0(04BEAh), -1, B, B ; 2279
+ SUB *AR0(04BEBh), -1, B ; 2280
+ SUB *AR0(04BECh), 0, A, A ; 2281
+ SUB *AR0(04BEDh), 0, A, B ; 2282
+ SUB *AR0(04BEEh), 0, A ; 2283
+ SUB *AR0(04BEFh), 0, B, A ; 2284
+ SUB *AR0(04BF0h), 0, B, B ; 2285
+ SUB *AR0(04BF1h), 0, B ; 2286
+ SUB *AR0(04BF2h), 1, A, A ; 2287
+ SUB *AR0(04BF3h), 1, A, B ; 2288
+ SUB *AR0(04BF4h), 1, A ; 2289
+ SUB *AR0(04BF5h), 1, B, A ; 2290
+ SUB *AR0(04BF6h), 1, B, B ; 2291
+ SUB *AR0(04BF7h), 1, B ; 2292
+ SUB *AR0(04BF8h), 2, A, A ; 2293
+ SUB *AR0(04BF9h), 2, A, B ; 2294
+ SUB *AR0(04BFAh), 2, A ; 2295
+ SUB *AR0(04BFBh), 2, B, A ; 2296
+ SUB *AR0(04BFCh), 2, B, B ; 2297
+ SUB *AR0(04BFDh), 2, B ; 2298
+ SUB *AR0(04BFEh), 3, A, A ; 2299
+ SUB *AR0(04BFFh), 3, A, B ; 2300
+ SUB *AR0(04C00h), 3, A ; 2301
+ SUB *AR0(04C01h), 3, B, A ; 2302
+ SUB *AR0(04C02h), 3, B, B ; 2303
+ SUB *AR0(04C03h), 3, B ; 2304
+ SUB *AR0(04C04h), 4, A, A ; 2305
+ SUB *AR0(04C05h), 4, A, B ; 2306
+ SUB *AR0(04C06h), 4, A ; 2307
+ SUB *AR0(04C07h), 4, B, A ; 2308
+ SUB *AR0(04C08h), 4, B, B ; 2309
+ SUB *AR0(04C09h), 4, B ; 2310
+ SUB *AR0(04C0Ah), 5, A, A ; 2311
+ SUB *AR0(04C0Bh), 5, A, B ; 2312
+ SUB *AR0(04C0Ch), 5, A ; 2313
+ SUB *AR0(04C0Dh), 5, B, A ; 2314
+ SUB *AR0(04C0Eh), 5, B, B ; 2315
+ SUB *AR0(04C0Fh), 5, B ; 2316
+ SUB *AR0(04C10h), 6, A, A ; 2317
+ SUB *AR0(04C11h), 6, A, B ; 2318
+ SUB *AR0(04C12h), 6, A ; 2319
+ SUB *AR0(04C13h), 6, B, A ; 2320
+ SUB *AR0(04C14h), 6, B, B ; 2321
+ SUB *AR0(04C15h), 6, B ; 2322
+ SUB *AR0(04C16h), 7, A, A ; 2323
+ SUB *AR0(04C17h), 7, A, B ; 2324
+ SUB *AR0(04C18h), 7, A ; 2325
+ SUB *AR0(04C19h), 7, B, A ; 2326
+ SUB *AR0(04C1Ah), 7, B, B ; 2327
+ SUB *AR0(04C1Bh), 7, B ; 2328
+ SUB *AR0(04C1Ch), 8, A, A ; 2329
+ SUB *AR0(04C1Dh), 8, A, B ; 2330
+ SUB *AR0(04C1Eh), 8, A ; 2331
+ SUB *AR0(04C1Fh), 8, B, A ; 2332
+ SUB *AR0(04C20h), 8, B, B ; 2333
+ SUB *AR0(04C21h), 8, B ; 2334
+ SUB *AR0(04C22h), 9, A, A ; 2335
+ SUB *AR0(04C23h), 9, A, B ; 2336
+ SUB *AR0(04C24h), 9, A ; 2337
+ SUB *AR0(04C25h), 9, B, A ; 2338
+ SUB *AR0(04C26h), 9, B, B ; 2339
+ SUB *AR0(04C27h), 9, B ; 2340
+ SUB *AR0(04C28h), 10, A, A ; 2341
+ SUB *AR0(04C29h), 10, A, B ; 2342
+ SUB *AR0(04C2Ah), 10, A ; 2343
+ SUB *AR0(04C2Bh), 10, B, A ; 2344
+ SUB *AR0(04C2Ch), 10, B, B ; 2345
+ SUB *AR0(04C2Dh), 10, B ; 2346
+ SUB *AR0(04C2Eh), 11, A, A ; 2347
+ SUB *AR0(04C2Fh), 11, A, B ; 2348
+ SUB *AR0(04C30h), 11, A ; 2349
+ SUB *AR0(04C31h), 11, B, A ; 2350
+ SUB *AR0(04C32h), 11, B, B ; 2351
+ SUB *AR0(04C33h), 11, B ; 2352
+ SUB *AR0(04C34h), 12, A, A ; 2353
+ SUB *AR0(04C35h), 12, A, B ; 2354
+ SUB *AR0(04C36h), 12, A ; 2355
+ SUB *AR0(04C37h), 12, B, A ; 2356
+ SUB *AR0(04C38h), 12, B, B ; 2357
+ SUB *AR0(04C39h), 12, B ; 2358
+ SUB *AR0(04C3Ah), 13, A, A ; 2359
+ SUB *AR0(04C3Bh), 13, A, B ; 2360
+ SUB *AR0(04C3Ch), 13, A ; 2361
+ SUB *AR0(04C3Dh), 13, B, A ; 2362
+ SUB *AR0(04C3Eh), 13, B, B ; 2363
+ SUB *AR0(04C3Fh), 13, B ; 2364
+ SUB *AR0(04C40h), 14, A, A ; 2365
+ SUB *AR0(04C41h), 14, A, B ; 2366
+ SUB *AR0(04C42h), 14, A ; 2367
+ SUB *AR0(04C43h), 14, B, A ; 2368
+ SUB *AR0(04C44h), 14, B, B ; 2369
+ SUB *AR0(04C45h), 14, B ; 2370
+ SUB *AR0(04C46h), 15, A, A ; 2371
+ SUB *AR0(04C47h), 15, A, B ; 2372
+ SUB *AR0(04C48h), 15, A ; 2373
+ SUB *AR0(04C49h), 15, B, A ; 2374
+ SUB *AR0(04C4Ah), 15, B, B ; 2375
+ SUB *AR0(04C4Bh), 15, B ; 2376
+ SUB *+AR0(04C4Ch), A, A ; 2377
+ SUB *+AR0(04C4Dh), A, B ; 2378
+ SUB *+AR0(04C4Eh), A ; 2379
+ SUB *+AR0(04C4Fh), B, A ; 2380
+ SUB *+AR0(04C50h), B, B ; 2381
+ SUB *+AR0(04C51h), B ; 2382
+ SUB *+AR0(04C52h), -16, A, A ; 2383
+ SUB *+AR0(04C53h), -16, A, B ; 2384
+ SUB *+AR0(04C54h), -16, A ; 2385
+ SUB *+AR0(04C55h), -16, B, A ; 2386
+ SUB *+AR0(04C56h), -16, B, B ; 2387
+ SUB *+AR0(04C57h), -16, B ; 2388
+ SUB *+AR0(04C58h), -15, A, A ; 2389
+ SUB *+AR0(04C59h), -15, A, B ; 2390
+ SUB *+AR0(04C5Ah), -15, A ; 2391
+ SUB *+AR0(04C5Bh), -15, B, A ; 2392
+ SUB *+AR0(04C5Ch), -15, B, B ; 2393
+ SUB *+AR0(04C5Dh), -15, B ; 2394
+ SUB *+AR0(04C5Eh), -14, A, A ; 2395
+ SUB *+AR0(04C5Fh), -14, A, B ; 2396
+ SUB *+AR0(04C60h), -14, A ; 2397
+ SUB *+AR0(04C61h), -14, B, A ; 2398
+ SUB *+AR0(04C62h), -14, B, B ; 2399
+ SUB *+AR0(04C63h), -14, B ; 2400
+ SUB *+AR0(04C64h), -13, A, A ; 2401
+ SUB *+AR0(04C65h), -13, A, B ; 2402
+ SUB *+AR0(04C66h), -13, A ; 2403
+ SUB *+AR0(04C67h), -13, B, A ; 2404
+ SUB *+AR0(04C68h), -13, B, B ; 2405
+ SUB *+AR0(04C69h), -13, B ; 2406
+ SUB *+AR0(04C6Ah), -12, A, A ; 2407
+ SUB *+AR0(04C6Bh), -12, A, B ; 2408
+ SUB *+AR0(04C6Ch), -12, A ; 2409
+ SUB *+AR0(04C6Dh), -12, B, A ; 2410
+ SUB *+AR0(04C6Eh), -12, B, B ; 2411
+ SUB *+AR0(04C6Fh), -12, B ; 2412
+ SUB *+AR0(04C70h), -11, A, A ; 2413
+ SUB *+AR0(04C71h), -11, A, B ; 2414
+ SUB *+AR0(04C72h), -11, A ; 2415
+ SUB *+AR0(04C73h), -11, B, A ; 2416
+ SUB *+AR0(04C74h), -11, B, B ; 2417
+ SUB *+AR0(04C75h), -11, B ; 2418
+ SUB *+AR0(04C76h), -10, A, A ; 2419
+ SUB *+AR0(04C77h), -10, A, B ; 2420
+ SUB *+AR0(04C78h), -10, A ; 2421
+ SUB *+AR0(04C79h), -10, B, A ; 2422
+ SUB *+AR0(04C7Ah), -10, B, B ; 2423
+ SUB *+AR0(04C7Bh), -10, B ; 2424
+ SUB *+AR0(04C7Ch), -9, A, A ; 2425
+ SUB *+AR0(04C7Dh), -9, A, B ; 2426
+ SUB *+AR0(04C7Eh), -9, A ; 2427
+ SUB *+AR0(04C7Fh), -9, B, A ; 2428
+ SUB *+AR0(04C80h), -9, B, B ; 2429
+ SUB *+AR0(04C81h), -9, B ; 2430
+ SUB *+AR0(04C82h), -8, A, A ; 2431
+ SUB *+AR0(04C83h), -8, A, B ; 2432
+ SUB *+AR0(04C84h), -8, A ; 2433
+ SUB *+AR0(04C85h), -8, B, A ; 2434
+ SUB *+AR0(04C86h), -8, B, B ; 2435
+ SUB *+AR0(04C87h), -8, B ; 2436
+ SUB *+AR0(04C88h), -7, A, A ; 2437
+ SUB *+AR0(04C89h), -7, A, B ; 2438
+ SUB *+AR0(04C8Ah), -7, A ; 2439
+ SUB *+AR0(04C8Bh), -7, B, A ; 2440
+ SUB *+AR0(04C8Ch), -7, B, B ; 2441
+ SUB *+AR0(04C8Dh), -7, B ; 2442
+ SUB *+AR0(04C8Eh), -6, A, A ; 2443
+ SUB *+AR0(04C8Fh), -6, A, B ; 2444
+ SUB *+AR0(04C90h), -6, A ; 2445
+ SUB *+AR0(04C91h), -6, B, A ; 2446
+ SUB *+AR0(04C92h), -6, B, B ; 2447
+ SUB *+AR0(04C93h), -6, B ; 2448
+ SUB *+AR0(04C94h), -5, A, A ; 2449
+ SUB *+AR0(04C95h), -5, A, B ; 2450
+ SUB *+AR0(04C96h), -5, A ; 2451
+ SUB *+AR0(04C97h), -5, B, A ; 2452
+ SUB *+AR0(04C98h), -5, B, B ; 2453
+ SUB *+AR0(04C99h), -5, B ; 2454
+ SUB *+AR0(04C9Ah), -4, A, A ; 2455
+ SUB *+AR0(04C9Bh), -4, A, B ; 2456
+ SUB *+AR0(04C9Ch), -4, A ; 2457
+ SUB *+AR0(04C9Dh), -4, B, A ; 2458
+ SUB *+AR0(04C9Eh), -4, B, B ; 2459
+ SUB *+AR0(04C9Fh), -4, B ; 2460
+ SUB *+AR0(04CA0h), -3, A, A ; 2461
+ SUB *+AR0(04CA1h), -3, A, B ; 2462
+ SUB *+AR0(04CA2h), -3, A ; 2463
+ SUB *+AR0(04CA3h), -3, B, A ; 2464
+ SUB *+AR0(04CA4h), -3, B, B ; 2465
+ SUB *+AR0(04CA5h), -3, B ; 2466
+ SUB *+AR0(04CA6h), -2, A, A ; 2467
+ SUB *+AR0(04CA7h), -2, A, B ; 2468
+ SUB *+AR0(04CA8h), -2, A ; 2469
+ SUB *+AR0(04CA9h), -2, B, A ; 2470
+ SUB *+AR0(04CAAh), -2, B, B ; 2471
+ SUB *+AR0(04CABh), -2, B ; 2472
+ SUB *+AR0(04CACh), -1, A, A ; 2473
+ SUB *+AR0(04CADh), -1, A, B ; 2474
+ SUB *+AR0(04CAEh), -1, A ; 2475
+ SUB *+AR0(04CAFh), -1, B, A ; 2476
+ SUB *+AR0(04CB0h), -1, B, B ; 2477
+ SUB *+AR0(04CB1h), -1, B ; 2478
+ SUB *+AR0(04CB2h), 0, A, A ; 2479
+ SUB *+AR0(04CB3h), 0, A, B ; 2480
+ SUB *+AR0(04CB4h), 0, A ; 2481
+ SUB *+AR0(04CB5h), 0, B, A ; 2482
+ SUB *+AR0(04CB6h), 0, B, B ; 2483
+ SUB *+AR0(04CB7h), 0, B ; 2484
+ SUB *+AR0(04CB8h), 1, A, A ; 2485
+ SUB *+AR0(04CB9h), 1, A, B ; 2486
+ SUB *+AR0(04CBAh), 1, A ; 2487
+ SUB *+AR0(04CBBh), 1, B, A ; 2488
+ SUB *+AR0(04CBCh), 1, B, B ; 2489
+ SUB *+AR0(04CBDh), 1, B ; 2490
+ SUB *+AR0(04CBEh), 2, A, A ; 2491
+ SUB *+AR0(04CBFh), 2, A, B ; 2492
+ SUB *+AR0(04CC0h), 2, A ; 2493
+ SUB *+AR0(04CC1h), 2, B, A ; 2494
+ SUB *+AR0(04CC2h), 2, B, B ; 2495
+ SUB *+AR0(04CC3h), 2, B ; 2496
+ SUB *+AR0(04CC4h), 3, A, A ; 2497
+ SUB *+AR0(04CC5h), 3, A, B ; 2498
+ SUB *+AR0(04CC6h), 3, A ; 2499
+ SUB *+AR0(04CC7h), 3, B, A ; 2500
+ SUB *+AR0(04CC8h), 3, B, B ; 2501
+ SUB *+AR0(04CC9h), 3, B ; 2502
+ SUB *+AR0(04CCAh), 4, A, A ; 2503
+ SUB *+AR0(04CCBh), 4, A, B ; 2504
+ SUB *+AR0(04CCCh), 4, A ; 2505
+ SUB *+AR0(04CCDh), 4, B, A ; 2506
+ SUB *+AR0(04CCEh), 4, B, B ; 2507
+ SUB *+AR0(04CCFh), 4, B ; 2508
+ SUB *+AR0(04CD0h), 5, A, A ; 2509
+ SUB *+AR0(04CD1h), 5, A, B ; 2510
+ SUB *+AR0(04CD2h), 5, A ; 2511
+ SUB *+AR0(04CD3h), 5, B, A ; 2512
+ SUB *+AR0(04CD4h), 5, B, B ; 2513
+ SUB *+AR0(04CD5h), 5, B ; 2514
+ SUB *+AR0(04CD6h), 6, A, A ; 2515
+ SUB *+AR0(04CD7h), 6, A, B ; 2516
+ SUB *+AR0(04CD8h), 6, A ; 2517
+ SUB *+AR0(04CD9h), 6, B, A ; 2518
+ SUB *+AR0(04CDAh), 6, B, B ; 2519
+ SUB *+AR0(04CDBh), 6, B ; 2520
+ SUB *+AR0(04CDCh), 7, A, A ; 2521
+ SUB *+AR0(04CDDh), 7, A, B ; 2522
+ SUB *+AR0(04CDEh), 7, A ; 2523
+ SUB *+AR0(04CDFh), 7, B, A ; 2524
+ SUB *+AR0(04CE0h), 7, B, B ; 2525
+ SUB *+AR0(04CE1h), 7, B ; 2526
+ SUB *+AR0(04CE2h), 8, A, A ; 2527
+ SUB *+AR0(04CE3h), 8, A, B ; 2528
+ SUB *+AR0(04CE4h), 8, A ; 2529
+ SUB *+AR0(04CE5h), 8, B, A ; 2530
+ SUB *+AR0(04CE6h), 8, B, B ; 2531
+ SUB *+AR0(04CE7h), 8, B ; 2532
+ SUB *+AR0(04CE8h), 9, A, A ; 2533
+ SUB *+AR0(04CE9h), 9, A, B ; 2534
+ SUB *+AR0(04CEAh), 9, A ; 2535
+ SUB *+AR0(04CEBh), 9, B, A ; 2536
+ SUB *+AR0(04CECh), 9, B, B ; 2537
+ SUB *+AR0(04CEDh), 9, B ; 2538
+ SUB *+AR0(04CEEh), 10, A, A ; 2539
+ SUB *+AR0(04CEFh), 10, A, B ; 2540
+ SUB *+AR0(04CF0h), 10, A ; 2541
+ SUB *+AR0(04CF1h), 10, B, A ; 2542
+ SUB *+AR0(04CF2h), 10, B, B ; 2543
+ SUB *+AR0(04CF3h), 10, B ; 2544
+ SUB *+AR0(04CF4h), 11, A, A ; 2545
+ SUB *+AR0(04CF5h), 11, A, B ; 2546
+ SUB *+AR0(04CF6h), 11, A ; 2547
+ SUB *+AR0(04CF7h), 11, B, A ; 2548
+ SUB *+AR0(04CF8h), 11, B, B ; 2549
+ SUB *+AR0(04CF9h), 11, B ; 2550
+ SUB *+AR0(04CFAh), 12, A, A ; 2551
+ SUB *+AR0(04CFBh), 12, A, B ; 2552
+ SUB *+AR0(04CFCh), 12, A ; 2553
+ SUB *+AR0(04CFDh), 12, B, A ; 2554
+ SUB *+AR0(04CFEh), 12, B, B ; 2555
+ SUB *+AR0(04CFFh), 12, B ; 2556
+ SUB *+AR0(04D00h), 13, A, A ; 2557
+ SUB *+AR0(04D01h), 13, A, B ; 2558
+ SUB *+AR0(04D02h), 13, A ; 2559
+ SUB *+AR0(04D03h), 13, B, A ; 2560
+ SUB *+AR0(04D04h), 13, B, B ; 2561
+ SUB *+AR0(04D05h), 13, B ; 2562
+ SUB *+AR0(04D06h), 14, A, A ; 2563
+ SUB *+AR0(04D07h), 14, A, B ; 2564
+ SUB *+AR0(04D08h), 14, A ; 2565
+ SUB *+AR0(04D09h), 14, B, A ; 2566
+ SUB *+AR0(04D0Ah), 14, B, B ; 2567
+ SUB *+AR0(04D0Bh), 14, B ; 2568
+ SUB *+AR0(04D0Ch), 15, A, A ; 2569
+ SUB *+AR0(04D0Dh), 15, A, B ; 2570
+ SUB *+AR0(04D0Eh), 15, A ; 2571
+ SUB *+AR0(04D0Fh), 15, B, A ; 2572
+ SUB *+AR0(04D10h), 15, B, B ; 2573
+ SUB *+AR0(04D11h), 15, B ; 2574
+ SUB *+AR0(04D12h)%, A, A ; 2575
+ SUB *+AR0(04D13h)%, A, B ; 2576
+ SUB *+AR0(04D14h)%, A ; 2577
+ SUB *+AR0(04D15h)%, B, A ; 2578
+ SUB *+AR0(04D16h)%, B, B ; 2579
+ SUB *+AR0(04D17h)%, B ; 2580
+ SUB *+AR0(04D18h)%, -16, A, A ; 2581
+ SUB *+AR0(04D19h)%, -16, A, B ; 2582
+ SUB *+AR0(04D1Ah)%, -16, A ; 2583
+ SUB *+AR0(04D1Bh)%, -16, B, A ; 2584
+ SUB *+AR0(04D1Ch)%, -16, B, B ; 2585
+ SUB *+AR0(04D1Dh)%, -16, B ; 2586
+ SUB *+AR0(04D1Eh)%, -15, A, A ; 2587
+ SUB *+AR0(04D1Fh)%, -15, A, B ; 2588
+ SUB *+AR0(04D20h)%, -15, A ; 2589
+ SUB *+AR0(04D21h)%, -15, B, A ; 2590
+ SUB *+AR0(04D22h)%, -15, B, B ; 2591
+ SUB *+AR0(04D23h)%, -15, B ; 2592
+ SUB *+AR0(04D24h)%, -14, A, A ; 2593
+ SUB *+AR0(04D25h)%, -14, A, B ; 2594
+ SUB *+AR0(04D26h)%, -14, A ; 2595
+ SUB *+AR0(04D27h)%, -14, B, A ; 2596
+ SUB *+AR0(04D28h)%, -14, B, B ; 2597
+ SUB *+AR0(04D29h)%, -14, B ; 2598
+ SUB *+AR0(04D2Ah)%, -13, A, A ; 2599
+ SUB *+AR0(04D2Bh)%, -13, A, B ; 2600
+ SUB *+AR0(04D2Ch)%, -13, A ; 2601
+ SUB *+AR0(04D2Dh)%, -13, B, A ; 2602
+ SUB *+AR0(04D2Eh)%, -13, B, B ; 2603
+ SUB *+AR0(04D2Fh)%, -13, B ; 2604
+ SUB *+AR0(04D30h)%, -12, A, A ; 2605
+ SUB *+AR0(04D31h)%, -12, A, B ; 2606
+ SUB *+AR0(04D32h)%, -12, A ; 2607
+ SUB *+AR0(04D33h)%, -12, B, A ; 2608
+ SUB *+AR0(04D34h)%, -12, B, B ; 2609
+ SUB *+AR0(04D35h)%, -12, B ; 2610
+ SUB *+AR0(04D36h)%, -11, A, A ; 2611
+ SUB *+AR0(04D37h)%, -11, A, B ; 2612
+ SUB *+AR0(04D38h)%, -11, A ; 2613
+ SUB *+AR0(04D39h)%, -11, B, A ; 2614
+ SUB *+AR0(04D3Ah)%, -11, B, B ; 2615
+ SUB *+AR0(04D3Bh)%, -11, B ; 2616
+ SUB *+AR0(04D3Ch)%, -10, A, A ; 2617
+ SUB *+AR0(04D3Dh)%, -10, A, B ; 2618
+ SUB *+AR0(04D3Eh)%, -10, A ; 2619
+ SUB *+AR0(04D3Fh)%, -10, B, A ; 2620
+ SUB *+AR0(04D40h)%, -10, B, B ; 2621
+ SUB *+AR0(04D41h)%, -10, B ; 2622
+ SUB *+AR0(04D42h)%, -9, A, A ; 2623
+ SUB *+AR0(04D43h)%, -9, A, B ; 2624
+ SUB *+AR0(04D44h)%, -9, A ; 2625
+ SUB *+AR0(04D45h)%, -9, B, A ; 2626
+ SUB *+AR0(04D46h)%, -9, B, B ; 2627
+ SUB *+AR0(04D47h)%, -9, B ; 2628
+ SUB *+AR0(04D48h)%, -8, A, A ; 2629
+ SUB *+AR0(04D49h)%, -8, A, B ; 2630
+ SUB *+AR0(04D4Ah)%, -8, A ; 2631
+ SUB *+AR0(04D4Bh)%, -8, B, A ; 2632
+ SUB *+AR0(04D4Ch)%, -8, B, B ; 2633
+ SUB *+AR0(04D4Dh)%, -8, B ; 2634
+ SUB *+AR0(04D4Eh)%, -7, A, A ; 2635
+ SUB *+AR0(04D4Fh)%, -7, A, B ; 2636
+ SUB *+AR0(04D50h)%, -7, A ; 2637
+ SUB *+AR0(04D51h)%, -7, B, A ; 2638
+ SUB *+AR0(04D52h)%, -7, B, B ; 2639
+ SUB *+AR0(04D53h)%, -7, B ; 2640
+ SUB *+AR0(04D54h)%, -6, A, A ; 2641
+ SUB *+AR0(04D55h)%, -6, A, B ; 2642
+ SUB *+AR0(04D56h)%, -6, A ; 2643
+ SUB *+AR0(04D57h)%, -6, B, A ; 2644
+ SUB *+AR0(04D58h)%, -6, B, B ; 2645
+ SUB *+AR0(04D59h)%, -6, B ; 2646
+ SUB *+AR0(04D5Ah)%, -5, A, A ; 2647
+ SUB *+AR0(04D5Bh)%, -5, A, B ; 2648
+ SUB *+AR0(04D5Ch)%, -5, A ; 2649
+ SUB *+AR0(04D5Dh)%, -5, B, A ; 2650
+ SUB *+AR0(04D5Eh)%, -5, B, B ; 2651
+ SUB *+AR0(04D5Fh)%, -5, B ; 2652
+ SUB *+AR0(04D60h)%, -4, A, A ; 2653
+ SUB *+AR0(04D61h)%, -4, A, B ; 2654
+ SUB *+AR0(04D62h)%, -4, A ; 2655
+ SUB *+AR0(04D63h)%, -4, B, A ; 2656
+ SUB *+AR0(04D64h)%, -4, B, B ; 2657
+ SUB *+AR0(04D65h)%, -4, B ; 2658
+ SUB *+AR0(04D66h)%, -3, A, A ; 2659
+ SUB *+AR0(04D67h)%, -3, A, B ; 2660
+ SUB *+AR0(04D68h)%, -3, A ; 2661
+ SUB *+AR0(04D69h)%, -3, B, A ; 2662
+ SUB *+AR0(04D6Ah)%, -3, B, B ; 2663
+ SUB *+AR0(04D6Bh)%, -3, B ; 2664
+ SUB *+AR0(04D6Ch)%, -2, A, A ; 2665
+ SUB *+AR0(04D6Dh)%, -2, A, B ; 2666
+ SUB *+AR0(04D6Eh)%, -2, A ; 2667
+ SUB *+AR0(04D6Fh)%, -2, B, A ; 2668
+ SUB *+AR0(04D70h)%, -2, B, B ; 2669
+ SUB *+AR0(04D71h)%, -2, B ; 2670
+ SUB *+AR0(04D72h)%, -1, A, A ; 2671
+ SUB *+AR0(04D73h)%, -1, A, B ; 2672
+ SUB *+AR0(04D74h)%, -1, A ; 2673
+ SUB *+AR0(04D75h)%, -1, B, A ; 2674
+ SUB *+AR0(04D76h)%, -1, B, B ; 2675
+ SUB *+AR0(04D77h)%, -1, B ; 2676
+ SUB *+AR0(04D78h)%, 0, A, A ; 2677
+ SUB *+AR0(04D79h)%, 0, A, B ; 2678
+ SUB *+AR0(04D7Ah)%, 0, A ; 2679
+ SUB *+AR0(04D7Bh)%, 0, B, A ; 2680
+ SUB *+AR0(04D7Ch)%, 0, B, B ; 2681
+ SUB *+AR0(04D7Dh)%, 0, B ; 2682
+ SUB *+AR0(04D7Eh)%, 1, A, A ; 2683
+ SUB *+AR0(04D7Fh)%, 1, A, B ; 2684
+ SUB *+AR0(04D80h)%, 1, A ; 2685
+ SUB *+AR0(04D81h)%, 1, B, A ; 2686
+ SUB *+AR0(04D82h)%, 1, B, B ; 2687
+ SUB *+AR0(04D83h)%, 1, B ; 2688
+ SUB *+AR0(04D84h)%, 2, A, A ; 2689
+ SUB *+AR0(04D85h)%, 2, A, B ; 2690
+ SUB *+AR0(04D86h)%, 2, A ; 2691
+ SUB *+AR0(04D87h)%, 2, B, A ; 2692
+ SUB *+AR0(04D88h)%, 2, B, B ; 2693
+ SUB *+AR0(04D89h)%, 2, B ; 2694
+ SUB *+AR0(04D8Ah)%, 3, A, A ; 2695
+ SUB *+AR0(04D8Bh)%, 3, A, B ; 2696
+ SUB *+AR0(04D8Ch)%, 3, A ; 2697
+ SUB *+AR0(04D8Dh)%, 3, B, A ; 2698
+ SUB *+AR0(04D8Eh)%, 3, B, B ; 2699
+ SUB *+AR0(04D8Fh)%, 3, B ; 2700
+ SUB *+AR0(04D90h)%, 4, A, A ; 2701
+ SUB *+AR0(04D91h)%, 4, A, B ; 2702
+ SUB *+AR0(04D92h)%, 4, A ; 2703
+ SUB *+AR0(04D93h)%, 4, B, A ; 2704
+ SUB *+AR0(04D94h)%, 4, B, B ; 2705
+ SUB *+AR0(04D95h)%, 4, B ; 2706
+ SUB *+AR0(04D96h)%, 5, A, A ; 2707
+ SUB *+AR0(04D97h)%, 5, A, B ; 2708
+ SUB *+AR0(04D98h)%, 5, A ; 2709
+ SUB *+AR0(04D99h)%, 5, B, A ; 2710
+ SUB *+AR0(04D9Ah)%, 5, B, B ; 2711
+ SUB *+AR0(04D9Bh)%, 5, B ; 2712
+ SUB *+AR0(04D9Ch)%, 6, A, A ; 2713
+ SUB *+AR0(04D9Dh)%, 6, A, B ; 2714
+ SUB *+AR0(04D9Eh)%, 6, A ; 2715
+ SUB *+AR0(04D9Fh)%, 6, B, A ; 2716
+ SUB *+AR0(04DA0h)%, 6, B, B ; 2717
+ SUB *+AR0(04DA1h)%, 6, B ; 2718
+ SUB *+AR0(04DA2h)%, 7, A, A ; 2719
+ SUB *+AR0(04DA3h)%, 7, A, B ; 2720
+ SUB *+AR0(04DA4h)%, 7, A ; 2721
+ SUB *+AR0(04DA5h)%, 7, B, A ; 2722
+ SUB *+AR0(04DA6h)%, 7, B, B ; 2723
+ SUB *+AR0(04DA7h)%, 7, B ; 2724
+ SUB *+AR0(04DA8h)%, 8, A, A ; 2725
+ SUB *+AR0(04DA9h)%, 8, A, B ; 2726
+ SUB *+AR0(04DAAh)%, 8, A ; 2727
+ SUB *+AR0(04DABh)%, 8, B, A ; 2728
+ SUB *+AR0(04DACh)%, 8, B, B ; 2729
+ SUB *+AR0(04DADh)%, 8, B ; 2730
+ SUB *+AR0(04DAEh)%, 9, A, A ; 2731
+ SUB *+AR0(04DAFh)%, 9, A, B ; 2732
+ SUB *+AR0(04DB0h)%, 9, A ; 2733
+ SUB *+AR0(04DB1h)%, 9, B, A ; 2734
+ SUB *+AR0(04DB2h)%, 9, B, B ; 2735
+ SUB *+AR0(04DB3h)%, 9, B ; 2736
+ SUB *+AR0(04DB4h)%, 10, A, A ; 2737
+ SUB *+AR0(04DB5h)%, 10, A, B ; 2738
+ SUB *+AR0(04DB6h)%, 10, A ; 2739
+ SUB *+AR0(04DB7h)%, 10, B, A ; 2740
+ SUB *+AR0(04DB8h)%, 10, B, B ; 2741
+ SUB *+AR0(04DB9h)%, 10, B ; 2742
+ SUB *+AR0(04DBAh)%, 11, A, A ; 2743
+ SUB *+AR0(04DBBh)%, 11, A, B ; 2744
+ SUB *+AR0(04DBCh)%, 11, A ; 2745
+ SUB *+AR0(04DBDh)%, 11, B, A ; 2746
+ SUB *+AR0(04DBEh)%, 11, B, B ; 2747
+ SUB *+AR0(04DBFh)%, 11, B ; 2748
+ SUB *+AR0(04DC0h)%, 12, A, A ; 2749
+ SUB *+AR0(04DC1h)%, 12, A, B ; 2750
+ SUB *+AR0(04DC2h)%, 12, A ; 2751
+ SUB *+AR0(04DC3h)%, 12, B, A ; 2752
+ SUB *+AR0(04DC4h)%, 12, B, B ; 2753
+ SUB *+AR0(04DC5h)%, 12, B ; 2754
+ SUB *+AR0(04DC6h)%, 13, A, A ; 2755
+ SUB *+AR0(04DC7h)%, 13, A, B ; 2756
+ SUB *+AR0(04DC8h)%, 13, A ; 2757
+ SUB *+AR0(04DC9h)%, 13, B, A ; 2758
+ SUB *+AR0(04DCAh)%, 13, B, B ; 2759
+ SUB *+AR0(04DCBh)%, 13, B ; 2760
+ SUB *+AR0(04DCCh)%, 14, A, A ; 2761
+ SUB *+AR0(04DCDh)%, 14, A, B ; 2762
+ SUB *+AR0(04DCEh)%, 14, A ; 2763
+ SUB *+AR0(04DCFh)%, 14, B, A ; 2764
+ SUB *+AR0(04DD0h)%, 14, B, B ; 2765
+ SUB *+AR0(04DD1h)%, 14, B ; 2766
+ SUB *+AR0(04DD2h)%, 15, A, A ; 2767
+ SUB *+AR0(04DD3h)%, 15, A, B ; 2768
+ SUB *+AR0(04DD4h)%, 15, A ; 2769
+ SUB *+AR0(04DD5h)%, 15, B, A ; 2770
+ SUB *+AR0(04DD6h)%, 15, B, B ; 2771
+ SUB *+AR0(04DD7h)%, 15, B ; 2772
+ SUB *(04DD8h), A, A ; 2773
+ SUB *(04DD9h), A, B ; 2774
+ SUB *(04DDAh), A ; 2775
+ SUB *(04DDBh), B, A ; 2776
+ SUB *(04DDCh), B, B ; 2777
+ SUB *(04DDDh), B ; 2778
+ SUB *(04DDEh), -16, A, A ; 2779
+ SUB *(04DDFh), -16, A, B ; 2780
+ SUB *(04DE0h), -16, A ; 2781
+ SUB *(04DE1h), -16, B, A ; 2782
+ SUB *(04DE2h), -16, B, B ; 2783
+ SUB *(04DE3h), -16, B ; 2784
+ SUB *(04DE4h), -15, A, A ; 2785
+ SUB *(04DE5h), -15, A, B ; 2786
+ SUB *(04DE6h), -15, A ; 2787
+ SUB *(04DE7h), -15, B, A ; 2788
+ SUB *(04DE8h), -15, B, B ; 2789
+ SUB *(04DE9h), -15, B ; 2790
+ SUB *(04DEAh), -14, A, A ; 2791
+ SUB *(04DEBh), -14, A, B ; 2792
+ SUB *(04DECh), -14, A ; 2793
+ SUB *(04DEDh), -14, B, A ; 2794
+ SUB *(04DEEh), -14, B, B ; 2795
+ SUB *(04DEFh), -14, B ; 2796
+ SUB *(04DF0h), -13, A, A ; 2797
+ SUB *(04DF1h), -13, A, B ; 2798
+ SUB *(04DF2h), -13, A ; 2799
+ SUB *(04DF3h), -13, B, A ; 2800
+ SUB *(04DF4h), -13, B, B ; 2801
+ SUB *(04DF5h), -13, B ; 2802
+ SUB *(04DF6h), -12, A, A ; 2803
+ SUB *(04DF7h), -12, A, B ; 2804
+ SUB *(04DF8h), -12, A ; 2805
+ SUB *(04DF9h), -12, B, A ; 2806
+ SUB *(04DFAh), -12, B, B ; 2807
+ SUB *(04DFBh), -12, B ; 2808
+ SUB *(04DFCh), -11, A, A ; 2809
+ SUB *(04DFDh), -11, A, B ; 2810
+ SUB *(04DFEh), -11, A ; 2811
+ SUB *(04DFFh), -11, B, A ; 2812
+ SUB *(04E00h), -11, B, B ; 2813
+ SUB *(04E01h), -11, B ; 2814
+ SUB *(04E02h), -10, A, A ; 2815
+ SUB *(04E03h), -10, A, B ; 2816
+ SUB *(04E04h), -10, A ; 2817
+ SUB *(04E05h), -10, B, A ; 2818
+ SUB *(04E06h), -10, B, B ; 2819
+ SUB *(04E07h), -10, B ; 2820
+ SUB *(04E08h), -9, A, A ; 2821
+ SUB *(04E09h), -9, A, B ; 2822
+ SUB *(04E0Ah), -9, A ; 2823
+ SUB *(04E0Bh), -9, B, A ; 2824
+ SUB *(04E0Ch), -9, B, B ; 2825
+ SUB *(04E0Dh), -9, B ; 2826
+ SUB *(04E0Eh), -8, A, A ; 2827
+ SUB *(04E0Fh), -8, A, B ; 2828
+ SUB *(04E10h), -8, A ; 2829
+ SUB *(04E11h), -8, B, A ; 2830
+ SUB *(04E12h), -8, B, B ; 2831
+ SUB *(04E13h), -8, B ; 2832
+ SUB *(04E14h), -7, A, A ; 2833
+ SUB *(04E15h), -7, A, B ; 2834
+ SUB *(04E16h), -7, A ; 2835
+ SUB *(04E17h), -7, B, A ; 2836
+ SUB *(04E18h), -7, B, B ; 2837
+ SUB *(04E19h), -7, B ; 2838
+ SUB *(04E1Ah), -6, A, A ; 2839
+ SUB *(04E1Bh), -6, A, B ; 2840
+ SUB *(04E1Ch), -6, A ; 2841
+ SUB *(04E1Dh), -6, B, A ; 2842
+ SUB *(04E1Eh), -6, B, B ; 2843
+ SUB *(04E1Fh), -6, B ; 2844
+ SUB *(04E20h), -5, A, A ; 2845
+ SUB *(04E21h), -5, A, B ; 2846
+ SUB *(04E22h), -5, A ; 2847
+ SUB *(04E23h), -5, B, A ; 2848
+ SUB *(04E24h), -5, B, B ; 2849
+ SUB *(04E25h), -5, B ; 2850
+ SUB *(04E26h), -4, A, A ; 2851
+ SUB *(04E27h), -4, A, B ; 2852
+ SUB *(04E28h), -4, A ; 2853
+ SUB *(04E29h), -4, B, A ; 2854
+ SUB *(04E2Ah), -4, B, B ; 2855
+ SUB *(04E2Bh), -4, B ; 2856
+ SUB *(04E2Ch), -3, A, A ; 2857
+ SUB *(04E2Dh), -3, A, B ; 2858
+ SUB *(04E2Eh), -3, A ; 2859
+ SUB *(04E2Fh), -3, B, A ; 2860
+ SUB *(04E30h), -3, B, B ; 2861
+ SUB *(04E31h), -3, B ; 2862
+ SUB *(04E32h), -2, A, A ; 2863
+ SUB *(04E33h), -2, A, B ; 2864
+ SUB *(04E34h), -2, A ; 2865
+ SUB *(04E35h), -2, B, A ; 2866
+ SUB *(04E36h), -2, B, B ; 2867
+ SUB *(04E37h), -2, B ; 2868
+ SUB *(04E38h), -1, A, A ; 2869
+ SUB *(04E39h), -1, A, B ; 2870
+ SUB *(04E3Ah), -1, A ; 2871
+ SUB *(04E3Bh), -1, B, A ; 2872
+ SUB *(04E3Ch), -1, B, B ; 2873
+ SUB *(04E3Dh), -1, B ; 2874
+ SUB *(04E3Eh), 0, A, A ; 2875
+ SUB *(04E3Fh), 0, A, B ; 2876
+ SUB *(04E40h), 0, A ; 2877
+ SUB *(04E41h), 0, B, A ; 2878
+ SUB *(04E42h), 0, B, B ; 2879
+ SUB *(04E43h), 0, B ; 2880
+ SUB *(04E44h), 1, A, A ; 2881
+ SUB *(04E45h), 1, A, B ; 2882
+ SUB *(04E46h), 1, A ; 2883
+ SUB *(04E47h), 1, B, A ; 2884
+ SUB *(04E48h), 1, B, B ; 2885
+ SUB *(04E49h), 1, B ; 2886
+ SUB *(04E4Ah), 2, A, A ; 2887
+ SUB *(04E4Bh), 2, A, B ; 2888
+ SUB *(04E4Ch), 2, A ; 2889
+ SUB *(04E4Dh), 2, B, A ; 2890
+ SUB *(04E4Eh), 2, B, B ; 2891
+ SUB *(04E4Fh), 2, B ; 2892
+ SUB *(04E50h), 3, A, A ; 2893
+ SUB *(04E51h), 3, A, B ; 2894
+ SUB *(04E52h), 3, A ; 2895
+ SUB *(04E53h), 3, B, A ; 2896
+ SUB *(04E54h), 3, B, B ; 2897
+ SUB *(04E55h), 3, B ; 2898
+ SUB *(04E56h), 4, A, A ; 2899
+ SUB *(04E57h), 4, A, B ; 2900
+ SUB *(04E58h), 4, A ; 2901
+ SUB *(04E59h), 4, B, A ; 2902
+ SUB *(04E5Ah), 4, B, B ; 2903
+ SUB *(04E5Bh), 4, B ; 2904
+ SUB *(04E5Ch), 5, A, A ; 2905
+ SUB *(04E5Dh), 5, A, B ; 2906
+ SUB *(04E5Eh), 5, A ; 2907
+ SUB *(04E5Fh), 5, B, A ; 2908
+ SUB *(04E60h), 5, B, B ; 2909
+ SUB *(04E61h), 5, B ; 2910
+ SUB *(04E62h), 6, A, A ; 2911
+ SUB *(04E63h), 6, A, B ; 2912
+ SUB *(04E64h), 6, A ; 2913
+ SUB *(04E65h), 6, B, A ; 2914
+ SUB *(04E66h), 6, B, B ; 2915
+ SUB *(04E67h), 6, B ; 2916
+ SUB *(04E68h), 7, A, A ; 2917
+ SUB *(04E69h), 7, A, B ; 2918
+ SUB *(04E6Ah), 7, A ; 2919
+ SUB *(04E6Bh), 7, B, A ; 2920
+ SUB *(04E6Ch), 7, B, B ; 2921
+ SUB *(04E6Dh), 7, B ; 2922
+ SUB *(04E6Eh), 8, A, A ; 2923
+ SUB *(04E6Fh), 8, A, B ; 2924
+ SUB *(04E70h), 8, A ; 2925
+ SUB *(04E71h), 8, B, A ; 2926
+ SUB *(04E72h), 8, B, B ; 2927
+ SUB *(04E73h), 8, B ; 2928
+ SUB *(04E74h), 9, A, A ; 2929
+ SUB *(04E75h), 9, A, B ; 2930
+ SUB *(04E76h), 9, A ; 2931
+ SUB *(04E77h), 9, B, A ; 2932
+ SUB *(04E78h), 9, B, B ; 2933
+ SUB *(04E79h), 9, B ; 2934
+ SUB *(04E7Ah), 10, A, A ; 2935
+ SUB *(04E7Bh), 10, A, B ; 2936
+ SUB *(04E7Ch), 10, A ; 2937
+ SUB *(04E7Dh), 10, B, A ; 2938
+ SUB *(04E7Eh), 10, B, B ; 2939
+ SUB *(04E7Fh), 10, B ; 2940
+ SUB *(04E80h), 11, A, A ; 2941
+ SUB *(04E81h), 11, A, B ; 2942
+ SUB *(04E82h), 11, A ; 2943
+ SUB *(04E83h), 11, B, A ; 2944
+ SUB *(04E84h), 11, B, B ; 2945
+ SUB *(04E85h), 11, B ; 2946
+ SUB *(04E86h), 12, A, A ; 2947
+ SUB *(04E87h), 12, A, B ; 2948
+ SUB *(04E88h), 12, A ; 2949
+ SUB *(04E89h), 12, B, A ; 2950
+ SUB *(04E8Ah), 12, B, B ; 2951
+ SUB *(04E8Bh), 12, B ; 2952
+ SUB *(04E8Ch), 13, A, A ; 2953
+ SUB *(04E8Dh), 13, A, B ; 2954
+ SUB *(04E8Eh), 13, A ; 2955
+ SUB *(04E8Fh), 13, B, A ; 2956
+ SUB *(04E90h), 13, B, B ; 2957
+ SUB *(04E91h), 13, B ; 2958
+ SUB *(04E92h), 14, A, A ; 2959
+ SUB *(04E93h), 14, A, B ; 2960
+ SUB *(04E94h), 14, A ; 2961
+ SUB *(04E95h), 14, B, A ; 2962
+ SUB *(04E96h), 14, B, B ; 2963
+ SUB *(04E97h), 14, B ; 2964
+ SUB *(04E98h), 15, A, A ; 2965
+ SUB *(04E99h), 15, A, B ; 2966
+ SUB *(04E9Ah), 15, A ; 2967
+ SUB *(04E9Bh), 15, B, A ; 2968
+ SUB *(04E9Ch), 15, B, B ; 2969
+ SUB *(04E9Dh), 15, B ; 2970
+ SUB *AR1, A, A ; 2971
+ SUB *AR1, A, B ; 2972
+ SUB *AR1, A ; 2973
+ SUB *AR1, B, A ; 2974
+ SUB *AR1, B, B ; 2975
+ SUB *AR1, B ; 2976
+ SUB *AR1, -16, A, A ; 2977
+ SUB *AR1, -16, A, B ; 2978
+ SUB *AR1, -16, A ; 2979
+ SUB *AR1, -16, B, A ; 2980
+ SUB *AR1, -16, B, B ; 2981
+ SUB *AR1, -16, B ; 2982
+ SUB *AR1, -15, A, A ; 2983
+ SUB *AR1, -15, A, B ; 2984
+ SUB *AR1, -15, A ; 2985
+ SUB *AR1, -15, B, A ; 2986
+ SUB *AR1, -15, B, B ; 2987
+ SUB *AR1, -15, B ; 2988
+ SUB *AR1, -14, A, A ; 2989
+ SUB *AR1, -14, A, B ; 2990
+ SUB *AR1, -14, A ; 2991
+ SUB *AR1, -14, B, A ; 2992
+ SUB *AR1, -14, B, B ; 2993
+ SUB *AR1, -14, B ; 2994
+ SUB *AR1, -13, A, A ; 2995
+ SUB *AR1, -13, A, B ; 2996
+ SUB *AR1, -13, A ; 2997
+ SUB *AR1, -13, B, A ; 2998
+ SUB *AR1, -13, B, B ; 2999
+ SUB *AR1, -13, B ; 3000
+ SUB *AR1, -12, A, A ; 3001
+ SUB *AR1, -12, A, B ; 3002
+ SUB *AR1, -12, A ; 3003
+ SUB *AR1, -12, B, A ; 3004
+ SUB *AR1, -12, B, B ; 3005
+ SUB *AR1, -12, B ; 3006
+ SUB *AR1, -11, A, A ; 3007
+ SUB *AR1, -11, A, B ; 3008
+ SUB *AR1, -11, A ; 3009
+ SUB *AR1, -11, B, A ; 3010
+ SUB *AR1, -11, B, B ; 3011
+ SUB *AR1, -11, B ; 3012
+ SUB *AR1, -10, A, A ; 3013
+ SUB *AR1, -10, A, B ; 3014
+ SUB *AR1, -10, A ; 3015
+ SUB *AR1, -10, B, A ; 3016
+ SUB *AR1, -10, B, B ; 3017
+ SUB *AR1, -10, B ; 3018
+ SUB *AR1, -9, A, A ; 3019
+ SUB *AR1, -9, A, B ; 3020
+ SUB *AR1, -9, A ; 3021
+ SUB *AR1, -9, B, A ; 3022
+ SUB *AR1, -9, B, B ; 3023
+ SUB *AR1, -9, B ; 3024
+ SUB *AR1, -8, A, A ; 3025
+ SUB *AR1, -8, A, B ; 3026
+ SUB *AR1, -8, A ; 3027
+ SUB *AR1, -8, B, A ; 3028
+ SUB *AR1, -8, B, B ; 3029
+ SUB *AR1, -8, B ; 3030
+ SUB *AR1, -7, A, A ; 3031
+ SUB *AR1, -7, A, B ; 3032
+ SUB *AR1, -7, A ; 3033
+ SUB *AR1, -7, B, A ; 3034
+ SUB *AR1, -7, B, B ; 3035
+ SUB *AR1, -7, B ; 3036
+ SUB *AR1, -6, A, A ; 3037
+ SUB *AR1, -6, A, B ; 3038
+ SUB *AR1, -6, A ; 3039
+ SUB *AR1, -6, B, A ; 3040
+ SUB *AR1, -6, B, B ; 3041
+ SUB *AR1, -6, B ; 3042
+ SUB *AR1, -5, A, A ; 3043
+ SUB *AR1, -5, A, B ; 3044
+ SUB *AR1, -5, A ; 3045
+ SUB *AR1, -5, B, A ; 3046
+ SUB *AR1, -5, B, B ; 3047
+ SUB *AR1, -5, B ; 3048
+ SUB *AR1, -4, A, A ; 3049
+ SUB *AR1, -4, A, B ; 3050
+ SUB *AR1, -4, A ; 3051
+ SUB *AR1, -4, B, A ; 3052
+ SUB *AR1, -4, B, B ; 3053
+ SUB *AR1, -4, B ; 3054
+ SUB *AR1, -3, A, A ; 3055
+ SUB *AR1, -3, A, B ; 3056
+ SUB *AR1, -3, A ; 3057
+ SUB *AR1, -3, B, A ; 3058
+ SUB *AR1, -3, B, B ; 3059
+ SUB *AR1, -3, B ; 3060
+ SUB *AR1, -2, A, A ; 3061
+ SUB *AR1, -2, A, B ; 3062
+ SUB *AR1, -2, A ; 3063
+ SUB *AR1, -2, B, A ; 3064
+ SUB *AR1, -2, B, B ; 3065
+ SUB *AR1, -2, B ; 3066
+ SUB *AR1, -1, A, A ; 3067
+ SUB *AR1, -1, A, B ; 3068
+ SUB *AR1, -1, A ; 3069
+ SUB *AR1, -1, B, A ; 3070
+ SUB *AR1, -1, B, B ; 3071
+ SUB *AR1, -1, B ; 3072
+ SUB *AR1, 0, A, A ; 3073
+ SUB *AR1, 0, A, B ; 3074
+ SUB *AR1, 0, A ; 3075
+ SUB *AR1, 0, B, A ; 3076
+ SUB *AR1, 0, B, B ; 3077
+ SUB *AR1, 0, B ; 3078
+ SUB *AR1, 1, A, A ; 3079
+ SUB *AR1, 1, A, B ; 3080
+ SUB *AR1, 1, A ; 3081
+ SUB *AR1, 1, B, A ; 3082
+ SUB *AR1, 1, B, B ; 3083
+ SUB *AR1, 1, B ; 3084
+ SUB *AR1, 2, A, A ; 3085
+ SUB *AR1, 2, A, B ; 3086
+ SUB *AR1, 2, A ; 3087
+ SUB *AR1, 2, B, A ; 3088
+ SUB *AR1, 2, B, B ; 3089
+ SUB *AR1, 2, B ; 3090
+ SUB *AR1, 3, A, A ; 3091
+ SUB *AR1, 3, A, B ; 3092
+ SUB *AR1, 3, A ; 3093
+ SUB *AR1, 3, B, A ; 3094
+ SUB *AR1, 3, B, B ; 3095
+ SUB *AR1, 3, B ; 3096
+ SUB *AR1, 4, A, A ; 3097
+ SUB *AR1, 4, A, B ; 3098
+ SUB *AR1, 4, A ; 3099
+ SUB *AR1, 4, B, A ; 3100
+ SUB *AR1, 4, B, B ; 3101
+ SUB *AR1, 4, B ; 3102
+ SUB *AR1, 5, A, A ; 3103
+ SUB *AR1, 5, A, B ; 3104
+ SUB *AR1, 5, A ; 3105
+ SUB *AR1, 5, B, A ; 3106
+ SUB *AR1, 5, B, B ; 3107
+ SUB *AR1, 5, B ; 3108
+ SUB *AR1, 6, A, A ; 3109
+ SUB *AR1, 6, A, B ; 3110
+ SUB *AR1, 6, A ; 3111
+ SUB *AR1, 6, B, A ; 3112
+ SUB *AR1, 6, B, B ; 3113
+ SUB *AR1, 6, B ; 3114
+ SUB *AR1, 7, A, A ; 3115
+ SUB *AR1, 7, A, B ; 3116
+ SUB *AR1, 7, A ; 3117
+ SUB *AR1, 7, B, A ; 3118
+ SUB *AR1, 7, B, B ; 3119
+ SUB *AR1, 7, B ; 3120
+ SUB *AR1, 8, A, A ; 3121
+ SUB *AR1, 8, A, B ; 3122
+ SUB *AR1, 8, A ; 3123
+ SUB *AR1, 8, B, A ; 3124
+ SUB *AR1, 8, B, B ; 3125
+ SUB *AR1, 8, B ; 3126
+ SUB *AR1, 9, A, A ; 3127
+ SUB *AR1, 9, A, B ; 3128
+ SUB *AR1, 9, A ; 3129
+ SUB *AR1, 9, B, A ; 3130
+ SUB *AR1, 9, B, B ; 3131
+ SUB *AR1, 9, B ; 3132
+ SUB *AR1, 10, A, A ; 3133
+ SUB *AR1, 10, A, B ; 3134
+ SUB *AR1, 10, A ; 3135
+ SUB *AR1, 10, B, A ; 3136
+ SUB *AR1, 10, B, B ; 3137
+ SUB *AR1, 10, B ; 3138
+ SUB *AR1, 11, A, A ; 3139
+ SUB *AR1, 11, A, B ; 3140
+ SUB *AR1, 11, A ; 3141
+ SUB *AR1, 11, B, A ; 3142
+ SUB *AR1, 11, B, B ; 3143
+ SUB *AR1, 11, B ; 3144
+ SUB *AR1, 12, A, A ; 3145
+ SUB *AR1, 12, A, B ; 3146
+ SUB *AR1, 12, A ; 3147
+ SUB *AR1, 12, B, A ; 3148
+ SUB *AR1, 12, B, B ; 3149
+ SUB *AR1, 12, B ; 3150
+ SUB *AR1, 13, A, A ; 3151
+ SUB *AR1, 13, A, B ; 3152
+ SUB *AR1, 13, A ; 3153
+ SUB *AR1, 13, B, A ; 3154
+ SUB *AR1, 13, B, B ; 3155
+ SUB *AR1, 13, B ; 3156
+ SUB *AR1, 14, A, A ; 3157
+ SUB *AR1, 14, A, B ; 3158
+ SUB *AR1, 14, A ; 3159
+ SUB *AR1, 14, B, A ; 3160
+ SUB *AR1, 14, B, B ; 3161
+ SUB *AR1, 14, B ; 3162
+ SUB *AR1, 15, A, A ; 3163
+ SUB *AR1, 15, A, B ; 3164
+ SUB *AR1, 15, A ; 3165
+ SUB *AR1, 15, B, A ; 3166
+ SUB *AR1, 15, B, B ; 3167
+ SUB *AR1, 15, B ; 3168
+ SUB *AR1-, A, A ; 3169
+ SUB *AR1-, A, B ; 3170
+ SUB *AR1-, A ; 3171
+ SUB *AR1-, B, A ; 3172
+ SUB *AR1-, B, B ; 3173
+ SUB *AR1-, B ; 3174
+ SUB *AR1-, -16, A, A ; 3175
+ SUB *AR1-, -16, A, B ; 3176
+ SUB *AR1-, -16, A ; 3177
+ SUB *AR1-, -16, B, A ; 3178
+ SUB *AR1-, -16, B, B ; 3179
+ SUB *AR1-, -16, B ; 3180
+ SUB *AR1-, -15, A, A ; 3181
+ SUB *AR1-, -15, A, B ; 3182
+ SUB *AR1-, -15, A ; 3183
+ SUB *AR1-, -15, B, A ; 3184
+ SUB *AR1-, -15, B, B ; 3185
+ SUB *AR1-, -15, B ; 3186
+ SUB *AR1-, -14, A, A ; 3187
+ SUB *AR1-, -14, A, B ; 3188
+ SUB *AR1-, -14, A ; 3189
+ SUB *AR1-, -14, B, A ; 3190
+ SUB *AR1-, -14, B, B ; 3191
+ SUB *AR1-, -14, B ; 3192
+ SUB *AR1-, -13, A, A ; 3193
+ SUB *AR1-, -13, A, B ; 3194
+ SUB *AR1-, -13, A ; 3195
+ SUB *AR1-, -13, B, A ; 3196
+ SUB *AR1-, -13, B, B ; 3197
+ SUB *AR1-, -13, B ; 3198
+ SUB *AR1-, -12, A, A ; 3199
+ SUB *AR1-, -12, A, B ; 3200
+ SUB *AR1-, -12, A ; 3201
+ SUB *AR1-, -12, B, A ; 3202
+ SUB *AR1-, -12, B, B ; 3203
+ SUB *AR1-, -12, B ; 3204
+ SUB *AR1-, -11, A, A ; 3205
+ SUB *AR1-, -11, A, B ; 3206
+ SUB *AR1-, -11, A ; 3207
+ SUB *AR1-, -11, B, A ; 3208
+ SUB *AR1-, -11, B, B ; 3209
+ SUB *AR1-, -11, B ; 3210
+ SUB *AR1-, -10, A, A ; 3211
+ SUB *AR1-, -10, A, B ; 3212
+ SUB *AR1-, -10, A ; 3213
+ SUB *AR1-, -10, B, A ; 3214
+ SUB *AR1-, -10, B, B ; 3215
+ SUB *AR1-, -10, B ; 3216
+ SUB *AR1-, -9, A, A ; 3217
+ SUB *AR1-, -9, A, B ; 3218
+ SUB *AR1-, -9, A ; 3219
+ SUB *AR1-, -9, B, A ; 3220
+ SUB *AR1-, -9, B, B ; 3221
+ SUB *AR1-, -9, B ; 3222
+ SUB *AR1-, -8, A, A ; 3223
+ SUB *AR1-, -8, A, B ; 3224
+ SUB *AR1-, -8, A ; 3225
+ SUB *AR1-, -8, B, A ; 3226
+ SUB *AR1-, -8, B, B ; 3227
+ SUB *AR1-, -8, B ; 3228
+ SUB *AR1-, -7, A, A ; 3229
+ SUB *AR1-, -7, A, B ; 3230
+ SUB *AR1-, -7, A ; 3231
+ SUB *AR1-, -7, B, A ; 3232
+ SUB *AR1-, -7, B, B ; 3233
+ SUB *AR1-, -7, B ; 3234
+ SUB *AR1-, -6, A, A ; 3235
+ SUB *AR1-, -6, A, B ; 3236
+ SUB *AR1-, -6, A ; 3237
+ SUB *AR1-, -6, B, A ; 3238
+ SUB *AR1-, -6, B, B ; 3239
+ SUB *AR1-, -6, B ; 3240
+ SUB *AR1-, -5, A, A ; 3241
+ SUB *AR1-, -5, A, B ; 3242
+ SUB *AR1-, -5, A ; 3243
+ SUB *AR1-, -5, B, A ; 3244
+ SUB *AR1-, -5, B, B ; 3245
+ SUB *AR1-, -5, B ; 3246
+ SUB *AR1-, -4, A, A ; 3247
+ SUB *AR1-, -4, A, B ; 3248
+ SUB *AR1-, -4, A ; 3249
+ SUB *AR1-, -4, B, A ; 3250
+ SUB *AR1-, -4, B, B ; 3251
+ SUB *AR1-, -4, B ; 3252
+ SUB *AR1-, -3, A, A ; 3253
+ SUB *AR1-, -3, A, B ; 3254
+ SUB *AR1-, -3, A ; 3255
+ SUB *AR1-, -3, B, A ; 3256
+ SUB *AR1-, -3, B, B ; 3257
+ SUB *AR1-, -3, B ; 3258
+ SUB *AR1-, -2, A, A ; 3259
+ SUB *AR1-, -2, A, B ; 3260
+ SUB *AR1-, -2, A ; 3261
+ SUB *AR1-, -2, B, A ; 3262
+ SUB *AR1-, -2, B, B ; 3263
+ SUB *AR1-, -2, B ; 3264
+ SUB *AR1-, -1, A, A ; 3265
+ SUB *AR1-, -1, A, B ; 3266
+ SUB *AR1-, -1, A ; 3267
+ SUB *AR1-, -1, B, A ; 3268
+ SUB *AR1-, -1, B, B ; 3269
+ SUB *AR1-, -1, B ; 3270
+ SUB *AR1-, 0, A, A ; 3271
+ SUB *AR1-, 0, A, B ; 3272
+ SUB *AR1-, 0, A ; 3273
+ SUB *AR1-, 0, B, A ; 3274
+ SUB *AR1-, 0, B, B ; 3275
+ SUB *AR1-, 0, B ; 3276
+ SUB *AR1-, 1, A, A ; 3277
+ SUB *AR1-, 1, A, B ; 3278
+ SUB *AR1-, 1, A ; 3279
+ SUB *AR1-, 1, B, A ; 3280
+ SUB *AR1-, 1, B, B ; 3281
+ SUB *AR1-, 1, B ; 3282
+ SUB *AR1-, 2, A, A ; 3283
+ SUB *AR1-, 2, A, B ; 3284
+ SUB *AR1-, 2, A ; 3285
+ SUB *AR1-, 2, B, A ; 3286
+ SUB *AR1-, 2, B, B ; 3287
+ SUB *AR1-, 2, B ; 3288
+ SUB *AR1-, 3, A, A ; 3289
+ SUB *AR1-, 3, A, B ; 3290
+ SUB *AR1-, 3, A ; 3291
+ SUB *AR1-, 3, B, A ; 3292
+ SUB *AR1-, 3, B, B ; 3293
+ SUB *AR1-, 3, B ; 3294
+ SUB *AR1-, 4, A, A ; 3295
+ SUB *AR1-, 4, A, B ; 3296
+ SUB *AR1-, 4, A ; 3297
+ SUB *AR1-, 4, B, A ; 3298
+ SUB *AR1-, 4, B, B ; 3299
+ SUB *AR1-, 4, B ; 3300
+ SUB *AR1-, 5, A, A ; 3301
+ SUB *AR1-, 5, A, B ; 3302
+ SUB *AR1-, 5, A ; 3303
+ SUB *AR1-, 5, B, A ; 3304
+ SUB *AR1-, 5, B, B ; 3305
+ SUB *AR1-, 5, B ; 3306
+ SUB *AR1-, 6, A, A ; 3307
+ SUB *AR1-, 6, A, B ; 3308
+ SUB *AR1-, 6, A ; 3309
+ SUB *AR1-, 6, B, A ; 3310
+ SUB *AR1-, 6, B, B ; 3311
+ SUB *AR1-, 6, B ; 3312
+ SUB *AR1-, 7, A, A ; 3313
+ SUB *AR1-, 7, A, B ; 3314
+ SUB *AR1-, 7, A ; 3315
+ SUB *AR1-, 7, B, A ; 3316
+ SUB *AR1-, 7, B, B ; 3317
+ SUB *AR1-, 7, B ; 3318
+ SUB *AR1-, 8, A, A ; 3319
+ SUB *AR1-, 8, A, B ; 3320
+ SUB *AR1-, 8, A ; 3321
+ SUB *AR1-, 8, B, A ; 3322
+ SUB *AR1-, 8, B, B ; 3323
+ SUB *AR1-, 8, B ; 3324
+ SUB *AR1-, 9, A, A ; 3325
+ SUB *AR1-, 9, A, B ; 3326
+ SUB *AR1-, 9, A ; 3327
+ SUB *AR1-, 9, B, A ; 3328
+ SUB *AR1-, 9, B, B ; 3329
+ SUB *AR1-, 9, B ; 3330
+ SUB *AR1-, 10, A, A ; 3331
+ SUB *AR1-, 10, A, B ; 3332
+ SUB *AR1-, 10, A ; 3333
+ SUB *AR1-, 10, B, A ; 3334
+ SUB *AR1-, 10, B, B ; 3335
+ SUB *AR1-, 10, B ; 3336
+ SUB *AR1-, 11, A, A ; 3337
+ SUB *AR1-, 11, A, B ; 3338
+ SUB *AR1-, 11, A ; 3339
+ SUB *AR1-, 11, B, A ; 3340
+ SUB *AR1-, 11, B, B ; 3341
+ SUB *AR1-, 11, B ; 3342
+ SUB *AR1-, 12, A, A ; 3343
+ SUB *AR1-, 12, A, B ; 3344
+ SUB *AR1-, 12, A ; 3345
+ SUB *AR1-, 12, B, A ; 3346
+ SUB *AR1-, 12, B, B ; 3347
+ SUB *AR1-, 12, B ; 3348
+ SUB *AR1-, 13, A, A ; 3349
+ SUB *AR1-, 13, A, B ; 3350
+ SUB *AR1-, 13, A ; 3351
+ SUB *AR1-, 13, B, A ; 3352
+ SUB *AR1-, 13, B, B ; 3353
+ SUB *AR1-, 13, B ; 3354
+ SUB *AR1-, 14, A, A ; 3355
+ SUB *AR1-, 14, A, B ; 3356
+ SUB *AR1-, 14, A ; 3357
+ SUB *AR1-, 14, B, A ; 3358
+ SUB *AR1-, 14, B, B ; 3359
+ SUB *AR1-, 14, B ; 3360
+ SUB *AR1-, 15, A, A ; 3361
+ SUB *AR1-, 15, A, B ; 3362
+ SUB *AR1-, 15, A ; 3363
+ SUB *AR1-, 15, B, A ; 3364
+ SUB *AR1-, 15, B, B ; 3365
+ SUB *AR1-, 15, B ; 3366
+ SUB *AR1+, A, A ; 3367
+ SUB *AR1+, A, B ; 3368
+ SUB *AR1+, A ; 3369
+ SUB *AR1+, B, A ; 3370
+ SUB *AR1+, B, B ; 3371
+ SUB *AR1+, B ; 3372
+ SUB *AR1+, -16, A, A ; 3373
+ SUB *AR1+, -16, A, B ; 3374
+ SUB *AR1+, -16, A ; 3375
+ SUB *AR1+, -16, B, A ; 3376
+ SUB *AR1+, -16, B, B ; 3377
+ SUB *AR1+, -16, B ; 3378
+ SUB *AR1+, -15, A, A ; 3379
+ SUB *AR1+, -15, A, B ; 3380
+ SUB *AR1+, -15, A ; 3381
+ SUB *AR1+, -15, B, A ; 3382
+ SUB *AR1+, -15, B, B ; 3383
+ SUB *AR1+, -15, B ; 3384
+ SUB *AR1+, -14, A, A ; 3385
+ SUB *AR1+, -14, A, B ; 3386
+ SUB *AR1+, -14, A ; 3387
+ SUB *AR1+, -14, B, A ; 3388
+ SUB *AR1+, -14, B, B ; 3389
+ SUB *AR1+, -14, B ; 3390
+ SUB *AR1+, -13, A, A ; 3391
+ SUB *AR1+, -13, A, B ; 3392
+ SUB *AR1+, -13, A ; 3393
+ SUB *AR1+, -13, B, A ; 3394
+ SUB *AR1+, -13, B, B ; 3395
+ SUB *AR1+, -13, B ; 3396
+ SUB *AR1+, -12, A, A ; 3397
+ SUB *AR1+, -12, A, B ; 3398
+ SUB *AR1+, -12, A ; 3399
+ SUB *AR1+, -12, B, A ; 3400
+ SUB *AR1+, -12, B, B ; 3401
+ SUB *AR1+, -12, B ; 3402
+ SUB *AR1+, -11, A, A ; 3403
+ SUB *AR1+, -11, A, B ; 3404
+ SUB *AR1+, -11, A ; 3405
+ SUB *AR1+, -11, B, A ; 3406
+ SUB *AR1+, -11, B, B ; 3407
+ SUB *AR1+, -11, B ; 3408
+ SUB *AR1+, -10, A, A ; 3409
+ SUB *AR1+, -10, A, B ; 3410
+ SUB *AR1+, -10, A ; 3411
+ SUB *AR1+, -10, B, A ; 3412
+ SUB *AR1+, -10, B, B ; 3413
+ SUB *AR1+, -10, B ; 3414
+ SUB *AR1+, -9, A, A ; 3415
+ SUB *AR1+, -9, A, B ; 3416
+ SUB *AR1+, -9, A ; 3417
+ SUB *AR1+, -9, B, A ; 3418
+ SUB *AR1+, -9, B, B ; 3419
+ SUB *AR1+, -9, B ; 3420
+ SUB *AR1+, -8, A, A ; 3421
+ SUB *AR1+, -8, A, B ; 3422
+ SUB *AR1+, -8, A ; 3423
+ SUB *AR1+, -8, B, A ; 3424
+ SUB *AR1+, -8, B, B ; 3425
+ SUB *AR1+, -8, B ; 3426
+ SUB *AR1+, -7, A, A ; 3427
+ SUB *AR1+, -7, A, B ; 3428
+ SUB *AR1+, -7, A ; 3429
+ SUB *AR1+, -7, B, A ; 3430
+ SUB *AR1+, -7, B, B ; 3431
+ SUB *AR1+, -7, B ; 3432
+ SUB *AR1+, -6, A, A ; 3433
+ SUB *AR1+, -6, A, B ; 3434
+ SUB *AR1+, -6, A ; 3435
+ SUB *AR1+, -6, B, A ; 3436
+ SUB *AR1+, -6, B, B ; 3437
+ SUB *AR1+, -6, B ; 3438
+ SUB *AR1+, -5, A, A ; 3439
+ SUB *AR1+, -5, A, B ; 3440
+ SUB *AR1+, -5, A ; 3441
+ SUB *AR1+, -5, B, A ; 3442
+ SUB *AR1+, -5, B, B ; 3443
+ SUB *AR1+, -5, B ; 3444
+ SUB *AR1+, -4, A, A ; 3445
+ SUB *AR1+, -4, A, B ; 3446
+ SUB *AR1+, -4, A ; 3447
+ SUB *AR1+, -4, B, A ; 3448
+ SUB *AR1+, -4, B, B ; 3449
+ SUB *AR1+, -4, B ; 3450
+ SUB *AR1+, -3, A, A ; 3451
+ SUB *AR1+, -3, A, B ; 3452
+ SUB *AR1+, -3, A ; 3453
+ SUB *AR1+, -3, B, A ; 3454
+ SUB *AR1+, -3, B, B ; 3455
+ SUB *AR1+, -3, B ; 3456
+ SUB *AR1+, -2, A, A ; 3457
+ SUB *AR1+, -2, A, B ; 3458
+ SUB *AR1+, -2, A ; 3459
+ SUB *AR1+, -2, B, A ; 3460
+ SUB *AR1+, -2, B, B ; 3461
+ SUB *AR1+, -2, B ; 3462
+ SUB *AR1+, -1, A, A ; 3463
+ SUB *AR1+, -1, A, B ; 3464
+ SUB *AR1+, -1, A ; 3465
+ SUB *AR1+, -1, B, A ; 3466
+ SUB *AR1+, -1, B, B ; 3467
+ SUB *AR1+, -1, B ; 3468
+ SUB *AR1+, 0, A, A ; 3469
+ SUB *AR1+, 0, A, B ; 3470
+ SUB *AR1+, 0, A ; 3471
+ SUB *AR1+, 0, B, A ; 3472
+ SUB *AR1+, 0, B, B ; 3473
+ SUB *AR1+, 0, B ; 3474
+ SUB *AR1+, 1, A, A ; 3475
+ SUB *AR1+, 1, A, B ; 3476
+ SUB *AR1+, 1, A ; 3477
+ SUB *AR1+, 1, B, A ; 3478
+ SUB *AR1+, 1, B, B ; 3479
+ SUB *AR1+, 1, B ; 3480
+ SUB *AR1+, 2, A, A ; 3481
+ SUB *AR1+, 2, A, B ; 3482
+ SUB *AR1+, 2, A ; 3483
+ SUB *AR1+, 2, B, A ; 3484
+ SUB *AR1+, 2, B, B ; 3485
+ SUB *AR1+, 2, B ; 3486
+ SUB *AR1+, 3, A, A ; 3487
+ SUB *AR1+, 3, A, B ; 3488
+ SUB *AR1+, 3, A ; 3489
+ SUB *AR1+, 3, B, A ; 3490
+ SUB *AR1+, 3, B, B ; 3491
+ SUB *AR1+, 3, B ; 3492
+ SUB *AR1+, 4, A, A ; 3493
+ SUB *AR1+, 4, A, B ; 3494
+ SUB *AR1+, 4, A ; 3495
+ SUB *AR1+, 4, B, A ; 3496
+ SUB *AR1+, 4, B, B ; 3497
+ SUB *AR1+, 4, B ; 3498
+ SUB *AR1+, 5, A, A ; 3499
+ SUB *AR1+, 5, A, B ; 3500
+ SUB *AR1+, 5, A ; 3501
+ SUB *AR1+, 5, B, A ; 3502
+ SUB *AR1+, 5, B, B ; 3503
+ SUB *AR1+, 5, B ; 3504
+ SUB *AR1+, 6, A, A ; 3505
+ SUB *AR1+, 6, A, B ; 3506
+ SUB *AR1+, 6, A ; 3507
+ SUB *AR1+, 6, B, A ; 3508
+ SUB *AR1+, 6, B, B ; 3509
+ SUB *AR1+, 6, B ; 3510
+ SUB *AR1+, 7, A, A ; 3511
+ SUB *AR1+, 7, A, B ; 3512
+ SUB *AR1+, 7, A ; 3513
+ SUB *AR1+, 7, B, A ; 3514
+ SUB *AR1+, 7, B, B ; 3515
+ SUB *AR1+, 7, B ; 3516
+ SUB *AR1+, 8, A, A ; 3517
+ SUB *AR1+, 8, A, B ; 3518
+ SUB *AR1+, 8, A ; 3519
+ SUB *AR1+, 8, B, A ; 3520
+ SUB *AR1+, 8, B, B ; 3521
+ SUB *AR1+, 8, B ; 3522
+ SUB *AR1+, 9, A, A ; 3523
+ SUB *AR1+, 9, A, B ; 3524
+ SUB *AR1+, 9, A ; 3525
+ SUB *AR1+, 9, B, A ; 3526
+ SUB *AR1+, 9, B, B ; 3527
+ SUB *AR1+, 9, B ; 3528
+ SUB *AR1+, 10, A, A ; 3529
+ SUB *AR1+, 10, A, B ; 3530
+ SUB *AR1+, 10, A ; 3531
+ SUB *AR1+, 10, B, A ; 3532
+ SUB *AR1+, 10, B, B ; 3533
+ SUB *AR1+, 10, B ; 3534
+ SUB *AR1+, 11, A, A ; 3535
+ SUB *AR1+, 11, A, B ; 3536
+ SUB *AR1+, 11, A ; 3537
+ SUB *AR1+, 11, B, A ; 3538
+ SUB *AR1+, 11, B, B ; 3539
+ SUB *AR1+, 11, B ; 3540
+ SUB *AR1+, 12, A, A ; 3541
+ SUB *AR1+, 12, A, B ; 3542
+ SUB *AR1+, 12, A ; 3543
+ SUB *AR1+, 12, B, A ; 3544
+ SUB *AR1+, 12, B, B ; 3545
+ SUB *AR1+, 12, B ; 3546
+ SUB *AR1+, 13, A, A ; 3547
+ SUB *AR1+, 13, A, B ; 3548
+ SUB *AR1+, 13, A ; 3549
+ SUB *AR1+, 13, B, A ; 3550
+ SUB *AR1+, 13, B, B ; 3551
+ SUB *AR1+, 13, B ; 3552
+ SUB *AR1+, 14, A, A ; 3553
+ SUB *AR1+, 14, A, B ; 3554
+ SUB *AR1+, 14, A ; 3555
+ SUB *AR1+, 14, B, A ; 3556
+ SUB *AR1+, 14, B, B ; 3557
+ SUB *AR1+, 14, B ; 3558
+ SUB *AR1+, 15, A, A ; 3559
+ SUB *AR1+, 15, A, B ; 3560
+ SUB *AR1+, 15, A ; 3561
+ SUB *AR1+, 15, B, A ; 3562
+ SUB *AR1+, 15, B, B ; 3563
+ SUB *AR1+, 15, B ; 3564
+ SUB *AR1-0B, A, A ; 3565
+ SUB *AR1-0B, A, B ; 3566
+ SUB *AR1-0B, A ; 3567
+ SUB *AR1-0B, B, A ; 3568
+ SUB *AR1-0B, B, B ; 3569
+ SUB *AR1-0B, B ; 3570
+ SUB *AR1-0B, -16, A, A ; 3571
+ SUB *AR1-0B, -16, A, B ; 3572
+ SUB *AR1-0B, -16, A ; 3573
+ SUB *AR1-0B, -16, B, A ; 3574
+ SUB *AR1-0B, -16, B, B ; 3575
+ SUB *AR1-0B, -16, B ; 3576
+ SUB *AR1-0B, -15, A, A ; 3577
+ SUB *AR1-0B, -15, A, B ; 3578
+ SUB *AR1-0B, -15, A ; 3579
+ SUB *AR1-0B, -15, B, A ; 3580
+ SUB *AR1-0B, -15, B, B ; 3581
+ SUB *AR1-0B, -15, B ; 3582
+ SUB *AR1-0B, -14, A, A ; 3583
+ SUB *AR1-0B, -14, A, B ; 3584
+ SUB *AR1-0B, -14, A ; 3585
+ SUB *AR1-0B, -14, B, A ; 3586
+ SUB *AR1-0B, -14, B, B ; 3587
+ SUB *AR1-0B, -14, B ; 3588
+ SUB *AR1-0B, -13, A, A ; 3589
+ SUB *AR1-0B, -13, A, B ; 3590
+ SUB *AR1-0B, -13, A ; 3591
+ SUB *AR1-0B, -13, B, A ; 3592
+ SUB *AR1-0B, -13, B, B ; 3593
+ SUB *AR1-0B, -13, B ; 3594
+ SUB *AR1-0B, -12, A, A ; 3595
+ SUB *AR1-0B, -12, A, B ; 3596
+ SUB *AR1-0B, -12, A ; 3597
+ SUB *AR1-0B, -12, B, A ; 3598
+ SUB *AR1-0B, -12, B, B ; 3599
+ SUB *AR1-0B, -12, B ; 3600
+ SUB *AR1-0B, -11, A, A ; 3601
+ SUB *AR1-0B, -11, A, B ; 3602
+ SUB *AR1-0B, -11, A ; 3603
+ SUB *AR1-0B, -11, B, A ; 3604
+ SUB *AR1-0B, -11, B, B ; 3605
+ SUB *AR1-0B, -11, B ; 3606
+ SUB *AR1-0B, -10, A, A ; 3607
+ SUB *AR1-0B, -10, A, B ; 3608
+ SUB *AR1-0B, -10, A ; 3609
+ SUB *AR1-0B, -10, B, A ; 3610
+ SUB *AR1-0B, -10, B, B ; 3611
+ SUB *AR1-0B, -10, B ; 3612
+ SUB *AR1-0B, -9, A, A ; 3613
+ SUB *AR1-0B, -9, A, B ; 3614
+ SUB *AR1-0B, -9, A ; 3615
+ SUB *AR1-0B, -9, B, A ; 3616
+ SUB *AR1-0B, -9, B, B ; 3617
+ SUB *AR1-0B, -9, B ; 3618
+ SUB *AR1-0B, -8, A, A ; 3619
+ SUB *AR1-0B, -8, A, B ; 3620
+ SUB *AR1-0B, -8, A ; 3621
+ SUB *AR1-0B, -8, B, A ; 3622
+ SUB *AR1-0B, -8, B, B ; 3623
+ SUB *AR1-0B, -8, B ; 3624
+ SUB *AR1-0B, -7, A, A ; 3625
+ SUB *AR1-0B, -7, A, B ; 3626
+ SUB *AR1-0B, -7, A ; 3627
+ SUB *AR1-0B, -7, B, A ; 3628
+ SUB *AR1-0B, -7, B, B ; 3629
+ SUB *AR1-0B, -7, B ; 3630
+ SUB *AR1-0B, -6, A, A ; 3631
+ SUB *AR1-0B, -6, A, B ; 3632
+ SUB *AR1-0B, -6, A ; 3633
+ SUB *AR1-0B, -6, B, A ; 3634
+ SUB *AR1-0B, -6, B, B ; 3635
+ SUB *AR1-0B, -6, B ; 3636
+ SUB *AR1-0B, -5, A, A ; 3637
+ SUB *AR1-0B, -5, A, B ; 3638
+ SUB *AR1-0B, -5, A ; 3639
+ SUB *AR1-0B, -5, B, A ; 3640
+ SUB *AR1-0B, -5, B, B ; 3641
+ SUB *AR1-0B, -5, B ; 3642
+ SUB *AR1-0B, -4, A, A ; 3643
+ SUB *AR1-0B, -4, A, B ; 3644
+ SUB *AR1-0B, -4, A ; 3645
+ SUB *AR1-0B, -4, B, A ; 3646
+ SUB *AR1-0B, -4, B, B ; 3647
+ SUB *AR1-0B, -4, B ; 3648
+ SUB *AR1-0B, -3, A, A ; 3649
+ SUB *AR1-0B, -3, A, B ; 3650
+ SUB *AR1-0B, -3, A ; 3651
+ SUB *AR1-0B, -3, B, A ; 3652
+ SUB *AR1-0B, -3, B, B ; 3653
+ SUB *AR1-0B, -3, B ; 3654
+ SUB *AR1-0B, -2, A, A ; 3655
+ SUB *AR1-0B, -2, A, B ; 3656
+ SUB *AR1-0B, -2, A ; 3657
+ SUB *AR1-0B, -2, B, A ; 3658
+ SUB *AR1-0B, -2, B, B ; 3659
+ SUB *AR1-0B, -2, B ; 3660
+ SUB *AR1-0B, -1, A, A ; 3661
+ SUB *AR1-0B, -1, A, B ; 3662
+ SUB *AR1-0B, -1, A ; 3663
+ SUB *AR1-0B, -1, B, A ; 3664
+ SUB *AR1-0B, -1, B, B ; 3665
+ SUB *AR1-0B, -1, B ; 3666
+ SUB *AR1-0B, 0, A, A ; 3667
+ SUB *AR1-0B, 0, A, B ; 3668
+ SUB *AR1-0B, 0, A ; 3669
+ SUB *AR1-0B, 0, B, A ; 3670
+ SUB *AR1-0B, 0, B, B ; 3671
+ SUB *AR1-0B, 0, B ; 3672
+ SUB *AR1-0B, 1, A, A ; 3673
+ SUB *AR1-0B, 1, A, B ; 3674
+ SUB *AR1-0B, 1, A ; 3675
+ SUB *AR1-0B, 1, B, A ; 3676
+ SUB *AR1-0B, 1, B, B ; 3677
+ SUB *AR1-0B, 1, B ; 3678
+ SUB *AR1-0B, 2, A, A ; 3679
+ SUB *AR1-0B, 2, A, B ; 3680
+ SUB *AR1-0B, 2, A ; 3681
+ SUB *AR1-0B, 2, B, A ; 3682
+ SUB *AR1-0B, 2, B, B ; 3683
+ SUB *AR1-0B, 2, B ; 3684
+ SUB *AR1-0B, 3, A, A ; 3685
+ SUB *AR1-0B, 3, A, B ; 3686
+ SUB *AR1-0B, 3, A ; 3687
+ SUB *AR1-0B, 3, B, A ; 3688
+ SUB *AR1-0B, 3, B, B ; 3689
+ SUB *AR1-0B, 3, B ; 3690
+ SUB *AR1-0B, 4, A, A ; 3691
+ SUB *AR1-0B, 4, A, B ; 3692
+ SUB *AR1-0B, 4, A ; 3693
+ SUB *AR1-0B, 4, B, A ; 3694
+ SUB *AR1-0B, 4, B, B ; 3695
+ SUB *AR1-0B, 4, B ; 3696
+ SUB *AR1-0B, 5, A, A ; 3697
+ SUB *AR1-0B, 5, A, B ; 3698
+ SUB *AR1-0B, 5, A ; 3699
+ SUB *AR1-0B, 5, B, A ; 3700
+ SUB *AR1-0B, 5, B, B ; 3701
+ SUB *AR1-0B, 5, B ; 3702
+ SUB *AR1-0B, 6, A, A ; 3703
+ SUB *AR1-0B, 6, A, B ; 3704
+ SUB *AR1-0B, 6, A ; 3705
+ SUB *AR1-0B, 6, B, A ; 3706
+ SUB *AR1-0B, 6, B, B ; 3707
+ SUB *AR1-0B, 6, B ; 3708
+ SUB *AR1-0B, 7, A, A ; 3709
+ SUB *AR1-0B, 7, A, B ; 3710
+ SUB *AR1-0B, 7, A ; 3711
+ SUB *AR1-0B, 7, B, A ; 3712
+ SUB *AR1-0B, 7, B, B ; 3713
+ SUB *AR1-0B, 7, B ; 3714
+ SUB *AR1-0B, 8, A, A ; 3715
+ SUB *AR1-0B, 8, A, B ; 3716
+ SUB *AR1-0B, 8, A ; 3717
+ SUB *AR1-0B, 8, B, A ; 3718
+ SUB *AR1-0B, 8, B, B ; 3719
+ SUB *AR1-0B, 8, B ; 3720
+ SUB *AR1-0B, 9, A, A ; 3721
+ SUB *AR1-0B, 9, A, B ; 3722
+ SUB *AR1-0B, 9, A ; 3723
+ SUB *AR1-0B, 9, B, A ; 3724
+ SUB *AR1-0B, 9, B, B ; 3725
+ SUB *AR1-0B, 9, B ; 3726
+ SUB *AR1-0B, 10, A, A ; 3727
+ SUB *AR1-0B, 10, A, B ; 3728
+ SUB *AR1-0B, 10, A ; 3729
+ SUB *AR1-0B, 10, B, A ; 3730
+ SUB *AR1-0B, 10, B, B ; 3731
+ SUB *AR1-0B, 10, B ; 3732
+ SUB *AR1-0B, 11, A, A ; 3733
+ SUB *AR1-0B, 11, A, B ; 3734
+ SUB *AR1-0B, 11, A ; 3735
+ SUB *AR1-0B, 11, B, A ; 3736
+ SUB *AR1-0B, 11, B, B ; 3737
+ SUB *AR1-0B, 11, B ; 3738
+ SUB *AR1-0B, 12, A, A ; 3739
+ SUB *AR1-0B, 12, A, B ; 3740
+ SUB *AR1-0B, 12, A ; 3741
+ SUB *AR1-0B, 12, B, A ; 3742
+ SUB *AR1-0B, 12, B, B ; 3743
+ SUB *AR1-0B, 12, B ; 3744
+ SUB *AR1-0B, 13, A, A ; 3745
+ SUB *AR1-0B, 13, A, B ; 3746
+ SUB *AR1-0B, 13, A ; 3747
+ SUB *AR1-0B, 13, B, A ; 3748
+ SUB *AR1-0B, 13, B, B ; 3749
+ SUB *AR1-0B, 13, B ; 3750
+ SUB *AR1-0B, 14, A, A ; 3751
+ SUB *AR1-0B, 14, A, B ; 3752
+ SUB *AR1-0B, 14, A ; 3753
+ SUB *AR1-0B, 14, B, A ; 3754
+ SUB *AR1-0B, 14, B, B ; 3755
+ SUB *AR1-0B, 14, B ; 3756
+ SUB *AR1-0B, 15, A, A ; 3757
+ SUB *AR1-0B, 15, A, B ; 3758
+ SUB *AR1-0B, 15, A ; 3759
+ SUB *AR1-0B, 15, B, A ; 3760
+ SUB *AR1-0B, 15, B, B ; 3761
+ SUB *AR1-0B, 15, B ; 3762
+ SUB *AR1-0, A, A ; 3763
+ SUB *AR1-0, A, B ; 3764
+ SUB *AR1-0, A ; 3765
+ SUB *AR1-0, B, A ; 3766
+ SUB *AR1-0, B, B ; 3767
+ SUB *AR1-0, B ; 3768
+ SUB *AR1-0, -16, A, A ; 3769
+ SUB *AR1-0, -16, A, B ; 3770
+ SUB *AR1-0, -16, A ; 3771
+ SUB *AR1-0, -16, B, A ; 3772
+ SUB *AR1-0, -16, B, B ; 3773
+ SUB *AR1-0, -16, B ; 3774
+ SUB *AR1-0, -15, A, A ; 3775
+ SUB *AR1-0, -15, A, B ; 3776
+ SUB *AR1-0, -15, A ; 3777
+ SUB *AR1-0, -15, B, A ; 3778
+ SUB *AR1-0, -15, B, B ; 3779
+ SUB *AR1-0, -15, B ; 3780
+ SUB *AR1-0, -14, A, A ; 3781
+ SUB *AR1-0, -14, A, B ; 3782
+ SUB *AR1-0, -14, A ; 3783
+ SUB *AR1-0, -14, B, A ; 3784
+ SUB *AR1-0, -14, B, B ; 3785
+ SUB *AR1-0, -14, B ; 3786
+ SUB *AR1-0, -13, A, A ; 3787
+ SUB *AR1-0, -13, A, B ; 3788
+ SUB *AR1-0, -13, A ; 3789
+ SUB *AR1-0, -13, B, A ; 3790
+ SUB *AR1-0, -13, B, B ; 3791
+ SUB *AR1-0, -13, B ; 3792
+ SUB *AR1-0, -12, A, A ; 3793
+ SUB *AR1-0, -12, A, B ; 3794
+ SUB *AR1-0, -12, A ; 3795
+ SUB *AR1-0, -12, B, A ; 3796
+ SUB *AR1-0, -12, B, B ; 3797
+ SUB *AR1-0, -12, B ; 3798
+ SUB *AR1-0, -11, A, A ; 3799
+ SUB *AR1-0, -11, A, B ; 3800
+ SUB *AR1-0, -11, A ; 3801
+ SUB *AR1-0, -11, B, A ; 3802
+ SUB *AR1-0, -11, B, B ; 3803
+ SUB *AR1-0, -11, B ; 3804
+ SUB *AR1-0, -10, A, A ; 3805
+ SUB *AR1-0, -10, A, B ; 3806
+ SUB *AR1-0, -10, A ; 3807
+ SUB *AR1-0, -10, B, A ; 3808
+ SUB *AR1-0, -10, B, B ; 3809
+ SUB *AR1-0, -10, B ; 3810
+ SUB *AR1-0, -9, A, A ; 3811
+ SUB *AR1-0, -9, A, B ; 3812
+ SUB *AR1-0, -9, A ; 3813
+ SUB *AR1-0, -9, B, A ; 3814
+ SUB *AR1-0, -9, B, B ; 3815
+ SUB *AR1-0, -9, B ; 3816
+ SUB *AR1-0, -8, A, A ; 3817
+ SUB *AR1-0, -8, A, B ; 3818
+ SUB *AR1-0, -8, A ; 3819
+ SUB *AR1-0, -8, B, A ; 3820
+ SUB *AR1-0, -8, B, B ; 3821
+ SUB *AR1-0, -8, B ; 3822
+ SUB *AR1-0, -7, A, A ; 3823
+ SUB *AR1-0, -7, A, B ; 3824
+ SUB *AR1-0, -7, A ; 3825
+ SUB *AR1-0, -7, B, A ; 3826
+ SUB *AR1-0, -7, B, B ; 3827
+ SUB *AR1-0, -7, B ; 3828
+ SUB *AR1-0, -6, A, A ; 3829
+ SUB *AR1-0, -6, A, B ; 3830
+ SUB *AR1-0, -6, A ; 3831
+ SUB *AR1-0, -6, B, A ; 3832
+ SUB *AR1-0, -6, B, B ; 3833
+ SUB *AR1-0, -6, B ; 3834
+ SUB *AR1-0, -5, A, A ; 3835
+ SUB *AR1-0, -5, A, B ; 3836
+ SUB *AR1-0, -5, A ; 3837
+ SUB *AR1-0, -5, B, A ; 3838
+ SUB *AR1-0, -5, B, B ; 3839
+ SUB *AR1-0, -5, B ; 3840
+ SUB *AR1-0, -4, A, A ; 3841
+ SUB *AR1-0, -4, A, B ; 3842
+ SUB *AR1-0, -4, A ; 3843
+ SUB *AR1-0, -4, B, A ; 3844
+ SUB *AR1-0, -4, B, B ; 3845
+ SUB *AR1-0, -4, B ; 3846
+ SUB *AR1-0, -3, A, A ; 3847
+ SUB *AR1-0, -3, A, B ; 3848
+ SUB *AR1-0, -3, A ; 3849
+ SUB *AR1-0, -3, B, A ; 3850
+ SUB *AR1-0, -3, B, B ; 3851
+ SUB *AR1-0, -3, B ; 3852
+ SUB *AR1-0, -2, A, A ; 3853
+ SUB *AR1-0, -2, A, B ; 3854
+ SUB *AR1-0, -2, A ; 3855
+ SUB *AR1-0, -2, B, A ; 3856
+ SUB *AR1-0, -2, B, B ; 3857
+ SUB *AR1-0, -2, B ; 3858
+ SUB *AR1-0, -1, A, A ; 3859
+ SUB *AR1-0, -1, A, B ; 3860
+ SUB *AR1-0, -1, A ; 3861
+ SUB *AR1-0, -1, B, A ; 3862
+ SUB *AR1-0, -1, B, B ; 3863
+ SUB *AR1-0, -1, B ; 3864
+ SUB *AR1-0, 0, A, A ; 3865
+ SUB *AR1-0, 0, A, B ; 3866
+ SUB *AR1-0, 0, A ; 3867
+ SUB *AR1-0, 0, B, A ; 3868
+ SUB *AR1-0, 0, B, B ; 3869
+ SUB *AR1-0, 0, B ; 3870
+ SUB *AR1-0, 1, A, A ; 3871
+ SUB *AR1-0, 1, A, B ; 3872
+ SUB *AR1-0, 1, A ; 3873
+ SUB *AR1-0, 1, B, A ; 3874
+ SUB *AR1-0, 1, B, B ; 3875
+ SUB *AR1-0, 1, B ; 3876
+ SUB *AR1-0, 2, A, A ; 3877
+ SUB *AR1-0, 2, A, B ; 3878
+ SUB *AR1-0, 2, A ; 3879
+ SUB *AR1-0, 2, B, A ; 3880
+ SUB *AR1-0, 2, B, B ; 3881
+ SUB *AR1-0, 2, B ; 3882
+ SUB *AR1-0, 3, A, A ; 3883
+ SUB *AR1-0, 3, A, B ; 3884
+ SUB *AR1-0, 3, A ; 3885
+ SUB *AR1-0, 3, B, A ; 3886
+ SUB *AR1-0, 3, B, B ; 3887
+ SUB *AR1-0, 3, B ; 3888
+ SUB *AR1-0, 4, A, A ; 3889
+ SUB *AR1-0, 4, A, B ; 3890
+ SUB *AR1-0, 4, A ; 3891
+ SUB *AR1-0, 4, B, A ; 3892
+ SUB *AR1-0, 4, B, B ; 3893
+ SUB *AR1-0, 4, B ; 3894
+ SUB *AR1-0, 5, A, A ; 3895
+ SUB *AR1-0, 5, A, B ; 3896
+ SUB *AR1-0, 5, A ; 3897
+ SUB *AR1-0, 5, B, A ; 3898
+ SUB *AR1-0, 5, B, B ; 3899
+ SUB *AR1-0, 5, B ; 3900
+ SUB *AR1-0, 6, A, A ; 3901
+ SUB *AR1-0, 6, A, B ; 3902
+ SUB *AR1-0, 6, A ; 3903
+ SUB *AR1-0, 6, B, A ; 3904
+ SUB *AR1-0, 6, B, B ; 3905
+ SUB *AR1-0, 6, B ; 3906
+ SUB *AR1-0, 7, A, A ; 3907
+ SUB *AR1-0, 7, A, B ; 3908
+ SUB *AR1-0, 7, A ; 3909
+ SUB *AR1-0, 7, B, A ; 3910
+ SUB *AR1-0, 7, B, B ; 3911
+ SUB *AR1-0, 7, B ; 3912
+ SUB *AR1-0, 8, A, A ; 3913
+ SUB *AR1-0, 8, A, B ; 3914
+ SUB *AR1-0, 8, A ; 3915
+ SUB *AR1-0, 8, B, A ; 3916
+ SUB *AR1-0, 8, B, B ; 3917
+ SUB *AR1-0, 8, B ; 3918
+ SUB *AR1-0, 9, A, A ; 3919
+ SUB *AR1-0, 9, A, B ; 3920
+ SUB *AR1-0, 9, A ; 3921
+ SUB *AR1-0, 9, B, A ; 3922
+ SUB *AR1-0, 9, B, B ; 3923
+ SUB *AR1-0, 9, B ; 3924
+ SUB *AR1-0, 10, A, A ; 3925
+ SUB *AR1-0, 10, A, B ; 3926
+ SUB *AR1-0, 10, A ; 3927
+ SUB *AR1-0, 10, B, A ; 3928
+ SUB *AR1-0, 10, B, B ; 3929
+ SUB *AR1-0, 10, B ; 3930
+ SUB *AR1-0, 11, A, A ; 3931
+ SUB *AR1-0, 11, A, B ; 3932
+ SUB *AR1-0, 11, A ; 3933
+ SUB *AR1-0, 11, B, A ; 3934
+ SUB *AR1-0, 11, B, B ; 3935
+ SUB *AR1-0, 11, B ; 3936
+ SUB *AR1-0, 12, A, A ; 3937
+ SUB *AR1-0, 12, A, B ; 3938
+ SUB *AR1-0, 12, A ; 3939
+ SUB *AR1-0, 12, B, A ; 3940
+ SUB *AR1-0, 12, B, B ; 3941
+ SUB *AR1-0, 12, B ; 3942
+ SUB *AR1-0, 13, A, A ; 3943
+ SUB *AR1-0, 13, A, B ; 3944
+ SUB *AR1-0, 13, A ; 3945
+ SUB *AR1-0, 13, B, A ; 3946
+ SUB *AR1-0, 13, B, B ; 3947
+ SUB *AR1-0, 13, B ; 3948
+ SUB *AR1-0, 14, A, A ; 3949
+ SUB *AR1-0, 14, A, B ; 3950
+ SUB *AR1-0, 14, A ; 3951
+ SUB *AR1-0, 14, B, A ; 3952
+ SUB *AR1-0, 14, B, B ; 3953
+ SUB *AR1-0, 14, B ; 3954
+ SUB *AR1-0, 15, A, A ; 3955
+ SUB *AR1-0, 15, A, B ; 3956
+ SUB *AR1-0, 15, A ; 3957
+ SUB *AR1-0, 15, B, A ; 3958
+ SUB *AR1-0, 15, B, B ; 3959
+ SUB *AR1-0, 15, B ; 3960
+ SUB *AR1+0, A, A ; 3961
+ SUB *AR1+0, A, B ; 3962
+ SUB *AR1+0, A ; 3963
+ SUB *AR1+0, B, A ; 3964
+ SUB *AR1+0, B, B ; 3965
+ SUB *AR1+0, B ; 3966
+ SUB *AR1+0, -16, A, A ; 3967
+ SUB *AR1+0, -16, A, B ; 3968
+ SUB *AR1+0, -16, A ; 3969
+ SUB *AR1+0, -16, B, A ; 3970
+ SUB *AR1+0, -16, B, B ; 3971
+ SUB *AR1+0, -16, B ; 3972
+ SUB *AR1+0, -15, A, A ; 3973
+ SUB *AR1+0, -15, A, B ; 3974
+ SUB *AR1+0, -15, A ; 3975
+ SUB *AR1+0, -15, B, A ; 3976
+ SUB *AR1+0, -15, B, B ; 3977
+ SUB *AR1+0, -15, B ; 3978
+ SUB *AR1+0, -14, A, A ; 3979
+ SUB *AR1+0, -14, A, B ; 3980
+ SUB *AR1+0, -14, A ; 3981
+ SUB *AR1+0, -14, B, A ; 3982
+ SUB *AR1+0, -14, B, B ; 3983
+ SUB *AR1+0, -14, B ; 3984
+ SUB *AR1+0, -13, A, A ; 3985
+ SUB *AR1+0, -13, A, B ; 3986
+ SUB *AR1+0, -13, A ; 3987
+ SUB *AR1+0, -13, B, A ; 3988
+ SUB *AR1+0, -13, B, B ; 3989
+ SUB *AR1+0, -13, B ; 3990
+ SUB *AR1+0, -12, A, A ; 3991
+ SUB *AR1+0, -12, A, B ; 3992
+ SUB *AR1+0, -12, A ; 3993
+ SUB *AR1+0, -12, B, A ; 3994
+ SUB *AR1+0, -12, B, B ; 3995
+ SUB *AR1+0, -12, B ; 3996
+ SUB *AR1+0, -11, A, A ; 3997
+ SUB *AR1+0, -11, A, B ; 3998
+ SUB *AR1+0, -11, A ; 3999
+ SUB *AR1+0, -11, B, A ; 4000
+ SUB *AR1+0, -11, B, B ; 4001
+ SUB *AR1+0, -11, B ; 4002
+ SUB *AR1+0, -10, A, A ; 4003
+ SUB *AR1+0, -10, A, B ; 4004
+ SUB *AR1+0, -10, A ; 4005
+ SUB *AR1+0, -10, B, A ; 4006
+ SUB *AR1+0, -10, B, B ; 4007
+ SUB *AR1+0, -10, B ; 4008
+ SUB *AR1+0, -9, A, A ; 4009
+ SUB *AR1+0, -9, A, B ; 4010
+ SUB *AR1+0, -9, A ; 4011
+ SUB *AR1+0, -9, B, A ; 4012
+ SUB *AR1+0, -9, B, B ; 4013
+ SUB *AR1+0, -9, B ; 4014
+ SUB *AR1+0, -8, A, A ; 4015
+ SUB *AR1+0, -8, A, B ; 4016
+ SUB *AR1+0, -8, A ; 4017
+ SUB *AR1+0, -8, B, A ; 4018
+ SUB *AR1+0, -8, B, B ; 4019
+ SUB *AR1+0, -8, B ; 4020
+ SUB *AR1+0, -7, A, A ; 4021
+ SUB *AR1+0, -7, A, B ; 4022
+ SUB *AR1+0, -7, A ; 4023
+ SUB *AR1+0, -7, B, A ; 4024
+ SUB *AR1+0, -7, B, B ; 4025
+ SUB *AR1+0, -7, B ; 4026
+ SUB *AR1+0, -6, A, A ; 4027
+ SUB *AR1+0, -6, A, B ; 4028
+ SUB *AR1+0, -6, A ; 4029
+ SUB *AR1+0, -6, B, A ; 4030
+ SUB *AR1+0, -6, B, B ; 4031
+ SUB *AR1+0, -6, B ; 4032
+ SUB *AR1+0, -5, A, A ; 4033
+ SUB *AR1+0, -5, A, B ; 4034
+ SUB *AR1+0, -5, A ; 4035
+ SUB *AR1+0, -5, B, A ; 4036
+ SUB *AR1+0, -5, B, B ; 4037
+ SUB *AR1+0, -5, B ; 4038
+ SUB *AR1+0, -4, A, A ; 4039
+ SUB *AR1+0, -4, A, B ; 4040
+ SUB *AR1+0, -4, A ; 4041
+ SUB *AR1+0, -4, B, A ; 4042
+ SUB *AR1+0, -4, B, B ; 4043
+ SUB *AR1+0, -4, B ; 4044
+ SUB *AR1+0, -3, A, A ; 4045
+ SUB *AR1+0, -3, A, B ; 4046
+ SUB *AR1+0, -3, A ; 4047
+ SUB *AR1+0, -3, B, A ; 4048
+ SUB *AR1+0, -3, B, B ; 4049
+ SUB *AR1+0, -3, B ; 4050
+ SUB *AR1+0, -2, A, A ; 4051
+ SUB *AR1+0, -2, A, B ; 4052
+ SUB *AR1+0, -2, A ; 4053
+ SUB *AR1+0, -2, B, A ; 4054
+ SUB *AR1+0, -2, B, B ; 4055
+ SUB *AR1+0, -2, B ; 4056
+ SUB *AR1+0, -1, A, A ; 4057
+ SUB *AR1+0, -1, A, B ; 4058
+ SUB *AR1+0, -1, A ; 4059
+ SUB *AR1+0, -1, B, A ; 4060
+ SUB *AR1+0, -1, B, B ; 4061
+ SUB *AR1+0, -1, B ; 4062
+ SUB *AR1+0, 0, A, A ; 4063
+ SUB *AR1+0, 0, A, B ; 4064
+ SUB *AR1+0, 0, A ; 4065
+ SUB *AR1+0, 0, B, A ; 4066
+ SUB *AR1+0, 0, B, B ; 4067
+ SUB *AR1+0, 0, B ; 4068
+ SUB *AR1+0, 1, A, A ; 4069
+ SUB *AR1+0, 1, A, B ; 4070
+ SUB *AR1+0, 1, A ; 4071
+ SUB *AR1+0, 1, B, A ; 4072
+ SUB *AR1+0, 1, B, B ; 4073
+ SUB *AR1+0, 1, B ; 4074
+ SUB *AR1+0, 2, A, A ; 4075
+ SUB *AR1+0, 2, A, B ; 4076
+ SUB *AR1+0, 2, A ; 4077
+ SUB *AR1+0, 2, B, A ; 4078
+ SUB *AR1+0, 2, B, B ; 4079
+ SUB *AR1+0, 2, B ; 4080
+ SUB *AR1+0, 3, A, A ; 4081
+ SUB *AR1+0, 3, A, B ; 4082
+ SUB *AR1+0, 3, A ; 4083
+ SUB *AR1+0, 3, B, A ; 4084
+ SUB *AR1+0, 3, B, B ; 4085
+ SUB *AR1+0, 3, B ; 4086
+ SUB *AR1+0, 4, A, A ; 4087
+ SUB *AR1+0, 4, A, B ; 4088
+ SUB *AR1+0, 4, A ; 4089
+ SUB *AR1+0, 4, B, A ; 4090
+ SUB *AR1+0, 4, B, B ; 4091
+ SUB *AR1+0, 4, B ; 4092
+ SUB *AR1+0, 5, A, A ; 4093
+ SUB *AR1+0, 5, A, B ; 4094
+ SUB *AR1+0, 5, A ; 4095
+ SUB *AR1+0, 5, B, A ; 4096
+ SUB *AR1+0, 5, B, B ; 4097
+ SUB *AR1+0, 5, B ; 4098
+ SUB *AR1+0, 6, A, A ; 4099
+ SUB *AR1+0, 6, A, B ; 4100
+ SUB *AR1+0, 6, A ; 4101
+ SUB *AR1+0, 6, B, A ; 4102
+ SUB *AR1+0, 6, B, B ; 4103
+ SUB *AR1+0, 6, B ; 4104
+ SUB *AR1+0, 7, A, A ; 4105
+ SUB *AR1+0, 7, A, B ; 4106
+ SUB *AR1+0, 7, A ; 4107
+ SUB *AR1+0, 7, B, A ; 4108
+ SUB *AR1+0, 7, B, B ; 4109
+ SUB *AR1+0, 7, B ; 4110
+ SUB *AR1+0, 8, A, A ; 4111
+ SUB *AR1+0, 8, A, B ; 4112
+ SUB *AR1+0, 8, A ; 4113
+ SUB *AR1+0, 8, B, A ; 4114
+ SUB *AR1+0, 8, B, B ; 4115
+ SUB *AR1+0, 8, B ; 4116
+ SUB *AR1+0, 9, A, A ; 4117
+ SUB *AR1+0, 9, A, B ; 4118
+ SUB *AR1+0, 9, A ; 4119
+ SUB *AR1+0, 9, B, A ; 4120
+ SUB *AR1+0, 9, B, B ; 4121
+ SUB *AR1+0, 9, B ; 4122
+ SUB *AR1+0, 10, A, A ; 4123
+ SUB *AR1+0, 10, A, B ; 4124
+ SUB *AR1+0, 10, A ; 4125
+ SUB *AR1+0, 10, B, A ; 4126
+ SUB *AR1+0, 10, B, B ; 4127
+ SUB *AR1+0, 10, B ; 4128
+ SUB *AR1+0, 11, A, A ; 4129
+ SUB *AR1+0, 11, A, B ; 4130
+ SUB *AR1+0, 11, A ; 4131
+ SUB *AR1+0, 11, B, A ; 4132
+ SUB *AR1+0, 11, B, B ; 4133
+ SUB *AR1+0, 11, B ; 4134
+ SUB *AR1+0, 12, A, A ; 4135
+ SUB *AR1+0, 12, A, B ; 4136
+ SUB *AR1+0, 12, A ; 4137
+ SUB *AR1+0, 12, B, A ; 4138
+ SUB *AR1+0, 12, B, B ; 4139
+ SUB *AR1+0, 12, B ; 4140
+ SUB *AR1+0, 13, A, A ; 4141
+ SUB *AR1+0, 13, A, B ; 4142
+ SUB *AR1+0, 13, A ; 4143
+ SUB *AR1+0, 13, B, A ; 4144
+ SUB *AR1+0, 13, B, B ; 4145
+ SUB *AR1+0, 13, B ; 4146
+ SUB *AR1+0, 14, A, A ; 4147
+ SUB *AR1+0, 14, A, B ; 4148
+ SUB *AR1+0, 14, A ; 4149
+ SUB *AR1+0, 14, B, A ; 4150
+ SUB *AR1+0, 14, B, B ; 4151
+ SUB *AR1+0, 14, B ; 4152
+ SUB *AR1+0, 15, A, A ; 4153
+ SUB *AR1+0, 15, A, B ; 4154
+ SUB *AR1+0, 15, A ; 4155
+ SUB *AR1+0, 15, B, A ; 4156
+ SUB *AR1+0, 15, B, B ; 4157
+ SUB *AR1+0, 15, B ; 4158
+ SUB *AR1+0B, A, A ; 4159
+ SUB *AR1+0B, A, B ; 4160
+ SUB *AR1+0B, A ; 4161
+ SUB *AR1+0B, B, A ; 4162
+ SUB *AR1+0B, B, B ; 4163
+ SUB *AR1+0B, B ; 4164
+ SUB *AR1+0B, -16, A, A ; 4165
+ SUB *AR1+0B, -16, A, B ; 4166
+ SUB *AR1+0B, -16, A ; 4167
+ SUB *AR1+0B, -16, B, A ; 4168
+ SUB *AR1+0B, -16, B, B ; 4169
+ SUB *AR1+0B, -16, B ; 4170
+ SUB *AR1+0B, -15, A, A ; 4171
+ SUB *AR1+0B, -15, A, B ; 4172
+ SUB *AR1+0B, -15, A ; 4173
+ SUB *AR1+0B, -15, B, A ; 4174
+ SUB *AR1+0B, -15, B, B ; 4175
+ SUB *AR1+0B, -15, B ; 4176
+ SUB *AR1+0B, -14, A, A ; 4177
+ SUB *AR1+0B, -14, A, B ; 4178
+ SUB *AR1+0B, -14, A ; 4179
+ SUB *AR1+0B, -14, B, A ; 4180
+ SUB *AR1+0B, -14, B, B ; 4181
+ SUB *AR1+0B, -14, B ; 4182
+ SUB *AR1+0B, -13, A, A ; 4183
+ SUB *AR1+0B, -13, A, B ; 4184
+ SUB *AR1+0B, -13, A ; 4185
+ SUB *AR1+0B, -13, B, A ; 4186
+ SUB *AR1+0B, -13, B, B ; 4187
+ SUB *AR1+0B, -13, B ; 4188
+ SUB *AR1+0B, -12, A, A ; 4189
+ SUB *AR1+0B, -12, A, B ; 4190
+ SUB *AR1+0B, -12, A ; 4191
+ SUB *AR1+0B, -12, B, A ; 4192
+ SUB *AR1+0B, -12, B, B ; 4193
+ SUB *AR1+0B, -12, B ; 4194
+ SUB *AR1+0B, -11, A, A ; 4195
+ SUB *AR1+0B, -11, A, B ; 4196
+ SUB *AR1+0B, -11, A ; 4197
+ SUB *AR1+0B, -11, B, A ; 4198
+ SUB *AR1+0B, -11, B, B ; 4199
+ SUB *AR1+0B, -11, B ; 4200
+ SUB *AR1+0B, -10, A, A ; 4201
+ SUB *AR1+0B, -10, A, B ; 4202
+ SUB *AR1+0B, -10, A ; 4203
+ SUB *AR1+0B, -10, B, A ; 4204
+ SUB *AR1+0B, -10, B, B ; 4205
+ SUB *AR1+0B, -10, B ; 4206
+ SUB *AR1+0B, -9, A, A ; 4207
+ SUB *AR1+0B, -9, A, B ; 4208
+ SUB *AR1+0B, -9, A ; 4209
+ SUB *AR1+0B, -9, B, A ; 4210
+ SUB *AR1+0B, -9, B, B ; 4211
+ SUB *AR1+0B, -9, B ; 4212
+ SUB *AR1+0B, -8, A, A ; 4213
+ SUB *AR1+0B, -8, A, B ; 4214
+ SUB *AR1+0B, -8, A ; 4215
+ SUB *AR1+0B, -8, B, A ; 4216
+ SUB *AR1+0B, -8, B, B ; 4217
+ SUB *AR1+0B, -8, B ; 4218
+ SUB *AR1+0B, -7, A, A ; 4219
+ SUB *AR1+0B, -7, A, B ; 4220
+ SUB *AR1+0B, -7, A ; 4221
+ SUB *AR1+0B, -7, B, A ; 4222
+ SUB *AR1+0B, -7, B, B ; 4223
+ SUB *AR1+0B, -7, B ; 4224
+ SUB *AR1+0B, -6, A, A ; 4225
+ SUB *AR1+0B, -6, A, B ; 4226
+ SUB *AR1+0B, -6, A ; 4227
+ SUB *AR1+0B, -6, B, A ; 4228
+ SUB *AR1+0B, -6, B, B ; 4229
+ SUB *AR1+0B, -6, B ; 4230
+ SUB *AR1+0B, -5, A, A ; 4231
+ SUB *AR1+0B, -5, A, B ; 4232
+ SUB *AR1+0B, -5, A ; 4233
+ SUB *AR1+0B, -5, B, A ; 4234
+ SUB *AR1+0B, -5, B, B ; 4235
+ SUB *AR1+0B, -5, B ; 4236
+ SUB *AR1+0B, -4, A, A ; 4237
+ SUB *AR1+0B, -4, A, B ; 4238
+ SUB *AR1+0B, -4, A ; 4239
+ SUB *AR1+0B, -4, B, A ; 4240
+ SUB *AR1+0B, -4, B, B ; 4241
+ SUB *AR1+0B, -4, B ; 4242
+ SUB *AR1+0B, -3, A, A ; 4243
+ SUB *AR1+0B, -3, A, B ; 4244
+ SUB *AR1+0B, -3, A ; 4245
+ SUB *AR1+0B, -3, B, A ; 4246
+ SUB *AR1+0B, -3, B, B ; 4247
+ SUB *AR1+0B, -3, B ; 4248
+ SUB *AR1+0B, -2, A, A ; 4249
+ SUB *AR1+0B, -2, A, B ; 4250
+ SUB *AR1+0B, -2, A ; 4251
+ SUB *AR1+0B, -2, B, A ; 4252
+ SUB *AR1+0B, -2, B, B ; 4253
+ SUB *AR1+0B, -2, B ; 4254
+ SUB *AR1+0B, -1, A, A ; 4255
+ SUB *AR1+0B, -1, A, B ; 4256
+ SUB *AR1+0B, -1, A ; 4257
+ SUB *AR1+0B, -1, B, A ; 4258
+ SUB *AR1+0B, -1, B, B ; 4259
+ SUB *AR1+0B, -1, B ; 4260
+ SUB *AR1+0B, 0, A, A ; 4261
+ SUB *AR1+0B, 0, A, B ; 4262
+ SUB *AR1+0B, 0, A ; 4263
+ SUB *AR1+0B, 0, B, A ; 4264
+ SUB *AR1+0B, 0, B, B ; 4265
+ SUB *AR1+0B, 0, B ; 4266
+ SUB *AR1+0B, 1, A, A ; 4267
+ SUB *AR1+0B, 1, A, B ; 4268
+ SUB *AR1+0B, 1, A ; 4269
+ SUB *AR1+0B, 1, B, A ; 4270
+ SUB *AR1+0B, 1, B, B ; 4271
+ SUB *AR1+0B, 1, B ; 4272
+ SUB *AR1+0B, 2, A, A ; 4273
+ SUB *AR1+0B, 2, A, B ; 4274
+ SUB *AR1+0B, 2, A ; 4275
+ SUB *AR1+0B, 2, B, A ; 4276
+ SUB *AR1+0B, 2, B, B ; 4277
+ SUB *AR1+0B, 2, B ; 4278
+ SUB *AR1+0B, 3, A, A ; 4279
+ SUB *AR1+0B, 3, A, B ; 4280
+ SUB *AR1+0B, 3, A ; 4281
+ SUB *AR1+0B, 3, B, A ; 4282
+ SUB *AR1+0B, 3, B, B ; 4283
+ SUB *AR1+0B, 3, B ; 4284
+ SUB *AR1+0B, 4, A, A ; 4285
+ SUB *AR1+0B, 4, A, B ; 4286
+ SUB *AR1+0B, 4, A ; 4287
+ SUB *AR1+0B, 4, B, A ; 4288
+ SUB *AR1+0B, 4, B, B ; 4289
+ SUB *AR1+0B, 4, B ; 4290
+ SUB *AR1+0B, 5, A, A ; 4291
+ SUB *AR1+0B, 5, A, B ; 4292
+ SUB *AR1+0B, 5, A ; 4293
+ SUB *AR1+0B, 5, B, A ; 4294
+ SUB *AR1+0B, 5, B, B ; 4295
+ SUB *AR1+0B, 5, B ; 4296
+ SUB *AR1+0B, 6, A, A ; 4297
+ SUB *AR1+0B, 6, A, B ; 4298
+ SUB *AR1+0B, 6, A ; 4299
+ SUB *AR1+0B, 6, B, A ; 4300
+ SUB *AR1+0B, 6, B, B ; 4301
+ SUB *AR1+0B, 6, B ; 4302
+ SUB *AR1+0B, 7, A, A ; 4303
+ SUB *AR1+0B, 7, A, B ; 4304
+ SUB *AR1+0B, 7, A ; 4305
+ SUB *AR1+0B, 7, B, A ; 4306
+ SUB *AR1+0B, 7, B, B ; 4307
+ SUB *AR1+0B, 7, B ; 4308
+ SUB *AR1+0B, 8, A, A ; 4309
+ SUB *AR1+0B, 8, A, B ; 4310
+ SUB *AR1+0B, 8, A ; 4311
+ SUB *AR1+0B, 8, B, A ; 4312
+ SUB *AR1+0B, 8, B, B ; 4313
+ SUB *AR1+0B, 8, B ; 4314
+ SUB *AR1+0B, 9, A, A ; 4315
+ SUB *AR1+0B, 9, A, B ; 4316
+ SUB *AR1+0B, 9, A ; 4317
+ SUB *AR1+0B, 9, B, A ; 4318
+ SUB *AR1+0B, 9, B, B ; 4319
+ SUB *AR1+0B, 9, B ; 4320
+ SUB *AR1+0B, 10, A, A ; 4321
+ SUB *AR1+0B, 10, A, B ; 4322
+ SUB *AR1+0B, 10, A ; 4323
+ SUB *AR1+0B, 10, B, A ; 4324
+ SUB *AR1+0B, 10, B, B ; 4325
+ SUB *AR1+0B, 10, B ; 4326
+ SUB *AR1+0B, 11, A, A ; 4327
+ SUB *AR1+0B, 11, A, B ; 4328
+ SUB *AR1+0B, 11, A ; 4329
+ SUB *AR1+0B, 11, B, A ; 4330
+ SUB *AR1+0B, 11, B, B ; 4331
+ SUB *AR1+0B, 11, B ; 4332
+ SUB *AR1+0B, 12, A, A ; 4333
+ SUB *AR1+0B, 12, A, B ; 4334
+ SUB *AR1+0B, 12, A ; 4335
+ SUB *AR1+0B, 12, B, A ; 4336
+ SUB *AR1+0B, 12, B, B ; 4337
+ SUB *AR1+0B, 12, B ; 4338
+ SUB *AR1+0B, 13, A, A ; 4339
+ SUB *AR1+0B, 13, A, B ; 4340
+ SUB *AR1+0B, 13, A ; 4341
+ SUB *AR1+0B, 13, B, A ; 4342
+ SUB *AR1+0B, 13, B, B ; 4343
+ SUB *AR1+0B, 13, B ; 4344
+ SUB *AR1+0B, 14, A, A ; 4345
+ SUB *AR1+0B, 14, A, B ; 4346
+ SUB *AR1+0B, 14, A ; 4347
+ SUB *AR1+0B, 14, B, A ; 4348
+ SUB *AR1+0B, 14, B, B ; 4349
+ SUB *AR1+0B, 14, B ; 4350
+ SUB *AR1+0B, 15, A, A ; 4351
+ SUB *AR1+0B, 15, A, B ; 4352
+ SUB *AR1+0B, 15, A ; 4353
+ SUB *AR1+0B, 15, B, A ; 4354
+ SUB *AR1+0B, 15, B, B ; 4355
+ SUB *AR1+0B, 15, B ; 4356
+ SUB *AR1-%, A, A ; 4357
+ SUB *AR1-%, A, B ; 4358
+ SUB *AR1-%, A ; 4359
+ SUB *AR1-%, B, A ; 4360
+ SUB *AR1-%, B, B ; 4361
+ SUB *AR1-%, B ; 4362
+ SUB *AR1-%, -16, A, A ; 4363
+ SUB *AR1-%, -16, A, B ; 4364
+ SUB *AR1-%, -16, A ; 4365
+ SUB *AR1-%, -16, B, A ; 4366
+ SUB *AR1-%, -16, B, B ; 4367
+ SUB *AR1-%, -16, B ; 4368
+ SUB *AR1-%, -15, A, A ; 4369
+ SUB *AR1-%, -15, A, B ; 4370
+ SUB *AR1-%, -15, A ; 4371
+ SUB *AR1-%, -15, B, A ; 4372
+ SUB *AR1-%, -15, B, B ; 4373
+ SUB *AR1-%, -15, B ; 4374
+ SUB *AR1-%, -14, A, A ; 4375
+ SUB *AR1-%, -14, A, B ; 4376
+ SUB *AR1-%, -14, A ; 4377
+ SUB *AR1-%, -14, B, A ; 4378
+ SUB *AR1-%, -14, B, B ; 4379
+ SUB *AR1-%, -14, B ; 4380
+ SUB *AR1-%, -13, A, A ; 4381
+ SUB *AR1-%, -13, A, B ; 4382
+ SUB *AR1-%, -13, A ; 4383
+ SUB *AR1-%, -13, B, A ; 4384
+ SUB *AR1-%, -13, B, B ; 4385
+ SUB *AR1-%, -13, B ; 4386
+ SUB *AR1-%, -12, A, A ; 4387
+ SUB *AR1-%, -12, A, B ; 4388
+ SUB *AR1-%, -12, A ; 4389
+ SUB *AR1-%, -12, B, A ; 4390
+ SUB *AR1-%, -12, B, B ; 4391
+ SUB *AR1-%, -12, B ; 4392
+ SUB *AR1-%, -11, A, A ; 4393
+ SUB *AR1-%, -11, A, B ; 4394
+ SUB *AR1-%, -11, A ; 4395
+ SUB *AR1-%, -11, B, A ; 4396
+ SUB *AR1-%, -11, B, B ; 4397
+ SUB *AR1-%, -11, B ; 4398
+ SUB *AR1-%, -10, A, A ; 4399
+ SUB *AR1-%, -10, A, B ; 4400
+ SUB *AR1-%, -10, A ; 4401
+ SUB *AR1-%, -10, B, A ; 4402
+ SUB *AR1-%, -10, B, B ; 4403
+ SUB *AR1-%, -10, B ; 4404
+ SUB *AR1-%, -9, A, A ; 4405
+ SUB *AR1-%, -9, A, B ; 4406
+ SUB *AR1-%, -9, A ; 4407
+ SUB *AR1-%, -9, B, A ; 4408
+ SUB *AR1-%, -9, B, B ; 4409
+ SUB *AR1-%, -9, B ; 4410
+ SUB *AR1-%, -8, A, A ; 4411
+ SUB *AR1-%, -8, A, B ; 4412
+ SUB *AR1-%, -8, A ; 4413
+ SUB *AR1-%, -8, B, A ; 4414
+ SUB *AR1-%, -8, B, B ; 4415
+ SUB *AR1-%, -8, B ; 4416
+ SUB *AR1-%, -7, A, A ; 4417
+ SUB *AR1-%, -7, A, B ; 4418
+ SUB *AR1-%, -7, A ; 4419
+ SUB *AR1-%, -7, B, A ; 4420
+ SUB *AR1-%, -7, B, B ; 4421
+ SUB *AR1-%, -7, B ; 4422
+ SUB *AR1-%, -6, A, A ; 4423
+ SUB *AR1-%, -6, A, B ; 4424
+ SUB *AR1-%, -6, A ; 4425
+ SUB *AR1-%, -6, B, A ; 4426
+ SUB *AR1-%, -6, B, B ; 4427
+ SUB *AR1-%, -6, B ; 4428
+ SUB *AR1-%, -5, A, A ; 4429
+ SUB *AR1-%, -5, A, B ; 4430
+ SUB *AR1-%, -5, A ; 4431
+ SUB *AR1-%, -5, B, A ; 4432
+ SUB *AR1-%, -5, B, B ; 4433
+ SUB *AR1-%, -5, B ; 4434
+ SUB *AR1-%, -4, A, A ; 4435
+ SUB *AR1-%, -4, A, B ; 4436
+ SUB *AR1-%, -4, A ; 4437
+ SUB *AR1-%, -4, B, A ; 4438
+ SUB *AR1-%, -4, B, B ; 4439
+ SUB *AR1-%, -4, B ; 4440
+ SUB *AR1-%, -3, A, A ; 4441
+ SUB *AR1-%, -3, A, B ; 4442
+ SUB *AR1-%, -3, A ; 4443
+ SUB *AR1-%, -3, B, A ; 4444
+ SUB *AR1-%, -3, B, B ; 4445
+ SUB *AR1-%, -3, B ; 4446
+ SUB *AR1-%, -2, A, A ; 4447
+ SUB *AR1-%, -2, A, B ; 4448
+ SUB *AR1-%, -2, A ; 4449
+ SUB *AR1-%, -2, B, A ; 4450
+ SUB *AR1-%, -2, B, B ; 4451
+ SUB *AR1-%, -2, B ; 4452
+ SUB *AR1-%, -1, A, A ; 4453
+ SUB *AR1-%, -1, A, B ; 4454
+ SUB *AR1-%, -1, A ; 4455
+ SUB *AR1-%, -1, B, A ; 4456
+ SUB *AR1-%, -1, B, B ; 4457
+ SUB *AR1-%, -1, B ; 4458
+ SUB *AR1-%, 0, A, A ; 4459
+ SUB *AR1-%, 0, A, B ; 4460
+ SUB *AR1-%, 0, A ; 4461
+ SUB *AR1-%, 0, B, A ; 4462
+ SUB *AR1-%, 0, B, B ; 4463
+ SUB *AR1-%, 0, B ; 4464
+ SUB *AR1-%, 1, A, A ; 4465
+ SUB *AR1-%, 1, A, B ; 4466
+ SUB *AR1-%, 1, A ; 4467
+ SUB *AR1-%, 1, B, A ; 4468
+ SUB *AR1-%, 1, B, B ; 4469
+ SUB *AR1-%, 1, B ; 4470
+ SUB *AR1-%, 2, A, A ; 4471
+ SUB *AR1-%, 2, A, B ; 4472
+ SUB *AR1-%, 2, A ; 4473
+ SUB *AR1-%, 2, B, A ; 4474
+ SUB *AR1-%, 2, B, B ; 4475
+ SUB *AR1-%, 2, B ; 4476
+ SUB *AR1-%, 3, A, A ; 4477
+ SUB *AR1-%, 3, A, B ; 4478
+ SUB *AR1-%, 3, A ; 4479
+ SUB *AR1-%, 3, B, A ; 4480
+ SUB *AR1-%, 3, B, B ; 4481
+ SUB *AR1-%, 3, B ; 4482
+ SUB *AR1-%, 4, A, A ; 4483
+ SUB *AR1-%, 4, A, B ; 4484
+ SUB *AR1-%, 4, A ; 4485
+ SUB *AR1-%, 4, B, A ; 4486
+ SUB *AR1-%, 4, B, B ; 4487
+ SUB *AR1-%, 4, B ; 4488
+ SUB *AR1-%, 5, A, A ; 4489
+ SUB *AR1-%, 5, A, B ; 4490
+ SUB *AR1-%, 5, A ; 4491
+ SUB *AR1-%, 5, B, A ; 4492
+ SUB *AR1-%, 5, B, B ; 4493
+ SUB *AR1-%, 5, B ; 4494
+ SUB *AR1-%, 6, A, A ; 4495
+ SUB *AR1-%, 6, A, B ; 4496
+ SUB *AR1-%, 6, A ; 4497
+ SUB *AR1-%, 6, B, A ; 4498
+ SUB *AR1-%, 6, B, B ; 4499
+ SUB *AR1-%, 6, B ; 4500
+ SUB *AR1-%, 7, A, A ; 4501
+ SUB *AR1-%, 7, A, B ; 4502
+ SUB *AR1-%, 7, A ; 4503
+ SUB *AR1-%, 7, B, A ; 4504
+ SUB *AR1-%, 7, B, B ; 4505
+ SUB *AR1-%, 7, B ; 4506
+ SUB *AR1-%, 8, A, A ; 4507
+ SUB *AR1-%, 8, A, B ; 4508
+ SUB *AR1-%, 8, A ; 4509
+ SUB *AR1-%, 8, B, A ; 4510
+ SUB *AR1-%, 8, B, B ; 4511
+ SUB *AR1-%, 8, B ; 4512
+ SUB *AR1-%, 9, A, A ; 4513
+ SUB *AR1-%, 9, A, B ; 4514
+ SUB *AR1-%, 9, A ; 4515
+ SUB *AR1-%, 9, B, A ; 4516
+ SUB *AR1-%, 9, B, B ; 4517
+ SUB *AR1-%, 9, B ; 4518
+ SUB *AR1-%, 10, A, A ; 4519
+ SUB *AR1-%, 10, A, B ; 4520
+ SUB *AR1-%, 10, A ; 4521
+ SUB *AR1-%, 10, B, A ; 4522
+ SUB *AR1-%, 10, B, B ; 4523
+ SUB *AR1-%, 10, B ; 4524
+ SUB *AR1-%, 11, A, A ; 4525
+ SUB *AR1-%, 11, A, B ; 4526
+ SUB *AR1-%, 11, A ; 4527
+ SUB *AR1-%, 11, B, A ; 4528
+ SUB *AR1-%, 11, B, B ; 4529
+ SUB *AR1-%, 11, B ; 4530
+ SUB *AR1-%, 12, A, A ; 4531
+ SUB *AR1-%, 12, A, B ; 4532
+ SUB *AR1-%, 12, A ; 4533
+ SUB *AR1-%, 12, B, A ; 4534
+ SUB *AR1-%, 12, B, B ; 4535
+ SUB *AR1-%, 12, B ; 4536
+ SUB *AR1-%, 13, A, A ; 4537
+ SUB *AR1-%, 13, A, B ; 4538
+ SUB *AR1-%, 13, A ; 4539
+ SUB *AR1-%, 13, B, A ; 4540
+ SUB *AR1-%, 13, B, B ; 4541
+ SUB *AR1-%, 13, B ; 4542
+ SUB *AR1-%, 14, A, A ; 4543
+ SUB *AR1-%, 14, A, B ; 4544
+ SUB *AR1-%, 14, A ; 4545
+ SUB *AR1-%, 14, B, A ; 4546
+ SUB *AR1-%, 14, B, B ; 4547
+ SUB *AR1-%, 14, B ; 4548
+ SUB *AR1-%, 15, A, A ; 4549
+ SUB *AR1-%, 15, A, B ; 4550
+ SUB *AR1-%, 15, A ; 4551
+ SUB *AR1-%, 15, B, A ; 4552
+ SUB *AR1-%, 15, B, B ; 4553
+ SUB *AR1-%, 15, B ; 4554
+ SUB *AR1-0%, A, A ; 4555
+ SUB *AR1-0%, A, B ; 4556
+ SUB *AR1-0%, A ; 4557
+ SUB *AR1-0%, B, A ; 4558
+ SUB *AR1-0%, B, B ; 4559
+ SUB *AR1-0%, B ; 4560
+ SUB *AR1-0%, -16, A, A ; 4561
+ SUB *AR1-0%, -16, A, B ; 4562
+ SUB *AR1-0%, -16, A ; 4563
+ SUB *AR1-0%, -16, B, A ; 4564
+ SUB *AR1-0%, -16, B, B ; 4565
+ SUB *AR1-0%, -16, B ; 4566
+ SUB *AR1-0%, -15, A, A ; 4567
+ SUB *AR1-0%, -15, A, B ; 4568
+ SUB *AR1-0%, -15, A ; 4569
+ SUB *AR1-0%, -15, B, A ; 4570
+ SUB *AR1-0%, -15, B, B ; 4571
+ SUB *AR1-0%, -15, B ; 4572
+ SUB *AR1-0%, -14, A, A ; 4573
+ SUB *AR1-0%, -14, A, B ; 4574
+ SUB *AR1-0%, -14, A ; 4575
+ SUB *AR1-0%, -14, B, A ; 4576
+ SUB *AR1-0%, -14, B, B ; 4577
+ SUB *AR1-0%, -14, B ; 4578
+ SUB *AR1-0%, -13, A, A ; 4579
+ SUB *AR1-0%, -13, A, B ; 4580
+ SUB *AR1-0%, -13, A ; 4581
+ SUB *AR1-0%, -13, B, A ; 4582
+ SUB *AR1-0%, -13, B, B ; 4583
+ SUB *AR1-0%, -13, B ; 4584
+ SUB *AR1-0%, -12, A, A ; 4585
+ SUB *AR1-0%, -12, A, B ; 4586
+ SUB *AR1-0%, -12, A ; 4587
+ SUB *AR1-0%, -12, B, A ; 4588
+ SUB *AR1-0%, -12, B, B ; 4589
+ SUB *AR1-0%, -12, B ; 4590
+ SUB *AR1-0%, -11, A, A ; 4591
+ SUB *AR1-0%, -11, A, B ; 4592
+ SUB *AR1-0%, -11, A ; 4593
+ SUB *AR1-0%, -11, B, A ; 4594
+ SUB *AR1-0%, -11, B, B ; 4595
+ SUB *AR1-0%, -11, B ; 4596
+ SUB *AR1-0%, -10, A, A ; 4597
+ SUB *AR1-0%, -10, A, B ; 4598
+ SUB *AR1-0%, -10, A ; 4599
+ SUB *AR1-0%, -10, B, A ; 4600
+ SUB *AR1-0%, -10, B, B ; 4601
+ SUB *AR1-0%, -10, B ; 4602
+ SUB *AR1-0%, -9, A, A ; 4603
+ SUB *AR1-0%, -9, A, B ; 4604
+ SUB *AR1-0%, -9, A ; 4605
+ SUB *AR1-0%, -9, B, A ; 4606
+ SUB *AR1-0%, -9, B, B ; 4607
+ SUB *AR1-0%, -9, B ; 4608
+ SUB *AR1-0%, -8, A, A ; 4609
+ SUB *AR1-0%, -8, A, B ; 4610
+ SUB *AR1-0%, -8, A ; 4611
+ SUB *AR1-0%, -8, B, A ; 4612
+ SUB *AR1-0%, -8, B, B ; 4613
+ SUB *AR1-0%, -8, B ; 4614
+ SUB *AR1-0%, -7, A, A ; 4615
+ SUB *AR1-0%, -7, A, B ; 4616
+ SUB *AR1-0%, -7, A ; 4617
+ SUB *AR1-0%, -7, B, A ; 4618
+ SUB *AR1-0%, -7, B, B ; 4619
+ SUB *AR1-0%, -7, B ; 4620
+ SUB *AR1-0%, -6, A, A ; 4621
+ SUB *AR1-0%, -6, A, B ; 4622
+ SUB *AR1-0%, -6, A ; 4623
+ SUB *AR1-0%, -6, B, A ; 4624
+ SUB *AR1-0%, -6, B, B ; 4625
+ SUB *AR1-0%, -6, B ; 4626
+ SUB *AR1-0%, -5, A, A ; 4627
+ SUB *AR1-0%, -5, A, B ; 4628
+ SUB *AR1-0%, -5, A ; 4629
+ SUB *AR1-0%, -5, B, A ; 4630
+ SUB *AR1-0%, -5, B, B ; 4631
+ SUB *AR1-0%, -5, B ; 4632
+ SUB *AR1-0%, -4, A, A ; 4633
+ SUB *AR1-0%, -4, A, B ; 4634
+ SUB *AR1-0%, -4, A ; 4635
+ SUB *AR1-0%, -4, B, A ; 4636
+ SUB *AR1-0%, -4, B, B ; 4637
+ SUB *AR1-0%, -4, B ; 4638
+ SUB *AR1-0%, -3, A, A ; 4639
+ SUB *AR1-0%, -3, A, B ; 4640
+ SUB *AR1-0%, -3, A ; 4641
+ SUB *AR1-0%, -3, B, A ; 4642
+ SUB *AR1-0%, -3, B, B ; 4643
+ SUB *AR1-0%, -3, B ; 4644
+ SUB *AR1-0%, -2, A, A ; 4645
+ SUB *AR1-0%, -2, A, B ; 4646
+ SUB *AR1-0%, -2, A ; 4647
+ SUB *AR1-0%, -2, B, A ; 4648
+ SUB *AR1-0%, -2, B, B ; 4649
+ SUB *AR1-0%, -2, B ; 4650
+ SUB *AR1-0%, -1, A, A ; 4651
+ SUB *AR1-0%, -1, A, B ; 4652
+ SUB *AR1-0%, -1, A ; 4653
+ SUB *AR1-0%, -1, B, A ; 4654
+ SUB *AR1-0%, -1, B, B ; 4655
+ SUB *AR1-0%, -1, B ; 4656
+ SUB *AR1-0%, 0, A, A ; 4657
+ SUB *AR1-0%, 0, A, B ; 4658
+ SUB *AR1-0%, 0, A ; 4659
+ SUB *AR1-0%, 0, B, A ; 4660
+ SUB *AR1-0%, 0, B, B ; 4661
+ SUB *AR1-0%, 0, B ; 4662
+ SUB *AR1-0%, 1, A, A ; 4663
+ SUB *AR1-0%, 1, A, B ; 4664
+ SUB *AR1-0%, 1, A ; 4665
+ SUB *AR1-0%, 1, B, A ; 4666
+ SUB *AR1-0%, 1, B, B ; 4667
+ SUB *AR1-0%, 1, B ; 4668
+ SUB *AR1-0%, 2, A, A ; 4669
+ SUB *AR1-0%, 2, A, B ; 4670
+ SUB *AR1-0%, 2, A ; 4671
+ SUB *AR1-0%, 2, B, A ; 4672
+ SUB *AR1-0%, 2, B, B ; 4673
+ SUB *AR1-0%, 2, B ; 4674
+ SUB *AR1-0%, 3, A, A ; 4675
+ SUB *AR1-0%, 3, A, B ; 4676
+ SUB *AR1-0%, 3, A ; 4677
+ SUB *AR1-0%, 3, B, A ; 4678
+ SUB *AR1-0%, 3, B, B ; 4679
+ SUB *AR1-0%, 3, B ; 4680
+ SUB *AR1-0%, 4, A, A ; 4681
+ SUB *AR1-0%, 4, A, B ; 4682
+ SUB *AR1-0%, 4, A ; 4683
+ SUB *AR1-0%, 4, B, A ; 4684
+ SUB *AR1-0%, 4, B, B ; 4685
+ SUB *AR1-0%, 4, B ; 4686
+ SUB *AR1-0%, 5, A, A ; 4687
+ SUB *AR1-0%, 5, A, B ; 4688
+ SUB *AR1-0%, 5, A ; 4689
+ SUB *AR1-0%, 5, B, A ; 4690
+ SUB *AR1-0%, 5, B, B ; 4691
+ SUB *AR1-0%, 5, B ; 4692
+ SUB *AR1-0%, 6, A, A ; 4693
+ SUB *AR1-0%, 6, A, B ; 4694
+ SUB *AR1-0%, 6, A ; 4695
+ SUB *AR1-0%, 6, B, A ; 4696
+ SUB *AR1-0%, 6, B, B ; 4697
+ SUB *AR1-0%, 6, B ; 4698
+ SUB *AR1-0%, 7, A, A ; 4699
+ SUB *AR1-0%, 7, A, B ; 4700
+ SUB *AR1-0%, 7, A ; 4701
+ SUB *AR1-0%, 7, B, A ; 4702
+ SUB *AR1-0%, 7, B, B ; 4703
+ SUB *AR1-0%, 7, B ; 4704
+ SUB *AR1-0%, 8, A, A ; 4705
+ SUB *AR1-0%, 8, A, B ; 4706
+ SUB *AR1-0%, 8, A ; 4707
+ SUB *AR1-0%, 8, B, A ; 4708
+ SUB *AR1-0%, 8, B, B ; 4709
+ SUB *AR1-0%, 8, B ; 4710
+ SUB *AR1-0%, 9, A, A ; 4711
+ SUB *AR1-0%, 9, A, B ; 4712
+ SUB *AR1-0%, 9, A ; 4713
+ SUB *AR1-0%, 9, B, A ; 4714
+ SUB *AR1-0%, 9, B, B ; 4715
+ SUB *AR1-0%, 9, B ; 4716
+ SUB *AR1-0%, 10, A, A ; 4717
+ SUB *AR1-0%, 10, A, B ; 4718
+ SUB *AR1-0%, 10, A ; 4719
+ SUB *AR1-0%, 10, B, A ; 4720
+ SUB *AR1-0%, 10, B, B ; 4721
+ SUB *AR1-0%, 10, B ; 4722
+ SUB *AR1-0%, 11, A, A ; 4723
+ SUB *AR1-0%, 11, A, B ; 4724
+ SUB *AR1-0%, 11, A ; 4725
+ SUB *AR1-0%, 11, B, A ; 4726
+ SUB *AR1-0%, 11, B, B ; 4727
+ SUB *AR1-0%, 11, B ; 4728
+ SUB *AR1-0%, 12, A, A ; 4729
+ SUB *AR1-0%, 12, A, B ; 4730
+ SUB *AR1-0%, 12, A ; 4731
+ SUB *AR1-0%, 12, B, A ; 4732
+ SUB *AR1-0%, 12, B, B ; 4733
+ SUB *AR1-0%, 12, B ; 4734
+ SUB *AR1-0%, 13, A, A ; 4735
+ SUB *AR1-0%, 13, A, B ; 4736
+ SUB *AR1-0%, 13, A ; 4737
+ SUB *AR1-0%, 13, B, A ; 4738
+ SUB *AR1-0%, 13, B, B ; 4739
+ SUB *AR1-0%, 13, B ; 4740
+ SUB *AR1-0%, 14, A, A ; 4741
+ SUB *AR1-0%, 14, A, B ; 4742
+ SUB *AR1-0%, 14, A ; 4743
+ SUB *AR1-0%, 14, B, A ; 4744
+ SUB *AR1-0%, 14, B, B ; 4745
+ SUB *AR1-0%, 14, B ; 4746
+ SUB *AR1-0%, 15, A, A ; 4747
+ SUB *AR1-0%, 15, A, B ; 4748
+ SUB *AR1-0%, 15, A ; 4749
+ SUB *AR1-0%, 15, B, A ; 4750
+ SUB *AR1-0%, 15, B, B ; 4751
+ SUB *AR1-0%, 15, B ; 4752
+ SUB *AR1+%, A, A ; 4753
+ SUB *AR1+%, A, B ; 4754
+ SUB *AR1+%, A ; 4755
+ SUB *AR1+%, B, A ; 4756
+ SUB *AR1+%, B, B ; 4757
+ SUB *AR1+%, B ; 4758
+ SUB *AR1+%, -16, A, A ; 4759
+ SUB *AR1+%, -16, A, B ; 4760
+ SUB *AR1+%, -16, A ; 4761
+ SUB *AR1+%, -16, B, A ; 4762
+ SUB *AR1+%, -16, B, B ; 4763
+ SUB *AR1+%, -16, B ; 4764
+ SUB *AR1+%, -15, A, A ; 4765
+ SUB *AR1+%, -15, A, B ; 4766
+ SUB *AR1+%, -15, A ; 4767
+ SUB *AR1+%, -15, B, A ; 4768
+ SUB *AR1+%, -15, B, B ; 4769
+ SUB *AR1+%, -15, B ; 4770
+ SUB *AR1+%, -14, A, A ; 4771
+ SUB *AR1+%, -14, A, B ; 4772
+ SUB *AR1+%, -14, A ; 4773
+ SUB *AR1+%, -14, B, A ; 4774
+ SUB *AR1+%, -14, B, B ; 4775
+ SUB *AR1+%, -14, B ; 4776
+ SUB *AR1+%, -13, A, A ; 4777
+ SUB *AR1+%, -13, A, B ; 4778
+ SUB *AR1+%, -13, A ; 4779
+ SUB *AR1+%, -13, B, A ; 4780
+ SUB *AR1+%, -13, B, B ; 4781
+ SUB *AR1+%, -13, B ; 4782
+ SUB *AR1+%, -12, A, A ; 4783
+ SUB *AR1+%, -12, A, B ; 4784
+ SUB *AR1+%, -12, A ; 4785
+ SUB *AR1+%, -12, B, A ; 4786
+ SUB *AR1+%, -12, B, B ; 4787
+ SUB *AR1+%, -12, B ; 4788
+ SUB *AR1+%, -11, A, A ; 4789
+ SUB *AR1+%, -11, A, B ; 4790
+ SUB *AR1+%, -11, A ; 4791
+ SUB *AR1+%, -11, B, A ; 4792
+ SUB *AR1+%, -11, B, B ; 4793
+ SUB *AR1+%, -11, B ; 4794
+ SUB *AR1+%, -10, A, A ; 4795
+ SUB *AR1+%, -10, A, B ; 4796
+ SUB *AR1+%, -10, A ; 4797
+ SUB *AR1+%, -10, B, A ; 4798
+ SUB *AR1+%, -10, B, B ; 4799
+ SUB *AR1+%, -10, B ; 4800
+ SUB *AR1+%, -9, A, A ; 4801
+ SUB *AR1+%, -9, A, B ; 4802
+ SUB *AR1+%, -9, A ; 4803
+ SUB *AR1+%, -9, B, A ; 4804
+ SUB *AR1+%, -9, B, B ; 4805
+ SUB *AR1+%, -9, B ; 4806
+ SUB *AR1+%, -8, A, A ; 4807
+ SUB *AR1+%, -8, A, B ; 4808
+ SUB *AR1+%, -8, A ; 4809
+ SUB *AR1+%, -8, B, A ; 4810
+ SUB *AR1+%, -8, B, B ; 4811
+ SUB *AR1+%, -8, B ; 4812
+ SUB *AR1+%, -7, A, A ; 4813
+ SUB *AR1+%, -7, A, B ; 4814
+ SUB *AR1+%, -7, A ; 4815
+ SUB *AR1+%, -7, B, A ; 4816
+ SUB *AR1+%, -7, B, B ; 4817
+ SUB *AR1+%, -7, B ; 4818
+ SUB *AR1+%, -6, A, A ; 4819
+ SUB *AR1+%, -6, A, B ; 4820
+ SUB *AR1+%, -6, A ; 4821
+ SUB *AR1+%, -6, B, A ; 4822
+ SUB *AR1+%, -6, B, B ; 4823
+ SUB *AR1+%, -6, B ; 4824
+ SUB *AR1+%, -5, A, A ; 4825
+ SUB *AR1+%, -5, A, B ; 4826
+ SUB *AR1+%, -5, A ; 4827
+ SUB *AR1+%, -5, B, A ; 4828
+ SUB *AR1+%, -5, B, B ; 4829
+ SUB *AR1+%, -5, B ; 4830
+ SUB *AR1+%, -4, A, A ; 4831
+ SUB *AR1+%, -4, A, B ; 4832
+ SUB *AR1+%, -4, A ; 4833
+ SUB *AR1+%, -4, B, A ; 4834
+ SUB *AR1+%, -4, B, B ; 4835
+ SUB *AR1+%, -4, B ; 4836
+ SUB *AR1+%, -3, A, A ; 4837
+ SUB *AR1+%, -3, A, B ; 4838
+ SUB *AR1+%, -3, A ; 4839
+ SUB *AR1+%, -3, B, A ; 4840
+ SUB *AR1+%, -3, B, B ; 4841
+ SUB *AR1+%, -3, B ; 4842
+ SUB *AR1+%, -2, A, A ; 4843
+ SUB *AR1+%, -2, A, B ; 4844
+ SUB *AR1+%, -2, A ; 4845
+ SUB *AR1+%, -2, B, A ; 4846
+ SUB *AR1+%, -2, B, B ; 4847
+ SUB *AR1+%, -2, B ; 4848
+ SUB *AR1+%, -1, A, A ; 4849
+ SUB *AR1+%, -1, A, B ; 4850
+ SUB *AR1+%, -1, A ; 4851
+ SUB *AR1+%, -1, B, A ; 4852
+ SUB *AR1+%, -1, B, B ; 4853
+ SUB *AR1+%, -1, B ; 4854
+ SUB *AR1+%, 0, A, A ; 4855
+ SUB *AR1+%, 0, A, B ; 4856
+ SUB *AR1+%, 0, A ; 4857
+ SUB *AR1+%, 0, B, A ; 4858
+ SUB *AR1+%, 0, B, B ; 4859
+ SUB *AR1+%, 0, B ; 4860
+ SUB *AR1+%, 1, A, A ; 4861
+ SUB *AR1+%, 1, A, B ; 4862
+ SUB *AR1+%, 1, A ; 4863
+ SUB *AR1+%, 1, B, A ; 4864
+ SUB *AR1+%, 1, B, B ; 4865
+ SUB *AR1+%, 1, B ; 4866
+ SUB *AR1+%, 2, A, A ; 4867
+ SUB *AR1+%, 2, A, B ; 4868
+ SUB *AR1+%, 2, A ; 4869
+ SUB *AR1+%, 2, B, A ; 4870
+ SUB *AR1+%, 2, B, B ; 4871
+ SUB *AR1+%, 2, B ; 4872
+ SUB *AR1+%, 3, A, A ; 4873
+ SUB *AR1+%, 3, A, B ; 4874
+ SUB *AR1+%, 3, A ; 4875
+ SUB *AR1+%, 3, B, A ; 4876
+ SUB *AR1+%, 3, B, B ; 4877
+ SUB *AR1+%, 3, B ; 4878
+ SUB *AR1+%, 4, A, A ; 4879
+ SUB *AR1+%, 4, A, B ; 4880
+ SUB *AR1+%, 4, A ; 4881
+ SUB *AR1+%, 4, B, A ; 4882
+ SUB *AR1+%, 4, B, B ; 4883
+ SUB *AR1+%, 4, B ; 4884
+ SUB *AR1+%, 5, A, A ; 4885
+ SUB *AR1+%, 5, A, B ; 4886
+ SUB *AR1+%, 5, A ; 4887
+ SUB *AR1+%, 5, B, A ; 4888
+ SUB *AR1+%, 5, B, B ; 4889
+ SUB *AR1+%, 5, B ; 4890
+ SUB *AR1+%, 6, A, A ; 4891
+ SUB *AR1+%, 6, A, B ; 4892
+ SUB *AR1+%, 6, A ; 4893
+ SUB *AR1+%, 6, B, A ; 4894
+ SUB *AR1+%, 6, B, B ; 4895
+ SUB *AR1+%, 6, B ; 4896
+ SUB *AR1+%, 7, A, A ; 4897
+ SUB *AR1+%, 7, A, B ; 4898
+ SUB *AR1+%, 7, A ; 4899
+ SUB *AR1+%, 7, B, A ; 4900
+ SUB *AR1+%, 7, B, B ; 4901
+ SUB *AR1+%, 7, B ; 4902
+ SUB *AR1+%, 8, A, A ; 4903
+ SUB *AR1+%, 8, A, B ; 4904
+ SUB *AR1+%, 8, A ; 4905
+ SUB *AR1+%, 8, B, A ; 4906
+ SUB *AR1+%, 8, B, B ; 4907
+ SUB *AR1+%, 8, B ; 4908
+ SUB *AR1+%, 9, A, A ; 4909
+ SUB *AR1+%, 9, A, B ; 4910
+ SUB *AR1+%, 9, A ; 4911
+ SUB *AR1+%, 9, B, A ; 4912
+ SUB *AR1+%, 9, B, B ; 4913
+ SUB *AR1+%, 9, B ; 4914
+ SUB *AR1+%, 10, A, A ; 4915
+ SUB *AR1+%, 10, A, B ; 4916
+ SUB *AR1+%, 10, A ; 4917
+ SUB *AR1+%, 10, B, A ; 4918
+ SUB *AR1+%, 10, B, B ; 4919
+ SUB *AR1+%, 10, B ; 4920
+ SUB *AR1+%, 11, A, A ; 4921
+ SUB *AR1+%, 11, A, B ; 4922
+ SUB *AR1+%, 11, A ; 4923
+ SUB *AR1+%, 11, B, A ; 4924
+ SUB *AR1+%, 11, B, B ; 4925
+ SUB *AR1+%, 11, B ; 4926
+ SUB *AR1+%, 12, A, A ; 4927
+ SUB *AR1+%, 12, A, B ; 4928
+ SUB *AR1+%, 12, A ; 4929
+ SUB *AR1+%, 12, B, A ; 4930
+ SUB *AR1+%, 12, B, B ; 4931
+ SUB *AR1+%, 12, B ; 4932
+ SUB *AR1+%, 13, A, A ; 4933
+ SUB *AR1+%, 13, A, B ; 4934
+ SUB *AR1+%, 13, A ; 4935
+ SUB *AR1+%, 13, B, A ; 4936
+ SUB *AR1+%, 13, B, B ; 4937
+ SUB *AR1+%, 13, B ; 4938
+ SUB *AR1+%, 14, A, A ; 4939
+ SUB *AR1+%, 14, A, B ; 4940
+ SUB *AR1+%, 14, A ; 4941
+ SUB *AR1+%, 14, B, A ; 4942
+ SUB *AR1+%, 14, B, B ; 4943
+ SUB *AR1+%, 14, B ; 4944
+ SUB *AR1+%, 15, A, A ; 4945
+ SUB *AR1+%, 15, A, B ; 4946
+ SUB *AR1+%, 15, A ; 4947
+ SUB *AR1+%, 15, B, A ; 4948
+ SUB *AR1+%, 15, B, B ; 4949
+ SUB *AR1+%, 15, B ; 4950
+ SUB *AR1+0%, A, A ; 4951
+ SUB *AR1+0%, A, B ; 4952
+ SUB *AR1+0%, A ; 4953
+ SUB *AR1+0%, B, A ; 4954
+ SUB *AR1+0%, B, B ; 4955
+ SUB *AR1+0%, B ; 4956
+ SUB *AR1+0%, -16, A, A ; 4957
+ SUB *AR1+0%, -16, A, B ; 4958
+ SUB *AR1+0%, -16, A ; 4959
+ SUB *AR1+0%, -16, B, A ; 4960
+ SUB *AR1+0%, -16, B, B ; 4961
+ SUB *AR1+0%, -16, B ; 4962
+ SUB *AR1+0%, -15, A, A ; 4963
+ SUB *AR1+0%, -15, A, B ; 4964
+ SUB *AR1+0%, -15, A ; 4965
+ SUB *AR1+0%, -15, B, A ; 4966
+ SUB *AR1+0%, -15, B, B ; 4967
+ SUB *AR1+0%, -15, B ; 4968
+ SUB *AR1+0%, -14, A, A ; 4969
+ SUB *AR1+0%, -14, A, B ; 4970
+ SUB *AR1+0%, -14, A ; 4971
+ SUB *AR1+0%, -14, B, A ; 4972
+ SUB *AR1+0%, -14, B, B ; 4973
+ SUB *AR1+0%, -14, B ; 4974
+ SUB *AR1+0%, -13, A, A ; 4975
+ SUB *AR1+0%, -13, A, B ; 4976
+ SUB *AR1+0%, -13, A ; 4977
+ SUB *AR1+0%, -13, B, A ; 4978
+ SUB *AR1+0%, -13, B, B ; 4979
+ SUB *AR1+0%, -13, B ; 4980
+ SUB *AR1+0%, -12, A, A ; 4981
+ SUB *AR1+0%, -12, A, B ; 4982
+ SUB *AR1+0%, -12, A ; 4983
+ SUB *AR1+0%, -12, B, A ; 4984
+ SUB *AR1+0%, -12, B, B ; 4985
+ SUB *AR1+0%, -12, B ; 4986
+ SUB *AR1+0%, -11, A, A ; 4987
+ SUB *AR1+0%, -11, A, B ; 4988
+ SUB *AR1+0%, -11, A ; 4989
+ SUB *AR1+0%, -11, B, A ; 4990
+ SUB *AR1+0%, -11, B, B ; 4991
+ SUB *AR1+0%, -11, B ; 4992
+ SUB *AR1+0%, -10, A, A ; 4993
+ SUB *AR1+0%, -10, A, B ; 4994
+ SUB *AR1+0%, -10, A ; 4995
+ SUB *AR1+0%, -10, B, A ; 4996
+ SUB *AR1+0%, -10, B, B ; 4997
+ SUB *AR1+0%, -10, B ; 4998
+ SUB *AR1+0%, -9, A, A ; 4999
+ SUB *AR1+0%, -9, A, B ; 5000
+ SUB *AR1+0%, -9, A ; 5001
+ SUB *AR1+0%, -9, B, A ; 5002
+ SUB *AR1+0%, -9, B, B ; 5003
+ SUB *AR1+0%, -9, B ; 5004
+ SUB *AR1+0%, -8, A, A ; 5005
+ SUB *AR1+0%, -8, A, B ; 5006
+ SUB *AR1+0%, -8, A ; 5007
+ SUB *AR1+0%, -8, B, A ; 5008
+ SUB *AR1+0%, -8, B, B ; 5009
+ SUB *AR1+0%, -8, B ; 5010
+ SUB *AR1+0%, -7, A, A ; 5011
+ SUB *AR1+0%, -7, A, B ; 5012
+ SUB *AR1+0%, -7, A ; 5013
+ SUB *AR1+0%, -7, B, A ; 5014
+ SUB *AR1+0%, -7, B, B ; 5015
+ SUB *AR1+0%, -7, B ; 5016
+ SUB *AR1+0%, -6, A, A ; 5017
+ SUB *AR1+0%, -6, A, B ; 5018
+ SUB *AR1+0%, -6, A ; 5019
+ SUB *AR1+0%, -6, B, A ; 5020
+ SUB *AR1+0%, -6, B, B ; 5021
+ SUB *AR1+0%, -6, B ; 5022
+ SUB *AR1+0%, -5, A, A ; 5023
+ SUB *AR1+0%, -5, A, B ; 5024
+ SUB *AR1+0%, -5, A ; 5025
+ SUB *AR1+0%, -5, B, A ; 5026
+ SUB *AR1+0%, -5, B, B ; 5027
+ SUB *AR1+0%, -5, B ; 5028
+ SUB *AR1+0%, -4, A, A ; 5029
+ SUB *AR1+0%, -4, A, B ; 5030
+ SUB *AR1+0%, -4, A ; 5031
+ SUB *AR1+0%, -4, B, A ; 5032
+ SUB *AR1+0%, -4, B, B ; 5033
+ SUB *AR1+0%, -4, B ; 5034
+ SUB *AR1+0%, -3, A, A ; 5035
+ SUB *AR1+0%, -3, A, B ; 5036
+ SUB *AR1+0%, -3, A ; 5037
+ SUB *AR1+0%, -3, B, A ; 5038
+ SUB *AR1+0%, -3, B, B ; 5039
+ SUB *AR1+0%, -3, B ; 5040
+ SUB *AR1+0%, -2, A, A ; 5041
+ SUB *AR1+0%, -2, A, B ; 5042
+ SUB *AR1+0%, -2, A ; 5043
+ SUB *AR1+0%, -2, B, A ; 5044
+ SUB *AR1+0%, -2, B, B ; 5045
+ SUB *AR1+0%, -2, B ; 5046
+ SUB *AR1+0%, -1, A, A ; 5047
+ SUB *AR1+0%, -1, A, B ; 5048
+ SUB *AR1+0%, -1, A ; 5049
+ SUB *AR1+0%, -1, B, A ; 5050
+ SUB *AR1+0%, -1, B, B ; 5051
+ SUB *AR1+0%, -1, B ; 5052
+ SUB *AR1+0%, 0, A, A ; 5053
+ SUB *AR1+0%, 0, A, B ; 5054
+ SUB *AR1+0%, 0, A ; 5055
+ SUB *AR1+0%, 0, B, A ; 5056
+ SUB *AR1+0%, 0, B, B ; 5057
+ SUB *AR1+0%, 0, B ; 5058
+ SUB *AR1+0%, 1, A, A ; 5059
+ SUB *AR1+0%, 1, A, B ; 5060
+ SUB *AR1+0%, 1, A ; 5061
+ SUB *AR1+0%, 1, B, A ; 5062
+ SUB *AR1+0%, 1, B, B ; 5063
+ SUB *AR1+0%, 1, B ; 5064
+ SUB *AR1+0%, 2, A, A ; 5065
+ SUB *AR1+0%, 2, A, B ; 5066
+ SUB *AR1+0%, 2, A ; 5067
+ SUB *AR1+0%, 2, B, A ; 5068
+ SUB *AR1+0%, 2, B, B ; 5069
+ SUB *AR1+0%, 2, B ; 5070
+ SUB *AR1+0%, 3, A, A ; 5071
+ SUB *AR1+0%, 3, A, B ; 5072
+ SUB *AR1+0%, 3, A ; 5073
+ SUB *AR1+0%, 3, B, A ; 5074
+ SUB *AR1+0%, 3, B, B ; 5075
+ SUB *AR1+0%, 3, B ; 5076
+ SUB *AR1+0%, 4, A, A ; 5077
+ SUB *AR1+0%, 4, A, B ; 5078
+ SUB *AR1+0%, 4, A ; 5079
+ SUB *AR1+0%, 4, B, A ; 5080
+ SUB *AR1+0%, 4, B, B ; 5081
+ SUB *AR1+0%, 4, B ; 5082
+ SUB *AR1+0%, 5, A, A ; 5083
+ SUB *AR1+0%, 5, A, B ; 5084
+ SUB *AR1+0%, 5, A ; 5085
+ SUB *AR1+0%, 5, B, A ; 5086
+ SUB *AR1+0%, 5, B, B ; 5087
+ SUB *AR1+0%, 5, B ; 5088
+ SUB *AR1+0%, 6, A, A ; 5089
+ SUB *AR1+0%, 6, A, B ; 5090
+ SUB *AR1+0%, 6, A ; 5091
+ SUB *AR1+0%, 6, B, A ; 5092
+ SUB *AR1+0%, 6, B, B ; 5093
+ SUB *AR1+0%, 6, B ; 5094
+ SUB *AR1+0%, 7, A, A ; 5095
+ SUB *AR1+0%, 7, A, B ; 5096
+ SUB *AR1+0%, 7, A ; 5097
+ SUB *AR1+0%, 7, B, A ; 5098
+ SUB *AR1+0%, 7, B, B ; 5099
+ SUB *AR1+0%, 7, B ; 5100
+ SUB *AR1+0%, 8, A, A ; 5101
+ SUB *AR1+0%, 8, A, B ; 5102
+ SUB *AR1+0%, 8, A ; 5103
+ SUB *AR1+0%, 8, B, A ; 5104
+ SUB *AR1+0%, 8, B, B ; 5105
+ SUB *AR1+0%, 8, B ; 5106
+ SUB *AR1+0%, 9, A, A ; 5107
+ SUB *AR1+0%, 9, A, B ; 5108
+ SUB *AR1+0%, 9, A ; 5109
+ SUB *AR1+0%, 9, B, A ; 5110
+ SUB *AR1+0%, 9, B, B ; 5111
+ SUB *AR1+0%, 9, B ; 5112
+ SUB *AR1+0%, 10, A, A ; 5113
+ SUB *AR1+0%, 10, A, B ; 5114
+ SUB *AR1+0%, 10, A ; 5115
+ SUB *AR1+0%, 10, B, A ; 5116
+ SUB *AR1+0%, 10, B, B ; 5117
+ SUB *AR1+0%, 10, B ; 5118
+ SUB *AR1+0%, 11, A, A ; 5119
+ SUB *AR1+0%, 11, A, B ; 5120
+ SUB *AR1+0%, 11, A ; 5121
+ SUB *AR1+0%, 11, B, A ; 5122
+ SUB *AR1+0%, 11, B, B ; 5123
+ SUB *AR1+0%, 11, B ; 5124
+ SUB *AR1+0%, 12, A, A ; 5125
+ SUB *AR1+0%, 12, A, B ; 5126
+ SUB *AR1+0%, 12, A ; 5127
+ SUB *AR1+0%, 12, B, A ; 5128
+ SUB *AR1+0%, 12, B, B ; 5129
+ SUB *AR1+0%, 12, B ; 5130
+ SUB *AR1+0%, 13, A, A ; 5131
+ SUB *AR1+0%, 13, A, B ; 5132
+ SUB *AR1+0%, 13, A ; 5133
+ SUB *AR1+0%, 13, B, A ; 5134
+ SUB *AR1+0%, 13, B, B ; 5135
+ SUB *AR1+0%, 13, B ; 5136
+ SUB *AR1+0%, 14, A, A ; 5137
+ SUB *AR1+0%, 14, A, B ; 5138
+ SUB *AR1+0%, 14, A ; 5139
+ SUB *AR1+0%, 14, B, A ; 5140
+ SUB *AR1+0%, 14, B, B ; 5141
+ SUB *AR1+0%, 14, B ; 5142
+ SUB *AR1+0%, 15, A, A ; 5143
+ SUB *AR1+0%, 15, A, B ; 5144
+ SUB *AR1+0%, 15, A ; 5145
+ SUB *AR1+0%, 15, B, A ; 5146
+ SUB *AR1+0%, 15, B, B ; 5147
+ SUB *AR1+0%, 15, B ; 5148
+ SUB *AR1(04E9Eh), A, A ; 5149
+ SUB *AR1(04E9Fh), A, B ; 5150
+ SUB *AR1(04EA0h), A ; 5151
+ SUB *AR1(04EA1h), B, A ; 5152
+ SUB *AR1(04EA2h), B, B ; 5153
+ SUB *AR1(04EA3h), B ; 5154
+ SUB *AR1(04EA4h), -16, A, A ; 5155
+ SUB *AR1(04EA5h), -16, A, B ; 5156
+ SUB *AR1(04EA6h), -16, A ; 5157
+ SUB *AR1(04EA7h), -16, B, A ; 5158
+ SUB *AR1(04EA8h), -16, B, B ; 5159
+ SUB *AR1(04EA9h), -16, B ; 5160
+ SUB *AR1(04EAAh), -15, A, A ; 5161
+ SUB *AR1(04EABh), -15, A, B ; 5162
+ SUB *AR1(04EACh), -15, A ; 5163
+ SUB *AR1(04EADh), -15, B, A ; 5164
+ SUB *AR1(04EAEh), -15, B, B ; 5165
+ SUB *AR1(04EAFh), -15, B ; 5166
+ SUB *AR1(04EB0h), -14, A, A ; 5167
+ SUB *AR1(04EB1h), -14, A, B ; 5168
+ SUB *AR1(04EB2h), -14, A ; 5169
+ SUB *AR1(04EB3h), -14, B, A ; 5170
+ SUB *AR1(04EB4h), -14, B, B ; 5171
+ SUB *AR1(04EB5h), -14, B ; 5172
+ SUB *AR1(04EB6h), -13, A, A ; 5173
+ SUB *AR1(04EB7h), -13, A, B ; 5174
+ SUB *AR1(04EB8h), -13, A ; 5175
+ SUB *AR1(04EB9h), -13, B, A ; 5176
+ SUB *AR1(04EBAh), -13, B, B ; 5177
+ SUB *AR1(04EBBh), -13, B ; 5178
+ SUB *AR1(04EBCh), -12, A, A ; 5179
+ SUB *AR1(04EBDh), -12, A, B ; 5180
+ SUB *AR1(04EBEh), -12, A ; 5181
+ SUB *AR1(04EBFh), -12, B, A ; 5182
+ SUB *AR1(04EC0h), -12, B, B ; 5183
+ SUB *AR1(04EC1h), -12, B ; 5184
+ SUB *AR1(04EC2h), -11, A, A ; 5185
+ SUB *AR1(04EC3h), -11, A, B ; 5186
+ SUB *AR1(04EC4h), -11, A ; 5187
+ SUB *AR1(04EC5h), -11, B, A ; 5188
+ SUB *AR1(04EC6h), -11, B, B ; 5189
+ SUB *AR1(04EC7h), -11, B ; 5190
+ SUB *AR1(04EC8h), -10, A, A ; 5191
+ SUB *AR1(04EC9h), -10, A, B ; 5192
+ SUB *AR1(04ECAh), -10, A ; 5193
+ SUB *AR1(04ECBh), -10, B, A ; 5194
+ SUB *AR1(04ECCh), -10, B, B ; 5195
+ SUB *AR1(04ECDh), -10, B ; 5196
+ SUB *AR1(04ECEh), -9, A, A ; 5197
+ SUB *AR1(04ECFh), -9, A, B ; 5198
+ SUB *AR1(04ED0h), -9, A ; 5199
+ SUB *AR1(04ED1h), -9, B, A ; 5200
+ SUB *AR1(04ED2h), -9, B, B ; 5201
+ SUB *AR1(04ED3h), -9, B ; 5202
+ SUB *AR1(04ED4h), -8, A, A ; 5203
+ SUB *AR1(04ED5h), -8, A, B ; 5204
+ SUB *AR1(04ED6h), -8, A ; 5205
+ SUB *AR1(04ED7h), -8, B, A ; 5206
+ SUB *AR1(04ED8h), -8, B, B ; 5207
+ SUB *AR1(04ED9h), -8, B ; 5208
+ SUB *AR1(04EDAh), -7, A, A ; 5209
+ SUB *AR1(04EDBh), -7, A, B ; 5210
+ SUB *AR1(04EDCh), -7, A ; 5211
+ SUB *AR1(04EDDh), -7, B, A ; 5212
+ SUB *AR1(04EDEh), -7, B, B ; 5213
+ SUB *AR1(04EDFh), -7, B ; 5214
+ SUB *AR1(04EE0h), -6, A, A ; 5215
+ SUB *AR1(04EE1h), -6, A, B ; 5216
+ SUB *AR1(04EE2h), -6, A ; 5217
+ SUB *AR1(04EE3h), -6, B, A ; 5218
+ SUB *AR1(04EE4h), -6, B, B ; 5219
+ SUB *AR1(04EE5h), -6, B ; 5220
+ SUB *AR1(04EE6h), -5, A, A ; 5221
+ SUB *AR1(04EE7h), -5, A, B ; 5222
+ SUB *AR1(04EE8h), -5, A ; 5223
+ SUB *AR1(04EE9h), -5, B, A ; 5224
+ SUB *AR1(04EEAh), -5, B, B ; 5225
+ SUB *AR1(04EEBh), -5, B ; 5226
+ SUB *AR1(04EECh), -4, A, A ; 5227
+ SUB *AR1(04EEDh), -4, A, B ; 5228
+ SUB *AR1(04EEEh), -4, A ; 5229
+ SUB *AR1(04EEFh), -4, B, A ; 5230
+ SUB *AR1(04EF0h), -4, B, B ; 5231
+ SUB *AR1(04EF1h), -4, B ; 5232
+ SUB *AR1(04EF2h), -3, A, A ; 5233
+ SUB *AR1(04EF3h), -3, A, B ; 5234
+ SUB *AR1(04EF4h), -3, A ; 5235
+ SUB *AR1(04EF5h), -3, B, A ; 5236
+ SUB *AR1(04EF6h), -3, B, B ; 5237
+ SUB *AR1(04EF7h), -3, B ; 5238
+ SUB *AR1(04EF8h), -2, A, A ; 5239
+ SUB *AR1(04EF9h), -2, A, B ; 5240
+ SUB *AR1(04EFAh), -2, A ; 5241
+ SUB *AR1(04EFBh), -2, B, A ; 5242
+ SUB *AR1(04EFCh), -2, B, B ; 5243
+ SUB *AR1(04EFDh), -2, B ; 5244
+ SUB *AR1(04EFEh), -1, A, A ; 5245
+ SUB *AR1(04EFFh), -1, A, B ; 5246
+ SUB *AR1(04F00h), -1, A ; 5247
+ SUB *AR1(04F01h), -1, B, A ; 5248
+ SUB *AR1(04F02h), -1, B, B ; 5249
+ SUB *AR1(04F03h), -1, B ; 5250
+ SUB *AR1(04F04h), 0, A, A ; 5251
+ SUB *AR1(04F05h), 0, A, B ; 5252
+ SUB *AR1(04F06h), 0, A ; 5253
+ SUB *AR1(04F07h), 0, B, A ; 5254
+ SUB *AR1(04F08h), 0, B, B ; 5255
+ SUB *AR1(04F09h), 0, B ; 5256
+ SUB *AR1(04F0Ah), 1, A, A ; 5257
+ SUB *AR1(04F0Bh), 1, A, B ; 5258
+ SUB *AR1(04F0Ch), 1, A ; 5259
+ SUB *AR1(04F0Dh), 1, B, A ; 5260
+ SUB *AR1(04F0Eh), 1, B, B ; 5261
+ SUB *AR1(04F0Fh), 1, B ; 5262
+ SUB *AR1(04F10h), 2, A, A ; 5263
+ SUB *AR1(04F11h), 2, A, B ; 5264
+ SUB *AR1(04F12h), 2, A ; 5265
+ SUB *AR1(04F13h), 2, B, A ; 5266
+ SUB *AR1(04F14h), 2, B, B ; 5267
+ SUB *AR1(04F15h), 2, B ; 5268
+ SUB *AR1(04F16h), 3, A, A ; 5269
+ SUB *AR1(04F17h), 3, A, B ; 5270
+ SUB *AR1(04F18h), 3, A ; 5271
+ SUB *AR1(04F19h), 3, B, A ; 5272
+ SUB *AR1(04F1Ah), 3, B, B ; 5273
+ SUB *AR1(04F1Bh), 3, B ; 5274
+ SUB *AR1(04F1Ch), 4, A, A ; 5275
+ SUB *AR1(04F1Dh), 4, A, B ; 5276
+ SUB *AR1(04F1Eh), 4, A ; 5277
+ SUB *AR1(04F1Fh), 4, B, A ; 5278
+ SUB *AR1(04F20h), 4, B, B ; 5279
+ SUB *AR1(04F21h), 4, B ; 5280
+ SUB *AR1(04F22h), 5, A, A ; 5281
+ SUB *AR1(04F23h), 5, A, B ; 5282
+ SUB *AR1(04F24h), 5, A ; 5283
+ SUB *AR1(04F25h), 5, B, A ; 5284
+ SUB *AR1(04F26h), 5, B, B ; 5285
+ SUB *AR1(04F27h), 5, B ; 5286
+ SUB *AR1(04F28h), 6, A, A ; 5287
+ SUB *AR1(04F29h), 6, A, B ; 5288
+ SUB *AR1(04F2Ah), 6, A ; 5289
+ SUB *AR1(04F2Bh), 6, B, A ; 5290
+ SUB *AR1(04F2Ch), 6, B, B ; 5291
+ SUB *AR1(04F2Dh), 6, B ; 5292
+ SUB *AR1(04F2Eh), 7, A, A ; 5293
+ SUB *AR1(04F2Fh), 7, A, B ; 5294
+ SUB *AR1(04F30h), 7, A ; 5295
+ SUB *AR1(04F31h), 7, B, A ; 5296
+ SUB *AR1(04F32h), 7, B, B ; 5297
+ SUB *AR1(04F33h), 7, B ; 5298
+ SUB *AR1(04F34h), 8, A, A ; 5299
+ SUB *AR1(04F35h), 8, A, B ; 5300
+ SUB *AR1(04F36h), 8, A ; 5301
+ SUB *AR1(04F37h), 8, B, A ; 5302
+ SUB *AR1(04F38h), 8, B, B ; 5303
+ SUB *AR1(04F39h), 8, B ; 5304
+ SUB *AR1(04F3Ah), 9, A, A ; 5305
+ SUB *AR1(04F3Bh), 9, A, B ; 5306
+ SUB *AR1(04F3Ch), 9, A ; 5307
+ SUB *AR1(04F3Dh), 9, B, A ; 5308
+ SUB *AR1(04F3Eh), 9, B, B ; 5309
+ SUB *AR1(04F3Fh), 9, B ; 5310
+ SUB *AR1(04F40h), 10, A, A ; 5311
+ SUB *AR1(04F41h), 10, A, B ; 5312
+ SUB *AR1(04F42h), 10, A ; 5313
+ SUB *AR1(04F43h), 10, B, A ; 5314
+ SUB *AR1(04F44h), 10, B, B ; 5315
+ SUB *AR1(04F45h), 10, B ; 5316
+ SUB *AR1(04F46h), 11, A, A ; 5317
+ SUB *AR1(04F47h), 11, A, B ; 5318
+ SUB *AR1(04F48h), 11, A ; 5319
+ SUB *AR1(04F49h), 11, B, A ; 5320
+ SUB *AR1(04F4Ah), 11, B, B ; 5321
+ SUB *AR1(04F4Bh), 11, B ; 5322
+ SUB *AR1(04F4Ch), 12, A, A ; 5323
+ SUB *AR1(04F4Dh), 12, A, B ; 5324
+ SUB *AR1(04F4Eh), 12, A ; 5325
+ SUB *AR1(04F4Fh), 12, B, A ; 5326
+ SUB *AR1(04F50h), 12, B, B ; 5327
+ SUB *AR1(04F51h), 12, B ; 5328
+ SUB *AR1(04F52h), 13, A, A ; 5329
+ SUB *AR1(04F53h), 13, A, B ; 5330
+ SUB *AR1(04F54h), 13, A ; 5331
+ SUB *AR1(04F55h), 13, B, A ; 5332
+ SUB *AR1(04F56h), 13, B, B ; 5333
+ SUB *AR1(04F57h), 13, B ; 5334
+ SUB *AR1(04F58h), 14, A, A ; 5335
+ SUB *AR1(04F59h), 14, A, B ; 5336
+ SUB *AR1(04F5Ah), 14, A ; 5337
+ SUB *AR1(04F5Bh), 14, B, A ; 5338
+ SUB *AR1(04F5Ch), 14, B, B ; 5339
+ SUB *AR1(04F5Dh), 14, B ; 5340
+ SUB *AR1(04F5Eh), 15, A, A ; 5341
+ SUB *AR1(04F5Fh), 15, A, B ; 5342
+ SUB *AR1(04F60h), 15, A ; 5343
+ SUB *AR1(04F61h), 15, B, A ; 5344
+ SUB *AR1(04F62h), 15, B, B ; 5345
+ SUB *AR1(04F63h), 15, B ; 5346
+ SUB *+AR1(04F64h), A, A ; 5347
+ SUB *+AR1(04F65h), A, B ; 5348
+ SUB *+AR1(04F66h), A ; 5349
+ SUB *+AR1(04F67h), B, A ; 5350
+ SUB *+AR1(04F68h), B, B ; 5351
+ SUB *+AR1(04F69h), B ; 5352
+ SUB *+AR1(04F6Ah), -16, A, A ; 5353
+ SUB *+AR1(04F6Bh), -16, A, B ; 5354
+ SUB *+AR1(04F6Ch), -16, A ; 5355
+ SUB *+AR1(04F6Dh), -16, B, A ; 5356
+ SUB *+AR1(04F6Eh), -16, B, B ; 5357
+ SUB *+AR1(04F6Fh), -16, B ; 5358
+ SUB *+AR1(04F70h), -15, A, A ; 5359
+ SUB *+AR1(04F71h), -15, A, B ; 5360
+ SUB *+AR1(04F72h), -15, A ; 5361
+ SUB *+AR1(04F73h), -15, B, A ; 5362
+ SUB *+AR1(04F74h), -15, B, B ; 5363
+ SUB *+AR1(04F75h), -15, B ; 5364
+ SUB *+AR1(04F76h), -14, A, A ; 5365
+ SUB *+AR1(04F77h), -14, A, B ; 5366
+ SUB *+AR1(04F78h), -14, A ; 5367
+ SUB *+AR1(04F79h), -14, B, A ; 5368
+ SUB *+AR1(04F7Ah), -14, B, B ; 5369
+ SUB *+AR1(04F7Bh), -14, B ; 5370
+ SUB *+AR1(04F7Ch), -13, A, A ; 5371
+ SUB *+AR1(04F7Dh), -13, A, B ; 5372
+ SUB *+AR1(04F7Eh), -13, A ; 5373
+ SUB *+AR1(04F7Fh), -13, B, A ; 5374
+ SUB *+AR1(04F80h), -13, B, B ; 5375
+ SUB *+AR1(04F81h), -13, B ; 5376
+ SUB *+AR1(04F82h), -12, A, A ; 5377
+ SUB *+AR1(04F83h), -12, A, B ; 5378
+ SUB *+AR1(04F84h), -12, A ; 5379
+ SUB *+AR1(04F85h), -12, B, A ; 5380
+ SUB *+AR1(04F86h), -12, B, B ; 5381
+ SUB *+AR1(04F87h), -12, B ; 5382
+ SUB *+AR1(04F88h), -11, A, A ; 5383
+ SUB *+AR1(04F89h), -11, A, B ; 5384
+ SUB *+AR1(04F8Ah), -11, A ; 5385
+ SUB *+AR1(04F8Bh), -11, B, A ; 5386
+ SUB *+AR1(04F8Ch), -11, B, B ; 5387
+ SUB *+AR1(04F8Dh), -11, B ; 5388
+ SUB *+AR1(04F8Eh), -10, A, A ; 5389
+ SUB *+AR1(04F8Fh), -10, A, B ; 5390
+ SUB *+AR1(04F90h), -10, A ; 5391
+ SUB *+AR1(04F91h), -10, B, A ; 5392
+ SUB *+AR1(04F92h), -10, B, B ; 5393
+ SUB *+AR1(04F93h), -10, B ; 5394
+ SUB *+AR1(04F94h), -9, A, A ; 5395
+ SUB *+AR1(04F95h), -9, A, B ; 5396
+ SUB *+AR1(04F96h), -9, A ; 5397
+ SUB *+AR1(04F97h), -9, B, A ; 5398
+ SUB *+AR1(04F98h), -9, B, B ; 5399
+ SUB *+AR1(04F99h), -9, B ; 5400
+ SUB *+AR1(04F9Ah), -8, A, A ; 5401
+ SUB *+AR1(04F9Bh), -8, A, B ; 5402
+ SUB *+AR1(04F9Ch), -8, A ; 5403
+ SUB *+AR1(04F9Dh), -8, B, A ; 5404
+ SUB *+AR1(04F9Eh), -8, B, B ; 5405
+ SUB *+AR1(04F9Fh), -8, B ; 5406
+ SUB *+AR1(04FA0h), -7, A, A ; 5407
+ SUB *+AR1(04FA1h), -7, A, B ; 5408
+ SUB *+AR1(04FA2h), -7, A ; 5409
+ SUB *+AR1(04FA3h), -7, B, A ; 5410
+ SUB *+AR1(04FA4h), -7, B, B ; 5411
+ SUB *+AR1(04FA5h), -7, B ; 5412
+ SUB *+AR1(04FA6h), -6, A, A ; 5413
+ SUB *+AR1(04FA7h), -6, A, B ; 5414
+ SUB *+AR1(04FA8h), -6, A ; 5415
+ SUB *+AR1(04FA9h), -6, B, A ; 5416
+ SUB *+AR1(04FAAh), -6, B, B ; 5417
+ SUB *+AR1(04FABh), -6, B ; 5418
+ SUB *+AR1(04FACh), -5, A, A ; 5419
+ SUB *+AR1(04FADh), -5, A, B ; 5420
+ SUB *+AR1(04FAEh), -5, A ; 5421
+ SUB *+AR1(04FAFh), -5, B, A ; 5422
+ SUB *+AR1(04FB0h), -5, B, B ; 5423
+ SUB *+AR1(04FB1h), -5, B ; 5424
+ SUB *+AR1(04FB2h), -4, A, A ; 5425
+ SUB *+AR1(04FB3h), -4, A, B ; 5426
+ SUB *+AR1(04FB4h), -4, A ; 5427
+ SUB *+AR1(04FB5h), -4, B, A ; 5428
+ SUB *+AR1(04FB6h), -4, B, B ; 5429
+ SUB *+AR1(04FB7h), -4, B ; 5430
+ SUB *+AR1(04FB8h), -3, A, A ; 5431
+ SUB *+AR1(04FB9h), -3, A, B ; 5432
+ SUB *+AR1(04FBAh), -3, A ; 5433
+ SUB *+AR1(04FBBh), -3, B, A ; 5434
+ SUB *+AR1(04FBCh), -3, B, B ; 5435
+ SUB *+AR1(04FBDh), -3, B ; 5436
+ SUB *+AR1(04FBEh), -2, A, A ; 5437
+ SUB *+AR1(04FBFh), -2, A, B ; 5438
+ SUB *+AR1(04FC0h), -2, A ; 5439
+ SUB *+AR1(04FC1h), -2, B, A ; 5440
+ SUB *+AR1(04FC2h), -2, B, B ; 5441
+ SUB *+AR1(04FC3h), -2, B ; 5442
+ SUB *+AR1(04FC4h), -1, A, A ; 5443
+ SUB *+AR1(04FC5h), -1, A, B ; 5444
+ SUB *+AR1(04FC6h), -1, A ; 5445
+ SUB *+AR1(04FC7h), -1, B, A ; 5446
+ SUB *+AR1(04FC8h), -1, B, B ; 5447
+ SUB *+AR1(04FC9h), -1, B ; 5448
+ SUB *+AR1(04FCAh), 0, A, A ; 5449
+ SUB *+AR1(04FCBh), 0, A, B ; 5450
+ SUB *+AR1(04FCCh), 0, A ; 5451
+ SUB *+AR1(04FCDh), 0, B, A ; 5452
+ SUB *+AR1(04FCEh), 0, B, B ; 5453
+ SUB *+AR1(04FCFh), 0, B ; 5454
+ SUB *+AR1(04FD0h), 1, A, A ; 5455
+ SUB *+AR1(04FD1h), 1, A, B ; 5456
+ SUB *+AR1(04FD2h), 1, A ; 5457
+ SUB *+AR1(04FD3h), 1, B, A ; 5458
+ SUB *+AR1(04FD4h), 1, B, B ; 5459
+ SUB *+AR1(04FD5h), 1, B ; 5460
+ SUB *+AR1(04FD6h), 2, A, A ; 5461
+ SUB *+AR1(04FD7h), 2, A, B ; 5462
+ SUB *+AR1(04FD8h), 2, A ; 5463
+ SUB *+AR1(04FD9h), 2, B, A ; 5464
+ SUB *+AR1(04FDAh), 2, B, B ; 5465
+ SUB *+AR1(04FDBh), 2, B ; 5466
+ SUB *+AR1(04FDCh), 3, A, A ; 5467
+ SUB *+AR1(04FDDh), 3, A, B ; 5468
+ SUB *+AR1(04FDEh), 3, A ; 5469
+ SUB *+AR1(04FDFh), 3, B, A ; 5470
+ SUB *+AR1(04FE0h), 3, B, B ; 5471
+ SUB *+AR1(04FE1h), 3, B ; 5472
+ SUB *+AR1(04FE2h), 4, A, A ; 5473
+ SUB *+AR1(04FE3h), 4, A, B ; 5474
+ SUB *+AR1(04FE4h), 4, A ; 5475
+ SUB *+AR1(04FE5h), 4, B, A ; 5476
+ SUB *+AR1(04FE6h), 4, B, B ; 5477
+ SUB *+AR1(04FE7h), 4, B ; 5478
+ SUB *+AR1(04FE8h), 5, A, A ; 5479
+ SUB *+AR1(04FE9h), 5, A, B ; 5480
+ SUB *+AR1(04FEAh), 5, A ; 5481
+ SUB *+AR1(04FEBh), 5, B, A ; 5482
+ SUB *+AR1(04FECh), 5, B, B ; 5483
+ SUB *+AR1(04FEDh), 5, B ; 5484
+ SUB *+AR1(04FEEh), 6, A, A ; 5485
+ SUB *+AR1(04FEFh), 6, A, B ; 5486
+ SUB *+AR1(04FF0h), 6, A ; 5487
+ SUB *+AR1(04FF1h), 6, B, A ; 5488
+ SUB *+AR1(04FF2h), 6, B, B ; 5489
+ SUB *+AR1(04FF3h), 6, B ; 5490
+ SUB *+AR1(04FF4h), 7, A, A ; 5491
+ SUB *+AR1(04FF5h), 7, A, B ; 5492
+ SUB *+AR1(04FF6h), 7, A ; 5493
+ SUB *+AR1(04FF7h), 7, B, A ; 5494
+ SUB *+AR1(04FF8h), 7, B, B ; 5495
+ SUB *+AR1(04FF9h), 7, B ; 5496
+ SUB *+AR1(04FFAh), 8, A, A ; 5497
+ SUB *+AR1(04FFBh), 8, A, B ; 5498
+ SUB *+AR1(04FFCh), 8, A ; 5499
+ SUB *+AR1(04FFDh), 8, B, A ; 5500
+ SUB *+AR1(04FFEh), 8, B, B ; 5501
+ SUB *+AR1(04FFFh), 8, B ; 5502
+ SUB *+AR1(05000h), 9, A, A ; 5503
+ SUB *+AR1(05001h), 9, A, B ; 5504
+ SUB *+AR1(05002h), 9, A ; 5505
+ SUB *+AR1(05003h), 9, B, A ; 5506
+ SUB *+AR1(05004h), 9, B, B ; 5507
+ SUB *+AR1(05005h), 9, B ; 5508
+ SUB *+AR1(05006h), 10, A, A ; 5509
+ SUB *+AR1(05007h), 10, A, B ; 5510
+ SUB *+AR1(05008h), 10, A ; 5511
+ SUB *+AR1(05009h), 10, B, A ; 5512
+ SUB *+AR1(0500Ah), 10, B, B ; 5513
+ SUB *+AR1(0500Bh), 10, B ; 5514
+ SUB *+AR1(0500Ch), 11, A, A ; 5515
+ SUB *+AR1(0500Dh), 11, A, B ; 5516
+ SUB *+AR1(0500Eh), 11, A ; 5517
+ SUB *+AR1(0500Fh), 11, B, A ; 5518
+ SUB *+AR1(05010h), 11, B, B ; 5519
+ SUB *+AR1(05011h), 11, B ; 5520
+ SUB *+AR1(05012h), 12, A, A ; 5521
+ SUB *+AR1(05013h), 12, A, B ; 5522
+ SUB *+AR1(05014h), 12, A ; 5523
+ SUB *+AR1(05015h), 12, B, A ; 5524
+ SUB *+AR1(05016h), 12, B, B ; 5525
+ SUB *+AR1(05017h), 12, B ; 5526
+ SUB *+AR1(05018h), 13, A, A ; 5527
+ SUB *+AR1(05019h), 13, A, B ; 5528
+ SUB *+AR1(0501Ah), 13, A ; 5529
+ SUB *+AR1(0501Bh), 13, B, A ; 5530
+ SUB *+AR1(0501Ch), 13, B, B ; 5531
+ SUB *+AR1(0501Dh), 13, B ; 5532
+ SUB *+AR1(0501Eh), 14, A, A ; 5533
+ SUB *+AR1(0501Fh), 14, A, B ; 5534
+ SUB *+AR1(05020h), 14, A ; 5535
+ SUB *+AR1(05021h), 14, B, A ; 5536
+ SUB *+AR1(05022h), 14, B, B ; 5537
+ SUB *+AR1(05023h), 14, B ; 5538
+ SUB *+AR1(05024h), 15, A, A ; 5539
+ SUB *+AR1(05025h), 15, A, B ; 5540
+ SUB *+AR1(05026h), 15, A ; 5541
+ SUB *+AR1(05027h), 15, B, A ; 5542
+ SUB *+AR1(05028h), 15, B, B ; 5543
+ SUB *+AR1(05029h), 15, B ; 5544
+ SUB *+AR1(0502Ah)%, A, A ; 5545
+ SUB *+AR1(0502Bh)%, A, B ; 5546
+ SUB *+AR1(0502Ch)%, A ; 5547
+ SUB *+AR1(0502Dh)%, B, A ; 5548
+ SUB *+AR1(0502Eh)%, B, B ; 5549
+ SUB *+AR1(0502Fh)%, B ; 5550
+ SUB *+AR1(05030h)%, -16, A, A ; 5551
+ SUB *+AR1(05031h)%, -16, A, B ; 5552
+ SUB *+AR1(05032h)%, -16, A ; 5553
+ SUB *+AR1(05033h)%, -16, B, A ; 5554
+ SUB *+AR1(05034h)%, -16, B, B ; 5555
+ SUB *+AR1(05035h)%, -16, B ; 5556
+ SUB *+AR1(05036h)%, -15, A, A ; 5557
+ SUB *+AR1(05037h)%, -15, A, B ; 5558
+ SUB *+AR1(05038h)%, -15, A ; 5559
+ SUB *+AR1(05039h)%, -15, B, A ; 5560
+ SUB *+AR1(0503Ah)%, -15, B, B ; 5561
+ SUB *+AR1(0503Bh)%, -15, B ; 5562
+ SUB *+AR1(0503Ch)%, -14, A, A ; 5563
+ SUB *+AR1(0503Dh)%, -14, A, B ; 5564
+ SUB *+AR1(0503Eh)%, -14, A ; 5565
+ SUB *+AR1(0503Fh)%, -14, B, A ; 5566
+ SUB *+AR1(05040h)%, -14, B, B ; 5567
+ SUB *+AR1(05041h)%, -14, B ; 5568
+ SUB *+AR1(05042h)%, -13, A, A ; 5569
+ SUB *+AR1(05043h)%, -13, A, B ; 5570
+ SUB *+AR1(05044h)%, -13, A ; 5571
+ SUB *+AR1(05045h)%, -13, B, A ; 5572
+ SUB *+AR1(05046h)%, -13, B, B ; 5573
+ SUB *+AR1(05047h)%, -13, B ; 5574
+ SUB *+AR1(05048h)%, -12, A, A ; 5575
+ SUB *+AR1(05049h)%, -12, A, B ; 5576
+ SUB *+AR1(0504Ah)%, -12, A ; 5577
+ SUB *+AR1(0504Bh)%, -12, B, A ; 5578
+ SUB *+AR1(0504Ch)%, -12, B, B ; 5579
+ SUB *+AR1(0504Dh)%, -12, B ; 5580
+ SUB *+AR1(0504Eh)%, -11, A, A ; 5581
+ SUB *+AR1(0504Fh)%, -11, A, B ; 5582
+ SUB *+AR1(05050h)%, -11, A ; 5583
+ SUB *+AR1(05051h)%, -11, B, A ; 5584
+ SUB *+AR1(05052h)%, -11, B, B ; 5585
+ SUB *+AR1(05053h)%, -11, B ; 5586
+ SUB *+AR1(05054h)%, -10, A, A ; 5587
+ SUB *+AR1(05055h)%, -10, A, B ; 5588
+ SUB *+AR1(05056h)%, -10, A ; 5589
+ SUB *+AR1(05057h)%, -10, B, A ; 5590
+ SUB *+AR1(05058h)%, -10, B, B ; 5591
+ SUB *+AR1(05059h)%, -10, B ; 5592
+ SUB *+AR1(0505Ah)%, -9, A, A ; 5593
+ SUB *+AR1(0505Bh)%, -9, A, B ; 5594
+ SUB *+AR1(0505Ch)%, -9, A ; 5595
+ SUB *+AR1(0505Dh)%, -9, B, A ; 5596
+ SUB *+AR1(0505Eh)%, -9, B, B ; 5597
+ SUB *+AR1(0505Fh)%, -9, B ; 5598
+ SUB *+AR1(05060h)%, -8, A, A ; 5599
+ SUB *+AR1(05061h)%, -8, A, B ; 5600
+ SUB *+AR1(05062h)%, -8, A ; 5601
+ SUB *+AR1(05063h)%, -8, B, A ; 5602
+ SUB *+AR1(05064h)%, -8, B, B ; 5603
+ SUB *+AR1(05065h)%, -8, B ; 5604
+ SUB *+AR1(05066h)%, -7, A, A ; 5605
+ SUB *+AR1(05067h)%, -7, A, B ; 5606
+ SUB *+AR1(05068h)%, -7, A ; 5607
+ SUB *+AR1(05069h)%, -7, B, A ; 5608
+ SUB *+AR1(0506Ah)%, -7, B, B ; 5609
+ SUB *+AR1(0506Bh)%, -7, B ; 5610
+ SUB *+AR1(0506Ch)%, -6, A, A ; 5611
+ SUB *+AR1(0506Dh)%, -6, A, B ; 5612
+ SUB *+AR1(0506Eh)%, -6, A ; 5613
+ SUB *+AR1(0506Fh)%, -6, B, A ; 5614
+ SUB *+AR1(05070h)%, -6, B, B ; 5615
+ SUB *+AR1(05071h)%, -6, B ; 5616
+ SUB *+AR1(05072h)%, -5, A, A ; 5617
+ SUB *+AR1(05073h)%, -5, A, B ; 5618
+ SUB *+AR1(05074h)%, -5, A ; 5619
+ SUB *+AR1(05075h)%, -5, B, A ; 5620
+ SUB *+AR1(05076h)%, -5, B, B ; 5621
+ SUB *+AR1(05077h)%, -5, B ; 5622
+ SUB *+AR1(05078h)%, -4, A, A ; 5623
+ SUB *+AR1(05079h)%, -4, A, B ; 5624
+ SUB *+AR1(0507Ah)%, -4, A ; 5625
+ SUB *+AR1(0507Bh)%, -4, B, A ; 5626
+ SUB *+AR1(0507Ch)%, -4, B, B ; 5627
+ SUB *+AR1(0507Dh)%, -4, B ; 5628
+ SUB *+AR1(0507Eh)%, -3, A, A ; 5629
+ SUB *+AR1(0507Fh)%, -3, A, B ; 5630
+ SUB *+AR1(05080h)%, -3, A ; 5631
+ SUB *+AR1(05081h)%, -3, B, A ; 5632
+ SUB *+AR1(05082h)%, -3, B, B ; 5633
+ SUB *+AR1(05083h)%, -3, B ; 5634
+ SUB *+AR1(05084h)%, -2, A, A ; 5635
+ SUB *+AR1(05085h)%, -2, A, B ; 5636
+ SUB *+AR1(05086h)%, -2, A ; 5637
+ SUB *+AR1(05087h)%, -2, B, A ; 5638
+ SUB *+AR1(05088h)%, -2, B, B ; 5639
+ SUB *+AR1(05089h)%, -2, B ; 5640
+ SUB *+AR1(0508Ah)%, -1, A, A ; 5641
+ SUB *+AR1(0508Bh)%, -1, A, B ; 5642
+ SUB *+AR1(0508Ch)%, -1, A ; 5643
+ SUB *+AR1(0508Dh)%, -1, B, A ; 5644
+ SUB *+AR1(0508Eh)%, -1, B, B ; 5645
+ SUB *+AR1(0508Fh)%, -1, B ; 5646
+ SUB *+AR1(05090h)%, 0, A, A ; 5647
+ SUB *+AR1(05091h)%, 0, A, B ; 5648
+ SUB *+AR1(05092h)%, 0, A ; 5649
+ SUB *+AR1(05093h)%, 0, B, A ; 5650
+ SUB *+AR1(05094h)%, 0, B, B ; 5651
+ SUB *+AR1(05095h)%, 0, B ; 5652
+ SUB *+AR1(05096h)%, 1, A, A ; 5653
+ SUB *+AR1(05097h)%, 1, A, B ; 5654
+ SUB *+AR1(05098h)%, 1, A ; 5655
+ SUB *+AR1(05099h)%, 1, B, A ; 5656
+ SUB *+AR1(0509Ah)%, 1, B, B ; 5657
+ SUB *+AR1(0509Bh)%, 1, B ; 5658
+ SUB *+AR1(0509Ch)%, 2, A, A ; 5659
+ SUB *+AR1(0509Dh)%, 2, A, B ; 5660
+ SUB *+AR1(0509Eh)%, 2, A ; 5661
+ SUB *+AR1(0509Fh)%, 2, B, A ; 5662
+ SUB *+AR1(050A0h)%, 2, B, B ; 5663
+ SUB *+AR1(050A1h)%, 2, B ; 5664
+ SUB *+AR1(050A2h)%, 3, A, A ; 5665
+ SUB *+AR1(050A3h)%, 3, A, B ; 5666
+ SUB *+AR1(050A4h)%, 3, A ; 5667
+ SUB *+AR1(050A5h)%, 3, B, A ; 5668
+ SUB *+AR1(050A6h)%, 3, B, B ; 5669
+ SUB *+AR1(050A7h)%, 3, B ; 5670
+ SUB *+AR1(050A8h)%, 4, A, A ; 5671
+ SUB *+AR1(050A9h)%, 4, A, B ; 5672
+ SUB *+AR1(050AAh)%, 4, A ; 5673
+ SUB *+AR1(050ABh)%, 4, B, A ; 5674
+ SUB *+AR1(050ACh)%, 4, B, B ; 5675
+ SUB *+AR1(050ADh)%, 4, B ; 5676
+ SUB *+AR1(050AEh)%, 5, A, A ; 5677
+ SUB *+AR1(050AFh)%, 5, A, B ; 5678
+ SUB *+AR1(050B0h)%, 5, A ; 5679
+ SUB *+AR1(050B1h)%, 5, B, A ; 5680
+ SUB *+AR1(050B2h)%, 5, B, B ; 5681
+ SUB *+AR1(050B3h)%, 5, B ; 5682
+ SUB *+AR1(050B4h)%, 6, A, A ; 5683
+ SUB *+AR1(050B5h)%, 6, A, B ; 5684
+ SUB *+AR1(050B6h)%, 6, A ; 5685
+ SUB *+AR1(050B7h)%, 6, B, A ; 5686
+ SUB *+AR1(050B8h)%, 6, B, B ; 5687
+ SUB *+AR1(050B9h)%, 6, B ; 5688
+ SUB *+AR1(050BAh)%, 7, A, A ; 5689
+ SUB *+AR1(050BBh)%, 7, A, B ; 5690
+ SUB *+AR1(050BCh)%, 7, A ; 5691
+ SUB *+AR1(050BDh)%, 7, B, A ; 5692
+ SUB *+AR1(050BEh)%, 7, B, B ; 5693
+ SUB *+AR1(050BFh)%, 7, B ; 5694
+ SUB *+AR1(050C0h)%, 8, A, A ; 5695
+ SUB *+AR1(050C1h)%, 8, A, B ; 5696
+ SUB *+AR1(050C2h)%, 8, A ; 5697
+ SUB *+AR1(050C3h)%, 8, B, A ; 5698
+ SUB *+AR1(050C4h)%, 8, B, B ; 5699
+ SUB *+AR1(050C5h)%, 8, B ; 5700
+ SUB *+AR1(050C6h)%, 9, A, A ; 5701
+ SUB *+AR1(050C7h)%, 9, A, B ; 5702
+ SUB *+AR1(050C8h)%, 9, A ; 5703
+ SUB *+AR1(050C9h)%, 9, B, A ; 5704
+ SUB *+AR1(050CAh)%, 9, B, B ; 5705
+ SUB *+AR1(050CBh)%, 9, B ; 5706
+ SUB *+AR1(050CCh)%, 10, A, A ; 5707
+ SUB *+AR1(050CDh)%, 10, A, B ; 5708
+ SUB *+AR1(050CEh)%, 10, A ; 5709
+ SUB *+AR1(050CFh)%, 10, B, A ; 5710
+ SUB *+AR1(050D0h)%, 10, B, B ; 5711
+ SUB *+AR1(050D1h)%, 10, B ; 5712
+ SUB *+AR1(050D2h)%, 11, A, A ; 5713
+ SUB *+AR1(050D3h)%, 11, A, B ; 5714
+ SUB *+AR1(050D4h)%, 11, A ; 5715
+ SUB *+AR1(050D5h)%, 11, B, A ; 5716
+ SUB *+AR1(050D6h)%, 11, B, B ; 5717
+ SUB *+AR1(050D7h)%, 11, B ; 5718
+ SUB *+AR1(050D8h)%, 12, A, A ; 5719
+ SUB *+AR1(050D9h)%, 12, A, B ; 5720
+ SUB *+AR1(050DAh)%, 12, A ; 5721
+ SUB *+AR1(050DBh)%, 12, B, A ; 5722
+ SUB *+AR1(050DCh)%, 12, B, B ; 5723
+ SUB *+AR1(050DDh)%, 12, B ; 5724
+ SUB *+AR1(050DEh)%, 13, A, A ; 5725
+ SUB *+AR1(050DFh)%, 13, A, B ; 5726
+ SUB *+AR1(050E0h)%, 13, A ; 5727
+ SUB *+AR1(050E1h)%, 13, B, A ; 5728
+ SUB *+AR1(050E2h)%, 13, B, B ; 5729
+ SUB *+AR1(050E3h)%, 13, B ; 5730
+ SUB *+AR1(050E4h)%, 14, A, A ; 5731
+ SUB *+AR1(050E5h)%, 14, A, B ; 5732
+ SUB *+AR1(050E6h)%, 14, A ; 5733
+ SUB *+AR1(050E7h)%, 14, B, A ; 5734
+ SUB *+AR1(050E8h)%, 14, B, B ; 5735
+ SUB *+AR1(050E9h)%, 14, B ; 5736
+ SUB *+AR1(050EAh)%, 15, A, A ; 5737
+ SUB *+AR1(050EBh)%, 15, A, B ; 5738
+ SUB *+AR1(050ECh)%, 15, A ; 5739
+ SUB *+AR1(050EDh)%, 15, B, A ; 5740
+ SUB *+AR1(050EEh)%, 15, B, B ; 5741
+ SUB *+AR1(050EFh)%, 15, B ; 5742
+ SUB *(050F0h), A, A ; 5743
+ SUB *(050F1h), A, B ; 5744
+ SUB *(050F2h), A ; 5745
+ SUB *(050F3h), B, A ; 5746
+ SUB *(050F4h), B, B ; 5747
+ SUB *(050F5h), B ; 5748
+ SUB *(050F6h), -16, A, A ; 5749
+ SUB *(050F7h), -16, A, B ; 5750
+ SUB *(050F8h), -16, A ; 5751
+ SUB *(050F9h), -16, B, A ; 5752
+ SUB *(050FAh), -16, B, B ; 5753
+ SUB *(050FBh), -16, B ; 5754
+ SUB *(050FCh), -15, A, A ; 5755
+ SUB *(050FDh), -15, A, B ; 5756
+ SUB *(050FEh), -15, A ; 5757
+ SUB *(050FFh), -15, B, A ; 5758
+ SUB *(05100h), -15, B, B ; 5759
+ SUB *(05101h), -15, B ; 5760
+ SUB *(05102h), -14, A, A ; 5761
+ SUB *(05103h), -14, A, B ; 5762
+ SUB *(05104h), -14, A ; 5763
+ SUB *(05105h), -14, B, A ; 5764
+ SUB *(05106h), -14, B, B ; 5765
+ SUB *(05107h), -14, B ; 5766
+ SUB *(05108h), -13, A, A ; 5767
+ SUB *(05109h), -13, A, B ; 5768
+ SUB *(0510Ah), -13, A ; 5769
+ SUB *(0510Bh), -13, B, A ; 5770
+ SUB *(0510Ch), -13, B, B ; 5771
+ SUB *(0510Dh), -13, B ; 5772
+ SUB *(0510Eh), -12, A, A ; 5773
+ SUB *(0510Fh), -12, A, B ; 5774
+ SUB *(05110h), -12, A ; 5775
+ SUB *(05111h), -12, B, A ; 5776
+ SUB *(05112h), -12, B, B ; 5777
+ SUB *(05113h), -12, B ; 5778
+ SUB *(05114h), -11, A, A ; 5779
+ SUB *(05115h), -11, A, B ; 5780
+ SUB *(05116h), -11, A ; 5781
+ SUB *(05117h), -11, B, A ; 5782
+ SUB *(05118h), -11, B, B ; 5783
+ SUB *(05119h), -11, B ; 5784
+ SUB *(0511Ah), -10, A, A ; 5785
+ SUB *(0511Bh), -10, A, B ; 5786
+ SUB *(0511Ch), -10, A ; 5787
+ SUB *(0511Dh), -10, B, A ; 5788
+ SUB *(0511Eh), -10, B, B ; 5789
+ SUB *(0511Fh), -10, B ; 5790
+ SUB *(05120h), -9, A, A ; 5791
+ SUB *(05121h), -9, A, B ; 5792
+ SUB *(05122h), -9, A ; 5793
+ SUB *(05123h), -9, B, A ; 5794
+ SUB *(05124h), -9, B, B ; 5795
+ SUB *(05125h), -9, B ; 5796
+ SUB *(05126h), -8, A, A ; 5797
+ SUB *(05127h), -8, A, B ; 5798
+ SUB *(05128h), -8, A ; 5799
+ SUB *(05129h), -8, B, A ; 5800
+ SUB *(0512Ah), -8, B, B ; 5801
+ SUB *(0512Bh), -8, B ; 5802
+ SUB *(0512Ch), -7, A, A ; 5803
+ SUB *(0512Dh), -7, A, B ; 5804
+ SUB *(0512Eh), -7, A ; 5805
+ SUB *(0512Fh), -7, B, A ; 5806
+ SUB *(05130h), -7, B, B ; 5807
+ SUB *(05131h), -7, B ; 5808
+ SUB *(05132h), -6, A, A ; 5809
+ SUB *(05133h), -6, A, B ; 5810
+ SUB *(05134h), -6, A ; 5811
+ SUB *(05135h), -6, B, A ; 5812
+ SUB *(05136h), -6, B, B ; 5813
+ SUB *(05137h), -6, B ; 5814
+ SUB *(05138h), -5, A, A ; 5815
+ SUB *(05139h), -5, A, B ; 5816
+ SUB *(0513Ah), -5, A ; 5817
+ SUB *(0513Bh), -5, B, A ; 5818
+ SUB *(0513Ch), -5, B, B ; 5819
+ SUB *(0513Dh), -5, B ; 5820
+ SUB *(0513Eh), -4, A, A ; 5821
+ SUB *(0513Fh), -4, A, B ; 5822
+ SUB *(05140h), -4, A ; 5823
+ SUB *(05141h), -4, B, A ; 5824
+ SUB *(05142h), -4, B, B ; 5825
+ SUB *(05143h), -4, B ; 5826
+ SUB *(05144h), -3, A, A ; 5827
+ SUB *(05145h), -3, A, B ; 5828
+ SUB *(05146h), -3, A ; 5829
+ SUB *(05147h), -3, B, A ; 5830
+ SUB *(05148h), -3, B, B ; 5831
+ SUB *(05149h), -3, B ; 5832
+ SUB *(0514Ah), -2, A, A ; 5833
+ SUB *(0514Bh), -2, A, B ; 5834
+ SUB *(0514Ch), -2, A ; 5835
+ SUB *(0514Dh), -2, B, A ; 5836
+ SUB *(0514Eh), -2, B, B ; 5837
+ SUB *(0514Fh), -2, B ; 5838
+ SUB *(05150h), -1, A, A ; 5839
+ SUB *(05151h), -1, A, B ; 5840
+ SUB *(05152h), -1, A ; 5841
+ SUB *(05153h), -1, B, A ; 5842
+ SUB *(05154h), -1, B, B ; 5843
+ SUB *(05155h), -1, B ; 5844
+ SUB *(05156h), 0, A, A ; 5845
+ SUB *(05157h), 0, A, B ; 5846
+ SUB *(05158h), 0, A ; 5847
+ SUB *(05159h), 0, B, A ; 5848
+ SUB *(0515Ah), 0, B, B ; 5849
+ SUB *(0515Bh), 0, B ; 5850
+ SUB *(0515Ch), 1, A, A ; 5851
+ SUB *(0515Dh), 1, A, B ; 5852
+ SUB *(0515Eh), 1, A ; 5853
+ SUB *(0515Fh), 1, B, A ; 5854
+ SUB *(05160h), 1, B, B ; 5855
+ SUB *(05161h), 1, B ; 5856
+ SUB *(05162h), 2, A, A ; 5857
+ SUB *(05163h), 2, A, B ; 5858
+ SUB *(05164h), 2, A ; 5859
+ SUB *(05165h), 2, B, A ; 5860
+ SUB *(05166h), 2, B, B ; 5861
+ SUB *(05167h), 2, B ; 5862
+ SUB *(05168h), 3, A, A ; 5863
+ SUB *(05169h), 3, A, B ; 5864
+ SUB *(0516Ah), 3, A ; 5865
+ SUB *(0516Bh), 3, B, A ; 5866
+ SUB *(0516Ch), 3, B, B ; 5867
+ SUB *(0516Dh), 3, B ; 5868
+ SUB *(0516Eh), 4, A, A ; 5869
+ SUB *(0516Fh), 4, A, B ; 5870
+ SUB *(05170h), 4, A ; 5871
+ SUB *(05171h), 4, B, A ; 5872
+ SUB *(05172h), 4, B, B ; 5873
+ SUB *(05173h), 4, B ; 5874
+ SUB *(05174h), 5, A, A ; 5875
+ SUB *(05175h), 5, A, B ; 5876
+ SUB *(05176h), 5, A ; 5877
+ SUB *(05177h), 5, B, A ; 5878
+ SUB *(05178h), 5, B, B ; 5879
+ SUB *(05179h), 5, B ; 5880
+ SUB *(0517Ah), 6, A, A ; 5881
+ SUB *(0517Bh), 6, A, B ; 5882
+ SUB *(0517Ch), 6, A ; 5883
+ SUB *(0517Dh), 6, B, A ; 5884
+ SUB *(0517Eh), 6, B, B ; 5885
+ SUB *(0517Fh), 6, B ; 5886
+ SUB *(05180h), 7, A, A ; 5887
+ SUB *(05181h), 7, A, B ; 5888
+ SUB *(05182h), 7, A ; 5889
+ SUB *(05183h), 7, B, A ; 5890
+ SUB *(05184h), 7, B, B ; 5891
+ SUB *(05185h), 7, B ; 5892
+ SUB *(05186h), 8, A, A ; 5893
+ SUB *(05187h), 8, A, B ; 5894
+ SUB *(05188h), 8, A ; 5895
+ SUB *(05189h), 8, B, A ; 5896
+ SUB *(0518Ah), 8, B, B ; 5897
+ SUB *(0518Bh), 8, B ; 5898
+ SUB *(0518Ch), 9, A, A ; 5899
+ SUB *(0518Dh), 9, A, B ; 5900
+ SUB *(0518Eh), 9, A ; 5901
+ SUB *(0518Fh), 9, B, A ; 5902
+ SUB *(05190h), 9, B, B ; 5903
+ SUB *(05191h), 9, B ; 5904
+ SUB *(05192h), 10, A, A ; 5905
+ SUB *(05193h), 10, A, B ; 5906
+ SUB *(05194h), 10, A ; 5907
+ SUB *(05195h), 10, B, A ; 5908
+ SUB *(05196h), 10, B, B ; 5909
+ SUB *(05197h), 10, B ; 5910
+ SUB *(05198h), 11, A, A ; 5911
+ SUB *(05199h), 11, A, B ; 5912
+ SUB *(0519Ah), 11, A ; 5913
+ SUB *(0519Bh), 11, B, A ; 5914
+ SUB *(0519Ch), 11, B, B ; 5915
+ SUB *(0519Dh), 11, B ; 5916
+ SUB *(0519Eh), 12, A, A ; 5917
+ SUB *(0519Fh), 12, A, B ; 5918
+ SUB *(051A0h), 12, A ; 5919
+ SUB *(051A1h), 12, B, A ; 5920
+ SUB *(051A2h), 12, B, B ; 5921
+ SUB *(051A3h), 12, B ; 5922
+ SUB *(051A4h), 13, A, A ; 5923
+ SUB *(051A5h), 13, A, B ; 5924
+ SUB *(051A6h), 13, A ; 5925
+ SUB *(051A7h), 13, B, A ; 5926
+ SUB *(051A8h), 13, B, B ; 5927
+ SUB *(051A9h), 13, B ; 5928
+ SUB *(051AAh), 14, A, A ; 5929
+ SUB *(051ABh), 14, A, B ; 5930
+ SUB *(051ACh), 14, A ; 5931
+ SUB *(051ADh), 14, B, A ; 5932
+ SUB *(051AEh), 14, B, B ; 5933
+ SUB *(051AFh), 14, B ; 5934
+ SUB *(051B0h), 15, A, A ; 5935
+ SUB *(051B1h), 15, A, B ; 5936
+ SUB *(051B2h), 15, A ; 5937
+ SUB *(051B3h), 15, B, A ; 5938
+ SUB *(051B4h), 15, B, B ; 5939
+ SUB *(051B5h), 15, B ; 5940
+ SUB *AR2, A, A ; 5941
+ SUB *AR2, A, B ; 5942
+ SUB *AR2, A ; 5943
+ SUB *AR2, B, A ; 5944
+ SUB *AR2, B, B ; 5945
+ SUB *AR2, B ; 5946
+ SUB *AR2, -16, A, A ; 5947
+ SUB *AR2, -16, A, B ; 5948
+ SUB *AR2, -16, A ; 5949
+ SUB *AR2, -16, B, A ; 5950
+ SUB *AR2, -16, B, B ; 5951
+ SUB *AR2, -16, B ; 5952
+ SUB *AR2, -15, A, A ; 5953
+ SUB *AR2, -15, A, B ; 5954
+ SUB *AR2, -15, A ; 5955
+ SUB *AR2, -15, B, A ; 5956
+ SUB *AR2, -15, B, B ; 5957
+ SUB *AR2, -15, B ; 5958
+ SUB *AR2, -14, A, A ; 5959
+ SUB *AR2, -14, A, B ; 5960
+ SUB *AR2, -14, A ; 5961
+ SUB *AR2, -14, B, A ; 5962
+ SUB *AR2, -14, B, B ; 5963
+ SUB *AR2, -14, B ; 5964
+ SUB *AR2, -13, A, A ; 5965
+ SUB *AR2, -13, A, B ; 5966
+ SUB *AR2, -13, A ; 5967
+ SUB *AR2, -13, B, A ; 5968
+ SUB *AR2, -13, B, B ; 5969
+ SUB *AR2, -13, B ; 5970
+ SUB *AR2, -12, A, A ; 5971
+ SUB *AR2, -12, A, B ; 5972
+ SUB *AR2, -12, A ; 5973
+ SUB *AR2, -12, B, A ; 5974
+ SUB *AR2, -12, B, B ; 5975
+ SUB *AR2, -12, B ; 5976
+ SUB *AR2, -11, A, A ; 5977
+ SUB *AR2, -11, A, B ; 5978
+ SUB *AR2, -11, A ; 5979
+ SUB *AR2, -11, B, A ; 5980
+ SUB *AR2, -11, B, B ; 5981
+ SUB *AR2, -11, B ; 5982
+ SUB *AR2, -10, A, A ; 5983
+ SUB *AR2, -10, A, B ; 5984
+ SUB *AR2, -10, A ; 5985
+ SUB *AR2, -10, B, A ; 5986
+ SUB *AR2, -10, B, B ; 5987
+ SUB *AR2, -10, B ; 5988
+ SUB *AR2, -9, A, A ; 5989
+ SUB *AR2, -9, A, B ; 5990
+ SUB *AR2, -9, A ; 5991
+ SUB *AR2, -9, B, A ; 5992
+ SUB *AR2, -9, B, B ; 5993
+ SUB *AR2, -9, B ; 5994
+ SUB *AR2, -8, A, A ; 5995
+ SUB *AR2, -8, A, B ; 5996
+ SUB *AR2, -8, A ; 5997
+ SUB *AR2, -8, B, A ; 5998
+ SUB *AR2, -8, B, B ; 5999
+ SUB *AR2, -8, B ; 6000
+ SUB *AR2, -7, A, A ; 6001
+ SUB *AR2, -7, A, B ; 6002
+ SUB *AR2, -7, A ; 6003
+ SUB *AR2, -7, B, A ; 6004
+ SUB *AR2, -7, B, B ; 6005
+ SUB *AR2, -7, B ; 6006
+ SUB *AR2, -6, A, A ; 6007
+ SUB *AR2, -6, A, B ; 6008
+ SUB *AR2, -6, A ; 6009
+ SUB *AR2, -6, B, A ; 6010
+ SUB *AR2, -6, B, B ; 6011
+ SUB *AR2, -6, B ; 6012
+ SUB *AR2, -5, A, A ; 6013
+ SUB *AR2, -5, A, B ; 6014
+ SUB *AR2, -5, A ; 6015
+ SUB *AR2, -5, B, A ; 6016
+ SUB *AR2, -5, B, B ; 6017
+ SUB *AR2, -5, B ; 6018
+ SUB *AR2, -4, A, A ; 6019
+ SUB *AR2, -4, A, B ; 6020
+ SUB *AR2, -4, A ; 6021
+ SUB *AR2, -4, B, A ; 6022
+ SUB *AR2, -4, B, B ; 6023
+ SUB *AR2, -4, B ; 6024
+ SUB *AR2, -3, A, A ; 6025
+ SUB *AR2, -3, A, B ; 6026
+ SUB *AR2, -3, A ; 6027
+ SUB *AR2, -3, B, A ; 6028
+ SUB *AR2, -3, B, B ; 6029
+ SUB *AR2, -3, B ; 6030
+ SUB *AR2, -2, A, A ; 6031
+ SUB *AR2, -2, A, B ; 6032
+ SUB *AR2, -2, A ; 6033
+ SUB *AR2, -2, B, A ; 6034
+ SUB *AR2, -2, B, B ; 6035
+ SUB *AR2, -2, B ; 6036
+ SUB *AR2, -1, A, A ; 6037
+ SUB *AR2, -1, A, B ; 6038
+ SUB *AR2, -1, A ; 6039
+ SUB *AR2, -1, B, A ; 6040
+ SUB *AR2, -1, B, B ; 6041
+ SUB *AR2, -1, B ; 6042
+ SUB *AR2, 0, A, A ; 6043
+ SUB *AR2, 0, A, B ; 6044
+ SUB *AR2, 0, A ; 6045
+ SUB *AR2, 0, B, A ; 6046
+ SUB *AR2, 0, B, B ; 6047
+ SUB *AR2, 0, B ; 6048
+ SUB *AR2, 1, A, A ; 6049
+ SUB *AR2, 1, A, B ; 6050
+ SUB *AR2, 1, A ; 6051
+ SUB *AR2, 1, B, A ; 6052
+ SUB *AR2, 1, B, B ; 6053
+ SUB *AR2, 1, B ; 6054
+ SUB *AR2, 2, A, A ; 6055
+ SUB *AR2, 2, A, B ; 6056
+ SUB *AR2, 2, A ; 6057
+ SUB *AR2, 2, B, A ; 6058
+ SUB *AR2, 2, B, B ; 6059
+ SUB *AR2, 2, B ; 6060
+ SUB *AR2, 3, A, A ; 6061
+ SUB *AR2, 3, A, B ; 6062
+ SUB *AR2, 3, A ; 6063
+ SUB *AR2, 3, B, A ; 6064
+ SUB *AR2, 3, B, B ; 6065
+ SUB *AR2, 3, B ; 6066
+ SUB *AR2, 4, A, A ; 6067
+ SUB *AR2, 4, A, B ; 6068
+ SUB *AR2, 4, A ; 6069
+ SUB *AR2, 4, B, A ; 6070
+ SUB *AR2, 4, B, B ; 6071
+ SUB *AR2, 4, B ; 6072
+ SUB *AR2, 5, A, A ; 6073
+ SUB *AR2, 5, A, B ; 6074
+ SUB *AR2, 5, A ; 6075
+ SUB *AR2, 5, B, A ; 6076
+ SUB *AR2, 5, B, B ; 6077
+ SUB *AR2, 5, B ; 6078
+ SUB *AR2, 6, A, A ; 6079
+ SUB *AR2, 6, A, B ; 6080
+ SUB *AR2, 6, A ; 6081
+ SUB *AR2, 6, B, A ; 6082
+ SUB *AR2, 6, B, B ; 6083
+ SUB *AR2, 6, B ; 6084
+ SUB *AR2, 7, A, A ; 6085
+ SUB *AR2, 7, A, B ; 6086
+ SUB *AR2, 7, A ; 6087
+ SUB *AR2, 7, B, A ; 6088
+ SUB *AR2, 7, B, B ; 6089
+ SUB *AR2, 7, B ; 6090
+ SUB *AR2, 8, A, A ; 6091
+ SUB *AR2, 8, A, B ; 6092
+ SUB *AR2, 8, A ; 6093
+ SUB *AR2, 8, B, A ; 6094
+ SUB *AR2, 8, B, B ; 6095
+ SUB *AR2, 8, B ; 6096
+ SUB *AR2, 9, A, A ; 6097
+ SUB *AR2, 9, A, B ; 6098
+ SUB *AR2, 9, A ; 6099
+ SUB *AR2, 9, B, A ; 6100
+ SUB *AR2, 9, B, B ; 6101
+ SUB *AR2, 9, B ; 6102
+ SUB *AR2, 10, A, A ; 6103
+ SUB *AR2, 10, A, B ; 6104
+ SUB *AR2, 10, A ; 6105
+ SUB *AR2, 10, B, A ; 6106
+ SUB *AR2, 10, B, B ; 6107
+ SUB *AR2, 10, B ; 6108
+ SUB *AR2, 11, A, A ; 6109
+ SUB *AR2, 11, A, B ; 6110
+ SUB *AR2, 11, A ; 6111
+ SUB *AR2, 11, B, A ; 6112
+ SUB *AR2, 11, B, B ; 6113
+ SUB *AR2, 11, B ; 6114
+ SUB *AR2, 12, A, A ; 6115
+ SUB *AR2, 12, A, B ; 6116
+ SUB *AR2, 12, A ; 6117
+ SUB *AR2, 12, B, A ; 6118
+ SUB *AR2, 12, B, B ; 6119
+ SUB *AR2, 12, B ; 6120
+ SUB *AR2, 13, A, A ; 6121
+ SUB *AR2, 13, A, B ; 6122
+ SUB *AR2, 13, A ; 6123
+ SUB *AR2, 13, B, A ; 6124
+ SUB *AR2, 13, B, B ; 6125
+ SUB *AR2, 13, B ; 6126
+ SUB *AR2, 14, A, A ; 6127
+ SUB *AR2, 14, A, B ; 6128
+ SUB *AR2, 14, A ; 6129
+ SUB *AR2, 14, B, A ; 6130
+ SUB *AR2, 14, B, B ; 6131
+ SUB *AR2, 14, B ; 6132
+ SUB *AR2, 15, A, A ; 6133
+ SUB *AR2, 15, A, B ; 6134
+ SUB *AR2, 15, A ; 6135
+ SUB *AR2, 15, B, A ; 6136
+ SUB *AR2, 15, B, B ; 6137
+ SUB *AR2, 15, B ; 6138
+ SUB *AR2-, A, A ; 6139
+ SUB *AR2-, A, B ; 6140
+ SUB *AR2-, A ; 6141
+ SUB *AR2-, B, A ; 6142
+ SUB *AR2-, B, B ; 6143
+ SUB *AR2-, B ; 6144
+ SUB *AR2-, -16, A, A ; 6145
+ SUB *AR2-, -16, A, B ; 6146
+ SUB *AR2-, -16, A ; 6147
+ SUB *AR2-, -16, B, A ; 6148
+ SUB *AR2-, -16, B, B ; 6149
+ SUB *AR2-, -16, B ; 6150
+ SUB *AR2-, -15, A, A ; 6151
+ SUB *AR2-, -15, A, B ; 6152
+ SUB *AR2-, -15, A ; 6153
+ SUB *AR2-, -15, B, A ; 6154
+ SUB *AR2-, -15, B, B ; 6155
+ SUB *AR2-, -15, B ; 6156
+ SUB *AR2-, -14, A, A ; 6157
+ SUB *AR2-, -14, A, B ; 6158
+ SUB *AR2-, -14, A ; 6159
+ SUB *AR2-, -14, B, A ; 6160
+ SUB *AR2-, -14, B, B ; 6161
+ SUB *AR2-, -14, B ; 6162
+ SUB *AR2-, -13, A, A ; 6163
+ SUB *AR2-, -13, A, B ; 6164
+ SUB *AR2-, -13, A ; 6165
+ SUB *AR2-, -13, B, A ; 6166
+ SUB *AR2-, -13, B, B ; 6167
+ SUB *AR2-, -13, B ; 6168
+ SUB *AR2-, -12, A, A ; 6169
+ SUB *AR2-, -12, A, B ; 6170
+ SUB *AR2-, -12, A ; 6171
+ SUB *AR2-, -12, B, A ; 6172
+ SUB *AR2-, -12, B, B ; 6173
+ SUB *AR2-, -12, B ; 6174
+ SUB *AR2-, -11, A, A ; 6175
+ SUB *AR2-, -11, A, B ; 6176
+ SUB *AR2-, -11, A ; 6177
+ SUB *AR2-, -11, B, A ; 6178
+ SUB *AR2-, -11, B, B ; 6179
+ SUB *AR2-, -11, B ; 6180
+ SUB *AR2-, -10, A, A ; 6181
+ SUB *AR2-, -10, A, B ; 6182
+ SUB *AR2-, -10, A ; 6183
+ SUB *AR2-, -10, B, A ; 6184
+ SUB *AR2-, -10, B, B ; 6185
+ SUB *AR2-, -10, B ; 6186
+ SUB *AR2-, -9, A, A ; 6187
+ SUB *AR2-, -9, A, B ; 6188
+ SUB *AR2-, -9, A ; 6189
+ SUB *AR2-, -9, B, A ; 6190
+ SUB *AR2-, -9, B, B ; 6191
+ SUB *AR2-, -9, B ; 6192
+ SUB *AR2-, -8, A, A ; 6193
+ SUB *AR2-, -8, A, B ; 6194
+ SUB *AR2-, -8, A ; 6195
+ SUB *AR2-, -8, B, A ; 6196
+ SUB *AR2-, -8, B, B ; 6197
+ SUB *AR2-, -8, B ; 6198
+ SUB *AR2-, -7, A, A ; 6199
+ SUB *AR2-, -7, A, B ; 6200
+ SUB *AR2-, -7, A ; 6201
+ SUB *AR2-, -7, B, A ; 6202
+ SUB *AR2-, -7, B, B ; 6203
+ SUB *AR2-, -7, B ; 6204
+ SUB *AR2-, -6, A, A ; 6205
+ SUB *AR2-, -6, A, B ; 6206
+ SUB *AR2-, -6, A ; 6207
+ SUB *AR2-, -6, B, A ; 6208
+ SUB *AR2-, -6, B, B ; 6209
+ SUB *AR2-, -6, B ; 6210
+ SUB *AR2-, -5, A, A ; 6211
+ SUB *AR2-, -5, A, B ; 6212
+ SUB *AR2-, -5, A ; 6213
+ SUB *AR2-, -5, B, A ; 6214
+ SUB *AR2-, -5, B, B ; 6215
+ SUB *AR2-, -5, B ; 6216
+ SUB *AR2-, -4, A, A ; 6217
+ SUB *AR2-, -4, A, B ; 6218
+ SUB *AR2-, -4, A ; 6219
+ SUB *AR2-, -4, B, A ; 6220
+ SUB *AR2-, -4, B, B ; 6221
+ SUB *AR2-, -4, B ; 6222
+ SUB *AR2-, -3, A, A ; 6223
+ SUB *AR2-, -3, A, B ; 6224
+ SUB *AR2-, -3, A ; 6225
+ SUB *AR2-, -3, B, A ; 6226
+ SUB *AR2-, -3, B, B ; 6227
+ SUB *AR2-, -3, B ; 6228
+ SUB *AR2-, -2, A, A ; 6229
+ SUB *AR2-, -2, A, B ; 6230
+ SUB *AR2-, -2, A ; 6231
+ SUB *AR2-, -2, B, A ; 6232
+ SUB *AR2-, -2, B, B ; 6233
+ SUB *AR2-, -2, B ; 6234
+ SUB *AR2-, -1, A, A ; 6235
+ SUB *AR2-, -1, A, B ; 6236
+ SUB *AR2-, -1, A ; 6237
+ SUB *AR2-, -1, B, A ; 6238
+ SUB *AR2-, -1, B, B ; 6239
+ SUB *AR2-, -1, B ; 6240
+ SUB *AR2-, 0, A, A ; 6241
+ SUB *AR2-, 0, A, B ; 6242
+ SUB *AR2-, 0, A ; 6243
+ SUB *AR2-, 0, B, A ; 6244
+ SUB *AR2-, 0, B, B ; 6245
+ SUB *AR2-, 0, B ; 6246
+ SUB *AR2-, 1, A, A ; 6247
+ SUB *AR2-, 1, A, B ; 6248
+ SUB *AR2-, 1, A ; 6249
+ SUB *AR2-, 1, B, A ; 6250
+ SUB *AR2-, 1, B, B ; 6251
+ SUB *AR2-, 1, B ; 6252
+ SUB *AR2-, 2, A, A ; 6253
+ SUB *AR2-, 2, A, B ; 6254
+ SUB *AR2-, 2, A ; 6255
+ SUB *AR2-, 2, B, A ; 6256
+ SUB *AR2-, 2, B, B ; 6257
+ SUB *AR2-, 2, B ; 6258
+ SUB *AR2-, 3, A, A ; 6259
+ SUB *AR2-, 3, A, B ; 6260
+ SUB *AR2-, 3, A ; 6261
+ SUB *AR2-, 3, B, A ; 6262
+ SUB *AR2-, 3, B, B ; 6263
+ SUB *AR2-, 3, B ; 6264
+ SUB *AR2-, 4, A, A ; 6265
+ SUB *AR2-, 4, A, B ; 6266
+ SUB *AR2-, 4, A ; 6267
+ SUB *AR2-, 4, B, A ; 6268
+ SUB *AR2-, 4, B, B ; 6269
+ SUB *AR2-, 4, B ; 6270
+ SUB *AR2-, 5, A, A ; 6271
+ SUB *AR2-, 5, A, B ; 6272
+ SUB *AR2-, 5, A ; 6273
+ SUB *AR2-, 5, B, A ; 6274
+ SUB *AR2-, 5, B, B ; 6275
+ SUB *AR2-, 5, B ; 6276
+ SUB *AR2-, 6, A, A ; 6277
+ SUB *AR2-, 6, A, B ; 6278
+ SUB *AR2-, 6, A ; 6279
+ SUB *AR2-, 6, B, A ; 6280
+ SUB *AR2-, 6, B, B ; 6281
+ SUB *AR2-, 6, B ; 6282
+ SUB *AR2-, 7, A, A ; 6283
+ SUB *AR2-, 7, A, B ; 6284
+ SUB *AR2-, 7, A ; 6285
+ SUB *AR2-, 7, B, A ; 6286
+ SUB *AR2-, 7, B, B ; 6287
+ SUB *AR2-, 7, B ; 6288
+ SUB *AR2-, 8, A, A ; 6289
+ SUB *AR2-, 8, A, B ; 6290
+ SUB *AR2-, 8, A ; 6291
+ SUB *AR2-, 8, B, A ; 6292
+ SUB *AR2-, 8, B, B ; 6293
+ SUB *AR2-, 8, B ; 6294
+ SUB *AR2-, 9, A, A ; 6295
+ SUB *AR2-, 9, A, B ; 6296
+ SUB *AR2-, 9, A ; 6297
+ SUB *AR2-, 9, B, A ; 6298
+ SUB *AR2-, 9, B, B ; 6299
+ SUB *AR2-, 9, B ; 6300
+ SUB *AR2-, 10, A, A ; 6301
+ SUB *AR2-, 10, A, B ; 6302
+ SUB *AR2-, 10, A ; 6303
+ SUB *AR2-, 10, B, A ; 6304
+ SUB *AR2-, 10, B, B ; 6305
+ SUB *AR2-, 10, B ; 6306
+ SUB *AR2-, 11, A, A ; 6307
+ SUB *AR2-, 11, A, B ; 6308
+ SUB *AR2-, 11, A ; 6309
+ SUB *AR2-, 11, B, A ; 6310
+ SUB *AR2-, 11, B, B ; 6311
+ SUB *AR2-, 11, B ; 6312
+ SUB *AR2-, 12, A, A ; 6313
+ SUB *AR2-, 12, A, B ; 6314
+ SUB *AR2-, 12, A ; 6315
+ SUB *AR2-, 12, B, A ; 6316
+ SUB *AR2-, 12, B, B ; 6317
+ SUB *AR2-, 12, B ; 6318
+ SUB *AR2-, 13, A, A ; 6319
+ SUB *AR2-, 13, A, B ; 6320
+ SUB *AR2-, 13, A ; 6321
+ SUB *AR2-, 13, B, A ; 6322
+ SUB *AR2-, 13, B, B ; 6323
+ SUB *AR2-, 13, B ; 6324
+ SUB *AR2-, 14, A, A ; 6325
+ SUB *AR2-, 14, A, B ; 6326
+ SUB *AR2-, 14, A ; 6327
+ SUB *AR2-, 14, B, A ; 6328
+ SUB *AR2-, 14, B, B ; 6329
+ SUB *AR2-, 14, B ; 6330
+ SUB *AR2-, 15, A, A ; 6331
+ SUB *AR2-, 15, A, B ; 6332
+ SUB *AR2-, 15, A ; 6333
+ SUB *AR2-, 15, B, A ; 6334
+ SUB *AR2-, 15, B, B ; 6335
+ SUB *AR2-, 15, B ; 6336
+ SUB *AR2+, A, A ; 6337
+ SUB *AR2+, A, B ; 6338
+ SUB *AR2+, A ; 6339
+ SUB *AR2+, B, A ; 6340
+ SUB *AR2+, B, B ; 6341
+ SUB *AR2+, B ; 6342
+ SUB *AR2+, -16, A, A ; 6343
+ SUB *AR2+, -16, A, B ; 6344
+ SUB *AR2+, -16, A ; 6345
+ SUB *AR2+, -16, B, A ; 6346
+ SUB *AR2+, -16, B, B ; 6347
+ SUB *AR2+, -16, B ; 6348
+ SUB *AR2+, -15, A, A ; 6349
+ SUB *AR2+, -15, A, B ; 6350
+ SUB *AR2+, -15, A ; 6351
+ SUB *AR2+, -15, B, A ; 6352
+ SUB *AR2+, -15, B, B ; 6353
+ SUB *AR2+, -15, B ; 6354
+ SUB *AR2+, -14, A, A ; 6355
+ SUB *AR2+, -14, A, B ; 6356
+ SUB *AR2+, -14, A ; 6357
+ SUB *AR2+, -14, B, A ; 6358
+ SUB *AR2+, -14, B, B ; 6359
+ SUB *AR2+, -14, B ; 6360
+ SUB *AR2+, -13, A, A ; 6361
+ SUB *AR2+, -13, A, B ; 6362
+ SUB *AR2+, -13, A ; 6363
+ SUB *AR2+, -13, B, A ; 6364
+ SUB *AR2+, -13, B, B ; 6365
+ SUB *AR2+, -13, B ; 6366
+ SUB *AR2+, -12, A, A ; 6367
+ SUB *AR2+, -12, A, B ; 6368
+ SUB *AR2+, -12, A ; 6369
+ SUB *AR2+, -12, B, A ; 6370
+ SUB *AR2+, -12, B, B ; 6371
+ SUB *AR2+, -12, B ; 6372
+ SUB *AR2+, -11, A, A ; 6373
+ SUB *AR2+, -11, A, B ; 6374
+ SUB *AR2+, -11, A ; 6375
+ SUB *AR2+, -11, B, A ; 6376
+ SUB *AR2+, -11, B, B ; 6377
+ SUB *AR2+, -11, B ; 6378
+ SUB *AR2+, -10, A, A ; 6379
+ SUB *AR2+, -10, A, B ; 6380
+ SUB *AR2+, -10, A ; 6381
+ SUB *AR2+, -10, B, A ; 6382
+ SUB *AR2+, -10, B, B ; 6383
+ SUB *AR2+, -10, B ; 6384
+ SUB *AR2+, -9, A, A ; 6385
+ SUB *AR2+, -9, A, B ; 6386
+ SUB *AR2+, -9, A ; 6387
+ SUB *AR2+, -9, B, A ; 6388
+ SUB *AR2+, -9, B, B ; 6389
+ SUB *AR2+, -9, B ; 6390
+ SUB *AR2+, -8, A, A ; 6391
+ SUB *AR2+, -8, A, B ; 6392
+ SUB *AR2+, -8, A ; 6393
+ SUB *AR2+, -8, B, A ; 6394
+ SUB *AR2+, -8, B, B ; 6395
+ SUB *AR2+, -8, B ; 6396
+ SUB *AR2+, -7, A, A ; 6397
+ SUB *AR2+, -7, A, B ; 6398
+ SUB *AR2+, -7, A ; 6399
+ SUB *AR2+, -7, B, A ; 6400
+ SUB *AR2+, -7, B, B ; 6401
+ SUB *AR2+, -7, B ; 6402
+ SUB *AR2+, -6, A, A ; 6403
+ SUB *AR2+, -6, A, B ; 6404
+ SUB *AR2+, -6, A ; 6405
+ SUB *AR2+, -6, B, A ; 6406
+ SUB *AR2+, -6, B, B ; 6407
+ SUB *AR2+, -6, B ; 6408
+ SUB *AR2+, -5, A, A ; 6409
+ SUB *AR2+, -5, A, B ; 6410
+ SUB *AR2+, -5, A ; 6411
+ SUB *AR2+, -5, B, A ; 6412
+ SUB *AR2+, -5, B, B ; 6413
+ SUB *AR2+, -5, B ; 6414
+ SUB *AR2+, -4, A, A ; 6415
+ SUB *AR2+, -4, A, B ; 6416
+ SUB *AR2+, -4, A ; 6417
+ SUB *AR2+, -4, B, A ; 6418
+ SUB *AR2+, -4, B, B ; 6419
+ SUB *AR2+, -4, B ; 6420
+ SUB *AR2+, -3, A, A ; 6421
+ SUB *AR2+, -3, A, B ; 6422
+ SUB *AR2+, -3, A ; 6423
+ SUB *AR2+, -3, B, A ; 6424
+ SUB *AR2+, -3, B, B ; 6425
+ SUB *AR2+, -3, B ; 6426
+ SUB *AR2+, -2, A, A ; 6427
+ SUB *AR2+, -2, A, B ; 6428
+ SUB *AR2+, -2, A ; 6429
+ SUB *AR2+, -2, B, A ; 6430
+ SUB *AR2+, -2, B, B ; 6431
+ SUB *AR2+, -2, B ; 6432
+ SUB *AR2+, -1, A, A ; 6433
+ SUB *AR2+, -1, A, B ; 6434
+ SUB *AR2+, -1, A ; 6435
+ SUB *AR2+, -1, B, A ; 6436
+ SUB *AR2+, -1, B, B ; 6437
+ SUB *AR2+, -1, B ; 6438
+ SUB *AR2+, 0, A, A ; 6439
+ SUB *AR2+, 0, A, B ; 6440
+ SUB *AR2+, 0, A ; 6441
+ SUB *AR2+, 0, B, A ; 6442
+ SUB *AR2+, 0, B, B ; 6443
+ SUB *AR2+, 0, B ; 6444
+ SUB *AR2+, 1, A, A ; 6445
+ SUB *AR2+, 1, A, B ; 6446
+ SUB *AR2+, 1, A ; 6447
+ SUB *AR2+, 1, B, A ; 6448
+ SUB *AR2+, 1, B, B ; 6449
+ SUB *AR2+, 1, B ; 6450
+ SUB *AR2+, 2, A, A ; 6451
+ SUB *AR2+, 2, A, B ; 6452
+ SUB *AR2+, 2, A ; 6453
+ SUB *AR2+, 2, B, A ; 6454
+ SUB *AR2+, 2, B, B ; 6455
+ SUB *AR2+, 2, B ; 6456
+ SUB *AR2+, 3, A, A ; 6457
+ SUB *AR2+, 3, A, B ; 6458
+ SUB *AR2+, 3, A ; 6459
+ SUB *AR2+, 3, B, A ; 6460
+ SUB *AR2+, 3, B, B ; 6461
+ SUB *AR2+, 3, B ; 6462
+ SUB *AR2+, 4, A, A ; 6463
+ SUB *AR2+, 4, A, B ; 6464
+ SUB *AR2+, 4, A ; 6465
+ SUB *AR2+, 4, B, A ; 6466
+ SUB *AR2+, 4, B, B ; 6467
+ SUB *AR2+, 4, B ; 6468
+ SUB *AR2+, 5, A, A ; 6469
+ SUB *AR2+, 5, A, B ; 6470
+ SUB *AR2+, 5, A ; 6471
+ SUB *AR2+, 5, B, A ; 6472
+ SUB *AR2+, 5, B, B ; 6473
+ SUB *AR2+, 5, B ; 6474
+ SUB *AR2+, 6, A, A ; 6475
+ SUB *AR2+, 6, A, B ; 6476
+ SUB *AR2+, 6, A ; 6477
+ SUB *AR2+, 6, B, A ; 6478
+ SUB *AR2+, 6, B, B ; 6479
+ SUB *AR2+, 6, B ; 6480
+ SUB *AR2+, 7, A, A ; 6481
+ SUB *AR2+, 7, A, B ; 6482
+ SUB *AR2+, 7, A ; 6483
+ SUB *AR2+, 7, B, A ; 6484
+ SUB *AR2+, 7, B, B ; 6485
+ SUB *AR2+, 7, B ; 6486
+ SUB *AR2+, 8, A, A ; 6487
+ SUB *AR2+, 8, A, B ; 6488
+ SUB *AR2+, 8, A ; 6489
+ SUB *AR2+, 8, B, A ; 6490
+ SUB *AR2+, 8, B, B ; 6491
+ SUB *AR2+, 8, B ; 6492
+ SUB *AR2+, 9, A, A ; 6493
+ SUB *AR2+, 9, A, B ; 6494
+ SUB *AR2+, 9, A ; 6495
+ SUB *AR2+, 9, B, A ; 6496
+ SUB *AR2+, 9, B, B ; 6497
+ SUB *AR2+, 9, B ; 6498
+ SUB *AR2+, 10, A, A ; 6499
+ SUB *AR2+, 10, A, B ; 6500
+ SUB *AR2+, 10, A ; 6501
+ SUB *AR2+, 10, B, A ; 6502
+ SUB *AR2+, 10, B, B ; 6503
+ SUB *AR2+, 10, B ; 6504
+ SUB *AR2+, 11, A, A ; 6505
+ SUB *AR2+, 11, A, B ; 6506
+ SUB *AR2+, 11, A ; 6507
+ SUB *AR2+, 11, B, A ; 6508
+ SUB *AR2+, 11, B, B ; 6509
+ SUB *AR2+, 11, B ; 6510
+ SUB *AR2+, 12, A, A ; 6511
+ SUB *AR2+, 12, A, B ; 6512
+ SUB *AR2+, 12, A ; 6513
+ SUB *AR2+, 12, B, A ; 6514
+ SUB *AR2+, 12, B, B ; 6515
+ SUB *AR2+, 12, B ; 6516
+ SUB *AR2+, 13, A, A ; 6517
+ SUB *AR2+, 13, A, B ; 6518
+ SUB *AR2+, 13, A ; 6519
+ SUB *AR2+, 13, B, A ; 6520
+ SUB *AR2+, 13, B, B ; 6521
+ SUB *AR2+, 13, B ; 6522
+ SUB *AR2+, 14, A, A ; 6523
+ SUB *AR2+, 14, A, B ; 6524
+ SUB *AR2+, 14, A ; 6525
+ SUB *AR2+, 14, B, A ; 6526
+ SUB *AR2+, 14, B, B ; 6527
+ SUB *AR2+, 14, B ; 6528
+ SUB *AR2+, 15, A, A ; 6529
+ SUB *AR2+, 15, A, B ; 6530
+ SUB *AR2+, 15, A ; 6531
+ SUB *AR2+, 15, B, A ; 6532
+ SUB *AR2+, 15, B, B ; 6533
+ SUB *AR2+, 15, B ; 6534
+ SUB *AR2-0B, A, A ; 6535
+ SUB *AR2-0B, A, B ; 6536
+ SUB *AR2-0B, A ; 6537
+ SUB *AR2-0B, B, A ; 6538
+ SUB *AR2-0B, B, B ; 6539
+ SUB *AR2-0B, B ; 6540
+ SUB *AR2-0B, -16, A, A ; 6541
+ SUB *AR2-0B, -16, A, B ; 6542
+ SUB *AR2-0B, -16, A ; 6543
+ SUB *AR2-0B, -16, B, A ; 6544
+ SUB *AR2-0B, -16, B, B ; 6545
+ SUB *AR2-0B, -16, B ; 6546
+ SUB *AR2-0B, -15, A, A ; 6547
+ SUB *AR2-0B, -15, A, B ; 6548
+ SUB *AR2-0B, -15, A ; 6549
+ SUB *AR2-0B, -15, B, A ; 6550
+ SUB *AR2-0B, -15, B, B ; 6551
+ SUB *AR2-0B, -15, B ; 6552
+ SUB *AR2-0B, -14, A, A ; 6553
+ SUB *AR2-0B, -14, A, B ; 6554
+ SUB *AR2-0B, -14, A ; 6555
+ SUB *AR2-0B, -14, B, A ; 6556
+ SUB *AR2-0B, -14, B, B ; 6557
+ SUB *AR2-0B, -14, B ; 6558
+ SUB *AR2-0B, -13, A, A ; 6559
+ SUB *AR2-0B, -13, A, B ; 6560
+ SUB *AR2-0B, -13, A ; 6561
+ SUB *AR2-0B, -13, B, A ; 6562
+ SUB *AR2-0B, -13, B, B ; 6563
+ SUB *AR2-0B, -13, B ; 6564
+ SUB *AR2-0B, -12, A, A ; 6565
+ SUB *AR2-0B, -12, A, B ; 6566
+ SUB *AR2-0B, -12, A ; 6567
+ SUB *AR2-0B, -12, B, A ; 6568
+ SUB *AR2-0B, -12, B, B ; 6569
+ SUB *AR2-0B, -12, B ; 6570
+ SUB *AR2-0B, -11, A, A ; 6571
+ SUB *AR2-0B, -11, A, B ; 6572
+ SUB *AR2-0B, -11, A ; 6573
+ SUB *AR2-0B, -11, B, A ; 6574
+ SUB *AR2-0B, -11, B, B ; 6575
+ SUB *AR2-0B, -11, B ; 6576
+ SUB *AR2-0B, -10, A, A ; 6577
+ SUB *AR2-0B, -10, A, B ; 6578
+ SUB *AR2-0B, -10, A ; 6579
+ SUB *AR2-0B, -10, B, A ; 6580
+ SUB *AR2-0B, -10, B, B ; 6581
+ SUB *AR2-0B, -10, B ; 6582
+ SUB *AR2-0B, -9, A, A ; 6583
+ SUB *AR2-0B, -9, A, B ; 6584
+ SUB *AR2-0B, -9, A ; 6585
+ SUB *AR2-0B, -9, B, A ; 6586
+ SUB *AR2-0B, -9, B, B ; 6587
+ SUB *AR2-0B, -9, B ; 6588
+ SUB *AR2-0B, -8, A, A ; 6589
+ SUB *AR2-0B, -8, A, B ; 6590
+ SUB *AR2-0B, -8, A ; 6591
+ SUB *AR2-0B, -8, B, A ; 6592
+ SUB *AR2-0B, -8, B, B ; 6593
+ SUB *AR2-0B, -8, B ; 6594
+ SUB *AR2-0B, -7, A, A ; 6595
+ SUB *AR2-0B, -7, A, B ; 6596
+ SUB *AR2-0B, -7, A ; 6597
+ SUB *AR2-0B, -7, B, A ; 6598
+ SUB *AR2-0B, -7, B, B ; 6599
+ SUB *AR2-0B, -7, B ; 6600
+ SUB *AR2-0B, -6, A, A ; 6601
+ SUB *AR2-0B, -6, A, B ; 6602
+ SUB *AR2-0B, -6, A ; 6603
+ SUB *AR2-0B, -6, B, A ; 6604
+ SUB *AR2-0B, -6, B, B ; 6605
+ SUB *AR2-0B, -6, B ; 6606
+ SUB *AR2-0B, -5, A, A ; 6607
+ SUB *AR2-0B, -5, A, B ; 6608
+ SUB *AR2-0B, -5, A ; 6609
+ SUB *AR2-0B, -5, B, A ; 6610
+ SUB *AR2-0B, -5, B, B ; 6611
+ SUB *AR2-0B, -5, B ; 6612
+ SUB *AR2-0B, -4, A, A ; 6613
+ SUB *AR2-0B, -4, A, B ; 6614
+ SUB *AR2-0B, -4, A ; 6615
+ SUB *AR2-0B, -4, B, A ; 6616
+ SUB *AR2-0B, -4, B, B ; 6617
+ SUB *AR2-0B, -4, B ; 6618
+ SUB *AR2-0B, -3, A, A ; 6619
+ SUB *AR2-0B, -3, A, B ; 6620
+ SUB *AR2-0B, -3, A ; 6621
+ SUB *AR2-0B, -3, B, A ; 6622
+ SUB *AR2-0B, -3, B, B ; 6623
+ SUB *AR2-0B, -3, B ; 6624
+ SUB *AR2-0B, -2, A, A ; 6625
+ SUB *AR2-0B, -2, A, B ; 6626
+ SUB *AR2-0B, -2, A ; 6627
+ SUB *AR2-0B, -2, B, A ; 6628
+ SUB *AR2-0B, -2, B, B ; 6629
+ SUB *AR2-0B, -2, B ; 6630
+ SUB *AR2-0B, -1, A, A ; 6631
+ SUB *AR2-0B, -1, A, B ; 6632
+ SUB *AR2-0B, -1, A ; 6633
+ SUB *AR2-0B, -1, B, A ; 6634
+ SUB *AR2-0B, -1, B, B ; 6635
+ SUB *AR2-0B, -1, B ; 6636
+ SUB *AR2-0B, 0, A, A ; 6637
+ SUB *AR2-0B, 0, A, B ; 6638
+ SUB *AR2-0B, 0, A ; 6639
+ SUB *AR2-0B, 0, B, A ; 6640
+ SUB *AR2-0B, 0, B, B ; 6641
+ SUB *AR2-0B, 0, B ; 6642
+ SUB *AR2-0B, 1, A, A ; 6643
+ SUB *AR2-0B, 1, A, B ; 6644
+ SUB *AR2-0B, 1, A ; 6645
+ SUB *AR2-0B, 1, B, A ; 6646
+ SUB *AR2-0B, 1, B, B ; 6647
+ SUB *AR2-0B, 1, B ; 6648
+ SUB *AR2-0B, 2, A, A ; 6649
+ SUB *AR2-0B, 2, A, B ; 6650
+ SUB *AR2-0B, 2, A ; 6651
+ SUB *AR2-0B, 2, B, A ; 6652
+ SUB *AR2-0B, 2, B, B ; 6653
+ SUB *AR2-0B, 2, B ; 6654
+ SUB *AR2-0B, 3, A, A ; 6655
+ SUB *AR2-0B, 3, A, B ; 6656
+ SUB *AR2-0B, 3, A ; 6657
+ SUB *AR2-0B, 3, B, A ; 6658
+ SUB *AR2-0B, 3, B, B ; 6659
+ SUB *AR2-0B, 3, B ; 6660
+ SUB *AR2-0B, 4, A, A ; 6661
+ SUB *AR2-0B, 4, A, B ; 6662
+ SUB *AR2-0B, 4, A ; 6663
+ SUB *AR2-0B, 4, B, A ; 6664
+ SUB *AR2-0B, 4, B, B ; 6665
+ SUB *AR2-0B, 4, B ; 6666
+ SUB *AR2-0B, 5, A, A ; 6667
+ SUB *AR2-0B, 5, A, B ; 6668
+ SUB *AR2-0B, 5, A ; 6669
+ SUB *AR2-0B, 5, B, A ; 6670
+ SUB *AR2-0B, 5, B, B ; 6671
+ SUB *AR2-0B, 5, B ; 6672
+ SUB *AR2-0B, 6, A, A ; 6673
+ SUB *AR2-0B, 6, A, B ; 6674
+ SUB *AR2-0B, 6, A ; 6675
+ SUB *AR2-0B, 6, B, A ; 6676
+ SUB *AR2-0B, 6, B, B ; 6677
+ SUB *AR2-0B, 6, B ; 6678
+ SUB *AR2-0B, 7, A, A ; 6679
+ SUB *AR2-0B, 7, A, B ; 6680
+ SUB *AR2-0B, 7, A ; 6681
+ SUB *AR2-0B, 7, B, A ; 6682
+ SUB *AR2-0B, 7, B, B ; 6683
+ SUB *AR2-0B, 7, B ; 6684
+ SUB *AR2-0B, 8, A, A ; 6685
+ SUB *AR2-0B, 8, A, B ; 6686
+ SUB *AR2-0B, 8, A ; 6687
+ SUB *AR2-0B, 8, B, A ; 6688
+ SUB *AR2-0B, 8, B, B ; 6689
+ SUB *AR2-0B, 8, B ; 6690
+ SUB *AR2-0B, 9, A, A ; 6691
+ SUB *AR2-0B, 9, A, B ; 6692
+ SUB *AR2-0B, 9, A ; 6693
+ SUB *AR2-0B, 9, B, A ; 6694
+ SUB *AR2-0B, 9, B, B ; 6695
+ SUB *AR2-0B, 9, B ; 6696
+ SUB *AR2-0B, 10, A, A ; 6697
+ SUB *AR2-0B, 10, A, B ; 6698
+ SUB *AR2-0B, 10, A ; 6699
+ SUB *AR2-0B, 10, B, A ; 6700
+ SUB *AR2-0B, 10, B, B ; 6701
+ SUB *AR2-0B, 10, B ; 6702
+ SUB *AR2-0B, 11, A, A ; 6703
+ SUB *AR2-0B, 11, A, B ; 6704
+ SUB *AR2-0B, 11, A ; 6705
+ SUB *AR2-0B, 11, B, A ; 6706
+ SUB *AR2-0B, 11, B, B ; 6707
+ SUB *AR2-0B, 11, B ; 6708
+ SUB *AR2-0B, 12, A, A ; 6709
+ SUB *AR2-0B, 12, A, B ; 6710
+ SUB *AR2-0B, 12, A ; 6711
+ SUB *AR2-0B, 12, B, A ; 6712
+ SUB *AR2-0B, 12, B, B ; 6713
+ SUB *AR2-0B, 12, B ; 6714
+ SUB *AR2-0B, 13, A, A ; 6715
+ SUB *AR2-0B, 13, A, B ; 6716
+ SUB *AR2-0B, 13, A ; 6717
+ SUB *AR2-0B, 13, B, A ; 6718
+ SUB *AR2-0B, 13, B, B ; 6719
+ SUB *AR2-0B, 13, B ; 6720
+ SUB *AR2-0B, 14, A, A ; 6721
+ SUB *AR2-0B, 14, A, B ; 6722
+ SUB *AR2-0B, 14, A ; 6723
+ SUB *AR2-0B, 14, B, A ; 6724
+ SUB *AR2-0B, 14, B, B ; 6725
+ SUB *AR2-0B, 14, B ; 6726
+ SUB *AR2-0B, 15, A, A ; 6727
+ SUB *AR2-0B, 15, A, B ; 6728
+ SUB *AR2-0B, 15, A ; 6729
+ SUB *AR2-0B, 15, B, A ; 6730
+ SUB *AR2-0B, 15, B, B ; 6731
+ SUB *AR2-0B, 15, B ; 6732
+ SUB *AR2-0, A, A ; 6733
+ SUB *AR2-0, A, B ; 6734
+ SUB *AR2-0, A ; 6735
+ SUB *AR2-0, B, A ; 6736
+ SUB *AR2-0, B, B ; 6737
+ SUB *AR2-0, B ; 6738
+ SUB *AR2-0, -16, A, A ; 6739
+ SUB *AR2-0, -16, A, B ; 6740
+ SUB *AR2-0, -16, A ; 6741
+ SUB *AR2-0, -16, B, A ; 6742
+ SUB *AR2-0, -16, B, B ; 6743
+ SUB *AR2-0, -16, B ; 6744
+ SUB *AR2-0, -15, A, A ; 6745
+ SUB *AR2-0, -15, A, B ; 6746
+ SUB *AR2-0, -15, A ; 6747
+ SUB *AR2-0, -15, B, A ; 6748
+ SUB *AR2-0, -15, B, B ; 6749
+ SUB *AR2-0, -15, B ; 6750
+ SUB *AR2-0, -14, A, A ; 6751
+ SUB *AR2-0, -14, A, B ; 6752
+ SUB *AR2-0, -14, A ; 6753
+ SUB *AR2-0, -14, B, A ; 6754
+ SUB *AR2-0, -14, B, B ; 6755
+ SUB *AR2-0, -14, B ; 6756
+ SUB *AR2-0, -13, A, A ; 6757
+ SUB *AR2-0, -13, A, B ; 6758
+ SUB *AR2-0, -13, A ; 6759
+ SUB *AR2-0, -13, B, A ; 6760
+ SUB *AR2-0, -13, B, B ; 6761
+ SUB *AR2-0, -13, B ; 6762
+ SUB *AR2-0, -12, A, A ; 6763
+ SUB *AR2-0, -12, A, B ; 6764
+ SUB *AR2-0, -12, A ; 6765
+ SUB *AR2-0, -12, B, A ; 6766
+ SUB *AR2-0, -12, B, B ; 6767
+ SUB *AR2-0, -12, B ; 6768
+ SUB *AR2-0, -11, A, A ; 6769
+ SUB *AR2-0, -11, A, B ; 6770
+ SUB *AR2-0, -11, A ; 6771
+ SUB *AR2-0, -11, B, A ; 6772
+ SUB *AR2-0, -11, B, B ; 6773
+ SUB *AR2-0, -11, B ; 6774
+ SUB *AR2-0, -10, A, A ; 6775
+ SUB *AR2-0, -10, A, B ; 6776
+ SUB *AR2-0, -10, A ; 6777
+ SUB *AR2-0, -10, B, A ; 6778
+ SUB *AR2-0, -10, B, B ; 6779
+ SUB *AR2-0, -10, B ; 6780
+ SUB *AR2-0, -9, A, A ; 6781
+ SUB *AR2-0, -9, A, B ; 6782
+ SUB *AR2-0, -9, A ; 6783
+ SUB *AR2-0, -9, B, A ; 6784
+ SUB *AR2-0, -9, B, B ; 6785
+ SUB *AR2-0, -9, B ; 6786
+ SUB *AR2-0, -8, A, A ; 6787
+ SUB *AR2-0, -8, A, B ; 6788
+ SUB *AR2-0, -8, A ; 6789
+ SUB *AR2-0, -8, B, A ; 6790
+ SUB *AR2-0, -8, B, B ; 6791
+ SUB *AR2-0, -8, B ; 6792
+ SUB *AR2-0, -7, A, A ; 6793
+ SUB *AR2-0, -7, A, B ; 6794
+ SUB *AR2-0, -7, A ; 6795
+ SUB *AR2-0, -7, B, A ; 6796
+ SUB *AR2-0, -7, B, B ; 6797
+ SUB *AR2-0, -7, B ; 6798
+ SUB *AR2-0, -6, A, A ; 6799
+ SUB *AR2-0, -6, A, B ; 6800
+ SUB *AR2-0, -6, A ; 6801
+ SUB *AR2-0, -6, B, A ; 6802
+ SUB *AR2-0, -6, B, B ; 6803
+ SUB *AR2-0, -6, B ; 6804
+ SUB *AR2-0, -5, A, A ; 6805
+ SUB *AR2-0, -5, A, B ; 6806
+ SUB *AR2-0, -5, A ; 6807
+ SUB *AR2-0, -5, B, A ; 6808
+ SUB *AR2-0, -5, B, B ; 6809
+ SUB *AR2-0, -5, B ; 6810
+ SUB *AR2-0, -4, A, A ; 6811
+ SUB *AR2-0, -4, A, B ; 6812
+ SUB *AR2-0, -4, A ; 6813
+ SUB *AR2-0, -4, B, A ; 6814
+ SUB *AR2-0, -4, B, B ; 6815
+ SUB *AR2-0, -4, B ; 6816
+ SUB *AR2-0, -3, A, A ; 6817
+ SUB *AR2-0, -3, A, B ; 6818
+ SUB *AR2-0, -3, A ; 6819
+ SUB *AR2-0, -3, B, A ; 6820
+ SUB *AR2-0, -3, B, B ; 6821
+ SUB *AR2-0, -3, B ; 6822
+ SUB *AR2-0, -2, A, A ; 6823
+ SUB *AR2-0, -2, A, B ; 6824
+ SUB *AR2-0, -2, A ; 6825
+ SUB *AR2-0, -2, B, A ; 6826
+ SUB *AR2-0, -2, B, B ; 6827
+ SUB *AR2-0, -2, B ; 6828
+ SUB *AR2-0, -1, A, A ; 6829
+ SUB *AR2-0, -1, A, B ; 6830
+ SUB *AR2-0, -1, A ; 6831
+ SUB *AR2-0, -1, B, A ; 6832
+ SUB *AR2-0, -1, B, B ; 6833
+ SUB *AR2-0, -1, B ; 6834
+ SUB *AR2-0, 0, A, A ; 6835
+ SUB *AR2-0, 0, A, B ; 6836
+ SUB *AR2-0, 0, A ; 6837
+ SUB *AR2-0, 0, B, A ; 6838
+ SUB *AR2-0, 0, B, B ; 6839
+ SUB *AR2-0, 0, B ; 6840
+ SUB *AR2-0, 1, A, A ; 6841
+ SUB *AR2-0, 1, A, B ; 6842
+ SUB *AR2-0, 1, A ; 6843
+ SUB *AR2-0, 1, B, A ; 6844
+ SUB *AR2-0, 1, B, B ; 6845
+ SUB *AR2-0, 1, B ; 6846
+ SUB *AR2-0, 2, A, A ; 6847
+ SUB *AR2-0, 2, A, B ; 6848
+ SUB *AR2-0, 2, A ; 6849
+ SUB *AR2-0, 2, B, A ; 6850
+ SUB *AR2-0, 2, B, B ; 6851
+ SUB *AR2-0, 2, B ; 6852
+ SUB *AR2-0, 3, A, A ; 6853
+ SUB *AR2-0, 3, A, B ; 6854
+ SUB *AR2-0, 3, A ; 6855
+ SUB *AR2-0, 3, B, A ; 6856
+ SUB *AR2-0, 3, B, B ; 6857
+ SUB *AR2-0, 3, B ; 6858
+ SUB *AR2-0, 4, A, A ; 6859
+ SUB *AR2-0, 4, A, B ; 6860
+ SUB *AR2-0, 4, A ; 6861
+ SUB *AR2-0, 4, B, A ; 6862
+ SUB *AR2-0, 4, B, B ; 6863
+ SUB *AR2-0, 4, B ; 6864
+ SUB *AR2-0, 5, A, A ; 6865
+ SUB *AR2-0, 5, A, B ; 6866
+ SUB *AR2-0, 5, A ; 6867
+ SUB *AR2-0, 5, B, A ; 6868
+ SUB *AR2-0, 5, B, B ; 6869
+ SUB *AR2-0, 5, B ; 6870
+ SUB *AR2-0, 6, A, A ; 6871
+ SUB *AR2-0, 6, A, B ; 6872
+ SUB *AR2-0, 6, A ; 6873
+ SUB *AR2-0, 6, B, A ; 6874
+ SUB *AR2-0, 6, B, B ; 6875
+ SUB *AR2-0, 6, B ; 6876
+ SUB *AR2-0, 7, A, A ; 6877
+ SUB *AR2-0, 7, A, B ; 6878
+ SUB *AR2-0, 7, A ; 6879
+ SUB *AR2-0, 7, B, A ; 6880
+ SUB *AR2-0, 7, B, B ; 6881
+ SUB *AR2-0, 7, B ; 6882
+ SUB *AR2-0, 8, A, A ; 6883
+ SUB *AR2-0, 8, A, B ; 6884
+ SUB *AR2-0, 8, A ; 6885
+ SUB *AR2-0, 8, B, A ; 6886
+ SUB *AR2-0, 8, B, B ; 6887
+ SUB *AR2-0, 8, B ; 6888
+ SUB *AR2-0, 9, A, A ; 6889
+ SUB *AR2-0, 9, A, B ; 6890
+ SUB *AR2-0, 9, A ; 6891
+ SUB *AR2-0, 9, B, A ; 6892
+ SUB *AR2-0, 9, B, B ; 6893
+ SUB *AR2-0, 9, B ; 6894
+ SUB *AR2-0, 10, A, A ; 6895
+ SUB *AR2-0, 10, A, B ; 6896
+ SUB *AR2-0, 10, A ; 6897
+ SUB *AR2-0, 10, B, A ; 6898
+ SUB *AR2-0, 10, B, B ; 6899
+ SUB *AR2-0, 10, B ; 6900
+ SUB *AR2-0, 11, A, A ; 6901
+ SUB *AR2-0, 11, A, B ; 6902
+ SUB *AR2-0, 11, A ; 6903
+ SUB *AR2-0, 11, B, A ; 6904
+ SUB *AR2-0, 11, B, B ; 6905
+ SUB *AR2-0, 11, B ; 6906
+ SUB *AR2-0, 12, A, A ; 6907
+ SUB *AR2-0, 12, A, B ; 6908
+ SUB *AR2-0, 12, A ; 6909
+ SUB *AR2-0, 12, B, A ; 6910
+ SUB *AR2-0, 12, B, B ; 6911
+ SUB *AR2-0, 12, B ; 6912
+ SUB *AR2-0, 13, A, A ; 6913
+ SUB *AR2-0, 13, A, B ; 6914
+ SUB *AR2-0, 13, A ; 6915
+ SUB *AR2-0, 13, B, A ; 6916
+ SUB *AR2-0, 13, B, B ; 6917
+ SUB *AR2-0, 13, B ; 6918
+ SUB *AR2-0, 14, A, A ; 6919
+ SUB *AR2-0, 14, A, B ; 6920
+ SUB *AR2-0, 14, A ; 6921
+ SUB *AR2-0, 14, B, A ; 6922
+ SUB *AR2-0, 14, B, B ; 6923
+ SUB *AR2-0, 14, B ; 6924
+ SUB *AR2-0, 15, A, A ; 6925
+ SUB *AR2-0, 15, A, B ; 6926
+ SUB *AR2-0, 15, A ; 6927
+ SUB *AR2-0, 15, B, A ; 6928
+ SUB *AR2-0, 15, B, B ; 6929
+ SUB *AR2-0, 15, B ; 6930
+ SUB *AR2+0, A, A ; 6931
+ SUB *AR2+0, A, B ; 6932
+ SUB *AR2+0, A ; 6933
+ SUB *AR2+0, B, A ; 6934
+ SUB *AR2+0, B, B ; 6935
+ SUB *AR2+0, B ; 6936
+ SUB *AR2+0, -16, A, A ; 6937
+ SUB *AR2+0, -16, A, B ; 6938
+ SUB *AR2+0, -16, A ; 6939
+ SUB *AR2+0, -16, B, A ; 6940
+ SUB *AR2+0, -16, B, B ; 6941
+ SUB *AR2+0, -16, B ; 6942
+ SUB *AR2+0, -15, A, A ; 6943
+ SUB *AR2+0, -15, A, B ; 6944
+ SUB *AR2+0, -15, A ; 6945
+ SUB *AR2+0, -15, B, A ; 6946
+ SUB *AR2+0, -15, B, B ; 6947
+ SUB *AR2+0, -15, B ; 6948
+ SUB *AR2+0, -14, A, A ; 6949
+ SUB *AR2+0, -14, A, B ; 6950
+ SUB *AR2+0, -14, A ; 6951
+ SUB *AR2+0, -14, B, A ; 6952
+ SUB *AR2+0, -14, B, B ; 6953
+ SUB *AR2+0, -14, B ; 6954
+ SUB *AR2+0, -13, A, A ; 6955
+ SUB *AR2+0, -13, A, B ; 6956
+ SUB *AR2+0, -13, A ; 6957
+ SUB *AR2+0, -13, B, A ; 6958
+ SUB *AR2+0, -13, B, B ; 6959
+ SUB *AR2+0, -13, B ; 6960
+ SUB *AR2+0, -12, A, A ; 6961
+ SUB *AR2+0, -12, A, B ; 6962
+ SUB *AR2+0, -12, A ; 6963
+ SUB *AR2+0, -12, B, A ; 6964
+ SUB *AR2+0, -12, B, B ; 6965
+ SUB *AR2+0, -12, B ; 6966
+ SUB *AR2+0, -11, A, A ; 6967
+ SUB *AR2+0, -11, A, B ; 6968
+ SUB *AR2+0, -11, A ; 6969
+ SUB *AR2+0, -11, B, A ; 6970
+ SUB *AR2+0, -11, B, B ; 6971
+ SUB *AR2+0, -11, B ; 6972
+ SUB *AR2+0, -10, A, A ; 6973
+ SUB *AR2+0, -10, A, B ; 6974
+ SUB *AR2+0, -10, A ; 6975
+ SUB *AR2+0, -10, B, A ; 6976
+ SUB *AR2+0, -10, B, B ; 6977
+ SUB *AR2+0, -10, B ; 6978
+ SUB *AR2+0, -9, A, A ; 6979
+ SUB *AR2+0, -9, A, B ; 6980
+ SUB *AR2+0, -9, A ; 6981
+ SUB *AR2+0, -9, B, A ; 6982
+ SUB *AR2+0, -9, B, B ; 6983
+ SUB *AR2+0, -9, B ; 6984
+ SUB *AR2+0, -8, A, A ; 6985
+ SUB *AR2+0, -8, A, B ; 6986
+ SUB *AR2+0, -8, A ; 6987
+ SUB *AR2+0, -8, B, A ; 6988
+ SUB *AR2+0, -8, B, B ; 6989
+ SUB *AR2+0, -8, B ; 6990
+ SUB *AR2+0, -7, A, A ; 6991
+ SUB *AR2+0, -7, A, B ; 6992
+ SUB *AR2+0, -7, A ; 6993
+ SUB *AR2+0, -7, B, A ; 6994
+ SUB *AR2+0, -7, B, B ; 6995
+ SUB *AR2+0, -7, B ; 6996
+ SUB *AR2+0, -6, A, A ; 6997
+ SUB *AR2+0, -6, A, B ; 6998
+ SUB *AR2+0, -6, A ; 6999
+ SUB *AR2+0, -6, B, A ; 7000
+ SUB *AR2+0, -6, B, B ; 7001
+ SUB *AR2+0, -6, B ; 7002
+ SUB *AR2+0, -5, A, A ; 7003
+ SUB *AR2+0, -5, A, B ; 7004
+ SUB *AR2+0, -5, A ; 7005
+ SUB *AR2+0, -5, B, A ; 7006
+ SUB *AR2+0, -5, B, B ; 7007
+ SUB *AR2+0, -5, B ; 7008
+ SUB *AR2+0, -4, A, A ; 7009
+ SUB *AR2+0, -4, A, B ; 7010
+ SUB *AR2+0, -4, A ; 7011
+ SUB *AR2+0, -4, B, A ; 7012
+ SUB *AR2+0, -4, B, B ; 7013
+ SUB *AR2+0, -4, B ; 7014
+ SUB *AR2+0, -3, A, A ; 7015
+ SUB *AR2+0, -3, A, B ; 7016
+ SUB *AR2+0, -3, A ; 7017
+ SUB *AR2+0, -3, B, A ; 7018
+ SUB *AR2+0, -3, B, B ; 7019
+ SUB *AR2+0, -3, B ; 7020
+ SUB *AR2+0, -2, A, A ; 7021
+ SUB *AR2+0, -2, A, B ; 7022
+ SUB *AR2+0, -2, A ; 7023
+ SUB *AR2+0, -2, B, A ; 7024
+ SUB *AR2+0, -2, B, B ; 7025
+ SUB *AR2+0, -2, B ; 7026
+ SUB *AR2+0, -1, A, A ; 7027
+ SUB *AR2+0, -1, A, B ; 7028
+ SUB *AR2+0, -1, A ; 7029
+ SUB *AR2+0, -1, B, A ; 7030
+ SUB *AR2+0, -1, B, B ; 7031
+ SUB *AR2+0, -1, B ; 7032
+ SUB *AR2+0, 0, A, A ; 7033
+ SUB *AR2+0, 0, A, B ; 7034
+ SUB *AR2+0, 0, A ; 7035
+ SUB *AR2+0, 0, B, A ; 7036
+ SUB *AR2+0, 0, B, B ; 7037
+ SUB *AR2+0, 0, B ; 7038
+ SUB *AR2+0, 1, A, A ; 7039
+ SUB *AR2+0, 1, A, B ; 7040
+ SUB *AR2+0, 1, A ; 7041
+ SUB *AR2+0, 1, B, A ; 7042
+ SUB *AR2+0, 1, B, B ; 7043
+ SUB *AR2+0, 1, B ; 7044
+ SUB *AR2+0, 2, A, A ; 7045
+ SUB *AR2+0, 2, A, B ; 7046
+ SUB *AR2+0, 2, A ; 7047
+ SUB *AR2+0, 2, B, A ; 7048
+ SUB *AR2+0, 2, B, B ; 7049
+ SUB *AR2+0, 2, B ; 7050
+ SUB *AR2+0, 3, A, A ; 7051
+ SUB *AR2+0, 3, A, B ; 7052
+ SUB *AR2+0, 3, A ; 7053
+ SUB *AR2+0, 3, B, A ; 7054
+ SUB *AR2+0, 3, B, B ; 7055
+ SUB *AR2+0, 3, B ; 7056
+ SUB *AR2+0, 4, A, A ; 7057
+ SUB *AR2+0, 4, A, B ; 7058
+ SUB *AR2+0, 4, A ; 7059
+ SUB *AR2+0, 4, B, A ; 7060
+ SUB *AR2+0, 4, B, B ; 7061
+ SUB *AR2+0, 4, B ; 7062
+ SUB *AR2+0, 5, A, A ; 7063
+ SUB *AR2+0, 5, A, B ; 7064
+ SUB *AR2+0, 5, A ; 7065
+ SUB *AR2+0, 5, B, A ; 7066
+ SUB *AR2+0, 5, B, B ; 7067
+ SUB *AR2+0, 5, B ; 7068
+ SUB *AR2+0, 6, A, A ; 7069
+ SUB *AR2+0, 6, A, B ; 7070
+ SUB *AR2+0, 6, A ; 7071
+ SUB *AR2+0, 6, B, A ; 7072
+ SUB *AR2+0, 6, B, B ; 7073
+ SUB *AR2+0, 6, B ; 7074
+ SUB *AR2+0, 7, A, A ; 7075
+ SUB *AR2+0, 7, A, B ; 7076
+ SUB *AR2+0, 7, A ; 7077
+ SUB *AR2+0, 7, B, A ; 7078
+ SUB *AR2+0, 7, B, B ; 7079
+ SUB *AR2+0, 7, B ; 7080
+ SUB *AR2+0, 8, A, A ; 7081
+ SUB *AR2+0, 8, A, B ; 7082
+ SUB *AR2+0, 8, A ; 7083
+ SUB *AR2+0, 8, B, A ; 7084
+ SUB *AR2+0, 8, B, B ; 7085
+ SUB *AR2+0, 8, B ; 7086
+ SUB *AR2+0, 9, A, A ; 7087
+ SUB *AR2+0, 9, A, B ; 7088
+ SUB *AR2+0, 9, A ; 7089
+ SUB *AR2+0, 9, B, A ; 7090
+ SUB *AR2+0, 9, B, B ; 7091
+ SUB *AR2+0, 9, B ; 7092
+ SUB *AR2+0, 10, A, A ; 7093
+ SUB *AR2+0, 10, A, B ; 7094
+ SUB *AR2+0, 10, A ; 7095
+ SUB *AR2+0, 10, B, A ; 7096
+ SUB *AR2+0, 10, B, B ; 7097
+ SUB *AR2+0, 10, B ; 7098
+ SUB *AR2+0, 11, A, A ; 7099
+ SUB *AR2+0, 11, A, B ; 7100
+ SUB *AR2+0, 11, A ; 7101
+ SUB *AR2+0, 11, B, A ; 7102
+ SUB *AR2+0, 11, B, B ; 7103
+ SUB *AR2+0, 11, B ; 7104
+ SUB *AR2+0, 12, A, A ; 7105
+ SUB *AR2+0, 12, A, B ; 7106
+ SUB *AR2+0, 12, A ; 7107
+ SUB *AR2+0, 12, B, A ; 7108
+ SUB *AR2+0, 12, B, B ; 7109
+ SUB *AR2+0, 12, B ; 7110
+ SUB *AR2+0, 13, A, A ; 7111
+ SUB *AR2+0, 13, A, B ; 7112
+ SUB *AR2+0, 13, A ; 7113
+ SUB *AR2+0, 13, B, A ; 7114
+ SUB *AR2+0, 13, B, B ; 7115
+ SUB *AR2+0, 13, B ; 7116
+ SUB *AR2+0, 14, A, A ; 7117
+ SUB *AR2+0, 14, A, B ; 7118
+ SUB *AR2+0, 14, A ; 7119
+ SUB *AR2+0, 14, B, A ; 7120
+ SUB *AR2+0, 14, B, B ; 7121
+ SUB *AR2+0, 14, B ; 7122
+ SUB *AR2+0, 15, A, A ; 7123
+ SUB *AR2+0, 15, A, B ; 7124
+ SUB *AR2+0, 15, A ; 7125
+ SUB *AR2+0, 15, B, A ; 7126
+ SUB *AR2+0, 15, B, B ; 7127
+ SUB *AR2+0, 15, B ; 7128
+ SUB *AR2+0B, A, A ; 7129
+ SUB *AR2+0B, A, B ; 7130
+ SUB *AR2+0B, A ; 7131
+ SUB *AR2+0B, B, A ; 7132
+ SUB *AR2+0B, B, B ; 7133
+ SUB *AR2+0B, B ; 7134
+ SUB *AR2+0B, -16, A, A ; 7135
+ SUB *AR2+0B, -16, A, B ; 7136
+ SUB *AR2+0B, -16, A ; 7137
+ SUB *AR2+0B, -16, B, A ; 7138
+ SUB *AR2+0B, -16, B, B ; 7139
+ SUB *AR2+0B, -16, B ; 7140
+ SUB *AR2+0B, -15, A, A ; 7141
+ SUB *AR2+0B, -15, A, B ; 7142
+ SUB *AR2+0B, -15, A ; 7143
+ SUB *AR2+0B, -15, B, A ; 7144
+ SUB *AR2+0B, -15, B, B ; 7145
+ SUB *AR2+0B, -15, B ; 7146
+ SUB *AR2+0B, -14, A, A ; 7147
+ SUB *AR2+0B, -14, A, B ; 7148
+ SUB *AR2+0B, -14, A ; 7149
+ SUB *AR2+0B, -14, B, A ; 7150
+ SUB *AR2+0B, -14, B, B ; 7151
+ SUB *AR2+0B, -14, B ; 7152
+ SUB *AR2+0B, -13, A, A ; 7153
+ SUB *AR2+0B, -13, A, B ; 7154
+ SUB *AR2+0B, -13, A ; 7155
+ SUB *AR2+0B, -13, B, A ; 7156
+ SUB *AR2+0B, -13, B, B ; 7157
+ SUB *AR2+0B, -13, B ; 7158
+ SUB *AR2+0B, -12, A, A ; 7159
+ SUB *AR2+0B, -12, A, B ; 7160
+ SUB *AR2+0B, -12, A ; 7161
+ SUB *AR2+0B, -12, B, A ; 7162
+ SUB *AR2+0B, -12, B, B ; 7163
+ SUB *AR2+0B, -12, B ; 7164
+ SUB *AR2+0B, -11, A, A ; 7165
+ SUB *AR2+0B, -11, A, B ; 7166
+ SUB *AR2+0B, -11, A ; 7167
+ SUB *AR2+0B, -11, B, A ; 7168
+ SUB *AR2+0B, -11, B, B ; 7169
+ SUB *AR2+0B, -11, B ; 7170
+ SUB *AR2+0B, -10, A, A ; 7171
+ SUB *AR2+0B, -10, A, B ; 7172
+ SUB *AR2+0B, -10, A ; 7173
+ SUB *AR2+0B, -10, B, A ; 7174
+ SUB *AR2+0B, -10, B, B ; 7175
+ SUB *AR2+0B, -10, B ; 7176
+ SUB *AR2+0B, -9, A, A ; 7177
+ SUB *AR2+0B, -9, A, B ; 7178
+ SUB *AR2+0B, -9, A ; 7179
+ SUB *AR2+0B, -9, B, A ; 7180
+ SUB *AR2+0B, -9, B, B ; 7181
+ SUB *AR2+0B, -9, B ; 7182
+ SUB *AR2+0B, -8, A, A ; 7183
+ SUB *AR2+0B, -8, A, B ; 7184
+ SUB *AR2+0B, -8, A ; 7185
+ SUB *AR2+0B, -8, B, A ; 7186
+ SUB *AR2+0B, -8, B, B ; 7187
+ SUB *AR2+0B, -8, B ; 7188
+ SUB *AR2+0B, -7, A, A ; 7189
+ SUB *AR2+0B, -7, A, B ; 7190
+ SUB *AR2+0B, -7, A ; 7191
+ SUB *AR2+0B, -7, B, A ; 7192
+ SUB *AR2+0B, -7, B, B ; 7193
+ SUB *AR2+0B, -7, B ; 7194
+ SUB *AR2+0B, -6, A, A ; 7195
+ SUB *AR2+0B, -6, A, B ; 7196
+ SUB *AR2+0B, -6, A ; 7197
+ SUB *AR2+0B, -6, B, A ; 7198
+ SUB *AR2+0B, -6, B, B ; 7199
+ SUB *AR2+0B, -6, B ; 7200
+ SUB *AR2+0B, -5, A, A ; 7201
+ SUB *AR2+0B, -5, A, B ; 7202
+ SUB *AR2+0B, -5, A ; 7203
+ SUB *AR2+0B, -5, B, A ; 7204
+ SUB *AR2+0B, -5, B, B ; 7205
+ SUB *AR2+0B, -5, B ; 7206
+ SUB *AR2+0B, -4, A, A ; 7207
+ SUB *AR2+0B, -4, A, B ; 7208
+ SUB *AR2+0B, -4, A ; 7209
+ SUB *AR2+0B, -4, B, A ; 7210
+ SUB *AR2+0B, -4, B, B ; 7211
+ SUB *AR2+0B, -4, B ; 7212
+ SUB *AR2+0B, -3, A, A ; 7213
+ SUB *AR2+0B, -3, A, B ; 7214
+ SUB *AR2+0B, -3, A ; 7215
+ SUB *AR2+0B, -3, B, A ; 7216
+ SUB *AR2+0B, -3, B, B ; 7217
+ SUB *AR2+0B, -3, B ; 7218
+ SUB *AR2+0B, -2, A, A ; 7219
+ SUB *AR2+0B, -2, A, B ; 7220
+ SUB *AR2+0B, -2, A ; 7221
+ SUB *AR2+0B, -2, B, A ; 7222
+ SUB *AR2+0B, -2, B, B ; 7223
+ SUB *AR2+0B, -2, B ; 7224
+ SUB *AR2+0B, -1, A, A ; 7225
+ SUB *AR2+0B, -1, A, B ; 7226
+ SUB *AR2+0B, -1, A ; 7227
+ SUB *AR2+0B, -1, B, A ; 7228
+ SUB *AR2+0B, -1, B, B ; 7229
+ SUB *AR2+0B, -1, B ; 7230
+ SUB *AR2+0B, 0, A, A ; 7231
+ SUB *AR2+0B, 0, A, B ; 7232
+ SUB *AR2+0B, 0, A ; 7233
+ SUB *AR2+0B, 0, B, A ; 7234
+ SUB *AR2+0B, 0, B, B ; 7235
+ SUB *AR2+0B, 0, B ; 7236
+ SUB *AR2+0B, 1, A, A ; 7237
+ SUB *AR2+0B, 1, A, B ; 7238
+ SUB *AR2+0B, 1, A ; 7239
+ SUB *AR2+0B, 1, B, A ; 7240
+ SUB *AR2+0B, 1, B, B ; 7241
+ SUB *AR2+0B, 1, B ; 7242
+ SUB *AR2+0B, 2, A, A ; 7243
+ SUB *AR2+0B, 2, A, B ; 7244
+ SUB *AR2+0B, 2, A ; 7245
+ SUB *AR2+0B, 2, B, A ; 7246
+ SUB *AR2+0B, 2, B, B ; 7247
+ SUB *AR2+0B, 2, B ; 7248
+ SUB *AR2+0B, 3, A, A ; 7249
+ SUB *AR2+0B, 3, A, B ; 7250
+ SUB *AR2+0B, 3, A ; 7251
+ SUB *AR2+0B, 3, B, A ; 7252
+ SUB *AR2+0B, 3, B, B ; 7253
+ SUB *AR2+0B, 3, B ; 7254
+ SUB *AR2+0B, 4, A, A ; 7255
+ SUB *AR2+0B, 4, A, B ; 7256
+ SUB *AR2+0B, 4, A ; 7257
+ SUB *AR2+0B, 4, B, A ; 7258
+ SUB *AR2+0B, 4, B, B ; 7259
+ SUB *AR2+0B, 4, B ; 7260
+ SUB *AR2+0B, 5, A, A ; 7261
+ SUB *AR2+0B, 5, A, B ; 7262
+ SUB *AR2+0B, 5, A ; 7263
+ SUB *AR2+0B, 5, B, A ; 7264
+ SUB *AR2+0B, 5, B, B ; 7265
+ SUB *AR2+0B, 5, B ; 7266
+ SUB *AR2+0B, 6, A, A ; 7267
+ SUB *AR2+0B, 6, A, B ; 7268
+ SUB *AR2+0B, 6, A ; 7269
+ SUB *AR2+0B, 6, B, A ; 7270
+ SUB *AR2+0B, 6, B, B ; 7271
+ SUB *AR2+0B, 6, B ; 7272
+ SUB *AR2+0B, 7, A, A ; 7273
+ SUB *AR2+0B, 7, A, B ; 7274
+ SUB *AR2+0B, 7, A ; 7275
+ SUB *AR2+0B, 7, B, A ; 7276
+ SUB *AR2+0B, 7, B, B ; 7277
+ SUB *AR2+0B, 7, B ; 7278
+ SUB *AR2+0B, 8, A, A ; 7279
+ SUB *AR2+0B, 8, A, B ; 7280
+ SUB *AR2+0B, 8, A ; 7281
+ SUB *AR2+0B, 8, B, A ; 7282
+ SUB *AR2+0B, 8, B, B ; 7283
+ SUB *AR2+0B, 8, B ; 7284
+ SUB *AR2+0B, 9, A, A ; 7285
+ SUB *AR2+0B, 9, A, B ; 7286
+ SUB *AR2+0B, 9, A ; 7287
+ SUB *AR2+0B, 9, B, A ; 7288
+ SUB *AR2+0B, 9, B, B ; 7289
+ SUB *AR2+0B, 9, B ; 7290
+ SUB *AR2+0B, 10, A, A ; 7291
+ SUB *AR2+0B, 10, A, B ; 7292
+ SUB *AR2+0B, 10, A ; 7293
+ SUB *AR2+0B, 10, B, A ; 7294
+ SUB *AR2+0B, 10, B, B ; 7295
+ SUB *AR2+0B, 10, B ; 7296
+ SUB *AR2+0B, 11, A, A ; 7297
+ SUB *AR2+0B, 11, A, B ; 7298
+ SUB *AR2+0B, 11, A ; 7299
+ SUB *AR2+0B, 11, B, A ; 7300
+ SUB *AR2+0B, 11, B, B ; 7301
+ SUB *AR2+0B, 11, B ; 7302
+ SUB *AR2+0B, 12, A, A ; 7303
+ SUB *AR2+0B, 12, A, B ; 7304
+ SUB *AR2+0B, 12, A ; 7305
+ SUB *AR2+0B, 12, B, A ; 7306
+ SUB *AR2+0B, 12, B, B ; 7307
+ SUB *AR2+0B, 12, B ; 7308
+ SUB *AR2+0B, 13, A, A ; 7309
+ SUB *AR2+0B, 13, A, B ; 7310
+ SUB *AR2+0B, 13, A ; 7311
+ SUB *AR2+0B, 13, B, A ; 7312
+ SUB *AR2+0B, 13, B, B ; 7313
+ SUB *AR2+0B, 13, B ; 7314
+ SUB *AR2+0B, 14, A, A ; 7315
+ SUB *AR2+0B, 14, A, B ; 7316
+ SUB *AR2+0B, 14, A ; 7317
+ SUB *AR2+0B, 14, B, A ; 7318
+ SUB *AR2+0B, 14, B, B ; 7319
+ SUB *AR2+0B, 14, B ; 7320
+ SUB *AR2+0B, 15, A, A ; 7321
+ SUB *AR2+0B, 15, A, B ; 7322
+ SUB *AR2+0B, 15, A ; 7323
+ SUB *AR2+0B, 15, B, A ; 7324
+ SUB *AR2+0B, 15, B, B ; 7325
+ SUB *AR2+0B, 15, B ; 7326
+ SUB *AR2-%, A, A ; 7327
+ SUB *AR2-%, A, B ; 7328
+ SUB *AR2-%, A ; 7329
+ SUB *AR2-%, B, A ; 7330
+ SUB *AR2-%, B, B ; 7331
+ SUB *AR2-%, B ; 7332
+ SUB *AR2-%, -16, A, A ; 7333
+ SUB *AR2-%, -16, A, B ; 7334
+ SUB *AR2-%, -16, A ; 7335
+ SUB *AR2-%, -16, B, A ; 7336
+ SUB *AR2-%, -16, B, B ; 7337
+ SUB *AR2-%, -16, B ; 7338
+ SUB *AR2-%, -15, A, A ; 7339
+ SUB *AR2-%, -15, A, B ; 7340
+ SUB *AR2-%, -15, A ; 7341
+ SUB *AR2-%, -15, B, A ; 7342
+ SUB *AR2-%, -15, B, B ; 7343
+ SUB *AR2-%, -15, B ; 7344
+ SUB *AR2-%, -14, A, A ; 7345
+ SUB *AR2-%, -14, A, B ; 7346
+ SUB *AR2-%, -14, A ; 7347
+ SUB *AR2-%, -14, B, A ; 7348
+ SUB *AR2-%, -14, B, B ; 7349
+ SUB *AR2-%, -14, B ; 7350
+ SUB *AR2-%, -13, A, A ; 7351
+ SUB *AR2-%, -13, A, B ; 7352
+ SUB *AR2-%, -13, A ; 7353
+ SUB *AR2-%, -13, B, A ; 7354
+ SUB *AR2-%, -13, B, B ; 7355
+ SUB *AR2-%, -13, B ; 7356
+ SUB *AR2-%, -12, A, A ; 7357
+ SUB *AR2-%, -12, A, B ; 7358
+ SUB *AR2-%, -12, A ; 7359
+ SUB *AR2-%, -12, B, A ; 7360
+ SUB *AR2-%, -12, B, B ; 7361
+ SUB *AR2-%, -12, B ; 7362
+ SUB *AR2-%, -11, A, A ; 7363
+ SUB *AR2-%, -11, A, B ; 7364
+ SUB *AR2-%, -11, A ; 7365
+ SUB *AR2-%, -11, B, A ; 7366
+ SUB *AR2-%, -11, B, B ; 7367
+ SUB *AR2-%, -11, B ; 7368
+ SUB *AR2-%, -10, A, A ; 7369
+ SUB *AR2-%, -10, A, B ; 7370
+ SUB *AR2-%, -10, A ; 7371
+ SUB *AR2-%, -10, B, A ; 7372
+ SUB *AR2-%, -10, B, B ; 7373
+ SUB *AR2-%, -10, B ; 7374
+ SUB *AR2-%, -9, A, A ; 7375
+ SUB *AR2-%, -9, A, B ; 7376
+ SUB *AR2-%, -9, A ; 7377
+ SUB *AR2-%, -9, B, A ; 7378
+ SUB *AR2-%, -9, B, B ; 7379
+ SUB *AR2-%, -9, B ; 7380
+ SUB *AR2-%, -8, A, A ; 7381
+ SUB *AR2-%, -8, A, B ; 7382
+ SUB *AR2-%, -8, A ; 7383
+ SUB *AR2-%, -8, B, A ; 7384
+ SUB *AR2-%, -8, B, B ; 7385
+ SUB *AR2-%, -8, B ; 7386
+ SUB *AR2-%, -7, A, A ; 7387
+ SUB *AR2-%, -7, A, B ; 7388
+ SUB *AR2-%, -7, A ; 7389
+ SUB *AR2-%, -7, B, A ; 7390
+ SUB *AR2-%, -7, B, B ; 7391
+ SUB *AR2-%, -7, B ; 7392
+ SUB *AR2-%, -6, A, A ; 7393
+ SUB *AR2-%, -6, A, B ; 7394
+ SUB *AR2-%, -6, A ; 7395
+ SUB *AR2-%, -6, B, A ; 7396
+ SUB *AR2-%, -6, B, B ; 7397
+ SUB *AR2-%, -6, B ; 7398
+ SUB *AR2-%, -5, A, A ; 7399
+ SUB *AR2-%, -5, A, B ; 7400
+ SUB *AR2-%, -5, A ; 7401
+ SUB *AR2-%, -5, B, A ; 7402
+ SUB *AR2-%, -5, B, B ; 7403
+ SUB *AR2-%, -5, B ; 7404
+ SUB *AR2-%, -4, A, A ; 7405
+ SUB *AR2-%, -4, A, B ; 7406
+ SUB *AR2-%, -4, A ; 7407
+ SUB *AR2-%, -4, B, A ; 7408
+ SUB *AR2-%, -4, B, B ; 7409
+ SUB *AR2-%, -4, B ; 7410
+ SUB *AR2-%, -3, A, A ; 7411
+ SUB *AR2-%, -3, A, B ; 7412
+ SUB *AR2-%, -3, A ; 7413
+ SUB *AR2-%, -3, B, A ; 7414
+ SUB *AR2-%, -3, B, B ; 7415
+ SUB *AR2-%, -3, B ; 7416
+ SUB *AR2-%, -2, A, A ; 7417
+ SUB *AR2-%, -2, A, B ; 7418
+ SUB *AR2-%, -2, A ; 7419
+ SUB *AR2-%, -2, B, A ; 7420
+ SUB *AR2-%, -2, B, B ; 7421
+ SUB *AR2-%, -2, B ; 7422
+ SUB *AR2-%, -1, A, A ; 7423
+ SUB *AR2-%, -1, A, B ; 7424
+ SUB *AR2-%, -1, A ; 7425
+ SUB *AR2-%, -1, B, A ; 7426
+ SUB *AR2-%, -1, B, B ; 7427
+ SUB *AR2-%, -1, B ; 7428
+ SUB *AR2-%, 0, A, A ; 7429
+ SUB *AR2-%, 0, A, B ; 7430
+ SUB *AR2-%, 0, A ; 7431
+ SUB *AR2-%, 0, B, A ; 7432
+ SUB *AR2-%, 0, B, B ; 7433
+ SUB *AR2-%, 0, B ; 7434
+ SUB *AR2-%, 1, A, A ; 7435
+ SUB *AR2-%, 1, A, B ; 7436
+ SUB *AR2-%, 1, A ; 7437
+ SUB *AR2-%, 1, B, A ; 7438
+ SUB *AR2-%, 1, B, B ; 7439
+ SUB *AR2-%, 1, B ; 7440
+ SUB *AR2-%, 2, A, A ; 7441
+ SUB *AR2-%, 2, A, B ; 7442
+ SUB *AR2-%, 2, A ; 7443
+ SUB *AR2-%, 2, B, A ; 7444
+ SUB *AR2-%, 2, B, B ; 7445
+ SUB *AR2-%, 2, B ; 7446
+ SUB *AR2-%, 3, A, A ; 7447
+ SUB *AR2-%, 3, A, B ; 7448
+ SUB *AR2-%, 3, A ; 7449
+ SUB *AR2-%, 3, B, A ; 7450
+ SUB *AR2-%, 3, B, B ; 7451
+ SUB *AR2-%, 3, B ; 7452
+ SUB *AR2-%, 4, A, A ; 7453
+ SUB *AR2-%, 4, A, B ; 7454
+ SUB *AR2-%, 4, A ; 7455
+ SUB *AR2-%, 4, B, A ; 7456
+ SUB *AR2-%, 4, B, B ; 7457
+ SUB *AR2-%, 4, B ; 7458
+ SUB *AR2-%, 5, A, A ; 7459
+ SUB *AR2-%, 5, A, B ; 7460
+ SUB *AR2-%, 5, A ; 7461
+ SUB *AR2-%, 5, B, A ; 7462
+ SUB *AR2-%, 5, B, B ; 7463
+ SUB *AR2-%, 5, B ; 7464
+ SUB *AR2-%, 6, A, A ; 7465
+ SUB *AR2-%, 6, A, B ; 7466
+ SUB *AR2-%, 6, A ; 7467
+ SUB *AR2-%, 6, B, A ; 7468
+ SUB *AR2-%, 6, B, B ; 7469
+ SUB *AR2-%, 6, B ; 7470
+ SUB *AR2-%, 7, A, A ; 7471
+ SUB *AR2-%, 7, A, B ; 7472
+ SUB *AR2-%, 7, A ; 7473
+ SUB *AR2-%, 7, B, A ; 7474
+ SUB *AR2-%, 7, B, B ; 7475
+ SUB *AR2-%, 7, B ; 7476
+ SUB *AR2-%, 8, A, A ; 7477
+ SUB *AR2-%, 8, A, B ; 7478
+ SUB *AR2-%, 8, A ; 7479
+ SUB *AR2-%, 8, B, A ; 7480
+ SUB *AR2-%, 8, B, B ; 7481
+ SUB *AR2-%, 8, B ; 7482
+ SUB *AR2-%, 9, A, A ; 7483
+ SUB *AR2-%, 9, A, B ; 7484
+ SUB *AR2-%, 9, A ; 7485
+ SUB *AR2-%, 9, B, A ; 7486
+ SUB *AR2-%, 9, B, B ; 7487
+ SUB *AR2-%, 9, B ; 7488
+ SUB *AR2-%, 10, A, A ; 7489
+ SUB *AR2-%, 10, A, B ; 7490
+ SUB *AR2-%, 10, A ; 7491
+ SUB *AR2-%, 10, B, A ; 7492
+ SUB *AR2-%, 10, B, B ; 7493
+ SUB *AR2-%, 10, B ; 7494
+ SUB *AR2-%, 11, A, A ; 7495
+ SUB *AR2-%, 11, A, B ; 7496
+ SUB *AR2-%, 11, A ; 7497
+ SUB *AR2-%, 11, B, A ; 7498
+ SUB *AR2-%, 11, B, B ; 7499
+ SUB *AR2-%, 11, B ; 7500
+ SUB *AR2-%, 12, A, A ; 7501
+ SUB *AR2-%, 12, A, B ; 7502
+ SUB *AR2-%, 12, A ; 7503
+ SUB *AR2-%, 12, B, A ; 7504
+ SUB *AR2-%, 12, B, B ; 7505
+ SUB *AR2-%, 12, B ; 7506
+ SUB *AR2-%, 13, A, A ; 7507
+ SUB *AR2-%, 13, A, B ; 7508
+ SUB *AR2-%, 13, A ; 7509
+ SUB *AR2-%, 13, B, A ; 7510
+ SUB *AR2-%, 13, B, B ; 7511
+ SUB *AR2-%, 13, B ; 7512
+ SUB *AR2-%, 14, A, A ; 7513
+ SUB *AR2-%, 14, A, B ; 7514
+ SUB *AR2-%, 14, A ; 7515
+ SUB *AR2-%, 14, B, A ; 7516
+ SUB *AR2-%, 14, B, B ; 7517
+ SUB *AR2-%, 14, B ; 7518
+ SUB *AR2-%, 15, A, A ; 7519
+ SUB *AR2-%, 15, A, B ; 7520
+ SUB *AR2-%, 15, A ; 7521
+ SUB *AR2-%, 15, B, A ; 7522
+ SUB *AR2-%, 15, B, B ; 7523
+ SUB *AR2-%, 15, B ; 7524
+ SUB *AR2-0%, A, A ; 7525
+ SUB *AR2-0%, A, B ; 7526
+ SUB *AR2-0%, A ; 7527
+ SUB *AR2-0%, B, A ; 7528
+ SUB *AR2-0%, B, B ; 7529
+ SUB *AR2-0%, B ; 7530
+ SUB *AR2-0%, -16, A, A ; 7531
+ SUB *AR2-0%, -16, A, B ; 7532
+ SUB *AR2-0%, -16, A ; 7533
+ SUB *AR2-0%, -16, B, A ; 7534
+ SUB *AR2-0%, -16, B, B ; 7535
+ SUB *AR2-0%, -16, B ; 7536
+ SUB *AR2-0%, -15, A, A ; 7537
+ SUB *AR2-0%, -15, A, B ; 7538
+ SUB *AR2-0%, -15, A ; 7539
+ SUB *AR2-0%, -15, B, A ; 7540
+ SUB *AR2-0%, -15, B, B ; 7541
+ SUB *AR2-0%, -15, B ; 7542
+ SUB *AR2-0%, -14, A, A ; 7543
+ SUB *AR2-0%, -14, A, B ; 7544
+ SUB *AR2-0%, -14, A ; 7545
+ SUB *AR2-0%, -14, B, A ; 7546
+ SUB *AR2-0%, -14, B, B ; 7547
+ SUB *AR2-0%, -14, B ; 7548
+ SUB *AR2-0%, -13, A, A ; 7549
+ SUB *AR2-0%, -13, A, B ; 7550
+ SUB *AR2-0%, -13, A ; 7551
+ SUB *AR2-0%, -13, B, A ; 7552
+ SUB *AR2-0%, -13, B, B ; 7553
+ SUB *AR2-0%, -13, B ; 7554
+ SUB *AR2-0%, -12, A, A ; 7555
+ SUB *AR2-0%, -12, A, B ; 7556
+ SUB *AR2-0%, -12, A ; 7557
+ SUB *AR2-0%, -12, B, A ; 7558
+ SUB *AR2-0%, -12, B, B ; 7559
+ SUB *AR2-0%, -12, B ; 7560
+ SUB *AR2-0%, -11, A, A ; 7561
+ SUB *AR2-0%, -11, A, B ; 7562
+ SUB *AR2-0%, -11, A ; 7563
+ SUB *AR2-0%, -11, B, A ; 7564
+ SUB *AR2-0%, -11, B, B ; 7565
+ SUB *AR2-0%, -11, B ; 7566
+ SUB *AR2-0%, -10, A, A ; 7567
+ SUB *AR2-0%, -10, A, B ; 7568
+ SUB *AR2-0%, -10, A ; 7569
+ SUB *AR2-0%, -10, B, A ; 7570
+ SUB *AR2-0%, -10, B, B ; 7571
+ SUB *AR2-0%, -10, B ; 7572
+ SUB *AR2-0%, -9, A, A ; 7573
+ SUB *AR2-0%, -9, A, B ; 7574
+ SUB *AR2-0%, -9, A ; 7575
+ SUB *AR2-0%, -9, B, A ; 7576
+ SUB *AR2-0%, -9, B, B ; 7577
+ SUB *AR2-0%, -9, B ; 7578
+ SUB *AR2-0%, -8, A, A ; 7579
+ SUB *AR2-0%, -8, A, B ; 7580
+ SUB *AR2-0%, -8, A ; 7581
+ SUB *AR2-0%, -8, B, A ; 7582
+ SUB *AR2-0%, -8, B, B ; 7583
+ SUB *AR2-0%, -8, B ; 7584
+ SUB *AR2-0%, -7, A, A ; 7585
+ SUB *AR2-0%, -7, A, B ; 7586
+ SUB *AR2-0%, -7, A ; 7587
+ SUB *AR2-0%, -7, B, A ; 7588
+ SUB *AR2-0%, -7, B, B ; 7589
+ SUB *AR2-0%, -7, B ; 7590
+ SUB *AR2-0%, -6, A, A ; 7591
+ SUB *AR2-0%, -6, A, B ; 7592
+ SUB *AR2-0%, -6, A ; 7593
+ SUB *AR2-0%, -6, B, A ; 7594
+ SUB *AR2-0%, -6, B, B ; 7595
+ SUB *AR2-0%, -6, B ; 7596
+ SUB *AR2-0%, -5, A, A ; 7597
+ SUB *AR2-0%, -5, A, B ; 7598
+ SUB *AR2-0%, -5, A ; 7599
+ SUB *AR2-0%, -5, B, A ; 7600
+ SUB *AR2-0%, -5, B, B ; 7601
+ SUB *AR2-0%, -5, B ; 7602
+ SUB *AR2-0%, -4, A, A ; 7603
+ SUB *AR2-0%, -4, A, B ; 7604
+ SUB *AR2-0%, -4, A ; 7605
+ SUB *AR2-0%, -4, B, A ; 7606
+ SUB *AR2-0%, -4, B, B ; 7607
+ SUB *AR2-0%, -4, B ; 7608
+ SUB *AR2-0%, -3, A, A ; 7609
+ SUB *AR2-0%, -3, A, B ; 7610
+ SUB *AR2-0%, -3, A ; 7611
+ SUB *AR2-0%, -3, B, A ; 7612
+ SUB *AR2-0%, -3, B, B ; 7613
+ SUB *AR2-0%, -3, B ; 7614
+ SUB *AR2-0%, -2, A, A ; 7615
+ SUB *AR2-0%, -2, A, B ; 7616
+ SUB *AR2-0%, -2, A ; 7617
+ SUB *AR2-0%, -2, B, A ; 7618
+ SUB *AR2-0%, -2, B, B ; 7619
+ SUB *AR2-0%, -2, B ; 7620
+ SUB *AR2-0%, -1, A, A ; 7621
+ SUB *AR2-0%, -1, A, B ; 7622
+ SUB *AR2-0%, -1, A ; 7623
+ SUB *AR2-0%, -1, B, A ; 7624
+ SUB *AR2-0%, -1, B, B ; 7625
+ SUB *AR2-0%, -1, B ; 7626
+ SUB *AR2-0%, 0, A, A ; 7627
+ SUB *AR2-0%, 0, A, B ; 7628
+ SUB *AR2-0%, 0, A ; 7629
+ SUB *AR2-0%, 0, B, A ; 7630
+ SUB *AR2-0%, 0, B, B ; 7631
+ SUB *AR2-0%, 0, B ; 7632
+ SUB *AR2-0%, 1, A, A ; 7633
+ SUB *AR2-0%, 1, A, B ; 7634
+ SUB *AR2-0%, 1, A ; 7635
+ SUB *AR2-0%, 1, B, A ; 7636
+ SUB *AR2-0%, 1, B, B ; 7637
+ SUB *AR2-0%, 1, B ; 7638
+ SUB *AR2-0%, 2, A, A ; 7639
+ SUB *AR2-0%, 2, A, B ; 7640
+ SUB *AR2-0%, 2, A ; 7641
+ SUB *AR2-0%, 2, B, A ; 7642
+ SUB *AR2-0%, 2, B, B ; 7643
+ SUB *AR2-0%, 2, B ; 7644
+ SUB *AR2-0%, 3, A, A ; 7645
+ SUB *AR2-0%, 3, A, B ; 7646
+ SUB *AR2-0%, 3, A ; 7647
+ SUB *AR2-0%, 3, B, A ; 7648
+ SUB *AR2-0%, 3, B, B ; 7649
+ SUB *AR2-0%, 3, B ; 7650
+ SUB *AR2-0%, 4, A, A ; 7651
+ SUB *AR2-0%, 4, A, B ; 7652
+ SUB *AR2-0%, 4, A ; 7653
+ SUB *AR2-0%, 4, B, A ; 7654
+ SUB *AR2-0%, 4, B, B ; 7655
+ SUB *AR2-0%, 4, B ; 7656
+ SUB *AR2-0%, 5, A, A ; 7657
+ SUB *AR2-0%, 5, A, B ; 7658
+ SUB *AR2-0%, 5, A ; 7659
+ SUB *AR2-0%, 5, B, A ; 7660
+ SUB *AR2-0%, 5, B, B ; 7661
+ SUB *AR2-0%, 5, B ; 7662
+ SUB *AR2-0%, 6, A, A ; 7663
+ SUB *AR2-0%, 6, A, B ; 7664
+ SUB *AR2-0%, 6, A ; 7665
+ SUB *AR2-0%, 6, B, A ; 7666
+ SUB *AR2-0%, 6, B, B ; 7667
+ SUB *AR2-0%, 6, B ; 7668
+ SUB *AR2-0%, 7, A, A ; 7669
+ SUB *AR2-0%, 7, A, B ; 7670
+ SUB *AR2-0%, 7, A ; 7671
+ SUB *AR2-0%, 7, B, A ; 7672
+ SUB *AR2-0%, 7, B, B ; 7673
+ SUB *AR2-0%, 7, B ; 7674
+ SUB *AR2-0%, 8, A, A ; 7675
+ SUB *AR2-0%, 8, A, B ; 7676
+ SUB *AR2-0%, 8, A ; 7677
+ SUB *AR2-0%, 8, B, A ; 7678
+ SUB *AR2-0%, 8, B, B ; 7679
+ SUB *AR2-0%, 8, B ; 7680
+ SUB *AR2-0%, 9, A, A ; 7681
+ SUB *AR2-0%, 9, A, B ; 7682
+ SUB *AR2-0%, 9, A ; 7683
+ SUB *AR2-0%, 9, B, A ; 7684
+ SUB *AR2-0%, 9, B, B ; 7685
+ SUB *AR2-0%, 9, B ; 7686
+ SUB *AR2-0%, 10, A, A ; 7687
+ SUB *AR2-0%, 10, A, B ; 7688
+ SUB *AR2-0%, 10, A ; 7689
+ SUB *AR2-0%, 10, B, A ; 7690
+ SUB *AR2-0%, 10, B, B ; 7691
+ SUB *AR2-0%, 10, B ; 7692
+ SUB *AR2-0%, 11, A, A ; 7693
+ SUB *AR2-0%, 11, A, B ; 7694
+ SUB *AR2-0%, 11, A ; 7695
+ SUB *AR2-0%, 11, B, A ; 7696
+ SUB *AR2-0%, 11, B, B ; 7697
+ SUB *AR2-0%, 11, B ; 7698
+ SUB *AR2-0%, 12, A, A ; 7699
+ SUB *AR2-0%, 12, A, B ; 7700
+ SUB *AR2-0%, 12, A ; 7701
+ SUB *AR2-0%, 12, B, A ; 7702
+ SUB *AR2-0%, 12, B, B ; 7703
+ SUB *AR2-0%, 12, B ; 7704
+ SUB *AR2-0%, 13, A, A ; 7705
+ SUB *AR2-0%, 13, A, B ; 7706
+ SUB *AR2-0%, 13, A ; 7707
+ SUB *AR2-0%, 13, B, A ; 7708
+ SUB *AR2-0%, 13, B, B ; 7709
+ SUB *AR2-0%, 13, B ; 7710
+ SUB *AR2-0%, 14, A, A ; 7711
+ SUB *AR2-0%, 14, A, B ; 7712
+ SUB *AR2-0%, 14, A ; 7713
+ SUB *AR2-0%, 14, B, A ; 7714
+ SUB *AR2-0%, 14, B, B ; 7715
+ SUB *AR2-0%, 14, B ; 7716
+ SUB *AR2-0%, 15, A, A ; 7717
+ SUB *AR2-0%, 15, A, B ; 7718
+ SUB *AR2-0%, 15, A ; 7719
+ SUB *AR2-0%, 15, B, A ; 7720
+ SUB *AR2-0%, 15, B, B ; 7721
+ SUB *AR2-0%, 15, B ; 7722
+ SUB *AR2+%, A, A ; 7723
+ SUB *AR2+%, A, B ; 7724
+ SUB *AR2+%, A ; 7725
+ SUB *AR2+%, B, A ; 7726
+ SUB *AR2+%, B, B ; 7727
+ SUB *AR2+%, B ; 7728
+ SUB *AR2+%, -16, A, A ; 7729
+ SUB *AR2+%, -16, A, B ; 7730
+ SUB *AR2+%, -16, A ; 7731
+ SUB *AR2+%, -16, B, A ; 7732
+ SUB *AR2+%, -16, B, B ; 7733
+ SUB *AR2+%, -16, B ; 7734
+ SUB *AR2+%, -15, A, A ; 7735
+ SUB *AR2+%, -15, A, B ; 7736
+ SUB *AR2+%, -15, A ; 7737
+ SUB *AR2+%, -15, B, A ; 7738
+ SUB *AR2+%, -15, B, B ; 7739
+ SUB *AR2+%, -15, B ; 7740
+ SUB *AR2+%, -14, A, A ; 7741
+ SUB *AR2+%, -14, A, B ; 7742
+ SUB *AR2+%, -14, A ; 7743
+ SUB *AR2+%, -14, B, A ; 7744
+ SUB *AR2+%, -14, B, B ; 7745
+ SUB *AR2+%, -14, B ; 7746
+ SUB *AR2+%, -13, A, A ; 7747
+ SUB *AR2+%, -13, A, B ; 7748
+ SUB *AR2+%, -13, A ; 7749
+ SUB *AR2+%, -13, B, A ; 7750
+ SUB *AR2+%, -13, B, B ; 7751
+ SUB *AR2+%, -13, B ; 7752
+ SUB *AR2+%, -12, A, A ; 7753
+ SUB *AR2+%, -12, A, B ; 7754
+ SUB *AR2+%, -12, A ; 7755
+ SUB *AR2+%, -12, B, A ; 7756
+ SUB *AR2+%, -12, B, B ; 7757
+ SUB *AR2+%, -12, B ; 7758
+ SUB *AR2+%, -11, A, A ; 7759
+ SUB *AR2+%, -11, A, B ; 7760
+ SUB *AR2+%, -11, A ; 7761
+ SUB *AR2+%, -11, B, A ; 7762
+ SUB *AR2+%, -11, B, B ; 7763
+ SUB *AR2+%, -11, B ; 7764
+ SUB *AR2+%, -10, A, A ; 7765
+ SUB *AR2+%, -10, A, B ; 7766
+ SUB *AR2+%, -10, A ; 7767
+ SUB *AR2+%, -10, B, A ; 7768
+ SUB *AR2+%, -10, B, B ; 7769
+ SUB *AR2+%, -10, B ; 7770
+ SUB *AR2+%, -9, A, A ; 7771
+ SUB *AR2+%, -9, A, B ; 7772
+ SUB *AR2+%, -9, A ; 7773
+ SUB *AR2+%, -9, B, A ; 7774
+ SUB *AR2+%, -9, B, B ; 7775
+ SUB *AR2+%, -9, B ; 7776
+ SUB *AR2+%, -8, A, A ; 7777
+ SUB *AR2+%, -8, A, B ; 7778
+ SUB *AR2+%, -8, A ; 7779
+ SUB *AR2+%, -8, B, A ; 7780
+ SUB *AR2+%, -8, B, B ; 7781
+ SUB *AR2+%, -8, B ; 7782
+ SUB *AR2+%, -7, A, A ; 7783
+ SUB *AR2+%, -7, A, B ; 7784
+ SUB *AR2+%, -7, A ; 7785
+ SUB *AR2+%, -7, B, A ; 7786
+ SUB *AR2+%, -7, B, B ; 7787
+ SUB *AR2+%, -7, B ; 7788
+ SUB *AR2+%, -6, A, A ; 7789
+ SUB *AR2+%, -6, A, B ; 7790
+ SUB *AR2+%, -6, A ; 7791
+ SUB *AR2+%, -6, B, A ; 7792
+ SUB *AR2+%, -6, B, B ; 7793
+ SUB *AR2+%, -6, B ; 7794
+ SUB *AR2+%, -5, A, A ; 7795
+ SUB *AR2+%, -5, A, B ; 7796
+ SUB *AR2+%, -5, A ; 7797
+ SUB *AR2+%, -5, B, A ; 7798
+ SUB *AR2+%, -5, B, B ; 7799
+ SUB *AR2+%, -5, B ; 7800
+ SUB *AR2+%, -4, A, A ; 7801
+ SUB *AR2+%, -4, A, B ; 7802
+ SUB *AR2+%, -4, A ; 7803
+ SUB *AR2+%, -4, B, A ; 7804
+ SUB *AR2+%, -4, B, B ; 7805
+ SUB *AR2+%, -4, B ; 7806
+ SUB *AR2+%, -3, A, A ; 7807
+ SUB *AR2+%, -3, A, B ; 7808
+ SUB *AR2+%, -3, A ; 7809
+ SUB *AR2+%, -3, B, A ; 7810
+ SUB *AR2+%, -3, B, B ; 7811
+ SUB *AR2+%, -3, B ; 7812
+ SUB *AR2+%, -2, A, A ; 7813
+ SUB *AR2+%, -2, A, B ; 7814
+ SUB *AR2+%, -2, A ; 7815
+ SUB *AR2+%, -2, B, A ; 7816
+ SUB *AR2+%, -2, B, B ; 7817
+ SUB *AR2+%, -2, B ; 7818
+ SUB *AR2+%, -1, A, A ; 7819
+ SUB *AR2+%, -1, A, B ; 7820
+ SUB *AR2+%, -1, A ; 7821
+ SUB *AR2+%, -1, B, A ; 7822
+ SUB *AR2+%, -1, B, B ; 7823
+ SUB *AR2+%, -1, B ; 7824
+ SUB *AR2+%, 0, A, A ; 7825
+ SUB *AR2+%, 0, A, B ; 7826
+ SUB *AR2+%, 0, A ; 7827
+ SUB *AR2+%, 0, B, A ; 7828
+ SUB *AR2+%, 0, B, B ; 7829
+ SUB *AR2+%, 0, B ; 7830
+ SUB *AR2+%, 1, A, A ; 7831
+ SUB *AR2+%, 1, A, B ; 7832
+ SUB *AR2+%, 1, A ; 7833
+ SUB *AR2+%, 1, B, A ; 7834
+ SUB *AR2+%, 1, B, B ; 7835
+ SUB *AR2+%, 1, B ; 7836
+ SUB *AR2+%, 2, A, A ; 7837
+ SUB *AR2+%, 2, A, B ; 7838
+ SUB *AR2+%, 2, A ; 7839
+ SUB *AR2+%, 2, B, A ; 7840
+ SUB *AR2+%, 2, B, B ; 7841
+ SUB *AR2+%, 2, B ; 7842
+ SUB *AR2+%, 3, A, A ; 7843
+ SUB *AR2+%, 3, A, B ; 7844
+ SUB *AR2+%, 3, A ; 7845
+ SUB *AR2+%, 3, B, A ; 7846
+ SUB *AR2+%, 3, B, B ; 7847
+ SUB *AR2+%, 3, B ; 7848
+ SUB *AR2+%, 4, A, A ; 7849
+ SUB *AR2+%, 4, A, B ; 7850
+ SUB *AR2+%, 4, A ; 7851
+ SUB *AR2+%, 4, B, A ; 7852
+ SUB *AR2+%, 4, B, B ; 7853
+ SUB *AR2+%, 4, B ; 7854
+ SUB *AR2+%, 5, A, A ; 7855
+ SUB *AR2+%, 5, A, B ; 7856
+ SUB *AR2+%, 5, A ; 7857
+ SUB *AR2+%, 5, B, A ; 7858
+ SUB *AR2+%, 5, B, B ; 7859
+ SUB *AR2+%, 5, B ; 7860
+ SUB *AR2+%, 6, A, A ; 7861
+ SUB *AR2+%, 6, A, B ; 7862
+ SUB *AR2+%, 6, A ; 7863
+ SUB *AR2+%, 6, B, A ; 7864
+ SUB *AR2+%, 6, B, B ; 7865
+ SUB *AR2+%, 6, B ; 7866
+ SUB *AR2+%, 7, A, A ; 7867
+ SUB *AR2+%, 7, A, B ; 7868
+ SUB *AR2+%, 7, A ; 7869
+ SUB *AR2+%, 7, B, A ; 7870
+ SUB *AR2+%, 7, B, B ; 7871
+ SUB *AR2+%, 7, B ; 7872
+ SUB *AR2+%, 8, A, A ; 7873
+ SUB *AR2+%, 8, A, B ; 7874
+ SUB *AR2+%, 8, A ; 7875
+ SUB *AR2+%, 8, B, A ; 7876
+ SUB *AR2+%, 8, B, B ; 7877
+ SUB *AR2+%, 8, B ; 7878
+ SUB *AR2+%, 9, A, A ; 7879
+ SUB *AR2+%, 9, A, B ; 7880
+ SUB *AR2+%, 9, A ; 7881
+ SUB *AR2+%, 9, B, A ; 7882
+ SUB *AR2+%, 9, B, B ; 7883
+ SUB *AR2+%, 9, B ; 7884
+ SUB *AR2+%, 10, A, A ; 7885
+ SUB *AR2+%, 10, A, B ; 7886
+ SUB *AR2+%, 10, A ; 7887
+ SUB *AR2+%, 10, B, A ; 7888
+ SUB *AR2+%, 10, B, B ; 7889
+ SUB *AR2+%, 10, B ; 7890
+ SUB *AR2+%, 11, A, A ; 7891
+ SUB *AR2+%, 11, A, B ; 7892
+ SUB *AR2+%, 11, A ; 7893
+ SUB *AR2+%, 11, B, A ; 7894
+ SUB *AR2+%, 11, B, B ; 7895
+ SUB *AR2+%, 11, B ; 7896
+ SUB *AR2+%, 12, A, A ; 7897
+ SUB *AR2+%, 12, A, B ; 7898
+ SUB *AR2+%, 12, A ; 7899
+ SUB *AR2+%, 12, B, A ; 7900
+ SUB *AR2+%, 12, B, B ; 7901
+ SUB *AR2+%, 12, B ; 7902
+ SUB *AR2+%, 13, A, A ; 7903
+ SUB *AR2+%, 13, A, B ; 7904
+ SUB *AR2+%, 13, A ; 7905
+ SUB *AR2+%, 13, B, A ; 7906
+ SUB *AR2+%, 13, B, B ; 7907
+ SUB *AR2+%, 13, B ; 7908
+ SUB *AR2+%, 14, A, A ; 7909
+ SUB *AR2+%, 14, A, B ; 7910
+ SUB *AR2+%, 14, A ; 7911
+ SUB *AR2+%, 14, B, A ; 7912
+ SUB *AR2+%, 14, B, B ; 7913
+ SUB *AR2+%, 14, B ; 7914
+ SUB *AR2+%, 15, A, A ; 7915
+ SUB *AR2+%, 15, A, B ; 7916
+ SUB *AR2+%, 15, A ; 7917
+ SUB *AR2+%, 15, B, A ; 7918
+ SUB *AR2+%, 15, B, B ; 7919
+ SUB *AR2+%, 15, B ; 7920
+ SUB *AR2+0%, A, A ; 7921
+ SUB *AR2+0%, A, B ; 7922
+ SUB *AR2+0%, A ; 7923
+ SUB *AR2+0%, B, A ; 7924
+ SUB *AR2+0%, B, B ; 7925
+ SUB *AR2+0%, B ; 7926
+ SUB *AR2+0%, -16, A, A ; 7927
+ SUB *AR2+0%, -16, A, B ; 7928
+ SUB *AR2+0%, -16, A ; 7929
+ SUB *AR2+0%, -16, B, A ; 7930
+ SUB *AR2+0%, -16, B, B ; 7931
+ SUB *AR2+0%, -16, B ; 7932
+ SUB *AR2+0%, -15, A, A ; 7933
+ SUB *AR2+0%, -15, A, B ; 7934
+ SUB *AR2+0%, -15, A ; 7935
+ SUB *AR2+0%, -15, B, A ; 7936
+ SUB *AR2+0%, -15, B, B ; 7937
+ SUB *AR2+0%, -15, B ; 7938
+ SUB *AR2+0%, -14, A, A ; 7939
+ SUB *AR2+0%, -14, A, B ; 7940
+ SUB *AR2+0%, -14, A ; 7941
+ SUB *AR2+0%, -14, B, A ; 7942
+ SUB *AR2+0%, -14, B, B ; 7943
+ SUB *AR2+0%, -14, B ; 7944
+ SUB *AR2+0%, -13, A, A ; 7945
+ SUB *AR2+0%, -13, A, B ; 7946
+ SUB *AR2+0%, -13, A ; 7947
+ SUB *AR2+0%, -13, B, A ; 7948
+ SUB *AR2+0%, -13, B, B ; 7949
+ SUB *AR2+0%, -13, B ; 7950
+ SUB *AR2+0%, -12, A, A ; 7951
+ SUB *AR2+0%, -12, A, B ; 7952
+ SUB *AR2+0%, -12, A ; 7953
+ SUB *AR2+0%, -12, B, A ; 7954
+ SUB *AR2+0%, -12, B, B ; 7955
+ SUB *AR2+0%, -12, B ; 7956
+ SUB *AR2+0%, -11, A, A ; 7957
+ SUB *AR2+0%, -11, A, B ; 7958
+ SUB *AR2+0%, -11, A ; 7959
+ SUB *AR2+0%, -11, B, A ; 7960
+ SUB *AR2+0%, -11, B, B ; 7961
+ SUB *AR2+0%, -11, B ; 7962
+ SUB *AR2+0%, -10, A, A ; 7963
+ SUB *AR2+0%, -10, A, B ; 7964
+ SUB *AR2+0%, -10, A ; 7965
+ SUB *AR2+0%, -10, B, A ; 7966
+ SUB *AR2+0%, -10, B, B ; 7967
+ SUB *AR2+0%, -10, B ; 7968
+ SUB *AR2+0%, -9, A, A ; 7969
+ SUB *AR2+0%, -9, A, B ; 7970
+ SUB *AR2+0%, -9, A ; 7971
+ SUB *AR2+0%, -9, B, A ; 7972
+ SUB *AR2+0%, -9, B, B ; 7973
+ SUB *AR2+0%, -9, B ; 7974
+ SUB *AR2+0%, -8, A, A ; 7975
+ SUB *AR2+0%, -8, A, B ; 7976
+ SUB *AR2+0%, -8, A ; 7977
+ SUB *AR2+0%, -8, B, A ; 7978
+ SUB *AR2+0%, -8, B, B ; 7979
+ SUB *AR2+0%, -8, B ; 7980
+ SUB *AR2+0%, -7, A, A ; 7981
+ SUB *AR2+0%, -7, A, B ; 7982
+ SUB *AR2+0%, -7, A ; 7983
+ SUB *AR2+0%, -7, B, A ; 7984
+ SUB *AR2+0%, -7, B, B ; 7985
+ SUB *AR2+0%, -7, B ; 7986
+ SUB *AR2+0%, -6, A, A ; 7987
+ SUB *AR2+0%, -6, A, B ; 7988
+ SUB *AR2+0%, -6, A ; 7989
+ SUB *AR2+0%, -6, B, A ; 7990
+ SUB *AR2+0%, -6, B, B ; 7991
+ SUB *AR2+0%, -6, B ; 7992
+ SUB *AR2+0%, -5, A, A ; 7993
+ SUB *AR2+0%, -5, A, B ; 7994
+ SUB *AR2+0%, -5, A ; 7995
+ SUB *AR2+0%, -5, B, A ; 7996
+ SUB *AR2+0%, -5, B, B ; 7997
+ SUB *AR2+0%, -5, B ; 7998
+ SUB *AR2+0%, -4, A, A ; 7999
+ SUB *AR2+0%, -4, A, B ; 8000
+ SUB *AR2+0%, -4, A ; 8001
+ SUB *AR2+0%, -4, B, A ; 8002
+ SUB *AR2+0%, -4, B, B ; 8003
+ SUB *AR2+0%, -4, B ; 8004
+ SUB *AR2+0%, -3, A, A ; 8005
+ SUB *AR2+0%, -3, A, B ; 8006
+ SUB *AR2+0%, -3, A ; 8007
+ SUB *AR2+0%, -3, B, A ; 8008
+ SUB *AR2+0%, -3, B, B ; 8009
+ SUB *AR2+0%, -3, B ; 8010
+ SUB *AR2+0%, -2, A, A ; 8011
+ SUB *AR2+0%, -2, A, B ; 8012
+ SUB *AR2+0%, -2, A ; 8013
+ SUB *AR2+0%, -2, B, A ; 8014
+ SUB *AR2+0%, -2, B, B ; 8015
+ SUB *AR2+0%, -2, B ; 8016
+ SUB *AR2+0%, -1, A, A ; 8017
+ SUB *AR2+0%, -1, A, B ; 8018
+ SUB *AR2+0%, -1, A ; 8019
+ SUB *AR2+0%, -1, B, A ; 8020
+ SUB *AR2+0%, -1, B, B ; 8021
+ SUB *AR2+0%, -1, B ; 8022
+ SUB *AR2+0%, 0, A, A ; 8023
+ SUB *AR2+0%, 0, A, B ; 8024
+ SUB *AR2+0%, 0, A ; 8025
+ SUB *AR2+0%, 0, B, A ; 8026
+ SUB *AR2+0%, 0, B, B ; 8027
+ SUB *AR2+0%, 0, B ; 8028
+ SUB *AR2+0%, 1, A, A ; 8029
+ SUB *AR2+0%, 1, A, B ; 8030
+ SUB *AR2+0%, 1, A ; 8031
+ SUB *AR2+0%, 1, B, A ; 8032
+ SUB *AR2+0%, 1, B, B ; 8033
+ SUB *AR2+0%, 1, B ; 8034
+ SUB *AR2+0%, 2, A, A ; 8035
+ SUB *AR2+0%, 2, A, B ; 8036
+ SUB *AR2+0%, 2, A ; 8037
+ SUB *AR2+0%, 2, B, A ; 8038
+ SUB *AR2+0%, 2, B, B ; 8039
+ SUB *AR2+0%, 2, B ; 8040
+ SUB *AR2+0%, 3, A, A ; 8041
+ SUB *AR2+0%, 3, A, B ; 8042
+ SUB *AR2+0%, 3, A ; 8043
+ SUB *AR2+0%, 3, B, A ; 8044
+ SUB *AR2+0%, 3, B, B ; 8045
+ SUB *AR2+0%, 3, B ; 8046
+ SUB *AR2+0%, 4, A, A ; 8047
+ SUB *AR2+0%, 4, A, B ; 8048
+ SUB *AR2+0%, 4, A ; 8049
+ SUB *AR2+0%, 4, B, A ; 8050
+ SUB *AR2+0%, 4, B, B ; 8051
+ SUB *AR2+0%, 4, B ; 8052
+ SUB *AR2+0%, 5, A, A ; 8053
+ SUB *AR2+0%, 5, A, B ; 8054
+ SUB *AR2+0%, 5, A ; 8055
+ SUB *AR2+0%, 5, B, A ; 8056
+ SUB *AR2+0%, 5, B, B ; 8057
+ SUB *AR2+0%, 5, B ; 8058
+ SUB *AR2+0%, 6, A, A ; 8059
+ SUB *AR2+0%, 6, A, B ; 8060
+ SUB *AR2+0%, 6, A ; 8061
+ SUB *AR2+0%, 6, B, A ; 8062
+ SUB *AR2+0%, 6, B, B ; 8063
+ SUB *AR2+0%, 6, B ; 8064
+ SUB *AR2+0%, 7, A, A ; 8065
+ SUB *AR2+0%, 7, A, B ; 8066
+ SUB *AR2+0%, 7, A ; 8067
+ SUB *AR2+0%, 7, B, A ; 8068
+ SUB *AR2+0%, 7, B, B ; 8069
+ SUB *AR2+0%, 7, B ; 8070
+ SUB *AR2+0%, 8, A, A ; 8071
+ SUB *AR2+0%, 8, A, B ; 8072
+ SUB *AR2+0%, 8, A ; 8073
+ SUB *AR2+0%, 8, B, A ; 8074
+ SUB *AR2+0%, 8, B, B ; 8075
+ SUB *AR2+0%, 8, B ; 8076
+ SUB *AR2+0%, 9, A, A ; 8077
+ SUB *AR2+0%, 9, A, B ; 8078
+ SUB *AR2+0%, 9, A ; 8079
+ SUB *AR2+0%, 9, B, A ; 8080
+ SUB *AR2+0%, 9, B, B ; 8081
+ SUB *AR2+0%, 9, B ; 8082
+ SUB *AR2+0%, 10, A, A ; 8083
+ SUB *AR2+0%, 10, A, B ; 8084
+ SUB *AR2+0%, 10, A ; 8085
+ SUB *AR2+0%, 10, B, A ; 8086
+ SUB *AR2+0%, 10, B, B ; 8087
+ SUB *AR2+0%, 10, B ; 8088
+ SUB *AR2+0%, 11, A, A ; 8089
+ SUB *AR2+0%, 11, A, B ; 8090
+ SUB *AR2+0%, 11, A ; 8091
+ SUB *AR2+0%, 11, B, A ; 8092
+ SUB *AR2+0%, 11, B, B ; 8093
+ SUB *AR2+0%, 11, B ; 8094
+ SUB *AR2+0%, 12, A, A ; 8095
+ SUB *AR2+0%, 12, A, B ; 8096
+ SUB *AR2+0%, 12, A ; 8097
+ SUB *AR2+0%, 12, B, A ; 8098
+ SUB *AR2+0%, 12, B, B ; 8099
+ SUB *AR2+0%, 12, B ; 8100
+ SUB *AR2+0%, 13, A, A ; 8101
+ SUB *AR2+0%, 13, A, B ; 8102
+ SUB *AR2+0%, 13, A ; 8103
+ SUB *AR2+0%, 13, B, A ; 8104
+ SUB *AR2+0%, 13, B, B ; 8105
+ SUB *AR2+0%, 13, B ; 8106
+ SUB *AR2+0%, 14, A, A ; 8107
+ SUB *AR2+0%, 14, A, B ; 8108
+ SUB *AR2+0%, 14, A ; 8109
+ SUB *AR2+0%, 14, B, A ; 8110
+ SUB *AR2+0%, 14, B, B ; 8111
+ SUB *AR2+0%, 14, B ; 8112
+ SUB *AR2+0%, 15, A, A ; 8113
+ SUB *AR2+0%, 15, A, B ; 8114
+ SUB *AR2+0%, 15, A ; 8115
+ SUB *AR2+0%, 15, B, A ; 8116
+ SUB *AR2+0%, 15, B, B ; 8117
+ SUB *AR2+0%, 15, B ; 8118
+ SUB *AR2(051B6h), A, A ; 8119
+ SUB *AR2(051B7h), A, B ; 8120
+ SUB *AR2(051B8h), A ; 8121
+ SUB *AR2(051B9h), B, A ; 8122
+ SUB *AR2(051BAh), B, B ; 8123
+ SUB *AR2(051BBh), B ; 8124
+ SUB *AR2(051BCh), -16, A, A ; 8125
+ SUB *AR2(051BDh), -16, A, B ; 8126
+ SUB *AR2(051BEh), -16, A ; 8127
+ SUB *AR2(051BFh), -16, B, A ; 8128
+ SUB *AR2(051C0h), -16, B, B ; 8129
+ SUB *AR2(051C1h), -16, B ; 8130
+ SUB *AR2(051C2h), -15, A, A ; 8131
+ SUB *AR2(051C3h), -15, A, B ; 8132
+ SUB *AR2(051C4h), -15, A ; 8133
+ SUB *AR2(051C5h), -15, B, A ; 8134
+ SUB *AR2(051C6h), -15, B, B ; 8135
+ SUB *AR2(051C7h), -15, B ; 8136
+ SUB *AR2(051C8h), -14, A, A ; 8137
+ SUB *AR2(051C9h), -14, A, B ; 8138
+ SUB *AR2(051CAh), -14, A ; 8139
+ SUB *AR2(051CBh), -14, B, A ; 8140
+ SUB *AR2(051CCh), -14, B, B ; 8141
+ SUB *AR2(051CDh), -14, B ; 8142
+ SUB *AR2(051CEh), -13, A, A ; 8143
+ SUB *AR2(051CFh), -13, A, B ; 8144
+ SUB *AR2(051D0h), -13, A ; 8145
+ SUB *AR2(051D1h), -13, B, A ; 8146
+ SUB *AR2(051D2h), -13, B, B ; 8147
+ SUB *AR2(051D3h), -13, B ; 8148
+ SUB *AR2(051D4h), -12, A, A ; 8149
+ SUB *AR2(051D5h), -12, A, B ; 8150
+ SUB *AR2(051D6h), -12, A ; 8151
+ SUB *AR2(051D7h), -12, B, A ; 8152
+ SUB *AR2(051D8h), -12, B, B ; 8153
+ SUB *AR2(051D9h), -12, B ; 8154
+ SUB *AR2(051DAh), -11, A, A ; 8155
+ SUB *AR2(051DBh), -11, A, B ; 8156
+ SUB *AR2(051DCh), -11, A ; 8157
+ SUB *AR2(051DDh), -11, B, A ; 8158
+ SUB *AR2(051DEh), -11, B, B ; 8159
+ SUB *AR2(051DFh), -11, B ; 8160
+ SUB *AR2(051E0h), -10, A, A ; 8161
+ SUB *AR2(051E1h), -10, A, B ; 8162
+ SUB *AR2(051E2h), -10, A ; 8163
+ SUB *AR2(051E3h), -10, B, A ; 8164
+ SUB *AR2(051E4h), -10, B, B ; 8165
+ SUB *AR2(051E5h), -10, B ; 8166
+ SUB *AR2(051E6h), -9, A, A ; 8167
+ SUB *AR2(051E7h), -9, A, B ; 8168
+ SUB *AR2(051E8h), -9, A ; 8169
+ SUB *AR2(051E9h), -9, B, A ; 8170
+ SUB *AR2(051EAh), -9, B, B ; 8171
+ SUB *AR2(051EBh), -9, B ; 8172
+ SUB *AR2(051ECh), -8, A, A ; 8173
+ SUB *AR2(051EDh), -8, A, B ; 8174
+ SUB *AR2(051EEh), -8, A ; 8175
+ SUB *AR2(051EFh), -8, B, A ; 8176
+ SUB *AR2(051F0h), -8, B, B ; 8177
+ SUB *AR2(051F1h), -8, B ; 8178
+ SUB *AR2(051F2h), -7, A, A ; 8179
+ SUB *AR2(051F3h), -7, A, B ; 8180
+ SUB *AR2(051F4h), -7, A ; 8181
+ SUB *AR2(051F5h), -7, B, A ; 8182
+ SUB *AR2(051F6h), -7, B, B ; 8183
+ SUB *AR2(051F7h), -7, B ; 8184
+ SUB *AR2(051F8h), -6, A, A ; 8185
+ SUB *AR2(051F9h), -6, A, B ; 8186
+ SUB *AR2(051FAh), -6, A ; 8187
+ SUB *AR2(051FBh), -6, B, A ; 8188
+ SUB *AR2(051FCh), -6, B, B ; 8189
+ SUB *AR2(051FDh), -6, B ; 8190
+ SUB *AR2(051FEh), -5, A, A ; 8191
+ SUB *AR2(051FFh), -5, A, B ; 8192
+ SUB *AR2(05200h), -5, A ; 8193
+ SUB *AR2(05201h), -5, B, A ; 8194
+ SUB *AR2(05202h), -5, B, B ; 8195
+ SUB *AR2(05203h), -5, B ; 8196
+ SUB *AR2(05204h), -4, A, A ; 8197
+ SUB *AR2(05205h), -4, A, B ; 8198
+ SUB *AR2(05206h), -4, A ; 8199
+ SUB *AR2(05207h), -4, B, A ; 8200
+ SUB *AR2(05208h), -4, B, B ; 8201
+ SUB *AR2(05209h), -4, B ; 8202
+ SUB *AR2(0520Ah), -3, A, A ; 8203
+ SUB *AR2(0520Bh), -3, A, B ; 8204
+ SUB *AR2(0520Ch), -3, A ; 8205
+ SUB *AR2(0520Dh), -3, B, A ; 8206
+ SUB *AR2(0520Eh), -3, B, B ; 8207
+ SUB *AR2(0520Fh), -3, B ; 8208
+ SUB *AR2(05210h), -2, A, A ; 8209
+ SUB *AR2(05211h), -2, A, B ; 8210
+ SUB *AR2(05212h), -2, A ; 8211
+ SUB *AR2(05213h), -2, B, A ; 8212
+ SUB *AR2(05214h), -2, B, B ; 8213
+ SUB *AR2(05215h), -2, B ; 8214
+ SUB *AR2(05216h), -1, A, A ; 8215
+ SUB *AR2(05217h), -1, A, B ; 8216
+ SUB *AR2(05218h), -1, A ; 8217
+ SUB *AR2(05219h), -1, B, A ; 8218
+ SUB *AR2(0521Ah), -1, B, B ; 8219
+ SUB *AR2(0521Bh), -1, B ; 8220
+ SUB *AR2(0521Ch), 0, A, A ; 8221
+ SUB *AR2(0521Dh), 0, A, B ; 8222
+ SUB *AR2(0521Eh), 0, A ; 8223
+ SUB *AR2(0521Fh), 0, B, A ; 8224
+ SUB *AR2(05220h), 0, B, B ; 8225
+ SUB *AR2(05221h), 0, B ; 8226
+ SUB *AR2(05222h), 1, A, A ; 8227
+ SUB *AR2(05223h), 1, A, B ; 8228
+ SUB *AR2(05224h), 1, A ; 8229
+ SUB *AR2(05225h), 1, B, A ; 8230
+ SUB *AR2(05226h), 1, B, B ; 8231
+ SUB *AR2(05227h), 1, B ; 8232
+ SUB *AR2(05228h), 2, A, A ; 8233
+ SUB *AR2(05229h), 2, A, B ; 8234
+ SUB *AR2(0522Ah), 2, A ; 8235
+ SUB *AR2(0522Bh), 2, B, A ; 8236
+ SUB *AR2(0522Ch), 2, B, B ; 8237
+ SUB *AR2(0522Dh), 2, B ; 8238
+ SUB *AR2(0522Eh), 3, A, A ; 8239
+ SUB *AR2(0522Fh), 3, A, B ; 8240
+ SUB *AR2(05230h), 3, A ; 8241
+ SUB *AR2(05231h), 3, B, A ; 8242
+ SUB *AR2(05232h), 3, B, B ; 8243
+ SUB *AR2(05233h), 3, B ; 8244
+ SUB *AR2(05234h), 4, A, A ; 8245
+ SUB *AR2(05235h), 4, A, B ; 8246
+ SUB *AR2(05236h), 4, A ; 8247
+ SUB *AR2(05237h), 4, B, A ; 8248
+ SUB *AR2(05238h), 4, B, B ; 8249
+ SUB *AR2(05239h), 4, B ; 8250
+ SUB *AR2(0523Ah), 5, A, A ; 8251
+ SUB *AR2(0523Bh), 5, A, B ; 8252
+ SUB *AR2(0523Ch), 5, A ; 8253
+ SUB *AR2(0523Dh), 5, B, A ; 8254
+ SUB *AR2(0523Eh), 5, B, B ; 8255
+ SUB *AR2(0523Fh), 5, B ; 8256
+ SUB *AR2(05240h), 6, A, A ; 8257
+ SUB *AR2(05241h), 6, A, B ; 8258
+ SUB *AR2(05242h), 6, A ; 8259
+ SUB *AR2(05243h), 6, B, A ; 8260
+ SUB *AR2(05244h), 6, B, B ; 8261
+ SUB *AR2(05245h), 6, B ; 8262
+ SUB *AR2(05246h), 7, A, A ; 8263
+ SUB *AR2(05247h), 7, A, B ; 8264
+ SUB *AR2(05248h), 7, A ; 8265
+ SUB *AR2(05249h), 7, B, A ; 8266
+ SUB *AR2(0524Ah), 7, B, B ; 8267
+ SUB *AR2(0524Bh), 7, B ; 8268
+ SUB *AR2(0524Ch), 8, A, A ; 8269
+ SUB *AR2(0524Dh), 8, A, B ; 8270
+ SUB *AR2(0524Eh), 8, A ; 8271
+ SUB *AR2(0524Fh), 8, B, A ; 8272
+ SUB *AR2(05250h), 8, B, B ; 8273
+ SUB *AR2(05251h), 8, B ; 8274
+ SUB *AR2(05252h), 9, A, A ; 8275
+ SUB *AR2(05253h), 9, A, B ; 8276
+ SUB *AR2(05254h), 9, A ; 8277
+ SUB *AR2(05255h), 9, B, A ; 8278
+ SUB *AR2(05256h), 9, B, B ; 8279
+ SUB *AR2(05257h), 9, B ; 8280
+ SUB *AR2(05258h), 10, A, A ; 8281
+ SUB *AR2(05259h), 10, A, B ; 8282
+ SUB *AR2(0525Ah), 10, A ; 8283
+ SUB *AR2(0525Bh), 10, B, A ; 8284
+ SUB *AR2(0525Ch), 10, B, B ; 8285
+ SUB *AR2(0525Dh), 10, B ; 8286
+ SUB *AR2(0525Eh), 11, A, A ; 8287
+ SUB *AR2(0525Fh), 11, A, B ; 8288
+ SUB *AR2(05260h), 11, A ; 8289
+ SUB *AR2(05261h), 11, B, A ; 8290
+ SUB *AR2(05262h), 11, B, B ; 8291
+ SUB *AR2(05263h), 11, B ; 8292
+ SUB *AR2(05264h), 12, A, A ; 8293
+ SUB *AR2(05265h), 12, A, B ; 8294
+ SUB *AR2(05266h), 12, A ; 8295
+ SUB *AR2(05267h), 12, B, A ; 8296
+ SUB *AR2(05268h), 12, B, B ; 8297
+ SUB *AR2(05269h), 12, B ; 8298
+ SUB *AR2(0526Ah), 13, A, A ; 8299
+ SUB *AR2(0526Bh), 13, A, B ; 8300
+ SUB *AR2(0526Ch), 13, A ; 8301
+ SUB *AR2(0526Dh), 13, B, A ; 8302
+ SUB *AR2(0526Eh), 13, B, B ; 8303
+ SUB *AR2(0526Fh), 13, B ; 8304
+ SUB *AR2(05270h), 14, A, A ; 8305
+ SUB *AR2(05271h), 14, A, B ; 8306
+ SUB *AR2(05272h), 14, A ; 8307
+ SUB *AR2(05273h), 14, B, A ; 8308
+ SUB *AR2(05274h), 14, B, B ; 8309
+ SUB *AR2(05275h), 14, B ; 8310
+ SUB *AR2(05276h), 15, A, A ; 8311
+ SUB *AR2(05277h), 15, A, B ; 8312
+ SUB *AR2(05278h), 15, A ; 8313
+ SUB *AR2(05279h), 15, B, A ; 8314
+ SUB *AR2(0527Ah), 15, B, B ; 8315
+ SUB *AR2(0527Bh), 15, B ; 8316
+ SUB *+AR2(0527Ch), A, A ; 8317
+ SUB *+AR2(0527Dh), A, B ; 8318
+ SUB *+AR2(0527Eh), A ; 8319
+ SUB *+AR2(0527Fh), B, A ; 8320
+ SUB *+AR2(05280h), B, B ; 8321
+ SUB *+AR2(05281h), B ; 8322
+ SUB *+AR2(05282h), -16, A, A ; 8323
+ SUB *+AR2(05283h), -16, A, B ; 8324
+ SUB *+AR2(05284h), -16, A ; 8325
+ SUB *+AR2(05285h), -16, B, A ; 8326
+ SUB *+AR2(05286h), -16, B, B ; 8327
+ SUB *+AR2(05287h), -16, B ; 8328
+ SUB *+AR2(05288h), -15, A, A ; 8329
+ SUB *+AR2(05289h), -15, A, B ; 8330
+ SUB *+AR2(0528Ah), -15, A ; 8331
+ SUB *+AR2(0528Bh), -15, B, A ; 8332
+ SUB *+AR2(0528Ch), -15, B, B ; 8333
+ SUB *+AR2(0528Dh), -15, B ; 8334
+ SUB *+AR2(0528Eh), -14, A, A ; 8335
+ SUB *+AR2(0528Fh), -14, A, B ; 8336
+ SUB *+AR2(05290h), -14, A ; 8337
+ SUB *+AR2(05291h), -14, B, A ; 8338
+ SUB *+AR2(05292h), -14, B, B ; 8339
+ SUB *+AR2(05293h), -14, B ; 8340
+ SUB *+AR2(05294h), -13, A, A ; 8341
+ SUB *+AR2(05295h), -13, A, B ; 8342
+ SUB *+AR2(05296h), -13, A ; 8343
+ SUB *+AR2(05297h), -13, B, A ; 8344
+ SUB *+AR2(05298h), -13, B, B ; 8345
+ SUB *+AR2(05299h), -13, B ; 8346
+ SUB *+AR2(0529Ah), -12, A, A ; 8347
+ SUB *+AR2(0529Bh), -12, A, B ; 8348
+ SUB *+AR2(0529Ch), -12, A ; 8349
+ SUB *+AR2(0529Dh), -12, B, A ; 8350
+ SUB *+AR2(0529Eh), -12, B, B ; 8351
+ SUB *+AR2(0529Fh), -12, B ; 8352
+ SUB *+AR2(052A0h), -11, A, A ; 8353
+ SUB *+AR2(052A1h), -11, A, B ; 8354
+ SUB *+AR2(052A2h), -11, A ; 8355
+ SUB *+AR2(052A3h), -11, B, A ; 8356
+ SUB *+AR2(052A4h), -11, B, B ; 8357
+ SUB *+AR2(052A5h), -11, B ; 8358
+ SUB *+AR2(052A6h), -10, A, A ; 8359
+ SUB *+AR2(052A7h), -10, A, B ; 8360
+ SUB *+AR2(052A8h), -10, A ; 8361
+ SUB *+AR2(052A9h), -10, B, A ; 8362
+ SUB *+AR2(052AAh), -10, B, B ; 8363
+ SUB *+AR2(052ABh), -10, B ; 8364
+ SUB *+AR2(052ACh), -9, A, A ; 8365
+ SUB *+AR2(052ADh), -9, A, B ; 8366
+ SUB *+AR2(052AEh), -9, A ; 8367
+ SUB *+AR2(052AFh), -9, B, A ; 8368
+ SUB *+AR2(052B0h), -9, B, B ; 8369
+ SUB *+AR2(052B1h), -9, B ; 8370
+ SUB *+AR2(052B2h), -8, A, A ; 8371
+ SUB *+AR2(052B3h), -8, A, B ; 8372
+ SUB *+AR2(052B4h), -8, A ; 8373
+ SUB *+AR2(052B5h), -8, B, A ; 8374
+ SUB *+AR2(052B6h), -8, B, B ; 8375
+ SUB *+AR2(052B7h), -8, B ; 8376
+ SUB *+AR2(052B8h), -7, A, A ; 8377
+ SUB *+AR2(052B9h), -7, A, B ; 8378
+ SUB *+AR2(052BAh), -7, A ; 8379
+ SUB *+AR2(052BBh), -7, B, A ; 8380
+ SUB *+AR2(052BCh), -7, B, B ; 8381
+ SUB *+AR2(052BDh), -7, B ; 8382
+ SUB *+AR2(052BEh), -6, A, A ; 8383
+ SUB *+AR2(052BFh), -6, A, B ; 8384
+ SUB *+AR2(052C0h), -6, A ; 8385
+ SUB *+AR2(052C1h), -6, B, A ; 8386
+ SUB *+AR2(052C2h), -6, B, B ; 8387
+ SUB *+AR2(052C3h), -6, B ; 8388
+ SUB *+AR2(052C4h), -5, A, A ; 8389
+ SUB *+AR2(052C5h), -5, A, B ; 8390
+ SUB *+AR2(052C6h), -5, A ; 8391
+ SUB *+AR2(052C7h), -5, B, A ; 8392
+ SUB *+AR2(052C8h), -5, B, B ; 8393
+ SUB *+AR2(052C9h), -5, B ; 8394
+ SUB *+AR2(052CAh), -4, A, A ; 8395
+ SUB *+AR2(052CBh), -4, A, B ; 8396
+ SUB *+AR2(052CCh), -4, A ; 8397
+ SUB *+AR2(052CDh), -4, B, A ; 8398
+ SUB *+AR2(052CEh), -4, B, B ; 8399
+ SUB *+AR2(052CFh), -4, B ; 8400
+ SUB *+AR2(052D0h), -3, A, A ; 8401
+ SUB *+AR2(052D1h), -3, A, B ; 8402
+ SUB *+AR2(052D2h), -3, A ; 8403
+ SUB *+AR2(052D3h), -3, B, A ; 8404
+ SUB *+AR2(052D4h), -3, B, B ; 8405
+ SUB *+AR2(052D5h), -3, B ; 8406
+ SUB *+AR2(052D6h), -2, A, A ; 8407
+ SUB *+AR2(052D7h), -2, A, B ; 8408
+ SUB *+AR2(052D8h), -2, A ; 8409
+ SUB *+AR2(052D9h), -2, B, A ; 8410
+ SUB *+AR2(052DAh), -2, B, B ; 8411
+ SUB *+AR2(052DBh), -2, B ; 8412
+ SUB *+AR2(052DCh), -1, A, A ; 8413
+ SUB *+AR2(052DDh), -1, A, B ; 8414
+ SUB *+AR2(052DEh), -1, A ; 8415
+ SUB *+AR2(052DFh), -1, B, A ; 8416
+ SUB *+AR2(052E0h), -1, B, B ; 8417
+ SUB *+AR2(052E1h), -1, B ; 8418
+ SUB *+AR2(052E2h), 0, A, A ; 8419
+ SUB *+AR2(052E3h), 0, A, B ; 8420
+ SUB *+AR2(052E4h), 0, A ; 8421
+ SUB *+AR2(052E5h), 0, B, A ; 8422
+ SUB *+AR2(052E6h), 0, B, B ; 8423
+ SUB *+AR2(052E7h), 0, B ; 8424
+ SUB *+AR2(052E8h), 1, A, A ; 8425
+ SUB *+AR2(052E9h), 1, A, B ; 8426
+ SUB *+AR2(052EAh), 1, A ; 8427
+ SUB *+AR2(052EBh), 1, B, A ; 8428
+ SUB *+AR2(052ECh), 1, B, B ; 8429
+ SUB *+AR2(052EDh), 1, B ; 8430
+ SUB *+AR2(052EEh), 2, A, A ; 8431
+ SUB *+AR2(052EFh), 2, A, B ; 8432
+ SUB *+AR2(052F0h), 2, A ; 8433
+ SUB *+AR2(052F1h), 2, B, A ; 8434
+ SUB *+AR2(052F2h), 2, B, B ; 8435
+ SUB *+AR2(052F3h), 2, B ; 8436
+ SUB *+AR2(052F4h), 3, A, A ; 8437
+ SUB *+AR2(052F5h), 3, A, B ; 8438
+ SUB *+AR2(052F6h), 3, A ; 8439
+ SUB *+AR2(052F7h), 3, B, A ; 8440
+ SUB *+AR2(052F8h), 3, B, B ; 8441
+ SUB *+AR2(052F9h), 3, B ; 8442
+ SUB *+AR2(052FAh), 4, A, A ; 8443
+ SUB *+AR2(052FBh), 4, A, B ; 8444
+ SUB *+AR2(052FCh), 4, A ; 8445
+ SUB *+AR2(052FDh), 4, B, A ; 8446
+ SUB *+AR2(052FEh), 4, B, B ; 8447
+ SUB *+AR2(052FFh), 4, B ; 8448
+ SUB *+AR2(05300h), 5, A, A ; 8449
+ SUB *+AR2(05301h), 5, A, B ; 8450
+ SUB *+AR2(05302h), 5, A ; 8451
+ SUB *+AR2(05303h), 5, B, A ; 8452
+ SUB *+AR2(05304h), 5, B, B ; 8453
+ SUB *+AR2(05305h), 5, B ; 8454
+ SUB *+AR2(05306h), 6, A, A ; 8455
+ SUB *+AR2(05307h), 6, A, B ; 8456
+ SUB *+AR2(05308h), 6, A ; 8457
+ SUB *+AR2(05309h), 6, B, A ; 8458
+ SUB *+AR2(0530Ah), 6, B, B ; 8459
+ SUB *+AR2(0530Bh), 6, B ; 8460
+ SUB *+AR2(0530Ch), 7, A, A ; 8461
+ SUB *+AR2(0530Dh), 7, A, B ; 8462
+ SUB *+AR2(0530Eh), 7, A ; 8463
+ SUB *+AR2(0530Fh), 7, B, A ; 8464
+ SUB *+AR2(05310h), 7, B, B ; 8465
+ SUB *+AR2(05311h), 7, B ; 8466
+ SUB *+AR2(05312h), 8, A, A ; 8467
+ SUB *+AR2(05313h), 8, A, B ; 8468
+ SUB *+AR2(05314h), 8, A ; 8469
+ SUB *+AR2(05315h), 8, B, A ; 8470
+ SUB *+AR2(05316h), 8, B, B ; 8471
+ SUB *+AR2(05317h), 8, B ; 8472
+ SUB *+AR2(05318h), 9, A, A ; 8473
+ SUB *+AR2(05319h), 9, A, B ; 8474
+ SUB *+AR2(0531Ah), 9, A ; 8475
+ SUB *+AR2(0531Bh), 9, B, A ; 8476
+ SUB *+AR2(0531Ch), 9, B, B ; 8477
+ SUB *+AR2(0531Dh), 9, B ; 8478
+ SUB *+AR2(0531Eh), 10, A, A ; 8479
+ SUB *+AR2(0531Fh), 10, A, B ; 8480
+ SUB *+AR2(05320h), 10, A ; 8481
+ SUB *+AR2(05321h), 10, B, A ; 8482
+ SUB *+AR2(05322h), 10, B, B ; 8483
+ SUB *+AR2(05323h), 10, B ; 8484
+ SUB *+AR2(05324h), 11, A, A ; 8485
+ SUB *+AR2(05325h), 11, A, B ; 8486
+ SUB *+AR2(05326h), 11, A ; 8487
+ SUB *+AR2(05327h), 11, B, A ; 8488
+ SUB *+AR2(05328h), 11, B, B ; 8489
+ SUB *+AR2(05329h), 11, B ; 8490
+ SUB *+AR2(0532Ah), 12, A, A ; 8491
+ SUB *+AR2(0532Bh), 12, A, B ; 8492
+ SUB *+AR2(0532Ch), 12, A ; 8493
+ SUB *+AR2(0532Dh), 12, B, A ; 8494
+ SUB *+AR2(0532Eh), 12, B, B ; 8495
+ SUB *+AR2(0532Fh), 12, B ; 8496
+ SUB *+AR2(05330h), 13, A, A ; 8497
+ SUB *+AR2(05331h), 13, A, B ; 8498
+ SUB *+AR2(05332h), 13, A ; 8499
+ SUB *+AR2(05333h), 13, B, A ; 8500
+ SUB *+AR2(05334h), 13, B, B ; 8501
+ SUB *+AR2(05335h), 13, B ; 8502
+ SUB *+AR2(05336h), 14, A, A ; 8503
+ SUB *+AR2(05337h), 14, A, B ; 8504
+ SUB *+AR2(05338h), 14, A ; 8505
+ SUB *+AR2(05339h), 14, B, A ; 8506
+ SUB *+AR2(0533Ah), 14, B, B ; 8507
+ SUB *+AR2(0533Bh), 14, B ; 8508
+ SUB *+AR2(0533Ch), 15, A, A ; 8509
+ SUB *+AR2(0533Dh), 15, A, B ; 8510
+ SUB *+AR2(0533Eh), 15, A ; 8511
+ SUB *+AR2(0533Fh), 15, B, A ; 8512
+ SUB *+AR2(05340h), 15, B, B ; 8513
+ SUB *+AR2(05341h), 15, B ; 8514
+ SUB *+AR2(05342h)%, A, A ; 8515
+ SUB *+AR2(05343h)%, A, B ; 8516
+ SUB *+AR2(05344h)%, A ; 8517
+ SUB *+AR2(05345h)%, B, A ; 8518
+ SUB *+AR2(05346h)%, B, B ; 8519
+ SUB *+AR2(05347h)%, B ; 8520
+ SUB *+AR2(05348h)%, -16, A, A ; 8521
+ SUB *+AR2(05349h)%, -16, A, B ; 8522
+ SUB *+AR2(0534Ah)%, -16, A ; 8523
+ SUB *+AR2(0534Bh)%, -16, B, A ; 8524
+ SUB *+AR2(0534Ch)%, -16, B, B ; 8525
+ SUB *+AR2(0534Dh)%, -16, B ; 8526
+ SUB *+AR2(0534Eh)%, -15, A, A ; 8527
+ SUB *+AR2(0534Fh)%, -15, A, B ; 8528
+ SUB *+AR2(05350h)%, -15, A ; 8529
+ SUB *+AR2(05351h)%, -15, B, A ; 8530
+ SUB *+AR2(05352h)%, -15, B, B ; 8531
+ SUB *+AR2(05353h)%, -15, B ; 8532
+ SUB *+AR2(05354h)%, -14, A, A ; 8533
+ SUB *+AR2(05355h)%, -14, A, B ; 8534
+ SUB *+AR2(05356h)%, -14, A ; 8535
+ SUB *+AR2(05357h)%, -14, B, A ; 8536
+ SUB *+AR2(05358h)%, -14, B, B ; 8537
+ SUB *+AR2(05359h)%, -14, B ; 8538
+ SUB *+AR2(0535Ah)%, -13, A, A ; 8539
+ SUB *+AR2(0535Bh)%, -13, A, B ; 8540
+ SUB *+AR2(0535Ch)%, -13, A ; 8541
+ SUB *+AR2(0535Dh)%, -13, B, A ; 8542
+ SUB *+AR2(0535Eh)%, -13, B, B ; 8543
+ SUB *+AR2(0535Fh)%, -13, B ; 8544
+ SUB *+AR2(05360h)%, -12, A, A ; 8545
+ SUB *+AR2(05361h)%, -12, A, B ; 8546
+ SUB *+AR2(05362h)%, -12, A ; 8547
+ SUB *+AR2(05363h)%, -12, B, A ; 8548
+ SUB *+AR2(05364h)%, -12, B, B ; 8549
+ SUB *+AR2(05365h)%, -12, B ; 8550
+ SUB *+AR2(05366h)%, -11, A, A ; 8551
+ SUB *+AR2(05367h)%, -11, A, B ; 8552
+ SUB *+AR2(05368h)%, -11, A ; 8553
+ SUB *+AR2(05369h)%, -11, B, A ; 8554
+ SUB *+AR2(0536Ah)%, -11, B, B ; 8555
+ SUB *+AR2(0536Bh)%, -11, B ; 8556
+ SUB *+AR2(0536Ch)%, -10, A, A ; 8557
+ SUB *+AR2(0536Dh)%, -10, A, B ; 8558
+ SUB *+AR2(0536Eh)%, -10, A ; 8559
+ SUB *+AR2(0536Fh)%, -10, B, A ; 8560
+ SUB *+AR2(05370h)%, -10, B, B ; 8561
+ SUB *+AR2(05371h)%, -10, B ; 8562
+ SUB *+AR2(05372h)%, -9, A, A ; 8563
+ SUB *+AR2(05373h)%, -9, A, B ; 8564
+ SUB *+AR2(05374h)%, -9, A ; 8565
+ SUB *+AR2(05375h)%, -9, B, A ; 8566
+ SUB *+AR2(05376h)%, -9, B, B ; 8567
+ SUB *+AR2(05377h)%, -9, B ; 8568
+ SUB *+AR2(05378h)%, -8, A, A ; 8569
+ SUB *+AR2(05379h)%, -8, A, B ; 8570
+ SUB *+AR2(0537Ah)%, -8, A ; 8571
+ SUB *+AR2(0537Bh)%, -8, B, A ; 8572
+ SUB *+AR2(0537Ch)%, -8, B, B ; 8573
+ SUB *+AR2(0537Dh)%, -8, B ; 8574
+ SUB *+AR2(0537Eh)%, -7, A, A ; 8575
+ SUB *+AR2(0537Fh)%, -7, A, B ; 8576
+ SUB *+AR2(05380h)%, -7, A ; 8577
+ SUB *+AR2(05381h)%, -7, B, A ; 8578
+ SUB *+AR2(05382h)%, -7, B, B ; 8579
+ SUB *+AR2(05383h)%, -7, B ; 8580
+ SUB *+AR2(05384h)%, -6, A, A ; 8581
+ SUB *+AR2(05385h)%, -6, A, B ; 8582
+ SUB *+AR2(05386h)%, -6, A ; 8583
+ SUB *+AR2(05387h)%, -6, B, A ; 8584
+ SUB *+AR2(05388h)%, -6, B, B ; 8585
+ SUB *+AR2(05389h)%, -6, B ; 8586
+ SUB *+AR2(0538Ah)%, -5, A, A ; 8587
+ SUB *+AR2(0538Bh)%, -5, A, B ; 8588
+ SUB *+AR2(0538Ch)%, -5, A ; 8589
+ SUB *+AR2(0538Dh)%, -5, B, A ; 8590
+ SUB *+AR2(0538Eh)%, -5, B, B ; 8591
+ SUB *+AR2(0538Fh)%, -5, B ; 8592
+ SUB *+AR2(05390h)%, -4, A, A ; 8593
+ SUB *+AR2(05391h)%, -4, A, B ; 8594
+ SUB *+AR2(05392h)%, -4, A ; 8595
+ SUB *+AR2(05393h)%, -4, B, A ; 8596
+ SUB *+AR2(05394h)%, -4, B, B ; 8597
+ SUB *+AR2(05395h)%, -4, B ; 8598
+ SUB *+AR2(05396h)%, -3, A, A ; 8599
+ SUB *+AR2(05397h)%, -3, A, B ; 8600
+ SUB *+AR2(05398h)%, -3, A ; 8601
+ SUB *+AR2(05399h)%, -3, B, A ; 8602
+ SUB *+AR2(0539Ah)%, -3, B, B ; 8603
+ SUB *+AR2(0539Bh)%, -3, B ; 8604
+ SUB *+AR2(0539Ch)%, -2, A, A ; 8605
+ SUB *+AR2(0539Dh)%, -2, A, B ; 8606
+ SUB *+AR2(0539Eh)%, -2, A ; 8607
+ SUB *+AR2(0539Fh)%, -2, B, A ; 8608
+ SUB *+AR2(053A0h)%, -2, B, B ; 8609
+ SUB *+AR2(053A1h)%, -2, B ; 8610
+ SUB *+AR2(053A2h)%, -1, A, A ; 8611
+ SUB *+AR2(053A3h)%, -1, A, B ; 8612
+ SUB *+AR2(053A4h)%, -1, A ; 8613
+ SUB *+AR2(053A5h)%, -1, B, A ; 8614
+ SUB *+AR2(053A6h)%, -1, B, B ; 8615
+ SUB *+AR2(053A7h)%, -1, B ; 8616
+ SUB *+AR2(053A8h)%, 0, A, A ; 8617
+ SUB *+AR2(053A9h)%, 0, A, B ; 8618
+ SUB *+AR2(053AAh)%, 0, A ; 8619
+ SUB *+AR2(053ABh)%, 0, B, A ; 8620
+ SUB *+AR2(053ACh)%, 0, B, B ; 8621
+ SUB *+AR2(053ADh)%, 0, B ; 8622
+ SUB *+AR2(053AEh)%, 1, A, A ; 8623
+ SUB *+AR2(053AFh)%, 1, A, B ; 8624
+ SUB *+AR2(053B0h)%, 1, A ; 8625
+ SUB *+AR2(053B1h)%, 1, B, A ; 8626
+ SUB *+AR2(053B2h)%, 1, B, B ; 8627
+ SUB *+AR2(053B3h)%, 1, B ; 8628
+ SUB *+AR2(053B4h)%, 2, A, A ; 8629
+ SUB *+AR2(053B5h)%, 2, A, B ; 8630
+ SUB *+AR2(053B6h)%, 2, A ; 8631
+ SUB *+AR2(053B7h)%, 2, B, A ; 8632
+ SUB *+AR2(053B8h)%, 2, B, B ; 8633
+ SUB *+AR2(053B9h)%, 2, B ; 8634
+ SUB *+AR2(053BAh)%, 3, A, A ; 8635
+ SUB *+AR2(053BBh)%, 3, A, B ; 8636
+ SUB *+AR2(053BCh)%, 3, A ; 8637
+ SUB *+AR2(053BDh)%, 3, B, A ; 8638
+ SUB *+AR2(053BEh)%, 3, B, B ; 8639
+ SUB *+AR2(053BFh)%, 3, B ; 8640
+ SUB *+AR2(053C0h)%, 4, A, A ; 8641
+ SUB *+AR2(053C1h)%, 4, A, B ; 8642
+ SUB *+AR2(053C2h)%, 4, A ; 8643
+ SUB *+AR2(053C3h)%, 4, B, A ; 8644
+ SUB *+AR2(053C4h)%, 4, B, B ; 8645
+ SUB *+AR2(053C5h)%, 4, B ; 8646
+ SUB *+AR2(053C6h)%, 5, A, A ; 8647
+ SUB *+AR2(053C7h)%, 5, A, B ; 8648
+ SUB *+AR2(053C8h)%, 5, A ; 8649
+ SUB *+AR2(053C9h)%, 5, B, A ; 8650
+ SUB *+AR2(053CAh)%, 5, B, B ; 8651
+ SUB *+AR2(053CBh)%, 5, B ; 8652
+ SUB *+AR2(053CCh)%, 6, A, A ; 8653
+ SUB *+AR2(053CDh)%, 6, A, B ; 8654
+ SUB *+AR2(053CEh)%, 6, A ; 8655
+ SUB *+AR2(053CFh)%, 6, B, A ; 8656
+ SUB *+AR2(053D0h)%, 6, B, B ; 8657
+ SUB *+AR2(053D1h)%, 6, B ; 8658
+ SUB *+AR2(053D2h)%, 7, A, A ; 8659
+ SUB *+AR2(053D3h)%, 7, A, B ; 8660
+ SUB *+AR2(053D4h)%, 7, A ; 8661
+ SUB *+AR2(053D5h)%, 7, B, A ; 8662
+ SUB *+AR2(053D6h)%, 7, B, B ; 8663
+ SUB *+AR2(053D7h)%, 7, B ; 8664
+ SUB *+AR2(053D8h)%, 8, A, A ; 8665
+ SUB *+AR2(053D9h)%, 8, A, B ; 8666
+ SUB *+AR2(053DAh)%, 8, A ; 8667
+ SUB *+AR2(053DBh)%, 8, B, A ; 8668
+ SUB *+AR2(053DCh)%, 8, B, B ; 8669
+ SUB *+AR2(053DDh)%, 8, B ; 8670
+ SUB *+AR2(053DEh)%, 9, A, A ; 8671
+ SUB *+AR2(053DFh)%, 9, A, B ; 8672
+ SUB *+AR2(053E0h)%, 9, A ; 8673
+ SUB *+AR2(053E1h)%, 9, B, A ; 8674
+ SUB *+AR2(053E2h)%, 9, B, B ; 8675
+ SUB *+AR2(053E3h)%, 9, B ; 8676
+ SUB *+AR2(053E4h)%, 10, A, A ; 8677
+ SUB *+AR2(053E5h)%, 10, A, B ; 8678
+ SUB *+AR2(053E6h)%, 10, A ; 8679
+ SUB *+AR2(053E7h)%, 10, B, A ; 8680
+ SUB *+AR2(053E8h)%, 10, B, B ; 8681
+ SUB *+AR2(053E9h)%, 10, B ; 8682
+ SUB *+AR2(053EAh)%, 11, A, A ; 8683
+ SUB *+AR2(053EBh)%, 11, A, B ; 8684
+ SUB *+AR2(053ECh)%, 11, A ; 8685
+ SUB *+AR2(053EDh)%, 11, B, A ; 8686
+ SUB *+AR2(053EEh)%, 11, B, B ; 8687
+ SUB *+AR2(053EFh)%, 11, B ; 8688
+ SUB *+AR2(053F0h)%, 12, A, A ; 8689
+ SUB *+AR2(053F1h)%, 12, A, B ; 8690
+ SUB *+AR2(053F2h)%, 12, A ; 8691
+ SUB *+AR2(053F3h)%, 12, B, A ; 8692
+ SUB *+AR2(053F4h)%, 12, B, B ; 8693
+ SUB *+AR2(053F5h)%, 12, B ; 8694
+ SUB *+AR2(053F6h)%, 13, A, A ; 8695
+ SUB *+AR2(053F7h)%, 13, A, B ; 8696
+ SUB *+AR2(053F8h)%, 13, A ; 8697
+ SUB *+AR2(053F9h)%, 13, B, A ; 8698
+ SUB *+AR2(053FAh)%, 13, B, B ; 8699
+ SUB *+AR2(053FBh)%, 13, B ; 8700
+ SUB *+AR2(053FCh)%, 14, A, A ; 8701
+ SUB *+AR2(053FDh)%, 14, A, B ; 8702
+ SUB *+AR2(053FEh)%, 14, A ; 8703
+ SUB *+AR2(053FFh)%, 14, B, A ; 8704
+ SUB *+AR2(05400h)%, 14, B, B ; 8705
+ SUB *+AR2(05401h)%, 14, B ; 8706
+ SUB *+AR2(05402h)%, 15, A, A ; 8707
+ SUB *+AR2(05403h)%, 15, A, B ; 8708
+ SUB *+AR2(05404h)%, 15, A ; 8709
+ SUB *+AR2(05405h)%, 15, B, A ; 8710
+ SUB *+AR2(05406h)%, 15, B, B ; 8711
+ SUB *+AR2(05407h)%, 15, B ; 8712
+ SUB *(05408h), A, A ; 8713
+ SUB *(05409h), A, B ; 8714
+ SUB *(0540Ah), A ; 8715
+ SUB *(0540Bh), B, A ; 8716
+ SUB *(0540Ch), B, B ; 8717
+ SUB *(0540Dh), B ; 8718
+ SUB *(0540Eh), -16, A, A ; 8719
+ SUB *(0540Fh), -16, A, B ; 8720
+ SUB *(05410h), -16, A ; 8721
+ SUB *(05411h), -16, B, A ; 8722
+ SUB *(05412h), -16, B, B ; 8723
+ SUB *(05413h), -16, B ; 8724
+ SUB *(05414h), -15, A, A ; 8725
+ SUB *(05415h), -15, A, B ; 8726
+ SUB *(05416h), -15, A ; 8727
+ SUB *(05417h), -15, B, A ; 8728
+ SUB *(05418h), -15, B, B ; 8729
+ SUB *(05419h), -15, B ; 8730
+ SUB *(0541Ah), -14, A, A ; 8731
+ SUB *(0541Bh), -14, A, B ; 8732
+ SUB *(0541Ch), -14, A ; 8733
+ SUB *(0541Dh), -14, B, A ; 8734
+ SUB *(0541Eh), -14, B, B ; 8735
+ SUB *(0541Fh), -14, B ; 8736
+ SUB *(05420h), -13, A, A ; 8737
+ SUB *(05421h), -13, A, B ; 8738
+ SUB *(05422h), -13, A ; 8739
+ SUB *(05423h), -13, B, A ; 8740
+ SUB *(05424h), -13, B, B ; 8741
+ SUB *(05425h), -13, B ; 8742
+ SUB *(05426h), -12, A, A ; 8743
+ SUB *(05427h), -12, A, B ; 8744
+ SUB *(05428h), -12, A ; 8745
+ SUB *(05429h), -12, B, A ; 8746
+ SUB *(0542Ah), -12, B, B ; 8747
+ SUB *(0542Bh), -12, B ; 8748
+ SUB *(0542Ch), -11, A, A ; 8749
+ SUB *(0542Dh), -11, A, B ; 8750
+ SUB *(0542Eh), -11, A ; 8751
+ SUB *(0542Fh), -11, B, A ; 8752
+ SUB *(05430h), -11, B, B ; 8753
+ SUB *(05431h), -11, B ; 8754
+ SUB *(05432h), -10, A, A ; 8755
+ SUB *(05433h), -10, A, B ; 8756
+ SUB *(05434h), -10, A ; 8757
+ SUB *(05435h), -10, B, A ; 8758
+ SUB *(05436h), -10, B, B ; 8759
+ SUB *(05437h), -10, B ; 8760
+ SUB *(05438h), -9, A, A ; 8761
+ SUB *(05439h), -9, A, B ; 8762
+ SUB *(0543Ah), -9, A ; 8763
+ SUB *(0543Bh), -9, B, A ; 8764
+ SUB *(0543Ch), -9, B, B ; 8765
+ SUB *(0543Dh), -9, B ; 8766
+ SUB *(0543Eh), -8, A, A ; 8767
+ SUB *(0543Fh), -8, A, B ; 8768
+ SUB *(05440h), -8, A ; 8769
+ SUB *(05441h), -8, B, A ; 8770
+ SUB *(05442h), -8, B, B ; 8771
+ SUB *(05443h), -8, B ; 8772
+ SUB *(05444h), -7, A, A ; 8773
+ SUB *(05445h), -7, A, B ; 8774
+ SUB *(05446h), -7, A ; 8775
+ SUB *(05447h), -7, B, A ; 8776
+ SUB *(05448h), -7, B, B ; 8777
+ SUB *(05449h), -7, B ; 8778
+ SUB *(0544Ah), -6, A, A ; 8779
+ SUB *(0544Bh), -6, A, B ; 8780
+ SUB *(0544Ch), -6, A ; 8781
+ SUB *(0544Dh), -6, B, A ; 8782
+ SUB *(0544Eh), -6, B, B ; 8783
+ SUB *(0544Fh), -6, B ; 8784
+ SUB *(05450h), -5, A, A ; 8785
+ SUB *(05451h), -5, A, B ; 8786
+ SUB *(05452h), -5, A ; 8787
+ SUB *(05453h), -5, B, A ; 8788
+ SUB *(05454h), -5, B, B ; 8789
+ SUB *(05455h), -5, B ; 8790
+ SUB *(05456h), -4, A, A ; 8791
+ SUB *(05457h), -4, A, B ; 8792
+ SUB *(05458h), -4, A ; 8793
+ SUB *(05459h), -4, B, A ; 8794
+ SUB *(0545Ah), -4, B, B ; 8795
+ SUB *(0545Bh), -4, B ; 8796
+ SUB *(0545Ch), -3, A, A ; 8797
+ SUB *(0545Dh), -3, A, B ; 8798
+ SUB *(0545Eh), -3, A ; 8799
+ SUB *(0545Fh), -3, B, A ; 8800
+ SUB *(05460h), -3, B, B ; 8801
+ SUB *(05461h), -3, B ; 8802
+ SUB *(05462h), -2, A, A ; 8803
+ SUB *(05463h), -2, A, B ; 8804
+ SUB *(05464h), -2, A ; 8805
+ SUB *(05465h), -2, B, A ; 8806
+ SUB *(05466h), -2, B, B ; 8807
+ SUB *(05467h), -2, B ; 8808
+ SUB *(05468h), -1, A, A ; 8809
+ SUB *(05469h), -1, A, B ; 8810
+ SUB *(0546Ah), -1, A ; 8811
+ SUB *(0546Bh), -1, B, A ; 8812
+ SUB *(0546Ch), -1, B, B ; 8813
+ SUB *(0546Dh), -1, B ; 8814
+ SUB *(0546Eh), 0, A, A ; 8815
+ SUB *(0546Fh), 0, A, B ; 8816
+ SUB *(05470h), 0, A ; 8817
+ SUB *(05471h), 0, B, A ; 8818
+ SUB *(05472h), 0, B, B ; 8819
+ SUB *(05473h), 0, B ; 8820
+ SUB *(05474h), 1, A, A ; 8821
+ SUB *(05475h), 1, A, B ; 8822
+ SUB *(05476h), 1, A ; 8823
+ SUB *(05477h), 1, B, A ; 8824
+ SUB *(05478h), 1, B, B ; 8825
+ SUB *(05479h), 1, B ; 8826
+ SUB *(0547Ah), 2, A, A ; 8827
+ SUB *(0547Bh), 2, A, B ; 8828
+ SUB *(0547Ch), 2, A ; 8829
+ SUB *(0547Dh), 2, B, A ; 8830
+ SUB *(0547Eh), 2, B, B ; 8831
+ SUB *(0547Fh), 2, B ; 8832
+ SUB *(05480h), 3, A, A ; 8833
+ SUB *(05481h), 3, A, B ; 8834
+ SUB *(05482h), 3, A ; 8835
+ SUB *(05483h), 3, B, A ; 8836
+ SUB *(05484h), 3, B, B ; 8837
+ SUB *(05485h), 3, B ; 8838
+ SUB *(05486h), 4, A, A ; 8839
+ SUB *(05487h), 4, A, B ; 8840
+ SUB *(05488h), 4, A ; 8841
+ SUB *(05489h), 4, B, A ; 8842
+ SUB *(0548Ah), 4, B, B ; 8843
+ SUB *(0548Bh), 4, B ; 8844
+ SUB *(0548Ch), 5, A, A ; 8845
+ SUB *(0548Dh), 5, A, B ; 8846
+ SUB *(0548Eh), 5, A ; 8847
+ SUB *(0548Fh), 5, B, A ; 8848
+ SUB *(05490h), 5, B, B ; 8849
+ SUB *(05491h), 5, B ; 8850
+ SUB *(05492h), 6, A, A ; 8851
+ SUB *(05493h), 6, A, B ; 8852
+ SUB *(05494h), 6, A ; 8853
+ SUB *(05495h), 6, B, A ; 8854
+ SUB *(05496h), 6, B, B ; 8855
+ SUB *(05497h), 6, B ; 8856
+ SUB *(05498h), 7, A, A ; 8857
+ SUB *(05499h), 7, A, B ; 8858
+ SUB *(0549Ah), 7, A ; 8859
+ SUB *(0549Bh), 7, B, A ; 8860
+ SUB *(0549Ch), 7, B, B ; 8861
+ SUB *(0549Dh), 7, B ; 8862
+ SUB *(0549Eh), 8, A, A ; 8863
+ SUB *(0549Fh), 8, A, B ; 8864
+ SUB *(054A0h), 8, A ; 8865
+ SUB *(054A1h), 8, B, A ; 8866
+ SUB *(054A2h), 8, B, B ; 8867
+ SUB *(054A3h), 8, B ; 8868
+ SUB *(054A4h), 9, A, A ; 8869
+ SUB *(054A5h), 9, A, B ; 8870
+ SUB *(054A6h), 9, A ; 8871
+ SUB *(054A7h), 9, B, A ; 8872
+ SUB *(054A8h), 9, B, B ; 8873
+ SUB *(054A9h), 9, B ; 8874
+ SUB *(054AAh), 10, A, A ; 8875
+ SUB *(054ABh), 10, A, B ; 8876
+ SUB *(054ACh), 10, A ; 8877
+ SUB *(054ADh), 10, B, A ; 8878
+ SUB *(054AEh), 10, B, B ; 8879
+ SUB *(054AFh), 10, B ; 8880
+ SUB *(054B0h), 11, A, A ; 8881
+ SUB *(054B1h), 11, A, B ; 8882
+ SUB *(054B2h), 11, A ; 8883
+ SUB *(054B3h), 11, B, A ; 8884
+ SUB *(054B4h), 11, B, B ; 8885
+ SUB *(054B5h), 11, B ; 8886
+ SUB *(054B6h), 12, A, A ; 8887
+ SUB *(054B7h), 12, A, B ; 8888
+ SUB *(054B8h), 12, A ; 8889
+ SUB *(054B9h), 12, B, A ; 8890
+ SUB *(054BAh), 12, B, B ; 8891
+ SUB *(054BBh), 12, B ; 8892
+ SUB *(054BCh), 13, A, A ; 8893
+ SUB *(054BDh), 13, A, B ; 8894
+ SUB *(054BEh), 13, A ; 8895
+ SUB *(054BFh), 13, B, A ; 8896
+ SUB *(054C0h), 13, B, B ; 8897
+ SUB *(054C1h), 13, B ; 8898
+ SUB *(054C2h), 14, A, A ; 8899
+ SUB *(054C3h), 14, A, B ; 8900
+ SUB *(054C4h), 14, A ; 8901
+ SUB *(054C5h), 14, B, A ; 8902
+ SUB *(054C6h), 14, B, B ; 8903
+ SUB *(054C7h), 14, B ; 8904
+ SUB *(054C8h), 15, A, A ; 8905
+ SUB *(054C9h), 15, A, B ; 8906
+ SUB *(054CAh), 15, A ; 8907
+ SUB *(054CBh), 15, B, A ; 8908
+ SUB *(054CCh), 15, B, B ; 8909
+ SUB *(054CDh), 15, B ; 8910
+ SUB *AR3, A, A ; 8911
+ SUB *AR3, A, B ; 8912
+ SUB *AR3, A ; 8913
+ SUB *AR3, B, A ; 8914
+ SUB *AR3, B, B ; 8915
+ SUB *AR3, B ; 8916
+ SUB *AR3, -16, A, A ; 8917
+ SUB *AR3, -16, A, B ; 8918
+ SUB *AR3, -16, A ; 8919
+ SUB *AR3, -16, B, A ; 8920
+ SUB *AR3, -16, B, B ; 8921
+ SUB *AR3, -16, B ; 8922
+ SUB *AR3, -15, A, A ; 8923
+ SUB *AR3, -15, A, B ; 8924
+ SUB *AR3, -15, A ; 8925
+ SUB *AR3, -15, B, A ; 8926
+ SUB *AR3, -15, B, B ; 8927
+ SUB *AR3, -15, B ; 8928
+ SUB *AR3, -14, A, A ; 8929
+ SUB *AR3, -14, A, B ; 8930
+ SUB *AR3, -14, A ; 8931
+ SUB *AR3, -14, B, A ; 8932
+ SUB *AR3, -14, B, B ; 8933
+ SUB *AR3, -14, B ; 8934
+ SUB *AR3, -13, A, A ; 8935
+ SUB *AR3, -13, A, B ; 8936
+ SUB *AR3, -13, A ; 8937
+ SUB *AR3, -13, B, A ; 8938
+ SUB *AR3, -13, B, B ; 8939
+ SUB *AR3, -13, B ; 8940
+ SUB *AR3, -12, A, A ; 8941
+ SUB *AR3, -12, A, B ; 8942
+ SUB *AR3, -12, A ; 8943
+ SUB *AR3, -12, B, A ; 8944
+ SUB *AR3, -12, B, B ; 8945
+ SUB *AR3, -12, B ; 8946
+ SUB *AR3, -11, A, A ; 8947
+ SUB *AR3, -11, A, B ; 8948
+ SUB *AR3, -11, A ; 8949
+ SUB *AR3, -11, B, A ; 8950
+ SUB *AR3, -11, B, B ; 8951
+ SUB *AR3, -11, B ; 8952
+ SUB *AR3, -10, A, A ; 8953
+ SUB *AR3, -10, A, B ; 8954
+ SUB *AR3, -10, A ; 8955
+ SUB *AR3, -10, B, A ; 8956
+ SUB *AR3, -10, B, B ; 8957
+ SUB *AR3, -10, B ; 8958
+ SUB *AR3, -9, A, A ; 8959
+ SUB *AR3, -9, A, B ; 8960
+ SUB *AR3, -9, A ; 8961
+ SUB *AR3, -9, B, A ; 8962
+ SUB *AR3, -9, B, B ; 8963
+ SUB *AR3, -9, B ; 8964
+ SUB *AR3, -8, A, A ; 8965
+ SUB *AR3, -8, A, B ; 8966
+ SUB *AR3, -8, A ; 8967
+ SUB *AR3, -8, B, A ; 8968
+ SUB *AR3, -8, B, B ; 8969
+ SUB *AR3, -8, B ; 8970
+ SUB *AR3, -7, A, A ; 8971
+ SUB *AR3, -7, A, B ; 8972
+ SUB *AR3, -7, A ; 8973
+ SUB *AR3, -7, B, A ; 8974
+ SUB *AR3, -7, B, B ; 8975
+ SUB *AR3, -7, B ; 8976
+ SUB *AR3, -6, A, A ; 8977
+ SUB *AR3, -6, A, B ; 8978
+ SUB *AR3, -6, A ; 8979
+ SUB *AR3, -6, B, A ; 8980
+ SUB *AR3, -6, B, B ; 8981
+ SUB *AR3, -6, B ; 8982
+ SUB *AR3, -5, A, A ; 8983
+ SUB *AR3, -5, A, B ; 8984
+ SUB *AR3, -5, A ; 8985
+ SUB *AR3, -5, B, A ; 8986
+ SUB *AR3, -5, B, B ; 8987
+ SUB *AR3, -5, B ; 8988
+ SUB *AR3, -4, A, A ; 8989
+ SUB *AR3, -4, A, B ; 8990
+ SUB *AR3, -4, A ; 8991
+ SUB *AR3, -4, B, A ; 8992
+ SUB *AR3, -4, B, B ; 8993
+ SUB *AR3, -4, B ; 8994
+ SUB *AR3, -3, A, A ; 8995
+ SUB *AR3, -3, A, B ; 8996
+ SUB *AR3, -3, A ; 8997
+ SUB *AR3, -3, B, A ; 8998
+ SUB *AR3, -3, B, B ; 8999
+ SUB *AR3, -3, B ; 9000
+ SUB *AR3, -2, A, A ; 9001
+ SUB *AR3, -2, A, B ; 9002
+ SUB *AR3, -2, A ; 9003
+ SUB *AR3, -2, B, A ; 9004
+ SUB *AR3, -2, B, B ; 9005
+ SUB *AR3, -2, B ; 9006
+ SUB *AR3, -1, A, A ; 9007
+ SUB *AR3, -1, A, B ; 9008
+ SUB *AR3, -1, A ; 9009
+ SUB *AR3, -1, B, A ; 9010
+ SUB *AR3, -1, B, B ; 9011
+ SUB *AR3, -1, B ; 9012
+ SUB *AR3, 0, A, A ; 9013
+ SUB *AR3, 0, A, B ; 9014
+ SUB *AR3, 0, A ; 9015
+ SUB *AR3, 0, B, A ; 9016
+ SUB *AR3, 0, B, B ; 9017
+ SUB *AR3, 0, B ; 9018
+ SUB *AR3, 1, A, A ; 9019
+ SUB *AR3, 1, A, B ; 9020
+ SUB *AR3, 1, A ; 9021
+ SUB *AR3, 1, B, A ; 9022
+ SUB *AR3, 1, B, B ; 9023
+ SUB *AR3, 1, B ; 9024
+ SUB *AR3, 2, A, A ; 9025
+ SUB *AR3, 2, A, B ; 9026
+ SUB *AR3, 2, A ; 9027
+ SUB *AR3, 2, B, A ; 9028
+ SUB *AR3, 2, B, B ; 9029
+ SUB *AR3, 2, B ; 9030
+ SUB *AR3, 3, A, A ; 9031
+ SUB *AR3, 3, A, B ; 9032
+ SUB *AR3, 3, A ; 9033
+ SUB *AR3, 3, B, A ; 9034
+ SUB *AR3, 3, B, B ; 9035
+ SUB *AR3, 3, B ; 9036
+ SUB *AR3, 4, A, A ; 9037
+ SUB *AR3, 4, A, B ; 9038
+ SUB *AR3, 4, A ; 9039
+ SUB *AR3, 4, B, A ; 9040
+ SUB *AR3, 4, B, B ; 9041
+ SUB *AR3, 4, B ; 9042
+ SUB *AR3, 5, A, A ; 9043
+ SUB *AR3, 5, A, B ; 9044
+ SUB *AR3, 5, A ; 9045
+ SUB *AR3, 5, B, A ; 9046
+ SUB *AR3, 5, B, B ; 9047
+ SUB *AR3, 5, B ; 9048
+ SUB *AR3, 6, A, A ; 9049
+ SUB *AR3, 6, A, B ; 9050
+ SUB *AR3, 6, A ; 9051
+ SUB *AR3, 6, B, A ; 9052
+ SUB *AR3, 6, B, B ; 9053
+ SUB *AR3, 6, B ; 9054
+ SUB *AR3, 7, A, A ; 9055
+ SUB *AR3, 7, A, B ; 9056
+ SUB *AR3, 7, A ; 9057
+ SUB *AR3, 7, B, A ; 9058
+ SUB *AR3, 7, B, B ; 9059
+ SUB *AR3, 7, B ; 9060
+ SUB *AR3, 8, A, A ; 9061
+ SUB *AR3, 8, A, B ; 9062
+ SUB *AR3, 8, A ; 9063
+ SUB *AR3, 8, B, A ; 9064
+ SUB *AR3, 8, B, B ; 9065
+ SUB *AR3, 8, B ; 9066
+ SUB *AR3, 9, A, A ; 9067
+ SUB *AR3, 9, A, B ; 9068
+ SUB *AR3, 9, A ; 9069
+ SUB *AR3, 9, B, A ; 9070
+ SUB *AR3, 9, B, B ; 9071
+ SUB *AR3, 9, B ; 9072
+ SUB *AR3, 10, A, A ; 9073
+ SUB *AR3, 10, A, B ; 9074
+ SUB *AR3, 10, A ; 9075
+ SUB *AR3, 10, B, A ; 9076
+ SUB *AR3, 10, B, B ; 9077
+ SUB *AR3, 10, B ; 9078
+ SUB *AR3, 11, A, A ; 9079
+ SUB *AR3, 11, A, B ; 9080
+ SUB *AR3, 11, A ; 9081
+ SUB *AR3, 11, B, A ; 9082
+ SUB *AR3, 11, B, B ; 9083
+ SUB *AR3, 11, B ; 9084
+ SUB *AR3, 12, A, A ; 9085
+ SUB *AR3, 12, A, B ; 9086
+ SUB *AR3, 12, A ; 9087
+ SUB *AR3, 12, B, A ; 9088
+ SUB *AR3, 12, B, B ; 9089
+ SUB *AR3, 12, B ; 9090
+ SUB *AR3, 13, A, A ; 9091
+ SUB *AR3, 13, A, B ; 9092
+ SUB *AR3, 13, A ; 9093
+ SUB *AR3, 13, B, A ; 9094
+ SUB *AR3, 13, B, B ; 9095
+ SUB *AR3, 13, B ; 9096
+ SUB *AR3, 14, A, A ; 9097
+ SUB *AR3, 14, A, B ; 9098
+ SUB *AR3, 14, A ; 9099
+ SUB *AR3, 14, B, A ; 9100
+ SUB *AR3, 14, B, B ; 9101
+ SUB *AR3, 14, B ; 9102
+ SUB *AR3, 15, A, A ; 9103
+ SUB *AR3, 15, A, B ; 9104
+ SUB *AR3, 15, A ; 9105
+ SUB *AR3, 15, B, A ; 9106
+ SUB *AR3, 15, B, B ; 9107
+ SUB *AR3, 15, B ; 9108
+ SUB *AR3-, A, A ; 9109
+ SUB *AR3-, A, B ; 9110
+ SUB *AR3-, A ; 9111
+ SUB *AR3-, B, A ; 9112
+ SUB *AR3-, B, B ; 9113
+ SUB *AR3-, B ; 9114
+ SUB *AR3-, -16, A, A ; 9115
+ SUB *AR3-, -16, A, B ; 9116
+ SUB *AR3-, -16, A ; 9117
+ SUB *AR3-, -16, B, A ; 9118
+ SUB *AR3-, -16, B, B ; 9119
+ SUB *AR3-, -16, B ; 9120
+ SUB *AR3-, -15, A, A ; 9121
+ SUB *AR3-, -15, A, B ; 9122
+ SUB *AR3-, -15, A ; 9123
+ SUB *AR3-, -15, B, A ; 9124
+ SUB *AR3-, -15, B, B ; 9125
+ SUB *AR3-, -15, B ; 9126
+ SUB *AR3-, -14, A, A ; 9127
+ SUB *AR3-, -14, A, B ; 9128
+ SUB *AR3-, -14, A ; 9129
+ SUB *AR3-, -14, B, A ; 9130
+ SUB *AR3-, -14, B, B ; 9131
+ SUB *AR3-, -14, B ; 9132
+ SUB *AR3-, -13, A, A ; 9133
+ SUB *AR3-, -13, A, B ; 9134
+ SUB *AR3-, -13, A ; 9135
+ SUB *AR3-, -13, B, A ; 9136
+ SUB *AR3-, -13, B, B ; 9137
+ SUB *AR3-, -13, B ; 9138
+ SUB *AR3-, -12, A, A ; 9139
+ SUB *AR3-, -12, A, B ; 9140
+ SUB *AR3-, -12, A ; 9141
+ SUB *AR3-, -12, B, A ; 9142
+ SUB *AR3-, -12, B, B ; 9143
+ SUB *AR3-, -12, B ; 9144
+ SUB *AR3-, -11, A, A ; 9145
+ SUB *AR3-, -11, A, B ; 9146
+ SUB *AR3-, -11, A ; 9147
+ SUB *AR3-, -11, B, A ; 9148
+ SUB *AR3-, -11, B, B ; 9149
+ SUB *AR3-, -11, B ; 9150
+ SUB *AR3-, -10, A, A ; 9151
+ SUB *AR3-, -10, A, B ; 9152
+ SUB *AR3-, -10, A ; 9153
+ SUB *AR3-, -10, B, A ; 9154
+ SUB *AR3-, -10, B, B ; 9155
+ SUB *AR3-, -10, B ; 9156
+ SUB *AR3-, -9, A, A ; 9157
+ SUB *AR3-, -9, A, B ; 9158
+ SUB *AR3-, -9, A ; 9159
+ SUB *AR3-, -9, B, A ; 9160
+ SUB *AR3-, -9, B, B ; 9161
+ SUB *AR3-, -9, B ; 9162
+ SUB *AR3-, -8, A, A ; 9163
+ SUB *AR3-, -8, A, B ; 9164
+ SUB *AR3-, -8, A ; 9165
+ SUB *AR3-, -8, B, A ; 9166
+ SUB *AR3-, -8, B, B ; 9167
+ SUB *AR3-, -8, B ; 9168
+ SUB *AR3-, -7, A, A ; 9169
+ SUB *AR3-, -7, A, B ; 9170
+ SUB *AR3-, -7, A ; 9171
+ SUB *AR3-, -7, B, A ; 9172
+ SUB *AR3-, -7, B, B ; 9173
+ SUB *AR3-, -7, B ; 9174
+ SUB *AR3-, -6, A, A ; 9175
+ SUB *AR3-, -6, A, B ; 9176
+ SUB *AR3-, -6, A ; 9177
+ SUB *AR3-, -6, B, A ; 9178
+ SUB *AR3-, -6, B, B ; 9179
+ SUB *AR3-, -6, B ; 9180
+ SUB *AR3-, -5, A, A ; 9181
+ SUB *AR3-, -5, A, B ; 9182
+ SUB *AR3-, -5, A ; 9183
+ SUB *AR3-, -5, B, A ; 9184
+ SUB *AR3-, -5, B, B ; 9185
+ SUB *AR3-, -5, B ; 9186
+ SUB *AR3-, -4, A, A ; 9187
+ SUB *AR3-, -4, A, B ; 9188
+ SUB *AR3-, -4, A ; 9189
+ SUB *AR3-, -4, B, A ; 9190
+ SUB *AR3-, -4, B, B ; 9191
+ SUB *AR3-, -4, B ; 9192
+ SUB *AR3-, -3, A, A ; 9193
+ SUB *AR3-, -3, A, B ; 9194
+ SUB *AR3-, -3, A ; 9195
+ SUB *AR3-, -3, B, A ; 9196
+ SUB *AR3-, -3, B, B ; 9197
+ SUB *AR3-, -3, B ; 9198
+ SUB *AR3-, -2, A, A ; 9199
+ SUB *AR3-, -2, A, B ; 9200
+ SUB *AR3-, -2, A ; 9201
+ SUB *AR3-, -2, B, A ; 9202
+ SUB *AR3-, -2, B, B ; 9203
+ SUB *AR3-, -2, B ; 9204
+ SUB *AR3-, -1, A, A ; 9205
+ SUB *AR3-, -1, A, B ; 9206
+ SUB *AR3-, -1, A ; 9207
+ SUB *AR3-, -1, B, A ; 9208
+ SUB *AR3-, -1, B, B ; 9209
+ SUB *AR3-, -1, B ; 9210
+ SUB *AR3-, 0, A, A ; 9211
+ SUB *AR3-, 0, A, B ; 9212
+ SUB *AR3-, 0, A ; 9213
+ SUB *AR3-, 0, B, A ; 9214
+ SUB *AR3-, 0, B, B ; 9215
+ SUB *AR3-, 0, B ; 9216
+ SUB *AR3-, 1, A, A ; 9217
+ SUB *AR3-, 1, A, B ; 9218
+ SUB *AR3-, 1, A ; 9219
+ SUB *AR3-, 1, B, A ; 9220
+ SUB *AR3-, 1, B, B ; 9221
+ SUB *AR3-, 1, B ; 9222
+ SUB *AR3-, 2, A, A ; 9223
+ SUB *AR3-, 2, A, B ; 9224
+ SUB *AR3-, 2, A ; 9225
+ SUB *AR3-, 2, B, A ; 9226
+ SUB *AR3-, 2, B, B ; 9227
+ SUB *AR3-, 2, B ; 9228
+ SUB *AR3-, 3, A, A ; 9229
+ SUB *AR3-, 3, A, B ; 9230
+ SUB *AR3-, 3, A ; 9231
+ SUB *AR3-, 3, B, A ; 9232
+ SUB *AR3-, 3, B, B ; 9233
+ SUB *AR3-, 3, B ; 9234
+ SUB *AR3-, 4, A, A ; 9235
+ SUB *AR3-, 4, A, B ; 9236
+ SUB *AR3-, 4, A ; 9237
+ SUB *AR3-, 4, B, A ; 9238
+ SUB *AR3-, 4, B, B ; 9239
+ SUB *AR3-, 4, B ; 9240
+ SUB *AR3-, 5, A, A ; 9241
+ SUB *AR3-, 5, A, B ; 9242
+ SUB *AR3-, 5, A ; 9243
+ SUB *AR3-, 5, B, A ; 9244
+ SUB *AR3-, 5, B, B ; 9245
+ SUB *AR3-, 5, B ; 9246
+ SUB *AR3-, 6, A, A ; 9247
+ SUB *AR3-, 6, A, B ; 9248
+ SUB *AR3-, 6, A ; 9249
+ SUB *AR3-, 6, B, A ; 9250
+ SUB *AR3-, 6, B, B ; 9251
+ SUB *AR3-, 6, B ; 9252
+ SUB *AR3-, 7, A, A ; 9253
+ SUB *AR3-, 7, A, B ; 9254
+ SUB *AR3-, 7, A ; 9255
+ SUB *AR3-, 7, B, A ; 9256
+ SUB *AR3-, 7, B, B ; 9257
+ SUB *AR3-, 7, B ; 9258
+ SUB *AR3-, 8, A, A ; 9259
+ SUB *AR3-, 8, A, B ; 9260
+ SUB *AR3-, 8, A ; 9261
+ SUB *AR3-, 8, B, A ; 9262
+ SUB *AR3-, 8, B, B ; 9263
+ SUB *AR3-, 8, B ; 9264
+ SUB *AR3-, 9, A, A ; 9265
+ SUB *AR3-, 9, A, B ; 9266
+ SUB *AR3-, 9, A ; 9267
+ SUB *AR3-, 9, B, A ; 9268
+ SUB *AR3-, 9, B, B ; 9269
+ SUB *AR3-, 9, B ; 9270
+ SUB *AR3-, 10, A, A ; 9271
+ SUB *AR3-, 10, A, B ; 9272
+ SUB *AR3-, 10, A ; 9273
+ SUB *AR3-, 10, B, A ; 9274
+ SUB *AR3-, 10, B, B ; 9275
+ SUB *AR3-, 10, B ; 9276
+ SUB *AR3-, 11, A, A ; 9277
+ SUB *AR3-, 11, A, B ; 9278
+ SUB *AR3-, 11, A ; 9279
+ SUB *AR3-, 11, B, A ; 9280
+ SUB *AR3-, 11, B, B ; 9281
+ SUB *AR3-, 11, B ; 9282
+ SUB *AR3-, 12, A, A ; 9283
+ SUB *AR3-, 12, A, B ; 9284
+ SUB *AR3-, 12, A ; 9285
+ SUB *AR3-, 12, B, A ; 9286
+ SUB *AR3-, 12, B, B ; 9287
+ SUB *AR3-, 12, B ; 9288
+ SUB *AR3-, 13, A, A ; 9289
+ SUB *AR3-, 13, A, B ; 9290
+ SUB *AR3-, 13, A ; 9291
+ SUB *AR3-, 13, B, A ; 9292
+ SUB *AR3-, 13, B, B ; 9293
+ SUB *AR3-, 13, B ; 9294
+ SUB *AR3-, 14, A, A ; 9295
+ SUB *AR3-, 14, A, B ; 9296
+ SUB *AR3-, 14, A ; 9297
+ SUB *AR3-, 14, B, A ; 9298
+ SUB *AR3-, 14, B, B ; 9299
+ SUB *AR3-, 14, B ; 9300
+ SUB *AR3-, 15, A, A ; 9301
+ SUB *AR3-, 15, A, B ; 9302
+ SUB *AR3-, 15, A ; 9303
+ SUB *AR3-, 15, B, A ; 9304
+ SUB *AR3-, 15, B, B ; 9305
+ SUB *AR3-, 15, B ; 9306
+ SUB *AR3+, A, A ; 9307
+ SUB *AR3+, A, B ; 9308
+ SUB *AR3+, A ; 9309
+ SUB *AR3+, B, A ; 9310
+ SUB *AR3+, B, B ; 9311
+ SUB *AR3+, B ; 9312
+ SUB *AR3+, -16, A, A ; 9313
+ SUB *AR3+, -16, A, B ; 9314
+ SUB *AR3+, -16, A ; 9315
+ SUB *AR3+, -16, B, A ; 9316
+ SUB *AR3+, -16, B, B ; 9317
+ SUB *AR3+, -16, B ; 9318
+ SUB *AR3+, -15, A, A ; 9319
+ SUB *AR3+, -15, A, B ; 9320
+ SUB *AR3+, -15, A ; 9321
+ SUB *AR3+, -15, B, A ; 9322
+ SUB *AR3+, -15, B, B ; 9323
+ SUB *AR3+, -15, B ; 9324
+ SUB *AR3+, -14, A, A ; 9325
+ SUB *AR3+, -14, A, B ; 9326
+ SUB *AR3+, -14, A ; 9327
+ SUB *AR3+, -14, B, A ; 9328
+ SUB *AR3+, -14, B, B ; 9329
+ SUB *AR3+, -14, B ; 9330
+ SUB *AR3+, -13, A, A ; 9331
+ SUB *AR3+, -13, A, B ; 9332
+ SUB *AR3+, -13, A ; 9333
+ SUB *AR3+, -13, B, A ; 9334
+ SUB *AR3+, -13, B, B ; 9335
+ SUB *AR3+, -13, B ; 9336
+ SUB *AR3+, -12, A, A ; 9337
+ SUB *AR3+, -12, A, B ; 9338
+ SUB *AR3+, -12, A ; 9339
+ SUB *AR3+, -12, B, A ; 9340
+ SUB *AR3+, -12, B, B ; 9341
+ SUB *AR3+, -12, B ; 9342
+ SUB *AR3+, -11, A, A ; 9343
+ SUB *AR3+, -11, A, B ; 9344
+ SUB *AR3+, -11, A ; 9345
+ SUB *AR3+, -11, B, A ; 9346
+ SUB *AR3+, -11, B, B ; 9347
+ SUB *AR3+, -11, B ; 9348
+ SUB *AR3+, -10, A, A ; 9349
+ SUB *AR3+, -10, A, B ; 9350
+ SUB *AR3+, -10, A ; 9351
+ SUB *AR3+, -10, B, A ; 9352
+ SUB *AR3+, -10, B, B ; 9353
+ SUB *AR3+, -10, B ; 9354
+ SUB *AR3+, -9, A, A ; 9355
+ SUB *AR3+, -9, A, B ; 9356
+ SUB *AR3+, -9, A ; 9357
+ SUB *AR3+, -9, B, A ; 9358
+ SUB *AR3+, -9, B, B ; 9359
+ SUB *AR3+, -9, B ; 9360
+ SUB *AR3+, -8, A, A ; 9361
+ SUB *AR3+, -8, A, B ; 9362
+ SUB *AR3+, -8, A ; 9363
+ SUB *AR3+, -8, B, A ; 9364
+ SUB *AR3+, -8, B, B ; 9365
+ SUB *AR3+, -8, B ; 9366
+ SUB *AR3+, -7, A, A ; 9367
+ SUB *AR3+, -7, A, B ; 9368
+ SUB *AR3+, -7, A ; 9369
+ SUB *AR3+, -7, B, A ; 9370
+ SUB *AR3+, -7, B, B ; 9371
+ SUB *AR3+, -7, B ; 9372
+ SUB *AR3+, -6, A, A ; 9373
+ SUB *AR3+, -6, A, B ; 9374
+ SUB *AR3+, -6, A ; 9375
+ SUB *AR3+, -6, B, A ; 9376
+ SUB *AR3+, -6, B, B ; 9377
+ SUB *AR3+, -6, B ; 9378
+ SUB *AR3+, -5, A, A ; 9379
+ SUB *AR3+, -5, A, B ; 9380
+ SUB *AR3+, -5, A ; 9381
+ SUB *AR3+, -5, B, A ; 9382
+ SUB *AR3+, -5, B, B ; 9383
+ SUB *AR3+, -5, B ; 9384
+ SUB *AR3+, -4, A, A ; 9385
+ SUB *AR3+, -4, A, B ; 9386
+ SUB *AR3+, -4, A ; 9387
+ SUB *AR3+, -4, B, A ; 9388
+ SUB *AR3+, -4, B, B ; 9389
+ SUB *AR3+, -4, B ; 9390
+ SUB *AR3+, -3, A, A ; 9391
+ SUB *AR3+, -3, A, B ; 9392
+ SUB *AR3+, -3, A ; 9393
+ SUB *AR3+, -3, B, A ; 9394
+ SUB *AR3+, -3, B, B ; 9395
+ SUB *AR3+, -3, B ; 9396
+ SUB *AR3+, -2, A, A ; 9397
+ SUB *AR3+, -2, A, B ; 9398
+ SUB *AR3+, -2, A ; 9399
+ SUB *AR3+, -2, B, A ; 9400
+ SUB *AR3+, -2, B, B ; 9401
+ SUB *AR3+, -2, B ; 9402
+ SUB *AR3+, -1, A, A ; 9403
+ SUB *AR3+, -1, A, B ; 9404
+ SUB *AR3+, -1, A ; 9405
+ SUB *AR3+, -1, B, A ; 9406
+ SUB *AR3+, -1, B, B ; 9407
+ SUB *AR3+, -1, B ; 9408
+ SUB *AR3+, 0, A, A ; 9409
+ SUB *AR3+, 0, A, B ; 9410
+ SUB *AR3+, 0, A ; 9411
+ SUB *AR3+, 0, B, A ; 9412
+ SUB *AR3+, 0, B, B ; 9413
+ SUB *AR3+, 0, B ; 9414
+ SUB *AR3+, 1, A, A ; 9415
+ SUB *AR3+, 1, A, B ; 9416
+ SUB *AR3+, 1, A ; 9417
+ SUB *AR3+, 1, B, A ; 9418
+ SUB *AR3+, 1, B, B ; 9419
+ SUB *AR3+, 1, B ; 9420
+ SUB *AR3+, 2, A, A ; 9421
+ SUB *AR3+, 2, A, B ; 9422
+ SUB *AR3+, 2, A ; 9423
+ SUB *AR3+, 2, B, A ; 9424
+ SUB *AR3+, 2, B, B ; 9425
+ SUB *AR3+, 2, B ; 9426
+ SUB *AR3+, 3, A, A ; 9427
+ SUB *AR3+, 3, A, B ; 9428
+ SUB *AR3+, 3, A ; 9429
+ SUB *AR3+, 3, B, A ; 9430
+ SUB *AR3+, 3, B, B ; 9431
+ SUB *AR3+, 3, B ; 9432
+ SUB *AR3+, 4, A, A ; 9433
+ SUB *AR3+, 4, A, B ; 9434
+ SUB *AR3+, 4, A ; 9435
+ SUB *AR3+, 4, B, A ; 9436
+ SUB *AR3+, 4, B, B ; 9437
+ SUB *AR3+, 4, B ; 9438
+ SUB *AR3+, 5, A, A ; 9439
+ SUB *AR3+, 5, A, B ; 9440
+ SUB *AR3+, 5, A ; 9441
+ SUB *AR3+, 5, B, A ; 9442
+ SUB *AR3+, 5, B, B ; 9443
+ SUB *AR3+, 5, B ; 9444
+ SUB *AR3+, 6, A, A ; 9445
+ SUB *AR3+, 6, A, B ; 9446
+ SUB *AR3+, 6, A ; 9447
+ SUB *AR3+, 6, B, A ; 9448
+ SUB *AR3+, 6, B, B ; 9449
+ SUB *AR3+, 6, B ; 9450
+ SUB *AR3+, 7, A, A ; 9451
+ SUB *AR3+, 7, A, B ; 9452
+ SUB *AR3+, 7, A ; 9453
+ SUB *AR3+, 7, B, A ; 9454
+ SUB *AR3+, 7, B, B ; 9455
+ SUB *AR3+, 7, B ; 9456
+ SUB *AR3+, 8, A, A ; 9457
+ SUB *AR3+, 8, A, B ; 9458
+ SUB *AR3+, 8, A ; 9459
+ SUB *AR3+, 8, B, A ; 9460
+ SUB *AR3+, 8, B, B ; 9461
+ SUB *AR3+, 8, B ; 9462
+ SUB *AR3+, 9, A, A ; 9463
+ SUB *AR3+, 9, A, B ; 9464
+ SUB *AR3+, 9, A ; 9465
+ SUB *AR3+, 9, B, A ; 9466
+ SUB *AR3+, 9, B, B ; 9467
+ SUB *AR3+, 9, B ; 9468
+ SUB *AR3+, 10, A, A ; 9469
+ SUB *AR3+, 10, A, B ; 9470
+ SUB *AR3+, 10, A ; 9471
+ SUB *AR3+, 10, B, A ; 9472
+ SUB *AR3+, 10, B, B ; 9473
+ SUB *AR3+, 10, B ; 9474
+ SUB *AR3+, 11, A, A ; 9475
+ SUB *AR3+, 11, A, B ; 9476
+ SUB *AR3+, 11, A ; 9477
+ SUB *AR3+, 11, B, A ; 9478
+ SUB *AR3+, 11, B, B ; 9479
+ SUB *AR3+, 11, B ; 9480
+ SUB *AR3+, 12, A, A ; 9481
+ SUB *AR3+, 12, A, B ; 9482
+ SUB *AR3+, 12, A ; 9483
+ SUB *AR3+, 12, B, A ; 9484
+ SUB *AR3+, 12, B, B ; 9485
+ SUB *AR3+, 12, B ; 9486
+ SUB *AR3+, 13, A, A ; 9487
+ SUB *AR3+, 13, A, B ; 9488
+ SUB *AR3+, 13, A ; 9489
+ SUB *AR3+, 13, B, A ; 9490
+ SUB *AR3+, 13, B, B ; 9491
+ SUB *AR3+, 13, B ; 9492
+ SUB *AR3+, 14, A, A ; 9493
+ SUB *AR3+, 14, A, B ; 9494
+ SUB *AR3+, 14, A ; 9495
+ SUB *AR3+, 14, B, A ; 9496
+ SUB *AR3+, 14, B, B ; 9497
+ SUB *AR3+, 14, B ; 9498
+ SUB *AR3+, 15, A, A ; 9499
+ SUB *AR3+, 15, A, B ; 9500
+ SUB *AR3+, 15, A ; 9501
+ SUB *AR3+, 15, B, A ; 9502
+ SUB *AR3+, 15, B, B ; 9503
+ SUB *AR3+, 15, B ; 9504
+ SUB *AR3-0B, A, A ; 9505
+ SUB *AR3-0B, A, B ; 9506
+ SUB *AR3-0B, A ; 9507
+ SUB *AR3-0B, B, A ; 9508
+ SUB *AR3-0B, B, B ; 9509
+ SUB *AR3-0B, B ; 9510
+ SUB *AR3-0B, -16, A, A ; 9511
+ SUB *AR3-0B, -16, A, B ; 9512
+ SUB *AR3-0B, -16, A ; 9513
+ SUB *AR3-0B, -16, B, A ; 9514
+ SUB *AR3-0B, -16, B, B ; 9515
+ SUB *AR3-0B, -16, B ; 9516
+ SUB *AR3-0B, -15, A, A ; 9517
+ SUB *AR3-0B, -15, A, B ; 9518
+ SUB *AR3-0B, -15, A ; 9519
+ SUB *AR3-0B, -15, B, A ; 9520
+ SUB *AR3-0B, -15, B, B ; 9521
+ SUB *AR3-0B, -15, B ; 9522
+ SUB *AR3-0B, -14, A, A ; 9523
+ SUB *AR3-0B, -14, A, B ; 9524
+ SUB *AR3-0B, -14, A ; 9525
+ SUB *AR3-0B, -14, B, A ; 9526
+ SUB *AR3-0B, -14, B, B ; 9527
+ SUB *AR3-0B, -14, B ; 9528
+ SUB *AR3-0B, -13, A, A ; 9529
+ SUB *AR3-0B, -13, A, B ; 9530
+ SUB *AR3-0B, -13, A ; 9531
+ SUB *AR3-0B, -13, B, A ; 9532
+ SUB *AR3-0B, -13, B, B ; 9533
+ SUB *AR3-0B, -13, B ; 9534
+ SUB *AR3-0B, -12, A, A ; 9535
+ SUB *AR3-0B, -12, A, B ; 9536
+ SUB *AR3-0B, -12, A ; 9537
+ SUB *AR3-0B, -12, B, A ; 9538
+ SUB *AR3-0B, -12, B, B ; 9539
+ SUB *AR3-0B, -12, B ; 9540
+ SUB *AR3-0B, -11, A, A ; 9541
+ SUB *AR3-0B, -11, A, B ; 9542
+ SUB *AR3-0B, -11, A ; 9543
+ SUB *AR3-0B, -11, B, A ; 9544
+ SUB *AR3-0B, -11, B, B ; 9545
+ SUB *AR3-0B, -11, B ; 9546
+ SUB *AR3-0B, -10, A, A ; 9547
+ SUB *AR3-0B, -10, A, B ; 9548
+ SUB *AR3-0B, -10, A ; 9549
+ SUB *AR3-0B, -10, B, A ; 9550
+ SUB *AR3-0B, -10, B, B ; 9551
+ SUB *AR3-0B, -10, B ; 9552
+ SUB *AR3-0B, -9, A, A ; 9553
+ SUB *AR3-0B, -9, A, B ; 9554
+ SUB *AR3-0B, -9, A ; 9555
+ SUB *AR3-0B, -9, B, A ; 9556
+ SUB *AR3-0B, -9, B, B ; 9557
+ SUB *AR3-0B, -9, B ; 9558
+ SUB *AR3-0B, -8, A, A ; 9559
+ SUB *AR3-0B, -8, A, B ; 9560
+ SUB *AR3-0B, -8, A ; 9561
+ SUB *AR3-0B, -8, B, A ; 9562
+ SUB *AR3-0B, -8, B, B ; 9563
+ SUB *AR3-0B, -8, B ; 9564
+ SUB *AR3-0B, -7, A, A ; 9565
+ SUB *AR3-0B, -7, A, B ; 9566
+ SUB *AR3-0B, -7, A ; 9567
+ SUB *AR3-0B, -7, B, A ; 9568
+ SUB *AR3-0B, -7, B, B ; 9569
+ SUB *AR3-0B, -7, B ; 9570
+ SUB *AR3-0B, -6, A, A ; 9571
+ SUB *AR3-0B, -6, A, B ; 9572
+ SUB *AR3-0B, -6, A ; 9573
+ SUB *AR3-0B, -6, B, A ; 9574
+ SUB *AR3-0B, -6, B, B ; 9575
+ SUB *AR3-0B, -6, B ; 9576
+ SUB *AR3-0B, -5, A, A ; 9577
+ SUB *AR3-0B, -5, A, B ; 9578
+ SUB *AR3-0B, -5, A ; 9579
+ SUB *AR3-0B, -5, B, A ; 9580
+ SUB *AR3-0B, -5, B, B ; 9581
+ SUB *AR3-0B, -5, B ; 9582
+ SUB *AR3-0B, -4, A, A ; 9583
+ SUB *AR3-0B, -4, A, B ; 9584
+ SUB *AR3-0B, -4, A ; 9585
+ SUB *AR3-0B, -4, B, A ; 9586
+ SUB *AR3-0B, -4, B, B ; 9587
+ SUB *AR3-0B, -4, B ; 9588
+ SUB *AR3-0B, -3, A, A ; 9589
+ SUB *AR3-0B, -3, A, B ; 9590
+ SUB *AR3-0B, -3, A ; 9591
+ SUB *AR3-0B, -3, B, A ; 9592
+ SUB *AR3-0B, -3, B, B ; 9593
+ SUB *AR3-0B, -3, B ; 9594
+ SUB *AR3-0B, -2, A, A ; 9595
+ SUB *AR3-0B, -2, A, B ; 9596
+ SUB *AR3-0B, -2, A ; 9597
+ SUB *AR3-0B, -2, B, A ; 9598
+ SUB *AR3-0B, -2, B, B ; 9599
+ SUB *AR3-0B, -2, B ; 9600
+ SUB *AR3-0B, -1, A, A ; 9601
+ SUB *AR3-0B, -1, A, B ; 9602
+ SUB *AR3-0B, -1, A ; 9603
+ SUB *AR3-0B, -1, B, A ; 9604
+ SUB *AR3-0B, -1, B, B ; 9605
+ SUB *AR3-0B, -1, B ; 9606
+ SUB *AR3-0B, 0, A, A ; 9607
+ SUB *AR3-0B, 0, A, B ; 9608
+ SUB *AR3-0B, 0, A ; 9609
+ SUB *AR3-0B, 0, B, A ; 9610
+ SUB *AR3-0B, 0, B, B ; 9611
+ SUB *AR3-0B, 0, B ; 9612
+ SUB *AR3-0B, 1, A, A ; 9613
+ SUB *AR3-0B, 1, A, B ; 9614
+ SUB *AR3-0B, 1, A ; 9615
+ SUB *AR3-0B, 1, B, A ; 9616
+ SUB *AR3-0B, 1, B, B ; 9617
+ SUB *AR3-0B, 1, B ; 9618
+ SUB *AR3-0B, 2, A, A ; 9619
+ SUB *AR3-0B, 2, A, B ; 9620
+ SUB *AR3-0B, 2, A ; 9621
+ SUB *AR3-0B, 2, B, A ; 9622
+ SUB *AR3-0B, 2, B, B ; 9623
+ SUB *AR3-0B, 2, B ; 9624
+ SUB *AR3-0B, 3, A, A ; 9625
+ SUB *AR3-0B, 3, A, B ; 9626
+ SUB *AR3-0B, 3, A ; 9627
+ SUB *AR3-0B, 3, B, A ; 9628
+ SUB *AR3-0B, 3, B, B ; 9629
+ SUB *AR3-0B, 3, B ; 9630
+ SUB *AR3-0B, 4, A, A ; 9631
+ SUB *AR3-0B, 4, A, B ; 9632
+ SUB *AR3-0B, 4, A ; 9633
+ SUB *AR3-0B, 4, B, A ; 9634
+ SUB *AR3-0B, 4, B, B ; 9635
+ SUB *AR3-0B, 4, B ; 9636
+ SUB *AR3-0B, 5, A, A ; 9637
+ SUB *AR3-0B, 5, A, B ; 9638
+ SUB *AR3-0B, 5, A ; 9639
+ SUB *AR3-0B, 5, B, A ; 9640
+ SUB *AR3-0B, 5, B, B ; 9641
+ SUB *AR3-0B, 5, B ; 9642
+ SUB *AR3-0B, 6, A, A ; 9643
+ SUB *AR3-0B, 6, A, B ; 9644
+ SUB *AR3-0B, 6, A ; 9645
+ SUB *AR3-0B, 6, B, A ; 9646
+ SUB *AR3-0B, 6, B, B ; 9647
+ SUB *AR3-0B, 6, B ; 9648
+ SUB *AR3-0B, 7, A, A ; 9649
+ SUB *AR3-0B, 7, A, B ; 9650
+ SUB *AR3-0B, 7, A ; 9651
+ SUB *AR3-0B, 7, B, A ; 9652
+ SUB *AR3-0B, 7, B, B ; 9653
+ SUB *AR3-0B, 7, B ; 9654
+ SUB *AR3-0B, 8, A, A ; 9655
+ SUB *AR3-0B, 8, A, B ; 9656
+ SUB *AR3-0B, 8, A ; 9657
+ SUB *AR3-0B, 8, B, A ; 9658
+ SUB *AR3-0B, 8, B, B ; 9659
+ SUB *AR3-0B, 8, B ; 9660
+ SUB *AR3-0B, 9, A, A ; 9661
+ SUB *AR3-0B, 9, A, B ; 9662
+ SUB *AR3-0B, 9, A ; 9663
+ SUB *AR3-0B, 9, B, A ; 9664
+ SUB *AR3-0B, 9, B, B ; 9665
+ SUB *AR3-0B, 9, B ; 9666
+ SUB *AR3-0B, 10, A, A ; 9667
+ SUB *AR3-0B, 10, A, B ; 9668
+ SUB *AR3-0B, 10, A ; 9669
+ SUB *AR3-0B, 10, B, A ; 9670
+ SUB *AR3-0B, 10, B, B ; 9671
+ SUB *AR3-0B, 10, B ; 9672
+ SUB *AR3-0B, 11, A, A ; 9673
+ SUB *AR3-0B, 11, A, B ; 9674
+ SUB *AR3-0B, 11, A ; 9675
+ SUB *AR3-0B, 11, B, A ; 9676
+ SUB *AR3-0B, 11, B, B ; 9677
+ SUB *AR3-0B, 11, B ; 9678
+ SUB *AR3-0B, 12, A, A ; 9679
+ SUB *AR3-0B, 12, A, B ; 9680
+ SUB *AR3-0B, 12, A ; 9681
+ SUB *AR3-0B, 12, B, A ; 9682
+ SUB *AR3-0B, 12, B, B ; 9683
+ SUB *AR3-0B, 12, B ; 9684
+ SUB *AR3-0B, 13, A, A ; 9685
+ SUB *AR3-0B, 13, A, B ; 9686
+ SUB *AR3-0B, 13, A ; 9687
+ SUB *AR3-0B, 13, B, A ; 9688
+ SUB *AR3-0B, 13, B, B ; 9689
+ SUB *AR3-0B, 13, B ; 9690
+ SUB *AR3-0B, 14, A, A ; 9691
+ SUB *AR3-0B, 14, A, B ; 9692
+ SUB *AR3-0B, 14, A ; 9693
+ SUB *AR3-0B, 14, B, A ; 9694
+ SUB *AR3-0B, 14, B, B ; 9695
+ SUB *AR3-0B, 14, B ; 9696
+ SUB *AR3-0B, 15, A, A ; 9697
+ SUB *AR3-0B, 15, A, B ; 9698
+ SUB *AR3-0B, 15, A ; 9699
+ SUB *AR3-0B, 15, B, A ; 9700
+ SUB *AR3-0B, 15, B, B ; 9701
+ SUB *AR3-0B, 15, B ; 9702
+ SUB *AR3-0, A, A ; 9703
+ SUB *AR3-0, A, B ; 9704
+ SUB *AR3-0, A ; 9705
+ SUB *AR3-0, B, A ; 9706
+ SUB *AR3-0, B, B ; 9707
+ SUB *AR3-0, B ; 9708
+ SUB *AR3-0, -16, A, A ; 9709
+ SUB *AR3-0, -16, A, B ; 9710
+ SUB *AR3-0, -16, A ; 9711
+ SUB *AR3-0, -16, B, A ; 9712
+ SUB *AR3-0, -16, B, B ; 9713
+ SUB *AR3-0, -16, B ; 9714
+ SUB *AR3-0, -15, A, A ; 9715
+ SUB *AR3-0, -15, A, B ; 9716
+ SUB *AR3-0, -15, A ; 9717
+ SUB *AR3-0, -15, B, A ; 9718
+ SUB *AR3-0, -15, B, B ; 9719
+ SUB *AR3-0, -15, B ; 9720
+ SUB *AR3-0, -14, A, A ; 9721
+ SUB *AR3-0, -14, A, B ; 9722
+ SUB *AR3-0, -14, A ; 9723
+ SUB *AR3-0, -14, B, A ; 9724
+ SUB *AR3-0, -14, B, B ; 9725
+ SUB *AR3-0, -14, B ; 9726
+ SUB *AR3-0, -13, A, A ; 9727
+ SUB *AR3-0, -13, A, B ; 9728
+ SUB *AR3-0, -13, A ; 9729
+ SUB *AR3-0, -13, B, A ; 9730
+ SUB *AR3-0, -13, B, B ; 9731
+ SUB *AR3-0, -13, B ; 9732
+ SUB *AR3-0, -12, A, A ; 9733
+ SUB *AR3-0, -12, A, B ; 9734
+ SUB *AR3-0, -12, A ; 9735
+ SUB *AR3-0, -12, B, A ; 9736
+ SUB *AR3-0, -12, B, B ; 9737
+ SUB *AR3-0, -12, B ; 9738
+ SUB *AR3-0, -11, A, A ; 9739
+ SUB *AR3-0, -11, A, B ; 9740
+ SUB *AR3-0, -11, A ; 9741
+ SUB *AR3-0, -11, B, A ; 9742
+ SUB *AR3-0, -11, B, B ; 9743
+ SUB *AR3-0, -11, B ; 9744
+ SUB *AR3-0, -10, A, A ; 9745
+ SUB *AR3-0, -10, A, B ; 9746
+ SUB *AR3-0, -10, A ; 9747
+ SUB *AR3-0, -10, B, A ; 9748
+ SUB *AR3-0, -10, B, B ; 9749
+ SUB *AR3-0, -10, B ; 9750
+ SUB *AR3-0, -9, A, A ; 9751
+ SUB *AR3-0, -9, A, B ; 9752
+ SUB *AR3-0, -9, A ; 9753
+ SUB *AR3-0, -9, B, A ; 9754
+ SUB *AR3-0, -9, B, B ; 9755
+ SUB *AR3-0, -9, B ; 9756
+ SUB *AR3-0, -8, A, A ; 9757
+ SUB *AR3-0, -8, A, B ; 9758
+ SUB *AR3-0, -8, A ; 9759
+ SUB *AR3-0, -8, B, A ; 9760
+ SUB *AR3-0, -8, B, B ; 9761
+ SUB *AR3-0, -8, B ; 9762
+ SUB *AR3-0, -7, A, A ; 9763
+ SUB *AR3-0, -7, A, B ; 9764
+ SUB *AR3-0, -7, A ; 9765
+ SUB *AR3-0, -7, B, A ; 9766
+ SUB *AR3-0, -7, B, B ; 9767
+ SUB *AR3-0, -7, B ; 9768
+ SUB *AR3-0, -6, A, A ; 9769
+ SUB *AR3-0, -6, A, B ; 9770
+ SUB *AR3-0, -6, A ; 9771
+ SUB *AR3-0, -6, B, A ; 9772
+ SUB *AR3-0, -6, B, B ; 9773
+ SUB *AR3-0, -6, B ; 9774
+ SUB *AR3-0, -5, A, A ; 9775
+ SUB *AR3-0, -5, A, B ; 9776
+ SUB *AR3-0, -5, A ; 9777
+ SUB *AR3-0, -5, B, A ; 9778
+ SUB *AR3-0, -5, B, B ; 9779
+ SUB *AR3-0, -5, B ; 9780
+ SUB *AR3-0, -4, A, A ; 9781
+ SUB *AR3-0, -4, A, B ; 9782
+ SUB *AR3-0, -4, A ; 9783
+ SUB *AR3-0, -4, B, A ; 9784
+ SUB *AR3-0, -4, B, B ; 9785
+ SUB *AR3-0, -4, B ; 9786
+ SUB *AR3-0, -3, A, A ; 9787
+ SUB *AR3-0, -3, A, B ; 9788
+ SUB *AR3-0, -3, A ; 9789
+ SUB *AR3-0, -3, B, A ; 9790
+ SUB *AR3-0, -3, B, B ; 9791
+ SUB *AR3-0, -3, B ; 9792
+ SUB *AR3-0, -2, A, A ; 9793
+ SUB *AR3-0, -2, A, B ; 9794
+ SUB *AR3-0, -2, A ; 9795
+ SUB *AR3-0, -2, B, A ; 9796
+ SUB *AR3-0, -2, B, B ; 9797
+ SUB *AR3-0, -2, B ; 9798
+ SUB *AR3-0, -1, A, A ; 9799
+ SUB *AR3-0, -1, A, B ; 9800
+ SUB *AR3-0, -1, A ; 9801
+ SUB *AR3-0, -1, B, A ; 9802
+ SUB *AR3-0, -1, B, B ; 9803
+ SUB *AR3-0, -1, B ; 9804
+ SUB *AR3-0, 0, A, A ; 9805
+ SUB *AR3-0, 0, A, B ; 9806
+ SUB *AR3-0, 0, A ; 9807
+ SUB *AR3-0, 0, B, A ; 9808
+ SUB *AR3-0, 0, B, B ; 9809
+ SUB *AR3-0, 0, B ; 9810
+ SUB *AR3-0, 1, A, A ; 9811
+ SUB *AR3-0, 1, A, B ; 9812
+ SUB *AR3-0, 1, A ; 9813
+ SUB *AR3-0, 1, B, A ; 9814
+ SUB *AR3-0, 1, B, B ; 9815
+ SUB *AR3-0, 1, B ; 9816
+ SUB *AR3-0, 2, A, A ; 9817
+ SUB *AR3-0, 2, A, B ; 9818
+ SUB *AR3-0, 2, A ; 9819
+ SUB *AR3-0, 2, B, A ; 9820
+ SUB *AR3-0, 2, B, B ; 9821
+ SUB *AR3-0, 2, B ; 9822
+ SUB *AR3-0, 3, A, A ; 9823
+ SUB *AR3-0, 3, A, B ; 9824
+ SUB *AR3-0, 3, A ; 9825
+ SUB *AR3-0, 3, B, A ; 9826
+ SUB *AR3-0, 3, B, B ; 9827
+ SUB *AR3-0, 3, B ; 9828
+ SUB *AR3-0, 4, A, A ; 9829
+ SUB *AR3-0, 4, A, B ; 9830
+ SUB *AR3-0, 4, A ; 9831
+ SUB *AR3-0, 4, B, A ; 9832
+ SUB *AR3-0, 4, B, B ; 9833
+ SUB *AR3-0, 4, B ; 9834
+ SUB *AR3-0, 5, A, A ; 9835
+ SUB *AR3-0, 5, A, B ; 9836
+ SUB *AR3-0, 5, A ; 9837
+ SUB *AR3-0, 5, B, A ; 9838
+ SUB *AR3-0, 5, B, B ; 9839
+ SUB *AR3-0, 5, B ; 9840
+ SUB *AR3-0, 6, A, A ; 9841
+ SUB *AR3-0, 6, A, B ; 9842
+ SUB *AR3-0, 6, A ; 9843
+ SUB *AR3-0, 6, B, A ; 9844
+ SUB *AR3-0, 6, B, B ; 9845
+ SUB *AR3-0, 6, B ; 9846
+ SUB *AR3-0, 7, A, A ; 9847
+ SUB *AR3-0, 7, A, B ; 9848
+ SUB *AR3-0, 7, A ; 9849
+ SUB *AR3-0, 7, B, A ; 9850
+ SUB *AR3-0, 7, B, B ; 9851
+ SUB *AR3-0, 7, B ; 9852
+ SUB *AR3-0, 8, A, A ; 9853
+ SUB *AR3-0, 8, A, B ; 9854
+ SUB *AR3-0, 8, A ; 9855
+ SUB *AR3-0, 8, B, A ; 9856
+ SUB *AR3-0, 8, B, B ; 9857
+ SUB *AR3-0, 8, B ; 9858
+ SUB *AR3-0, 9, A, A ; 9859
+ SUB *AR3-0, 9, A, B ; 9860
+ SUB *AR3-0, 9, A ; 9861
+ SUB *AR3-0, 9, B, A ; 9862
+ SUB *AR3-0, 9, B, B ; 9863
+ SUB *AR3-0, 9, B ; 9864
+ SUB *AR3-0, 10, A, A ; 9865
+ SUB *AR3-0, 10, A, B ; 9866
+ SUB *AR3-0, 10, A ; 9867
+ SUB *AR3-0, 10, B, A ; 9868
+ SUB *AR3-0, 10, B, B ; 9869
+ SUB *AR3-0, 10, B ; 9870
+ SUB *AR3-0, 11, A, A ; 9871
+ SUB *AR3-0, 11, A, B ; 9872
+ SUB *AR3-0, 11, A ; 9873
+ SUB *AR3-0, 11, B, A ; 9874
+ SUB *AR3-0, 11, B, B ; 9875
+ SUB *AR3-0, 11, B ; 9876
+ SUB *AR3-0, 12, A, A ; 9877
+ SUB *AR3-0, 12, A, B ; 9878
+ SUB *AR3-0, 12, A ; 9879
+ SUB *AR3-0, 12, B, A ; 9880
+ SUB *AR3-0, 12, B, B ; 9881
+ SUB *AR3-0, 12, B ; 9882
+ SUB *AR3-0, 13, A, A ; 9883
+ SUB *AR3-0, 13, A, B ; 9884
+ SUB *AR3-0, 13, A ; 9885
+ SUB *AR3-0, 13, B, A ; 9886
+ SUB *AR3-0, 13, B, B ; 9887
+ SUB *AR3-0, 13, B ; 9888
+ SUB *AR3-0, 14, A, A ; 9889
+ SUB *AR3-0, 14, A, B ; 9890
+ SUB *AR3-0, 14, A ; 9891
+ SUB *AR3-0, 14, B, A ; 9892
+ SUB *AR3-0, 14, B, B ; 9893
+ SUB *AR3-0, 14, B ; 9894
+ SUB *AR3-0, 15, A, A ; 9895
+ SUB *AR3-0, 15, A, B ; 9896
+ SUB *AR3-0, 15, A ; 9897
+ SUB *AR3-0, 15, B, A ; 9898
+ SUB *AR3-0, 15, B, B ; 9899
+ SUB *AR3-0, 15, B ; 9900
+ SUB *AR3+0, A, A ; 9901
+ SUB *AR3+0, A, B ; 9902
+ SUB *AR3+0, A ; 9903
+ SUB *AR3+0, B, A ; 9904
+ SUB *AR3+0, B, B ; 9905
+ SUB *AR3+0, B ; 9906
+ SUB *AR3+0, -16, A, A ; 9907
+ SUB *AR3+0, -16, A, B ; 9908
+ SUB *AR3+0, -16, A ; 9909
+ SUB *AR3+0, -16, B, A ; 9910
+ SUB *AR3+0, -16, B, B ; 9911
+ SUB *AR3+0, -16, B ; 9912
+ SUB *AR3+0, -15, A, A ; 9913
+ SUB *AR3+0, -15, A, B ; 9914
+ SUB *AR3+0, -15, A ; 9915
+ SUB *AR3+0, -15, B, A ; 9916
+ SUB *AR3+0, -15, B, B ; 9917
+ SUB *AR3+0, -15, B ; 9918
+ SUB *AR3+0, -14, A, A ; 9919
+ SUB *AR3+0, -14, A, B ; 9920
+ SUB *AR3+0, -14, A ; 9921
+ SUB *AR3+0, -14, B, A ; 9922
+ SUB *AR3+0, -14, B, B ; 9923
+ SUB *AR3+0, -14, B ; 9924
+ SUB *AR3+0, -13, A, A ; 9925
+ SUB *AR3+0, -13, A, B ; 9926
+ SUB *AR3+0, -13, A ; 9927
+ SUB *AR3+0, -13, B, A ; 9928
+ SUB *AR3+0, -13, B, B ; 9929
+ SUB *AR3+0, -13, B ; 9930
+ SUB *AR3+0, -12, A, A ; 9931
+ SUB *AR3+0, -12, A, B ; 9932
+ SUB *AR3+0, -12, A ; 9933
+ SUB *AR3+0, -12, B, A ; 9934
+ SUB *AR3+0, -12, B, B ; 9935
+ SUB *AR3+0, -12, B ; 9936
+ SUB *AR3+0, -11, A, A ; 9937
+ SUB *AR3+0, -11, A, B ; 9938
+ SUB *AR3+0, -11, A ; 9939
+ SUB *AR3+0, -11, B, A ; 9940
+ SUB *AR3+0, -11, B, B ; 9941
+ SUB *AR3+0, -11, B ; 9942
+ SUB *AR3+0, -10, A, A ; 9943
+ SUB *AR3+0, -10, A, B ; 9944
+ SUB *AR3+0, -10, A ; 9945
+ SUB *AR3+0, -10, B, A ; 9946
+ SUB *AR3+0, -10, B, B ; 9947
+ SUB *AR3+0, -10, B ; 9948
+ SUB *AR3+0, -9, A, A ; 9949
+ SUB *AR3+0, -9, A, B ; 9950
+ SUB *AR3+0, -9, A ; 9951
+ SUB *AR3+0, -9, B, A ; 9952
+ SUB *AR3+0, -9, B, B ; 9953
+ SUB *AR3+0, -9, B ; 9954
+ SUB *AR3+0, -8, A, A ; 9955
+ SUB *AR3+0, -8, A, B ; 9956
+ SUB *AR3+0, -8, A ; 9957
+ SUB *AR3+0, -8, B, A ; 9958
+ SUB *AR3+0, -8, B, B ; 9959
+ SUB *AR3+0, -8, B ; 9960
+ SUB *AR3+0, -7, A, A ; 9961
+ SUB *AR3+0, -7, A, B ; 9962
+ SUB *AR3+0, -7, A ; 9963
+ SUB *AR3+0, -7, B, A ; 9964
+ SUB *AR3+0, -7, B, B ; 9965
+ SUB *AR3+0, -7, B ; 9966
+ SUB *AR3+0, -6, A, A ; 9967
+ SUB *AR3+0, -6, A, B ; 9968
+ SUB *AR3+0, -6, A ; 9969
+ SUB *AR3+0, -6, B, A ; 9970
+ SUB *AR3+0, -6, B, B ; 9971
+ SUB *AR3+0, -6, B ; 9972
+ SUB *AR3+0, -5, A, A ; 9973
+ SUB *AR3+0, -5, A, B ; 9974
+ SUB *AR3+0, -5, A ; 9975
+ SUB *AR3+0, -5, B, A ; 9976
+ SUB *AR3+0, -5, B, B ; 9977
+ SUB *AR3+0, -5, B ; 9978
+ SUB *AR3+0, -4, A, A ; 9979
+ SUB *AR3+0, -4, A, B ; 9980
+ SUB *AR3+0, -4, A ; 9981
+ SUB *AR3+0, -4, B, A ; 9982
+ SUB *AR3+0, -4, B, B ; 9983
+ SUB *AR3+0, -4, B ; 9984
+ SUB *AR3+0, -3, A, A ; 9985
+ SUB *AR3+0, -3, A, B ; 9986
+ SUB *AR3+0, -3, A ; 9987
+ SUB *AR3+0, -3, B, A ; 9988
+ SUB *AR3+0, -3, B, B ; 9989
+ SUB *AR3+0, -3, B ; 9990
+ SUB *AR3+0, -2, A, A ; 9991
+ SUB *AR3+0, -2, A, B ; 9992
+ SUB *AR3+0, -2, A ; 9993
+ SUB *AR3+0, -2, B, A ; 9994
+ SUB *AR3+0, -2, B, B ; 9995
+ SUB *AR3+0, -2, B ; 9996
+ SUB *AR3+0, -1, A, A ; 9997
+ SUB *AR3+0, -1, A, B ; 9998
+ SUB *AR3+0, -1, A ; 9999
+ SUB *AR3+0, -1, B, A ; 10000
+ SUB *AR3+0, -1, B, B ; 10001
+ SUB *AR3+0, -1, B ; 10002
+ SUB *AR3+0, 0, A, A ; 10003
+ SUB *AR3+0, 0, A, B ; 10004
+ SUB *AR3+0, 0, A ; 10005
+ SUB *AR3+0, 0, B, A ; 10006
+ SUB *AR3+0, 0, B, B ; 10007
+ SUB *AR3+0, 0, B ; 10008
+ SUB *AR3+0, 1, A, A ; 10009
+ SUB *AR3+0, 1, A, B ; 10010
+ SUB *AR3+0, 1, A ; 10011
+ SUB *AR3+0, 1, B, A ; 10012
+ SUB *AR3+0, 1, B, B ; 10013
+ SUB *AR3+0, 1, B ; 10014
+ SUB *AR3+0, 2, A, A ; 10015
+ SUB *AR3+0, 2, A, B ; 10016
+ SUB *AR3+0, 2, A ; 10017
+ SUB *AR3+0, 2, B, A ; 10018
+ SUB *AR3+0, 2, B, B ; 10019
+ SUB *AR3+0, 2, B ; 10020
+ SUB *AR3+0, 3, A, A ; 10021
+ SUB *AR3+0, 3, A, B ; 10022
+ SUB *AR3+0, 3, A ; 10023
+ SUB *AR3+0, 3, B, A ; 10024
+ SUB *AR3+0, 3, B, B ; 10025
+ SUB *AR3+0, 3, B ; 10026
+ SUB *AR3+0, 4, A, A ; 10027
+ SUB *AR3+0, 4, A, B ; 10028
+ SUB *AR3+0, 4, A ; 10029
+ SUB *AR3+0, 4, B, A ; 10030
+ SUB *AR3+0, 4, B, B ; 10031
+ SUB *AR3+0, 4, B ; 10032
+ SUB *AR3+0, 5, A, A ; 10033
+ SUB *AR3+0, 5, A, B ; 10034
+ SUB *AR3+0, 5, A ; 10035
+ SUB *AR3+0, 5, B, A ; 10036
+ SUB *AR3+0, 5, B, B ; 10037
+ SUB *AR3+0, 5, B ; 10038
+ SUB *AR3+0, 6, A, A ; 10039
+ SUB *AR3+0, 6, A, B ; 10040
+ SUB *AR3+0, 6, A ; 10041
+ SUB *AR3+0, 6, B, A ; 10042
+ SUB *AR3+0, 6, B, B ; 10043
+ SUB *AR3+0, 6, B ; 10044
+ SUB *AR3+0, 7, A, A ; 10045
+ SUB *AR3+0, 7, A, B ; 10046
+ SUB *AR3+0, 7, A ; 10047
+ SUB *AR3+0, 7, B, A ; 10048
+ SUB *AR3+0, 7, B, B ; 10049
+ SUB *AR3+0, 7, B ; 10050
+ SUB *AR3+0, 8, A, A ; 10051
+ SUB *AR3+0, 8, A, B ; 10052
+ SUB *AR3+0, 8, A ; 10053
+ SUB *AR3+0, 8, B, A ; 10054
+ SUB *AR3+0, 8, B, B ; 10055
+ SUB *AR3+0, 8, B ; 10056
+ SUB *AR3+0, 9, A, A ; 10057
+ SUB *AR3+0, 9, A, B ; 10058
+ SUB *AR3+0, 9, A ; 10059
+ SUB *AR3+0, 9, B, A ; 10060
+ SUB *AR3+0, 9, B, B ; 10061
+ SUB *AR3+0, 9, B ; 10062
+ SUB *AR3+0, 10, A, A ; 10063
+ SUB *AR3+0, 10, A, B ; 10064
+ SUB *AR3+0, 10, A ; 10065
+ SUB *AR3+0, 10, B, A ; 10066
+ SUB *AR3+0, 10, B, B ; 10067
+ SUB *AR3+0, 10, B ; 10068
+ SUB *AR3+0, 11, A, A ; 10069
+ SUB *AR3+0, 11, A, B ; 10070
+ SUB *AR3+0, 11, A ; 10071
+ SUB *AR3+0, 11, B, A ; 10072
+ SUB *AR3+0, 11, B, B ; 10073
+ SUB *AR3+0, 11, B ; 10074
+ SUB *AR3+0, 12, A, A ; 10075
+ SUB *AR3+0, 12, A, B ; 10076
+ SUB *AR3+0, 12, A ; 10077
+ SUB *AR3+0, 12, B, A ; 10078
+ SUB *AR3+0, 12, B, B ; 10079
+ SUB *AR3+0, 12, B ; 10080
+ SUB *AR3+0, 13, A, A ; 10081
+ SUB *AR3+0, 13, A, B ; 10082
+ SUB *AR3+0, 13, A ; 10083
+ SUB *AR3+0, 13, B, A ; 10084
+ SUB *AR3+0, 13, B, B ; 10085
+ SUB *AR3+0, 13, B ; 10086
+ SUB *AR3+0, 14, A, A ; 10087
+ SUB *AR3+0, 14, A, B ; 10088
+ SUB *AR3+0, 14, A ; 10089
+ SUB *AR3+0, 14, B, A ; 10090
+ SUB *AR3+0, 14, B, B ; 10091
+ SUB *AR3+0, 14, B ; 10092
+ SUB *AR3+0, 15, A, A ; 10093
+ SUB *AR3+0, 15, A, B ; 10094
+ SUB *AR3+0, 15, A ; 10095
+ SUB *AR3+0, 15, B, A ; 10096
+ SUB *AR3+0, 15, B, B ; 10097
+ SUB *AR3+0, 15, B ; 10098
+ SUB *AR3+0B, A, A ; 10099
+ SUB *AR3+0B, A, B ; 10100
+ SUB *AR3+0B, A ; 10101
+ SUB *AR3+0B, B, A ; 10102
+ SUB *AR3+0B, B, B ; 10103
+ SUB *AR3+0B, B ; 10104
+ SUB *AR3+0B, -16, A, A ; 10105
+ SUB *AR3+0B, -16, A, B ; 10106
+ SUB *AR3+0B, -16, A ; 10107
+ SUB *AR3+0B, -16, B, A ; 10108
+ SUB *AR3+0B, -16, B, B ; 10109
+ SUB *AR3+0B, -16, B ; 10110
+ SUB *AR3+0B, -15, A, A ; 10111
+ SUB *AR3+0B, -15, A, B ; 10112
+ SUB *AR3+0B, -15, A ; 10113
+ SUB *AR3+0B, -15, B, A ; 10114
+ SUB *AR3+0B, -15, B, B ; 10115
+ SUB *AR3+0B, -15, B ; 10116
+ SUB *AR3+0B, -14, A, A ; 10117
+ SUB *AR3+0B, -14, A, B ; 10118
+ SUB *AR3+0B, -14, A ; 10119
+ SUB *AR3+0B, -14, B, A ; 10120
+ SUB *AR3+0B, -14, B, B ; 10121
+ SUB *AR3+0B, -14, B ; 10122
+ SUB *AR3+0B, -13, A, A ; 10123
+ SUB *AR3+0B, -13, A, B ; 10124
+ SUB *AR3+0B, -13, A ; 10125
+ SUB *AR3+0B, -13, B, A ; 10126
+ SUB *AR3+0B, -13, B, B ; 10127
+ SUB *AR3+0B, -13, B ; 10128
+ SUB *AR3+0B, -12, A, A ; 10129
+ SUB *AR3+0B, -12, A, B ; 10130
+ SUB *AR3+0B, -12, A ; 10131
+ SUB *AR3+0B, -12, B, A ; 10132
+ SUB *AR3+0B, -12, B, B ; 10133
+ SUB *AR3+0B, -12, B ; 10134
+ SUB *AR3+0B, -11, A, A ; 10135
+ SUB *AR3+0B, -11, A, B ; 10136
+ SUB *AR3+0B, -11, A ; 10137
+ SUB *AR3+0B, -11, B, A ; 10138
+ SUB *AR3+0B, -11, B, B ; 10139
+ SUB *AR3+0B, -11, B ; 10140
+ SUB *AR3+0B, -10, A, A ; 10141
+ SUB *AR3+0B, -10, A, B ; 10142
+ SUB *AR3+0B, -10, A ; 10143
+ SUB *AR3+0B, -10, B, A ; 10144
+ SUB *AR3+0B, -10, B, B ; 10145
+ SUB *AR3+0B, -10, B ; 10146
+ SUB *AR3+0B, -9, A, A ; 10147
+ SUB *AR3+0B, -9, A, B ; 10148
+ SUB *AR3+0B, -9, A ; 10149
+ SUB *AR3+0B, -9, B, A ; 10150
+ SUB *AR3+0B, -9, B, B ; 10151
+ SUB *AR3+0B, -9, B ; 10152
+ SUB *AR3+0B, -8, A, A ; 10153
+ SUB *AR3+0B, -8, A, B ; 10154
+ SUB *AR3+0B, -8, A ; 10155
+ SUB *AR3+0B, -8, B, A ; 10156
+ SUB *AR3+0B, -8, B, B ; 10157
+ SUB *AR3+0B, -8, B ; 10158
+ SUB *AR3+0B, -7, A, A ; 10159
+ SUB *AR3+0B, -7, A, B ; 10160
+ SUB *AR3+0B, -7, A ; 10161
+ SUB *AR3+0B, -7, B, A ; 10162
+ SUB *AR3+0B, -7, B, B ; 10163
+ SUB *AR3+0B, -7, B ; 10164
+ SUB *AR3+0B, -6, A, A ; 10165
+ SUB *AR3+0B, -6, A, B ; 10166
+ SUB *AR3+0B, -6, A ; 10167
+ SUB *AR3+0B, -6, B, A ; 10168
+ SUB *AR3+0B, -6, B, B ; 10169
+ SUB *AR3+0B, -6, B ; 10170
+ SUB *AR3+0B, -5, A, A ; 10171
+ SUB *AR3+0B, -5, A, B ; 10172
+ SUB *AR3+0B, -5, A ; 10173
+ SUB *AR3+0B, -5, B, A ; 10174
+ SUB *AR3+0B, -5, B, B ; 10175
+ SUB *AR3+0B, -5, B ; 10176
+ SUB *AR3+0B, -4, A, A ; 10177
+ SUB *AR3+0B, -4, A, B ; 10178
+ SUB *AR3+0B, -4, A ; 10179
+ SUB *AR3+0B, -4, B, A ; 10180
+ SUB *AR3+0B, -4, B, B ; 10181
+ SUB *AR3+0B, -4, B ; 10182
+ SUB *AR3+0B, -3, A, A ; 10183
+ SUB *AR3+0B, -3, A, B ; 10184
+ SUB *AR3+0B, -3, A ; 10185
+ SUB *AR3+0B, -3, B, A ; 10186
+ SUB *AR3+0B, -3, B, B ; 10187
+ SUB *AR3+0B, -3, B ; 10188
+ SUB *AR3+0B, -2, A, A ; 10189
+ SUB *AR3+0B, -2, A, B ; 10190
+ SUB *AR3+0B, -2, A ; 10191
+ SUB *AR3+0B, -2, B, A ; 10192
+ SUB *AR3+0B, -2, B, B ; 10193
+ SUB *AR3+0B, -2, B ; 10194
+ SUB *AR3+0B, -1, A, A ; 10195
+ SUB *AR3+0B, -1, A, B ; 10196
+ SUB *AR3+0B, -1, A ; 10197
+ SUB *AR3+0B, -1, B, A ; 10198
+ SUB *AR3+0B, -1, B, B ; 10199
+ SUB *AR3+0B, -1, B ; 10200
+ SUB *AR3+0B, 0, A, A ; 10201
+ SUB *AR3+0B, 0, A, B ; 10202
+ SUB *AR3+0B, 0, A ; 10203
+ SUB *AR3+0B, 0, B, A ; 10204
+ SUB *AR3+0B, 0, B, B ; 10205
+ SUB *AR3+0B, 0, B ; 10206
+ SUB *AR3+0B, 1, A, A ; 10207
+ SUB *AR3+0B, 1, A, B ; 10208
+ SUB *AR3+0B, 1, A ; 10209
+ SUB *AR3+0B, 1, B, A ; 10210
+ SUB *AR3+0B, 1, B, B ; 10211
+ SUB *AR3+0B, 1, B ; 10212
+ SUB *AR3+0B, 2, A, A ; 10213
+ SUB *AR3+0B, 2, A, B ; 10214
+ SUB *AR3+0B, 2, A ; 10215
+ SUB *AR3+0B, 2, B, A ; 10216
+ SUB *AR3+0B, 2, B, B ; 10217
+ SUB *AR3+0B, 2, B ; 10218
+ SUB *AR3+0B, 3, A, A ; 10219
+ SUB *AR3+0B, 3, A, B ; 10220
+ SUB *AR3+0B, 3, A ; 10221
+ SUB *AR3+0B, 3, B, A ; 10222
+ SUB *AR3+0B, 3, B, B ; 10223
+ SUB *AR3+0B, 3, B ; 10224
+ SUB *AR3+0B, 4, A, A ; 10225
+ SUB *AR3+0B, 4, A, B ; 10226
+ SUB *AR3+0B, 4, A ; 10227
+ SUB *AR3+0B, 4, B, A ; 10228
+ SUB *AR3+0B, 4, B, B ; 10229
+ SUB *AR3+0B, 4, B ; 10230
+ SUB *AR3+0B, 5, A, A ; 10231
+ SUB *AR3+0B, 5, A, B ; 10232
+ SUB *AR3+0B, 5, A ; 10233
+ SUB *AR3+0B, 5, B, A ; 10234
+ SUB *AR3+0B, 5, B, B ; 10235
+ SUB *AR3+0B, 5, B ; 10236
+ SUB *AR3+0B, 6, A, A ; 10237
+ SUB *AR3+0B, 6, A, B ; 10238
+ SUB *AR3+0B, 6, A ; 10239
+ SUB *AR3+0B, 6, B, A ; 10240
+ SUB *AR3+0B, 6, B, B ; 10241
+ SUB *AR3+0B, 6, B ; 10242
+ SUB *AR3+0B, 7, A, A ; 10243
+ SUB *AR3+0B, 7, A, B ; 10244
+ SUB *AR3+0B, 7, A ; 10245
+ SUB *AR3+0B, 7, B, A ; 10246
+ SUB *AR3+0B, 7, B, B ; 10247
+ SUB *AR3+0B, 7, B ; 10248
+ SUB *AR3+0B, 8, A, A ; 10249
+ SUB *AR3+0B, 8, A, B ; 10250
+ SUB *AR3+0B, 8, A ; 10251
+ SUB *AR3+0B, 8, B, A ; 10252
+ SUB *AR3+0B, 8, B, B ; 10253
+ SUB *AR3+0B, 8, B ; 10254
+ SUB *AR3+0B, 9, A, A ; 10255
+ SUB *AR3+0B, 9, A, B ; 10256
+ SUB *AR3+0B, 9, A ; 10257
+ SUB *AR3+0B, 9, B, A ; 10258
+ SUB *AR3+0B, 9, B, B ; 10259
+ SUB *AR3+0B, 9, B ; 10260
+ SUB *AR3+0B, 10, A, A ; 10261
+ SUB *AR3+0B, 10, A, B ; 10262
+ SUB *AR3+0B, 10, A ; 10263
+ SUB *AR3+0B, 10, B, A ; 10264
+ SUB *AR3+0B, 10, B, B ; 10265
+ SUB *AR3+0B, 10, B ; 10266
+ SUB *AR3+0B, 11, A, A ; 10267
+ SUB *AR3+0B, 11, A, B ; 10268
+ SUB *AR3+0B, 11, A ; 10269
+ SUB *AR3+0B, 11, B, A ; 10270
+ SUB *AR3+0B, 11, B, B ; 10271
+ SUB *AR3+0B, 11, B ; 10272
+ SUB *AR3+0B, 12, A, A ; 10273
+ SUB *AR3+0B, 12, A, B ; 10274
+ SUB *AR3+0B, 12, A ; 10275
+ SUB *AR3+0B, 12, B, A ; 10276
+ SUB *AR3+0B, 12, B, B ; 10277
+ SUB *AR3+0B, 12, B ; 10278
+ SUB *AR3+0B, 13, A, A ; 10279
+ SUB *AR3+0B, 13, A, B ; 10280
+ SUB *AR3+0B, 13, A ; 10281
+ SUB *AR3+0B, 13, B, A ; 10282
+ SUB *AR3+0B, 13, B, B ; 10283
+ SUB *AR3+0B, 13, B ; 10284
+ SUB *AR3+0B, 14, A, A ; 10285
+ SUB *AR3+0B, 14, A, B ; 10286
+ SUB *AR3+0B, 14, A ; 10287
+ SUB *AR3+0B, 14, B, A ; 10288
+ SUB *AR3+0B, 14, B, B ; 10289
+ SUB *AR3+0B, 14, B ; 10290
+ SUB *AR3+0B, 15, A, A ; 10291
+ SUB *AR3+0B, 15, A, B ; 10292
+ SUB *AR3+0B, 15, A ; 10293
+ SUB *AR3+0B, 15, B, A ; 10294
+ SUB *AR3+0B, 15, B, B ; 10295
+ SUB *AR3+0B, 15, B ; 10296
+ SUB *AR3-%, A, A ; 10297
+ SUB *AR3-%, A, B ; 10298
+ SUB *AR3-%, A ; 10299
+ SUB *AR3-%, B, A ; 10300
+ SUB *AR3-%, B, B ; 10301
+ SUB *AR3-%, B ; 10302
+ SUB *AR3-%, -16, A, A ; 10303
+ SUB *AR3-%, -16, A, B ; 10304
+ SUB *AR3-%, -16, A ; 10305
+ SUB *AR3-%, -16, B, A ; 10306
+ SUB *AR3-%, -16, B, B ; 10307
+ SUB *AR3-%, -16, B ; 10308
+ SUB *AR3-%, -15, A, A ; 10309
+ SUB *AR3-%, -15, A, B ; 10310
+ SUB *AR3-%, -15, A ; 10311
+ SUB *AR3-%, -15, B, A ; 10312
+ SUB *AR3-%, -15, B, B ; 10313
+ SUB *AR3-%, -15, B ; 10314
+ SUB *AR3-%, -14, A, A ; 10315
+ SUB *AR3-%, -14, A, B ; 10316
+ SUB *AR3-%, -14, A ; 10317
+ SUB *AR3-%, -14, B, A ; 10318
+ SUB *AR3-%, -14, B, B ; 10319
+ SUB *AR3-%, -14, B ; 10320
+ SUB *AR3-%, -13, A, A ; 10321
+ SUB *AR3-%, -13, A, B ; 10322
+ SUB *AR3-%, -13, A ; 10323
+ SUB *AR3-%, -13, B, A ; 10324
+ SUB *AR3-%, -13, B, B ; 10325
+ SUB *AR3-%, -13, B ; 10326
+ SUB *AR3-%, -12, A, A ; 10327
+ SUB *AR3-%, -12, A, B ; 10328
+ SUB *AR3-%, -12, A ; 10329
+ SUB *AR3-%, -12, B, A ; 10330
+ SUB *AR3-%, -12, B, B ; 10331
+ SUB *AR3-%, -12, B ; 10332
+ SUB *AR3-%, -11, A, A ; 10333
+ SUB *AR3-%, -11, A, B ; 10334
+ SUB *AR3-%, -11, A ; 10335
+ SUB *AR3-%, -11, B, A ; 10336
+ SUB *AR3-%, -11, B, B ; 10337
+ SUB *AR3-%, -11, B ; 10338
+ SUB *AR3-%, -10, A, A ; 10339
+ SUB *AR3-%, -10, A, B ; 10340
+ SUB *AR3-%, -10, A ; 10341
+ SUB *AR3-%, -10, B, A ; 10342
+ SUB *AR3-%, -10, B, B ; 10343
+ SUB *AR3-%, -10, B ; 10344
+ SUB *AR3-%, -9, A, A ; 10345
+ SUB *AR3-%, -9, A, B ; 10346
+ SUB *AR3-%, -9, A ; 10347
+ SUB *AR3-%, -9, B, A ; 10348
+ SUB *AR3-%, -9, B, B ; 10349
+ SUB *AR3-%, -9, B ; 10350
+ SUB *AR3-%, -8, A, A ; 10351
+ SUB *AR3-%, -8, A, B ; 10352
+ SUB *AR3-%, -8, A ; 10353
+ SUB *AR3-%, -8, B, A ; 10354
+ SUB *AR3-%, -8, B, B ; 10355
+ SUB *AR3-%, -8, B ; 10356
+ SUB *AR3-%, -7, A, A ; 10357
+ SUB *AR3-%, -7, A, B ; 10358
+ SUB *AR3-%, -7, A ; 10359
+ SUB *AR3-%, -7, B, A ; 10360
+ SUB *AR3-%, -7, B, B ; 10361
+ SUB *AR3-%, -7, B ; 10362
+ SUB *AR3-%, -6, A, A ; 10363
+ SUB *AR3-%, -6, A, B ; 10364
+ SUB *AR3-%, -6, A ; 10365
+ SUB *AR3-%, -6, B, A ; 10366
+ SUB *AR3-%, -6, B, B ; 10367
+ SUB *AR3-%, -6, B ; 10368
+ SUB *AR3-%, -5, A, A ; 10369
+ SUB *AR3-%, -5, A, B ; 10370
+ SUB *AR3-%, -5, A ; 10371
+ SUB *AR3-%, -5, B, A ; 10372
+ SUB *AR3-%, -5, B, B ; 10373
+ SUB *AR3-%, -5, B ; 10374
+ SUB *AR3-%, -4, A, A ; 10375
+ SUB *AR3-%, -4, A, B ; 10376
+ SUB *AR3-%, -4, A ; 10377
+ SUB *AR3-%, -4, B, A ; 10378
+ SUB *AR3-%, -4, B, B ; 10379
+ SUB *AR3-%, -4, B ; 10380
+ SUB *AR3-%, -3, A, A ; 10381
+ SUB *AR3-%, -3, A, B ; 10382
+ SUB *AR3-%, -3, A ; 10383
+ SUB *AR3-%, -3, B, A ; 10384
+ SUB *AR3-%, -3, B, B ; 10385
+ SUB *AR3-%, -3, B ; 10386
+ SUB *AR3-%, -2, A, A ; 10387
+ SUB *AR3-%, -2, A, B ; 10388
+ SUB *AR3-%, -2, A ; 10389
+ SUB *AR3-%, -2, B, A ; 10390
+ SUB *AR3-%, -2, B, B ; 10391
+ SUB *AR3-%, -2, B ; 10392
+ SUB *AR3-%, -1, A, A ; 10393
+ SUB *AR3-%, -1, A, B ; 10394
+ SUB *AR3-%, -1, A ; 10395
+ SUB *AR3-%, -1, B, A ; 10396
+ SUB *AR3-%, -1, B, B ; 10397
+ SUB *AR3-%, -1, B ; 10398
+ SUB *AR3-%, 0, A, A ; 10399
+ SUB *AR3-%, 0, A, B ; 10400
+ SUB *AR3-%, 0, A ; 10401
+ SUB *AR3-%, 0, B, A ; 10402
+ SUB *AR3-%, 0, B, B ; 10403
+ SUB *AR3-%, 0, B ; 10404
+ SUB *AR3-%, 1, A, A ; 10405
+ SUB *AR3-%, 1, A, B ; 10406
+ SUB *AR3-%, 1, A ; 10407
+ SUB *AR3-%, 1, B, A ; 10408
+ SUB *AR3-%, 1, B, B ; 10409
+ SUB *AR3-%, 1, B ; 10410
+ SUB *AR3-%, 2, A, A ; 10411
+ SUB *AR3-%, 2, A, B ; 10412
+ SUB *AR3-%, 2, A ; 10413
+ SUB *AR3-%, 2, B, A ; 10414
+ SUB *AR3-%, 2, B, B ; 10415
+ SUB *AR3-%, 2, B ; 10416
+ SUB *AR3-%, 3, A, A ; 10417
+ SUB *AR3-%, 3, A, B ; 10418
+ SUB *AR3-%, 3, A ; 10419
+ SUB *AR3-%, 3, B, A ; 10420
+ SUB *AR3-%, 3, B, B ; 10421
+ SUB *AR3-%, 3, B ; 10422
+ SUB *AR3-%, 4, A, A ; 10423
+ SUB *AR3-%, 4, A, B ; 10424
+ SUB *AR3-%, 4, A ; 10425
+ SUB *AR3-%, 4, B, A ; 10426
+ SUB *AR3-%, 4, B, B ; 10427
+ SUB *AR3-%, 4, B ; 10428
+ SUB *AR3-%, 5, A, A ; 10429
+ SUB *AR3-%, 5, A, B ; 10430
+ SUB *AR3-%, 5, A ; 10431
+ SUB *AR3-%, 5, B, A ; 10432
+ SUB *AR3-%, 5, B, B ; 10433
+ SUB *AR3-%, 5, B ; 10434
+ SUB *AR3-%, 6, A, A ; 10435
+ SUB *AR3-%, 6, A, B ; 10436
+ SUB *AR3-%, 6, A ; 10437
+ SUB *AR3-%, 6, B, A ; 10438
+ SUB *AR3-%, 6, B, B ; 10439
+ SUB *AR3-%, 6, B ; 10440
+ SUB *AR3-%, 7, A, A ; 10441
+ SUB *AR3-%, 7, A, B ; 10442
+ SUB *AR3-%, 7, A ; 10443
+ SUB *AR3-%, 7, B, A ; 10444
+ SUB *AR3-%, 7, B, B ; 10445
+ SUB *AR3-%, 7, B ; 10446
+ SUB *AR3-%, 8, A, A ; 10447
+ SUB *AR3-%, 8, A, B ; 10448
+ SUB *AR3-%, 8, A ; 10449
+ SUB *AR3-%, 8, B, A ; 10450
+ SUB *AR3-%, 8, B, B ; 10451
+ SUB *AR3-%, 8, B ; 10452
+ SUB *AR3-%, 9, A, A ; 10453
+ SUB *AR3-%, 9, A, B ; 10454
+ SUB *AR3-%, 9, A ; 10455
+ SUB *AR3-%, 9, B, A ; 10456
+ SUB *AR3-%, 9, B, B ; 10457
+ SUB *AR3-%, 9, B ; 10458
+ SUB *AR3-%, 10, A, A ; 10459
+ SUB *AR3-%, 10, A, B ; 10460
+ SUB *AR3-%, 10, A ; 10461
+ SUB *AR3-%, 10, B, A ; 10462
+ SUB *AR3-%, 10, B, B ; 10463
+ SUB *AR3-%, 10, B ; 10464
+ SUB *AR3-%, 11, A, A ; 10465
+ SUB *AR3-%, 11, A, B ; 10466
+ SUB *AR3-%, 11, A ; 10467
+ SUB *AR3-%, 11, B, A ; 10468
+ SUB *AR3-%, 11, B, B ; 10469
+ SUB *AR3-%, 11, B ; 10470
+ SUB *AR3-%, 12, A, A ; 10471
+ SUB *AR3-%, 12, A, B ; 10472
+ SUB *AR3-%, 12, A ; 10473
+ SUB *AR3-%, 12, B, A ; 10474
+ SUB *AR3-%, 12, B, B ; 10475
+ SUB *AR3-%, 12, B ; 10476
+ SUB *AR3-%, 13, A, A ; 10477
+ SUB *AR3-%, 13, A, B ; 10478
+ SUB *AR3-%, 13, A ; 10479
+ SUB *AR3-%, 13, B, A ; 10480
+ SUB *AR3-%, 13, B, B ; 10481
+ SUB *AR3-%, 13, B ; 10482
+ SUB *AR3-%, 14, A, A ; 10483
+ SUB *AR3-%, 14, A, B ; 10484
+ SUB *AR3-%, 14, A ; 10485
+ SUB *AR3-%, 14, B, A ; 10486
+ SUB *AR3-%, 14, B, B ; 10487
+ SUB *AR3-%, 14, B ; 10488
+ SUB *AR3-%, 15, A, A ; 10489
+ SUB *AR3-%, 15, A, B ; 10490
+ SUB *AR3-%, 15, A ; 10491
+ SUB *AR3-%, 15, B, A ; 10492
+ SUB *AR3-%, 15, B, B ; 10493
+ SUB *AR3-%, 15, B ; 10494
+ SUB *AR3-0%, A, A ; 10495
+ SUB *AR3-0%, A, B ; 10496
+ SUB *AR3-0%, A ; 10497
+ SUB *AR3-0%, B, A ; 10498
+ SUB *AR3-0%, B, B ; 10499
+ SUB *AR3-0%, B ; 10500
+ SUB *AR3-0%, -16, A, A ; 10501
+ SUB *AR3-0%, -16, A, B ; 10502
+ SUB *AR3-0%, -16, A ; 10503
+ SUB *AR3-0%, -16, B, A ; 10504
+ SUB *AR3-0%, -16, B, B ; 10505
+ SUB *AR3-0%, -16, B ; 10506
+ SUB *AR3-0%, -15, A, A ; 10507
+ SUB *AR3-0%, -15, A, B ; 10508
+ SUB *AR3-0%, -15, A ; 10509
+ SUB *AR3-0%, -15, B, A ; 10510
+ SUB *AR3-0%, -15, B, B ; 10511
+ SUB *AR3-0%, -15, B ; 10512
+ SUB *AR3-0%, -14, A, A ; 10513
+ SUB *AR3-0%, -14, A, B ; 10514
+ SUB *AR3-0%, -14, A ; 10515
+ SUB *AR3-0%, -14, B, A ; 10516
+ SUB *AR3-0%, -14, B, B ; 10517
+ SUB *AR3-0%, -14, B ; 10518
+ SUB *AR3-0%, -13, A, A ; 10519
+ SUB *AR3-0%, -13, A, B ; 10520
+ SUB *AR3-0%, -13, A ; 10521
+ SUB *AR3-0%, -13, B, A ; 10522
+ SUB *AR3-0%, -13, B, B ; 10523
+ SUB *AR3-0%, -13, B ; 10524
+ SUB *AR3-0%, -12, A, A ; 10525
+ SUB *AR3-0%, -12, A, B ; 10526
+ SUB *AR3-0%, -12, A ; 10527
+ SUB *AR3-0%, -12, B, A ; 10528
+ SUB *AR3-0%, -12, B, B ; 10529
+ SUB *AR3-0%, -12, B ; 10530
+ SUB *AR3-0%, -11, A, A ; 10531
+ SUB *AR3-0%, -11, A, B ; 10532
+ SUB *AR3-0%, -11, A ; 10533
+ SUB *AR3-0%, -11, B, A ; 10534
+ SUB *AR3-0%, -11, B, B ; 10535
+ SUB *AR3-0%, -11, B ; 10536
+ SUB *AR3-0%, -10, A, A ; 10537
+ SUB *AR3-0%, -10, A, B ; 10538
+ SUB *AR3-0%, -10, A ; 10539
+ SUB *AR3-0%, -10, B, A ; 10540
+ SUB *AR3-0%, -10, B, B ; 10541
+ SUB *AR3-0%, -10, B ; 10542
+ SUB *AR3-0%, -9, A, A ; 10543
+ SUB *AR3-0%, -9, A, B ; 10544
+ SUB *AR3-0%, -9, A ; 10545
+ SUB *AR3-0%, -9, B, A ; 10546
+ SUB *AR3-0%, -9, B, B ; 10547
+ SUB *AR3-0%, -9, B ; 10548
+ SUB *AR3-0%, -8, A, A ; 10549
+ SUB *AR3-0%, -8, A, B ; 10550
+ SUB *AR3-0%, -8, A ; 10551
+ SUB *AR3-0%, -8, B, A ; 10552
+ SUB *AR3-0%, -8, B, B ; 10553
+ SUB *AR3-0%, -8, B ; 10554
+ SUB *AR3-0%, -7, A, A ; 10555
+ SUB *AR3-0%, -7, A, B ; 10556
+ SUB *AR3-0%, -7, A ; 10557
+ SUB *AR3-0%, -7, B, A ; 10558
+ SUB *AR3-0%, -7, B, B ; 10559
+ SUB *AR3-0%, -7, B ; 10560
+ SUB *AR3-0%, -6, A, A ; 10561
+ SUB *AR3-0%, -6, A, B ; 10562
+ SUB *AR3-0%, -6, A ; 10563
+ SUB *AR3-0%, -6, B, A ; 10564
+ SUB *AR3-0%, -6, B, B ; 10565
+ SUB *AR3-0%, -6, B ; 10566
+ SUB *AR3-0%, -5, A, A ; 10567
+ SUB *AR3-0%, -5, A, B ; 10568
+ SUB *AR3-0%, -5, A ; 10569
+ SUB *AR3-0%, -5, B, A ; 10570
+ SUB *AR3-0%, -5, B, B ; 10571
+ SUB *AR3-0%, -5, B ; 10572
+ SUB *AR3-0%, -4, A, A ; 10573
+ SUB *AR3-0%, -4, A, B ; 10574
+ SUB *AR3-0%, -4, A ; 10575
+ SUB *AR3-0%, -4, B, A ; 10576
+ SUB *AR3-0%, -4, B, B ; 10577
+ SUB *AR3-0%, -4, B ; 10578
+ SUB *AR3-0%, -3, A, A ; 10579
+ SUB *AR3-0%, -3, A, B ; 10580
+ SUB *AR3-0%, -3, A ; 10581
+ SUB *AR3-0%, -3, B, A ; 10582
+ SUB *AR3-0%, -3, B, B ; 10583
+ SUB *AR3-0%, -3, B ; 10584
+ SUB *AR3-0%, -2, A, A ; 10585
+ SUB *AR3-0%, -2, A, B ; 10586
+ SUB *AR3-0%, -2, A ; 10587
+ SUB *AR3-0%, -2, B, A ; 10588
+ SUB *AR3-0%, -2, B, B ; 10589
+ SUB *AR3-0%, -2, B ; 10590
+ SUB *AR3-0%, -1, A, A ; 10591
+ SUB *AR3-0%, -1, A, B ; 10592
+ SUB *AR3-0%, -1, A ; 10593
+ SUB *AR3-0%, -1, B, A ; 10594
+ SUB *AR3-0%, -1, B, B ; 10595
+ SUB *AR3-0%, -1, B ; 10596
+ SUB *AR3-0%, 0, A, A ; 10597
+ SUB *AR3-0%, 0, A, B ; 10598
+ SUB *AR3-0%, 0, A ; 10599
+ SUB *AR3-0%, 0, B, A ; 10600
+ SUB *AR3-0%, 0, B, B ; 10601
+ SUB *AR3-0%, 0, B ; 10602
+ SUB *AR3-0%, 1, A, A ; 10603
+ SUB *AR3-0%, 1, A, B ; 10604
+ SUB *AR3-0%, 1, A ; 10605
+ SUB *AR3-0%, 1, B, A ; 10606
+ SUB *AR3-0%, 1, B, B ; 10607
+ SUB *AR3-0%, 1, B ; 10608
+ SUB *AR3-0%, 2, A, A ; 10609
+ SUB *AR3-0%, 2, A, B ; 10610
+ SUB *AR3-0%, 2, A ; 10611
+ SUB *AR3-0%, 2, B, A ; 10612
+ SUB *AR3-0%, 2, B, B ; 10613
+ SUB *AR3-0%, 2, B ; 10614
+ SUB *AR3-0%, 3, A, A ; 10615
+ SUB *AR3-0%, 3, A, B ; 10616
+ SUB *AR3-0%, 3, A ; 10617
+ SUB *AR3-0%, 3, B, A ; 10618
+ SUB *AR3-0%, 3, B, B ; 10619
+ SUB *AR3-0%, 3, B ; 10620
+ SUB *AR3-0%, 4, A, A ; 10621
+ SUB *AR3-0%, 4, A, B ; 10622
+ SUB *AR3-0%, 4, A ; 10623
+ SUB *AR3-0%, 4, B, A ; 10624
+ SUB *AR3-0%, 4, B, B ; 10625
+ SUB *AR3-0%, 4, B ; 10626
+ SUB *AR3-0%, 5, A, A ; 10627
+ SUB *AR3-0%, 5, A, B ; 10628
+ SUB *AR3-0%, 5, A ; 10629
+ SUB *AR3-0%, 5, B, A ; 10630
+ SUB *AR3-0%, 5, B, B ; 10631
+ SUB *AR3-0%, 5, B ; 10632
+ SUB *AR3-0%, 6, A, A ; 10633
+ SUB *AR3-0%, 6, A, B ; 10634
+ SUB *AR3-0%, 6, A ; 10635
+ SUB *AR3-0%, 6, B, A ; 10636
+ SUB *AR3-0%, 6, B, B ; 10637
+ SUB *AR3-0%, 6, B ; 10638
+ SUB *AR3-0%, 7, A, A ; 10639
+ SUB *AR3-0%, 7, A, B ; 10640
+ SUB *AR3-0%, 7, A ; 10641
+ SUB *AR3-0%, 7, B, A ; 10642
+ SUB *AR3-0%, 7, B, B ; 10643
+ SUB *AR3-0%, 7, B ; 10644
+ SUB *AR3-0%, 8, A, A ; 10645
+ SUB *AR3-0%, 8, A, B ; 10646
+ SUB *AR3-0%, 8, A ; 10647
+ SUB *AR3-0%, 8, B, A ; 10648
+ SUB *AR3-0%, 8, B, B ; 10649
+ SUB *AR3-0%, 8, B ; 10650
+ SUB *AR3-0%, 9, A, A ; 10651
+ SUB *AR3-0%, 9, A, B ; 10652
+ SUB *AR3-0%, 9, A ; 10653
+ SUB *AR3-0%, 9, B, A ; 10654
+ SUB *AR3-0%, 9, B, B ; 10655
+ SUB *AR3-0%, 9, B ; 10656
+ SUB *AR3-0%, 10, A, A ; 10657
+ SUB *AR3-0%, 10, A, B ; 10658
+ SUB *AR3-0%, 10, A ; 10659
+ SUB *AR3-0%, 10, B, A ; 10660
+ SUB *AR3-0%, 10, B, B ; 10661
+ SUB *AR3-0%, 10, B ; 10662
+ SUB *AR3-0%, 11, A, A ; 10663
+ SUB *AR3-0%, 11, A, B ; 10664
+ SUB *AR3-0%, 11, A ; 10665
+ SUB *AR3-0%, 11, B, A ; 10666
+ SUB *AR3-0%, 11, B, B ; 10667
+ SUB *AR3-0%, 11, B ; 10668
+ SUB *AR3-0%, 12, A, A ; 10669
+ SUB *AR3-0%, 12, A, B ; 10670
+ SUB *AR3-0%, 12, A ; 10671
+ SUB *AR3-0%, 12, B, A ; 10672
+ SUB *AR3-0%, 12, B, B ; 10673
+ SUB *AR3-0%, 12, B ; 10674
+ SUB *AR3-0%, 13, A, A ; 10675
+ SUB *AR3-0%, 13, A, B ; 10676
+ SUB *AR3-0%, 13, A ; 10677
+ SUB *AR3-0%, 13, B, A ; 10678
+ SUB *AR3-0%, 13, B, B ; 10679
+ SUB *AR3-0%, 13, B ; 10680
+ SUB *AR3-0%, 14, A, A ; 10681
+ SUB *AR3-0%, 14, A, B ; 10682
+ SUB *AR3-0%, 14, A ; 10683
+ SUB *AR3-0%, 14, B, A ; 10684
+ SUB *AR3-0%, 14, B, B ; 10685
+ SUB *AR3-0%, 14, B ; 10686
+ SUB *AR3-0%, 15, A, A ; 10687
+ SUB *AR3-0%, 15, A, B ; 10688
+ SUB *AR3-0%, 15, A ; 10689
+ SUB *AR3-0%, 15, B, A ; 10690
+ SUB *AR3-0%, 15, B, B ; 10691
+ SUB *AR3-0%, 15, B ; 10692
+ SUB *AR3+%, A, A ; 10693
+ SUB *AR3+%, A, B ; 10694
+ SUB *AR3+%, A ; 10695
+ SUB *AR3+%, B, A ; 10696
+ SUB *AR3+%, B, B ; 10697
+ SUB *AR3+%, B ; 10698
+ SUB *AR3+%, -16, A, A ; 10699
+ SUB *AR3+%, -16, A, B ; 10700
+ SUB *AR3+%, -16, A ; 10701
+ SUB *AR3+%, -16, B, A ; 10702
+ SUB *AR3+%, -16, B, B ; 10703
+ SUB *AR3+%, -16, B ; 10704
+ SUB *AR3+%, -15, A, A ; 10705
+ SUB *AR3+%, -15, A, B ; 10706
+ SUB *AR3+%, -15, A ; 10707
+ SUB *AR3+%, -15, B, A ; 10708
+ SUB *AR3+%, -15, B, B ; 10709
+ SUB *AR3+%, -15, B ; 10710
+ SUB *AR3+%, -14, A, A ; 10711
+ SUB *AR3+%, -14, A, B ; 10712
+ SUB *AR3+%, -14, A ; 10713
+ SUB *AR3+%, -14, B, A ; 10714
+ SUB *AR3+%, -14, B, B ; 10715
+ SUB *AR3+%, -14, B ; 10716
+ SUB *AR3+%, -13, A, A ; 10717
+ SUB *AR3+%, -13, A, B ; 10718
+ SUB *AR3+%, -13, A ; 10719
+ SUB *AR3+%, -13, B, A ; 10720
+ SUB *AR3+%, -13, B, B ; 10721
+ SUB *AR3+%, -13, B ; 10722
+ SUB *AR3+%, -12, A, A ; 10723
+ SUB *AR3+%, -12, A, B ; 10724
+ SUB *AR3+%, -12, A ; 10725
+ SUB *AR3+%, -12, B, A ; 10726
+ SUB *AR3+%, -12, B, B ; 10727
+ SUB *AR3+%, -12, B ; 10728
+ SUB *AR3+%, -11, A, A ; 10729
+ SUB *AR3+%, -11, A, B ; 10730
+ SUB *AR3+%, -11, A ; 10731
+ SUB *AR3+%, -11, B, A ; 10732
+ SUB *AR3+%, -11, B, B ; 10733
+ SUB *AR3+%, -11, B ; 10734
+ SUB *AR3+%, -10, A, A ; 10735
+ SUB *AR3+%, -10, A, B ; 10736
+ SUB *AR3+%, -10, A ; 10737
+ SUB *AR3+%, -10, B, A ; 10738
+ SUB *AR3+%, -10, B, B ; 10739
+ SUB *AR3+%, -10, B ; 10740
+ SUB *AR3+%, -9, A, A ; 10741
+ SUB *AR3+%, -9, A, B ; 10742
+ SUB *AR3+%, -9, A ; 10743
+ SUB *AR3+%, -9, B, A ; 10744
+ SUB *AR3+%, -9, B, B ; 10745
+ SUB *AR3+%, -9, B ; 10746
+ SUB *AR3+%, -8, A, A ; 10747
+ SUB *AR3+%, -8, A, B ; 10748
+ SUB *AR3+%, -8, A ; 10749
+ SUB *AR3+%, -8, B, A ; 10750
+ SUB *AR3+%, -8, B, B ; 10751
+ SUB *AR3+%, -8, B ; 10752
+ SUB *AR3+%, -7, A, A ; 10753
+ SUB *AR3+%, -7, A, B ; 10754
+ SUB *AR3+%, -7, A ; 10755
+ SUB *AR3+%, -7, B, A ; 10756
+ SUB *AR3+%, -7, B, B ; 10757
+ SUB *AR3+%, -7, B ; 10758
+ SUB *AR3+%, -6, A, A ; 10759
+ SUB *AR3+%, -6, A, B ; 10760
+ SUB *AR3+%, -6, A ; 10761
+ SUB *AR3+%, -6, B, A ; 10762
+ SUB *AR3+%, -6, B, B ; 10763
+ SUB *AR3+%, -6, B ; 10764
+ SUB *AR3+%, -5, A, A ; 10765
+ SUB *AR3+%, -5, A, B ; 10766
+ SUB *AR3+%, -5, A ; 10767
+ SUB *AR3+%, -5, B, A ; 10768
+ SUB *AR3+%, -5, B, B ; 10769
+ SUB *AR3+%, -5, B ; 10770
+ SUB *AR3+%, -4, A, A ; 10771
+ SUB *AR3+%, -4, A, B ; 10772
+ SUB *AR3+%, -4, A ; 10773
+ SUB *AR3+%, -4, B, A ; 10774
+ SUB *AR3+%, -4, B, B ; 10775
+ SUB *AR3+%, -4, B ; 10776
+ SUB *AR3+%, -3, A, A ; 10777
+ SUB *AR3+%, -3, A, B ; 10778
+ SUB *AR3+%, -3, A ; 10779
+ SUB *AR3+%, -3, B, A ; 10780
+ SUB *AR3+%, -3, B, B ; 10781
+ SUB *AR3+%, -3, B ; 10782
+ SUB *AR3+%, -2, A, A ; 10783
+ SUB *AR3+%, -2, A, B ; 10784
+ SUB *AR3+%, -2, A ; 10785
+ SUB *AR3+%, -2, B, A ; 10786
+ SUB *AR3+%, -2, B, B ; 10787
+ SUB *AR3+%, -2, B ; 10788
+ SUB *AR3+%, -1, A, A ; 10789
+ SUB *AR3+%, -1, A, B ; 10790
+ SUB *AR3+%, -1, A ; 10791
+ SUB *AR3+%, -1, B, A ; 10792
+ SUB *AR3+%, -1, B, B ; 10793
+ SUB *AR3+%, -1, B ; 10794
+ SUB *AR3+%, 0, A, A ; 10795
+ SUB *AR3+%, 0, A, B ; 10796
+ SUB *AR3+%, 0, A ; 10797
+ SUB *AR3+%, 0, B, A ; 10798
+ SUB *AR3+%, 0, B, B ; 10799
+ SUB *AR3+%, 0, B ; 10800
+ SUB *AR3+%, 1, A, A ; 10801
+ SUB *AR3+%, 1, A, B ; 10802
+ SUB *AR3+%, 1, A ; 10803
+ SUB *AR3+%, 1, B, A ; 10804
+ SUB *AR3+%, 1, B, B ; 10805
+ SUB *AR3+%, 1, B ; 10806
+ SUB *AR3+%, 2, A, A ; 10807
+ SUB *AR3+%, 2, A, B ; 10808
+ SUB *AR3+%, 2, A ; 10809
+ SUB *AR3+%, 2, B, A ; 10810
+ SUB *AR3+%, 2, B, B ; 10811
+ SUB *AR3+%, 2, B ; 10812
+ SUB *AR3+%, 3, A, A ; 10813
+ SUB *AR3+%, 3, A, B ; 10814
+ SUB *AR3+%, 3, A ; 10815
+ SUB *AR3+%, 3, B, A ; 10816
+ SUB *AR3+%, 3, B, B ; 10817
+ SUB *AR3+%, 3, B ; 10818
+ SUB *AR3+%, 4, A, A ; 10819
+ SUB *AR3+%, 4, A, B ; 10820
+ SUB *AR3+%, 4, A ; 10821
+ SUB *AR3+%, 4, B, A ; 10822
+ SUB *AR3+%, 4, B, B ; 10823
+ SUB *AR3+%, 4, B ; 10824
+ SUB *AR3+%, 5, A, A ; 10825
+ SUB *AR3+%, 5, A, B ; 10826
+ SUB *AR3+%, 5, A ; 10827
+ SUB *AR3+%, 5, B, A ; 10828
+ SUB *AR3+%, 5, B, B ; 10829
+ SUB *AR3+%, 5, B ; 10830
+ SUB *AR3+%, 6, A, A ; 10831
+ SUB *AR3+%, 6, A, B ; 10832
+ SUB *AR3+%, 6, A ; 10833
+ SUB *AR3+%, 6, B, A ; 10834
+ SUB *AR3+%, 6, B, B ; 10835
+ SUB *AR3+%, 6, B ; 10836
+ SUB *AR3+%, 7, A, A ; 10837
+ SUB *AR3+%, 7, A, B ; 10838
+ SUB *AR3+%, 7, A ; 10839
+ SUB *AR3+%, 7, B, A ; 10840
+ SUB *AR3+%, 7, B, B ; 10841
+ SUB *AR3+%, 7, B ; 10842
+ SUB *AR3+%, 8, A, A ; 10843
+ SUB *AR3+%, 8, A, B ; 10844
+ SUB *AR3+%, 8, A ; 10845
+ SUB *AR3+%, 8, B, A ; 10846
+ SUB *AR3+%, 8, B, B ; 10847
+ SUB *AR3+%, 8, B ; 10848
+ SUB *AR3+%, 9, A, A ; 10849
+ SUB *AR3+%, 9, A, B ; 10850
+ SUB *AR3+%, 9, A ; 10851
+ SUB *AR3+%, 9, B, A ; 10852
+ SUB *AR3+%, 9, B, B ; 10853
+ SUB *AR3+%, 9, B ; 10854
+ SUB *AR3+%, 10, A, A ; 10855
+ SUB *AR3+%, 10, A, B ; 10856
+ SUB *AR3+%, 10, A ; 10857
+ SUB *AR3+%, 10, B, A ; 10858
+ SUB *AR3+%, 10, B, B ; 10859
+ SUB *AR3+%, 10, B ; 10860
+ SUB *AR3+%, 11, A, A ; 10861
+ SUB *AR3+%, 11, A, B ; 10862
+ SUB *AR3+%, 11, A ; 10863
+ SUB *AR3+%, 11, B, A ; 10864
+ SUB *AR3+%, 11, B, B ; 10865
+ SUB *AR3+%, 11, B ; 10866
+ SUB *AR3+%, 12, A, A ; 10867
+ SUB *AR3+%, 12, A, B ; 10868
+ SUB *AR3+%, 12, A ; 10869
+ SUB *AR3+%, 12, B, A ; 10870
+ SUB *AR3+%, 12, B, B ; 10871
+ SUB *AR3+%, 12, B ; 10872
+ SUB *AR3+%, 13, A, A ; 10873
+ SUB *AR3+%, 13, A, B ; 10874
+ SUB *AR3+%, 13, A ; 10875
+ SUB *AR3+%, 13, B, A ; 10876
+ SUB *AR3+%, 13, B, B ; 10877
+ SUB *AR3+%, 13, B ; 10878
+ SUB *AR3+%, 14, A, A ; 10879
+ SUB *AR3+%, 14, A, B ; 10880
+ SUB *AR3+%, 14, A ; 10881
+ SUB *AR3+%, 14, B, A ; 10882
+ SUB *AR3+%, 14, B, B ; 10883
+ SUB *AR3+%, 14, B ; 10884
+ SUB *AR3+%, 15, A, A ; 10885
+ SUB *AR3+%, 15, A, B ; 10886
+ SUB *AR3+%, 15, A ; 10887
+ SUB *AR3+%, 15, B, A ; 10888
+ SUB *AR3+%, 15, B, B ; 10889
+ SUB *AR3+%, 15, B ; 10890
+ SUB *AR3+0%, A, A ; 10891
+ SUB *AR3+0%, A, B ; 10892
+ SUB *AR3+0%, A ; 10893
+ SUB *AR3+0%, B, A ; 10894
+ SUB *AR3+0%, B, B ; 10895
+ SUB *AR3+0%, B ; 10896
+ SUB *AR3+0%, -16, A, A ; 10897
+ SUB *AR3+0%, -16, A, B ; 10898
+ SUB *AR3+0%, -16, A ; 10899
+ SUB *AR3+0%, -16, B, A ; 10900
+ SUB *AR3+0%, -16, B, B ; 10901
+ SUB *AR3+0%, -16, B ; 10902
+ SUB *AR3+0%, -15, A, A ; 10903
+ SUB *AR3+0%, -15, A, B ; 10904
+ SUB *AR3+0%, -15, A ; 10905
+ SUB *AR3+0%, -15, B, A ; 10906
+ SUB *AR3+0%, -15, B, B ; 10907
+ SUB *AR3+0%, -15, B ; 10908
+ SUB *AR3+0%, -14, A, A ; 10909
+ SUB *AR3+0%, -14, A, B ; 10910
+ SUB *AR3+0%, -14, A ; 10911
+ SUB *AR3+0%, -14, B, A ; 10912
+ SUB *AR3+0%, -14, B, B ; 10913
+ SUB *AR3+0%, -14, B ; 10914
+ SUB *AR3+0%, -13, A, A ; 10915
+ SUB *AR3+0%, -13, A, B ; 10916
+ SUB *AR3+0%, -13, A ; 10917
+ SUB *AR3+0%, -13, B, A ; 10918
+ SUB *AR3+0%, -13, B, B ; 10919
+ SUB *AR3+0%, -13, B ; 10920
+ SUB *AR3+0%, -12, A, A ; 10921
+ SUB *AR3+0%, -12, A, B ; 10922
+ SUB *AR3+0%, -12, A ; 10923
+ SUB *AR3+0%, -12, B, A ; 10924
+ SUB *AR3+0%, -12, B, B ; 10925
+ SUB *AR3+0%, -12, B ; 10926
+ SUB *AR3+0%, -11, A, A ; 10927
+ SUB *AR3+0%, -11, A, B ; 10928
+ SUB *AR3+0%, -11, A ; 10929
+ SUB *AR3+0%, -11, B, A ; 10930
+ SUB *AR3+0%, -11, B, B ; 10931
+ SUB *AR3+0%, -11, B ; 10932
+ SUB *AR3+0%, -10, A, A ; 10933
+ SUB *AR3+0%, -10, A, B ; 10934
+ SUB *AR3+0%, -10, A ; 10935
+ SUB *AR3+0%, -10, B, A ; 10936
+ SUB *AR3+0%, -10, B, B ; 10937
+ SUB *AR3+0%, -10, B ; 10938
+ SUB *AR3+0%, -9, A, A ; 10939
+ SUB *AR3+0%, -9, A, B ; 10940
+ SUB *AR3+0%, -9, A ; 10941
+ SUB *AR3+0%, -9, B, A ; 10942
+ SUB *AR3+0%, -9, B, B ; 10943
+ SUB *AR3+0%, -9, B ; 10944
+ SUB *AR3+0%, -8, A, A ; 10945
+ SUB *AR3+0%, -8, A, B ; 10946
+ SUB *AR3+0%, -8, A ; 10947
+ SUB *AR3+0%, -8, B, A ; 10948
+ SUB *AR3+0%, -8, B, B ; 10949
+ SUB *AR3+0%, -8, B ; 10950
+ SUB *AR3+0%, -7, A, A ; 10951
+ SUB *AR3+0%, -7, A, B ; 10952
+ SUB *AR3+0%, -7, A ; 10953
+ SUB *AR3+0%, -7, B, A ; 10954
+ SUB *AR3+0%, -7, B, B ; 10955
+ SUB *AR3+0%, -7, B ; 10956
+ SUB *AR3+0%, -6, A, A ; 10957
+ SUB *AR3+0%, -6, A, B ; 10958
+ SUB *AR3+0%, -6, A ; 10959
+ SUB *AR3+0%, -6, B, A ; 10960
+ SUB *AR3+0%, -6, B, B ; 10961
+ SUB *AR3+0%, -6, B ; 10962
+ SUB *AR3+0%, -5, A, A ; 10963
+ SUB *AR3+0%, -5, A, B ; 10964
+ SUB *AR3+0%, -5, A ; 10965
+ SUB *AR3+0%, -5, B, A ; 10966
+ SUB *AR3+0%, -5, B, B ; 10967
+ SUB *AR3+0%, -5, B ; 10968
+ SUB *AR3+0%, -4, A, A ; 10969
+ SUB *AR3+0%, -4, A, B ; 10970
+ SUB *AR3+0%, -4, A ; 10971
+ SUB *AR3+0%, -4, B, A ; 10972
+ SUB *AR3+0%, -4, B, B ; 10973
+ SUB *AR3+0%, -4, B ; 10974
+ SUB *AR3+0%, -3, A, A ; 10975
+ SUB *AR3+0%, -3, A, B ; 10976
+ SUB *AR3+0%, -3, A ; 10977
+ SUB *AR3+0%, -3, B, A ; 10978
+ SUB *AR3+0%, -3, B, B ; 10979
+ SUB *AR3+0%, -3, B ; 10980
+ SUB *AR3+0%, -2, A, A ; 10981
+ SUB *AR3+0%, -2, A, B ; 10982
+ SUB *AR3+0%, -2, A ; 10983
+ SUB *AR3+0%, -2, B, A ; 10984
+ SUB *AR3+0%, -2, B, B ; 10985
+ SUB *AR3+0%, -2, B ; 10986
+ SUB *AR3+0%, -1, A, A ; 10987
+ SUB *AR3+0%, -1, A, B ; 10988
+ SUB *AR3+0%, -1, A ; 10989
+ SUB *AR3+0%, -1, B, A ; 10990
+ SUB *AR3+0%, -1, B, B ; 10991
+ SUB *AR3+0%, -1, B ; 10992
+ SUB *AR3+0%, 0, A, A ; 10993
+ SUB *AR3+0%, 0, A, B ; 10994
+ SUB *AR3+0%, 0, A ; 10995
+ SUB *AR3+0%, 0, B, A ; 10996
+ SUB *AR3+0%, 0, B, B ; 10997
+ SUB *AR3+0%, 0, B ; 10998
+ SUB *AR3+0%, 1, A, A ; 10999
+ SUB *AR3+0%, 1, A, B ; 11000
+ SUB *AR3+0%, 1, A ; 11001
+ SUB *AR3+0%, 1, B, A ; 11002
+ SUB *AR3+0%, 1, B, B ; 11003
+ SUB *AR3+0%, 1, B ; 11004
+ SUB *AR3+0%, 2, A, A ; 11005
+ SUB *AR3+0%, 2, A, B ; 11006
+ SUB *AR3+0%, 2, A ; 11007
+ SUB *AR3+0%, 2, B, A ; 11008
+ SUB *AR3+0%, 2, B, B ; 11009
+ SUB *AR3+0%, 2, B ; 11010
+ SUB *AR3+0%, 3, A, A ; 11011
+ SUB *AR3+0%, 3, A, B ; 11012
+ SUB *AR3+0%, 3, A ; 11013
+ SUB *AR3+0%, 3, B, A ; 11014
+ SUB *AR3+0%, 3, B, B ; 11015
+ SUB *AR3+0%, 3, B ; 11016
+ SUB *AR3+0%, 4, A, A ; 11017
+ SUB *AR3+0%, 4, A, B ; 11018
+ SUB *AR3+0%, 4, A ; 11019
+ SUB *AR3+0%, 4, B, A ; 11020
+ SUB *AR3+0%, 4, B, B ; 11021
+ SUB *AR3+0%, 4, B ; 11022
+ SUB *AR3+0%, 5, A, A ; 11023
+ SUB *AR3+0%, 5, A, B ; 11024
+ SUB *AR3+0%, 5, A ; 11025
+ SUB *AR3+0%, 5, B, A ; 11026
+ SUB *AR3+0%, 5, B, B ; 11027
+ SUB *AR3+0%, 5, B ; 11028
+ SUB *AR3+0%, 6, A, A ; 11029
+ SUB *AR3+0%, 6, A, B ; 11030
+ SUB *AR3+0%, 6, A ; 11031
+ SUB *AR3+0%, 6, B, A ; 11032
+ SUB *AR3+0%, 6, B, B ; 11033
+ SUB *AR3+0%, 6, B ; 11034
+ SUB *AR3+0%, 7, A, A ; 11035
+ SUB *AR3+0%, 7, A, B ; 11036
+ SUB *AR3+0%, 7, A ; 11037
+ SUB *AR3+0%, 7, B, A ; 11038
+ SUB *AR3+0%, 7, B, B ; 11039
+ SUB *AR3+0%, 7, B ; 11040
+ SUB *AR3+0%, 8, A, A ; 11041
+ SUB *AR3+0%, 8, A, B ; 11042
+ SUB *AR3+0%, 8, A ; 11043
+ SUB *AR3+0%, 8, B, A ; 11044
+ SUB *AR3+0%, 8, B, B ; 11045
+ SUB *AR3+0%, 8, B ; 11046
+ SUB *AR3+0%, 9, A, A ; 11047
+ SUB *AR3+0%, 9, A, B ; 11048
+ SUB *AR3+0%, 9, A ; 11049
+ SUB *AR3+0%, 9, B, A ; 11050
+ SUB *AR3+0%, 9, B, B ; 11051
+ SUB *AR3+0%, 9, B ; 11052
+ SUB *AR3+0%, 10, A, A ; 11053
+ SUB *AR3+0%, 10, A, B ; 11054
+ SUB *AR3+0%, 10, A ; 11055
+ SUB *AR3+0%, 10, B, A ; 11056
+ SUB *AR3+0%, 10, B, B ; 11057
+ SUB *AR3+0%, 10, B ; 11058
+ SUB *AR3+0%, 11, A, A ; 11059
+ SUB *AR3+0%, 11, A, B ; 11060
+ SUB *AR3+0%, 11, A ; 11061
+ SUB *AR3+0%, 11, B, A ; 11062
+ SUB *AR3+0%, 11, B, B ; 11063
+ SUB *AR3+0%, 11, B ; 11064
+ SUB *AR3+0%, 12, A, A ; 11065
+ SUB *AR3+0%, 12, A, B ; 11066
+ SUB *AR3+0%, 12, A ; 11067
+ SUB *AR3+0%, 12, B, A ; 11068
+ SUB *AR3+0%, 12, B, B ; 11069
+ SUB *AR3+0%, 12, B ; 11070
+ SUB *AR3+0%, 13, A, A ; 11071
+ SUB *AR3+0%, 13, A, B ; 11072
+ SUB *AR3+0%, 13, A ; 11073
+ SUB *AR3+0%, 13, B, A ; 11074
+ SUB *AR3+0%, 13, B, B ; 11075
+ SUB *AR3+0%, 13, B ; 11076
+ SUB *AR3+0%, 14, A, A ; 11077
+ SUB *AR3+0%, 14, A, B ; 11078
+ SUB *AR3+0%, 14, A ; 11079
+ SUB *AR3+0%, 14, B, A ; 11080
+ SUB *AR3+0%, 14, B, B ; 11081
+ SUB *AR3+0%, 14, B ; 11082
+ SUB *AR3+0%, 15, A, A ; 11083
+ SUB *AR3+0%, 15, A, B ; 11084
+ SUB *AR3+0%, 15, A ; 11085
+ SUB *AR3+0%, 15, B, A ; 11086
+ SUB *AR3+0%, 15, B, B ; 11087
+ SUB *AR3+0%, 15, B ; 11088
+ SUB *AR3(054CEh), A, A ; 11089
+ SUB *AR3(054CFh), A, B ; 11090
+ SUB *AR3(054D0h), A ; 11091
+ SUB *AR3(054D1h), B, A ; 11092
+ SUB *AR3(054D2h), B, B ; 11093
+ SUB *AR3(054D3h), B ; 11094
+ SUB *AR3(054D4h), -16, A, A ; 11095
+ SUB *AR3(054D5h), -16, A, B ; 11096
+ SUB *AR3(054D6h), -16, A ; 11097
+ SUB *AR3(054D7h), -16, B, A ; 11098
+ SUB *AR3(054D8h), -16, B, B ; 11099
+ SUB *AR3(054D9h), -16, B ; 11100
+ SUB *AR3(054DAh), -15, A, A ; 11101
+ SUB *AR3(054DBh), -15, A, B ; 11102
+ SUB *AR3(054DCh), -15, A ; 11103
+ SUB *AR3(054DDh), -15, B, A ; 11104
+ SUB *AR3(054DEh), -15, B, B ; 11105
+ SUB *AR3(054DFh), -15, B ; 11106
+ SUB *AR3(054E0h), -14, A, A ; 11107
+ SUB *AR3(054E1h), -14, A, B ; 11108
+ SUB *AR3(054E2h), -14, A ; 11109
+ SUB *AR3(054E3h), -14, B, A ; 11110
+ SUB *AR3(054E4h), -14, B, B ; 11111
+ SUB *AR3(054E5h), -14, B ; 11112
+ SUB *AR3(054E6h), -13, A, A ; 11113
+ SUB *AR3(054E7h), -13, A, B ; 11114
+ SUB *AR3(054E8h), -13, A ; 11115
+ SUB *AR3(054E9h), -13, B, A ; 11116
+ SUB *AR3(054EAh), -13, B, B ; 11117
+ SUB *AR3(054EBh), -13, B ; 11118
+ SUB *AR3(054ECh), -12, A, A ; 11119
+ SUB *AR3(054EDh), -12, A, B ; 11120
+ SUB *AR3(054EEh), -12, A ; 11121
+ SUB *AR3(054EFh), -12, B, A ; 11122
+ SUB *AR3(054F0h), -12, B, B ; 11123
+ SUB *AR3(054F1h), -12, B ; 11124
+ SUB *AR3(054F2h), -11, A, A ; 11125
+ SUB *AR3(054F3h), -11, A, B ; 11126
+ SUB *AR3(054F4h), -11, A ; 11127
+ SUB *AR3(054F5h), -11, B, A ; 11128
+ SUB *AR3(054F6h), -11, B, B ; 11129
+ SUB *AR3(054F7h), -11, B ; 11130
+ SUB *AR3(054F8h), -10, A, A ; 11131
+ SUB *AR3(054F9h), -10, A, B ; 11132
+ SUB *AR3(054FAh), -10, A ; 11133
+ SUB *AR3(054FBh), -10, B, A ; 11134
+ SUB *AR3(054FCh), -10, B, B ; 11135
+ SUB *AR3(054FDh), -10, B ; 11136
+ SUB *AR3(054FEh), -9, A, A ; 11137
+ SUB *AR3(054FFh), -9, A, B ; 11138
+ SUB *AR3(05500h), -9, A ; 11139
+ SUB *AR3(05501h), -9, B, A ; 11140
+ SUB *AR3(05502h), -9, B, B ; 11141
+ SUB *AR3(05503h), -9, B ; 11142
+ SUB *AR3(05504h), -8, A, A ; 11143
+ SUB *AR3(05505h), -8, A, B ; 11144
+ SUB *AR3(05506h), -8, A ; 11145
+ SUB *AR3(05507h), -8, B, A ; 11146
+ SUB *AR3(05508h), -8, B, B ; 11147
+ SUB *AR3(05509h), -8, B ; 11148
+ SUB *AR3(0550Ah), -7, A, A ; 11149
+ SUB *AR3(0550Bh), -7, A, B ; 11150
+ SUB *AR3(0550Ch), -7, A ; 11151
+ SUB *AR3(0550Dh), -7, B, A ; 11152
+ SUB *AR3(0550Eh), -7, B, B ; 11153
+ SUB *AR3(0550Fh), -7, B ; 11154
+ SUB *AR3(05510h), -6, A, A ; 11155
+ SUB *AR3(05511h), -6, A, B ; 11156
+ SUB *AR3(05512h), -6, A ; 11157
+ SUB *AR3(05513h), -6, B, A ; 11158
+ SUB *AR3(05514h), -6, B, B ; 11159
+ SUB *AR3(05515h), -6, B ; 11160
+ SUB *AR3(05516h), -5, A, A ; 11161
+ SUB *AR3(05517h), -5, A, B ; 11162
+ SUB *AR3(05518h), -5, A ; 11163
+ SUB *AR3(05519h), -5, B, A ; 11164
+ SUB *AR3(0551Ah), -5, B, B ; 11165
+ SUB *AR3(0551Bh), -5, B ; 11166
+ SUB *AR3(0551Ch), -4, A, A ; 11167
+ SUB *AR3(0551Dh), -4, A, B ; 11168
+ SUB *AR3(0551Eh), -4, A ; 11169
+ SUB *AR3(0551Fh), -4, B, A ; 11170
+ SUB *AR3(05520h), -4, B, B ; 11171
+ SUB *AR3(05521h), -4, B ; 11172
+ SUB *AR3(05522h), -3, A, A ; 11173
+ SUB *AR3(05523h), -3, A, B ; 11174
+ SUB *AR3(05524h), -3, A ; 11175
+ SUB *AR3(05525h), -3, B, A ; 11176
+ SUB *AR3(05526h), -3, B, B ; 11177
+ SUB *AR3(05527h), -3, B ; 11178
+ SUB *AR3(05528h), -2, A, A ; 11179
+ SUB *AR3(05529h), -2, A, B ; 11180
+ SUB *AR3(0552Ah), -2, A ; 11181
+ SUB *AR3(0552Bh), -2, B, A ; 11182
+ SUB *AR3(0552Ch), -2, B, B ; 11183
+ SUB *AR3(0552Dh), -2, B ; 11184
+ SUB *AR3(0552Eh), -1, A, A ; 11185
+ SUB *AR3(0552Fh), -1, A, B ; 11186
+ SUB *AR3(05530h), -1, A ; 11187
+ SUB *AR3(05531h), -1, B, A ; 11188
+ SUB *AR3(05532h), -1, B, B ; 11189
+ SUB *AR3(05533h), -1, B ; 11190
+ SUB *AR3(05534h), 0, A, A ; 11191
+ SUB *AR3(05535h), 0, A, B ; 11192
+ SUB *AR3(05536h), 0, A ; 11193
+ SUB *AR3(05537h), 0, B, A ; 11194
+ SUB *AR3(05538h), 0, B, B ; 11195
+ SUB *AR3(05539h), 0, B ; 11196
+ SUB *AR3(0553Ah), 1, A, A ; 11197
+ SUB *AR3(0553Bh), 1, A, B ; 11198
+ SUB *AR3(0553Ch), 1, A ; 11199
+ SUB *AR3(0553Dh), 1, B, A ; 11200
+ SUB *AR3(0553Eh), 1, B, B ; 11201
+ SUB *AR3(0553Fh), 1, B ; 11202
+ SUB *AR3(05540h), 2, A, A ; 11203
+ SUB *AR3(05541h), 2, A, B ; 11204
+ SUB *AR3(05542h), 2, A ; 11205
+ SUB *AR3(05543h), 2, B, A ; 11206
+ SUB *AR3(05544h), 2, B, B ; 11207
+ SUB *AR3(05545h), 2, B ; 11208
+ SUB *AR3(05546h), 3, A, A ; 11209
+ SUB *AR3(05547h), 3, A, B ; 11210
+ SUB *AR3(05548h), 3, A ; 11211
+ SUB *AR3(05549h), 3, B, A ; 11212
+ SUB *AR3(0554Ah), 3, B, B ; 11213
+ SUB *AR3(0554Bh), 3, B ; 11214
+ SUB *AR3(0554Ch), 4, A, A ; 11215
+ SUB *AR3(0554Dh), 4, A, B ; 11216
+ SUB *AR3(0554Eh), 4, A ; 11217
+ SUB *AR3(0554Fh), 4, B, A ; 11218
+ SUB *AR3(05550h), 4, B, B ; 11219
+ SUB *AR3(05551h), 4, B ; 11220
+ SUB *AR3(05552h), 5, A, A ; 11221
+ SUB *AR3(05553h), 5, A, B ; 11222
+ SUB *AR3(05554h), 5, A ; 11223
+ SUB *AR3(05555h), 5, B, A ; 11224
+ SUB *AR3(05556h), 5, B, B ; 11225
+ SUB *AR3(05557h), 5, B ; 11226
+ SUB *AR3(05558h), 6, A, A ; 11227
+ SUB *AR3(05559h), 6, A, B ; 11228
+ SUB *AR3(0555Ah), 6, A ; 11229
+ SUB *AR3(0555Bh), 6, B, A ; 11230
+ SUB *AR3(0555Ch), 6, B, B ; 11231
+ SUB *AR3(0555Dh), 6, B ; 11232
+ SUB *AR3(0555Eh), 7, A, A ; 11233
+ SUB *AR3(0555Fh), 7, A, B ; 11234
+ SUB *AR3(05560h), 7, A ; 11235
+ SUB *AR3(05561h), 7, B, A ; 11236
+ SUB *AR3(05562h), 7, B, B ; 11237
+ SUB *AR3(05563h), 7, B ; 11238
+ SUB *AR3(05564h), 8, A, A ; 11239
+ SUB *AR3(05565h), 8, A, B ; 11240
+ SUB *AR3(05566h), 8, A ; 11241
+ SUB *AR3(05567h), 8, B, A ; 11242
+ SUB *AR3(05568h), 8, B, B ; 11243
+ SUB *AR3(05569h), 8, B ; 11244
+ SUB *AR3(0556Ah), 9, A, A ; 11245
+ SUB *AR3(0556Bh), 9, A, B ; 11246
+ SUB *AR3(0556Ch), 9, A ; 11247
+ SUB *AR3(0556Dh), 9, B, A ; 11248
+ SUB *AR3(0556Eh), 9, B, B ; 11249
+ SUB *AR3(0556Fh), 9, B ; 11250
+ SUB *AR3(05570h), 10, A, A ; 11251
+ SUB *AR3(05571h), 10, A, B ; 11252
+ SUB *AR3(05572h), 10, A ; 11253
+ SUB *AR3(05573h), 10, B, A ; 11254
+ SUB *AR3(05574h), 10, B, B ; 11255
+ SUB *AR3(05575h), 10, B ; 11256
+ SUB *AR3(05576h), 11, A, A ; 11257
+ SUB *AR3(05577h), 11, A, B ; 11258
+ SUB *AR3(05578h), 11, A ; 11259
+ SUB *AR3(05579h), 11, B, A ; 11260
+ SUB *AR3(0557Ah), 11, B, B ; 11261
+ SUB *AR3(0557Bh), 11, B ; 11262
+ SUB *AR3(0557Ch), 12, A, A ; 11263
+ SUB *AR3(0557Dh), 12, A, B ; 11264
+ SUB *AR3(0557Eh), 12, A ; 11265
+ SUB *AR3(0557Fh), 12, B, A ; 11266
+ SUB *AR3(05580h), 12, B, B ; 11267
+ SUB *AR3(05581h), 12, B ; 11268
+ SUB *AR3(05582h), 13, A, A ; 11269
+ SUB *AR3(05583h), 13, A, B ; 11270
+ SUB *AR3(05584h), 13, A ; 11271
+ SUB *AR3(05585h), 13, B, A ; 11272
+ SUB *AR3(05586h), 13, B, B ; 11273
+ SUB *AR3(05587h), 13, B ; 11274
+ SUB *AR3(05588h), 14, A, A ; 11275
+ SUB *AR3(05589h), 14, A, B ; 11276
+ SUB *AR3(0558Ah), 14, A ; 11277
+ SUB *AR3(0558Bh), 14, B, A ; 11278
+ SUB *AR3(0558Ch), 14, B, B ; 11279
+ SUB *AR3(0558Dh), 14, B ; 11280
+ SUB *AR3(0558Eh), 15, A, A ; 11281
+ SUB *AR3(0558Fh), 15, A, B ; 11282
+ SUB *AR3(05590h), 15, A ; 11283
+ SUB *AR3(05591h), 15, B, A ; 11284
+ SUB *AR3(05592h), 15, B, B ; 11285
+ SUB *AR3(05593h), 15, B ; 11286
+ SUB *+AR3(05594h), A, A ; 11287
+ SUB *+AR3(05595h), A, B ; 11288
+ SUB *+AR3(05596h), A ; 11289
+ SUB *+AR3(05597h), B, A ; 11290
+ SUB *+AR3(05598h), B, B ; 11291
+ SUB *+AR3(05599h), B ; 11292
+ SUB *+AR3(0559Ah), -16, A, A ; 11293
+ SUB *+AR3(0559Bh), -16, A, B ; 11294
+ SUB *+AR3(0559Ch), -16, A ; 11295
+ SUB *+AR3(0559Dh), -16, B, A ; 11296
+ SUB *+AR3(0559Eh), -16, B, B ; 11297
+ SUB *+AR3(0559Fh), -16, B ; 11298
+ SUB *+AR3(055A0h), -15, A, A ; 11299
+ SUB *+AR3(055A1h), -15, A, B ; 11300
+ SUB *+AR3(055A2h), -15, A ; 11301
+ SUB *+AR3(055A3h), -15, B, A ; 11302
+ SUB *+AR3(055A4h), -15, B, B ; 11303
+ SUB *+AR3(055A5h), -15, B ; 11304
+ SUB *+AR3(055A6h), -14, A, A ; 11305
+ SUB *+AR3(055A7h), -14, A, B ; 11306
+ SUB *+AR3(055A8h), -14, A ; 11307
+ SUB *+AR3(055A9h), -14, B, A ; 11308
+ SUB *+AR3(055AAh), -14, B, B ; 11309
+ SUB *+AR3(055ABh), -14, B ; 11310
+ SUB *+AR3(055ACh), -13, A, A ; 11311
+ SUB *+AR3(055ADh), -13, A, B ; 11312
+ SUB *+AR3(055AEh), -13, A ; 11313
+ SUB *+AR3(055AFh), -13, B, A ; 11314
+ SUB *+AR3(055B0h), -13, B, B ; 11315
+ SUB *+AR3(055B1h), -13, B ; 11316
+ SUB *+AR3(055B2h), -12, A, A ; 11317
+ SUB *+AR3(055B3h), -12, A, B ; 11318
+ SUB *+AR3(055B4h), -12, A ; 11319
+ SUB *+AR3(055B5h), -12, B, A ; 11320
+ SUB *+AR3(055B6h), -12, B, B ; 11321
+ SUB *+AR3(055B7h), -12, B ; 11322
+ SUB *+AR3(055B8h), -11, A, A ; 11323
+ SUB *+AR3(055B9h), -11, A, B ; 11324
+ SUB *+AR3(055BAh), -11, A ; 11325
+ SUB *+AR3(055BBh), -11, B, A ; 11326
+ SUB *+AR3(055BCh), -11, B, B ; 11327
+ SUB *+AR3(055BDh), -11, B ; 11328
+ SUB *+AR3(055BEh), -10, A, A ; 11329
+ SUB *+AR3(055BFh), -10, A, B ; 11330
+ SUB *+AR3(055C0h), -10, A ; 11331
+ SUB *+AR3(055C1h), -10, B, A ; 11332
+ SUB *+AR3(055C2h), -10, B, B ; 11333
+ SUB *+AR3(055C3h), -10, B ; 11334
+ SUB *+AR3(055C4h), -9, A, A ; 11335
+ SUB *+AR3(055C5h), -9, A, B ; 11336
+ SUB *+AR3(055C6h), -9, A ; 11337
+ SUB *+AR3(055C7h), -9, B, A ; 11338
+ SUB *+AR3(055C8h), -9, B, B ; 11339
+ SUB *+AR3(055C9h), -9, B ; 11340
+ SUB *+AR3(055CAh), -8, A, A ; 11341
+ SUB *+AR3(055CBh), -8, A, B ; 11342
+ SUB *+AR3(055CCh), -8, A ; 11343
+ SUB *+AR3(055CDh), -8, B, A ; 11344
+ SUB *+AR3(055CEh), -8, B, B ; 11345
+ SUB *+AR3(055CFh), -8, B ; 11346
+ SUB *+AR3(055D0h), -7, A, A ; 11347
+ SUB *+AR3(055D1h), -7, A, B ; 11348
+ SUB *+AR3(055D2h), -7, A ; 11349
+ SUB *+AR3(055D3h), -7, B, A ; 11350
+ SUB *+AR3(055D4h), -7, B, B ; 11351
+ SUB *+AR3(055D5h), -7, B ; 11352
+ SUB *+AR3(055D6h), -6, A, A ; 11353
+ SUB *+AR3(055D7h), -6, A, B ; 11354
+ SUB *+AR3(055D8h), -6, A ; 11355
+ SUB *+AR3(055D9h), -6, B, A ; 11356
+ SUB *+AR3(055DAh), -6, B, B ; 11357
+ SUB *+AR3(055DBh), -6, B ; 11358
+ SUB *+AR3(055DCh), -5, A, A ; 11359
+ SUB *+AR3(055DDh), -5, A, B ; 11360
+ SUB *+AR3(055DEh), -5, A ; 11361
+ SUB *+AR3(055DFh), -5, B, A ; 11362
+ SUB *+AR3(055E0h), -5, B, B ; 11363
+ SUB *+AR3(055E1h), -5, B ; 11364
+ SUB *+AR3(055E2h), -4, A, A ; 11365
+ SUB *+AR3(055E3h), -4, A, B ; 11366
+ SUB *+AR3(055E4h), -4, A ; 11367
+ SUB *+AR3(055E5h), -4, B, A ; 11368
+ SUB *+AR3(055E6h), -4, B, B ; 11369
+ SUB *+AR3(055E7h), -4, B ; 11370
+ SUB *+AR3(055E8h), -3, A, A ; 11371
+ SUB *+AR3(055E9h), -3, A, B ; 11372
+ SUB *+AR3(055EAh), -3, A ; 11373
+ SUB *+AR3(055EBh), -3, B, A ; 11374
+ SUB *+AR3(055ECh), -3, B, B ; 11375
+ SUB *+AR3(055EDh), -3, B ; 11376
+ SUB *+AR3(055EEh), -2, A, A ; 11377
+ SUB *+AR3(055EFh), -2, A, B ; 11378
+ SUB *+AR3(055F0h), -2, A ; 11379
+ SUB *+AR3(055F1h), -2, B, A ; 11380
+ SUB *+AR3(055F2h), -2, B, B ; 11381
+ SUB *+AR3(055F3h), -2, B ; 11382
+ SUB *+AR3(055F4h), -1, A, A ; 11383
+ SUB *+AR3(055F5h), -1, A, B ; 11384
+ SUB *+AR3(055F6h), -1, A ; 11385
+ SUB *+AR3(055F7h), -1, B, A ; 11386
+ SUB *+AR3(055F8h), -1, B, B ; 11387
+ SUB *+AR3(055F9h), -1, B ; 11388
+ SUB *+AR3(055FAh), 0, A, A ; 11389
+ SUB *+AR3(055FBh), 0, A, B ; 11390
+ SUB *+AR3(055FCh), 0, A ; 11391
+ SUB *+AR3(055FDh), 0, B, A ; 11392
+ SUB *+AR3(055FEh), 0, B, B ; 11393
+ SUB *+AR3(055FFh), 0, B ; 11394
+ SUB *+AR3(05600h), 1, A, A ; 11395
+ SUB *+AR3(05601h), 1, A, B ; 11396
+ SUB *+AR3(05602h), 1, A ; 11397
+ SUB *+AR3(05603h), 1, B, A ; 11398
+ SUB *+AR3(05604h), 1, B, B ; 11399
+ SUB *+AR3(05605h), 1, B ; 11400
+ SUB *+AR3(05606h), 2, A, A ; 11401
+ SUB *+AR3(05607h), 2, A, B ; 11402
+ SUB *+AR3(05608h), 2, A ; 11403
+ SUB *+AR3(05609h), 2, B, A ; 11404
+ SUB *+AR3(0560Ah), 2, B, B ; 11405
+ SUB *+AR3(0560Bh), 2, B ; 11406
+ SUB *+AR3(0560Ch), 3, A, A ; 11407
+ SUB *+AR3(0560Dh), 3, A, B ; 11408
+ SUB *+AR3(0560Eh), 3, A ; 11409
+ SUB *+AR3(0560Fh), 3, B, A ; 11410
+ SUB *+AR3(05610h), 3, B, B ; 11411
+ SUB *+AR3(05611h), 3, B ; 11412
+ SUB *+AR3(05612h), 4, A, A ; 11413
+ SUB *+AR3(05613h), 4, A, B ; 11414
+ SUB *+AR3(05614h), 4, A ; 11415
+ SUB *+AR3(05615h), 4, B, A ; 11416
+ SUB *+AR3(05616h), 4, B, B ; 11417
+ SUB *+AR3(05617h), 4, B ; 11418
+ SUB *+AR3(05618h), 5, A, A ; 11419
+ SUB *+AR3(05619h), 5, A, B ; 11420
+ SUB *+AR3(0561Ah), 5, A ; 11421
+ SUB *+AR3(0561Bh), 5, B, A ; 11422
+ SUB *+AR3(0561Ch), 5, B, B ; 11423
+ SUB *+AR3(0561Dh), 5, B ; 11424
+ SUB *+AR3(0561Eh), 6, A, A ; 11425
+ SUB *+AR3(0561Fh), 6, A, B ; 11426
+ SUB *+AR3(05620h), 6, A ; 11427
+ SUB *+AR3(05621h), 6, B, A ; 11428
+ SUB *+AR3(05622h), 6, B, B ; 11429
+ SUB *+AR3(05623h), 6, B ; 11430
+ SUB *+AR3(05624h), 7, A, A ; 11431
+ SUB *+AR3(05625h), 7, A, B ; 11432
+ SUB *+AR3(05626h), 7, A ; 11433
+ SUB *+AR3(05627h), 7, B, A ; 11434
+ SUB *+AR3(05628h), 7, B, B ; 11435
+ SUB *+AR3(05629h), 7, B ; 11436
+ SUB *+AR3(0562Ah), 8, A, A ; 11437
+ SUB *+AR3(0562Bh), 8, A, B ; 11438
+ SUB *+AR3(0562Ch), 8, A ; 11439
+ SUB *+AR3(0562Dh), 8, B, A ; 11440
+ SUB *+AR3(0562Eh), 8, B, B ; 11441
+ SUB *+AR3(0562Fh), 8, B ; 11442
+ SUB *+AR3(05630h), 9, A, A ; 11443
+ SUB *+AR3(05631h), 9, A, B ; 11444
+ SUB *+AR3(05632h), 9, A ; 11445
+ SUB *+AR3(05633h), 9, B, A ; 11446
+ SUB *+AR3(05634h), 9, B, B ; 11447
+ SUB *+AR3(05635h), 9, B ; 11448
+ SUB *+AR3(05636h), 10, A, A ; 11449
+ SUB *+AR3(05637h), 10, A, B ; 11450
+ SUB *+AR3(05638h), 10, A ; 11451
+ SUB *+AR3(05639h), 10, B, A ; 11452
+ SUB *+AR3(0563Ah), 10, B, B ; 11453
+ SUB *+AR3(0563Bh), 10, B ; 11454
+ SUB *+AR3(0563Ch), 11, A, A ; 11455
+ SUB *+AR3(0563Dh), 11, A, B ; 11456
+ SUB *+AR3(0563Eh), 11, A ; 11457
+ SUB *+AR3(0563Fh), 11, B, A ; 11458
+ SUB *+AR3(05640h), 11, B, B ; 11459
+ SUB *+AR3(05641h), 11, B ; 11460
+ SUB *+AR3(05642h), 12, A, A ; 11461
+ SUB *+AR3(05643h), 12, A, B ; 11462
+ SUB *+AR3(05644h), 12, A ; 11463
+ SUB *+AR3(05645h), 12, B, A ; 11464
+ SUB *+AR3(05646h), 12, B, B ; 11465
+ SUB *+AR3(05647h), 12, B ; 11466
+ SUB *+AR3(05648h), 13, A, A ; 11467
+ SUB *+AR3(05649h), 13, A, B ; 11468
+ SUB *+AR3(0564Ah), 13, A ; 11469
+ SUB *+AR3(0564Bh), 13, B, A ; 11470
+ SUB *+AR3(0564Ch), 13, B, B ; 11471
+ SUB *+AR3(0564Dh), 13, B ; 11472
+ SUB *+AR3(0564Eh), 14, A, A ; 11473
+ SUB *+AR3(0564Fh), 14, A, B ; 11474
+ SUB *+AR3(05650h), 14, A ; 11475
+ SUB *+AR3(05651h), 14, B, A ; 11476
+ SUB *+AR3(05652h), 14, B, B ; 11477
+ SUB *+AR3(05653h), 14, B ; 11478
+ SUB *+AR3(05654h), 15, A, A ; 11479
+ SUB *+AR3(05655h), 15, A, B ; 11480
+ SUB *+AR3(05656h), 15, A ; 11481
+ SUB *+AR3(05657h), 15, B, A ; 11482
+ SUB *+AR3(05658h), 15, B, B ; 11483
+ SUB *+AR3(05659h), 15, B ; 11484
+ SUB *+AR3(0565Ah)%, A, A ; 11485
+ SUB *+AR3(0565Bh)%, A, B ; 11486
+ SUB *+AR3(0565Ch)%, A ; 11487
+ SUB *+AR3(0565Dh)%, B, A ; 11488
+ SUB *+AR3(0565Eh)%, B, B ; 11489
+ SUB *+AR3(0565Fh)%, B ; 11490
+ SUB *+AR3(05660h)%, -16, A, A ; 11491
+ SUB *+AR3(05661h)%, -16, A, B ; 11492
+ SUB *+AR3(05662h)%, -16, A ; 11493
+ SUB *+AR3(05663h)%, -16, B, A ; 11494
+ SUB *+AR3(05664h)%, -16, B, B ; 11495
+ SUB *+AR3(05665h)%, -16, B ; 11496
+ SUB *+AR3(05666h)%, -15, A, A ; 11497
+ SUB *+AR3(05667h)%, -15, A, B ; 11498
+ SUB *+AR3(05668h)%, -15, A ; 11499
+ SUB *+AR3(05669h)%, -15, B, A ; 11500
+ SUB *+AR3(0566Ah)%, -15, B, B ; 11501
+ SUB *+AR3(0566Bh)%, -15, B ; 11502
+ SUB *+AR3(0566Ch)%, -14, A, A ; 11503
+ SUB *+AR3(0566Dh)%, -14, A, B ; 11504
+ SUB *+AR3(0566Eh)%, -14, A ; 11505
+ SUB *+AR3(0566Fh)%, -14, B, A ; 11506
+ SUB *+AR3(05670h)%, -14, B, B ; 11507
+ SUB *+AR3(05671h)%, -14, B ; 11508
+ SUB *+AR3(05672h)%, -13, A, A ; 11509
+ SUB *+AR3(05673h)%, -13, A, B ; 11510
+ SUB *+AR3(05674h)%, -13, A ; 11511
+ SUB *+AR3(05675h)%, -13, B, A ; 11512
+ SUB *+AR3(05676h)%, -13, B, B ; 11513
+ SUB *+AR3(05677h)%, -13, B ; 11514
+ SUB *+AR3(05678h)%, -12, A, A ; 11515
+ SUB *+AR3(05679h)%, -12, A, B ; 11516
+ SUB *+AR3(0567Ah)%, -12, A ; 11517
+ SUB *+AR3(0567Bh)%, -12, B, A ; 11518
+ SUB *+AR3(0567Ch)%, -12, B, B ; 11519
+ SUB *+AR3(0567Dh)%, -12, B ; 11520
+ SUB *+AR3(0567Eh)%, -11, A, A ; 11521
+ SUB *+AR3(0567Fh)%, -11, A, B ; 11522
+ SUB *+AR3(05680h)%, -11, A ; 11523
+ SUB *+AR3(05681h)%, -11, B, A ; 11524
+ SUB *+AR3(05682h)%, -11, B, B ; 11525
+ SUB *+AR3(05683h)%, -11, B ; 11526
+ SUB *+AR3(05684h)%, -10, A, A ; 11527
+ SUB *+AR3(05685h)%, -10, A, B ; 11528
+ SUB *+AR3(05686h)%, -10, A ; 11529
+ SUB *+AR3(05687h)%, -10, B, A ; 11530
+ SUB *+AR3(05688h)%, -10, B, B ; 11531
+ SUB *+AR3(05689h)%, -10, B ; 11532
+ SUB *+AR3(0568Ah)%, -9, A, A ; 11533
+ SUB *+AR3(0568Bh)%, -9, A, B ; 11534
+ SUB *+AR3(0568Ch)%, -9, A ; 11535
+ SUB *+AR3(0568Dh)%, -9, B, A ; 11536
+ SUB *+AR3(0568Eh)%, -9, B, B ; 11537
+ SUB *+AR3(0568Fh)%, -9, B ; 11538
+ SUB *+AR3(05690h)%, -8, A, A ; 11539
+ SUB *+AR3(05691h)%, -8, A, B ; 11540
+ SUB *+AR3(05692h)%, -8, A ; 11541
+ SUB *+AR3(05693h)%, -8, B, A ; 11542
+ SUB *+AR3(05694h)%, -8, B, B ; 11543
+ SUB *+AR3(05695h)%, -8, B ; 11544
+ SUB *+AR3(05696h)%, -7, A, A ; 11545
+ SUB *+AR3(05697h)%, -7, A, B ; 11546
+ SUB *+AR3(05698h)%, -7, A ; 11547
+ SUB *+AR3(05699h)%, -7, B, A ; 11548
+ SUB *+AR3(0569Ah)%, -7, B, B ; 11549
+ SUB *+AR3(0569Bh)%, -7, B ; 11550
+ SUB *+AR3(0569Ch)%, -6, A, A ; 11551
+ SUB *+AR3(0569Dh)%, -6, A, B ; 11552
+ SUB *+AR3(0569Eh)%, -6, A ; 11553
+ SUB *+AR3(0569Fh)%, -6, B, A ; 11554
+ SUB *+AR3(056A0h)%, -6, B, B ; 11555
+ SUB *+AR3(056A1h)%, -6, B ; 11556
+ SUB *+AR3(056A2h)%, -5, A, A ; 11557
+ SUB *+AR3(056A3h)%, -5, A, B ; 11558
+ SUB *+AR3(056A4h)%, -5, A ; 11559
+ SUB *+AR3(056A5h)%, -5, B, A ; 11560
+ SUB *+AR3(056A6h)%, -5, B, B ; 11561
+ SUB *+AR3(056A7h)%, -5, B ; 11562
+ SUB *+AR3(056A8h)%, -4, A, A ; 11563
+ SUB *+AR3(056A9h)%, -4, A, B ; 11564
+ SUB *+AR3(056AAh)%, -4, A ; 11565
+ SUB *+AR3(056ABh)%, -4, B, A ; 11566
+ SUB *+AR3(056ACh)%, -4, B, B ; 11567
+ SUB *+AR3(056ADh)%, -4, B ; 11568
+ SUB *+AR3(056AEh)%, -3, A, A ; 11569
+ SUB *+AR3(056AFh)%, -3, A, B ; 11570
+ SUB *+AR3(056B0h)%, -3, A ; 11571
+ SUB *+AR3(056B1h)%, -3, B, A ; 11572
+ SUB *+AR3(056B2h)%, -3, B, B ; 11573
+ SUB *+AR3(056B3h)%, -3, B ; 11574
+ SUB *+AR3(056B4h)%, -2, A, A ; 11575
+ SUB *+AR3(056B5h)%, -2, A, B ; 11576
+ SUB *+AR3(056B6h)%, -2, A ; 11577
+ SUB *+AR3(056B7h)%, -2, B, A ; 11578
+ SUB *+AR3(056B8h)%, -2, B, B ; 11579
+ SUB *+AR3(056B9h)%, -2, B ; 11580
+ SUB *+AR3(056BAh)%, -1, A, A ; 11581
+ SUB *+AR3(056BBh)%, -1, A, B ; 11582
+ SUB *+AR3(056BCh)%, -1, A ; 11583
+ SUB *+AR3(056BDh)%, -1, B, A ; 11584
+ SUB *+AR3(056BEh)%, -1, B, B ; 11585
+ SUB *+AR3(056BFh)%, -1, B ; 11586
+ SUB *+AR3(056C0h)%, 0, A, A ; 11587
+ SUB *+AR3(056C1h)%, 0, A, B ; 11588
+ SUB *+AR3(056C2h)%, 0, A ; 11589
+ SUB *+AR3(056C3h)%, 0, B, A ; 11590
+ SUB *+AR3(056C4h)%, 0, B, B ; 11591
+ SUB *+AR3(056C5h)%, 0, B ; 11592
+ SUB *+AR3(056C6h)%, 1, A, A ; 11593
+ SUB *+AR3(056C7h)%, 1, A, B ; 11594
+ SUB *+AR3(056C8h)%, 1, A ; 11595
+ SUB *+AR3(056C9h)%, 1, B, A ; 11596
+ SUB *+AR3(056CAh)%, 1, B, B ; 11597
+ SUB *+AR3(056CBh)%, 1, B ; 11598
+ SUB *+AR3(056CCh)%, 2, A, A ; 11599
+ SUB *+AR3(056CDh)%, 2, A, B ; 11600
+ SUB *+AR3(056CEh)%, 2, A ; 11601
+ SUB *+AR3(056CFh)%, 2, B, A ; 11602
+ SUB *+AR3(056D0h)%, 2, B, B ; 11603
+ SUB *+AR3(056D1h)%, 2, B ; 11604
+ SUB *+AR3(056D2h)%, 3, A, A ; 11605
+ SUB *+AR3(056D3h)%, 3, A, B ; 11606
+ SUB *+AR3(056D4h)%, 3, A ; 11607
+ SUB *+AR3(056D5h)%, 3, B, A ; 11608
+ SUB *+AR3(056D6h)%, 3, B, B ; 11609
+ SUB *+AR3(056D7h)%, 3, B ; 11610
+ SUB *+AR3(056D8h)%, 4, A, A ; 11611
+ SUB *+AR3(056D9h)%, 4, A, B ; 11612
+ SUB *+AR3(056DAh)%, 4, A ; 11613
+ SUB *+AR3(056DBh)%, 4, B, A ; 11614
+ SUB *+AR3(056DCh)%, 4, B, B ; 11615
+ SUB *+AR3(056DDh)%, 4, B ; 11616
+ SUB *+AR3(056DEh)%, 5, A, A ; 11617
+ SUB *+AR3(056DFh)%, 5, A, B ; 11618
+ SUB *+AR3(056E0h)%, 5, A ; 11619
+ SUB *+AR3(056E1h)%, 5, B, A ; 11620
+ SUB *+AR3(056E2h)%, 5, B, B ; 11621
+ SUB *+AR3(056E3h)%, 5, B ; 11622
+ SUB *+AR3(056E4h)%, 6, A, A ; 11623
+ SUB *+AR3(056E5h)%, 6, A, B ; 11624
+ SUB *+AR3(056E6h)%, 6, A ; 11625
+ SUB *+AR3(056E7h)%, 6, B, A ; 11626
+ SUB *+AR3(056E8h)%, 6, B, B ; 11627
+ SUB *+AR3(056E9h)%, 6, B ; 11628
+ SUB *+AR3(056EAh)%, 7, A, A ; 11629
+ SUB *+AR3(056EBh)%, 7, A, B ; 11630
+ SUB *+AR3(056ECh)%, 7, A ; 11631
+ SUB *+AR3(056EDh)%, 7, B, A ; 11632
+ SUB *+AR3(056EEh)%, 7, B, B ; 11633
+ SUB *+AR3(056EFh)%, 7, B ; 11634
+ SUB *+AR3(056F0h)%, 8, A, A ; 11635
+ SUB *+AR3(056F1h)%, 8, A, B ; 11636
+ SUB *+AR3(056F2h)%, 8, A ; 11637
+ SUB *+AR3(056F3h)%, 8, B, A ; 11638
+ SUB *+AR3(056F4h)%, 8, B, B ; 11639
+ SUB *+AR3(056F5h)%, 8, B ; 11640
+ SUB *+AR3(056F6h)%, 9, A, A ; 11641
+ SUB *+AR3(056F7h)%, 9, A, B ; 11642
+ SUB *+AR3(056F8h)%, 9, A ; 11643
+ SUB *+AR3(056F9h)%, 9, B, A ; 11644
+ SUB *+AR3(056FAh)%, 9, B, B ; 11645
+ SUB *+AR3(056FBh)%, 9, B ; 11646
+ SUB *+AR3(056FCh)%, 10, A, A ; 11647
+ SUB *+AR3(056FDh)%, 10, A, B ; 11648
+ SUB *+AR3(056FEh)%, 10, A ; 11649
+ SUB *+AR3(056FFh)%, 10, B, A ; 11650
+ SUB *+AR3(05700h)%, 10, B, B ; 11651
+ SUB *+AR3(05701h)%, 10, B ; 11652
+ SUB *+AR3(05702h)%, 11, A, A ; 11653
+ SUB *+AR3(05703h)%, 11, A, B ; 11654
+ SUB *+AR3(05704h)%, 11, A ; 11655
+ SUB *+AR3(05705h)%, 11, B, A ; 11656
+ SUB *+AR3(05706h)%, 11, B, B ; 11657
+ SUB *+AR3(05707h)%, 11, B ; 11658
+ SUB *+AR3(05708h)%, 12, A, A ; 11659
+ SUB *+AR3(05709h)%, 12, A, B ; 11660
+ SUB *+AR3(0570Ah)%, 12, A ; 11661
+ SUB *+AR3(0570Bh)%, 12, B, A ; 11662
+ SUB *+AR3(0570Ch)%, 12, B, B ; 11663
+ SUB *+AR3(0570Dh)%, 12, B ; 11664
+ SUB *+AR3(0570Eh)%, 13, A, A ; 11665
+ SUB *+AR3(0570Fh)%, 13, A, B ; 11666
+ SUB *+AR3(05710h)%, 13, A ; 11667
+ SUB *+AR3(05711h)%, 13, B, A ; 11668
+ SUB *+AR3(05712h)%, 13, B, B ; 11669
+ SUB *+AR3(05713h)%, 13, B ; 11670
+ SUB *+AR3(05714h)%, 14, A, A ; 11671
+ SUB *+AR3(05715h)%, 14, A, B ; 11672
+ SUB *+AR3(05716h)%, 14, A ; 11673
+ SUB *+AR3(05717h)%, 14, B, A ; 11674
+ SUB *+AR3(05718h)%, 14, B, B ; 11675
+ SUB *+AR3(05719h)%, 14, B ; 11676
+ SUB *+AR3(0571Ah)%, 15, A, A ; 11677
+ SUB *+AR3(0571Bh)%, 15, A, B ; 11678
+ SUB *+AR3(0571Ch)%, 15, A ; 11679
+ SUB *+AR3(0571Dh)%, 15, B, A ; 11680
+ SUB *+AR3(0571Eh)%, 15, B, B ; 11681
+ SUB *+AR3(0571Fh)%, 15, B ; 11682
+ SUB *(05720h), A, A ; 11683
+ SUB *(05721h), A, B ; 11684
+ SUB *(05722h), A ; 11685
+ SUB *(05723h), B, A ; 11686
+ SUB *(05724h), B, B ; 11687
+ SUB *(05725h), B ; 11688
+ SUB *(05726h), -16, A, A ; 11689
+ SUB *(05727h), -16, A, B ; 11690
+ SUB *(05728h), -16, A ; 11691
+ SUB *(05729h), -16, B, A ; 11692
+ SUB *(0572Ah), -16, B, B ; 11693
+ SUB *(0572Bh), -16, B ; 11694
+ SUB *(0572Ch), -15, A, A ; 11695
+ SUB *(0572Dh), -15, A, B ; 11696
+ SUB *(0572Eh), -15, A ; 11697
+ SUB *(0572Fh), -15, B, A ; 11698
+ SUB *(05730h), -15, B, B ; 11699
+ SUB *(05731h), -15, B ; 11700
+ SUB *(05732h), -14, A, A ; 11701
+ SUB *(05733h), -14, A, B ; 11702
+ SUB *(05734h), -14, A ; 11703
+ SUB *(05735h), -14, B, A ; 11704
+ SUB *(05736h), -14, B, B ; 11705
+ SUB *(05737h), -14, B ; 11706
+ SUB *(05738h), -13, A, A ; 11707
+ SUB *(05739h), -13, A, B ; 11708
+ SUB *(0573Ah), -13, A ; 11709
+ SUB *(0573Bh), -13, B, A ; 11710
+ SUB *(0573Ch), -13, B, B ; 11711
+ SUB *(0573Dh), -13, B ; 11712
+ SUB *(0573Eh), -12, A, A ; 11713
+ SUB *(0573Fh), -12, A, B ; 11714
+ SUB *(05740h), -12, A ; 11715
+ SUB *(05741h), -12, B, A ; 11716
+ SUB *(05742h), -12, B, B ; 11717
+ SUB *(05743h), -12, B ; 11718
+ SUB *(05744h), -11, A, A ; 11719
+ SUB *(05745h), -11, A, B ; 11720
+ SUB *(05746h), -11, A ; 11721
+ SUB *(05747h), -11, B, A ; 11722
+ SUB *(05748h), -11, B, B ; 11723
+ SUB *(05749h), -11, B ; 11724
+ SUB *(0574Ah), -10, A, A ; 11725
+ SUB *(0574Bh), -10, A, B ; 11726
+ SUB *(0574Ch), -10, A ; 11727
+ SUB *(0574Dh), -10, B, A ; 11728
+ SUB *(0574Eh), -10, B, B ; 11729
+ SUB *(0574Fh), -10, B ; 11730
+ SUB *(05750h), -9, A, A ; 11731
+ SUB *(05751h), -9, A, B ; 11732
+ SUB *(05752h), -9, A ; 11733
+ SUB *(05753h), -9, B, A ; 11734
+ SUB *(05754h), -9, B, B ; 11735
+ SUB *(05755h), -9, B ; 11736
+ SUB *(05756h), -8, A, A ; 11737
+ SUB *(05757h), -8, A, B ; 11738
+ SUB *(05758h), -8, A ; 11739
+ SUB *(05759h), -8, B, A ; 11740
+ SUB *(0575Ah), -8, B, B ; 11741
+ SUB *(0575Bh), -8, B ; 11742
+ SUB *(0575Ch), -7, A, A ; 11743
+ SUB *(0575Dh), -7, A, B ; 11744
+ SUB *(0575Eh), -7, A ; 11745
+ SUB *(0575Fh), -7, B, A ; 11746
+ SUB *(05760h), -7, B, B ; 11747
+ SUB *(05761h), -7, B ; 11748
+ SUB *(05762h), -6, A, A ; 11749
+ SUB *(05763h), -6, A, B ; 11750
+ SUB *(05764h), -6, A ; 11751
+ SUB *(05765h), -6, B, A ; 11752
+ SUB *(05766h), -6, B, B ; 11753
+ SUB *(05767h), -6, B ; 11754
+ SUB *(05768h), -5, A, A ; 11755
+ SUB *(05769h), -5, A, B ; 11756
+ SUB *(0576Ah), -5, A ; 11757
+ SUB *(0576Bh), -5, B, A ; 11758
+ SUB *(0576Ch), -5, B, B ; 11759
+ SUB *(0576Dh), -5, B ; 11760
+ SUB *(0576Eh), -4, A, A ; 11761
+ SUB *(0576Fh), -4, A, B ; 11762
+ SUB *(05770h), -4, A ; 11763
+ SUB *(05771h), -4, B, A ; 11764
+ SUB *(05772h), -4, B, B ; 11765
+ SUB *(05773h), -4, B ; 11766
+ SUB *(05774h), -3, A, A ; 11767
+ SUB *(05775h), -3, A, B ; 11768
+ SUB *(05776h), -3, A ; 11769
+ SUB *(05777h), -3, B, A ; 11770
+ SUB *(05778h), -3, B, B ; 11771
+ SUB *(05779h), -3, B ; 11772
+ SUB *(0577Ah), -2, A, A ; 11773
+ SUB *(0577Bh), -2, A, B ; 11774
+ SUB *(0577Ch), -2, A ; 11775
+ SUB *(0577Dh), -2, B, A ; 11776
+ SUB *(0577Eh), -2, B, B ; 11777
+ SUB *(0577Fh), -2, B ; 11778
+ SUB *(05780h), -1, A, A ; 11779
+ SUB *(05781h), -1, A, B ; 11780
+ SUB *(05782h), -1, A ; 11781
+ SUB *(05783h), -1, B, A ; 11782
+ SUB *(05784h), -1, B, B ; 11783
+ SUB *(05785h), -1, B ; 11784
+ SUB *(05786h), 0, A, A ; 11785
+ SUB *(05787h), 0, A, B ; 11786
+ SUB *(05788h), 0, A ; 11787
+ SUB *(05789h), 0, B, A ; 11788
+ SUB *(0578Ah), 0, B, B ; 11789
+ SUB *(0578Bh), 0, B ; 11790
+ SUB *(0578Ch), 1, A, A ; 11791
+ SUB *(0578Dh), 1, A, B ; 11792
+ SUB *(0578Eh), 1, A ; 11793
+ SUB *(0578Fh), 1, B, A ; 11794
+ SUB *(05790h), 1, B, B ; 11795
+ SUB *(05791h), 1, B ; 11796
+ SUB *(05792h), 2, A, A ; 11797
+ SUB *(05793h), 2, A, B ; 11798
+ SUB *(05794h), 2, A ; 11799
+ SUB *(05795h), 2, B, A ; 11800
+ SUB *(05796h), 2, B, B ; 11801
+ SUB *(05797h), 2, B ; 11802
+ SUB *(05798h), 3, A, A ; 11803
+ SUB *(05799h), 3, A, B ; 11804
+ SUB *(0579Ah), 3, A ; 11805
+ SUB *(0579Bh), 3, B, A ; 11806
+ SUB *(0579Ch), 3, B, B ; 11807
+ SUB *(0579Dh), 3, B ; 11808
+ SUB *(0579Eh), 4, A, A ; 11809
+ SUB *(0579Fh), 4, A, B ; 11810
+ SUB *(057A0h), 4, A ; 11811
+ SUB *(057A1h), 4, B, A ; 11812
+ SUB *(057A2h), 4, B, B ; 11813
+ SUB *(057A3h), 4, B ; 11814
+ SUB *(057A4h), 5, A, A ; 11815
+ SUB *(057A5h), 5, A, B ; 11816
+ SUB *(057A6h), 5, A ; 11817
+ SUB *(057A7h), 5, B, A ; 11818
+ SUB *(057A8h), 5, B, B ; 11819
+ SUB *(057A9h), 5, B ; 11820
+ SUB *(057AAh), 6, A, A ; 11821
+ SUB *(057ABh), 6, A, B ; 11822
+ SUB *(057ACh), 6, A ; 11823
+ SUB *(057ADh), 6, B, A ; 11824
+ SUB *(057AEh), 6, B, B ; 11825
+ SUB *(057AFh), 6, B ; 11826
+ SUB *(057B0h), 7, A, A ; 11827
+ SUB *(057B1h), 7, A, B ; 11828
+ SUB *(057B2h), 7, A ; 11829
+ SUB *(057B3h), 7, B, A ; 11830
+ SUB *(057B4h), 7, B, B ; 11831
+ SUB *(057B5h), 7, B ; 11832
+ SUB *(057B6h), 8, A, A ; 11833
+ SUB *(057B7h), 8, A, B ; 11834
+ SUB *(057B8h), 8, A ; 11835
+ SUB *(057B9h), 8, B, A ; 11836
+ SUB *(057BAh), 8, B, B ; 11837
+ SUB *(057BBh), 8, B ; 11838
+ SUB *(057BCh), 9, A, A ; 11839
+ SUB *(057BDh), 9, A, B ; 11840
+ SUB *(057BEh), 9, A ; 11841
+ SUB *(057BFh), 9, B, A ; 11842
+ SUB *(057C0h), 9, B, B ; 11843
+ SUB *(057C1h), 9, B ; 11844
+ SUB *(057C2h), 10, A, A ; 11845
+ SUB *(057C3h), 10, A, B ; 11846
+ SUB *(057C4h), 10, A ; 11847
+ SUB *(057C5h), 10, B, A ; 11848
+ SUB *(057C6h), 10, B, B ; 11849
+ SUB *(057C7h), 10, B ; 11850
+ SUB *(057C8h), 11, A, A ; 11851
+ SUB *(057C9h), 11, A, B ; 11852
+ SUB *(057CAh), 11, A ; 11853
+ SUB *(057CBh), 11, B, A ; 11854
+ SUB *(057CCh), 11, B, B ; 11855
+ SUB *(057CDh), 11, B ; 11856
+ SUB *(057CEh), 12, A, A ; 11857
+ SUB *(057CFh), 12, A, B ; 11858
+ SUB *(057D0h), 12, A ; 11859
+ SUB *(057D1h), 12, B, A ; 11860
+ SUB *(057D2h), 12, B, B ; 11861
+ SUB *(057D3h), 12, B ; 11862
+ SUB *(057D4h), 13, A, A ; 11863
+ SUB *(057D5h), 13, A, B ; 11864
+ SUB *(057D6h), 13, A ; 11865
+ SUB *(057D7h), 13, B, A ; 11866
+ SUB *(057D8h), 13, B, B ; 11867
+ SUB *(057D9h), 13, B ; 11868
+ SUB *(057DAh), 14, A, A ; 11869
+ SUB *(057DBh), 14, A, B ; 11870
+ SUB *(057DCh), 14, A ; 11871
+ SUB *(057DDh), 14, B, A ; 11872
+ SUB *(057DEh), 14, B, B ; 11873
+ SUB *(057DFh), 14, B ; 11874
+ SUB *(057E0h), 15, A, A ; 11875
+ SUB *(057E1h), 15, A, B ; 11876
+ SUB *(057E2h), 15, A ; 11877
+ SUB *(057E3h), 15, B, A ; 11878
+ SUB *(057E4h), 15, B, B ; 11879
+ SUB *(057E5h), 15, B ; 11880
+ SUB *AR4, A, A ; 11881
+ SUB *AR4, A, B ; 11882
+ SUB *AR4, A ; 11883
+ SUB *AR4, B, A ; 11884
+ SUB *AR4, B, B ; 11885
+ SUB *AR4, B ; 11886
+ SUB *AR4, -16, A, A ; 11887
+ SUB *AR4, -16, A, B ; 11888
+ SUB *AR4, -16, A ; 11889
+ SUB *AR4, -16, B, A ; 11890
+ SUB *AR4, -16, B, B ; 11891
+ SUB *AR4, -16, B ; 11892
+ SUB *AR4, -15, A, A ; 11893
+ SUB *AR4, -15, A, B ; 11894
+ SUB *AR4, -15, A ; 11895
+ SUB *AR4, -15, B, A ; 11896
+ SUB *AR4, -15, B, B ; 11897
+ SUB *AR4, -15, B ; 11898
+ SUB *AR4, -14, A, A ; 11899
+ SUB *AR4, -14, A, B ; 11900
+ SUB *AR4, -14, A ; 11901
+ SUB *AR4, -14, B, A ; 11902
+ SUB *AR4, -14, B, B ; 11903
+ SUB *AR4, -14, B ; 11904
+ SUB *AR4, -13, A, A ; 11905
+ SUB *AR4, -13, A, B ; 11906
+ SUB *AR4, -13, A ; 11907
+ SUB *AR4, -13, B, A ; 11908
+ SUB *AR4, -13, B, B ; 11909
+ SUB *AR4, -13, B ; 11910
+ SUB *AR4, -12, A, A ; 11911
+ SUB *AR4, -12, A, B ; 11912
+ SUB *AR4, -12, A ; 11913
+ SUB *AR4, -12, B, A ; 11914
+ SUB *AR4, -12, B, B ; 11915
+ SUB *AR4, -12, B ; 11916
+ SUB *AR4, -11, A, A ; 11917
+ SUB *AR4, -11, A, B ; 11918
+ SUB *AR4, -11, A ; 11919
+ SUB *AR4, -11, B, A ; 11920
+ SUB *AR4, -11, B, B ; 11921
+ SUB *AR4, -11, B ; 11922
+ SUB *AR4, -10, A, A ; 11923
+ SUB *AR4, -10, A, B ; 11924
+ SUB *AR4, -10, A ; 11925
+ SUB *AR4, -10, B, A ; 11926
+ SUB *AR4, -10, B, B ; 11927
+ SUB *AR4, -10, B ; 11928
+ SUB *AR4, -9, A, A ; 11929
+ SUB *AR4, -9, A, B ; 11930
+ SUB *AR4, -9, A ; 11931
+ SUB *AR4, -9, B, A ; 11932
+ SUB *AR4, -9, B, B ; 11933
+ SUB *AR4, -9, B ; 11934
+ SUB *AR4, -8, A, A ; 11935
+ SUB *AR4, -8, A, B ; 11936
+ SUB *AR4, -8, A ; 11937
+ SUB *AR4, -8, B, A ; 11938
+ SUB *AR4, -8, B, B ; 11939
+ SUB *AR4, -8, B ; 11940
+ SUB *AR4, -7, A, A ; 11941
+ SUB *AR4, -7, A, B ; 11942
+ SUB *AR4, -7, A ; 11943
+ SUB *AR4, -7, B, A ; 11944
+ SUB *AR4, -7, B, B ; 11945
+ SUB *AR4, -7, B ; 11946
+ SUB *AR4, -6, A, A ; 11947
+ SUB *AR4, -6, A, B ; 11948
+ SUB *AR4, -6, A ; 11949
+ SUB *AR4, -6, B, A ; 11950
+ SUB *AR4, -6, B, B ; 11951
+ SUB *AR4, -6, B ; 11952
+ SUB *AR4, -5, A, A ; 11953
+ SUB *AR4, -5, A, B ; 11954
+ SUB *AR4, -5, A ; 11955
+ SUB *AR4, -5, B, A ; 11956
+ SUB *AR4, -5, B, B ; 11957
+ SUB *AR4, -5, B ; 11958
+ SUB *AR4, -4, A, A ; 11959
+ SUB *AR4, -4, A, B ; 11960
+ SUB *AR4, -4, A ; 11961
+ SUB *AR4, -4, B, A ; 11962
+ SUB *AR4, -4, B, B ; 11963
+ SUB *AR4, -4, B ; 11964
+ SUB *AR4, -3, A, A ; 11965
+ SUB *AR4, -3, A, B ; 11966
+ SUB *AR4, -3, A ; 11967
+ SUB *AR4, -3, B, A ; 11968
+ SUB *AR4, -3, B, B ; 11969
+ SUB *AR4, -3, B ; 11970
+ SUB *AR4, -2, A, A ; 11971
+ SUB *AR4, -2, A, B ; 11972
+ SUB *AR4, -2, A ; 11973
+ SUB *AR4, -2, B, A ; 11974
+ SUB *AR4, -2, B, B ; 11975
+ SUB *AR4, -2, B ; 11976
+ SUB *AR4, -1, A, A ; 11977
+ SUB *AR4, -1, A, B ; 11978
+ SUB *AR4, -1, A ; 11979
+ SUB *AR4, -1, B, A ; 11980
+ SUB *AR4, -1, B, B ; 11981
+ SUB *AR4, -1, B ; 11982
+ SUB *AR4, 0, A, A ; 11983
+ SUB *AR4, 0, A, B ; 11984
+ SUB *AR4, 0, A ; 11985
+ SUB *AR4, 0, B, A ; 11986
+ SUB *AR4, 0, B, B ; 11987
+ SUB *AR4, 0, B ; 11988
+ SUB *AR4, 1, A, A ; 11989
+ SUB *AR4, 1, A, B ; 11990
+ SUB *AR4, 1, A ; 11991
+ SUB *AR4, 1, B, A ; 11992
+ SUB *AR4, 1, B, B ; 11993
+ SUB *AR4, 1, B ; 11994
+ SUB *AR4, 2, A, A ; 11995
+ SUB *AR4, 2, A, B ; 11996
+ SUB *AR4, 2, A ; 11997
+ SUB *AR4, 2, B, A ; 11998
+ SUB *AR4, 2, B, B ; 11999
+ SUB *AR4, 2, B ; 12000
+ SUB *AR4, 3, A, A ; 12001
+ SUB *AR4, 3, A, B ; 12002
+ SUB *AR4, 3, A ; 12003
+ SUB *AR4, 3, B, A ; 12004
+ SUB *AR4, 3, B, B ; 12005
+ SUB *AR4, 3, B ; 12006
+ SUB *AR4, 4, A, A ; 12007
+ SUB *AR4, 4, A, B ; 12008
+ SUB *AR4, 4, A ; 12009
+ SUB *AR4, 4, B, A ; 12010
+ SUB *AR4, 4, B, B ; 12011
+ SUB *AR4, 4, B ; 12012
+ SUB *AR4, 5, A, A ; 12013
+ SUB *AR4, 5, A, B ; 12014
+ SUB *AR4, 5, A ; 12015
+ SUB *AR4, 5, B, A ; 12016
+ SUB *AR4, 5, B, B ; 12017
+ SUB *AR4, 5, B ; 12018
+ SUB *AR4, 6, A, A ; 12019
+ SUB *AR4, 6, A, B ; 12020
+ SUB *AR4, 6, A ; 12021
+ SUB *AR4, 6, B, A ; 12022
+ SUB *AR4, 6, B, B ; 12023
+ SUB *AR4, 6, B ; 12024
+ SUB *AR4, 7, A, A ; 12025
+ SUB *AR4, 7, A, B ; 12026
+ SUB *AR4, 7, A ; 12027
+ SUB *AR4, 7, B, A ; 12028
+ SUB *AR4, 7, B, B ; 12029
+ SUB *AR4, 7, B ; 12030
+ SUB *AR4, 8, A, A ; 12031
+ SUB *AR4, 8, A, B ; 12032
+ SUB *AR4, 8, A ; 12033
+ SUB *AR4, 8, B, A ; 12034
+ SUB *AR4, 8, B, B ; 12035
+ SUB *AR4, 8, B ; 12036
+ SUB *AR4, 9, A, A ; 12037
+ SUB *AR4, 9, A, B ; 12038
+ SUB *AR4, 9, A ; 12039
+ SUB *AR4, 9, B, A ; 12040
+ SUB *AR4, 9, B, B ; 12041
+ SUB *AR4, 9, B ; 12042
+ SUB *AR4, 10, A, A ; 12043
+ SUB *AR4, 10, A, B ; 12044
+ SUB *AR4, 10, A ; 12045
+ SUB *AR4, 10, B, A ; 12046
+ SUB *AR4, 10, B, B ; 12047
+ SUB *AR4, 10, B ; 12048
+ SUB *AR4, 11, A, A ; 12049
+ SUB *AR4, 11, A, B ; 12050
+ SUB *AR4, 11, A ; 12051
+ SUB *AR4, 11, B, A ; 12052
+ SUB *AR4, 11, B, B ; 12053
+ SUB *AR4, 11, B ; 12054
+ SUB *AR4, 12, A, A ; 12055
+ SUB *AR4, 12, A, B ; 12056
+ SUB *AR4, 12, A ; 12057
+ SUB *AR4, 12, B, A ; 12058
+ SUB *AR4, 12, B, B ; 12059
+ SUB *AR4, 12, B ; 12060
+ SUB *AR4, 13, A, A ; 12061
+ SUB *AR4, 13, A, B ; 12062
+ SUB *AR4, 13, A ; 12063
+ SUB *AR4, 13, B, A ; 12064
+ SUB *AR4, 13, B, B ; 12065
+ SUB *AR4, 13, B ; 12066
+ SUB *AR4, 14, A, A ; 12067
+ SUB *AR4, 14, A, B ; 12068
+ SUB *AR4, 14, A ; 12069
+ SUB *AR4, 14, B, A ; 12070
+ SUB *AR4, 14, B, B ; 12071
+ SUB *AR4, 14, B ; 12072
+ SUB *AR4, 15, A, A ; 12073
+ SUB *AR4, 15, A, B ; 12074
+ SUB *AR4, 15, A ; 12075
+ SUB *AR4, 15, B, A ; 12076
+ SUB *AR4, 15, B, B ; 12077
+ SUB *AR4, 15, B ; 12078
+ SUB *AR4-, A, A ; 12079
+ SUB *AR4-, A, B ; 12080
+ SUB *AR4-, A ; 12081
+ SUB *AR4-, B, A ; 12082
+ SUB *AR4-, B, B ; 12083
+ SUB *AR4-, B ; 12084
+ SUB *AR4-, -16, A, A ; 12085
+ SUB *AR4-, -16, A, B ; 12086
+ SUB *AR4-, -16, A ; 12087
+ SUB *AR4-, -16, B, A ; 12088
+ SUB *AR4-, -16, B, B ; 12089
+ SUB *AR4-, -16, B ; 12090
+ SUB *AR4-, -15, A, A ; 12091
+ SUB *AR4-, -15, A, B ; 12092
+ SUB *AR4-, -15, A ; 12093
+ SUB *AR4-, -15, B, A ; 12094
+ SUB *AR4-, -15, B, B ; 12095
+ SUB *AR4-, -15, B ; 12096
+ SUB *AR4-, -14, A, A ; 12097
+ SUB *AR4-, -14, A, B ; 12098
+ SUB *AR4-, -14, A ; 12099
+ SUB *AR4-, -14, B, A ; 12100
+ SUB *AR4-, -14, B, B ; 12101
+ SUB *AR4-, -14, B ; 12102
+ SUB *AR4-, -13, A, A ; 12103
+ SUB *AR4-, -13, A, B ; 12104
+ SUB *AR4-, -13, A ; 12105
+ SUB *AR4-, -13, B, A ; 12106
+ SUB *AR4-, -13, B, B ; 12107
+ SUB *AR4-, -13, B ; 12108
+ SUB *AR4-, -12, A, A ; 12109
+ SUB *AR4-, -12, A, B ; 12110
+ SUB *AR4-, -12, A ; 12111
+ SUB *AR4-, -12, B, A ; 12112
+ SUB *AR4-, -12, B, B ; 12113
+ SUB *AR4-, -12, B ; 12114
+ SUB *AR4-, -11, A, A ; 12115
+ SUB *AR4-, -11, A, B ; 12116
+ SUB *AR4-, -11, A ; 12117
+ SUB *AR4-, -11, B, A ; 12118
+ SUB *AR4-, -11, B, B ; 12119
+ SUB *AR4-, -11, B ; 12120
+ SUB *AR4-, -10, A, A ; 12121
+ SUB *AR4-, -10, A, B ; 12122
+ SUB *AR4-, -10, A ; 12123
+ SUB *AR4-, -10, B, A ; 12124
+ SUB *AR4-, -10, B, B ; 12125
+ SUB *AR4-, -10, B ; 12126
+ SUB *AR4-, -9, A, A ; 12127
+ SUB *AR4-, -9, A, B ; 12128
+ SUB *AR4-, -9, A ; 12129
+ SUB *AR4-, -9, B, A ; 12130
+ SUB *AR4-, -9, B, B ; 12131
+ SUB *AR4-, -9, B ; 12132
+ SUB *AR4-, -8, A, A ; 12133
+ SUB *AR4-, -8, A, B ; 12134
+ SUB *AR4-, -8, A ; 12135
+ SUB *AR4-, -8, B, A ; 12136
+ SUB *AR4-, -8, B, B ; 12137
+ SUB *AR4-, -8, B ; 12138
+ SUB *AR4-, -7, A, A ; 12139
+ SUB *AR4-, -7, A, B ; 12140
+ SUB *AR4-, -7, A ; 12141
+ SUB *AR4-, -7, B, A ; 12142
+ SUB *AR4-, -7, B, B ; 12143
+ SUB *AR4-, -7, B ; 12144
+ SUB *AR4-, -6, A, A ; 12145
+ SUB *AR4-, -6, A, B ; 12146
+ SUB *AR4-, -6, A ; 12147
+ SUB *AR4-, -6, B, A ; 12148
+ SUB *AR4-, -6, B, B ; 12149
+ SUB *AR4-, -6, B ; 12150
+ SUB *AR4-, -5, A, A ; 12151
+ SUB *AR4-, -5, A, B ; 12152
+ SUB *AR4-, -5, A ; 12153
+ SUB *AR4-, -5, B, A ; 12154
+ SUB *AR4-, -5, B, B ; 12155
+ SUB *AR4-, -5, B ; 12156
+ SUB *AR4-, -4, A, A ; 12157
+ SUB *AR4-, -4, A, B ; 12158
+ SUB *AR4-, -4, A ; 12159
+ SUB *AR4-, -4, B, A ; 12160
+ SUB *AR4-, -4, B, B ; 12161
+ SUB *AR4-, -4, B ; 12162
+ SUB *AR4-, -3, A, A ; 12163
+ SUB *AR4-, -3, A, B ; 12164
+ SUB *AR4-, -3, A ; 12165
+ SUB *AR4-, -3, B, A ; 12166
+ SUB *AR4-, -3, B, B ; 12167
+ SUB *AR4-, -3, B ; 12168
+ SUB *AR4-, -2, A, A ; 12169
+ SUB *AR4-, -2, A, B ; 12170
+ SUB *AR4-, -2, A ; 12171
+ SUB *AR4-, -2, B, A ; 12172
+ SUB *AR4-, -2, B, B ; 12173
+ SUB *AR4-, -2, B ; 12174
+ SUB *AR4-, -1, A, A ; 12175
+ SUB *AR4-, -1, A, B ; 12176
+ SUB *AR4-, -1, A ; 12177
+ SUB *AR4-, -1, B, A ; 12178
+ SUB *AR4-, -1, B, B ; 12179
+ SUB *AR4-, -1, B ; 12180
+ SUB *AR4-, 0, A, A ; 12181
+ SUB *AR4-, 0, A, B ; 12182
+ SUB *AR4-, 0, A ; 12183
+ SUB *AR4-, 0, B, A ; 12184
+ SUB *AR4-, 0, B, B ; 12185
+ SUB *AR4-, 0, B ; 12186
+ SUB *AR4-, 1, A, A ; 12187
+ SUB *AR4-, 1, A, B ; 12188
+ SUB *AR4-, 1, A ; 12189
+ SUB *AR4-, 1, B, A ; 12190
+ SUB *AR4-, 1, B, B ; 12191
+ SUB *AR4-, 1, B ; 12192
+ SUB *AR4-, 2, A, A ; 12193
+ SUB *AR4-, 2, A, B ; 12194
+ SUB *AR4-, 2, A ; 12195
+ SUB *AR4-, 2, B, A ; 12196
+ SUB *AR4-, 2, B, B ; 12197
+ SUB *AR4-, 2, B ; 12198
+ SUB *AR4-, 3, A, A ; 12199
+ SUB *AR4-, 3, A, B ; 12200
+ SUB *AR4-, 3, A ; 12201
+ SUB *AR4-, 3, B, A ; 12202
+ SUB *AR4-, 3, B, B ; 12203
+ SUB *AR4-, 3, B ; 12204
+ SUB *AR4-, 4, A, A ; 12205
+ SUB *AR4-, 4, A, B ; 12206
+ SUB *AR4-, 4, A ; 12207
+ SUB *AR4-, 4, B, A ; 12208
+ SUB *AR4-, 4, B, B ; 12209
+ SUB *AR4-, 4, B ; 12210
+ SUB *AR4-, 5, A, A ; 12211
+ SUB *AR4-, 5, A, B ; 12212
+ SUB *AR4-, 5, A ; 12213
+ SUB *AR4-, 5, B, A ; 12214
+ SUB *AR4-, 5, B, B ; 12215
+ SUB *AR4-, 5, B ; 12216
+ SUB *AR4-, 6, A, A ; 12217
+ SUB *AR4-, 6, A, B ; 12218
+ SUB *AR4-, 6, A ; 12219
+ SUB *AR4-, 6, B, A ; 12220
+ SUB *AR4-, 6, B, B ; 12221
+ SUB *AR4-, 6, B ; 12222
+ SUB *AR4-, 7, A, A ; 12223
+ SUB *AR4-, 7, A, B ; 12224
+ SUB *AR4-, 7, A ; 12225
+ SUB *AR4-, 7, B, A ; 12226
+ SUB *AR4-, 7, B, B ; 12227
+ SUB *AR4-, 7, B ; 12228
+ SUB *AR4-, 8, A, A ; 12229
+ SUB *AR4-, 8, A, B ; 12230
+ SUB *AR4-, 8, A ; 12231
+ SUB *AR4-, 8, B, A ; 12232
+ SUB *AR4-, 8, B, B ; 12233
+ SUB *AR4-, 8, B ; 12234
+ SUB *AR4-, 9, A, A ; 12235
+ SUB *AR4-, 9, A, B ; 12236
+ SUB *AR4-, 9, A ; 12237
+ SUB *AR4-, 9, B, A ; 12238
+ SUB *AR4-, 9, B, B ; 12239
+ SUB *AR4-, 9, B ; 12240
+ SUB *AR4-, 10, A, A ; 12241
+ SUB *AR4-, 10, A, B ; 12242
+ SUB *AR4-, 10, A ; 12243
+ SUB *AR4-, 10, B, A ; 12244
+ SUB *AR4-, 10, B, B ; 12245
+ SUB *AR4-, 10, B ; 12246
+ SUB *AR4-, 11, A, A ; 12247
+ SUB *AR4-, 11, A, B ; 12248
+ SUB *AR4-, 11, A ; 12249
+ SUB *AR4-, 11, B, A ; 12250
+ SUB *AR4-, 11, B, B ; 12251
+ SUB *AR4-, 11, B ; 12252
+ SUB *AR4-, 12, A, A ; 12253
+ SUB *AR4-, 12, A, B ; 12254
+ SUB *AR4-, 12, A ; 12255
+ SUB *AR4-, 12, B, A ; 12256
+ SUB *AR4-, 12, B, B ; 12257
+ SUB *AR4-, 12, B ; 12258
+ SUB *AR4-, 13, A, A ; 12259
+ SUB *AR4-, 13, A, B ; 12260
+ SUB *AR4-, 13, A ; 12261
+ SUB *AR4-, 13, B, A ; 12262
+ SUB *AR4-, 13, B, B ; 12263
+ SUB *AR4-, 13, B ; 12264
+ SUB *AR4-, 14, A, A ; 12265
+ SUB *AR4-, 14, A, B ; 12266
+ SUB *AR4-, 14, A ; 12267
+ SUB *AR4-, 14, B, A ; 12268
+ SUB *AR4-, 14, B, B ; 12269
+ SUB *AR4-, 14, B ; 12270
+ SUB *AR4-, 15, A, A ; 12271
+ SUB *AR4-, 15, A, B ; 12272
+ SUB *AR4-, 15, A ; 12273
+ SUB *AR4-, 15, B, A ; 12274
+ SUB *AR4-, 15, B, B ; 12275
+ SUB *AR4-, 15, B ; 12276
+ SUB *AR4+, A, A ; 12277
+ SUB *AR4+, A, B ; 12278
+ SUB *AR4+, A ; 12279
+ SUB *AR4+, B, A ; 12280
+ SUB *AR4+, B, B ; 12281
+ SUB *AR4+, B ; 12282
+ SUB *AR4+, -16, A, A ; 12283
+ SUB *AR4+, -16, A, B ; 12284
+ SUB *AR4+, -16, A ; 12285
+ SUB *AR4+, -16, B, A ; 12286
+ SUB *AR4+, -16, B, B ; 12287
+ SUB *AR4+, -16, B ; 12288
+ SUB *AR4+, -15, A, A ; 12289
+ SUB *AR4+, -15, A, B ; 12290
+ SUB *AR4+, -15, A ; 12291
+ SUB *AR4+, -15, B, A ; 12292
+ SUB *AR4+, -15, B, B ; 12293
+ SUB *AR4+, -15, B ; 12294
+ SUB *AR4+, -14, A, A ; 12295
+ SUB *AR4+, -14, A, B ; 12296
+ SUB *AR4+, -14, A ; 12297
+ SUB *AR4+, -14, B, A ; 12298
+ SUB *AR4+, -14, B, B ; 12299
+ SUB *AR4+, -14, B ; 12300
+ SUB *AR4+, -13, A, A ; 12301
+ SUB *AR4+, -13, A, B ; 12302
+ SUB *AR4+, -13, A ; 12303
+ SUB *AR4+, -13, B, A ; 12304
+ SUB *AR4+, -13, B, B ; 12305
+ SUB *AR4+, -13, B ; 12306
+ SUB *AR4+, -12, A, A ; 12307
+ SUB *AR4+, -12, A, B ; 12308
+ SUB *AR4+, -12, A ; 12309
+ SUB *AR4+, -12, B, A ; 12310
+ SUB *AR4+, -12, B, B ; 12311
+ SUB *AR4+, -12, B ; 12312
+ SUB *AR4+, -11, A, A ; 12313
+ SUB *AR4+, -11, A, B ; 12314
+ SUB *AR4+, -11, A ; 12315
+ SUB *AR4+, -11, B, A ; 12316
+ SUB *AR4+, -11, B, B ; 12317
+ SUB *AR4+, -11, B ; 12318
+ SUB *AR4+, -10, A, A ; 12319
+ SUB *AR4+, -10, A, B ; 12320
+ SUB *AR4+, -10, A ; 12321
+ SUB *AR4+, -10, B, A ; 12322
+ SUB *AR4+, -10, B, B ; 12323
+ SUB *AR4+, -10, B ; 12324
+ SUB *AR4+, -9, A, A ; 12325
+ SUB *AR4+, -9, A, B ; 12326
+ SUB *AR4+, -9, A ; 12327
+ SUB *AR4+, -9, B, A ; 12328
+ SUB *AR4+, -9, B, B ; 12329
+ SUB *AR4+, -9, B ; 12330
+ SUB *AR4+, -8, A, A ; 12331
+ SUB *AR4+, -8, A, B ; 12332
+ SUB *AR4+, -8, A ; 12333
+ SUB *AR4+, -8, B, A ; 12334
+ SUB *AR4+, -8, B, B ; 12335
+ SUB *AR4+, -8, B ; 12336
+ SUB *AR4+, -7, A, A ; 12337
+ SUB *AR4+, -7, A, B ; 12338
+ SUB *AR4+, -7, A ; 12339
+ SUB *AR4+, -7, B, A ; 12340
+ SUB *AR4+, -7, B, B ; 12341
+ SUB *AR4+, -7, B ; 12342
+ SUB *AR4+, -6, A, A ; 12343
+ SUB *AR4+, -6, A, B ; 12344
+ SUB *AR4+, -6, A ; 12345
+ SUB *AR4+, -6, B, A ; 12346
+ SUB *AR4+, -6, B, B ; 12347
+ SUB *AR4+, -6, B ; 12348
+ SUB *AR4+, -5, A, A ; 12349
+ SUB *AR4+, -5, A, B ; 12350
+ SUB *AR4+, -5, A ; 12351
+ SUB *AR4+, -5, B, A ; 12352
+ SUB *AR4+, -5, B, B ; 12353
+ SUB *AR4+, -5, B ; 12354
+ SUB *AR4+, -4, A, A ; 12355
+ SUB *AR4+, -4, A, B ; 12356
+ SUB *AR4+, -4, A ; 12357
+ SUB *AR4+, -4, B, A ; 12358
+ SUB *AR4+, -4, B, B ; 12359
+ SUB *AR4+, -4, B ; 12360
+ SUB *AR4+, -3, A, A ; 12361
+ SUB *AR4+, -3, A, B ; 12362
+ SUB *AR4+, -3, A ; 12363
+ SUB *AR4+, -3, B, A ; 12364
+ SUB *AR4+, -3, B, B ; 12365
+ SUB *AR4+, -3, B ; 12366
+ SUB *AR4+, -2, A, A ; 12367
+ SUB *AR4+, -2, A, B ; 12368
+ SUB *AR4+, -2, A ; 12369
+ SUB *AR4+, -2, B, A ; 12370
+ SUB *AR4+, -2, B, B ; 12371
+ SUB *AR4+, -2, B ; 12372
+ SUB *AR4+, -1, A, A ; 12373
+ SUB *AR4+, -1, A, B ; 12374
+ SUB *AR4+, -1, A ; 12375
+ SUB *AR4+, -1, B, A ; 12376
+ SUB *AR4+, -1, B, B ; 12377
+ SUB *AR4+, -1, B ; 12378
+ SUB *AR4+, 0, A, A ; 12379
+ SUB *AR4+, 0, A, B ; 12380
+ SUB *AR4+, 0, A ; 12381
+ SUB *AR4+, 0, B, A ; 12382
+ SUB *AR4+, 0, B, B ; 12383
+ SUB *AR4+, 0, B ; 12384
+ SUB *AR4+, 1, A, A ; 12385
+ SUB *AR4+, 1, A, B ; 12386
+ SUB *AR4+, 1, A ; 12387
+ SUB *AR4+, 1, B, A ; 12388
+ SUB *AR4+, 1, B, B ; 12389
+ SUB *AR4+, 1, B ; 12390
+ SUB *AR4+, 2, A, A ; 12391
+ SUB *AR4+, 2, A, B ; 12392
+ SUB *AR4+, 2, A ; 12393
+ SUB *AR4+, 2, B, A ; 12394
+ SUB *AR4+, 2, B, B ; 12395
+ SUB *AR4+, 2, B ; 12396
+ SUB *AR4+, 3, A, A ; 12397
+ SUB *AR4+, 3, A, B ; 12398
+ SUB *AR4+, 3, A ; 12399
+ SUB *AR4+, 3, B, A ; 12400
+ SUB *AR4+, 3, B, B ; 12401
+ SUB *AR4+, 3, B ; 12402
+ SUB *AR4+, 4, A, A ; 12403
+ SUB *AR4+, 4, A, B ; 12404
+ SUB *AR4+, 4, A ; 12405
+ SUB *AR4+, 4, B, A ; 12406
+ SUB *AR4+, 4, B, B ; 12407
+ SUB *AR4+, 4, B ; 12408
+ SUB *AR4+, 5, A, A ; 12409
+ SUB *AR4+, 5, A, B ; 12410
+ SUB *AR4+, 5, A ; 12411
+ SUB *AR4+, 5, B, A ; 12412
+ SUB *AR4+, 5, B, B ; 12413
+ SUB *AR4+, 5, B ; 12414
+ SUB *AR4+, 6, A, A ; 12415
+ SUB *AR4+, 6, A, B ; 12416
+ SUB *AR4+, 6, A ; 12417
+ SUB *AR4+, 6, B, A ; 12418
+ SUB *AR4+, 6, B, B ; 12419
+ SUB *AR4+, 6, B ; 12420
+ SUB *AR4+, 7, A, A ; 12421
+ SUB *AR4+, 7, A, B ; 12422
+ SUB *AR4+, 7, A ; 12423
+ SUB *AR4+, 7, B, A ; 12424
+ SUB *AR4+, 7, B, B ; 12425
+ SUB *AR4+, 7, B ; 12426
+ SUB *AR4+, 8, A, A ; 12427
+ SUB *AR4+, 8, A, B ; 12428
+ SUB *AR4+, 8, A ; 12429
+ SUB *AR4+, 8, B, A ; 12430
+ SUB *AR4+, 8, B, B ; 12431
+ SUB *AR4+, 8, B ; 12432
+ SUB *AR4+, 9, A, A ; 12433
+ SUB *AR4+, 9, A, B ; 12434
+ SUB *AR4+, 9, A ; 12435
+ SUB *AR4+, 9, B, A ; 12436
+ SUB *AR4+, 9, B, B ; 12437
+ SUB *AR4+, 9, B ; 12438
+ SUB *AR4+, 10, A, A ; 12439
+ SUB *AR4+, 10, A, B ; 12440
+ SUB *AR4+, 10, A ; 12441
+ SUB *AR4+, 10, B, A ; 12442
+ SUB *AR4+, 10, B, B ; 12443
+ SUB *AR4+, 10, B ; 12444
+ SUB *AR4+, 11, A, A ; 12445
+ SUB *AR4+, 11, A, B ; 12446
+ SUB *AR4+, 11, A ; 12447
+ SUB *AR4+, 11, B, A ; 12448
+ SUB *AR4+, 11, B, B ; 12449
+ SUB *AR4+, 11, B ; 12450
+ SUB *AR4+, 12, A, A ; 12451
+ SUB *AR4+, 12, A, B ; 12452
+ SUB *AR4+, 12, A ; 12453
+ SUB *AR4+, 12, B, A ; 12454
+ SUB *AR4+, 12, B, B ; 12455
+ SUB *AR4+, 12, B ; 12456
+ SUB *AR4+, 13, A, A ; 12457
+ SUB *AR4+, 13, A, B ; 12458
+ SUB *AR4+, 13, A ; 12459
+ SUB *AR4+, 13, B, A ; 12460
+ SUB *AR4+, 13, B, B ; 12461
+ SUB *AR4+, 13, B ; 12462
+ SUB *AR4+, 14, A, A ; 12463
+ SUB *AR4+, 14, A, B ; 12464
+ SUB *AR4+, 14, A ; 12465
+ SUB *AR4+, 14, B, A ; 12466
+ SUB *AR4+, 14, B, B ; 12467
+ SUB *AR4+, 14, B ; 12468
+ SUB *AR4+, 15, A, A ; 12469
+ SUB *AR4+, 15, A, B ; 12470
+ SUB *AR4+, 15, A ; 12471
+ SUB *AR4+, 15, B, A ; 12472
+ SUB *AR4+, 15, B, B ; 12473
+ SUB *AR4+, 15, B ; 12474
+ SUB *AR4-0B, A, A ; 12475
+ SUB *AR4-0B, A, B ; 12476
+ SUB *AR4-0B, A ; 12477
+ SUB *AR4-0B, B, A ; 12478
+ SUB *AR4-0B, B, B ; 12479
+ SUB *AR4-0B, B ; 12480
+ SUB *AR4-0B, -16, A, A ; 12481
+ SUB *AR4-0B, -16, A, B ; 12482
+ SUB *AR4-0B, -16, A ; 12483
+ SUB *AR4-0B, -16, B, A ; 12484
+ SUB *AR4-0B, -16, B, B ; 12485
+ SUB *AR4-0B, -16, B ; 12486
+ SUB *AR4-0B, -15, A, A ; 12487
+ SUB *AR4-0B, -15, A, B ; 12488
+ SUB *AR4-0B, -15, A ; 12489
+ SUB *AR4-0B, -15, B, A ; 12490
+ SUB *AR4-0B, -15, B, B ; 12491
+ SUB *AR4-0B, -15, B ; 12492
+ SUB *AR4-0B, -14, A, A ; 12493
+ SUB *AR4-0B, -14, A, B ; 12494
+ SUB *AR4-0B, -14, A ; 12495
+ SUB *AR4-0B, -14, B, A ; 12496
+ SUB *AR4-0B, -14, B, B ; 12497
+ SUB *AR4-0B, -14, B ; 12498
+ SUB *AR4-0B, -13, A, A ; 12499
+ SUB *AR4-0B, -13, A, B ; 12500
+ SUB *AR4-0B, -13, A ; 12501
+ SUB *AR4-0B, -13, B, A ; 12502
+ SUB *AR4-0B, -13, B, B ; 12503
+ SUB *AR4-0B, -13, B ; 12504
+ SUB *AR4-0B, -12, A, A ; 12505
+ SUB *AR4-0B, -12, A, B ; 12506
+ SUB *AR4-0B, -12, A ; 12507
+ SUB *AR4-0B, -12, B, A ; 12508
+ SUB *AR4-0B, -12, B, B ; 12509
+ SUB *AR4-0B, -12, B ; 12510
+ SUB *AR4-0B, -11, A, A ; 12511
+ SUB *AR4-0B, -11, A, B ; 12512
+ SUB *AR4-0B, -11, A ; 12513
+ SUB *AR4-0B, -11, B, A ; 12514
+ SUB *AR4-0B, -11, B, B ; 12515
+ SUB *AR4-0B, -11, B ; 12516
+ SUB *AR4-0B, -10, A, A ; 12517
+ SUB *AR4-0B, -10, A, B ; 12518
+ SUB *AR4-0B, -10, A ; 12519
+ SUB *AR4-0B, -10, B, A ; 12520
+ SUB *AR4-0B, -10, B, B ; 12521
+ SUB *AR4-0B, -10, B ; 12522
+ SUB *AR4-0B, -9, A, A ; 12523
+ SUB *AR4-0B, -9, A, B ; 12524
+ SUB *AR4-0B, -9, A ; 12525
+ SUB *AR4-0B, -9, B, A ; 12526
+ SUB *AR4-0B, -9, B, B ; 12527
+ SUB *AR4-0B, -9, B ; 12528
+ SUB *AR4-0B, -8, A, A ; 12529
+ SUB *AR4-0B, -8, A, B ; 12530
+ SUB *AR4-0B, -8, A ; 12531
+ SUB *AR4-0B, -8, B, A ; 12532
+ SUB *AR4-0B, -8, B, B ; 12533
+ SUB *AR4-0B, -8, B ; 12534
+ SUB *AR4-0B, -7, A, A ; 12535
+ SUB *AR4-0B, -7, A, B ; 12536
+ SUB *AR4-0B, -7, A ; 12537
+ SUB *AR4-0B, -7, B, A ; 12538
+ SUB *AR4-0B, -7, B, B ; 12539
+ SUB *AR4-0B, -7, B ; 12540
+ SUB *AR4-0B, -6, A, A ; 12541
+ SUB *AR4-0B, -6, A, B ; 12542
+ SUB *AR4-0B, -6, A ; 12543
+ SUB *AR4-0B, -6, B, A ; 12544
+ SUB *AR4-0B, -6, B, B ; 12545
+ SUB *AR4-0B, -6, B ; 12546
+ SUB *AR4-0B, -5, A, A ; 12547
+ SUB *AR4-0B, -5, A, B ; 12548
+ SUB *AR4-0B, -5, A ; 12549
+ SUB *AR4-0B, -5, B, A ; 12550
+ SUB *AR4-0B, -5, B, B ; 12551
+ SUB *AR4-0B, -5, B ; 12552
+ SUB *AR4-0B, -4, A, A ; 12553
+ SUB *AR4-0B, -4, A, B ; 12554
+ SUB *AR4-0B, -4, A ; 12555
+ SUB *AR4-0B, -4, B, A ; 12556
+ SUB *AR4-0B, -4, B, B ; 12557
+ SUB *AR4-0B, -4, B ; 12558
+ SUB *AR4-0B, -3, A, A ; 12559
+ SUB *AR4-0B, -3, A, B ; 12560
+ SUB *AR4-0B, -3, A ; 12561
+ SUB *AR4-0B, -3, B, A ; 12562
+ SUB *AR4-0B, -3, B, B ; 12563
+ SUB *AR4-0B, -3, B ; 12564
+ SUB *AR4-0B, -2, A, A ; 12565
+ SUB *AR4-0B, -2, A, B ; 12566
+ SUB *AR4-0B, -2, A ; 12567
+ SUB *AR4-0B, -2, B, A ; 12568
+ SUB *AR4-0B, -2, B, B ; 12569
+ SUB *AR4-0B, -2, B ; 12570
+ SUB *AR4-0B, -1, A, A ; 12571
+ SUB *AR4-0B, -1, A, B ; 12572
+ SUB *AR4-0B, -1, A ; 12573
+ SUB *AR4-0B, -1, B, A ; 12574
+ SUB *AR4-0B, -1, B, B ; 12575
+ SUB *AR4-0B, -1, B ; 12576
+ SUB *AR4-0B, 0, A, A ; 12577
+ SUB *AR4-0B, 0, A, B ; 12578
+ SUB *AR4-0B, 0, A ; 12579
+ SUB *AR4-0B, 0, B, A ; 12580
+ SUB *AR4-0B, 0, B, B ; 12581
+ SUB *AR4-0B, 0, B ; 12582
+ SUB *AR4-0B, 1, A, A ; 12583
+ SUB *AR4-0B, 1, A, B ; 12584
+ SUB *AR4-0B, 1, A ; 12585
+ SUB *AR4-0B, 1, B, A ; 12586
+ SUB *AR4-0B, 1, B, B ; 12587
+ SUB *AR4-0B, 1, B ; 12588
+ SUB *AR4-0B, 2, A, A ; 12589
+ SUB *AR4-0B, 2, A, B ; 12590
+ SUB *AR4-0B, 2, A ; 12591
+ SUB *AR4-0B, 2, B, A ; 12592
+ SUB *AR4-0B, 2, B, B ; 12593
+ SUB *AR4-0B, 2, B ; 12594
+ SUB *AR4-0B, 3, A, A ; 12595
+ SUB *AR4-0B, 3, A, B ; 12596
+ SUB *AR4-0B, 3, A ; 12597
+ SUB *AR4-0B, 3, B, A ; 12598
+ SUB *AR4-0B, 3, B, B ; 12599
+ SUB *AR4-0B, 3, B ; 12600
+ SUB *AR4-0B, 4, A, A ; 12601
+ SUB *AR4-0B, 4, A, B ; 12602
+ SUB *AR4-0B, 4, A ; 12603
+ SUB *AR4-0B, 4, B, A ; 12604
+ SUB *AR4-0B, 4, B, B ; 12605
+ SUB *AR4-0B, 4, B ; 12606
+ SUB *AR4-0B, 5, A, A ; 12607
+ SUB *AR4-0B, 5, A, B ; 12608
+ SUB *AR4-0B, 5, A ; 12609
+ SUB *AR4-0B, 5, B, A ; 12610
+ SUB *AR4-0B, 5, B, B ; 12611
+ SUB *AR4-0B, 5, B ; 12612
+ SUB *AR4-0B, 6, A, A ; 12613
+ SUB *AR4-0B, 6, A, B ; 12614
+ SUB *AR4-0B, 6, A ; 12615
+ SUB *AR4-0B, 6, B, A ; 12616
+ SUB *AR4-0B, 6, B, B ; 12617
+ SUB *AR4-0B, 6, B ; 12618
+ SUB *AR4-0B, 7, A, A ; 12619
+ SUB *AR4-0B, 7, A, B ; 12620
+ SUB *AR4-0B, 7, A ; 12621
+ SUB *AR4-0B, 7, B, A ; 12622
+ SUB *AR4-0B, 7, B, B ; 12623
+ SUB *AR4-0B, 7, B ; 12624
+ SUB *AR4-0B, 8, A, A ; 12625
+ SUB *AR4-0B, 8, A, B ; 12626
+ SUB *AR4-0B, 8, A ; 12627
+ SUB *AR4-0B, 8, B, A ; 12628
+ SUB *AR4-0B, 8, B, B ; 12629
+ SUB *AR4-0B, 8, B ; 12630
+ SUB *AR4-0B, 9, A, A ; 12631
+ SUB *AR4-0B, 9, A, B ; 12632
+ SUB *AR4-0B, 9, A ; 12633
+ SUB *AR4-0B, 9, B, A ; 12634
+ SUB *AR4-0B, 9, B, B ; 12635
+ SUB *AR4-0B, 9, B ; 12636
+ SUB *AR4-0B, 10, A, A ; 12637
+ SUB *AR4-0B, 10, A, B ; 12638
+ SUB *AR4-0B, 10, A ; 12639
+ SUB *AR4-0B, 10, B, A ; 12640
+ SUB *AR4-0B, 10, B, B ; 12641
+ SUB *AR4-0B, 10, B ; 12642
+ SUB *AR4-0B, 11, A, A ; 12643
+ SUB *AR4-0B, 11, A, B ; 12644
+ SUB *AR4-0B, 11, A ; 12645
+ SUB *AR4-0B, 11, B, A ; 12646
+ SUB *AR4-0B, 11, B, B ; 12647
+ SUB *AR4-0B, 11, B ; 12648
+ SUB *AR4-0B, 12, A, A ; 12649
+ SUB *AR4-0B, 12, A, B ; 12650
+ SUB *AR4-0B, 12, A ; 12651
+ SUB *AR4-0B, 12, B, A ; 12652
+ SUB *AR4-0B, 12, B, B ; 12653
+ SUB *AR4-0B, 12, B ; 12654
+ SUB *AR4-0B, 13, A, A ; 12655
+ SUB *AR4-0B, 13, A, B ; 12656
+ SUB *AR4-0B, 13, A ; 12657
+ SUB *AR4-0B, 13, B, A ; 12658
+ SUB *AR4-0B, 13, B, B ; 12659
+ SUB *AR4-0B, 13, B ; 12660
+ SUB *AR4-0B, 14, A, A ; 12661
+ SUB *AR4-0B, 14, A, B ; 12662
+ SUB *AR4-0B, 14, A ; 12663
+ SUB *AR4-0B, 14, B, A ; 12664
+ SUB *AR4-0B, 14, B, B ; 12665
+ SUB *AR4-0B, 14, B ; 12666
+ SUB *AR4-0B, 15, A, A ; 12667
+ SUB *AR4-0B, 15, A, B ; 12668
+ SUB *AR4-0B, 15, A ; 12669
+ SUB *AR4-0B, 15, B, A ; 12670
+ SUB *AR4-0B, 15, B, B ; 12671
+ SUB *AR4-0B, 15, B ; 12672
+ SUB *AR4-0, A, A ; 12673
+ SUB *AR4-0, A, B ; 12674
+ SUB *AR4-0, A ; 12675
+ SUB *AR4-0, B, A ; 12676
+ SUB *AR4-0, B, B ; 12677
+ SUB *AR4-0, B ; 12678
+ SUB *AR4-0, -16, A, A ; 12679
+ SUB *AR4-0, -16, A, B ; 12680
+ SUB *AR4-0, -16, A ; 12681
+ SUB *AR4-0, -16, B, A ; 12682
+ SUB *AR4-0, -16, B, B ; 12683
+ SUB *AR4-0, -16, B ; 12684
+ SUB *AR4-0, -15, A, A ; 12685
+ SUB *AR4-0, -15, A, B ; 12686
+ SUB *AR4-0, -15, A ; 12687
+ SUB *AR4-0, -15, B, A ; 12688
+ SUB *AR4-0, -15, B, B ; 12689
+ SUB *AR4-0, -15, B ; 12690
+ SUB *AR4-0, -14, A, A ; 12691
+ SUB *AR4-0, -14, A, B ; 12692
+ SUB *AR4-0, -14, A ; 12693
+ SUB *AR4-0, -14, B, A ; 12694
+ SUB *AR4-0, -14, B, B ; 12695
+ SUB *AR4-0, -14, B ; 12696
+ SUB *AR4-0, -13, A, A ; 12697
+ SUB *AR4-0, -13, A, B ; 12698
+ SUB *AR4-0, -13, A ; 12699
+ SUB *AR4-0, -13, B, A ; 12700
+ SUB *AR4-0, -13, B, B ; 12701
+ SUB *AR4-0, -13, B ; 12702
+ SUB *AR4-0, -12, A, A ; 12703
+ SUB *AR4-0, -12, A, B ; 12704
+ SUB *AR4-0, -12, A ; 12705
+ SUB *AR4-0, -12, B, A ; 12706
+ SUB *AR4-0, -12, B, B ; 12707
+ SUB *AR4-0, -12, B ; 12708
+ SUB *AR4-0, -11, A, A ; 12709
+ SUB *AR4-0, -11, A, B ; 12710
+ SUB *AR4-0, -11, A ; 12711
+ SUB *AR4-0, -11, B, A ; 12712
+ SUB *AR4-0, -11, B, B ; 12713
+ SUB *AR4-0, -11, B ; 12714
+ SUB *AR4-0, -10, A, A ; 12715
+ SUB *AR4-0, -10, A, B ; 12716
+ SUB *AR4-0, -10, A ; 12717
+ SUB *AR4-0, -10, B, A ; 12718
+ SUB *AR4-0, -10, B, B ; 12719
+ SUB *AR4-0, -10, B ; 12720
+ SUB *AR4-0, -9, A, A ; 12721
+ SUB *AR4-0, -9, A, B ; 12722
+ SUB *AR4-0, -9, A ; 12723
+ SUB *AR4-0, -9, B, A ; 12724
+ SUB *AR4-0, -9, B, B ; 12725
+ SUB *AR4-0, -9, B ; 12726
+ SUB *AR4-0, -8, A, A ; 12727
+ SUB *AR4-0, -8, A, B ; 12728
+ SUB *AR4-0, -8, A ; 12729
+ SUB *AR4-0, -8, B, A ; 12730
+ SUB *AR4-0, -8, B, B ; 12731
+ SUB *AR4-0, -8, B ; 12732
+ SUB *AR4-0, -7, A, A ; 12733
+ SUB *AR4-0, -7, A, B ; 12734
+ SUB *AR4-0, -7, A ; 12735
+ SUB *AR4-0, -7, B, A ; 12736
+ SUB *AR4-0, -7, B, B ; 12737
+ SUB *AR4-0, -7, B ; 12738
+ SUB *AR4-0, -6, A, A ; 12739
+ SUB *AR4-0, -6, A, B ; 12740
+ SUB *AR4-0, -6, A ; 12741
+ SUB *AR4-0, -6, B, A ; 12742
+ SUB *AR4-0, -6, B, B ; 12743
+ SUB *AR4-0, -6, B ; 12744
+ SUB *AR4-0, -5, A, A ; 12745
+ SUB *AR4-0, -5, A, B ; 12746
+ SUB *AR4-0, -5, A ; 12747
+ SUB *AR4-0, -5, B, A ; 12748
+ SUB *AR4-0, -5, B, B ; 12749
+ SUB *AR4-0, -5, B ; 12750
+ SUB *AR4-0, -4, A, A ; 12751
+ SUB *AR4-0, -4, A, B ; 12752
+ SUB *AR4-0, -4, A ; 12753
+ SUB *AR4-0, -4, B, A ; 12754
+ SUB *AR4-0, -4, B, B ; 12755
+ SUB *AR4-0, -4, B ; 12756
+ SUB *AR4-0, -3, A, A ; 12757
+ SUB *AR4-0, -3, A, B ; 12758
+ SUB *AR4-0, -3, A ; 12759
+ SUB *AR4-0, -3, B, A ; 12760
+ SUB *AR4-0, -3, B, B ; 12761
+ SUB *AR4-0, -3, B ; 12762
+ SUB *AR4-0, -2, A, A ; 12763
+ SUB *AR4-0, -2, A, B ; 12764
+ SUB *AR4-0, -2, A ; 12765
+ SUB *AR4-0, -2, B, A ; 12766
+ SUB *AR4-0, -2, B, B ; 12767
+ SUB *AR4-0, -2, B ; 12768
+ SUB *AR4-0, -1, A, A ; 12769
+ SUB *AR4-0, -1, A, B ; 12770
+ SUB *AR4-0, -1, A ; 12771
+ SUB *AR4-0, -1, B, A ; 12772
+ SUB *AR4-0, -1, B, B ; 12773
+ SUB *AR4-0, -1, B ; 12774
+ SUB *AR4-0, 0, A, A ; 12775
+ SUB *AR4-0, 0, A, B ; 12776
+ SUB *AR4-0, 0, A ; 12777
+ SUB *AR4-0, 0, B, A ; 12778
+ SUB *AR4-0, 0, B, B ; 12779
+ SUB *AR4-0, 0, B ; 12780
+ SUB *AR4-0, 1, A, A ; 12781
+ SUB *AR4-0, 1, A, B ; 12782
+ SUB *AR4-0, 1, A ; 12783
+ SUB *AR4-0, 1, B, A ; 12784
+ SUB *AR4-0, 1, B, B ; 12785
+ SUB *AR4-0, 1, B ; 12786
+ SUB *AR4-0, 2, A, A ; 12787
+ SUB *AR4-0, 2, A, B ; 12788
+ SUB *AR4-0, 2, A ; 12789
+ SUB *AR4-0, 2, B, A ; 12790
+ SUB *AR4-0, 2, B, B ; 12791
+ SUB *AR4-0, 2, B ; 12792
+ SUB *AR4-0, 3, A, A ; 12793
+ SUB *AR4-0, 3, A, B ; 12794
+ SUB *AR4-0, 3, A ; 12795
+ SUB *AR4-0, 3, B, A ; 12796
+ SUB *AR4-0, 3, B, B ; 12797
+ SUB *AR4-0, 3, B ; 12798
+ SUB *AR4-0, 4, A, A ; 12799
+ SUB *AR4-0, 4, A, B ; 12800
+ SUB *AR4-0, 4, A ; 12801
+ SUB *AR4-0, 4, B, A ; 12802
+ SUB *AR4-0, 4, B, B ; 12803
+ SUB *AR4-0, 4, B ; 12804
+ SUB *AR4-0, 5, A, A ; 12805
+ SUB *AR4-0, 5, A, B ; 12806
+ SUB *AR4-0, 5, A ; 12807
+ SUB *AR4-0, 5, B, A ; 12808
+ SUB *AR4-0, 5, B, B ; 12809
+ SUB *AR4-0, 5, B ; 12810
+ SUB *AR4-0, 6, A, A ; 12811
+ SUB *AR4-0, 6, A, B ; 12812
+ SUB *AR4-0, 6, A ; 12813
+ SUB *AR4-0, 6, B, A ; 12814
+ SUB *AR4-0, 6, B, B ; 12815
+ SUB *AR4-0, 6, B ; 12816
+ SUB *AR4-0, 7, A, A ; 12817
+ SUB *AR4-0, 7, A, B ; 12818
+ SUB *AR4-0, 7, A ; 12819
+ SUB *AR4-0, 7, B, A ; 12820
+ SUB *AR4-0, 7, B, B ; 12821
+ SUB *AR4-0, 7, B ; 12822
+ SUB *AR4-0, 8, A, A ; 12823
+ SUB *AR4-0, 8, A, B ; 12824
+ SUB *AR4-0, 8, A ; 12825
+ SUB *AR4-0, 8, B, A ; 12826
+ SUB *AR4-0, 8, B, B ; 12827
+ SUB *AR4-0, 8, B ; 12828
+ SUB *AR4-0, 9, A, A ; 12829
+ SUB *AR4-0, 9, A, B ; 12830
+ SUB *AR4-0, 9, A ; 12831
+ SUB *AR4-0, 9, B, A ; 12832
+ SUB *AR4-0, 9, B, B ; 12833
+ SUB *AR4-0, 9, B ; 12834
+ SUB *AR4-0, 10, A, A ; 12835
+ SUB *AR4-0, 10, A, B ; 12836
+ SUB *AR4-0, 10, A ; 12837
+ SUB *AR4-0, 10, B, A ; 12838
+ SUB *AR4-0, 10, B, B ; 12839
+ SUB *AR4-0, 10, B ; 12840
+ SUB *AR4-0, 11, A, A ; 12841
+ SUB *AR4-0, 11, A, B ; 12842
+ SUB *AR4-0, 11, A ; 12843
+ SUB *AR4-0, 11, B, A ; 12844
+ SUB *AR4-0, 11, B, B ; 12845
+ SUB *AR4-0, 11, B ; 12846
+ SUB *AR4-0, 12, A, A ; 12847
+ SUB *AR4-0, 12, A, B ; 12848
+ SUB *AR4-0, 12, A ; 12849
+ SUB *AR4-0, 12, B, A ; 12850
+ SUB *AR4-0, 12, B, B ; 12851
+ SUB *AR4-0, 12, B ; 12852
+ SUB *AR4-0, 13, A, A ; 12853
+ SUB *AR4-0, 13, A, B ; 12854
+ SUB *AR4-0, 13, A ; 12855
+ SUB *AR4-0, 13, B, A ; 12856
+ SUB *AR4-0, 13, B, B ; 12857
+ SUB *AR4-0, 13, B ; 12858
+ SUB *AR4-0, 14, A, A ; 12859
+ SUB *AR4-0, 14, A, B ; 12860
+ SUB *AR4-0, 14, A ; 12861
+ SUB *AR4-0, 14, B, A ; 12862
+ SUB *AR4-0, 14, B, B ; 12863
+ SUB *AR4-0, 14, B ; 12864
+ SUB *AR4-0, 15, A, A ; 12865
+ SUB *AR4-0, 15, A, B ; 12866
+ SUB *AR4-0, 15, A ; 12867
+ SUB *AR4-0, 15, B, A ; 12868
+ SUB *AR4-0, 15, B, B ; 12869
+ SUB *AR4-0, 15, B ; 12870
+ SUB *AR4+0, A, A ; 12871
+ SUB *AR4+0, A, B ; 12872
+ SUB *AR4+0, A ; 12873
+ SUB *AR4+0, B, A ; 12874
+ SUB *AR4+0, B, B ; 12875
+ SUB *AR4+0, B ; 12876
+ SUB *AR4+0, -16, A, A ; 12877
+ SUB *AR4+0, -16, A, B ; 12878
+ SUB *AR4+0, -16, A ; 12879
+ SUB *AR4+0, -16, B, A ; 12880
+ SUB *AR4+0, -16, B, B ; 12881
+ SUB *AR4+0, -16, B ; 12882
+ SUB *AR4+0, -15, A, A ; 12883
+ SUB *AR4+0, -15, A, B ; 12884
+ SUB *AR4+0, -15, A ; 12885
+ SUB *AR4+0, -15, B, A ; 12886
+ SUB *AR4+0, -15, B, B ; 12887
+ SUB *AR4+0, -15, B ; 12888
+ SUB *AR4+0, -14, A, A ; 12889
+ SUB *AR4+0, -14, A, B ; 12890
+ SUB *AR4+0, -14, A ; 12891
+ SUB *AR4+0, -14, B, A ; 12892
+ SUB *AR4+0, -14, B, B ; 12893
+ SUB *AR4+0, -14, B ; 12894
+ SUB *AR4+0, -13, A, A ; 12895
+ SUB *AR4+0, -13, A, B ; 12896
+ SUB *AR4+0, -13, A ; 12897
+ SUB *AR4+0, -13, B, A ; 12898
+ SUB *AR4+0, -13, B, B ; 12899
+ SUB *AR4+0, -13, B ; 12900
+ SUB *AR4+0, -12, A, A ; 12901
+ SUB *AR4+0, -12, A, B ; 12902
+ SUB *AR4+0, -12, A ; 12903
+ SUB *AR4+0, -12, B, A ; 12904
+ SUB *AR4+0, -12, B, B ; 12905
+ SUB *AR4+0, -12, B ; 12906
+ SUB *AR4+0, -11, A, A ; 12907
+ SUB *AR4+0, -11, A, B ; 12908
+ SUB *AR4+0, -11, A ; 12909
+ SUB *AR4+0, -11, B, A ; 12910
+ SUB *AR4+0, -11, B, B ; 12911
+ SUB *AR4+0, -11, B ; 12912
+ SUB *AR4+0, -10, A, A ; 12913
+ SUB *AR4+0, -10, A, B ; 12914
+ SUB *AR4+0, -10, A ; 12915
+ SUB *AR4+0, -10, B, A ; 12916
+ SUB *AR4+0, -10, B, B ; 12917
+ SUB *AR4+0, -10, B ; 12918
+ SUB *AR4+0, -9, A, A ; 12919
+ SUB *AR4+0, -9, A, B ; 12920
+ SUB *AR4+0, -9, A ; 12921
+ SUB *AR4+0, -9, B, A ; 12922
+ SUB *AR4+0, -9, B, B ; 12923
+ SUB *AR4+0, -9, B ; 12924
+ SUB *AR4+0, -8, A, A ; 12925
+ SUB *AR4+0, -8, A, B ; 12926
+ SUB *AR4+0, -8, A ; 12927
+ SUB *AR4+0, -8, B, A ; 12928
+ SUB *AR4+0, -8, B, B ; 12929
+ SUB *AR4+0, -8, B ; 12930
+ SUB *AR4+0, -7, A, A ; 12931
+ SUB *AR4+0, -7, A, B ; 12932
+ SUB *AR4+0, -7, A ; 12933
+ SUB *AR4+0, -7, B, A ; 12934
+ SUB *AR4+0, -7, B, B ; 12935
+ SUB *AR4+0, -7, B ; 12936
+ SUB *AR4+0, -6, A, A ; 12937
+ SUB *AR4+0, -6, A, B ; 12938
+ SUB *AR4+0, -6, A ; 12939
+ SUB *AR4+0, -6, B, A ; 12940
+ SUB *AR4+0, -6, B, B ; 12941
+ SUB *AR4+0, -6, B ; 12942
+ SUB *AR4+0, -5, A, A ; 12943
+ SUB *AR4+0, -5, A, B ; 12944
+ SUB *AR4+0, -5, A ; 12945
+ SUB *AR4+0, -5, B, A ; 12946
+ SUB *AR4+0, -5, B, B ; 12947
+ SUB *AR4+0, -5, B ; 12948
+ SUB *AR4+0, -4, A, A ; 12949
+ SUB *AR4+0, -4, A, B ; 12950
+ SUB *AR4+0, -4, A ; 12951
+ SUB *AR4+0, -4, B, A ; 12952
+ SUB *AR4+0, -4, B, B ; 12953
+ SUB *AR4+0, -4, B ; 12954
+ SUB *AR4+0, -3, A, A ; 12955
+ SUB *AR4+0, -3, A, B ; 12956
+ SUB *AR4+0, -3, A ; 12957
+ SUB *AR4+0, -3, B, A ; 12958
+ SUB *AR4+0, -3, B, B ; 12959
+ SUB *AR4+0, -3, B ; 12960
+ SUB *AR4+0, -2, A, A ; 12961
+ SUB *AR4+0, -2, A, B ; 12962
+ SUB *AR4+0, -2, A ; 12963
+ SUB *AR4+0, -2, B, A ; 12964
+ SUB *AR4+0, -2, B, B ; 12965
+ SUB *AR4+0, -2, B ; 12966
+ SUB *AR4+0, -1, A, A ; 12967
+ SUB *AR4+0, -1, A, B ; 12968
+ SUB *AR4+0, -1, A ; 12969
+ SUB *AR4+0, -1, B, A ; 12970
+ SUB *AR4+0, -1, B, B ; 12971
+ SUB *AR4+0, -1, B ; 12972
+ SUB *AR4+0, 0, A, A ; 12973
+ SUB *AR4+0, 0, A, B ; 12974
+ SUB *AR4+0, 0, A ; 12975
+ SUB *AR4+0, 0, B, A ; 12976
+ SUB *AR4+0, 0, B, B ; 12977
+ SUB *AR4+0, 0, B ; 12978
+ SUB *AR4+0, 1, A, A ; 12979
+ SUB *AR4+0, 1, A, B ; 12980
+ SUB *AR4+0, 1, A ; 12981
+ SUB *AR4+0, 1, B, A ; 12982
+ SUB *AR4+0, 1, B, B ; 12983
+ SUB *AR4+0, 1, B ; 12984
+ SUB *AR4+0, 2, A, A ; 12985
+ SUB *AR4+0, 2, A, B ; 12986
+ SUB *AR4+0, 2, A ; 12987
+ SUB *AR4+0, 2, B, A ; 12988
+ SUB *AR4+0, 2, B, B ; 12989
+ SUB *AR4+0, 2, B ; 12990
+ SUB *AR4+0, 3, A, A ; 12991
+ SUB *AR4+0, 3, A, B ; 12992
+ SUB *AR4+0, 3, A ; 12993
+ SUB *AR4+0, 3, B, A ; 12994
+ SUB *AR4+0, 3, B, B ; 12995
+ SUB *AR4+0, 3, B ; 12996
+ SUB *AR4+0, 4, A, A ; 12997
+ SUB *AR4+0, 4, A, B ; 12998
+ SUB *AR4+0, 4, A ; 12999
+ SUB *AR4+0, 4, B, A ; 13000
+ SUB *AR4+0, 4, B, B ; 13001
+ SUB *AR4+0, 4, B ; 13002
+ SUB *AR4+0, 5, A, A ; 13003
+ SUB *AR4+0, 5, A, B ; 13004
+ SUB *AR4+0, 5, A ; 13005
+ SUB *AR4+0, 5, B, A ; 13006
+ SUB *AR4+0, 5, B, B ; 13007
+ SUB *AR4+0, 5, B ; 13008
+ SUB *AR4+0, 6, A, A ; 13009
+ SUB *AR4+0, 6, A, B ; 13010
+ SUB *AR4+0, 6, A ; 13011
+ SUB *AR4+0, 6, B, A ; 13012
+ SUB *AR4+0, 6, B, B ; 13013
+ SUB *AR4+0, 6, B ; 13014
+ SUB *AR4+0, 7, A, A ; 13015
+ SUB *AR4+0, 7, A, B ; 13016
+ SUB *AR4+0, 7, A ; 13017
+ SUB *AR4+0, 7, B, A ; 13018
+ SUB *AR4+0, 7, B, B ; 13019
+ SUB *AR4+0, 7, B ; 13020
+ SUB *AR4+0, 8, A, A ; 13021
+ SUB *AR4+0, 8, A, B ; 13022
+ SUB *AR4+0, 8, A ; 13023
+ SUB *AR4+0, 8, B, A ; 13024
+ SUB *AR4+0, 8, B, B ; 13025
+ SUB *AR4+0, 8, B ; 13026
+ SUB *AR4+0, 9, A, A ; 13027
+ SUB *AR4+0, 9, A, B ; 13028
+ SUB *AR4+0, 9, A ; 13029
+ SUB *AR4+0, 9, B, A ; 13030
+ SUB *AR4+0, 9, B, B ; 13031
+ SUB *AR4+0, 9, B ; 13032
+ SUB *AR4+0, 10, A, A ; 13033
+ SUB *AR4+0, 10, A, B ; 13034
+ SUB *AR4+0, 10, A ; 13035
+ SUB *AR4+0, 10, B, A ; 13036
+ SUB *AR4+0, 10, B, B ; 13037
+ SUB *AR4+0, 10, B ; 13038
+ SUB *AR4+0, 11, A, A ; 13039
+ SUB *AR4+0, 11, A, B ; 13040
+ SUB *AR4+0, 11, A ; 13041
+ SUB *AR4+0, 11, B, A ; 13042
+ SUB *AR4+0, 11, B, B ; 13043
+ SUB *AR4+0, 11, B ; 13044
+ SUB *AR4+0, 12, A, A ; 13045
+ SUB *AR4+0, 12, A, B ; 13046
+ SUB *AR4+0, 12, A ; 13047
+ SUB *AR4+0, 12, B, A ; 13048
+ SUB *AR4+0, 12, B, B ; 13049
+ SUB *AR4+0, 12, B ; 13050
+ SUB *AR4+0, 13, A, A ; 13051
+ SUB *AR4+0, 13, A, B ; 13052
+ SUB *AR4+0, 13, A ; 13053
+ SUB *AR4+0, 13, B, A ; 13054
+ SUB *AR4+0, 13, B, B ; 13055
+ SUB *AR4+0, 13, B ; 13056
+ SUB *AR4+0, 14, A, A ; 13057
+ SUB *AR4+0, 14, A, B ; 13058
+ SUB *AR4+0, 14, A ; 13059
+ SUB *AR4+0, 14, B, A ; 13060
+ SUB *AR4+0, 14, B, B ; 13061
+ SUB *AR4+0, 14, B ; 13062
+ SUB *AR4+0, 15, A, A ; 13063
+ SUB *AR4+0, 15, A, B ; 13064
+ SUB *AR4+0, 15, A ; 13065
+ SUB *AR4+0, 15, B, A ; 13066
+ SUB *AR4+0, 15, B, B ; 13067
+ SUB *AR4+0, 15, B ; 13068
+ SUB *AR4+0B, A, A ; 13069
+ SUB *AR4+0B, A, B ; 13070
+ SUB *AR4+0B, A ; 13071
+ SUB *AR4+0B, B, A ; 13072
+ SUB *AR4+0B, B, B ; 13073
+ SUB *AR4+0B, B ; 13074
+ SUB *AR4+0B, -16, A, A ; 13075
+ SUB *AR4+0B, -16, A, B ; 13076
+ SUB *AR4+0B, -16, A ; 13077
+ SUB *AR4+0B, -16, B, A ; 13078
+ SUB *AR4+0B, -16, B, B ; 13079
+ SUB *AR4+0B, -16, B ; 13080
+ SUB *AR4+0B, -15, A, A ; 13081
+ SUB *AR4+0B, -15, A, B ; 13082
+ SUB *AR4+0B, -15, A ; 13083
+ SUB *AR4+0B, -15, B, A ; 13084
+ SUB *AR4+0B, -15, B, B ; 13085
+ SUB *AR4+0B, -15, B ; 13086
+ SUB *AR4+0B, -14, A, A ; 13087
+ SUB *AR4+0B, -14, A, B ; 13088
+ SUB *AR4+0B, -14, A ; 13089
+ SUB *AR4+0B, -14, B, A ; 13090
+ SUB *AR4+0B, -14, B, B ; 13091
+ SUB *AR4+0B, -14, B ; 13092
+ SUB *AR4+0B, -13, A, A ; 13093
+ SUB *AR4+0B, -13, A, B ; 13094
+ SUB *AR4+0B, -13, A ; 13095
+ SUB *AR4+0B, -13, B, A ; 13096
+ SUB *AR4+0B, -13, B, B ; 13097
+ SUB *AR4+0B, -13, B ; 13098
+ SUB *AR4+0B, -12, A, A ; 13099
+ SUB *AR4+0B, -12, A, B ; 13100
+ SUB *AR4+0B, -12, A ; 13101
+ SUB *AR4+0B, -12, B, A ; 13102
+ SUB *AR4+0B, -12, B, B ; 13103
+ SUB *AR4+0B, -12, B ; 13104
+ SUB *AR4+0B, -11, A, A ; 13105
+ SUB *AR4+0B, -11, A, B ; 13106
+ SUB *AR4+0B, -11, A ; 13107
+ SUB *AR4+0B, -11, B, A ; 13108
+ SUB *AR4+0B, -11, B, B ; 13109
+ SUB *AR4+0B, -11, B ; 13110
+ SUB *AR4+0B, -10, A, A ; 13111
+ SUB *AR4+0B, -10, A, B ; 13112
+ SUB *AR4+0B, -10, A ; 13113
+ SUB *AR4+0B, -10, B, A ; 13114
+ SUB *AR4+0B, -10, B, B ; 13115
+ SUB *AR4+0B, -10, B ; 13116
+ SUB *AR4+0B, -9, A, A ; 13117
+ SUB *AR4+0B, -9, A, B ; 13118
+ SUB *AR4+0B, -9, A ; 13119
+ SUB *AR4+0B, -9, B, A ; 13120
+ SUB *AR4+0B, -9, B, B ; 13121
+ SUB *AR4+0B, -9, B ; 13122
+ SUB *AR4+0B, -8, A, A ; 13123
+ SUB *AR4+0B, -8, A, B ; 13124
+ SUB *AR4+0B, -8, A ; 13125
+ SUB *AR4+0B, -8, B, A ; 13126
+ SUB *AR4+0B, -8, B, B ; 13127
+ SUB *AR4+0B, -8, B ; 13128
+ SUB *AR4+0B, -7, A, A ; 13129
+ SUB *AR4+0B, -7, A, B ; 13130
+ SUB *AR4+0B, -7, A ; 13131
+ SUB *AR4+0B, -7, B, A ; 13132
+ SUB *AR4+0B, -7, B, B ; 13133
+ SUB *AR4+0B, -7, B ; 13134
+ SUB *AR4+0B, -6, A, A ; 13135
+ SUB *AR4+0B, -6, A, B ; 13136
+ SUB *AR4+0B, -6, A ; 13137
+ SUB *AR4+0B, -6, B, A ; 13138
+ SUB *AR4+0B, -6, B, B ; 13139
+ SUB *AR4+0B, -6, B ; 13140
+ SUB *AR4+0B, -5, A, A ; 13141
+ SUB *AR4+0B, -5, A, B ; 13142
+ SUB *AR4+0B, -5, A ; 13143
+ SUB *AR4+0B, -5, B, A ; 13144
+ SUB *AR4+0B, -5, B, B ; 13145
+ SUB *AR4+0B, -5, B ; 13146
+ SUB *AR4+0B, -4, A, A ; 13147
+ SUB *AR4+0B, -4, A, B ; 13148
+ SUB *AR4+0B, -4, A ; 13149
+ SUB *AR4+0B, -4, B, A ; 13150
+ SUB *AR4+0B, -4, B, B ; 13151
+ SUB *AR4+0B, -4, B ; 13152
+ SUB *AR4+0B, -3, A, A ; 13153
+ SUB *AR4+0B, -3, A, B ; 13154
+ SUB *AR4+0B, -3, A ; 13155
+ SUB *AR4+0B, -3, B, A ; 13156
+ SUB *AR4+0B, -3, B, B ; 13157
+ SUB *AR4+0B, -3, B ; 13158
+ SUB *AR4+0B, -2, A, A ; 13159
+ SUB *AR4+0B, -2, A, B ; 13160
+ SUB *AR4+0B, -2, A ; 13161
+ SUB *AR4+0B, -2, B, A ; 13162
+ SUB *AR4+0B, -2, B, B ; 13163
+ SUB *AR4+0B, -2, B ; 13164
+ SUB *AR4+0B, -1, A, A ; 13165
+ SUB *AR4+0B, -1, A, B ; 13166
+ SUB *AR4+0B, -1, A ; 13167
+ SUB *AR4+0B, -1, B, A ; 13168
+ SUB *AR4+0B, -1, B, B ; 13169
+ SUB *AR4+0B, -1, B ; 13170
+ SUB *AR4+0B, 0, A, A ; 13171
+ SUB *AR4+0B, 0, A, B ; 13172
+ SUB *AR4+0B, 0, A ; 13173
+ SUB *AR4+0B, 0, B, A ; 13174
+ SUB *AR4+0B, 0, B, B ; 13175
+ SUB *AR4+0B, 0, B ; 13176
+ SUB *AR4+0B, 1, A, A ; 13177
+ SUB *AR4+0B, 1, A, B ; 13178
+ SUB *AR4+0B, 1, A ; 13179
+ SUB *AR4+0B, 1, B, A ; 13180
+ SUB *AR4+0B, 1, B, B ; 13181
+ SUB *AR4+0B, 1, B ; 13182
+ SUB *AR4+0B, 2, A, A ; 13183
+ SUB *AR4+0B, 2, A, B ; 13184
+ SUB *AR4+0B, 2, A ; 13185
+ SUB *AR4+0B, 2, B, A ; 13186
+ SUB *AR4+0B, 2, B, B ; 13187
+ SUB *AR4+0B, 2, B ; 13188
+ SUB *AR4+0B, 3, A, A ; 13189
+ SUB *AR4+0B, 3, A, B ; 13190
+ SUB *AR4+0B, 3, A ; 13191
+ SUB *AR4+0B, 3, B, A ; 13192
+ SUB *AR4+0B, 3, B, B ; 13193
+ SUB *AR4+0B, 3, B ; 13194
+ SUB *AR4+0B, 4, A, A ; 13195
+ SUB *AR4+0B, 4, A, B ; 13196
+ SUB *AR4+0B, 4, A ; 13197
+ SUB *AR4+0B, 4, B, A ; 13198
+ SUB *AR4+0B, 4, B, B ; 13199
+ SUB *AR4+0B, 4, B ; 13200
+ SUB *AR4+0B, 5, A, A ; 13201
+ SUB *AR4+0B, 5, A, B ; 13202
+ SUB *AR4+0B, 5, A ; 13203
+ SUB *AR4+0B, 5, B, A ; 13204
+ SUB *AR4+0B, 5, B, B ; 13205
+ SUB *AR4+0B, 5, B ; 13206
+ SUB *AR4+0B, 6, A, A ; 13207
+ SUB *AR4+0B, 6, A, B ; 13208
+ SUB *AR4+0B, 6, A ; 13209
+ SUB *AR4+0B, 6, B, A ; 13210
+ SUB *AR4+0B, 6, B, B ; 13211
+ SUB *AR4+0B, 6, B ; 13212
+ SUB *AR4+0B, 7, A, A ; 13213
+ SUB *AR4+0B, 7, A, B ; 13214
+ SUB *AR4+0B, 7, A ; 13215
+ SUB *AR4+0B, 7, B, A ; 13216
+ SUB *AR4+0B, 7, B, B ; 13217
+ SUB *AR4+0B, 7, B ; 13218
+ SUB *AR4+0B, 8, A, A ; 13219
+ SUB *AR4+0B, 8, A, B ; 13220
+ SUB *AR4+0B, 8, A ; 13221
+ SUB *AR4+0B, 8, B, A ; 13222
+ SUB *AR4+0B, 8, B, B ; 13223
+ SUB *AR4+0B, 8, B ; 13224
+ SUB *AR4+0B, 9, A, A ; 13225
+ SUB *AR4+0B, 9, A, B ; 13226
+ SUB *AR4+0B, 9, A ; 13227
+ SUB *AR4+0B, 9, B, A ; 13228
+ SUB *AR4+0B, 9, B, B ; 13229
+ SUB *AR4+0B, 9, B ; 13230
+ SUB *AR4+0B, 10, A, A ; 13231
+ SUB *AR4+0B, 10, A, B ; 13232
+ SUB *AR4+0B, 10, A ; 13233
+ SUB *AR4+0B, 10, B, A ; 13234
+ SUB *AR4+0B, 10, B, B ; 13235
+ SUB *AR4+0B, 10, B ; 13236
+ SUB *AR4+0B, 11, A, A ; 13237
+ SUB *AR4+0B, 11, A, B ; 13238
+ SUB *AR4+0B, 11, A ; 13239
+ SUB *AR4+0B, 11, B, A ; 13240
+ SUB *AR4+0B, 11, B, B ; 13241
+ SUB *AR4+0B, 11, B ; 13242
+ SUB *AR4+0B, 12, A, A ; 13243
+ SUB *AR4+0B, 12, A, B ; 13244
+ SUB *AR4+0B, 12, A ; 13245
+ SUB *AR4+0B, 12, B, A ; 13246
+ SUB *AR4+0B, 12, B, B ; 13247
+ SUB *AR4+0B, 12, B ; 13248
+ SUB *AR4+0B, 13, A, A ; 13249
+ SUB *AR4+0B, 13, A, B ; 13250
+ SUB *AR4+0B, 13, A ; 13251
+ SUB *AR4+0B, 13, B, A ; 13252
+ SUB *AR4+0B, 13, B, B ; 13253
+ SUB *AR4+0B, 13, B ; 13254
+ SUB *AR4+0B, 14, A, A ; 13255
+ SUB *AR4+0B, 14, A, B ; 13256
+ SUB *AR4+0B, 14, A ; 13257
+ SUB *AR4+0B, 14, B, A ; 13258
+ SUB *AR4+0B, 14, B, B ; 13259
+ SUB *AR4+0B, 14, B ; 13260
+ SUB *AR4+0B, 15, A, A ; 13261
+ SUB *AR4+0B, 15, A, B ; 13262
+ SUB *AR4+0B, 15, A ; 13263
+ SUB *AR4+0B, 15, B, A ; 13264
+ SUB *AR4+0B, 15, B, B ; 13265
+ SUB *AR4+0B, 15, B ; 13266
+ SUB *AR4-%, A, A ; 13267
+ SUB *AR4-%, A, B ; 13268
+ SUB *AR4-%, A ; 13269
+ SUB *AR4-%, B, A ; 13270
+ SUB *AR4-%, B, B ; 13271
+ SUB *AR4-%, B ; 13272
+ SUB *AR4-%, -16, A, A ; 13273
+ SUB *AR4-%, -16, A, B ; 13274
+ SUB *AR4-%, -16, A ; 13275
+ SUB *AR4-%, -16, B, A ; 13276
+ SUB *AR4-%, -16, B, B ; 13277
+ SUB *AR4-%, -16, B ; 13278
+ SUB *AR4-%, -15, A, A ; 13279
+ SUB *AR4-%, -15, A, B ; 13280
+ SUB *AR4-%, -15, A ; 13281
+ SUB *AR4-%, -15, B, A ; 13282
+ SUB *AR4-%, -15, B, B ; 13283
+ SUB *AR4-%, -15, B ; 13284
+ SUB *AR4-%, -14, A, A ; 13285
+ SUB *AR4-%, -14, A, B ; 13286
+ SUB *AR4-%, -14, A ; 13287
+ SUB *AR4-%, -14, B, A ; 13288
+ SUB *AR4-%, -14, B, B ; 13289
+ SUB *AR4-%, -14, B ; 13290
+ SUB *AR4-%, -13, A, A ; 13291
+ SUB *AR4-%, -13, A, B ; 13292
+ SUB *AR4-%, -13, A ; 13293
+ SUB *AR4-%, -13, B, A ; 13294
+ SUB *AR4-%, -13, B, B ; 13295
+ SUB *AR4-%, -13, B ; 13296
+ SUB *AR4-%, -12, A, A ; 13297
+ SUB *AR4-%, -12, A, B ; 13298
+ SUB *AR4-%, -12, A ; 13299
+ SUB *AR4-%, -12, B, A ; 13300
+ SUB *AR4-%, -12, B, B ; 13301
+ SUB *AR4-%, -12, B ; 13302
+ SUB *AR4-%, -11, A, A ; 13303
+ SUB *AR4-%, -11, A, B ; 13304
+ SUB *AR4-%, -11, A ; 13305
+ SUB *AR4-%, -11, B, A ; 13306
+ SUB *AR4-%, -11, B, B ; 13307
+ SUB *AR4-%, -11, B ; 13308
+ SUB *AR4-%, -10, A, A ; 13309
+ SUB *AR4-%, -10, A, B ; 13310
+ SUB *AR4-%, -10, A ; 13311
+ SUB *AR4-%, -10, B, A ; 13312
+ SUB *AR4-%, -10, B, B ; 13313
+ SUB *AR4-%, -10, B ; 13314
+ SUB *AR4-%, -9, A, A ; 13315
+ SUB *AR4-%, -9, A, B ; 13316
+ SUB *AR4-%, -9, A ; 13317
+ SUB *AR4-%, -9, B, A ; 13318
+ SUB *AR4-%, -9, B, B ; 13319
+ SUB *AR4-%, -9, B ; 13320
+ SUB *AR4-%, -8, A, A ; 13321
+ SUB *AR4-%, -8, A, B ; 13322
+ SUB *AR4-%, -8, A ; 13323
+ SUB *AR4-%, -8, B, A ; 13324
+ SUB *AR4-%, -8, B, B ; 13325
+ SUB *AR4-%, -8, B ; 13326
+ SUB *AR4-%, -7, A, A ; 13327
+ SUB *AR4-%, -7, A, B ; 13328
+ SUB *AR4-%, -7, A ; 13329
+ SUB *AR4-%, -7, B, A ; 13330
+ SUB *AR4-%, -7, B, B ; 13331
+ SUB *AR4-%, -7, B ; 13332
+ SUB *AR4-%, -6, A, A ; 13333
+ SUB *AR4-%, -6, A, B ; 13334
+ SUB *AR4-%, -6, A ; 13335
+ SUB *AR4-%, -6, B, A ; 13336
+ SUB *AR4-%, -6, B, B ; 13337
+ SUB *AR4-%, -6, B ; 13338
+ SUB *AR4-%, -5, A, A ; 13339
+ SUB *AR4-%, -5, A, B ; 13340
+ SUB *AR4-%, -5, A ; 13341
+ SUB *AR4-%, -5, B, A ; 13342
+ SUB *AR4-%, -5, B, B ; 13343
+ SUB *AR4-%, -5, B ; 13344
+ SUB *AR4-%, -4, A, A ; 13345
+ SUB *AR4-%, -4, A, B ; 13346
+ SUB *AR4-%, -4, A ; 13347
+ SUB *AR4-%, -4, B, A ; 13348
+ SUB *AR4-%, -4, B, B ; 13349
+ SUB *AR4-%, -4, B ; 13350
+ SUB *AR4-%, -3, A, A ; 13351
+ SUB *AR4-%, -3, A, B ; 13352
+ SUB *AR4-%, -3, A ; 13353
+ SUB *AR4-%, -3, B, A ; 13354
+ SUB *AR4-%, -3, B, B ; 13355
+ SUB *AR4-%, -3, B ; 13356
+ SUB *AR4-%, -2, A, A ; 13357
+ SUB *AR4-%, -2, A, B ; 13358
+ SUB *AR4-%, -2, A ; 13359
+ SUB *AR4-%, -2, B, A ; 13360
+ SUB *AR4-%, -2, B, B ; 13361
+ SUB *AR4-%, -2, B ; 13362
+ SUB *AR4-%, -1, A, A ; 13363
+ SUB *AR4-%, -1, A, B ; 13364
+ SUB *AR4-%, -1, A ; 13365
+ SUB *AR4-%, -1, B, A ; 13366
+ SUB *AR4-%, -1, B, B ; 13367
+ SUB *AR4-%, -1, B ; 13368
+ SUB *AR4-%, 0, A, A ; 13369
+ SUB *AR4-%, 0, A, B ; 13370
+ SUB *AR4-%, 0, A ; 13371
+ SUB *AR4-%, 0, B, A ; 13372
+ SUB *AR4-%, 0, B, B ; 13373
+ SUB *AR4-%, 0, B ; 13374
+ SUB *AR4-%, 1, A, A ; 13375
+ SUB *AR4-%, 1, A, B ; 13376
+ SUB *AR4-%, 1, A ; 13377
+ SUB *AR4-%, 1, B, A ; 13378
+ SUB *AR4-%, 1, B, B ; 13379
+ SUB *AR4-%, 1, B ; 13380
+ SUB *AR4-%, 2, A, A ; 13381
+ SUB *AR4-%, 2, A, B ; 13382
+ SUB *AR4-%, 2, A ; 13383
+ SUB *AR4-%, 2, B, A ; 13384
+ SUB *AR4-%, 2, B, B ; 13385
+ SUB *AR4-%, 2, B ; 13386
+ SUB *AR4-%, 3, A, A ; 13387
+ SUB *AR4-%, 3, A, B ; 13388
+ SUB *AR4-%, 3, A ; 13389
+ SUB *AR4-%, 3, B, A ; 13390
+ SUB *AR4-%, 3, B, B ; 13391
+ SUB *AR4-%, 3, B ; 13392
+ SUB *AR4-%, 4, A, A ; 13393
+ SUB *AR4-%, 4, A, B ; 13394
+ SUB *AR4-%, 4, A ; 13395
+ SUB *AR4-%, 4, B, A ; 13396
+ SUB *AR4-%, 4, B, B ; 13397
+ SUB *AR4-%, 4, B ; 13398
+ SUB *AR4-%, 5, A, A ; 13399
+ SUB *AR4-%, 5, A, B ; 13400
+ SUB *AR4-%, 5, A ; 13401
+ SUB *AR4-%, 5, B, A ; 13402
+ SUB *AR4-%, 5, B, B ; 13403
+ SUB *AR4-%, 5, B ; 13404
+ SUB *AR4-%, 6, A, A ; 13405
+ SUB *AR4-%, 6, A, B ; 13406
+ SUB *AR4-%, 6, A ; 13407
+ SUB *AR4-%, 6, B, A ; 13408
+ SUB *AR4-%, 6, B, B ; 13409
+ SUB *AR4-%, 6, B ; 13410
+ SUB *AR4-%, 7, A, A ; 13411
+ SUB *AR4-%, 7, A, B ; 13412
+ SUB *AR4-%, 7, A ; 13413
+ SUB *AR4-%, 7, B, A ; 13414
+ SUB *AR4-%, 7, B, B ; 13415
+ SUB *AR4-%, 7, B ; 13416
+ SUB *AR4-%, 8, A, A ; 13417
+ SUB *AR4-%, 8, A, B ; 13418
+ SUB *AR4-%, 8, A ; 13419
+ SUB *AR4-%, 8, B, A ; 13420
+ SUB *AR4-%, 8, B, B ; 13421
+ SUB *AR4-%, 8, B ; 13422
+ SUB *AR4-%, 9, A, A ; 13423
+ SUB *AR4-%, 9, A, B ; 13424
+ SUB *AR4-%, 9, A ; 13425
+ SUB *AR4-%, 9, B, A ; 13426
+ SUB *AR4-%, 9, B, B ; 13427
+ SUB *AR4-%, 9, B ; 13428
+ SUB *AR4-%, 10, A, A ; 13429
+ SUB *AR4-%, 10, A, B ; 13430
+ SUB *AR4-%, 10, A ; 13431
+ SUB *AR4-%, 10, B, A ; 13432
+ SUB *AR4-%, 10, B, B ; 13433
+ SUB *AR4-%, 10, B ; 13434
+ SUB *AR4-%, 11, A, A ; 13435
+ SUB *AR4-%, 11, A, B ; 13436
+ SUB *AR4-%, 11, A ; 13437
+ SUB *AR4-%, 11, B, A ; 13438
+ SUB *AR4-%, 11, B, B ; 13439
+ SUB *AR4-%, 11, B ; 13440
+ SUB *AR4-%, 12, A, A ; 13441
+ SUB *AR4-%, 12, A, B ; 13442
+ SUB *AR4-%, 12, A ; 13443
+ SUB *AR4-%, 12, B, A ; 13444
+ SUB *AR4-%, 12, B, B ; 13445
+ SUB *AR4-%, 12, B ; 13446
+ SUB *AR4-%, 13, A, A ; 13447
+ SUB *AR4-%, 13, A, B ; 13448
+ SUB *AR4-%, 13, A ; 13449
+ SUB *AR4-%, 13, B, A ; 13450
+ SUB *AR4-%, 13, B, B ; 13451
+ SUB *AR4-%, 13, B ; 13452
+ SUB *AR4-%, 14, A, A ; 13453
+ SUB *AR4-%, 14, A, B ; 13454
+ SUB *AR4-%, 14, A ; 13455
+ SUB *AR4-%, 14, B, A ; 13456
+ SUB *AR4-%, 14, B, B ; 13457
+ SUB *AR4-%, 14, B ; 13458
+ SUB *AR4-%, 15, A, A ; 13459
+ SUB *AR4-%, 15, A, B ; 13460
+ SUB *AR4-%, 15, A ; 13461
+ SUB *AR4-%, 15, B, A ; 13462
+ SUB *AR4-%, 15, B, B ; 13463
+ SUB *AR4-%, 15, B ; 13464
+ SUB *AR4-0%, A, A ; 13465
+ SUB *AR4-0%, A, B ; 13466
+ SUB *AR4-0%, A ; 13467
+ SUB *AR4-0%, B, A ; 13468
+ SUB *AR4-0%, B, B ; 13469
+ SUB *AR4-0%, B ; 13470
+ SUB *AR4-0%, -16, A, A ; 13471
+ SUB *AR4-0%, -16, A, B ; 13472
+ SUB *AR4-0%, -16, A ; 13473
+ SUB *AR4-0%, -16, B, A ; 13474
+ SUB *AR4-0%, -16, B, B ; 13475
+ SUB *AR4-0%, -16, B ; 13476
+ SUB *AR4-0%, -15, A, A ; 13477
+ SUB *AR4-0%, -15, A, B ; 13478
+ SUB *AR4-0%, -15, A ; 13479
+ SUB *AR4-0%, -15, B, A ; 13480
+ SUB *AR4-0%, -15, B, B ; 13481
+ SUB *AR4-0%, -15, B ; 13482
+ SUB *AR4-0%, -14, A, A ; 13483
+ SUB *AR4-0%, -14, A, B ; 13484
+ SUB *AR4-0%, -14, A ; 13485
+ SUB *AR4-0%, -14, B, A ; 13486
+ SUB *AR4-0%, -14, B, B ; 13487
+ SUB *AR4-0%, -14, B ; 13488
+ SUB *AR4-0%, -13, A, A ; 13489
+ SUB *AR4-0%, -13, A, B ; 13490
+ SUB *AR4-0%, -13, A ; 13491
+ SUB *AR4-0%, -13, B, A ; 13492
+ SUB *AR4-0%, -13, B, B ; 13493
+ SUB *AR4-0%, -13, B ; 13494
+ SUB *AR4-0%, -12, A, A ; 13495
+ SUB *AR4-0%, -12, A, B ; 13496
+ SUB *AR4-0%, -12, A ; 13497
+ SUB *AR4-0%, -12, B, A ; 13498
+ SUB *AR4-0%, -12, B, B ; 13499
+ SUB *AR4-0%, -12, B ; 13500
+ SUB *AR4-0%, -11, A, A ; 13501
+ SUB *AR4-0%, -11, A, B ; 13502
+ SUB *AR4-0%, -11, A ; 13503
+ SUB *AR4-0%, -11, B, A ; 13504
+ SUB *AR4-0%, -11, B, B ; 13505
+ SUB *AR4-0%, -11, B ; 13506
+ SUB *AR4-0%, -10, A, A ; 13507
+ SUB *AR4-0%, -10, A, B ; 13508
+ SUB *AR4-0%, -10, A ; 13509
+ SUB *AR4-0%, -10, B, A ; 13510
+ SUB *AR4-0%, -10, B, B ; 13511
+ SUB *AR4-0%, -10, B ; 13512
+ SUB *AR4-0%, -9, A, A ; 13513
+ SUB *AR4-0%, -9, A, B ; 13514
+ SUB *AR4-0%, -9, A ; 13515
+ SUB *AR4-0%, -9, B, A ; 13516
+ SUB *AR4-0%, -9, B, B ; 13517
+ SUB *AR4-0%, -9, B ; 13518
+ SUB *AR4-0%, -8, A, A ; 13519
+ SUB *AR4-0%, -8, A, B ; 13520
+ SUB *AR4-0%, -8, A ; 13521
+ SUB *AR4-0%, -8, B, A ; 13522
+ SUB *AR4-0%, -8, B, B ; 13523
+ SUB *AR4-0%, -8, B ; 13524
+ SUB *AR4-0%, -7, A, A ; 13525
+ SUB *AR4-0%, -7, A, B ; 13526
+ SUB *AR4-0%, -7, A ; 13527
+ SUB *AR4-0%, -7, B, A ; 13528
+ SUB *AR4-0%, -7, B, B ; 13529
+ SUB *AR4-0%, -7, B ; 13530
+ SUB *AR4-0%, -6, A, A ; 13531
+ SUB *AR4-0%, -6, A, B ; 13532
+ SUB *AR4-0%, -6, A ; 13533
+ SUB *AR4-0%, -6, B, A ; 13534
+ SUB *AR4-0%, -6, B, B ; 13535
+ SUB *AR4-0%, -6, B ; 13536
+ SUB *AR4-0%, -5, A, A ; 13537
+ SUB *AR4-0%, -5, A, B ; 13538
+ SUB *AR4-0%, -5, A ; 13539
+ SUB *AR4-0%, -5, B, A ; 13540
+ SUB *AR4-0%, -5, B, B ; 13541
+ SUB *AR4-0%, -5, B ; 13542
+ SUB *AR4-0%, -4, A, A ; 13543
+ SUB *AR4-0%, -4, A, B ; 13544
+ SUB *AR4-0%, -4, A ; 13545
+ SUB *AR4-0%, -4, B, A ; 13546
+ SUB *AR4-0%, -4, B, B ; 13547
+ SUB *AR4-0%, -4, B ; 13548
+ SUB *AR4-0%, -3, A, A ; 13549
+ SUB *AR4-0%, -3, A, B ; 13550
+ SUB *AR4-0%, -3, A ; 13551
+ SUB *AR4-0%, -3, B, A ; 13552
+ SUB *AR4-0%, -3, B, B ; 13553
+ SUB *AR4-0%, -3, B ; 13554
+ SUB *AR4-0%, -2, A, A ; 13555
+ SUB *AR4-0%, -2, A, B ; 13556
+ SUB *AR4-0%, -2, A ; 13557
+ SUB *AR4-0%, -2, B, A ; 13558
+ SUB *AR4-0%, -2, B, B ; 13559
+ SUB *AR4-0%, -2, B ; 13560
+ SUB *AR4-0%, -1, A, A ; 13561
+ SUB *AR4-0%, -1, A, B ; 13562
+ SUB *AR4-0%, -1, A ; 13563
+ SUB *AR4-0%, -1, B, A ; 13564
+ SUB *AR4-0%, -1, B, B ; 13565
+ SUB *AR4-0%, -1, B ; 13566
+ SUB *AR4-0%, 0, A, A ; 13567
+ SUB *AR4-0%, 0, A, B ; 13568
+ SUB *AR4-0%, 0, A ; 13569
+ SUB *AR4-0%, 0, B, A ; 13570
+ SUB *AR4-0%, 0, B, B ; 13571
+ SUB *AR4-0%, 0, B ; 13572
+ SUB *AR4-0%, 1, A, A ; 13573
+ SUB *AR4-0%, 1, A, B ; 13574
+ SUB *AR4-0%, 1, A ; 13575
+ SUB *AR4-0%, 1, B, A ; 13576
+ SUB *AR4-0%, 1, B, B ; 13577
+ SUB *AR4-0%, 1, B ; 13578
+ SUB *AR4-0%, 2, A, A ; 13579
+ SUB *AR4-0%, 2, A, B ; 13580
+ SUB *AR4-0%, 2, A ; 13581
+ SUB *AR4-0%, 2, B, A ; 13582
+ SUB *AR4-0%, 2, B, B ; 13583
+ SUB *AR4-0%, 2, B ; 13584
+ SUB *AR4-0%, 3, A, A ; 13585
+ SUB *AR4-0%, 3, A, B ; 13586
+ SUB *AR4-0%, 3, A ; 13587
+ SUB *AR4-0%, 3, B, A ; 13588
+ SUB *AR4-0%, 3, B, B ; 13589
+ SUB *AR4-0%, 3, B ; 13590
+ SUB *AR4-0%, 4, A, A ; 13591
+ SUB *AR4-0%, 4, A, B ; 13592
+ SUB *AR4-0%, 4, A ; 13593
+ SUB *AR4-0%, 4, B, A ; 13594
+ SUB *AR4-0%, 4, B, B ; 13595
+ SUB *AR4-0%, 4, B ; 13596
+ SUB *AR4-0%, 5, A, A ; 13597
+ SUB *AR4-0%, 5, A, B ; 13598
+ SUB *AR4-0%, 5, A ; 13599
+ SUB *AR4-0%, 5, B, A ; 13600
+ SUB *AR4-0%, 5, B, B ; 13601
+ SUB *AR4-0%, 5, B ; 13602
+ SUB *AR4-0%, 6, A, A ; 13603
+ SUB *AR4-0%, 6, A, B ; 13604
+ SUB *AR4-0%, 6, A ; 13605
+ SUB *AR4-0%, 6, B, A ; 13606
+ SUB *AR4-0%, 6, B, B ; 13607
+ SUB *AR4-0%, 6, B ; 13608
+ SUB *AR4-0%, 7, A, A ; 13609
+ SUB *AR4-0%, 7, A, B ; 13610
+ SUB *AR4-0%, 7, A ; 13611
+ SUB *AR4-0%, 7, B, A ; 13612
+ SUB *AR4-0%, 7, B, B ; 13613
+ SUB *AR4-0%, 7, B ; 13614
+ SUB *AR4-0%, 8, A, A ; 13615
+ SUB *AR4-0%, 8, A, B ; 13616
+ SUB *AR4-0%, 8, A ; 13617
+ SUB *AR4-0%, 8, B, A ; 13618
+ SUB *AR4-0%, 8, B, B ; 13619
+ SUB *AR4-0%, 8, B ; 13620
+ SUB *AR4-0%, 9, A, A ; 13621
+ SUB *AR4-0%, 9, A, B ; 13622
+ SUB *AR4-0%, 9, A ; 13623
+ SUB *AR4-0%, 9, B, A ; 13624
+ SUB *AR4-0%, 9, B, B ; 13625
+ SUB *AR4-0%, 9, B ; 13626
+ SUB *AR4-0%, 10, A, A ; 13627
+ SUB *AR4-0%, 10, A, B ; 13628
+ SUB *AR4-0%, 10, A ; 13629
+ SUB *AR4-0%, 10, B, A ; 13630
+ SUB *AR4-0%, 10, B, B ; 13631
+ SUB *AR4-0%, 10, B ; 13632
+ SUB *AR4-0%, 11, A, A ; 13633
+ SUB *AR4-0%, 11, A, B ; 13634
+ SUB *AR4-0%, 11, A ; 13635
+ SUB *AR4-0%, 11, B, A ; 13636
+ SUB *AR4-0%, 11, B, B ; 13637
+ SUB *AR4-0%, 11, B ; 13638
+ SUB *AR4-0%, 12, A, A ; 13639
+ SUB *AR4-0%, 12, A, B ; 13640
+ SUB *AR4-0%, 12, A ; 13641
+ SUB *AR4-0%, 12, B, A ; 13642
+ SUB *AR4-0%, 12, B, B ; 13643
+ SUB *AR4-0%, 12, B ; 13644
+ SUB *AR4-0%, 13, A, A ; 13645
+ SUB *AR4-0%, 13, A, B ; 13646
+ SUB *AR4-0%, 13, A ; 13647
+ SUB *AR4-0%, 13, B, A ; 13648
+ SUB *AR4-0%, 13, B, B ; 13649
+ SUB *AR4-0%, 13, B ; 13650
+ SUB *AR4-0%, 14, A, A ; 13651
+ SUB *AR4-0%, 14, A, B ; 13652
+ SUB *AR4-0%, 14, A ; 13653
+ SUB *AR4-0%, 14, B, A ; 13654
+ SUB *AR4-0%, 14, B, B ; 13655
+ SUB *AR4-0%, 14, B ; 13656
+ SUB *AR4-0%, 15, A, A ; 13657
+ SUB *AR4-0%, 15, A, B ; 13658
+ SUB *AR4-0%, 15, A ; 13659
+ SUB *AR4-0%, 15, B, A ; 13660
+ SUB *AR4-0%, 15, B, B ; 13661
+ SUB *AR4-0%, 15, B ; 13662
+ SUB *AR4+%, A, A ; 13663
+ SUB *AR4+%, A, B ; 13664
+ SUB *AR4+%, A ; 13665
+ SUB *AR4+%, B, A ; 13666
+ SUB *AR4+%, B, B ; 13667
+ SUB *AR4+%, B ; 13668
+ SUB *AR4+%, -16, A, A ; 13669
+ SUB *AR4+%, -16, A, B ; 13670
+ SUB *AR4+%, -16, A ; 13671
+ SUB *AR4+%, -16, B, A ; 13672
+ SUB *AR4+%, -16, B, B ; 13673
+ SUB *AR4+%, -16, B ; 13674
+ SUB *AR4+%, -15, A, A ; 13675
+ SUB *AR4+%, -15, A, B ; 13676
+ SUB *AR4+%, -15, A ; 13677
+ SUB *AR4+%, -15, B, A ; 13678
+ SUB *AR4+%, -15, B, B ; 13679
+ SUB *AR4+%, -15, B ; 13680
+ SUB *AR4+%, -14, A, A ; 13681
+ SUB *AR4+%, -14, A, B ; 13682
+ SUB *AR4+%, -14, A ; 13683
+ SUB *AR4+%, -14, B, A ; 13684
+ SUB *AR4+%, -14, B, B ; 13685
+ SUB *AR4+%, -14, B ; 13686
+ SUB *AR4+%, -13, A, A ; 13687
+ SUB *AR4+%, -13, A, B ; 13688
+ SUB *AR4+%, -13, A ; 13689
+ SUB *AR4+%, -13, B, A ; 13690
+ SUB *AR4+%, -13, B, B ; 13691
+ SUB *AR4+%, -13, B ; 13692
+ SUB *AR4+%, -12, A, A ; 13693
+ SUB *AR4+%, -12, A, B ; 13694
+ SUB *AR4+%, -12, A ; 13695
+ SUB *AR4+%, -12, B, A ; 13696
+ SUB *AR4+%, -12, B, B ; 13697
+ SUB *AR4+%, -12, B ; 13698
+ SUB *AR4+%, -11, A, A ; 13699
+ SUB *AR4+%, -11, A, B ; 13700
+ SUB *AR4+%, -11, A ; 13701
+ SUB *AR4+%, -11, B, A ; 13702
+ SUB *AR4+%, -11, B, B ; 13703
+ SUB *AR4+%, -11, B ; 13704
+ SUB *AR4+%, -10, A, A ; 13705
+ SUB *AR4+%, -10, A, B ; 13706
+ SUB *AR4+%, -10, A ; 13707
+ SUB *AR4+%, -10, B, A ; 13708
+ SUB *AR4+%, -10, B, B ; 13709
+ SUB *AR4+%, -10, B ; 13710
+ SUB *AR4+%, -9, A, A ; 13711
+ SUB *AR4+%, -9, A, B ; 13712
+ SUB *AR4+%, -9, A ; 13713
+ SUB *AR4+%, -9, B, A ; 13714
+ SUB *AR4+%, -9, B, B ; 13715
+ SUB *AR4+%, -9, B ; 13716
+ SUB *AR4+%, -8, A, A ; 13717
+ SUB *AR4+%, -8, A, B ; 13718
+ SUB *AR4+%, -8, A ; 13719
+ SUB *AR4+%, -8, B, A ; 13720
+ SUB *AR4+%, -8, B, B ; 13721
+ SUB *AR4+%, -8, B ; 13722
+ SUB *AR4+%, -7, A, A ; 13723
+ SUB *AR4+%, -7, A, B ; 13724
+ SUB *AR4+%, -7, A ; 13725
+ SUB *AR4+%, -7, B, A ; 13726
+ SUB *AR4+%, -7, B, B ; 13727
+ SUB *AR4+%, -7, B ; 13728
+ SUB *AR4+%, -6, A, A ; 13729
+ SUB *AR4+%, -6, A, B ; 13730
+ SUB *AR4+%, -6, A ; 13731
+ SUB *AR4+%, -6, B, A ; 13732
+ SUB *AR4+%, -6, B, B ; 13733
+ SUB *AR4+%, -6, B ; 13734
+ SUB *AR4+%, -5, A, A ; 13735
+ SUB *AR4+%, -5, A, B ; 13736
+ SUB *AR4+%, -5, A ; 13737
+ SUB *AR4+%, -5, B, A ; 13738
+ SUB *AR4+%, -5, B, B ; 13739
+ SUB *AR4+%, -5, B ; 13740
+ SUB *AR4+%, -4, A, A ; 13741
+ SUB *AR4+%, -4, A, B ; 13742
+ SUB *AR4+%, -4, A ; 13743
+ SUB *AR4+%, -4, B, A ; 13744
+ SUB *AR4+%, -4, B, B ; 13745
+ SUB *AR4+%, -4, B ; 13746
+ SUB *AR4+%, -3, A, A ; 13747
+ SUB *AR4+%, -3, A, B ; 13748
+ SUB *AR4+%, -3, A ; 13749
+ SUB *AR4+%, -3, B, A ; 13750
+ SUB *AR4+%, -3, B, B ; 13751
+ SUB *AR4+%, -3, B ; 13752
+ SUB *AR4+%, -2, A, A ; 13753
+ SUB *AR4+%, -2, A, B ; 13754
+ SUB *AR4+%, -2, A ; 13755
+ SUB *AR4+%, -2, B, A ; 13756
+ SUB *AR4+%, -2, B, B ; 13757
+ SUB *AR4+%, -2, B ; 13758
+ SUB *AR4+%, -1, A, A ; 13759
+ SUB *AR4+%, -1, A, B ; 13760
+ SUB *AR4+%, -1, A ; 13761
+ SUB *AR4+%, -1, B, A ; 13762
+ SUB *AR4+%, -1, B, B ; 13763
+ SUB *AR4+%, -1, B ; 13764
+ SUB *AR4+%, 0, A, A ; 13765
+ SUB *AR4+%, 0, A, B ; 13766
+ SUB *AR4+%, 0, A ; 13767
+ SUB *AR4+%, 0, B, A ; 13768
+ SUB *AR4+%, 0, B, B ; 13769
+ SUB *AR4+%, 0, B ; 13770
+ SUB *AR4+%, 1, A, A ; 13771
+ SUB *AR4+%, 1, A, B ; 13772
+ SUB *AR4+%, 1, A ; 13773
+ SUB *AR4+%, 1, B, A ; 13774
+ SUB *AR4+%, 1, B, B ; 13775
+ SUB *AR4+%, 1, B ; 13776
+ SUB *AR4+%, 2, A, A ; 13777
+ SUB *AR4+%, 2, A, B ; 13778
+ SUB *AR4+%, 2, A ; 13779
+ SUB *AR4+%, 2, B, A ; 13780
+ SUB *AR4+%, 2, B, B ; 13781
+ SUB *AR4+%, 2, B ; 13782
+ SUB *AR4+%, 3, A, A ; 13783
+ SUB *AR4+%, 3, A, B ; 13784
+ SUB *AR4+%, 3, A ; 13785
+ SUB *AR4+%, 3, B, A ; 13786
+ SUB *AR4+%, 3, B, B ; 13787
+ SUB *AR4+%, 3, B ; 13788
+ SUB *AR4+%, 4, A, A ; 13789
+ SUB *AR4+%, 4, A, B ; 13790
+ SUB *AR4+%, 4, A ; 13791
+ SUB *AR4+%, 4, B, A ; 13792
+ SUB *AR4+%, 4, B, B ; 13793
+ SUB *AR4+%, 4, B ; 13794
+ SUB *AR4+%, 5, A, A ; 13795
+ SUB *AR4+%, 5, A, B ; 13796
+ SUB *AR4+%, 5, A ; 13797
+ SUB *AR4+%, 5, B, A ; 13798
+ SUB *AR4+%, 5, B, B ; 13799
+ SUB *AR4+%, 5, B ; 13800
+ SUB *AR4+%, 6, A, A ; 13801
+ SUB *AR4+%, 6, A, B ; 13802
+ SUB *AR4+%, 6, A ; 13803
+ SUB *AR4+%, 6, B, A ; 13804
+ SUB *AR4+%, 6, B, B ; 13805
+ SUB *AR4+%, 6, B ; 13806
+ SUB *AR4+%, 7, A, A ; 13807
+ SUB *AR4+%, 7, A, B ; 13808
+ SUB *AR4+%, 7, A ; 13809
+ SUB *AR4+%, 7, B, A ; 13810
+ SUB *AR4+%, 7, B, B ; 13811
+ SUB *AR4+%, 7, B ; 13812
+ SUB *AR4+%, 8, A, A ; 13813
+ SUB *AR4+%, 8, A, B ; 13814
+ SUB *AR4+%, 8, A ; 13815
+ SUB *AR4+%, 8, B, A ; 13816
+ SUB *AR4+%, 8, B, B ; 13817
+ SUB *AR4+%, 8, B ; 13818
+ SUB *AR4+%, 9, A, A ; 13819
+ SUB *AR4+%, 9, A, B ; 13820
+ SUB *AR4+%, 9, A ; 13821
+ SUB *AR4+%, 9, B, A ; 13822
+ SUB *AR4+%, 9, B, B ; 13823
+ SUB *AR4+%, 9, B ; 13824
+ SUB *AR4+%, 10, A, A ; 13825
+ SUB *AR4+%, 10, A, B ; 13826
+ SUB *AR4+%, 10, A ; 13827
+ SUB *AR4+%, 10, B, A ; 13828
+ SUB *AR4+%, 10, B, B ; 13829
+ SUB *AR4+%, 10, B ; 13830
+ SUB *AR4+%, 11, A, A ; 13831
+ SUB *AR4+%, 11, A, B ; 13832
+ SUB *AR4+%, 11, A ; 13833
+ SUB *AR4+%, 11, B, A ; 13834
+ SUB *AR4+%, 11, B, B ; 13835
+ SUB *AR4+%, 11, B ; 13836
+ SUB *AR4+%, 12, A, A ; 13837
+ SUB *AR4+%, 12, A, B ; 13838
+ SUB *AR4+%, 12, A ; 13839
+ SUB *AR4+%, 12, B, A ; 13840
+ SUB *AR4+%, 12, B, B ; 13841
+ SUB *AR4+%, 12, B ; 13842
+ SUB *AR4+%, 13, A, A ; 13843
+ SUB *AR4+%, 13, A, B ; 13844
+ SUB *AR4+%, 13, A ; 13845
+ SUB *AR4+%, 13, B, A ; 13846
+ SUB *AR4+%, 13, B, B ; 13847
+ SUB *AR4+%, 13, B ; 13848
+ SUB *AR4+%, 14, A, A ; 13849
+ SUB *AR4+%, 14, A, B ; 13850
+ SUB *AR4+%, 14, A ; 13851
+ SUB *AR4+%, 14, B, A ; 13852
+ SUB *AR4+%, 14, B, B ; 13853
+ SUB *AR4+%, 14, B ; 13854
+ SUB *AR4+%, 15, A, A ; 13855
+ SUB *AR4+%, 15, A, B ; 13856
+ SUB *AR4+%, 15, A ; 13857
+ SUB *AR4+%, 15, B, A ; 13858
+ SUB *AR4+%, 15, B, B ; 13859
+ SUB *AR4+%, 15, B ; 13860
+ SUB *AR4+0%, A, A ; 13861
+ SUB *AR4+0%, A, B ; 13862
+ SUB *AR4+0%, A ; 13863
+ SUB *AR4+0%, B, A ; 13864
+ SUB *AR4+0%, B, B ; 13865
+ SUB *AR4+0%, B ; 13866
+ SUB *AR4+0%, -16, A, A ; 13867
+ SUB *AR4+0%, -16, A, B ; 13868
+ SUB *AR4+0%, -16, A ; 13869
+ SUB *AR4+0%, -16, B, A ; 13870
+ SUB *AR4+0%, -16, B, B ; 13871
+ SUB *AR4+0%, -16, B ; 13872
+ SUB *AR4+0%, -15, A, A ; 13873
+ SUB *AR4+0%, -15, A, B ; 13874
+ SUB *AR4+0%, -15, A ; 13875
+ SUB *AR4+0%, -15, B, A ; 13876
+ SUB *AR4+0%, -15, B, B ; 13877
+ SUB *AR4+0%, -15, B ; 13878
+ SUB *AR4+0%, -14, A, A ; 13879
+ SUB *AR4+0%, -14, A, B ; 13880
+ SUB *AR4+0%, -14, A ; 13881
+ SUB *AR4+0%, -14, B, A ; 13882
+ SUB *AR4+0%, -14, B, B ; 13883
+ SUB *AR4+0%, -14, B ; 13884
+ SUB *AR4+0%, -13, A, A ; 13885
+ SUB *AR4+0%, -13, A, B ; 13886
+ SUB *AR4+0%, -13, A ; 13887
+ SUB *AR4+0%, -13, B, A ; 13888
+ SUB *AR4+0%, -13, B, B ; 13889
+ SUB *AR4+0%, -13, B ; 13890
+ SUB *AR4+0%, -12, A, A ; 13891
+ SUB *AR4+0%, -12, A, B ; 13892
+ SUB *AR4+0%, -12, A ; 13893
+ SUB *AR4+0%, -12, B, A ; 13894
+ SUB *AR4+0%, -12, B, B ; 13895
+ SUB *AR4+0%, -12, B ; 13896
+ SUB *AR4+0%, -11, A, A ; 13897
+ SUB *AR4+0%, -11, A, B ; 13898
+ SUB *AR4+0%, -11, A ; 13899
+ SUB *AR4+0%, -11, B, A ; 13900
+ SUB *AR4+0%, -11, B, B ; 13901
+ SUB *AR4+0%, -11, B ; 13902
+ SUB *AR4+0%, -10, A, A ; 13903
+ SUB *AR4+0%, -10, A, B ; 13904
+ SUB *AR4+0%, -10, A ; 13905
+ SUB *AR4+0%, -10, B, A ; 13906
+ SUB *AR4+0%, -10, B, B ; 13907
+ SUB *AR4+0%, -10, B ; 13908
+ SUB *AR4+0%, -9, A, A ; 13909
+ SUB *AR4+0%, -9, A, B ; 13910
+ SUB *AR4+0%, -9, A ; 13911
+ SUB *AR4+0%, -9, B, A ; 13912
+ SUB *AR4+0%, -9, B, B ; 13913
+ SUB *AR4+0%, -9, B ; 13914
+ SUB *AR4+0%, -8, A, A ; 13915
+ SUB *AR4+0%, -8, A, B ; 13916
+ SUB *AR4+0%, -8, A ; 13917
+ SUB *AR4+0%, -8, B, A ; 13918
+ SUB *AR4+0%, -8, B, B ; 13919
+ SUB *AR4+0%, -8, B ; 13920
+ SUB *AR4+0%, -7, A, A ; 13921
+ SUB *AR4+0%, -7, A, B ; 13922
+ SUB *AR4+0%, -7, A ; 13923
+ SUB *AR4+0%, -7, B, A ; 13924
+ SUB *AR4+0%, -7, B, B ; 13925
+ SUB *AR4+0%, -7, B ; 13926
+ SUB *AR4+0%, -6, A, A ; 13927
+ SUB *AR4+0%, -6, A, B ; 13928
+ SUB *AR4+0%, -6, A ; 13929
+ SUB *AR4+0%, -6, B, A ; 13930
+ SUB *AR4+0%, -6, B, B ; 13931
+ SUB *AR4+0%, -6, B ; 13932
+ SUB *AR4+0%, -5, A, A ; 13933
+ SUB *AR4+0%, -5, A, B ; 13934
+ SUB *AR4+0%, -5, A ; 13935
+ SUB *AR4+0%, -5, B, A ; 13936
+ SUB *AR4+0%, -5, B, B ; 13937
+ SUB *AR4+0%, -5, B ; 13938
+ SUB *AR4+0%, -4, A, A ; 13939
+ SUB *AR4+0%, -4, A, B ; 13940
+ SUB *AR4+0%, -4, A ; 13941
+ SUB *AR4+0%, -4, B, A ; 13942
+ SUB *AR4+0%, -4, B, B ; 13943
+ SUB *AR4+0%, -4, B ; 13944
+ SUB *AR4+0%, -3, A, A ; 13945
+ SUB *AR4+0%, -3, A, B ; 13946
+ SUB *AR4+0%, -3, A ; 13947
+ SUB *AR4+0%, -3, B, A ; 13948
+ SUB *AR4+0%, -3, B, B ; 13949
+ SUB *AR4+0%, -3, B ; 13950
+ SUB *AR4+0%, -2, A, A ; 13951
+ SUB *AR4+0%, -2, A, B ; 13952
+ SUB *AR4+0%, -2, A ; 13953
+ SUB *AR4+0%, -2, B, A ; 13954
+ SUB *AR4+0%, -2, B, B ; 13955
+ SUB *AR4+0%, -2, B ; 13956
+ SUB *AR4+0%, -1, A, A ; 13957
+ SUB *AR4+0%, -1, A, B ; 13958
+ SUB *AR4+0%, -1, A ; 13959
+ SUB *AR4+0%, -1, B, A ; 13960
+ SUB *AR4+0%, -1, B, B ; 13961
+ SUB *AR4+0%, -1, B ; 13962
+ SUB *AR4+0%, 0, A, A ; 13963
+ SUB *AR4+0%, 0, A, B ; 13964
+ SUB *AR4+0%, 0, A ; 13965
+ SUB *AR4+0%, 0, B, A ; 13966
+ SUB *AR4+0%, 0, B, B ; 13967
+ SUB *AR4+0%, 0, B ; 13968
+ SUB *AR4+0%, 1, A, A ; 13969
+ SUB *AR4+0%, 1, A, B ; 13970
+ SUB *AR4+0%, 1, A ; 13971
+ SUB *AR4+0%, 1, B, A ; 13972
+ SUB *AR4+0%, 1, B, B ; 13973
+ SUB *AR4+0%, 1, B ; 13974
+ SUB *AR4+0%, 2, A, A ; 13975
+ SUB *AR4+0%, 2, A, B ; 13976
+ SUB *AR4+0%, 2, A ; 13977
+ SUB *AR4+0%, 2, B, A ; 13978
+ SUB *AR4+0%, 2, B, B ; 13979
+ SUB *AR4+0%, 2, B ; 13980
+ SUB *AR4+0%, 3, A, A ; 13981
+ SUB *AR4+0%, 3, A, B ; 13982
+ SUB *AR4+0%, 3, A ; 13983
+ SUB *AR4+0%, 3, B, A ; 13984
+ SUB *AR4+0%, 3, B, B ; 13985
+ SUB *AR4+0%, 3, B ; 13986
+ SUB *AR4+0%, 4, A, A ; 13987
+ SUB *AR4+0%, 4, A, B ; 13988
+ SUB *AR4+0%, 4, A ; 13989
+ SUB *AR4+0%, 4, B, A ; 13990
+ SUB *AR4+0%, 4, B, B ; 13991
+ SUB *AR4+0%, 4, B ; 13992
+ SUB *AR4+0%, 5, A, A ; 13993
+ SUB *AR4+0%, 5, A, B ; 13994
+ SUB *AR4+0%, 5, A ; 13995
+ SUB *AR4+0%, 5, B, A ; 13996
+ SUB *AR4+0%, 5, B, B ; 13997
+ SUB *AR4+0%, 5, B ; 13998
+ SUB *AR4+0%, 6, A, A ; 13999
+ SUB *AR4+0%, 6, A, B ; 14000
+ SUB *AR4+0%, 6, A ; 14001
+ SUB *AR4+0%, 6, B, A ; 14002
+ SUB *AR4+0%, 6, B, B ; 14003
+ SUB *AR4+0%, 6, B ; 14004
+ SUB *AR4+0%, 7, A, A ; 14005
+ SUB *AR4+0%, 7, A, B ; 14006
+ SUB *AR4+0%, 7, A ; 14007
+ SUB *AR4+0%, 7, B, A ; 14008
+ SUB *AR4+0%, 7, B, B ; 14009
+ SUB *AR4+0%, 7, B ; 14010
+ SUB *AR4+0%, 8, A, A ; 14011
+ SUB *AR4+0%, 8, A, B ; 14012
+ SUB *AR4+0%, 8, A ; 14013
+ SUB *AR4+0%, 8, B, A ; 14014
+ SUB *AR4+0%, 8, B, B ; 14015
+ SUB *AR4+0%, 8, B ; 14016
+ SUB *AR4+0%, 9, A, A ; 14017
+ SUB *AR4+0%, 9, A, B ; 14018
+ SUB *AR4+0%, 9, A ; 14019
+ SUB *AR4+0%, 9, B, A ; 14020
+ SUB *AR4+0%, 9, B, B ; 14021
+ SUB *AR4+0%, 9, B ; 14022
+ SUB *AR4+0%, 10, A, A ; 14023
+ SUB *AR4+0%, 10, A, B ; 14024
+ SUB *AR4+0%, 10, A ; 14025
+ SUB *AR4+0%, 10, B, A ; 14026
+ SUB *AR4+0%, 10, B, B ; 14027
+ SUB *AR4+0%, 10, B ; 14028
+ SUB *AR4+0%, 11, A, A ; 14029
+ SUB *AR4+0%, 11, A, B ; 14030
+ SUB *AR4+0%, 11, A ; 14031
+ SUB *AR4+0%, 11, B, A ; 14032
+ SUB *AR4+0%, 11, B, B ; 14033
+ SUB *AR4+0%, 11, B ; 14034
+ SUB *AR4+0%, 12, A, A ; 14035
+ SUB *AR4+0%, 12, A, B ; 14036
+ SUB *AR4+0%, 12, A ; 14037
+ SUB *AR4+0%, 12, B, A ; 14038
+ SUB *AR4+0%, 12, B, B ; 14039
+ SUB *AR4+0%, 12, B ; 14040
+ SUB *AR4+0%, 13, A, A ; 14041
+ SUB *AR4+0%, 13, A, B ; 14042
+ SUB *AR4+0%, 13, A ; 14043
+ SUB *AR4+0%, 13, B, A ; 14044
+ SUB *AR4+0%, 13, B, B ; 14045
+ SUB *AR4+0%, 13, B ; 14046
+ SUB *AR4+0%, 14, A, A ; 14047
+ SUB *AR4+0%, 14, A, B ; 14048
+ SUB *AR4+0%, 14, A ; 14049
+ SUB *AR4+0%, 14, B, A ; 14050
+ SUB *AR4+0%, 14, B, B ; 14051
+ SUB *AR4+0%, 14, B ; 14052
+ SUB *AR4+0%, 15, A, A ; 14053
+ SUB *AR4+0%, 15, A, B ; 14054
+ SUB *AR4+0%, 15, A ; 14055
+ SUB *AR4+0%, 15, B, A ; 14056
+ SUB *AR4+0%, 15, B, B ; 14057
+ SUB *AR4+0%, 15, B ; 14058
+ SUB *AR4(057E6h), A, A ; 14059
+ SUB *AR4(057E7h), A, B ; 14060
+ SUB *AR4(057E8h), A ; 14061
+ SUB *AR4(057E9h), B, A ; 14062
+ SUB *AR4(057EAh), B, B ; 14063
+ SUB *AR4(057EBh), B ; 14064
+ SUB *AR4(057ECh), -16, A, A ; 14065
+ SUB *AR4(057EDh), -16, A, B ; 14066
+ SUB *AR4(057EEh), -16, A ; 14067
+ SUB *AR4(057EFh), -16, B, A ; 14068
+ SUB *AR4(057F0h), -16, B, B ; 14069
+ SUB *AR4(057F1h), -16, B ; 14070
+ SUB *AR4(057F2h), -15, A, A ; 14071
+ SUB *AR4(057F3h), -15, A, B ; 14072
+ SUB *AR4(057F4h), -15, A ; 14073
+ SUB *AR4(057F5h), -15, B, A ; 14074
+ SUB *AR4(057F6h), -15, B, B ; 14075
+ SUB *AR4(057F7h), -15, B ; 14076
+ SUB *AR4(057F8h), -14, A, A ; 14077
+ SUB *AR4(057F9h), -14, A, B ; 14078
+ SUB *AR4(057FAh), -14, A ; 14079
+ SUB *AR4(057FBh), -14, B, A ; 14080
+ SUB *AR4(057FCh), -14, B, B ; 14081
+ SUB *AR4(057FDh), -14, B ; 14082
+ SUB *AR4(057FEh), -13, A, A ; 14083
+ SUB *AR4(057FFh), -13, A, B ; 14084
+ SUB *AR4(05800h), -13, A ; 14085
+ SUB *AR4(05801h), -13, B, A ; 14086
+ SUB *AR4(05802h), -13, B, B ; 14087
+ SUB *AR4(05803h), -13, B ; 14088
+ SUB *AR4(05804h), -12, A, A ; 14089
+ SUB *AR4(05805h), -12, A, B ; 14090
+ SUB *AR4(05806h), -12, A ; 14091
+ SUB *AR4(05807h), -12, B, A ; 14092
+ SUB *AR4(05808h), -12, B, B ; 14093
+ SUB *AR4(05809h), -12, B ; 14094
+ SUB *AR4(0580Ah), -11, A, A ; 14095
+ SUB *AR4(0580Bh), -11, A, B ; 14096
+ SUB *AR4(0580Ch), -11, A ; 14097
+ SUB *AR4(0580Dh), -11, B, A ; 14098
+ SUB *AR4(0580Eh), -11, B, B ; 14099
+ SUB *AR4(0580Fh), -11, B ; 14100
+ SUB *AR4(05810h), -10, A, A ; 14101
+ SUB *AR4(05811h), -10, A, B ; 14102
+ SUB *AR4(05812h), -10, A ; 14103
+ SUB *AR4(05813h), -10, B, A ; 14104
+ SUB *AR4(05814h), -10, B, B ; 14105
+ SUB *AR4(05815h), -10, B ; 14106
+ SUB *AR4(05816h), -9, A, A ; 14107
+ SUB *AR4(05817h), -9, A, B ; 14108
+ SUB *AR4(05818h), -9, A ; 14109
+ SUB *AR4(05819h), -9, B, A ; 14110
+ SUB *AR4(0581Ah), -9, B, B ; 14111
+ SUB *AR4(0581Bh), -9, B ; 14112
+ SUB *AR4(0581Ch), -8, A, A ; 14113
+ SUB *AR4(0581Dh), -8, A, B ; 14114
+ SUB *AR4(0581Eh), -8, A ; 14115
+ SUB *AR4(0581Fh), -8, B, A ; 14116
+ SUB *AR4(05820h), -8, B, B ; 14117
+ SUB *AR4(05821h), -8, B ; 14118
+ SUB *AR4(05822h), -7, A, A ; 14119
+ SUB *AR4(05823h), -7, A, B ; 14120
+ SUB *AR4(05824h), -7, A ; 14121
+ SUB *AR4(05825h), -7, B, A ; 14122
+ SUB *AR4(05826h), -7, B, B ; 14123
+ SUB *AR4(05827h), -7, B ; 14124
+ SUB *AR4(05828h), -6, A, A ; 14125
+ SUB *AR4(05829h), -6, A, B ; 14126
+ SUB *AR4(0582Ah), -6, A ; 14127
+ SUB *AR4(0582Bh), -6, B, A ; 14128
+ SUB *AR4(0582Ch), -6, B, B ; 14129
+ SUB *AR4(0582Dh), -6, B ; 14130
+ SUB *AR4(0582Eh), -5, A, A ; 14131
+ SUB *AR4(0582Fh), -5, A, B ; 14132
+ SUB *AR4(05830h), -5, A ; 14133
+ SUB *AR4(05831h), -5, B, A ; 14134
+ SUB *AR4(05832h), -5, B, B ; 14135
+ SUB *AR4(05833h), -5, B ; 14136
+ SUB *AR4(05834h), -4, A, A ; 14137
+ SUB *AR4(05835h), -4, A, B ; 14138
+ SUB *AR4(05836h), -4, A ; 14139
+ SUB *AR4(05837h), -4, B, A ; 14140
+ SUB *AR4(05838h), -4, B, B ; 14141
+ SUB *AR4(05839h), -4, B ; 14142
+ SUB *AR4(0583Ah), -3, A, A ; 14143
+ SUB *AR4(0583Bh), -3, A, B ; 14144
+ SUB *AR4(0583Ch), -3, A ; 14145
+ SUB *AR4(0583Dh), -3, B, A ; 14146
+ SUB *AR4(0583Eh), -3, B, B ; 14147
+ SUB *AR4(0583Fh), -3, B ; 14148
+ SUB *AR4(05840h), -2, A, A ; 14149
+ SUB *AR4(05841h), -2, A, B ; 14150
+ SUB *AR4(05842h), -2, A ; 14151
+ SUB *AR4(05843h), -2, B, A ; 14152
+ SUB *AR4(05844h), -2, B, B ; 14153
+ SUB *AR4(05845h), -2, B ; 14154
+ SUB *AR4(05846h), -1, A, A ; 14155
+ SUB *AR4(05847h), -1, A, B ; 14156
+ SUB *AR4(05848h), -1, A ; 14157
+ SUB *AR4(05849h), -1, B, A ; 14158
+ SUB *AR4(0584Ah), -1, B, B ; 14159
+ SUB *AR4(0584Bh), -1, B ; 14160
+ SUB *AR4(0584Ch), 0, A, A ; 14161
+ SUB *AR4(0584Dh), 0, A, B ; 14162
+ SUB *AR4(0584Eh), 0, A ; 14163
+ SUB *AR4(0584Fh), 0, B, A ; 14164
+ SUB *AR4(05850h), 0, B, B ; 14165
+ SUB *AR4(05851h), 0, B ; 14166
+ SUB *AR4(05852h), 1, A, A ; 14167
+ SUB *AR4(05853h), 1, A, B ; 14168
+ SUB *AR4(05854h), 1, A ; 14169
+ SUB *AR4(05855h), 1, B, A ; 14170
+ SUB *AR4(05856h), 1, B, B ; 14171
+ SUB *AR4(05857h), 1, B ; 14172
+ SUB *AR4(05858h), 2, A, A ; 14173
+ SUB *AR4(05859h), 2, A, B ; 14174
+ SUB *AR4(0585Ah), 2, A ; 14175
+ SUB *AR4(0585Bh), 2, B, A ; 14176
+ SUB *AR4(0585Ch), 2, B, B ; 14177
+ SUB *AR4(0585Dh), 2, B ; 14178
+ SUB *AR4(0585Eh), 3, A, A ; 14179
+ SUB *AR4(0585Fh), 3, A, B ; 14180
+ SUB *AR4(05860h), 3, A ; 14181
+ SUB *AR4(05861h), 3, B, A ; 14182
+ SUB *AR4(05862h), 3, B, B ; 14183
+ SUB *AR4(05863h), 3, B ; 14184
+ SUB *AR4(05864h), 4, A, A ; 14185
+ SUB *AR4(05865h), 4, A, B ; 14186
+ SUB *AR4(05866h), 4, A ; 14187
+ SUB *AR4(05867h), 4, B, A ; 14188
+ SUB *AR4(05868h), 4, B, B ; 14189
+ SUB *AR4(05869h), 4, B ; 14190
+ SUB *AR4(0586Ah), 5, A, A ; 14191
+ SUB *AR4(0586Bh), 5, A, B ; 14192
+ SUB *AR4(0586Ch), 5, A ; 14193
+ SUB *AR4(0586Dh), 5, B, A ; 14194
+ SUB *AR4(0586Eh), 5, B, B ; 14195
+ SUB *AR4(0586Fh), 5, B ; 14196
+ SUB *AR4(05870h), 6, A, A ; 14197
+ SUB *AR4(05871h), 6, A, B ; 14198
+ SUB *AR4(05872h), 6, A ; 14199
+ SUB *AR4(05873h), 6, B, A ; 14200
+ SUB *AR4(05874h), 6, B, B ; 14201
+ SUB *AR4(05875h), 6, B ; 14202
+ SUB *AR4(05876h), 7, A, A ; 14203
+ SUB *AR4(05877h), 7, A, B ; 14204
+ SUB *AR4(05878h), 7, A ; 14205
+ SUB *AR4(05879h), 7, B, A ; 14206
+ SUB *AR4(0587Ah), 7, B, B ; 14207
+ SUB *AR4(0587Bh), 7, B ; 14208
+ SUB *AR4(0587Ch), 8, A, A ; 14209
+ SUB *AR4(0587Dh), 8, A, B ; 14210
+ SUB *AR4(0587Eh), 8, A ; 14211
+ SUB *AR4(0587Fh), 8, B, A ; 14212
+ SUB *AR4(05880h), 8, B, B ; 14213
+ SUB *AR4(05881h), 8, B ; 14214
+ SUB *AR4(05882h), 9, A, A ; 14215
+ SUB *AR4(05883h), 9, A, B ; 14216
+ SUB *AR4(05884h), 9, A ; 14217
+ SUB *AR4(05885h), 9, B, A ; 14218
+ SUB *AR4(05886h), 9, B, B ; 14219
+ SUB *AR4(05887h), 9, B ; 14220
+ SUB *AR4(05888h), 10, A, A ; 14221
+ SUB *AR4(05889h), 10, A, B ; 14222
+ SUB *AR4(0588Ah), 10, A ; 14223
+ SUB *AR4(0588Bh), 10, B, A ; 14224
+ SUB *AR4(0588Ch), 10, B, B ; 14225
+ SUB *AR4(0588Dh), 10, B ; 14226
+ SUB *AR4(0588Eh), 11, A, A ; 14227
+ SUB *AR4(0588Fh), 11, A, B ; 14228
+ SUB *AR4(05890h), 11, A ; 14229
+ SUB *AR4(05891h), 11, B, A ; 14230
+ SUB *AR4(05892h), 11, B, B ; 14231
+ SUB *AR4(05893h), 11, B ; 14232
+ SUB *AR4(05894h), 12, A, A ; 14233
+ SUB *AR4(05895h), 12, A, B ; 14234
+ SUB *AR4(05896h), 12, A ; 14235
+ SUB *AR4(05897h), 12, B, A ; 14236
+ SUB *AR4(05898h), 12, B, B ; 14237
+ SUB *AR4(05899h), 12, B ; 14238
+ SUB *AR4(0589Ah), 13, A, A ; 14239
+ SUB *AR4(0589Bh), 13, A, B ; 14240
+ SUB *AR4(0589Ch), 13, A ; 14241
+ SUB *AR4(0589Dh), 13, B, A ; 14242
+ SUB *AR4(0589Eh), 13, B, B ; 14243
+ SUB *AR4(0589Fh), 13, B ; 14244
+ SUB *AR4(058A0h), 14, A, A ; 14245
+ SUB *AR4(058A1h), 14, A, B ; 14246
+ SUB *AR4(058A2h), 14, A ; 14247
+ SUB *AR4(058A3h), 14, B, A ; 14248
+ SUB *AR4(058A4h), 14, B, B ; 14249
+ SUB *AR4(058A5h), 14, B ; 14250
+ SUB *AR4(058A6h), 15, A, A ; 14251
+ SUB *AR4(058A7h), 15, A, B ; 14252
+ SUB *AR4(058A8h), 15, A ; 14253
+ SUB *AR4(058A9h), 15, B, A ; 14254
+ SUB *AR4(058AAh), 15, B, B ; 14255
+ SUB *AR4(058ABh), 15, B ; 14256
+ SUB *+AR4(058ACh), A, A ; 14257
+ SUB *+AR4(058ADh), A, B ; 14258
+ SUB *+AR4(058AEh), A ; 14259
+ SUB *+AR4(058AFh), B, A ; 14260
+ SUB *+AR4(058B0h), B, B ; 14261
+ SUB *+AR4(058B1h), B ; 14262
+ SUB *+AR4(058B2h), -16, A, A ; 14263
+ SUB *+AR4(058B3h), -16, A, B ; 14264
+ SUB *+AR4(058B4h), -16, A ; 14265
+ SUB *+AR4(058B5h), -16, B, A ; 14266
+ SUB *+AR4(058B6h), -16, B, B ; 14267
+ SUB *+AR4(058B7h), -16, B ; 14268
+ SUB *+AR4(058B8h), -15, A, A ; 14269
+ SUB *+AR4(058B9h), -15, A, B ; 14270
+ SUB *+AR4(058BAh), -15, A ; 14271
+ SUB *+AR4(058BBh), -15, B, A ; 14272
+ SUB *+AR4(058BCh), -15, B, B ; 14273
+ SUB *+AR4(058BDh), -15, B ; 14274
+ SUB *+AR4(058BEh), -14, A, A ; 14275
+ SUB *+AR4(058BFh), -14, A, B ; 14276
+ SUB *+AR4(058C0h), -14, A ; 14277
+ SUB *+AR4(058C1h), -14, B, A ; 14278
+ SUB *+AR4(058C2h), -14, B, B ; 14279
+ SUB *+AR4(058C3h), -14, B ; 14280
+ SUB *+AR4(058C4h), -13, A, A ; 14281
+ SUB *+AR4(058C5h), -13, A, B ; 14282
+ SUB *+AR4(058C6h), -13, A ; 14283
+ SUB *+AR4(058C7h), -13, B, A ; 14284
+ SUB *+AR4(058C8h), -13, B, B ; 14285
+ SUB *+AR4(058C9h), -13, B ; 14286
+ SUB *+AR4(058CAh), -12, A, A ; 14287
+ SUB *+AR4(058CBh), -12, A, B ; 14288
+ SUB *+AR4(058CCh), -12, A ; 14289
+ SUB *+AR4(058CDh), -12, B, A ; 14290
+ SUB *+AR4(058CEh), -12, B, B ; 14291
+ SUB *+AR4(058CFh), -12, B ; 14292
+ SUB *+AR4(058D0h), -11, A, A ; 14293
+ SUB *+AR4(058D1h), -11, A, B ; 14294
+ SUB *+AR4(058D2h), -11, A ; 14295
+ SUB *+AR4(058D3h), -11, B, A ; 14296
+ SUB *+AR4(058D4h), -11, B, B ; 14297
+ SUB *+AR4(058D5h), -11, B ; 14298
+ SUB *+AR4(058D6h), -10, A, A ; 14299
+ SUB *+AR4(058D7h), -10, A, B ; 14300
+ SUB *+AR4(058D8h), -10, A ; 14301
+ SUB *+AR4(058D9h), -10, B, A ; 14302
+ SUB *+AR4(058DAh), -10, B, B ; 14303
+ SUB *+AR4(058DBh), -10, B ; 14304
+ SUB *+AR4(058DCh), -9, A, A ; 14305
+ SUB *+AR4(058DDh), -9, A, B ; 14306
+ SUB *+AR4(058DEh), -9, A ; 14307
+ SUB *+AR4(058DFh), -9, B, A ; 14308
+ SUB *+AR4(058E0h), -9, B, B ; 14309
+ SUB *+AR4(058E1h), -9, B ; 14310
+ SUB *+AR4(058E2h), -8, A, A ; 14311
+ SUB *+AR4(058E3h), -8, A, B ; 14312
+ SUB *+AR4(058E4h), -8, A ; 14313
+ SUB *+AR4(058E5h), -8, B, A ; 14314
+ SUB *+AR4(058E6h), -8, B, B ; 14315
+ SUB *+AR4(058E7h), -8, B ; 14316
+ SUB *+AR4(058E8h), -7, A, A ; 14317
+ SUB *+AR4(058E9h), -7, A, B ; 14318
+ SUB *+AR4(058EAh), -7, A ; 14319
+ SUB *+AR4(058EBh), -7, B, A ; 14320
+ SUB *+AR4(058ECh), -7, B, B ; 14321
+ SUB *+AR4(058EDh), -7, B ; 14322
+ SUB *+AR4(058EEh), -6, A, A ; 14323
+ SUB *+AR4(058EFh), -6, A, B ; 14324
+ SUB *+AR4(058F0h), -6, A ; 14325
+ SUB *+AR4(058F1h), -6, B, A ; 14326
+ SUB *+AR4(058F2h), -6, B, B ; 14327
+ SUB *+AR4(058F3h), -6, B ; 14328
+ SUB *+AR4(058F4h), -5, A, A ; 14329
+ SUB *+AR4(058F5h), -5, A, B ; 14330
+ SUB *+AR4(058F6h), -5, A ; 14331
+ SUB *+AR4(058F7h), -5, B, A ; 14332
+ SUB *+AR4(058F8h), -5, B, B ; 14333
+ SUB *+AR4(058F9h), -5, B ; 14334
+ SUB *+AR4(058FAh), -4, A, A ; 14335
+ SUB *+AR4(058FBh), -4, A, B ; 14336
+ SUB *+AR4(058FCh), -4, A ; 14337
+ SUB *+AR4(058FDh), -4, B, A ; 14338
+ SUB *+AR4(058FEh), -4, B, B ; 14339
+ SUB *+AR4(058FFh), -4, B ; 14340
+ SUB *+AR4(05900h), -3, A, A ; 14341
+ SUB *+AR4(05901h), -3, A, B ; 14342
+ SUB *+AR4(05902h), -3, A ; 14343
+ SUB *+AR4(05903h), -3, B, A ; 14344
+ SUB *+AR4(05904h), -3, B, B ; 14345
+ SUB *+AR4(05905h), -3, B ; 14346
+ SUB *+AR4(05906h), -2, A, A ; 14347
+ SUB *+AR4(05907h), -2, A, B ; 14348
+ SUB *+AR4(05908h), -2, A ; 14349
+ SUB *+AR4(05909h), -2, B, A ; 14350
+ SUB *+AR4(0590Ah), -2, B, B ; 14351
+ SUB *+AR4(0590Bh), -2, B ; 14352
+ SUB *+AR4(0590Ch), -1, A, A ; 14353
+ SUB *+AR4(0590Dh), -1, A, B ; 14354
+ SUB *+AR4(0590Eh), -1, A ; 14355
+ SUB *+AR4(0590Fh), -1, B, A ; 14356
+ SUB *+AR4(05910h), -1, B, B ; 14357
+ SUB *+AR4(05911h), -1, B ; 14358
+ SUB *+AR4(05912h), 0, A, A ; 14359
+ SUB *+AR4(05913h), 0, A, B ; 14360
+ SUB *+AR4(05914h), 0, A ; 14361
+ SUB *+AR4(05915h), 0, B, A ; 14362
+ SUB *+AR4(05916h), 0, B, B ; 14363
+ SUB *+AR4(05917h), 0, B ; 14364
+ SUB *+AR4(05918h), 1, A, A ; 14365
+ SUB *+AR4(05919h), 1, A, B ; 14366
+ SUB *+AR4(0591Ah), 1, A ; 14367
+ SUB *+AR4(0591Bh), 1, B, A ; 14368
+ SUB *+AR4(0591Ch), 1, B, B ; 14369
+ SUB *+AR4(0591Dh), 1, B ; 14370
+ SUB *+AR4(0591Eh), 2, A, A ; 14371
+ SUB *+AR4(0591Fh), 2, A, B ; 14372
+ SUB *+AR4(05920h), 2, A ; 14373
+ SUB *+AR4(05921h), 2, B, A ; 14374
+ SUB *+AR4(05922h), 2, B, B ; 14375
+ SUB *+AR4(05923h), 2, B ; 14376
+ SUB *+AR4(05924h), 3, A, A ; 14377
+ SUB *+AR4(05925h), 3, A, B ; 14378
+ SUB *+AR4(05926h), 3, A ; 14379
+ SUB *+AR4(05927h), 3, B, A ; 14380
+ SUB *+AR4(05928h), 3, B, B ; 14381
+ SUB *+AR4(05929h), 3, B ; 14382
+ SUB *+AR4(0592Ah), 4, A, A ; 14383
+ SUB *+AR4(0592Bh), 4, A, B ; 14384
+ SUB *+AR4(0592Ch), 4, A ; 14385
+ SUB *+AR4(0592Dh), 4, B, A ; 14386
+ SUB *+AR4(0592Eh), 4, B, B ; 14387
+ SUB *+AR4(0592Fh), 4, B ; 14388
+ SUB *+AR4(05930h), 5, A, A ; 14389
+ SUB *+AR4(05931h), 5, A, B ; 14390
+ SUB *+AR4(05932h), 5, A ; 14391
+ SUB *+AR4(05933h), 5, B, A ; 14392
+ SUB *+AR4(05934h), 5, B, B ; 14393
+ SUB *+AR4(05935h), 5, B ; 14394
+ SUB *+AR4(05936h), 6, A, A ; 14395
+ SUB *+AR4(05937h), 6, A, B ; 14396
+ SUB *+AR4(05938h), 6, A ; 14397
+ SUB *+AR4(05939h), 6, B, A ; 14398
+ SUB *+AR4(0593Ah), 6, B, B ; 14399
+ SUB *+AR4(0593Bh), 6, B ; 14400
+ SUB *+AR4(0593Ch), 7, A, A ; 14401
+ SUB *+AR4(0593Dh), 7, A, B ; 14402
+ SUB *+AR4(0593Eh), 7, A ; 14403
+ SUB *+AR4(0593Fh), 7, B, A ; 14404
+ SUB *+AR4(05940h), 7, B, B ; 14405
+ SUB *+AR4(05941h), 7, B ; 14406
+ SUB *+AR4(05942h), 8, A, A ; 14407
+ SUB *+AR4(05943h), 8, A, B ; 14408
+ SUB *+AR4(05944h), 8, A ; 14409
+ SUB *+AR4(05945h), 8, B, A ; 14410
+ SUB *+AR4(05946h), 8, B, B ; 14411
+ SUB *+AR4(05947h), 8, B ; 14412
+ SUB *+AR4(05948h), 9, A, A ; 14413
+ SUB *+AR4(05949h), 9, A, B ; 14414
+ SUB *+AR4(0594Ah), 9, A ; 14415
+ SUB *+AR4(0594Bh), 9, B, A ; 14416
+ SUB *+AR4(0594Ch), 9, B, B ; 14417
+ SUB *+AR4(0594Dh), 9, B ; 14418
+ SUB *+AR4(0594Eh), 10, A, A ; 14419
+ SUB *+AR4(0594Fh), 10, A, B ; 14420
+ SUB *+AR4(05950h), 10, A ; 14421
+ SUB *+AR4(05951h), 10, B, A ; 14422
+ SUB *+AR4(05952h), 10, B, B ; 14423
+ SUB *+AR4(05953h), 10, B ; 14424
+ SUB *+AR4(05954h), 11, A, A ; 14425
+ SUB *+AR4(05955h), 11, A, B ; 14426
+ SUB *+AR4(05956h), 11, A ; 14427
+ SUB *+AR4(05957h), 11, B, A ; 14428
+ SUB *+AR4(05958h), 11, B, B ; 14429
+ SUB *+AR4(05959h), 11, B ; 14430
+ SUB *+AR4(0595Ah), 12, A, A ; 14431
+ SUB *+AR4(0595Bh), 12, A, B ; 14432
+ SUB *+AR4(0595Ch), 12, A ; 14433
+ SUB *+AR4(0595Dh), 12, B, A ; 14434
+ SUB *+AR4(0595Eh), 12, B, B ; 14435
+ SUB *+AR4(0595Fh), 12, B ; 14436
+ SUB *+AR4(05960h), 13, A, A ; 14437
+ SUB *+AR4(05961h), 13, A, B ; 14438
+ SUB *+AR4(05962h), 13, A ; 14439
+ SUB *+AR4(05963h), 13, B, A ; 14440
+ SUB *+AR4(05964h), 13, B, B ; 14441
+ SUB *+AR4(05965h), 13, B ; 14442
+ SUB *+AR4(05966h), 14, A, A ; 14443
+ SUB *+AR4(05967h), 14, A, B ; 14444
+ SUB *+AR4(05968h), 14, A ; 14445
+ SUB *+AR4(05969h), 14, B, A ; 14446
+ SUB *+AR4(0596Ah), 14, B, B ; 14447
+ SUB *+AR4(0596Bh), 14, B ; 14448
+ SUB *+AR4(0596Ch), 15, A, A ; 14449
+ SUB *+AR4(0596Dh), 15, A, B ; 14450
+ SUB *+AR4(0596Eh), 15, A ; 14451
+ SUB *+AR4(0596Fh), 15, B, A ; 14452
+ SUB *+AR4(05970h), 15, B, B ; 14453
+ SUB *+AR4(05971h), 15, B ; 14454
+ SUB *+AR4(05972h)%, A, A ; 14455
+ SUB *+AR4(05973h)%, A, B ; 14456
+ SUB *+AR4(05974h)%, A ; 14457
+ SUB *+AR4(05975h)%, B, A ; 14458
+ SUB *+AR4(05976h)%, B, B ; 14459
+ SUB *+AR4(05977h)%, B ; 14460
+ SUB *+AR4(05978h)%, -16, A, A ; 14461
+ SUB *+AR4(05979h)%, -16, A, B ; 14462
+ SUB *+AR4(0597Ah)%, -16, A ; 14463
+ SUB *+AR4(0597Bh)%, -16, B, A ; 14464
+ SUB *+AR4(0597Ch)%, -16, B, B ; 14465
+ SUB *+AR4(0597Dh)%, -16, B ; 14466
+ SUB *+AR4(0597Eh)%, -15, A, A ; 14467
+ SUB *+AR4(0597Fh)%, -15, A, B ; 14468
+ SUB *+AR4(05980h)%, -15, A ; 14469
+ SUB *+AR4(05981h)%, -15, B, A ; 14470
+ SUB *+AR4(05982h)%, -15, B, B ; 14471
+ SUB *+AR4(05983h)%, -15, B ; 14472
+ SUB *+AR4(05984h)%, -14, A, A ; 14473
+ SUB *+AR4(05985h)%, -14, A, B ; 14474
+ SUB *+AR4(05986h)%, -14, A ; 14475
+ SUB *+AR4(05987h)%, -14, B, A ; 14476
+ SUB *+AR4(05988h)%, -14, B, B ; 14477
+ SUB *+AR4(05989h)%, -14, B ; 14478
+ SUB *+AR4(0598Ah)%, -13, A, A ; 14479
+ SUB *+AR4(0598Bh)%, -13, A, B ; 14480
+ SUB *+AR4(0598Ch)%, -13, A ; 14481
+ SUB *+AR4(0598Dh)%, -13, B, A ; 14482
+ SUB *+AR4(0598Eh)%, -13, B, B ; 14483
+ SUB *+AR4(0598Fh)%, -13, B ; 14484
+ SUB *+AR4(05990h)%, -12, A, A ; 14485
+ SUB *+AR4(05991h)%, -12, A, B ; 14486
+ SUB *+AR4(05992h)%, -12, A ; 14487
+ SUB *+AR4(05993h)%, -12, B, A ; 14488
+ SUB *+AR4(05994h)%, -12, B, B ; 14489
+ SUB *+AR4(05995h)%, -12, B ; 14490
+ SUB *+AR4(05996h)%, -11, A, A ; 14491
+ SUB *+AR4(05997h)%, -11, A, B ; 14492
+ SUB *+AR4(05998h)%, -11, A ; 14493
+ SUB *+AR4(05999h)%, -11, B, A ; 14494
+ SUB *+AR4(0599Ah)%, -11, B, B ; 14495
+ SUB *+AR4(0599Bh)%, -11, B ; 14496
+ SUB *+AR4(0599Ch)%, -10, A, A ; 14497
+ SUB *+AR4(0599Dh)%, -10, A, B ; 14498
+ SUB *+AR4(0599Eh)%, -10, A ; 14499
+ SUB *+AR4(0599Fh)%, -10, B, A ; 14500
+ SUB *+AR4(059A0h)%, -10, B, B ; 14501
+ SUB *+AR4(059A1h)%, -10, B ; 14502
+ SUB *+AR4(059A2h)%, -9, A, A ; 14503
+ SUB *+AR4(059A3h)%, -9, A, B ; 14504
+ SUB *+AR4(059A4h)%, -9, A ; 14505
+ SUB *+AR4(059A5h)%, -9, B, A ; 14506
+ SUB *+AR4(059A6h)%, -9, B, B ; 14507
+ SUB *+AR4(059A7h)%, -9, B ; 14508
+ SUB *+AR4(059A8h)%, -8, A, A ; 14509
+ SUB *+AR4(059A9h)%, -8, A, B ; 14510
+ SUB *+AR4(059AAh)%, -8, A ; 14511
+ SUB *+AR4(059ABh)%, -8, B, A ; 14512
+ SUB *+AR4(059ACh)%, -8, B, B ; 14513
+ SUB *+AR4(059ADh)%, -8, B ; 14514
+ SUB *+AR4(059AEh)%, -7, A, A ; 14515
+ SUB *+AR4(059AFh)%, -7, A, B ; 14516
+ SUB *+AR4(059B0h)%, -7, A ; 14517
+ SUB *+AR4(059B1h)%, -7, B, A ; 14518
+ SUB *+AR4(059B2h)%, -7, B, B ; 14519
+ SUB *+AR4(059B3h)%, -7, B ; 14520
+ SUB *+AR4(059B4h)%, -6, A, A ; 14521
+ SUB *+AR4(059B5h)%, -6, A, B ; 14522
+ SUB *+AR4(059B6h)%, -6, A ; 14523
+ SUB *+AR4(059B7h)%, -6, B, A ; 14524
+ SUB *+AR4(059B8h)%, -6, B, B ; 14525
+ SUB *+AR4(059B9h)%, -6, B ; 14526
+ SUB *+AR4(059BAh)%, -5, A, A ; 14527
+ SUB *+AR4(059BBh)%, -5, A, B ; 14528
+ SUB *+AR4(059BCh)%, -5, A ; 14529
+ SUB *+AR4(059BDh)%, -5, B, A ; 14530
+ SUB *+AR4(059BEh)%, -5, B, B ; 14531
+ SUB *+AR4(059BFh)%, -5, B ; 14532
+ SUB *+AR4(059C0h)%, -4, A, A ; 14533
+ SUB *+AR4(059C1h)%, -4, A, B ; 14534
+ SUB *+AR4(059C2h)%, -4, A ; 14535
+ SUB *+AR4(059C3h)%, -4, B, A ; 14536
+ SUB *+AR4(059C4h)%, -4, B, B ; 14537
+ SUB *+AR4(059C5h)%, -4, B ; 14538
+ SUB *+AR4(059C6h)%, -3, A, A ; 14539
+ SUB *+AR4(059C7h)%, -3, A, B ; 14540
+ SUB *+AR4(059C8h)%, -3, A ; 14541
+ SUB *+AR4(059C9h)%, -3, B, A ; 14542
+ SUB *+AR4(059CAh)%, -3, B, B ; 14543
+ SUB *+AR4(059CBh)%, -3, B ; 14544
+ SUB *+AR4(059CCh)%, -2, A, A ; 14545
+ SUB *+AR4(059CDh)%, -2, A, B ; 14546
+ SUB *+AR4(059CEh)%, -2, A ; 14547
+ SUB *+AR4(059CFh)%, -2, B, A ; 14548
+ SUB *+AR4(059D0h)%, -2, B, B ; 14549
+ SUB *+AR4(059D1h)%, -2, B ; 14550
+ SUB *+AR4(059D2h)%, -1, A, A ; 14551
+ SUB *+AR4(059D3h)%, -1, A, B ; 14552
+ SUB *+AR4(059D4h)%, -1, A ; 14553
+ SUB *+AR4(059D5h)%, -1, B, A ; 14554
+ SUB *+AR4(059D6h)%, -1, B, B ; 14555
+ SUB *+AR4(059D7h)%, -1, B ; 14556
+ SUB *+AR4(059D8h)%, 0, A, A ; 14557
+ SUB *+AR4(059D9h)%, 0, A, B ; 14558
+ SUB *+AR4(059DAh)%, 0, A ; 14559
+ SUB *+AR4(059DBh)%, 0, B, A ; 14560
+ SUB *+AR4(059DCh)%, 0, B, B ; 14561
+ SUB *+AR4(059DDh)%, 0, B ; 14562
+ SUB *+AR4(059DEh)%, 1, A, A ; 14563
+ SUB *+AR4(059DFh)%, 1, A, B ; 14564
+ SUB *+AR4(059E0h)%, 1, A ; 14565
+ SUB *+AR4(059E1h)%, 1, B, A ; 14566
+ SUB *+AR4(059E2h)%, 1, B, B ; 14567
+ SUB *+AR4(059E3h)%, 1, B ; 14568
+ SUB *+AR4(059E4h)%, 2, A, A ; 14569
+ SUB *+AR4(059E5h)%, 2, A, B ; 14570
+ SUB *+AR4(059E6h)%, 2, A ; 14571
+ SUB *+AR4(059E7h)%, 2, B, A ; 14572
+ SUB *+AR4(059E8h)%, 2, B, B ; 14573
+ SUB *+AR4(059E9h)%, 2, B ; 14574
+ SUB *+AR4(059EAh)%, 3, A, A ; 14575
+ SUB *+AR4(059EBh)%, 3, A, B ; 14576
+ SUB *+AR4(059ECh)%, 3, A ; 14577
+ SUB *+AR4(059EDh)%, 3, B, A ; 14578
+ SUB *+AR4(059EEh)%, 3, B, B ; 14579
+ SUB *+AR4(059EFh)%, 3, B ; 14580
+ SUB *+AR4(059F0h)%, 4, A, A ; 14581
+ SUB *+AR4(059F1h)%, 4, A, B ; 14582
+ SUB *+AR4(059F2h)%, 4, A ; 14583
+ SUB *+AR4(059F3h)%, 4, B, A ; 14584
+ SUB *+AR4(059F4h)%, 4, B, B ; 14585
+ SUB *+AR4(059F5h)%, 4, B ; 14586
+ SUB *+AR4(059F6h)%, 5, A, A ; 14587
+ SUB *+AR4(059F7h)%, 5, A, B ; 14588
+ SUB *+AR4(059F8h)%, 5, A ; 14589
+ SUB *+AR4(059F9h)%, 5, B, A ; 14590
+ SUB *+AR4(059FAh)%, 5, B, B ; 14591
+ SUB *+AR4(059FBh)%, 5, B ; 14592
+ SUB *+AR4(059FCh)%, 6, A, A ; 14593
+ SUB *+AR4(059FDh)%, 6, A, B ; 14594
+ SUB *+AR4(059FEh)%, 6, A ; 14595
+ SUB *+AR4(059FFh)%, 6, B, A ; 14596
+ SUB *+AR4(05A00h)%, 6, B, B ; 14597
+ SUB *+AR4(05A01h)%, 6, B ; 14598
+ SUB *+AR4(05A02h)%, 7, A, A ; 14599
+ SUB *+AR4(05A03h)%, 7, A, B ; 14600
+ SUB *+AR4(05A04h)%, 7, A ; 14601
+ SUB *+AR4(05A05h)%, 7, B, A ; 14602
+ SUB *+AR4(05A06h)%, 7, B, B ; 14603
+ SUB *+AR4(05A07h)%, 7, B ; 14604
+ SUB *+AR4(05A08h)%, 8, A, A ; 14605
+ SUB *+AR4(05A09h)%, 8, A, B ; 14606
+ SUB *+AR4(05A0Ah)%, 8, A ; 14607
+ SUB *+AR4(05A0Bh)%, 8, B, A ; 14608
+ SUB *+AR4(05A0Ch)%, 8, B, B ; 14609
+ SUB *+AR4(05A0Dh)%, 8, B ; 14610
+ SUB *+AR4(05A0Eh)%, 9, A, A ; 14611
+ SUB *+AR4(05A0Fh)%, 9, A, B ; 14612
+ SUB *+AR4(05A10h)%, 9, A ; 14613
+ SUB *+AR4(05A11h)%, 9, B, A ; 14614
+ SUB *+AR4(05A12h)%, 9, B, B ; 14615
+ SUB *+AR4(05A13h)%, 9, B ; 14616
+ SUB *+AR4(05A14h)%, 10, A, A ; 14617
+ SUB *+AR4(05A15h)%, 10, A, B ; 14618
+ SUB *+AR4(05A16h)%, 10, A ; 14619
+ SUB *+AR4(05A17h)%, 10, B, A ; 14620
+ SUB *+AR4(05A18h)%, 10, B, B ; 14621
+ SUB *+AR4(05A19h)%, 10, B ; 14622
+ SUB *+AR4(05A1Ah)%, 11, A, A ; 14623
+ SUB *+AR4(05A1Bh)%, 11, A, B ; 14624
+ SUB *+AR4(05A1Ch)%, 11, A ; 14625
+ SUB *+AR4(05A1Dh)%, 11, B, A ; 14626
+ SUB *+AR4(05A1Eh)%, 11, B, B ; 14627
+ SUB *+AR4(05A1Fh)%, 11, B ; 14628
+ SUB *+AR4(05A20h)%, 12, A, A ; 14629
+ SUB *+AR4(05A21h)%, 12, A, B ; 14630
+ SUB *+AR4(05A22h)%, 12, A ; 14631
+ SUB *+AR4(05A23h)%, 12, B, A ; 14632
+ SUB *+AR4(05A24h)%, 12, B, B ; 14633
+ SUB *+AR4(05A25h)%, 12, B ; 14634
+ SUB *+AR4(05A26h)%, 13, A, A ; 14635
+ SUB *+AR4(05A27h)%, 13, A, B ; 14636
+ SUB *+AR4(05A28h)%, 13, A ; 14637
+ SUB *+AR4(05A29h)%, 13, B, A ; 14638
+ SUB *+AR4(05A2Ah)%, 13, B, B ; 14639
+ SUB *+AR4(05A2Bh)%, 13, B ; 14640
+ SUB *+AR4(05A2Ch)%, 14, A, A ; 14641
+ SUB *+AR4(05A2Dh)%, 14, A, B ; 14642
+ SUB *+AR4(05A2Eh)%, 14, A ; 14643
+ SUB *+AR4(05A2Fh)%, 14, B, A ; 14644
+ SUB *+AR4(05A30h)%, 14, B, B ; 14645
+ SUB *+AR4(05A31h)%, 14, B ; 14646
+ SUB *+AR4(05A32h)%, 15, A, A ; 14647
+ SUB *+AR4(05A33h)%, 15, A, B ; 14648
+ SUB *+AR4(05A34h)%, 15, A ; 14649
+ SUB *+AR4(05A35h)%, 15, B, A ; 14650
+ SUB *+AR4(05A36h)%, 15, B, B ; 14651
+ SUB *+AR4(05A37h)%, 15, B ; 14652
+ SUB *(05A38h), A, A ; 14653
+ SUB *(05A39h), A, B ; 14654
+ SUB *(05A3Ah), A ; 14655
+ SUB *(05A3Bh), B, A ; 14656
+ SUB *(05A3Ch), B, B ; 14657
+ SUB *(05A3Dh), B ; 14658
+ SUB *(05A3Eh), -16, A, A ; 14659
+ SUB *(05A3Fh), -16, A, B ; 14660
+ SUB *(05A40h), -16, A ; 14661
+ SUB *(05A41h), -16, B, A ; 14662
+ SUB *(05A42h), -16, B, B ; 14663
+ SUB *(05A43h), -16, B ; 14664
+ SUB *(05A44h), -15, A, A ; 14665
+ SUB *(05A45h), -15, A, B ; 14666
+ SUB *(05A46h), -15, A ; 14667
+ SUB *(05A47h), -15, B, A ; 14668
+ SUB *(05A48h), -15, B, B ; 14669
+ SUB *(05A49h), -15, B ; 14670
+ SUB *(05A4Ah), -14, A, A ; 14671
+ SUB *(05A4Bh), -14, A, B ; 14672
+ SUB *(05A4Ch), -14, A ; 14673
+ SUB *(05A4Dh), -14, B, A ; 14674
+ SUB *(05A4Eh), -14, B, B ; 14675
+ SUB *(05A4Fh), -14, B ; 14676
+ SUB *(05A50h), -13, A, A ; 14677
+ SUB *(05A51h), -13, A, B ; 14678
+ SUB *(05A52h), -13, A ; 14679
+ SUB *(05A53h), -13, B, A ; 14680
+ SUB *(05A54h), -13, B, B ; 14681
+ SUB *(05A55h), -13, B ; 14682
+ SUB *(05A56h), -12, A, A ; 14683
+ SUB *(05A57h), -12, A, B ; 14684
+ SUB *(05A58h), -12, A ; 14685
+ SUB *(05A59h), -12, B, A ; 14686
+ SUB *(05A5Ah), -12, B, B ; 14687
+ SUB *(05A5Bh), -12, B ; 14688
+ SUB *(05A5Ch), -11, A, A ; 14689
+ SUB *(05A5Dh), -11, A, B ; 14690
+ SUB *(05A5Eh), -11, A ; 14691
+ SUB *(05A5Fh), -11, B, A ; 14692
+ SUB *(05A60h), -11, B, B ; 14693
+ SUB *(05A61h), -11, B ; 14694
+ SUB *(05A62h), -10, A, A ; 14695
+ SUB *(05A63h), -10, A, B ; 14696
+ SUB *(05A64h), -10, A ; 14697
+ SUB *(05A65h), -10, B, A ; 14698
+ SUB *(05A66h), -10, B, B ; 14699
+ SUB *(05A67h), -10, B ; 14700
+ SUB *(05A68h), -9, A, A ; 14701
+ SUB *(05A69h), -9, A, B ; 14702
+ SUB *(05A6Ah), -9, A ; 14703
+ SUB *(05A6Bh), -9, B, A ; 14704
+ SUB *(05A6Ch), -9, B, B ; 14705
+ SUB *(05A6Dh), -9, B ; 14706
+ SUB *(05A6Eh), -8, A, A ; 14707
+ SUB *(05A6Fh), -8, A, B ; 14708
+ SUB *(05A70h), -8, A ; 14709
+ SUB *(05A71h), -8, B, A ; 14710
+ SUB *(05A72h), -8, B, B ; 14711
+ SUB *(05A73h), -8, B ; 14712
+ SUB *(05A74h), -7, A, A ; 14713
+ SUB *(05A75h), -7, A, B ; 14714
+ SUB *(05A76h), -7, A ; 14715
+ SUB *(05A77h), -7, B, A ; 14716
+ SUB *(05A78h), -7, B, B ; 14717
+ SUB *(05A79h), -7, B ; 14718
+ SUB *(05A7Ah), -6, A, A ; 14719
+ SUB *(05A7Bh), -6, A, B ; 14720
+ SUB *(05A7Ch), -6, A ; 14721
+ SUB *(05A7Dh), -6, B, A ; 14722
+ SUB *(05A7Eh), -6, B, B ; 14723
+ SUB *(05A7Fh), -6, B ; 14724
+ SUB *(05A80h), -5, A, A ; 14725
+ SUB *(05A81h), -5, A, B ; 14726
+ SUB *(05A82h), -5, A ; 14727
+ SUB *(05A83h), -5, B, A ; 14728
+ SUB *(05A84h), -5, B, B ; 14729
+ SUB *(05A85h), -5, B ; 14730
+ SUB *(05A86h), -4, A, A ; 14731
+ SUB *(05A87h), -4, A, B ; 14732
+ SUB *(05A88h), -4, A ; 14733
+ SUB *(05A89h), -4, B, A ; 14734
+ SUB *(05A8Ah), -4, B, B ; 14735
+ SUB *(05A8Bh), -4, B ; 14736
+ SUB *(05A8Ch), -3, A, A ; 14737
+ SUB *(05A8Dh), -3, A, B ; 14738
+ SUB *(05A8Eh), -3, A ; 14739
+ SUB *(05A8Fh), -3, B, A ; 14740
+ SUB *(05A90h), -3, B, B ; 14741
+ SUB *(05A91h), -3, B ; 14742
+ SUB *(05A92h), -2, A, A ; 14743
+ SUB *(05A93h), -2, A, B ; 14744
+ SUB *(05A94h), -2, A ; 14745
+ SUB *(05A95h), -2, B, A ; 14746
+ SUB *(05A96h), -2, B, B ; 14747
+ SUB *(05A97h), -2, B ; 14748
+ SUB *(05A98h), -1, A, A ; 14749
+ SUB *(05A99h), -1, A, B ; 14750
+ SUB *(05A9Ah), -1, A ; 14751
+ SUB *(05A9Bh), -1, B, A ; 14752
+ SUB *(05A9Ch), -1, B, B ; 14753
+ SUB *(05A9Dh), -1, B ; 14754
+ SUB *(05A9Eh), 0, A, A ; 14755
+ SUB *(05A9Fh), 0, A, B ; 14756
+ SUB *(05AA0h), 0, A ; 14757
+ SUB *(05AA1h), 0, B, A ; 14758
+ SUB *(05AA2h), 0, B, B ; 14759
+ SUB *(05AA3h), 0, B ; 14760
+ SUB *(05AA4h), 1, A, A ; 14761
+ SUB *(05AA5h), 1, A, B ; 14762
+ SUB *(05AA6h), 1, A ; 14763
+ SUB *(05AA7h), 1, B, A ; 14764
+ SUB *(05AA8h), 1, B, B ; 14765
+ SUB *(05AA9h), 1, B ; 14766
+ SUB *(05AAAh), 2, A, A ; 14767
+ SUB *(05AABh), 2, A, B ; 14768
+ SUB *(05AACh), 2, A ; 14769
+ SUB *(05AADh), 2, B, A ; 14770
+ SUB *(05AAEh), 2, B, B ; 14771
+ SUB *(05AAFh), 2, B ; 14772
+ SUB *(05AB0h), 3, A, A ; 14773
+ SUB *(05AB1h), 3, A, B ; 14774
+ SUB *(05AB2h), 3, A ; 14775
+ SUB *(05AB3h), 3, B, A ; 14776
+ SUB *(05AB4h), 3, B, B ; 14777
+ SUB *(05AB5h), 3, B ; 14778
+ SUB *(05AB6h), 4, A, A ; 14779
+ SUB *(05AB7h), 4, A, B ; 14780
+ SUB *(05AB8h), 4, A ; 14781
+ SUB *(05AB9h), 4, B, A ; 14782
+ SUB *(05ABAh), 4, B, B ; 14783
+ SUB *(05ABBh), 4, B ; 14784
+ SUB *(05ABCh), 5, A, A ; 14785
+ SUB *(05ABDh), 5, A, B ; 14786
+ SUB *(05ABEh), 5, A ; 14787
+ SUB *(05ABFh), 5, B, A ; 14788
+ SUB *(05AC0h), 5, B, B ; 14789
+ SUB *(05AC1h), 5, B ; 14790
+ SUB *(05AC2h), 6, A, A ; 14791
+ SUB *(05AC3h), 6, A, B ; 14792
+ SUB *(05AC4h), 6, A ; 14793
+ SUB *(05AC5h), 6, B, A ; 14794
+ SUB *(05AC6h), 6, B, B ; 14795
+ SUB *(05AC7h), 6, B ; 14796
+ SUB *(05AC8h), 7, A, A ; 14797
+ SUB *(05AC9h), 7, A, B ; 14798
+ SUB *(05ACAh), 7, A ; 14799
+ SUB *(05ACBh), 7, B, A ; 14800
+ SUB *(05ACCh), 7, B, B ; 14801
+ SUB *(05ACDh), 7, B ; 14802
+ SUB *(05ACEh), 8, A, A ; 14803
+ SUB *(05ACFh), 8, A, B ; 14804
+ SUB *(05AD0h), 8, A ; 14805
+ SUB *(05AD1h), 8, B, A ; 14806
+ SUB *(05AD2h), 8, B, B ; 14807
+ SUB *(05AD3h), 8, B ; 14808
+ SUB *(05AD4h), 9, A, A ; 14809
+ SUB *(05AD5h), 9, A, B ; 14810
+ SUB *(05AD6h), 9, A ; 14811
+ SUB *(05AD7h), 9, B, A ; 14812
+ SUB *(05AD8h), 9, B, B ; 14813
+ SUB *(05AD9h), 9, B ; 14814
+ SUB *(05ADAh), 10, A, A ; 14815
+ SUB *(05ADBh), 10, A, B ; 14816
+ SUB *(05ADCh), 10, A ; 14817
+ SUB *(05ADDh), 10, B, A ; 14818
+ SUB *(05ADEh), 10, B, B ; 14819
+ SUB *(05ADFh), 10, B ; 14820
+ SUB *(05AE0h), 11, A, A ; 14821
+ SUB *(05AE1h), 11, A, B ; 14822
+ SUB *(05AE2h), 11, A ; 14823
+ SUB *(05AE3h), 11, B, A ; 14824
+ SUB *(05AE4h), 11, B, B ; 14825
+ SUB *(05AE5h), 11, B ; 14826
+ SUB *(05AE6h), 12, A, A ; 14827
+ SUB *(05AE7h), 12, A, B ; 14828
+ SUB *(05AE8h), 12, A ; 14829
+ SUB *(05AE9h), 12, B, A ; 14830
+ SUB *(05AEAh), 12, B, B ; 14831
+ SUB *(05AEBh), 12, B ; 14832
+ SUB *(05AECh), 13, A, A ; 14833
+ SUB *(05AEDh), 13, A, B ; 14834
+ SUB *(05AEEh), 13, A ; 14835
+ SUB *(05AEFh), 13, B, A ; 14836
+ SUB *(05AF0h), 13, B, B ; 14837
+ SUB *(05AF1h), 13, B ; 14838
+ SUB *(05AF2h), 14, A, A ; 14839
+ SUB *(05AF3h), 14, A, B ; 14840
+ SUB *(05AF4h), 14, A ; 14841
+ SUB *(05AF5h), 14, B, A ; 14842
+ SUB *(05AF6h), 14, B, B ; 14843
+ SUB *(05AF7h), 14, B ; 14844
+ SUB *(05AF8h), 15, A, A ; 14845
+ SUB *(05AF9h), 15, A, B ; 14846
+ SUB *(05AFAh), 15, A ; 14847
+ SUB *(05AFBh), 15, B, A ; 14848
+ SUB *(05AFCh), 15, B, B ; 14849
+ SUB *(05AFDh), 15, B ; 14850
+ SUB *AR5, A, A ; 14851
+ SUB *AR5, A, B ; 14852
+ SUB *AR5, A ; 14853
+ SUB *AR5, B, A ; 14854
+ SUB *AR5, B, B ; 14855
+ SUB *AR5, B ; 14856
+ SUB *AR5, -16, A, A ; 14857
+ SUB *AR5, -16, A, B ; 14858
+ SUB *AR5, -16, A ; 14859
+ SUB *AR5, -16, B, A ; 14860
+ SUB *AR5, -16, B, B ; 14861
+ SUB *AR5, -16, B ; 14862
+ SUB *AR5, -15, A, A ; 14863
+ SUB *AR5, -15, A, B ; 14864
+ SUB *AR5, -15, A ; 14865
+ SUB *AR5, -15, B, A ; 14866
+ SUB *AR5, -15, B, B ; 14867
+ SUB *AR5, -15, B ; 14868
+ SUB *AR5, -14, A, A ; 14869
+ SUB *AR5, -14, A, B ; 14870
+ SUB *AR5, -14, A ; 14871
+ SUB *AR5, -14, B, A ; 14872
+ SUB *AR5, -14, B, B ; 14873
+ SUB *AR5, -14, B ; 14874
+ SUB *AR5, -13, A, A ; 14875
+ SUB *AR5, -13, A, B ; 14876
+ SUB *AR5, -13, A ; 14877
+ SUB *AR5, -13, B, A ; 14878
+ SUB *AR5, -13, B, B ; 14879
+ SUB *AR5, -13, B ; 14880
+ SUB *AR5, -12, A, A ; 14881
+ SUB *AR5, -12, A, B ; 14882
+ SUB *AR5, -12, A ; 14883
+ SUB *AR5, -12, B, A ; 14884
+ SUB *AR5, -12, B, B ; 14885
+ SUB *AR5, -12, B ; 14886
+ SUB *AR5, -11, A, A ; 14887
+ SUB *AR5, -11, A, B ; 14888
+ SUB *AR5, -11, A ; 14889
+ SUB *AR5, -11, B, A ; 14890
+ SUB *AR5, -11, B, B ; 14891
+ SUB *AR5, -11, B ; 14892
+ SUB *AR5, -10, A, A ; 14893
+ SUB *AR5, -10, A, B ; 14894
+ SUB *AR5, -10, A ; 14895
+ SUB *AR5, -10, B, A ; 14896
+ SUB *AR5, -10, B, B ; 14897
+ SUB *AR5, -10, B ; 14898
+ SUB *AR5, -9, A, A ; 14899
+ SUB *AR5, -9, A, B ; 14900
+ SUB *AR5, -9, A ; 14901
+ SUB *AR5, -9, B, A ; 14902
+ SUB *AR5, -9, B, B ; 14903
+ SUB *AR5, -9, B ; 14904
+ SUB *AR5, -8, A, A ; 14905
+ SUB *AR5, -8, A, B ; 14906
+ SUB *AR5, -8, A ; 14907
+ SUB *AR5, -8, B, A ; 14908
+ SUB *AR5, -8, B, B ; 14909
+ SUB *AR5, -8, B ; 14910
+ SUB *AR5, -7, A, A ; 14911
+ SUB *AR5, -7, A, B ; 14912
+ SUB *AR5, -7, A ; 14913
+ SUB *AR5, -7, B, A ; 14914
+ SUB *AR5, -7, B, B ; 14915
+ SUB *AR5, -7, B ; 14916
+ SUB *AR5, -6, A, A ; 14917
+ SUB *AR5, -6, A, B ; 14918
+ SUB *AR5, -6, A ; 14919
+ SUB *AR5, -6, B, A ; 14920
+ SUB *AR5, -6, B, B ; 14921
+ SUB *AR5, -6, B ; 14922
+ SUB *AR5, -5, A, A ; 14923
+ SUB *AR5, -5, A, B ; 14924
+ SUB *AR5, -5, A ; 14925
+ SUB *AR5, -5, B, A ; 14926
+ SUB *AR5, -5, B, B ; 14927
+ SUB *AR5, -5, B ; 14928
+ SUB *AR5, -4, A, A ; 14929
+ SUB *AR5, -4, A, B ; 14930
+ SUB *AR5, -4, A ; 14931
+ SUB *AR5, -4, B, A ; 14932
+ SUB *AR5, -4, B, B ; 14933
+ SUB *AR5, -4, B ; 14934
+ SUB *AR5, -3, A, A ; 14935
+ SUB *AR5, -3, A, B ; 14936
+ SUB *AR5, -3, A ; 14937
+ SUB *AR5, -3, B, A ; 14938
+ SUB *AR5, -3, B, B ; 14939
+ SUB *AR5, -3, B ; 14940
+ SUB *AR5, -2, A, A ; 14941
+ SUB *AR5, -2, A, B ; 14942
+ SUB *AR5, -2, A ; 14943
+ SUB *AR5, -2, B, A ; 14944
+ SUB *AR5, -2, B, B ; 14945
+ SUB *AR5, -2, B ; 14946
+ SUB *AR5, -1, A, A ; 14947
+ SUB *AR5, -1, A, B ; 14948
+ SUB *AR5, -1, A ; 14949
+ SUB *AR5, -1, B, A ; 14950
+ SUB *AR5, -1, B, B ; 14951
+ SUB *AR5, -1, B ; 14952
+ SUB *AR5, 0, A, A ; 14953
+ SUB *AR5, 0, A, B ; 14954
+ SUB *AR5, 0, A ; 14955
+ SUB *AR5, 0, B, A ; 14956
+ SUB *AR5, 0, B, B ; 14957
+ SUB *AR5, 0, B ; 14958
+ SUB *AR5, 1, A, A ; 14959
+ SUB *AR5, 1, A, B ; 14960
+ SUB *AR5, 1, A ; 14961
+ SUB *AR5, 1, B, A ; 14962
+ SUB *AR5, 1, B, B ; 14963
+ SUB *AR5, 1, B ; 14964
+ SUB *AR5, 2, A, A ; 14965
+ SUB *AR5, 2, A, B ; 14966
+ SUB *AR5, 2, A ; 14967
+ SUB *AR5, 2, B, A ; 14968
+ SUB *AR5, 2, B, B ; 14969
+ SUB *AR5, 2, B ; 14970
+ SUB *AR5, 3, A, A ; 14971
+ SUB *AR5, 3, A, B ; 14972
+ SUB *AR5, 3, A ; 14973
+ SUB *AR5, 3, B, A ; 14974
+ SUB *AR5, 3, B, B ; 14975
+ SUB *AR5, 3, B ; 14976
+ SUB *AR5, 4, A, A ; 14977
+ SUB *AR5, 4, A, B ; 14978
+ SUB *AR5, 4, A ; 14979
+ SUB *AR5, 4, B, A ; 14980
+ SUB *AR5, 4, B, B ; 14981
+ SUB *AR5, 4, B ; 14982
+ SUB *AR5, 5, A, A ; 14983
+ SUB *AR5, 5, A, B ; 14984
+ SUB *AR5, 5, A ; 14985
+ SUB *AR5, 5, B, A ; 14986
+ SUB *AR5, 5, B, B ; 14987
+ SUB *AR5, 5, B ; 14988
+ SUB *AR5, 6, A, A ; 14989
+ SUB *AR5, 6, A, B ; 14990
+ SUB *AR5, 6, A ; 14991
+ SUB *AR5, 6, B, A ; 14992
+ SUB *AR5, 6, B, B ; 14993
+ SUB *AR5, 6, B ; 14994
+ SUB *AR5, 7, A, A ; 14995
+ SUB *AR5, 7, A, B ; 14996
+ SUB *AR5, 7, A ; 14997
+ SUB *AR5, 7, B, A ; 14998
+ SUB *AR5, 7, B, B ; 14999
+ SUB *AR5, 7, B ; 15000
+ SUB *AR5, 8, A, A ; 15001
+ SUB *AR5, 8, A, B ; 15002
+ SUB *AR5, 8, A ; 15003
+ SUB *AR5, 8, B, A ; 15004
+ SUB *AR5, 8, B, B ; 15005
+ SUB *AR5, 8, B ; 15006
+ SUB *AR5, 9, A, A ; 15007
+ SUB *AR5, 9, A, B ; 15008
+ SUB *AR5, 9, A ; 15009
+ SUB *AR5, 9, B, A ; 15010
+ SUB *AR5, 9, B, B ; 15011
+ SUB *AR5, 9, B ; 15012
+ SUB *AR5, 10, A, A ; 15013
+ SUB *AR5, 10, A, B ; 15014
+ SUB *AR5, 10, A ; 15015
+ SUB *AR5, 10, B, A ; 15016
+ SUB *AR5, 10, B, B ; 15017
+ SUB *AR5, 10, B ; 15018
+ SUB *AR5, 11, A, A ; 15019
+ SUB *AR5, 11, A, B ; 15020
+ SUB *AR5, 11, A ; 15021
+ SUB *AR5, 11, B, A ; 15022
+ SUB *AR5, 11, B, B ; 15023
+ SUB *AR5, 11, B ; 15024
+ SUB *AR5, 12, A, A ; 15025
+ SUB *AR5, 12, A, B ; 15026
+ SUB *AR5, 12, A ; 15027
+ SUB *AR5, 12, B, A ; 15028
+ SUB *AR5, 12, B, B ; 15029
+ SUB *AR5, 12, B ; 15030
+ SUB *AR5, 13, A, A ; 15031
+ SUB *AR5, 13, A, B ; 15032
+ SUB *AR5, 13, A ; 15033
+ SUB *AR5, 13, B, A ; 15034
+ SUB *AR5, 13, B, B ; 15035
+ SUB *AR5, 13, B ; 15036
+ SUB *AR5, 14, A, A ; 15037
+ SUB *AR5, 14, A, B ; 15038
+ SUB *AR5, 14, A ; 15039
+ SUB *AR5, 14, B, A ; 15040
+ SUB *AR5, 14, B, B ; 15041
+ SUB *AR5, 14, B ; 15042
+ SUB *AR5, 15, A, A ; 15043
+ SUB *AR5, 15, A, B ; 15044
+ SUB *AR5, 15, A ; 15045
+ SUB *AR5, 15, B, A ; 15046
+ SUB *AR5, 15, B, B ; 15047
+ SUB *AR5, 15, B ; 15048
+ SUB *AR5-, A, A ; 15049
+ SUB *AR5-, A, B ; 15050
+ SUB *AR5-, A ; 15051
+ SUB *AR5-, B, A ; 15052
+ SUB *AR5-, B, B ; 15053
+ SUB *AR5-, B ; 15054
+ SUB *AR5-, -16, A, A ; 15055
+ SUB *AR5-, -16, A, B ; 15056
+ SUB *AR5-, -16, A ; 15057
+ SUB *AR5-, -16, B, A ; 15058
+ SUB *AR5-, -16, B, B ; 15059
+ SUB *AR5-, -16, B ; 15060
+ SUB *AR5-, -15, A, A ; 15061
+ SUB *AR5-, -15, A, B ; 15062
+ SUB *AR5-, -15, A ; 15063
+ SUB *AR5-, -15, B, A ; 15064
+ SUB *AR5-, -15, B, B ; 15065
+ SUB *AR5-, -15, B ; 15066
+ SUB *AR5-, -14, A, A ; 15067
+ SUB *AR5-, -14, A, B ; 15068
+ SUB *AR5-, -14, A ; 15069
+ SUB *AR5-, -14, B, A ; 15070
+ SUB *AR5-, -14, B, B ; 15071
+ SUB *AR5-, -14, B ; 15072
+ SUB *AR5-, -13, A, A ; 15073
+ SUB *AR5-, -13, A, B ; 15074
+ SUB *AR5-, -13, A ; 15075
+ SUB *AR5-, -13, B, A ; 15076
+ SUB *AR5-, -13, B, B ; 15077
+ SUB *AR5-, -13, B ; 15078
+ SUB *AR5-, -12, A, A ; 15079
+ SUB *AR5-, -12, A, B ; 15080
+ SUB *AR5-, -12, A ; 15081
+ SUB *AR5-, -12, B, A ; 15082
+ SUB *AR5-, -12, B, B ; 15083
+ SUB *AR5-, -12, B ; 15084
+ SUB *AR5-, -11, A, A ; 15085
+ SUB *AR5-, -11, A, B ; 15086
+ SUB *AR5-, -11, A ; 15087
+ SUB *AR5-, -11, B, A ; 15088
+ SUB *AR5-, -11, B, B ; 15089
+ SUB *AR5-, -11, B ; 15090
+ SUB *AR5-, -10, A, A ; 15091
+ SUB *AR5-, -10, A, B ; 15092
+ SUB *AR5-, -10, A ; 15093
+ SUB *AR5-, -10, B, A ; 15094
+ SUB *AR5-, -10, B, B ; 15095
+ SUB *AR5-, -10, B ; 15096
+ SUB *AR5-, -9, A, A ; 15097
+ SUB *AR5-, -9, A, B ; 15098
+ SUB *AR5-, -9, A ; 15099
+ SUB *AR5-, -9, B, A ; 15100
+ SUB *AR5-, -9, B, B ; 15101
+ SUB *AR5-, -9, B ; 15102
+ SUB *AR5-, -8, A, A ; 15103
+ SUB *AR5-, -8, A, B ; 15104
+ SUB *AR5-, -8, A ; 15105
+ SUB *AR5-, -8, B, A ; 15106
+ SUB *AR5-, -8, B, B ; 15107
+ SUB *AR5-, -8, B ; 15108
+ SUB *AR5-, -7, A, A ; 15109
+ SUB *AR5-, -7, A, B ; 15110
+ SUB *AR5-, -7, A ; 15111
+ SUB *AR5-, -7, B, A ; 15112
+ SUB *AR5-, -7, B, B ; 15113
+ SUB *AR5-, -7, B ; 15114
+ SUB *AR5-, -6, A, A ; 15115
+ SUB *AR5-, -6, A, B ; 15116
+ SUB *AR5-, -6, A ; 15117
+ SUB *AR5-, -6, B, A ; 15118
+ SUB *AR5-, -6, B, B ; 15119
+ SUB *AR5-, -6, B ; 15120
+ SUB *AR5-, -5, A, A ; 15121
+ SUB *AR5-, -5, A, B ; 15122
+ SUB *AR5-, -5, A ; 15123
+ SUB *AR5-, -5, B, A ; 15124
+ SUB *AR5-, -5, B, B ; 15125
+ SUB *AR5-, -5, B ; 15126
+ SUB *AR5-, -4, A, A ; 15127
+ SUB *AR5-, -4, A, B ; 15128
+ SUB *AR5-, -4, A ; 15129
+ SUB *AR5-, -4, B, A ; 15130
+ SUB *AR5-, -4, B, B ; 15131
+ SUB *AR5-, -4, B ; 15132
+ SUB *AR5-, -3, A, A ; 15133
+ SUB *AR5-, -3, A, B ; 15134
+ SUB *AR5-, -3, A ; 15135
+ SUB *AR5-, -3, B, A ; 15136
+ SUB *AR5-, -3, B, B ; 15137
+ SUB *AR5-, -3, B ; 15138
+ SUB *AR5-, -2, A, A ; 15139
+ SUB *AR5-, -2, A, B ; 15140
+ SUB *AR5-, -2, A ; 15141
+ SUB *AR5-, -2, B, A ; 15142
+ SUB *AR5-, -2, B, B ; 15143
+ SUB *AR5-, -2, B ; 15144
+ SUB *AR5-, -1, A, A ; 15145
+ SUB *AR5-, -1, A, B ; 15146
+ SUB *AR5-, -1, A ; 15147
+ SUB *AR5-, -1, B, A ; 15148
+ SUB *AR5-, -1, B, B ; 15149
+ SUB *AR5-, -1, B ; 15150
+ SUB *AR5-, 0, A, A ; 15151
+ SUB *AR5-, 0, A, B ; 15152
+ SUB *AR5-, 0, A ; 15153
+ SUB *AR5-, 0, B, A ; 15154
+ SUB *AR5-, 0, B, B ; 15155
+ SUB *AR5-, 0, B ; 15156
+ SUB *AR5-, 1, A, A ; 15157
+ SUB *AR5-, 1, A, B ; 15158
+ SUB *AR5-, 1, A ; 15159
+ SUB *AR5-, 1, B, A ; 15160
+ SUB *AR5-, 1, B, B ; 15161
+ SUB *AR5-, 1, B ; 15162
+ SUB *AR5-, 2, A, A ; 15163
+ SUB *AR5-, 2, A, B ; 15164
+ SUB *AR5-, 2, A ; 15165
+ SUB *AR5-, 2, B, A ; 15166
+ SUB *AR5-, 2, B, B ; 15167
+ SUB *AR5-, 2, B ; 15168
+ SUB *AR5-, 3, A, A ; 15169
+ SUB *AR5-, 3, A, B ; 15170
+ SUB *AR5-, 3, A ; 15171
+ SUB *AR5-, 3, B, A ; 15172
+ SUB *AR5-, 3, B, B ; 15173
+ SUB *AR5-, 3, B ; 15174
+ SUB *AR5-, 4, A, A ; 15175
+ SUB *AR5-, 4, A, B ; 15176
+ SUB *AR5-, 4, A ; 15177
+ SUB *AR5-, 4, B, A ; 15178
+ SUB *AR5-, 4, B, B ; 15179
+ SUB *AR5-, 4, B ; 15180
+ SUB *AR5-, 5, A, A ; 15181
+ SUB *AR5-, 5, A, B ; 15182
+ SUB *AR5-, 5, A ; 15183
+ SUB *AR5-, 5, B, A ; 15184
+ SUB *AR5-, 5, B, B ; 15185
+ SUB *AR5-, 5, B ; 15186
+ SUB *AR5-, 6, A, A ; 15187
+ SUB *AR5-, 6, A, B ; 15188
+ SUB *AR5-, 6, A ; 15189
+ SUB *AR5-, 6, B, A ; 15190
+ SUB *AR5-, 6, B, B ; 15191
+ SUB *AR5-, 6, B ; 15192
+ SUB *AR5-, 7, A, A ; 15193
+ SUB *AR5-, 7, A, B ; 15194
+ SUB *AR5-, 7, A ; 15195
+ SUB *AR5-, 7, B, A ; 15196
+ SUB *AR5-, 7, B, B ; 15197
+ SUB *AR5-, 7, B ; 15198
+ SUB *AR5-, 8, A, A ; 15199
+ SUB *AR5-, 8, A, B ; 15200
+ SUB *AR5-, 8, A ; 15201
+ SUB *AR5-, 8, B, A ; 15202
+ SUB *AR5-, 8, B, B ; 15203
+ SUB *AR5-, 8, B ; 15204
+ SUB *AR5-, 9, A, A ; 15205
+ SUB *AR5-, 9, A, B ; 15206
+ SUB *AR5-, 9, A ; 15207
+ SUB *AR5-, 9, B, A ; 15208
+ SUB *AR5-, 9, B, B ; 15209
+ SUB *AR5-, 9, B ; 15210
+ SUB *AR5-, 10, A, A ; 15211
+ SUB *AR5-, 10, A, B ; 15212
+ SUB *AR5-, 10, A ; 15213
+ SUB *AR5-, 10, B, A ; 15214
+ SUB *AR5-, 10, B, B ; 15215
+ SUB *AR5-, 10, B ; 15216
+ SUB *AR5-, 11, A, A ; 15217
+ SUB *AR5-, 11, A, B ; 15218
+ SUB *AR5-, 11, A ; 15219
+ SUB *AR5-, 11, B, A ; 15220
+ SUB *AR5-, 11, B, B ; 15221
+ SUB *AR5-, 11, B ; 15222
+ SUB *AR5-, 12, A, A ; 15223
+ SUB *AR5-, 12, A, B ; 15224
+ SUB *AR5-, 12, A ; 15225
+ SUB *AR5-, 12, B, A ; 15226
+ SUB *AR5-, 12, B, B ; 15227
+ SUB *AR5-, 12, B ; 15228
+ SUB *AR5-, 13, A, A ; 15229
+ SUB *AR5-, 13, A, B ; 15230
+ SUB *AR5-, 13, A ; 15231
+ SUB *AR5-, 13, B, A ; 15232
+ SUB *AR5-, 13, B, B ; 15233
+ SUB *AR5-, 13, B ; 15234
+ SUB *AR5-, 14, A, A ; 15235
+ SUB *AR5-, 14, A, B ; 15236
+ SUB *AR5-, 14, A ; 15237
+ SUB *AR5-, 14, B, A ; 15238
+ SUB *AR5-, 14, B, B ; 15239
+ SUB *AR5-, 14, B ; 15240
+ SUB *AR5-, 15, A, A ; 15241
+ SUB *AR5-, 15, A, B ; 15242
+ SUB *AR5-, 15, A ; 15243
+ SUB *AR5-, 15, B, A ; 15244
+ SUB *AR5-, 15, B, B ; 15245
+ SUB *AR5-, 15, B ; 15246
+ SUB *AR5+, A, A ; 15247
+ SUB *AR5+, A, B ; 15248
+ SUB *AR5+, A ; 15249
+ SUB *AR5+, B, A ; 15250
+ SUB *AR5+, B, B ; 15251
+ SUB *AR5+, B ; 15252
+ SUB *AR5+, -16, A, A ; 15253
+ SUB *AR5+, -16, A, B ; 15254
+ SUB *AR5+, -16, A ; 15255
+ SUB *AR5+, -16, B, A ; 15256
+ SUB *AR5+, -16, B, B ; 15257
+ SUB *AR5+, -16, B ; 15258
+ SUB *AR5+, -15, A, A ; 15259
+ SUB *AR5+, -15, A, B ; 15260
+ SUB *AR5+, -15, A ; 15261
+ SUB *AR5+, -15, B, A ; 15262
+ SUB *AR5+, -15, B, B ; 15263
+ SUB *AR5+, -15, B ; 15264
+ SUB *AR5+, -14, A, A ; 15265
+ SUB *AR5+, -14, A, B ; 15266
+ SUB *AR5+, -14, A ; 15267
+ SUB *AR5+, -14, B, A ; 15268
+ SUB *AR5+, -14, B, B ; 15269
+ SUB *AR5+, -14, B ; 15270
+ SUB *AR5+, -13, A, A ; 15271
+ SUB *AR5+, -13, A, B ; 15272
+ SUB *AR5+, -13, A ; 15273
+ SUB *AR5+, -13, B, A ; 15274
+ SUB *AR5+, -13, B, B ; 15275
+ SUB *AR5+, -13, B ; 15276
+ SUB *AR5+, -12, A, A ; 15277
+ SUB *AR5+, -12, A, B ; 15278
+ SUB *AR5+, -12, A ; 15279
+ SUB *AR5+, -12, B, A ; 15280
+ SUB *AR5+, -12, B, B ; 15281
+ SUB *AR5+, -12, B ; 15282
+ SUB *AR5+, -11, A, A ; 15283
+ SUB *AR5+, -11, A, B ; 15284
+ SUB *AR5+, -11, A ; 15285
+ SUB *AR5+, -11, B, A ; 15286
+ SUB *AR5+, -11, B, B ; 15287
+ SUB *AR5+, -11, B ; 15288
+ SUB *AR5+, -10, A, A ; 15289
+ SUB *AR5+, -10, A, B ; 15290
+ SUB *AR5+, -10, A ; 15291
+ SUB *AR5+, -10, B, A ; 15292
+ SUB *AR5+, -10, B, B ; 15293
+ SUB *AR5+, -10, B ; 15294
+ SUB *AR5+, -9, A, A ; 15295
+ SUB *AR5+, -9, A, B ; 15296
+ SUB *AR5+, -9, A ; 15297
+ SUB *AR5+, -9, B, A ; 15298
+ SUB *AR5+, -9, B, B ; 15299
+ SUB *AR5+, -9, B ; 15300
+ SUB *AR5+, -8, A, A ; 15301
+ SUB *AR5+, -8, A, B ; 15302
+ SUB *AR5+, -8, A ; 15303
+ SUB *AR5+, -8, B, A ; 15304
+ SUB *AR5+, -8, B, B ; 15305
+ SUB *AR5+, -8, B ; 15306
+ SUB *AR5+, -7, A, A ; 15307
+ SUB *AR5+, -7, A, B ; 15308
+ SUB *AR5+, -7, A ; 15309
+ SUB *AR5+, -7, B, A ; 15310
+ SUB *AR5+, -7, B, B ; 15311
+ SUB *AR5+, -7, B ; 15312
+ SUB *AR5+, -6, A, A ; 15313
+ SUB *AR5+, -6, A, B ; 15314
+ SUB *AR5+, -6, A ; 15315
+ SUB *AR5+, -6, B, A ; 15316
+ SUB *AR5+, -6, B, B ; 15317
+ SUB *AR5+, -6, B ; 15318
+ SUB *AR5+, -5, A, A ; 15319
+ SUB *AR5+, -5, A, B ; 15320
+ SUB *AR5+, -5, A ; 15321
+ SUB *AR5+, -5, B, A ; 15322
+ SUB *AR5+, -5, B, B ; 15323
+ SUB *AR5+, -5, B ; 15324
+ SUB *AR5+, -4, A, A ; 15325
+ SUB *AR5+, -4, A, B ; 15326
+ SUB *AR5+, -4, A ; 15327
+ SUB *AR5+, -4, B, A ; 15328
+ SUB *AR5+, -4, B, B ; 15329
+ SUB *AR5+, -4, B ; 15330
+ SUB *AR5+, -3, A, A ; 15331
+ SUB *AR5+, -3, A, B ; 15332
+ SUB *AR5+, -3, A ; 15333
+ SUB *AR5+, -3, B, A ; 15334
+ SUB *AR5+, -3, B, B ; 15335
+ SUB *AR5+, -3, B ; 15336
+ SUB *AR5+, -2, A, A ; 15337
+ SUB *AR5+, -2, A, B ; 15338
+ SUB *AR5+, -2, A ; 15339
+ SUB *AR5+, -2, B, A ; 15340
+ SUB *AR5+, -2, B, B ; 15341
+ SUB *AR5+, -2, B ; 15342
+ SUB *AR5+, -1, A, A ; 15343
+ SUB *AR5+, -1, A, B ; 15344
+ SUB *AR5+, -1, A ; 15345
+ SUB *AR5+, -1, B, A ; 15346
+ SUB *AR5+, -1, B, B ; 15347
+ SUB *AR5+, -1, B ; 15348
+ SUB *AR5+, 0, A, A ; 15349
+ SUB *AR5+, 0, A, B ; 15350
+ SUB *AR5+, 0, A ; 15351
+ SUB *AR5+, 0, B, A ; 15352
+ SUB *AR5+, 0, B, B ; 15353
+ SUB *AR5+, 0, B ; 15354
+ SUB *AR5+, 1, A, A ; 15355
+ SUB *AR5+, 1, A, B ; 15356
+ SUB *AR5+, 1, A ; 15357
+ SUB *AR5+, 1, B, A ; 15358
+ SUB *AR5+, 1, B, B ; 15359
+ SUB *AR5+, 1, B ; 15360
+ SUB *AR5+, 2, A, A ; 15361
+ SUB *AR5+, 2, A, B ; 15362
+ SUB *AR5+, 2, A ; 15363
+ SUB *AR5+, 2, B, A ; 15364
+ SUB *AR5+, 2, B, B ; 15365
+ SUB *AR5+, 2, B ; 15366
+ SUB *AR5+, 3, A, A ; 15367
+ SUB *AR5+, 3, A, B ; 15368
+ SUB *AR5+, 3, A ; 15369
+ SUB *AR5+, 3, B, A ; 15370
+ SUB *AR5+, 3, B, B ; 15371
+ SUB *AR5+, 3, B ; 15372
+ SUB *AR5+, 4, A, A ; 15373
+ SUB *AR5+, 4, A, B ; 15374
+ SUB *AR5+, 4, A ; 15375
+ SUB *AR5+, 4, B, A ; 15376
+ SUB *AR5+, 4, B, B ; 15377
+ SUB *AR5+, 4, B ; 15378
+ SUB *AR5+, 5, A, A ; 15379
+ SUB *AR5+, 5, A, B ; 15380
+ SUB *AR5+, 5, A ; 15381
+ SUB *AR5+, 5, B, A ; 15382
+ SUB *AR5+, 5, B, B ; 15383
+ SUB *AR5+, 5, B ; 15384
+ SUB *AR5+, 6, A, A ; 15385
+ SUB *AR5+, 6, A, B ; 15386
+ SUB *AR5+, 6, A ; 15387
+ SUB *AR5+, 6, B, A ; 15388
+ SUB *AR5+, 6, B, B ; 15389
+ SUB *AR5+, 6, B ; 15390
+ SUB *AR5+, 7, A, A ; 15391
+ SUB *AR5+, 7, A, B ; 15392
+ SUB *AR5+, 7, A ; 15393
+ SUB *AR5+, 7, B, A ; 15394
+ SUB *AR5+, 7, B, B ; 15395
+ SUB *AR5+, 7, B ; 15396
+ SUB *AR5+, 8, A, A ; 15397
+ SUB *AR5+, 8, A, B ; 15398
+ SUB *AR5+, 8, A ; 15399
+ SUB *AR5+, 8, B, A ; 15400
+ SUB *AR5+, 8, B, B ; 15401
+ SUB *AR5+, 8, B ; 15402
+ SUB *AR5+, 9, A, A ; 15403
+ SUB *AR5+, 9, A, B ; 15404
+ SUB *AR5+, 9, A ; 15405
+ SUB *AR5+, 9, B, A ; 15406
+ SUB *AR5+, 9, B, B ; 15407
+ SUB *AR5+, 9, B ; 15408
+ SUB *AR5+, 10, A, A ; 15409
+ SUB *AR5+, 10, A, B ; 15410
+ SUB *AR5+, 10, A ; 15411
+ SUB *AR5+, 10, B, A ; 15412
+ SUB *AR5+, 10, B, B ; 15413
+ SUB *AR5+, 10, B ; 15414
+ SUB *AR5+, 11, A, A ; 15415
+ SUB *AR5+, 11, A, B ; 15416
+ SUB *AR5+, 11, A ; 15417
+ SUB *AR5+, 11, B, A ; 15418
+ SUB *AR5+, 11, B, B ; 15419
+ SUB *AR5+, 11, B ; 15420
+ SUB *AR5+, 12, A, A ; 15421
+ SUB *AR5+, 12, A, B ; 15422
+ SUB *AR5+, 12, A ; 15423
+ SUB *AR5+, 12, B, A ; 15424
+ SUB *AR5+, 12, B, B ; 15425
+ SUB *AR5+, 12, B ; 15426
+ SUB *AR5+, 13, A, A ; 15427
+ SUB *AR5+, 13, A, B ; 15428
+ SUB *AR5+, 13, A ; 15429
+ SUB *AR5+, 13, B, A ; 15430
+ SUB *AR5+, 13, B, B ; 15431
+ SUB *AR5+, 13, B ; 15432
+ SUB *AR5+, 14, A, A ; 15433
+ SUB *AR5+, 14, A, B ; 15434
+ SUB *AR5+, 14, A ; 15435
+ SUB *AR5+, 14, B, A ; 15436
+ SUB *AR5+, 14, B, B ; 15437
+ SUB *AR5+, 14, B ; 15438
+ SUB *AR5+, 15, A, A ; 15439
+ SUB *AR5+, 15, A, B ; 15440
+ SUB *AR5+, 15, A ; 15441
+ SUB *AR5+, 15, B, A ; 15442
+ SUB *AR5+, 15, B, B ; 15443
+ SUB *AR5+, 15, B ; 15444
+ SUB *AR5-0B, A, A ; 15445
+ SUB *AR5-0B, A, B ; 15446
+ SUB *AR5-0B, A ; 15447
+ SUB *AR5-0B, B, A ; 15448
+ SUB *AR5-0B, B, B ; 15449
+ SUB *AR5-0B, B ; 15450
+ SUB *AR5-0B, -16, A, A ; 15451
+ SUB *AR5-0B, -16, A, B ; 15452
+ SUB *AR5-0B, -16, A ; 15453
+ SUB *AR5-0B, -16, B, A ; 15454
+ SUB *AR5-0B, -16, B, B ; 15455
+ SUB *AR5-0B, -16, B ; 15456
+ SUB *AR5-0B, -15, A, A ; 15457
+ SUB *AR5-0B, -15, A, B ; 15458
+ SUB *AR5-0B, -15, A ; 15459
+ SUB *AR5-0B, -15, B, A ; 15460
+ SUB *AR5-0B, -15, B, B ; 15461
+ SUB *AR5-0B, -15, B ; 15462
+ SUB *AR5-0B, -14, A, A ; 15463
+ SUB *AR5-0B, -14, A, B ; 15464
+ SUB *AR5-0B, -14, A ; 15465
+ SUB *AR5-0B, -14, B, A ; 15466
+ SUB *AR5-0B, -14, B, B ; 15467
+ SUB *AR5-0B, -14, B ; 15468
+ SUB *AR5-0B, -13, A, A ; 15469
+ SUB *AR5-0B, -13, A, B ; 15470
+ SUB *AR5-0B, -13, A ; 15471
+ SUB *AR5-0B, -13, B, A ; 15472
+ SUB *AR5-0B, -13, B, B ; 15473
+ SUB *AR5-0B, -13, B ; 15474
+ SUB *AR5-0B, -12, A, A ; 15475
+ SUB *AR5-0B, -12, A, B ; 15476
+ SUB *AR5-0B, -12, A ; 15477
+ SUB *AR5-0B, -12, B, A ; 15478
+ SUB *AR5-0B, -12, B, B ; 15479
+ SUB *AR5-0B, -12, B ; 15480
+ SUB *AR5-0B, -11, A, A ; 15481
+ SUB *AR5-0B, -11, A, B ; 15482
+ SUB *AR5-0B, -11, A ; 15483
+ SUB *AR5-0B, -11, B, A ; 15484
+ SUB *AR5-0B, -11, B, B ; 15485
+ SUB *AR5-0B, -11, B ; 15486
+ SUB *AR5-0B, -10, A, A ; 15487
+ SUB *AR5-0B, -10, A, B ; 15488
+ SUB *AR5-0B, -10, A ; 15489
+ SUB *AR5-0B, -10, B, A ; 15490
+ SUB *AR5-0B, -10, B, B ; 15491
+ SUB *AR5-0B, -10, B ; 15492
+ SUB *AR5-0B, -9, A, A ; 15493
+ SUB *AR5-0B, -9, A, B ; 15494
+ SUB *AR5-0B, -9, A ; 15495
+ SUB *AR5-0B, -9, B, A ; 15496
+ SUB *AR5-0B, -9, B, B ; 15497
+ SUB *AR5-0B, -9, B ; 15498
+ SUB *AR5-0B, -8, A, A ; 15499
+ SUB *AR5-0B, -8, A, B ; 15500
+ SUB *AR5-0B, -8, A ; 15501
+ SUB *AR5-0B, -8, B, A ; 15502
+ SUB *AR5-0B, -8, B, B ; 15503
+ SUB *AR5-0B, -8, B ; 15504
+ SUB *AR5-0B, -7, A, A ; 15505
+ SUB *AR5-0B, -7, A, B ; 15506
+ SUB *AR5-0B, -7, A ; 15507
+ SUB *AR5-0B, -7, B, A ; 15508
+ SUB *AR5-0B, -7, B, B ; 15509
+ SUB *AR5-0B, -7, B ; 15510
+ SUB *AR5-0B, -6, A, A ; 15511
+ SUB *AR5-0B, -6, A, B ; 15512
+ SUB *AR5-0B, -6, A ; 15513
+ SUB *AR5-0B, -6, B, A ; 15514
+ SUB *AR5-0B, -6, B, B ; 15515
+ SUB *AR5-0B, -6, B ; 15516
+ SUB *AR5-0B, -5, A, A ; 15517
+ SUB *AR5-0B, -5, A, B ; 15518
+ SUB *AR5-0B, -5, A ; 15519
+ SUB *AR5-0B, -5, B, A ; 15520
+ SUB *AR5-0B, -5, B, B ; 15521
+ SUB *AR5-0B, -5, B ; 15522
+ SUB *AR5-0B, -4, A, A ; 15523
+ SUB *AR5-0B, -4, A, B ; 15524
+ SUB *AR5-0B, -4, A ; 15525
+ SUB *AR5-0B, -4, B, A ; 15526
+ SUB *AR5-0B, -4, B, B ; 15527
+ SUB *AR5-0B, -4, B ; 15528
+ SUB *AR5-0B, -3, A, A ; 15529
+ SUB *AR5-0B, -3, A, B ; 15530
+ SUB *AR5-0B, -3, A ; 15531
+ SUB *AR5-0B, -3, B, A ; 15532
+ SUB *AR5-0B, -3, B, B ; 15533
+ SUB *AR5-0B, -3, B ; 15534
+ SUB *AR5-0B, -2, A, A ; 15535
+ SUB *AR5-0B, -2, A, B ; 15536
+ SUB *AR5-0B, -2, A ; 15537
+ SUB *AR5-0B, -2, B, A ; 15538
+ SUB *AR5-0B, -2, B, B ; 15539
+ SUB *AR5-0B, -2, B ; 15540
+ SUB *AR5-0B, -1, A, A ; 15541
+ SUB *AR5-0B, -1, A, B ; 15542
+ SUB *AR5-0B, -1, A ; 15543
+ SUB *AR5-0B, -1, B, A ; 15544
+ SUB *AR5-0B, -1, B, B ; 15545
+ SUB *AR5-0B, -1, B ; 15546
+ SUB *AR5-0B, 0, A, A ; 15547
+ SUB *AR5-0B, 0, A, B ; 15548
+ SUB *AR5-0B, 0, A ; 15549
+ SUB *AR5-0B, 0, B, A ; 15550
+ SUB *AR5-0B, 0, B, B ; 15551
+ SUB *AR5-0B, 0, B ; 15552
+ SUB *AR5-0B, 1, A, A ; 15553
+ SUB *AR5-0B, 1, A, B ; 15554
+ SUB *AR5-0B, 1, A ; 15555
+ SUB *AR5-0B, 1, B, A ; 15556
+ SUB *AR5-0B, 1, B, B ; 15557
+ SUB *AR5-0B, 1, B ; 15558
+ SUB *AR5-0B, 2, A, A ; 15559
+ SUB *AR5-0B, 2, A, B ; 15560
+ SUB *AR5-0B, 2, A ; 15561
+ SUB *AR5-0B, 2, B, A ; 15562
+ SUB *AR5-0B, 2, B, B ; 15563
+ SUB *AR5-0B, 2, B ; 15564
+ SUB *AR5-0B, 3, A, A ; 15565
+ SUB *AR5-0B, 3, A, B ; 15566
+ SUB *AR5-0B, 3, A ; 15567
+ SUB *AR5-0B, 3, B, A ; 15568
+ SUB *AR5-0B, 3, B, B ; 15569
+ SUB *AR5-0B, 3, B ; 15570
+ SUB *AR5-0B, 4, A, A ; 15571
+ SUB *AR5-0B, 4, A, B ; 15572
+ SUB *AR5-0B, 4, A ; 15573
+ SUB *AR5-0B, 4, B, A ; 15574
+ SUB *AR5-0B, 4, B, B ; 15575
+ SUB *AR5-0B, 4, B ; 15576
+ SUB *AR5-0B, 5, A, A ; 15577
+ SUB *AR5-0B, 5, A, B ; 15578
+ SUB *AR5-0B, 5, A ; 15579
+ SUB *AR5-0B, 5, B, A ; 15580
+ SUB *AR5-0B, 5, B, B ; 15581
+ SUB *AR5-0B, 5, B ; 15582
+ SUB *AR5-0B, 6, A, A ; 15583
+ SUB *AR5-0B, 6, A, B ; 15584
+ SUB *AR5-0B, 6, A ; 15585
+ SUB *AR5-0B, 6, B, A ; 15586
+ SUB *AR5-0B, 6, B, B ; 15587
+ SUB *AR5-0B, 6, B ; 15588
+ SUB *AR5-0B, 7, A, A ; 15589
+ SUB *AR5-0B, 7, A, B ; 15590
+ SUB *AR5-0B, 7, A ; 15591
+ SUB *AR5-0B, 7, B, A ; 15592
+ SUB *AR5-0B, 7, B, B ; 15593
+ SUB *AR5-0B, 7, B ; 15594
+ SUB *AR5-0B, 8, A, A ; 15595
+ SUB *AR5-0B, 8, A, B ; 15596
+ SUB *AR5-0B, 8, A ; 15597
+ SUB *AR5-0B, 8, B, A ; 15598
+ SUB *AR5-0B, 8, B, B ; 15599
+ SUB *AR5-0B, 8, B ; 15600
+ SUB *AR5-0B, 9, A, A ; 15601
+ SUB *AR5-0B, 9, A, B ; 15602
+ SUB *AR5-0B, 9, A ; 15603
+ SUB *AR5-0B, 9, B, A ; 15604
+ SUB *AR5-0B, 9, B, B ; 15605
+ SUB *AR5-0B, 9, B ; 15606
+ SUB *AR5-0B, 10, A, A ; 15607
+ SUB *AR5-0B, 10, A, B ; 15608
+ SUB *AR5-0B, 10, A ; 15609
+ SUB *AR5-0B, 10, B, A ; 15610
+ SUB *AR5-0B, 10, B, B ; 15611
+ SUB *AR5-0B, 10, B ; 15612
+ SUB *AR5-0B, 11, A, A ; 15613
+ SUB *AR5-0B, 11, A, B ; 15614
+ SUB *AR5-0B, 11, A ; 15615
+ SUB *AR5-0B, 11, B, A ; 15616
+ SUB *AR5-0B, 11, B, B ; 15617
+ SUB *AR5-0B, 11, B ; 15618
+ SUB *AR5-0B, 12, A, A ; 15619
+ SUB *AR5-0B, 12, A, B ; 15620
+ SUB *AR5-0B, 12, A ; 15621
+ SUB *AR5-0B, 12, B, A ; 15622
+ SUB *AR5-0B, 12, B, B ; 15623
+ SUB *AR5-0B, 12, B ; 15624
+ SUB *AR5-0B, 13, A, A ; 15625
+ SUB *AR5-0B, 13, A, B ; 15626
+ SUB *AR5-0B, 13, A ; 15627
+ SUB *AR5-0B, 13, B, A ; 15628
+ SUB *AR5-0B, 13, B, B ; 15629
+ SUB *AR5-0B, 13, B ; 15630
+ SUB *AR5-0B, 14, A, A ; 15631
+ SUB *AR5-0B, 14, A, B ; 15632
+ SUB *AR5-0B, 14, A ; 15633
+ SUB *AR5-0B, 14, B, A ; 15634
+ SUB *AR5-0B, 14, B, B ; 15635
+ SUB *AR5-0B, 14, B ; 15636
+ SUB *AR5-0B, 15, A, A ; 15637
+ SUB *AR5-0B, 15, A, B ; 15638
+ SUB *AR5-0B, 15, A ; 15639
+ SUB *AR5-0B, 15, B, A ; 15640
+ SUB *AR5-0B, 15, B, B ; 15641
+ SUB *AR5-0B, 15, B ; 15642
+ SUB *AR5-0, A, A ; 15643
+ SUB *AR5-0, A, B ; 15644
+ SUB *AR5-0, A ; 15645
+ SUB *AR5-0, B, A ; 15646
+ SUB *AR5-0, B, B ; 15647
+ SUB *AR5-0, B ; 15648
+ SUB *AR5-0, -16, A, A ; 15649
+ SUB *AR5-0, -16, A, B ; 15650
+ SUB *AR5-0, -16, A ; 15651
+ SUB *AR5-0, -16, B, A ; 15652
+ SUB *AR5-0, -16, B, B ; 15653
+ SUB *AR5-0, -16, B ; 15654
+ SUB *AR5-0, -15, A, A ; 15655
+ SUB *AR5-0, -15, A, B ; 15656
+ SUB *AR5-0, -15, A ; 15657
+ SUB *AR5-0, -15, B, A ; 15658
+ SUB *AR5-0, -15, B, B ; 15659
+ SUB *AR5-0, -15, B ; 15660
+ SUB *AR5-0, -14, A, A ; 15661
+ SUB *AR5-0, -14, A, B ; 15662
+ SUB *AR5-0, -14, A ; 15663
+ SUB *AR5-0, -14, B, A ; 15664
+ SUB *AR5-0, -14, B, B ; 15665
+ SUB *AR5-0, -14, B ; 15666
+ SUB *AR5-0, -13, A, A ; 15667
+ SUB *AR5-0, -13, A, B ; 15668
+ SUB *AR5-0, -13, A ; 15669
+ SUB *AR5-0, -13, B, A ; 15670
+ SUB *AR5-0, -13, B, B ; 15671
+ SUB *AR5-0, -13, B ; 15672
+ SUB *AR5-0, -12, A, A ; 15673
+ SUB *AR5-0, -12, A, B ; 15674
+ SUB *AR5-0, -12, A ; 15675
+ SUB *AR5-0, -12, B, A ; 15676
+ SUB *AR5-0, -12, B, B ; 15677
+ SUB *AR5-0, -12, B ; 15678
+ SUB *AR5-0, -11, A, A ; 15679
+ SUB *AR5-0, -11, A, B ; 15680
+ SUB *AR5-0, -11, A ; 15681
+ SUB *AR5-0, -11, B, A ; 15682
+ SUB *AR5-0, -11, B, B ; 15683
+ SUB *AR5-0, -11, B ; 15684
+ SUB *AR5-0, -10, A, A ; 15685
+ SUB *AR5-0, -10, A, B ; 15686
+ SUB *AR5-0, -10, A ; 15687
+ SUB *AR5-0, -10, B, A ; 15688
+ SUB *AR5-0, -10, B, B ; 15689
+ SUB *AR5-0, -10, B ; 15690
+ SUB *AR5-0, -9, A, A ; 15691
+ SUB *AR5-0, -9, A, B ; 15692
+ SUB *AR5-0, -9, A ; 15693
+ SUB *AR5-0, -9, B, A ; 15694
+ SUB *AR5-0, -9, B, B ; 15695
+ SUB *AR5-0, -9, B ; 15696
+ SUB *AR5-0, -8, A, A ; 15697
+ SUB *AR5-0, -8, A, B ; 15698
+ SUB *AR5-0, -8, A ; 15699
+ SUB *AR5-0, -8, B, A ; 15700
+ SUB *AR5-0, -8, B, B ; 15701
+ SUB *AR5-0, -8, B ; 15702
+ SUB *AR5-0, -7, A, A ; 15703
+ SUB *AR5-0, -7, A, B ; 15704
+ SUB *AR5-0, -7, A ; 15705
+ SUB *AR5-0, -7, B, A ; 15706
+ SUB *AR5-0, -7, B, B ; 15707
+ SUB *AR5-0, -7, B ; 15708
+ SUB *AR5-0, -6, A, A ; 15709
+ SUB *AR5-0, -6, A, B ; 15710
+ SUB *AR5-0, -6, A ; 15711
+ SUB *AR5-0, -6, B, A ; 15712
+ SUB *AR5-0, -6, B, B ; 15713
+ SUB *AR5-0, -6, B ; 15714
+ SUB *AR5-0, -5, A, A ; 15715
+ SUB *AR5-0, -5, A, B ; 15716
+ SUB *AR5-0, -5, A ; 15717
+ SUB *AR5-0, -5, B, A ; 15718
+ SUB *AR5-0, -5, B, B ; 15719
+ SUB *AR5-0, -5, B ; 15720
+ SUB *AR5-0, -4, A, A ; 15721
+ SUB *AR5-0, -4, A, B ; 15722
+ SUB *AR5-0, -4, A ; 15723
+ SUB *AR5-0, -4, B, A ; 15724
+ SUB *AR5-0, -4, B, B ; 15725
+ SUB *AR5-0, -4, B ; 15726
+ SUB *AR5-0, -3, A, A ; 15727
+ SUB *AR5-0, -3, A, B ; 15728
+ SUB *AR5-0, -3, A ; 15729
+ SUB *AR5-0, -3, B, A ; 15730
+ SUB *AR5-0, -3, B, B ; 15731
+ SUB *AR5-0, -3, B ; 15732
+ SUB *AR5-0, -2, A, A ; 15733
+ SUB *AR5-0, -2, A, B ; 15734
+ SUB *AR5-0, -2, A ; 15735
+ SUB *AR5-0, -2, B, A ; 15736
+ SUB *AR5-0, -2, B, B ; 15737
+ SUB *AR5-0, -2, B ; 15738
+ SUB *AR5-0, -1, A, A ; 15739
+ SUB *AR5-0, -1, A, B ; 15740
+ SUB *AR5-0, -1, A ; 15741
+ SUB *AR5-0, -1, B, A ; 15742
+ SUB *AR5-0, -1, B, B ; 15743
+ SUB *AR5-0, -1, B ; 15744
+ SUB *AR5-0, 0, A, A ; 15745
+ SUB *AR5-0, 0, A, B ; 15746
+ SUB *AR5-0, 0, A ; 15747
+ SUB *AR5-0, 0, B, A ; 15748
+ SUB *AR5-0, 0, B, B ; 15749
+ SUB *AR5-0, 0, B ; 15750
+ SUB *AR5-0, 1, A, A ; 15751
+ SUB *AR5-0, 1, A, B ; 15752
+ SUB *AR5-0, 1, A ; 15753
+ SUB *AR5-0, 1, B, A ; 15754
+ SUB *AR5-0, 1, B, B ; 15755
+ SUB *AR5-0, 1, B ; 15756
+ SUB *AR5-0, 2, A, A ; 15757
+ SUB *AR5-0, 2, A, B ; 15758
+ SUB *AR5-0, 2, A ; 15759
+ SUB *AR5-0, 2, B, A ; 15760
+ SUB *AR5-0, 2, B, B ; 15761
+ SUB *AR5-0, 2, B ; 15762
+ SUB *AR5-0, 3, A, A ; 15763
+ SUB *AR5-0, 3, A, B ; 15764
+ SUB *AR5-0, 3, A ; 15765
+ SUB *AR5-0, 3, B, A ; 15766
+ SUB *AR5-0, 3, B, B ; 15767
+ SUB *AR5-0, 3, B ; 15768
+ SUB *AR5-0, 4, A, A ; 15769
+ SUB *AR5-0, 4, A, B ; 15770
+ SUB *AR5-0, 4, A ; 15771
+ SUB *AR5-0, 4, B, A ; 15772
+ SUB *AR5-0, 4, B, B ; 15773
+ SUB *AR5-0, 4, B ; 15774
+ SUB *AR5-0, 5, A, A ; 15775
+ SUB *AR5-0, 5, A, B ; 15776
+ SUB *AR5-0, 5, A ; 15777
+ SUB *AR5-0, 5, B, A ; 15778
+ SUB *AR5-0, 5, B, B ; 15779
+ SUB *AR5-0, 5, B ; 15780
+ SUB *AR5-0, 6, A, A ; 15781
+ SUB *AR5-0, 6, A, B ; 15782
+ SUB *AR5-0, 6, A ; 15783
+ SUB *AR5-0, 6, B, A ; 15784
+ SUB *AR5-0, 6, B, B ; 15785
+ SUB *AR5-0, 6, B ; 15786
+ SUB *AR5-0, 7, A, A ; 15787
+ SUB *AR5-0, 7, A, B ; 15788
+ SUB *AR5-0, 7, A ; 15789
+ SUB *AR5-0, 7, B, A ; 15790
+ SUB *AR5-0, 7, B, B ; 15791
+ SUB *AR5-0, 7, B ; 15792
+ SUB *AR5-0, 8, A, A ; 15793
+ SUB *AR5-0, 8, A, B ; 15794
+ SUB *AR5-0, 8, A ; 15795
+ SUB *AR5-0, 8, B, A ; 15796
+ SUB *AR5-0, 8, B, B ; 15797
+ SUB *AR5-0, 8, B ; 15798
+ SUB *AR5-0, 9, A, A ; 15799
+ SUB *AR5-0, 9, A, B ; 15800
+ SUB *AR5-0, 9, A ; 15801
+ SUB *AR5-0, 9, B, A ; 15802
+ SUB *AR5-0, 9, B, B ; 15803
+ SUB *AR5-0, 9, B ; 15804
+ SUB *AR5-0, 10, A, A ; 15805
+ SUB *AR5-0, 10, A, B ; 15806
+ SUB *AR5-0, 10, A ; 15807
+ SUB *AR5-0, 10, B, A ; 15808
+ SUB *AR5-0, 10, B, B ; 15809
+ SUB *AR5-0, 10, B ; 15810
+ SUB *AR5-0, 11, A, A ; 15811
+ SUB *AR5-0, 11, A, B ; 15812
+ SUB *AR5-0, 11, A ; 15813
+ SUB *AR5-0, 11, B, A ; 15814
+ SUB *AR5-0, 11, B, B ; 15815
+ SUB *AR5-0, 11, B ; 15816
+ SUB *AR5-0, 12, A, A ; 15817
+ SUB *AR5-0, 12, A, B ; 15818
+ SUB *AR5-0, 12, A ; 15819
+ SUB *AR5-0, 12, B, A ; 15820
+ SUB *AR5-0, 12, B, B ; 15821
+ SUB *AR5-0, 12, B ; 15822
+ SUB *AR5-0, 13, A, A ; 15823
+ SUB *AR5-0, 13, A, B ; 15824
+ SUB *AR5-0, 13, A ; 15825
+ SUB *AR5-0, 13, B, A ; 15826
+ SUB *AR5-0, 13, B, B ; 15827
+ SUB *AR5-0, 13, B ; 15828
+ SUB *AR5-0, 14, A, A ; 15829
+ SUB *AR5-0, 14, A, B ; 15830
+ SUB *AR5-0, 14, A ; 15831
+ SUB *AR5-0, 14, B, A ; 15832
+ SUB *AR5-0, 14, B, B ; 15833
+ SUB *AR5-0, 14, B ; 15834
+ SUB *AR5-0, 15, A, A ; 15835
+ SUB *AR5-0, 15, A, B ; 15836
+ SUB *AR5-0, 15, A ; 15837
+ SUB *AR5-0, 15, B, A ; 15838
+ SUB *AR5-0, 15, B, B ; 15839
+ SUB *AR5-0, 15, B ; 15840
+ SUB *AR5+0, A, A ; 15841
+ SUB *AR5+0, A, B ; 15842
+ SUB *AR5+0, A ; 15843
+ SUB *AR5+0, B, A ; 15844
+ SUB *AR5+0, B, B ; 15845
+ SUB *AR5+0, B ; 15846
+ SUB *AR5+0, -16, A, A ; 15847
+ SUB *AR5+0, -16, A, B ; 15848
+ SUB *AR5+0, -16, A ; 15849
+ SUB *AR5+0, -16, B, A ; 15850
+ SUB *AR5+0, -16, B, B ; 15851
+ SUB *AR5+0, -16, B ; 15852
+ SUB *AR5+0, -15, A, A ; 15853
+ SUB *AR5+0, -15, A, B ; 15854
+ SUB *AR5+0, -15, A ; 15855
+ SUB *AR5+0, -15, B, A ; 15856
+ SUB *AR5+0, -15, B, B ; 15857
+ SUB *AR5+0, -15, B ; 15858
+ SUB *AR5+0, -14, A, A ; 15859
+ SUB *AR5+0, -14, A, B ; 15860
+ SUB *AR5+0, -14, A ; 15861
+ SUB *AR5+0, -14, B, A ; 15862
+ SUB *AR5+0, -14, B, B ; 15863
+ SUB *AR5+0, -14, B ; 15864
+ SUB *AR5+0, -13, A, A ; 15865
+ SUB *AR5+0, -13, A, B ; 15866
+ SUB *AR5+0, -13, A ; 15867
+ SUB *AR5+0, -13, B, A ; 15868
+ SUB *AR5+0, -13, B, B ; 15869
+ SUB *AR5+0, -13, B ; 15870
+ SUB *AR5+0, -12, A, A ; 15871
+ SUB *AR5+0, -12, A, B ; 15872
+ SUB *AR5+0, -12, A ; 15873
+ SUB *AR5+0, -12, B, A ; 15874
+ SUB *AR5+0, -12, B, B ; 15875
+ SUB *AR5+0, -12, B ; 15876
+ SUB *AR5+0, -11, A, A ; 15877
+ SUB *AR5+0, -11, A, B ; 15878
+ SUB *AR5+0, -11, A ; 15879
+ SUB *AR5+0, -11, B, A ; 15880
+ SUB *AR5+0, -11, B, B ; 15881
+ SUB *AR5+0, -11, B ; 15882
+ SUB *AR5+0, -10, A, A ; 15883
+ SUB *AR5+0, -10, A, B ; 15884
+ SUB *AR5+0, -10, A ; 15885
+ SUB *AR5+0, -10, B, A ; 15886
+ SUB *AR5+0, -10, B, B ; 15887
+ SUB *AR5+0, -10, B ; 15888
+ SUB *AR5+0, -9, A, A ; 15889
+ SUB *AR5+0, -9, A, B ; 15890
+ SUB *AR5+0, -9, A ; 15891
+ SUB *AR5+0, -9, B, A ; 15892
+ SUB *AR5+0, -9, B, B ; 15893
+ SUB *AR5+0, -9, B ; 15894
+ SUB *AR5+0, -8, A, A ; 15895
+ SUB *AR5+0, -8, A, B ; 15896
+ SUB *AR5+0, -8, A ; 15897
+ SUB *AR5+0, -8, B, A ; 15898
+ SUB *AR5+0, -8, B, B ; 15899
+ SUB *AR5+0, -8, B ; 15900
+ SUB *AR5+0, -7, A, A ; 15901
+ SUB *AR5+0, -7, A, B ; 15902
+ SUB *AR5+0, -7, A ; 15903
+ SUB *AR5+0, -7, B, A ; 15904
+ SUB *AR5+0, -7, B, B ; 15905
+ SUB *AR5+0, -7, B ; 15906
+ SUB *AR5+0, -6, A, A ; 15907
+ SUB *AR5+0, -6, A, B ; 15908
+ SUB *AR5+0, -6, A ; 15909
+ SUB *AR5+0, -6, B, A ; 15910
+ SUB *AR5+0, -6, B, B ; 15911
+ SUB *AR5+0, -6, B ; 15912
+ SUB *AR5+0, -5, A, A ; 15913
+ SUB *AR5+0, -5, A, B ; 15914
+ SUB *AR5+0, -5, A ; 15915
+ SUB *AR5+0, -5, B, A ; 15916
+ SUB *AR5+0, -5, B, B ; 15917
+ SUB *AR5+0, -5, B ; 15918
+ SUB *AR5+0, -4, A, A ; 15919
+ SUB *AR5+0, -4, A, B ; 15920
+ SUB *AR5+0, -4, A ; 15921
+ SUB *AR5+0, -4, B, A ; 15922
+ SUB *AR5+0, -4, B, B ; 15923
+ SUB *AR5+0, -4, B ; 15924
+ SUB *AR5+0, -3, A, A ; 15925
+ SUB *AR5+0, -3, A, B ; 15926
+ SUB *AR5+0, -3, A ; 15927
+ SUB *AR5+0, -3, B, A ; 15928
+ SUB *AR5+0, -3, B, B ; 15929
+ SUB *AR5+0, -3, B ; 15930
+ SUB *AR5+0, -2, A, A ; 15931
+ SUB *AR5+0, -2, A, B ; 15932
+ SUB *AR5+0, -2, A ; 15933
+ SUB *AR5+0, -2, B, A ; 15934
+ SUB *AR5+0, -2, B, B ; 15935
+ SUB *AR5+0, -2, B ; 15936
+ SUB *AR5+0, -1, A, A ; 15937
+ SUB *AR5+0, -1, A, B ; 15938
+ SUB *AR5+0, -1, A ; 15939
+ SUB *AR5+0, -1, B, A ; 15940
+ SUB *AR5+0, -1, B, B ; 15941
+ SUB *AR5+0, -1, B ; 15942
+ SUB *AR5+0, 0, A, A ; 15943
+ SUB *AR5+0, 0, A, B ; 15944
+ SUB *AR5+0, 0, A ; 15945
+ SUB *AR5+0, 0, B, A ; 15946
+ SUB *AR5+0, 0, B, B ; 15947
+ SUB *AR5+0, 0, B ; 15948
+ SUB *AR5+0, 1, A, A ; 15949
+ SUB *AR5+0, 1, A, B ; 15950
+ SUB *AR5+0, 1, A ; 15951
+ SUB *AR5+0, 1, B, A ; 15952
+ SUB *AR5+0, 1, B, B ; 15953
+ SUB *AR5+0, 1, B ; 15954
+ SUB *AR5+0, 2, A, A ; 15955
+ SUB *AR5+0, 2, A, B ; 15956
+ SUB *AR5+0, 2, A ; 15957
+ SUB *AR5+0, 2, B, A ; 15958
+ SUB *AR5+0, 2, B, B ; 15959
+ SUB *AR5+0, 2, B ; 15960
+ SUB *AR5+0, 3, A, A ; 15961
+ SUB *AR5+0, 3, A, B ; 15962
+ SUB *AR5+0, 3, A ; 15963
+ SUB *AR5+0, 3, B, A ; 15964
+ SUB *AR5+0, 3, B, B ; 15965
+ SUB *AR5+0, 3, B ; 15966
+ SUB *AR5+0, 4, A, A ; 15967
+ SUB *AR5+0, 4, A, B ; 15968
+ SUB *AR5+0, 4, A ; 15969
+ SUB *AR5+0, 4, B, A ; 15970
+ SUB *AR5+0, 4, B, B ; 15971
+ SUB *AR5+0, 4, B ; 15972
+ SUB *AR5+0, 5, A, A ; 15973
+ SUB *AR5+0, 5, A, B ; 15974
+ SUB *AR5+0, 5, A ; 15975
+ SUB *AR5+0, 5, B, A ; 15976
+ SUB *AR5+0, 5, B, B ; 15977
+ SUB *AR5+0, 5, B ; 15978
+ SUB *AR5+0, 6, A, A ; 15979
+ SUB *AR5+0, 6, A, B ; 15980
+ SUB *AR5+0, 6, A ; 15981
+ SUB *AR5+0, 6, B, A ; 15982
+ SUB *AR5+0, 6, B, B ; 15983
+ SUB *AR5+0, 6, B ; 15984
+ SUB *AR5+0, 7, A, A ; 15985
+ SUB *AR5+0, 7, A, B ; 15986
+ SUB *AR5+0, 7, A ; 15987
+ SUB *AR5+0, 7, B, A ; 15988
+ SUB *AR5+0, 7, B, B ; 15989
+ SUB *AR5+0, 7, B ; 15990
+ SUB *AR5+0, 8, A, A ; 15991
+ SUB *AR5+0, 8, A, B ; 15992
+ SUB *AR5+0, 8, A ; 15993
+ SUB *AR5+0, 8, B, A ; 15994
+ SUB *AR5+0, 8, B, B ; 15995
+ SUB *AR5+0, 8, B ; 15996
+ SUB *AR5+0, 9, A, A ; 15997
+ SUB *AR5+0, 9, A, B ; 15998
+ SUB *AR5+0, 9, A ; 15999
+ SUB *AR5+0, 9, B, A ; 16000
+ SUB *AR5+0, 9, B, B ; 16001
+ SUB *AR5+0, 9, B ; 16002
+ SUB *AR5+0, 10, A, A ; 16003
+ SUB *AR5+0, 10, A, B ; 16004
+ SUB *AR5+0, 10, A ; 16005
+ SUB *AR5+0, 10, B, A ; 16006
+ SUB *AR5+0, 10, B, B ; 16007
+ SUB *AR5+0, 10, B ; 16008
+ SUB *AR5+0, 11, A, A ; 16009
+ SUB *AR5+0, 11, A, B ; 16010
+ SUB *AR5+0, 11, A ; 16011
+ SUB *AR5+0, 11, B, A ; 16012
+ SUB *AR5+0, 11, B, B ; 16013
+ SUB *AR5+0, 11, B ; 16014
+ SUB *AR5+0, 12, A, A ; 16015
+ SUB *AR5+0, 12, A, B ; 16016
+ SUB *AR5+0, 12, A ; 16017
+ SUB *AR5+0, 12, B, A ; 16018
+ SUB *AR5+0, 12, B, B ; 16019
+ SUB *AR5+0, 12, B ; 16020
+ SUB *AR5+0, 13, A, A ; 16021
+ SUB *AR5+0, 13, A, B ; 16022
+ SUB *AR5+0, 13, A ; 16023
+ SUB *AR5+0, 13, B, A ; 16024
+ SUB *AR5+0, 13, B, B ; 16025
+ SUB *AR5+0, 13, B ; 16026
+ SUB *AR5+0, 14, A, A ; 16027
+ SUB *AR5+0, 14, A, B ; 16028
+ SUB *AR5+0, 14, A ; 16029
+ SUB *AR5+0, 14, B, A ; 16030
+ SUB *AR5+0, 14, B, B ; 16031
+ SUB *AR5+0, 14, B ; 16032
+ SUB *AR5+0, 15, A, A ; 16033
+ SUB *AR5+0, 15, A, B ; 16034
+ SUB *AR5+0, 15, A ; 16035
+ SUB *AR5+0, 15, B, A ; 16036
+ SUB *AR5+0, 15, B, B ; 16037
+ SUB *AR5+0, 15, B ; 16038
+ SUB *AR5+0B, A, A ; 16039
+ SUB *AR5+0B, A, B ; 16040
+ SUB *AR5+0B, A ; 16041
+ SUB *AR5+0B, B, A ; 16042
+ SUB *AR5+0B, B, B ; 16043
+ SUB *AR5+0B, B ; 16044
+ SUB *AR5+0B, -16, A, A ; 16045
+ SUB *AR5+0B, -16, A, B ; 16046
+ SUB *AR5+0B, -16, A ; 16047
+ SUB *AR5+0B, -16, B, A ; 16048
+ SUB *AR5+0B, -16, B, B ; 16049
+ SUB *AR5+0B, -16, B ; 16050
+ SUB *AR5+0B, -15, A, A ; 16051
+ SUB *AR5+0B, -15, A, B ; 16052
+ SUB *AR5+0B, -15, A ; 16053
+ SUB *AR5+0B, -15, B, A ; 16054
+ SUB *AR5+0B, -15, B, B ; 16055
+ SUB *AR5+0B, -15, B ; 16056
+ SUB *AR5+0B, -14, A, A ; 16057
+ SUB *AR5+0B, -14, A, B ; 16058
+ SUB *AR5+0B, -14, A ; 16059
+ SUB *AR5+0B, -14, B, A ; 16060
+ SUB *AR5+0B, -14, B, B ; 16061
+ SUB *AR5+0B, -14, B ; 16062
+ SUB *AR5+0B, -13, A, A ; 16063
+ SUB *AR5+0B, -13, A, B ; 16064
+ SUB *AR5+0B, -13, A ; 16065
+ SUB *AR5+0B, -13, B, A ; 16066
+ SUB *AR5+0B, -13, B, B ; 16067
+ SUB *AR5+0B, -13, B ; 16068
+ SUB *AR5+0B, -12, A, A ; 16069
+ SUB *AR5+0B, -12, A, B ; 16070
+ SUB *AR5+0B, -12, A ; 16071
+ SUB *AR5+0B, -12, B, A ; 16072
+ SUB *AR5+0B, -12, B, B ; 16073
+ SUB *AR5+0B, -12, B ; 16074
+ SUB *AR5+0B, -11, A, A ; 16075
+ SUB *AR5+0B, -11, A, B ; 16076
+ SUB *AR5+0B, -11, A ; 16077
+ SUB *AR5+0B, -11, B, A ; 16078
+ SUB *AR5+0B, -11, B, B ; 16079
+ SUB *AR5+0B, -11, B ; 16080
+ SUB *AR5+0B, -10, A, A ; 16081
+ SUB *AR5+0B, -10, A, B ; 16082
+ SUB *AR5+0B, -10, A ; 16083
+ SUB *AR5+0B, -10, B, A ; 16084
+ SUB *AR5+0B, -10, B, B ; 16085
+ SUB *AR5+0B, -10, B ; 16086
+ SUB *AR5+0B, -9, A, A ; 16087
+ SUB *AR5+0B, -9, A, B ; 16088
+ SUB *AR5+0B, -9, A ; 16089
+ SUB *AR5+0B, -9, B, A ; 16090
+ SUB *AR5+0B, -9, B, B ; 16091
+ SUB *AR5+0B, -9, B ; 16092
+ SUB *AR5+0B, -8, A, A ; 16093
+ SUB *AR5+0B, -8, A, B ; 16094
+ SUB *AR5+0B, -8, A ; 16095
+ SUB *AR5+0B, -8, B, A ; 16096
+ SUB *AR5+0B, -8, B, B ; 16097
+ SUB *AR5+0B, -8, B ; 16098
+ SUB *AR5+0B, -7, A, A ; 16099
+ SUB *AR5+0B, -7, A, B ; 16100
+ SUB *AR5+0B, -7, A ; 16101
+ SUB *AR5+0B, -7, B, A ; 16102
+ SUB *AR5+0B, -7, B, B ; 16103
+ SUB *AR5+0B, -7, B ; 16104
+ SUB *AR5+0B, -6, A, A ; 16105
+ SUB *AR5+0B, -6, A, B ; 16106
+ SUB *AR5+0B, -6, A ; 16107
+ SUB *AR5+0B, -6, B, A ; 16108
+ SUB *AR5+0B, -6, B, B ; 16109
+ SUB *AR5+0B, -6, B ; 16110
+ SUB *AR5+0B, -5, A, A ; 16111
+ SUB *AR5+0B, -5, A, B ; 16112
+ SUB *AR5+0B, -5, A ; 16113
+ SUB *AR5+0B, -5, B, A ; 16114
+ SUB *AR5+0B, -5, B, B ; 16115
+ SUB *AR5+0B, -5, B ; 16116
+ SUB *AR5+0B, -4, A, A ; 16117
+ SUB *AR5+0B, -4, A, B ; 16118
+ SUB *AR5+0B, -4, A ; 16119
+ SUB *AR5+0B, -4, B, A ; 16120
+ SUB *AR5+0B, -4, B, B ; 16121
+ SUB *AR5+0B, -4, B ; 16122
+ SUB *AR5+0B, -3, A, A ; 16123
+ SUB *AR5+0B, -3, A, B ; 16124
+ SUB *AR5+0B, -3, A ; 16125
+ SUB *AR5+0B, -3, B, A ; 16126
+ SUB *AR5+0B, -3, B, B ; 16127
+ SUB *AR5+0B, -3, B ; 16128
+ SUB *AR5+0B, -2, A, A ; 16129
+ SUB *AR5+0B, -2, A, B ; 16130
+ SUB *AR5+0B, -2, A ; 16131
+ SUB *AR5+0B, -2, B, A ; 16132
+ SUB *AR5+0B, -2, B, B ; 16133
+ SUB *AR5+0B, -2, B ; 16134
+ SUB *AR5+0B, -1, A, A ; 16135
+ SUB *AR5+0B, -1, A, B ; 16136
+ SUB *AR5+0B, -1, A ; 16137
+ SUB *AR5+0B, -1, B, A ; 16138
+ SUB *AR5+0B, -1, B, B ; 16139
+ SUB *AR5+0B, -1, B ; 16140
+ SUB *AR5+0B, 0, A, A ; 16141
+ SUB *AR5+0B, 0, A, B ; 16142
+ SUB *AR5+0B, 0, A ; 16143
+ SUB *AR5+0B, 0, B, A ; 16144
+ SUB *AR5+0B, 0, B, B ; 16145
+ SUB *AR5+0B, 0, B ; 16146
+ SUB *AR5+0B, 1, A, A ; 16147
+ SUB *AR5+0B, 1, A, B ; 16148
+ SUB *AR5+0B, 1, A ; 16149
+ SUB *AR5+0B, 1, B, A ; 16150
+ SUB *AR5+0B, 1, B, B ; 16151
+ SUB *AR5+0B, 1, B ; 16152
+ SUB *AR5+0B, 2, A, A ; 16153
+ SUB *AR5+0B, 2, A, B ; 16154
+ SUB *AR5+0B, 2, A ; 16155
+ SUB *AR5+0B, 2, B, A ; 16156
+ SUB *AR5+0B, 2, B, B ; 16157
+ SUB *AR5+0B, 2, B ; 16158
+ SUB *AR5+0B, 3, A, A ; 16159
+ SUB *AR5+0B, 3, A, B ; 16160
+ SUB *AR5+0B, 3, A ; 16161
+ SUB *AR5+0B, 3, B, A ; 16162
+ SUB *AR5+0B, 3, B, B ; 16163
+ SUB *AR5+0B, 3, B ; 16164
+ SUB *AR5+0B, 4, A, A ; 16165
+ SUB *AR5+0B, 4, A, B ; 16166
+ SUB *AR5+0B, 4, A ; 16167
+ SUB *AR5+0B, 4, B, A ; 16168
+ SUB *AR5+0B, 4, B, B ; 16169
+ SUB *AR5+0B, 4, B ; 16170
+ SUB *AR5+0B, 5, A, A ; 16171
+ SUB *AR5+0B, 5, A, B ; 16172
+ SUB *AR5+0B, 5, A ; 16173
+ SUB *AR5+0B, 5, B, A ; 16174
+ SUB *AR5+0B, 5, B, B ; 16175
+ SUB *AR5+0B, 5, B ; 16176
+ SUB *AR5+0B, 6, A, A ; 16177
+ SUB *AR5+0B, 6, A, B ; 16178
+ SUB *AR5+0B, 6, A ; 16179
+ SUB *AR5+0B, 6, B, A ; 16180
+ SUB *AR5+0B, 6, B, B ; 16181
+ SUB *AR5+0B, 6, B ; 16182
+ SUB *AR5+0B, 7, A, A ; 16183
+ SUB *AR5+0B, 7, A, B ; 16184
+ SUB *AR5+0B, 7, A ; 16185
+ SUB *AR5+0B, 7, B, A ; 16186
+ SUB *AR5+0B, 7, B, B ; 16187
+ SUB *AR5+0B, 7, B ; 16188
+ SUB *AR5+0B, 8, A, A ; 16189
+ SUB *AR5+0B, 8, A, B ; 16190
+ SUB *AR5+0B, 8, A ; 16191
+ SUB *AR5+0B, 8, B, A ; 16192
+ SUB *AR5+0B, 8, B, B ; 16193
+ SUB *AR5+0B, 8, B ; 16194
+ SUB *AR5+0B, 9, A, A ; 16195
+ SUB *AR5+0B, 9, A, B ; 16196
+ SUB *AR5+0B, 9, A ; 16197
+ SUB *AR5+0B, 9, B, A ; 16198
+ SUB *AR5+0B, 9, B, B ; 16199
+ SUB *AR5+0B, 9, B ; 16200
+ SUB *AR5+0B, 10, A, A ; 16201
+ SUB *AR5+0B, 10, A, B ; 16202
+ SUB *AR5+0B, 10, A ; 16203
+ SUB *AR5+0B, 10, B, A ; 16204
+ SUB *AR5+0B, 10, B, B ; 16205
+ SUB *AR5+0B, 10, B ; 16206
+ SUB *AR5+0B, 11, A, A ; 16207
+ SUB *AR5+0B, 11, A, B ; 16208
+ SUB *AR5+0B, 11, A ; 16209
+ SUB *AR5+0B, 11, B, A ; 16210
+ SUB *AR5+0B, 11, B, B ; 16211
+ SUB *AR5+0B, 11, B ; 16212
+ SUB *AR5+0B, 12, A, A ; 16213
+ SUB *AR5+0B, 12, A, B ; 16214
+ SUB *AR5+0B, 12, A ; 16215
+ SUB *AR5+0B, 12, B, A ; 16216
+ SUB *AR5+0B, 12, B, B ; 16217
+ SUB *AR5+0B, 12, B ; 16218
+ SUB *AR5+0B, 13, A, A ; 16219
+ SUB *AR5+0B, 13, A, B ; 16220
+ SUB *AR5+0B, 13, A ; 16221
+ SUB *AR5+0B, 13, B, A ; 16222
+ SUB *AR5+0B, 13, B, B ; 16223
+ SUB *AR5+0B, 13, B ; 16224
+ SUB *AR5+0B, 14, A, A ; 16225
+ SUB *AR5+0B, 14, A, B ; 16226
+ SUB *AR5+0B, 14, A ; 16227
+ SUB *AR5+0B, 14, B, A ; 16228
+ SUB *AR5+0B, 14, B, B ; 16229
+ SUB *AR5+0B, 14, B ; 16230
+ SUB *AR5+0B, 15, A, A ; 16231
+ SUB *AR5+0B, 15, A, B ; 16232
+ SUB *AR5+0B, 15, A ; 16233
+ SUB *AR5+0B, 15, B, A ; 16234
+ SUB *AR5+0B, 15, B, B ; 16235
+ SUB *AR5+0B, 15, B ; 16236
+ SUB *AR5-%, A, A ; 16237
+ SUB *AR5-%, A, B ; 16238
+ SUB *AR5-%, A ; 16239
+ SUB *AR5-%, B, A ; 16240
+ SUB *AR5-%, B, B ; 16241
+ SUB *AR5-%, B ; 16242
+ SUB *AR5-%, -16, A, A ; 16243
+ SUB *AR5-%, -16, A, B ; 16244
+ SUB *AR5-%, -16, A ; 16245
+ SUB *AR5-%, -16, B, A ; 16246
+ SUB *AR5-%, -16, B, B ; 16247
+ SUB *AR5-%, -16, B ; 16248
+ SUB *AR5-%, -15, A, A ; 16249
+ SUB *AR5-%, -15, A, B ; 16250
+ SUB *AR5-%, -15, A ; 16251
+ SUB *AR5-%, -15, B, A ; 16252
+ SUB *AR5-%, -15, B, B ; 16253
+ SUB *AR5-%, -15, B ; 16254
+ SUB *AR5-%, -14, A, A ; 16255
+ SUB *AR5-%, -14, A, B ; 16256
+ SUB *AR5-%, -14, A ; 16257
+ SUB *AR5-%, -14, B, A ; 16258
+ SUB *AR5-%, -14, B, B ; 16259
+ SUB *AR5-%, -14, B ; 16260
+ SUB *AR5-%, -13, A, A ; 16261
+ SUB *AR5-%, -13, A, B ; 16262
+ SUB *AR5-%, -13, A ; 16263
+ SUB *AR5-%, -13, B, A ; 16264
+ SUB *AR5-%, -13, B, B ; 16265
+ SUB *AR5-%, -13, B ; 16266
+ SUB *AR5-%, -12, A, A ; 16267
+ SUB *AR5-%, -12, A, B ; 16268
+ SUB *AR5-%, -12, A ; 16269
+ SUB *AR5-%, -12, B, A ; 16270
+ SUB *AR5-%, -12, B, B ; 16271
+ SUB *AR5-%, -12, B ; 16272
+ SUB *AR5-%, -11, A, A ; 16273
+ SUB *AR5-%, -11, A, B ; 16274
+ SUB *AR5-%, -11, A ; 16275
+ SUB *AR5-%, -11, B, A ; 16276
+ SUB *AR5-%, -11, B, B ; 16277
+ SUB *AR5-%, -11, B ; 16278
+ SUB *AR5-%, -10, A, A ; 16279
+ SUB *AR5-%, -10, A, B ; 16280
+ SUB *AR5-%, -10, A ; 16281
+ SUB *AR5-%, -10, B, A ; 16282
+ SUB *AR5-%, -10, B, B ; 16283
+ SUB *AR5-%, -10, B ; 16284
+ SUB *AR5-%, -9, A, A ; 16285
+ SUB *AR5-%, -9, A, B ; 16286
+ SUB *AR5-%, -9, A ; 16287
+ SUB *AR5-%, -9, B, A ; 16288
+ SUB *AR5-%, -9, B, B ; 16289
+ SUB *AR5-%, -9, B ; 16290
+ SUB *AR5-%, -8, A, A ; 16291
+ SUB *AR5-%, -8, A, B ; 16292
+ SUB *AR5-%, -8, A ; 16293
+ SUB *AR5-%, -8, B, A ; 16294
+ SUB *AR5-%, -8, B, B ; 16295
+ SUB *AR5-%, -8, B ; 16296
+ SUB *AR5-%, -7, A, A ; 16297
+ SUB *AR5-%, -7, A, B ; 16298
+ SUB *AR5-%, -7, A ; 16299
+ SUB *AR5-%, -7, B, A ; 16300
+ SUB *AR5-%, -7, B, B ; 16301
+ SUB *AR5-%, -7, B ; 16302
+ SUB *AR5-%, -6, A, A ; 16303
+ SUB *AR5-%, -6, A, B ; 16304
+ SUB *AR5-%, -6, A ; 16305
+ SUB *AR5-%, -6, B, A ; 16306
+ SUB *AR5-%, -6, B, B ; 16307
+ SUB *AR5-%, -6, B ; 16308
+ SUB *AR5-%, -5, A, A ; 16309
+ SUB *AR5-%, -5, A, B ; 16310
+ SUB *AR5-%, -5, A ; 16311
+ SUB *AR5-%, -5, B, A ; 16312
+ SUB *AR5-%, -5, B, B ; 16313
+ SUB *AR5-%, -5, B ; 16314
+ SUB *AR5-%, -4, A, A ; 16315
+ SUB *AR5-%, -4, A, B ; 16316
+ SUB *AR5-%, -4, A ; 16317
+ SUB *AR5-%, -4, B, A ; 16318
+ SUB *AR5-%, -4, B, B ; 16319
+ SUB *AR5-%, -4, B ; 16320
+ SUB *AR5-%, -3, A, A ; 16321
+ SUB *AR5-%, -3, A, B ; 16322
+ SUB *AR5-%, -3, A ; 16323
+ SUB *AR5-%, -3, B, A ; 16324
+ SUB *AR5-%, -3, B, B ; 16325
+ SUB *AR5-%, -3, B ; 16326
+ SUB *AR5-%, -2, A, A ; 16327
+ SUB *AR5-%, -2, A, B ; 16328
+ SUB *AR5-%, -2, A ; 16329
+ SUB *AR5-%, -2, B, A ; 16330
+ SUB *AR5-%, -2, B, B ; 16331
+ SUB *AR5-%, -2, B ; 16332
+ SUB *AR5-%, -1, A, A ; 16333
+ SUB *AR5-%, -1, A, B ; 16334
+ SUB *AR5-%, -1, A ; 16335
+ SUB *AR5-%, -1, B, A ; 16336
+ SUB *AR5-%, -1, B, B ; 16337
+ SUB *AR5-%, -1, B ; 16338
+ SUB *AR5-%, 0, A, A ; 16339
+ SUB *AR5-%, 0, A, B ; 16340
+ SUB *AR5-%, 0, A ; 16341
+ SUB *AR5-%, 0, B, A ; 16342
+ SUB *AR5-%, 0, B, B ; 16343
+ SUB *AR5-%, 0, B ; 16344
+ SUB *AR5-%, 1, A, A ; 16345
+ SUB *AR5-%, 1, A, B ; 16346
+ SUB *AR5-%, 1, A ; 16347
+ SUB *AR5-%, 1, B, A ; 16348
+ SUB *AR5-%, 1, B, B ; 16349
+ SUB *AR5-%, 1, B ; 16350
+ SUB *AR5-%, 2, A, A ; 16351
+ SUB *AR5-%, 2, A, B ; 16352
+ SUB *AR5-%, 2, A ; 16353
+ SUB *AR5-%, 2, B, A ; 16354
+ SUB *AR5-%, 2, B, B ; 16355
+ SUB *AR5-%, 2, B ; 16356
+ SUB *AR5-%, 3, A, A ; 16357
+ SUB *AR5-%, 3, A, B ; 16358
+ SUB *AR5-%, 3, A ; 16359
+ SUB *AR5-%, 3, B, A ; 16360
+ SUB *AR5-%, 3, B, B ; 16361
+ SUB *AR5-%, 3, B ; 16362
+ SUB *AR5-%, 4, A, A ; 16363
+ SUB *AR5-%, 4, A, B ; 16364
+ SUB *AR5-%, 4, A ; 16365
+ SUB *AR5-%, 4, B, A ; 16366
+ SUB *AR5-%, 4, B, B ; 16367
+ SUB *AR5-%, 4, B ; 16368
+ SUB *AR5-%, 5, A, A ; 16369
+ SUB *AR5-%, 5, A, B ; 16370
+ SUB *AR5-%, 5, A ; 16371
+ SUB *AR5-%, 5, B, A ; 16372
+ SUB *AR5-%, 5, B, B ; 16373
+ SUB *AR5-%, 5, B ; 16374
+ SUB *AR5-%, 6, A, A ; 16375
+ SUB *AR5-%, 6, A, B ; 16376
+ SUB *AR5-%, 6, A ; 16377
+ SUB *AR5-%, 6, B, A ; 16378
+ SUB *AR5-%, 6, B, B ; 16379
+ SUB *AR5-%, 6, B ; 16380
+ SUB *AR5-%, 7, A, A ; 16381
+ SUB *AR5-%, 7, A, B ; 16382
+ SUB *AR5-%, 7, A ; 16383
+ SUB *AR5-%, 7, B, A ; 16384
+ SUB *AR5-%, 7, B, B ; 16385
+ SUB *AR5-%, 7, B ; 16386
+ SUB *AR5-%, 8, A, A ; 16387
+ SUB *AR5-%, 8, A, B ; 16388
+ SUB *AR5-%, 8, A ; 16389
+ SUB *AR5-%, 8, B, A ; 16390
+ SUB *AR5-%, 8, B, B ; 16391
+ SUB *AR5-%, 8, B ; 16392
+ SUB *AR5-%, 9, A, A ; 16393
+ SUB *AR5-%, 9, A, B ; 16394
+ SUB *AR5-%, 9, A ; 16395
+ SUB *AR5-%, 9, B, A ; 16396
+ SUB *AR5-%, 9, B, B ; 16397
+ SUB *AR5-%, 9, B ; 16398
+ SUB *AR5-%, 10, A, A ; 16399
+ SUB *AR5-%, 10, A, B ; 16400
+ SUB *AR5-%, 10, A ; 16401
+ SUB *AR5-%, 10, B, A ; 16402
+ SUB *AR5-%, 10, B, B ; 16403
+ SUB *AR5-%, 10, B ; 16404
+ SUB *AR5-%, 11, A, A ; 16405
+ SUB *AR5-%, 11, A, B ; 16406
+ SUB *AR5-%, 11, A ; 16407
+ SUB *AR5-%, 11, B, A ; 16408
+ SUB *AR5-%, 11, B, B ; 16409
+ SUB *AR5-%, 11, B ; 16410
+ SUB *AR5-%, 12, A, A ; 16411
+ SUB *AR5-%, 12, A, B ; 16412
+ SUB *AR5-%, 12, A ; 16413
+ SUB *AR5-%, 12, B, A ; 16414
+ SUB *AR5-%, 12, B, B ; 16415
+ SUB *AR5-%, 12, B ; 16416
+ SUB *AR5-%, 13, A, A ; 16417
+ SUB *AR5-%, 13, A, B ; 16418
+ SUB *AR5-%, 13, A ; 16419
+ SUB *AR5-%, 13, B, A ; 16420
+ SUB *AR5-%, 13, B, B ; 16421
+ SUB *AR5-%, 13, B ; 16422
+ SUB *AR5-%, 14, A, A ; 16423
+ SUB *AR5-%, 14, A, B ; 16424
+ SUB *AR5-%, 14, A ; 16425
+ SUB *AR5-%, 14, B, A ; 16426
+ SUB *AR5-%, 14, B, B ; 16427
+ SUB *AR5-%, 14, B ; 16428
+ SUB *AR5-%, 15, A, A ; 16429
+ SUB *AR5-%, 15, A, B ; 16430
+ SUB *AR5-%, 15, A ; 16431
+ SUB *AR5-%, 15, B, A ; 16432
+ SUB *AR5-%, 15, B, B ; 16433
+ SUB *AR5-%, 15, B ; 16434
+ SUB *AR5-0%, A, A ; 16435
+ SUB *AR5-0%, A, B ; 16436
+ SUB *AR5-0%, A ; 16437
+ SUB *AR5-0%, B, A ; 16438
+ SUB *AR5-0%, B, B ; 16439
+ SUB *AR5-0%, B ; 16440
+ SUB *AR5-0%, -16, A, A ; 16441
+ SUB *AR5-0%, -16, A, B ; 16442
+ SUB *AR5-0%, -16, A ; 16443
+ SUB *AR5-0%, -16, B, A ; 16444
+ SUB *AR5-0%, -16, B, B ; 16445
+ SUB *AR5-0%, -16, B ; 16446
+ SUB *AR5-0%, -15, A, A ; 16447
+ SUB *AR5-0%, -15, A, B ; 16448
+ SUB *AR5-0%, -15, A ; 16449
+ SUB *AR5-0%, -15, B, A ; 16450
+ SUB *AR5-0%, -15, B, B ; 16451
+ SUB *AR5-0%, -15, B ; 16452
+ SUB *AR5-0%, -14, A, A ; 16453
+ SUB *AR5-0%, -14, A, B ; 16454
+ SUB *AR5-0%, -14, A ; 16455
+ SUB *AR5-0%, -14, B, A ; 16456
+ SUB *AR5-0%, -14, B, B ; 16457
+ SUB *AR5-0%, -14, B ; 16458
+ SUB *AR5-0%, -13, A, A ; 16459
+ SUB *AR5-0%, -13, A, B ; 16460
+ SUB *AR5-0%, -13, A ; 16461
+ SUB *AR5-0%, -13, B, A ; 16462
+ SUB *AR5-0%, -13, B, B ; 16463
+ SUB *AR5-0%, -13, B ; 16464
+ SUB *AR5-0%, -12, A, A ; 16465
+ SUB *AR5-0%, -12, A, B ; 16466
+ SUB *AR5-0%, -12, A ; 16467
+ SUB *AR5-0%, -12, B, A ; 16468
+ SUB *AR5-0%, -12, B, B ; 16469
+ SUB *AR5-0%, -12, B ; 16470
+ SUB *AR5-0%, -11, A, A ; 16471
+ SUB *AR5-0%, -11, A, B ; 16472
+ SUB *AR5-0%, -11, A ; 16473
+ SUB *AR5-0%, -11, B, A ; 16474
+ SUB *AR5-0%, -11, B, B ; 16475
+ SUB *AR5-0%, -11, B ; 16476
+ SUB *AR5-0%, -10, A, A ; 16477
+ SUB *AR5-0%, -10, A, B ; 16478
+ SUB *AR5-0%, -10, A ; 16479
+ SUB *AR5-0%, -10, B, A ; 16480
+ SUB *AR5-0%, -10, B, B ; 16481
+ SUB *AR5-0%, -10, B ; 16482
+ SUB *AR5-0%, -9, A, A ; 16483
+ SUB *AR5-0%, -9, A, B ; 16484
+ SUB *AR5-0%, -9, A ; 16485
+ SUB *AR5-0%, -9, B, A ; 16486
+ SUB *AR5-0%, -9, B, B ; 16487
+ SUB *AR5-0%, -9, B ; 16488
+ SUB *AR5-0%, -8, A, A ; 16489
+ SUB *AR5-0%, -8, A, B ; 16490
+ SUB *AR5-0%, -8, A ; 16491
+ SUB *AR5-0%, -8, B, A ; 16492
+ SUB *AR5-0%, -8, B, B ; 16493
+ SUB *AR5-0%, -8, B ; 16494
+ SUB *AR5-0%, -7, A, A ; 16495
+ SUB *AR5-0%, -7, A, B ; 16496
+ SUB *AR5-0%, -7, A ; 16497
+ SUB *AR5-0%, -7, B, A ; 16498
+ SUB *AR5-0%, -7, B, B ; 16499
+ SUB *AR5-0%, -7, B ; 16500
+ SUB *AR5-0%, -6, A, A ; 16501
+ SUB *AR5-0%, -6, A, B ; 16502
+ SUB *AR5-0%, -6, A ; 16503
+ SUB *AR5-0%, -6, B, A ; 16504
+ SUB *AR5-0%, -6, B, B ; 16505
+ SUB *AR5-0%, -6, B ; 16506
+ SUB *AR5-0%, -5, A, A ; 16507
+ SUB *AR5-0%, -5, A, B ; 16508
+ SUB *AR5-0%, -5, A ; 16509
+ SUB *AR5-0%, -5, B, A ; 16510
+ SUB *AR5-0%, -5, B, B ; 16511
+ SUB *AR5-0%, -5, B ; 16512
+ SUB *AR5-0%, -4, A, A ; 16513
+ SUB *AR5-0%, -4, A, B ; 16514
+ SUB *AR5-0%, -4, A ; 16515
+ SUB *AR5-0%, -4, B, A ; 16516
+ SUB *AR5-0%, -4, B, B ; 16517
+ SUB *AR5-0%, -4, B ; 16518
+ SUB *AR5-0%, -3, A, A ; 16519
+ SUB *AR5-0%, -3, A, B ; 16520
+ SUB *AR5-0%, -3, A ; 16521
+ SUB *AR5-0%, -3, B, A ; 16522
+ SUB *AR5-0%, -3, B, B ; 16523
+ SUB *AR5-0%, -3, B ; 16524
+ SUB *AR5-0%, -2, A, A ; 16525
+ SUB *AR5-0%, -2, A, B ; 16526
+ SUB *AR5-0%, -2, A ; 16527
+ SUB *AR5-0%, -2, B, A ; 16528
+ SUB *AR5-0%, -2, B, B ; 16529
+ SUB *AR5-0%, -2, B ; 16530
+ SUB *AR5-0%, -1, A, A ; 16531
+ SUB *AR5-0%, -1, A, B ; 16532
+ SUB *AR5-0%, -1, A ; 16533
+ SUB *AR5-0%, -1, B, A ; 16534
+ SUB *AR5-0%, -1, B, B ; 16535
+ SUB *AR5-0%, -1, B ; 16536
+ SUB *AR5-0%, 0, A, A ; 16537
+ SUB *AR5-0%, 0, A, B ; 16538
+ SUB *AR5-0%, 0, A ; 16539
+ SUB *AR5-0%, 0, B, A ; 16540
+ SUB *AR5-0%, 0, B, B ; 16541
+ SUB *AR5-0%, 0, B ; 16542
+ SUB *AR5-0%, 1, A, A ; 16543
+ SUB *AR5-0%, 1, A, B ; 16544
+ SUB *AR5-0%, 1, A ; 16545
+ SUB *AR5-0%, 1, B, A ; 16546
+ SUB *AR5-0%, 1, B, B ; 16547
+ SUB *AR5-0%, 1, B ; 16548
+ SUB *AR5-0%, 2, A, A ; 16549
+ SUB *AR5-0%, 2, A, B ; 16550
+ SUB *AR5-0%, 2, A ; 16551
+ SUB *AR5-0%, 2, B, A ; 16552
+ SUB *AR5-0%, 2, B, B ; 16553
+ SUB *AR5-0%, 2, B ; 16554
+ SUB *AR5-0%, 3, A, A ; 16555
+ SUB *AR5-0%, 3, A, B ; 16556
+ SUB *AR5-0%, 3, A ; 16557
+ SUB *AR5-0%, 3, B, A ; 16558
+ SUB *AR5-0%, 3, B, B ; 16559
+ SUB *AR5-0%, 3, B ; 16560
+ SUB *AR5-0%, 4, A, A ; 16561
+ SUB *AR5-0%, 4, A, B ; 16562
+ SUB *AR5-0%, 4, A ; 16563
+ SUB *AR5-0%, 4, B, A ; 16564
+ SUB *AR5-0%, 4, B, B ; 16565
+ SUB *AR5-0%, 4, B ; 16566
+ SUB *AR5-0%, 5, A, A ; 16567
+ SUB *AR5-0%, 5, A, B ; 16568
+ SUB *AR5-0%, 5, A ; 16569
+ SUB *AR5-0%, 5, B, A ; 16570
+ SUB *AR5-0%, 5, B, B ; 16571
+ SUB *AR5-0%, 5, B ; 16572
+ SUB *AR5-0%, 6, A, A ; 16573
+ SUB *AR5-0%, 6, A, B ; 16574
+ SUB *AR5-0%, 6, A ; 16575
+ SUB *AR5-0%, 6, B, A ; 16576
+ SUB *AR5-0%, 6, B, B ; 16577
+ SUB *AR5-0%, 6, B ; 16578
+ SUB *AR5-0%, 7, A, A ; 16579
+ SUB *AR5-0%, 7, A, B ; 16580
+ SUB *AR5-0%, 7, A ; 16581
+ SUB *AR5-0%, 7, B, A ; 16582
+ SUB *AR5-0%, 7, B, B ; 16583
+ SUB *AR5-0%, 7, B ; 16584
+ SUB *AR5-0%, 8, A, A ; 16585
+ SUB *AR5-0%, 8, A, B ; 16586
+ SUB *AR5-0%, 8, A ; 16587
+ SUB *AR5-0%, 8, B, A ; 16588
+ SUB *AR5-0%, 8, B, B ; 16589
+ SUB *AR5-0%, 8, B ; 16590
+ SUB *AR5-0%, 9, A, A ; 16591
+ SUB *AR5-0%, 9, A, B ; 16592
+ SUB *AR5-0%, 9, A ; 16593
+ SUB *AR5-0%, 9, B, A ; 16594
+ SUB *AR5-0%, 9, B, B ; 16595
+ SUB *AR5-0%, 9, B ; 16596
+ SUB *AR5-0%, 10, A, A ; 16597
+ SUB *AR5-0%, 10, A, B ; 16598
+ SUB *AR5-0%, 10, A ; 16599
+ SUB *AR5-0%, 10, B, A ; 16600
+ SUB *AR5-0%, 10, B, B ; 16601
+ SUB *AR5-0%, 10, B ; 16602
+ SUB *AR5-0%, 11, A, A ; 16603
+ SUB *AR5-0%, 11, A, B ; 16604
+ SUB *AR5-0%, 11, A ; 16605
+ SUB *AR5-0%, 11, B, A ; 16606
+ SUB *AR5-0%, 11, B, B ; 16607
+ SUB *AR5-0%, 11, B ; 16608
+ SUB *AR5-0%, 12, A, A ; 16609
+ SUB *AR5-0%, 12, A, B ; 16610
+ SUB *AR5-0%, 12, A ; 16611
+ SUB *AR5-0%, 12, B, A ; 16612
+ SUB *AR5-0%, 12, B, B ; 16613
+ SUB *AR5-0%, 12, B ; 16614
+ SUB *AR5-0%, 13, A, A ; 16615
+ SUB *AR5-0%, 13, A, B ; 16616
+ SUB *AR5-0%, 13, A ; 16617
+ SUB *AR5-0%, 13, B, A ; 16618
+ SUB *AR5-0%, 13, B, B ; 16619
+ SUB *AR5-0%, 13, B ; 16620
+ SUB *AR5-0%, 14, A, A ; 16621
+ SUB *AR5-0%, 14, A, B ; 16622
+ SUB *AR5-0%, 14, A ; 16623
+ SUB *AR5-0%, 14, B, A ; 16624
+ SUB *AR5-0%, 14, B, B ; 16625
+ SUB *AR5-0%, 14, B ; 16626
+ SUB *AR5-0%, 15, A, A ; 16627
+ SUB *AR5-0%, 15, A, B ; 16628
+ SUB *AR5-0%, 15, A ; 16629
+ SUB *AR5-0%, 15, B, A ; 16630
+ SUB *AR5-0%, 15, B, B ; 16631
+ SUB *AR5-0%, 15, B ; 16632
+ SUB *AR5+%, A, A ; 16633
+ SUB *AR5+%, A, B ; 16634
+ SUB *AR5+%, A ; 16635
+ SUB *AR5+%, B, A ; 16636
+ SUB *AR5+%, B, B ; 16637
+ SUB *AR5+%, B ; 16638
+ SUB *AR5+%, -16, A, A ; 16639
+ SUB *AR5+%, -16, A, B ; 16640
+ SUB *AR5+%, -16, A ; 16641
+ SUB *AR5+%, -16, B, A ; 16642
+ SUB *AR5+%, -16, B, B ; 16643
+ SUB *AR5+%, -16, B ; 16644
+ SUB *AR5+%, -15, A, A ; 16645
+ SUB *AR5+%, -15, A, B ; 16646
+ SUB *AR5+%, -15, A ; 16647
+ SUB *AR5+%, -15, B, A ; 16648
+ SUB *AR5+%, -15, B, B ; 16649
+ SUB *AR5+%, -15, B ; 16650
+ SUB *AR5+%, -14, A, A ; 16651
+ SUB *AR5+%, -14, A, B ; 16652
+ SUB *AR5+%, -14, A ; 16653
+ SUB *AR5+%, -14, B, A ; 16654
+ SUB *AR5+%, -14, B, B ; 16655
+ SUB *AR5+%, -14, B ; 16656
+ SUB *AR5+%, -13, A, A ; 16657
+ SUB *AR5+%, -13, A, B ; 16658
+ SUB *AR5+%, -13, A ; 16659
+ SUB *AR5+%, -13, B, A ; 16660
+ SUB *AR5+%, -13, B, B ; 16661
+ SUB *AR5+%, -13, B ; 16662
+ SUB *AR5+%, -12, A, A ; 16663
+ SUB *AR5+%, -12, A, B ; 16664
+ SUB *AR5+%, -12, A ; 16665
+ SUB *AR5+%, -12, B, A ; 16666
+ SUB *AR5+%, -12, B, B ; 16667
+ SUB *AR5+%, -12, B ; 16668
+ SUB *AR5+%, -11, A, A ; 16669
+ SUB *AR5+%, -11, A, B ; 16670
+ SUB *AR5+%, -11, A ; 16671
+ SUB *AR5+%, -11, B, A ; 16672
+ SUB *AR5+%, -11, B, B ; 16673
+ SUB *AR5+%, -11, B ; 16674
+ SUB *AR5+%, -10, A, A ; 16675
+ SUB *AR5+%, -10, A, B ; 16676
+ SUB *AR5+%, -10, A ; 16677
+ SUB *AR5+%, -10, B, A ; 16678
+ SUB *AR5+%, -10, B, B ; 16679
+ SUB *AR5+%, -10, B ; 16680
+ SUB *AR5+%, -9, A, A ; 16681
+ SUB *AR5+%, -9, A, B ; 16682
+ SUB *AR5+%, -9, A ; 16683
+ SUB *AR5+%, -9, B, A ; 16684
+ SUB *AR5+%, -9, B, B ; 16685
+ SUB *AR5+%, -9, B ; 16686
+ SUB *AR5+%, -8, A, A ; 16687
+ SUB *AR5+%, -8, A, B ; 16688
+ SUB *AR5+%, -8, A ; 16689
+ SUB *AR5+%, -8, B, A ; 16690
+ SUB *AR5+%, -8, B, B ; 16691
+ SUB *AR5+%, -8, B ; 16692
+ SUB *AR5+%, -7, A, A ; 16693
+ SUB *AR5+%, -7, A, B ; 16694
+ SUB *AR5+%, -7, A ; 16695
+ SUB *AR5+%, -7, B, A ; 16696
+ SUB *AR5+%, -7, B, B ; 16697
+ SUB *AR5+%, -7, B ; 16698
+ SUB *AR5+%, -6, A, A ; 16699
+ SUB *AR5+%, -6, A, B ; 16700
+ SUB *AR5+%, -6, A ; 16701
+ SUB *AR5+%, -6, B, A ; 16702
+ SUB *AR5+%, -6, B, B ; 16703
+ SUB *AR5+%, -6, B ; 16704
+ SUB *AR5+%, -5, A, A ; 16705
+ SUB *AR5+%, -5, A, B ; 16706
+ SUB *AR5+%, -5, A ; 16707
+ SUB *AR5+%, -5, B, A ; 16708
+ SUB *AR5+%, -5, B, B ; 16709
+ SUB *AR5+%, -5, B ; 16710
+ SUB *AR5+%, -4, A, A ; 16711
+ SUB *AR5+%, -4, A, B ; 16712
+ SUB *AR5+%, -4, A ; 16713
+ SUB *AR5+%, -4, B, A ; 16714
+ SUB *AR5+%, -4, B, B ; 16715
+ SUB *AR5+%, -4, B ; 16716
+ SUB *AR5+%, -3, A, A ; 16717
+ SUB *AR5+%, -3, A, B ; 16718
+ SUB *AR5+%, -3, A ; 16719
+ SUB *AR5+%, -3, B, A ; 16720
+ SUB *AR5+%, -3, B, B ; 16721
+ SUB *AR5+%, -3, B ; 16722
+ SUB *AR5+%, -2, A, A ; 16723
+ SUB *AR5+%, -2, A, B ; 16724
+ SUB *AR5+%, -2, A ; 16725
+ SUB *AR5+%, -2, B, A ; 16726
+ SUB *AR5+%, -2, B, B ; 16727
+ SUB *AR5+%, -2, B ; 16728
+ SUB *AR5+%, -1, A, A ; 16729
+ SUB *AR5+%, -1, A, B ; 16730
+ SUB *AR5+%, -1, A ; 16731
+ SUB *AR5+%, -1, B, A ; 16732
+ SUB *AR5+%, -1, B, B ; 16733
+ SUB *AR5+%, -1, B ; 16734
+ SUB *AR5+%, 0, A, A ; 16735
+ SUB *AR5+%, 0, A, B ; 16736
+ SUB *AR5+%, 0, A ; 16737
+ SUB *AR5+%, 0, B, A ; 16738
+ SUB *AR5+%, 0, B, B ; 16739
+ SUB *AR5+%, 0, B ; 16740
+ SUB *AR5+%, 1, A, A ; 16741
+ SUB *AR5+%, 1, A, B ; 16742
+ SUB *AR5+%, 1, A ; 16743
+ SUB *AR5+%, 1, B, A ; 16744
+ SUB *AR5+%, 1, B, B ; 16745
+ SUB *AR5+%, 1, B ; 16746
+ SUB *AR5+%, 2, A, A ; 16747
+ SUB *AR5+%, 2, A, B ; 16748
+ SUB *AR5+%, 2, A ; 16749
+ SUB *AR5+%, 2, B, A ; 16750
+ SUB *AR5+%, 2, B, B ; 16751
+ SUB *AR5+%, 2, B ; 16752
+ SUB *AR5+%, 3, A, A ; 16753
+ SUB *AR5+%, 3, A, B ; 16754
+ SUB *AR5+%, 3, A ; 16755
+ SUB *AR5+%, 3, B, A ; 16756
+ SUB *AR5+%, 3, B, B ; 16757
+ SUB *AR5+%, 3, B ; 16758
+ SUB *AR5+%, 4, A, A ; 16759
+ SUB *AR5+%, 4, A, B ; 16760
+ SUB *AR5+%, 4, A ; 16761
+ SUB *AR5+%, 4, B, A ; 16762
+ SUB *AR5+%, 4, B, B ; 16763
+ SUB *AR5+%, 4, B ; 16764
+ SUB *AR5+%, 5, A, A ; 16765
+ SUB *AR5+%, 5, A, B ; 16766
+ SUB *AR5+%, 5, A ; 16767
+ SUB *AR5+%, 5, B, A ; 16768
+ SUB *AR5+%, 5, B, B ; 16769
+ SUB *AR5+%, 5, B ; 16770
+ SUB *AR5+%, 6, A, A ; 16771
+ SUB *AR5+%, 6, A, B ; 16772
+ SUB *AR5+%, 6, A ; 16773
+ SUB *AR5+%, 6, B, A ; 16774
+ SUB *AR5+%, 6, B, B ; 16775
+ SUB *AR5+%, 6, B ; 16776
+ SUB *AR5+%, 7, A, A ; 16777
+ SUB *AR5+%, 7, A, B ; 16778
+ SUB *AR5+%, 7, A ; 16779
+ SUB *AR5+%, 7, B, A ; 16780
+ SUB *AR5+%, 7, B, B ; 16781
+ SUB *AR5+%, 7, B ; 16782
+ SUB *AR5+%, 8, A, A ; 16783
+ SUB *AR5+%, 8, A, B ; 16784
+ SUB *AR5+%, 8, A ; 16785
+ SUB *AR5+%, 8, B, A ; 16786
+ SUB *AR5+%, 8, B, B ; 16787
+ SUB *AR5+%, 8, B ; 16788
+ SUB *AR5+%, 9, A, A ; 16789
+ SUB *AR5+%, 9, A, B ; 16790
+ SUB *AR5+%, 9, A ; 16791
+ SUB *AR5+%, 9, B, A ; 16792
+ SUB *AR5+%, 9, B, B ; 16793
+ SUB *AR5+%, 9, B ; 16794
+ SUB *AR5+%, 10, A, A ; 16795
+ SUB *AR5+%, 10, A, B ; 16796
+ SUB *AR5+%, 10, A ; 16797
+ SUB *AR5+%, 10, B, A ; 16798
+ SUB *AR5+%, 10, B, B ; 16799
+ SUB *AR5+%, 10, B ; 16800
+ SUB *AR5+%, 11, A, A ; 16801
+ SUB *AR5+%, 11, A, B ; 16802
+ SUB *AR5+%, 11, A ; 16803
+ SUB *AR5+%, 11, B, A ; 16804
+ SUB *AR5+%, 11, B, B ; 16805
+ SUB *AR5+%, 11, B ; 16806
+ SUB *AR5+%, 12, A, A ; 16807
+ SUB *AR5+%, 12, A, B ; 16808
+ SUB *AR5+%, 12, A ; 16809
+ SUB *AR5+%, 12, B, A ; 16810
+ SUB *AR5+%, 12, B, B ; 16811
+ SUB *AR5+%, 12, B ; 16812
+ SUB *AR5+%, 13, A, A ; 16813
+ SUB *AR5+%, 13, A, B ; 16814
+ SUB *AR5+%, 13, A ; 16815
+ SUB *AR5+%, 13, B, A ; 16816
+ SUB *AR5+%, 13, B, B ; 16817
+ SUB *AR5+%, 13, B ; 16818
+ SUB *AR5+%, 14, A, A ; 16819
+ SUB *AR5+%, 14, A, B ; 16820
+ SUB *AR5+%, 14, A ; 16821
+ SUB *AR5+%, 14, B, A ; 16822
+ SUB *AR5+%, 14, B, B ; 16823
+ SUB *AR5+%, 14, B ; 16824
+ SUB *AR5+%, 15, A, A ; 16825
+ SUB *AR5+%, 15, A, B ; 16826
+ SUB *AR5+%, 15, A ; 16827
+ SUB *AR5+%, 15, B, A ; 16828
+ SUB *AR5+%, 15, B, B ; 16829
+ SUB *AR5+%, 15, B ; 16830
+ SUB *AR5+0%, A, A ; 16831
+ SUB *AR5+0%, A, B ; 16832
+ SUB *AR5+0%, A ; 16833
+ SUB *AR5+0%, B, A ; 16834
+ SUB *AR5+0%, B, B ; 16835
+ SUB *AR5+0%, B ; 16836
+ SUB *AR5+0%, -16, A, A ; 16837
+ SUB *AR5+0%, -16, A, B ; 16838
+ SUB *AR5+0%, -16, A ; 16839
+ SUB *AR5+0%, -16, B, A ; 16840
+ SUB *AR5+0%, -16, B, B ; 16841
+ SUB *AR5+0%, -16, B ; 16842
+ SUB *AR5+0%, -15, A, A ; 16843
+ SUB *AR5+0%, -15, A, B ; 16844
+ SUB *AR5+0%, -15, A ; 16845
+ SUB *AR5+0%, -15, B, A ; 16846
+ SUB *AR5+0%, -15, B, B ; 16847
+ SUB *AR5+0%, -15, B ; 16848
+ SUB *AR5+0%, -14, A, A ; 16849
+ SUB *AR5+0%, -14, A, B ; 16850
+ SUB *AR5+0%, -14, A ; 16851
+ SUB *AR5+0%, -14, B, A ; 16852
+ SUB *AR5+0%, -14, B, B ; 16853
+ SUB *AR5+0%, -14, B ; 16854
+ SUB *AR5+0%, -13, A, A ; 16855
+ SUB *AR5+0%, -13, A, B ; 16856
+ SUB *AR5+0%, -13, A ; 16857
+ SUB *AR5+0%, -13, B, A ; 16858
+ SUB *AR5+0%, -13, B, B ; 16859
+ SUB *AR5+0%, -13, B ; 16860
+ SUB *AR5+0%, -12, A, A ; 16861
+ SUB *AR5+0%, -12, A, B ; 16862
+ SUB *AR5+0%, -12, A ; 16863
+ SUB *AR5+0%, -12, B, A ; 16864
+ SUB *AR5+0%, -12, B, B ; 16865
+ SUB *AR5+0%, -12, B ; 16866
+ SUB *AR5+0%, -11, A, A ; 16867
+ SUB *AR5+0%, -11, A, B ; 16868
+ SUB *AR5+0%, -11, A ; 16869
+ SUB *AR5+0%, -11, B, A ; 16870
+ SUB *AR5+0%, -11, B, B ; 16871
+ SUB *AR5+0%, -11, B ; 16872
+ SUB *AR5+0%, -10, A, A ; 16873
+ SUB *AR5+0%, -10, A, B ; 16874
+ SUB *AR5+0%, -10, A ; 16875
+ SUB *AR5+0%, -10, B, A ; 16876
+ SUB *AR5+0%, -10, B, B ; 16877
+ SUB *AR5+0%, -10, B ; 16878
+ SUB *AR5+0%, -9, A, A ; 16879
+ SUB *AR5+0%, -9, A, B ; 16880
+ SUB *AR5+0%, -9, A ; 16881
+ SUB *AR5+0%, -9, B, A ; 16882
+ SUB *AR5+0%, -9, B, B ; 16883
+ SUB *AR5+0%, -9, B ; 16884
+ SUB *AR5+0%, -8, A, A ; 16885
+ SUB *AR5+0%, -8, A, B ; 16886
+ SUB *AR5+0%, -8, A ; 16887
+ SUB *AR5+0%, -8, B, A ; 16888
+ SUB *AR5+0%, -8, B, B ; 16889
+ SUB *AR5+0%, -8, B ; 16890
+ SUB *AR5+0%, -7, A, A ; 16891
+ SUB *AR5+0%, -7, A, B ; 16892
+ SUB *AR5+0%, -7, A ; 16893
+ SUB *AR5+0%, -7, B, A ; 16894
+ SUB *AR5+0%, -7, B, B ; 16895
+ SUB *AR5+0%, -7, B ; 16896
+ SUB *AR5+0%, -6, A, A ; 16897
+ SUB *AR5+0%, -6, A, B ; 16898
+ SUB *AR5+0%, -6, A ; 16899
+ SUB *AR5+0%, -6, B, A ; 16900
+ SUB *AR5+0%, -6, B, B ; 16901
+ SUB *AR5+0%, -6, B ; 16902
+ SUB *AR5+0%, -5, A, A ; 16903
+ SUB *AR5+0%, -5, A, B ; 16904
+ SUB *AR5+0%, -5, A ; 16905
+ SUB *AR5+0%, -5, B, A ; 16906
+ SUB *AR5+0%, -5, B, B ; 16907
+ SUB *AR5+0%, -5, B ; 16908
+ SUB *AR5+0%, -4, A, A ; 16909
+ SUB *AR5+0%, -4, A, B ; 16910
+ SUB *AR5+0%, -4, A ; 16911
+ SUB *AR5+0%, -4, B, A ; 16912
+ SUB *AR5+0%, -4, B, B ; 16913
+ SUB *AR5+0%, -4, B ; 16914
+ SUB *AR5+0%, -3, A, A ; 16915
+ SUB *AR5+0%, -3, A, B ; 16916
+ SUB *AR5+0%, -3, A ; 16917
+ SUB *AR5+0%, -3, B, A ; 16918
+ SUB *AR5+0%, -3, B, B ; 16919
+ SUB *AR5+0%, -3, B ; 16920
+ SUB *AR5+0%, -2, A, A ; 16921
+ SUB *AR5+0%, -2, A, B ; 16922
+ SUB *AR5+0%, -2, A ; 16923
+ SUB *AR5+0%, -2, B, A ; 16924
+ SUB *AR5+0%, -2, B, B ; 16925
+ SUB *AR5+0%, -2, B ; 16926
+ SUB *AR5+0%, -1, A, A ; 16927
+ SUB *AR5+0%, -1, A, B ; 16928
+ SUB *AR5+0%, -1, A ; 16929
+ SUB *AR5+0%, -1, B, A ; 16930
+ SUB *AR5+0%, -1, B, B ; 16931
+ SUB *AR5+0%, -1, B ; 16932
+ SUB *AR5+0%, 0, A, A ; 16933
+ SUB *AR5+0%, 0, A, B ; 16934
+ SUB *AR5+0%, 0, A ; 16935
+ SUB *AR5+0%, 0, B, A ; 16936
+ SUB *AR5+0%, 0, B, B ; 16937
+ SUB *AR5+0%, 0, B ; 16938
+ SUB *AR5+0%, 1, A, A ; 16939
+ SUB *AR5+0%, 1, A, B ; 16940
+ SUB *AR5+0%, 1, A ; 16941
+ SUB *AR5+0%, 1, B, A ; 16942
+ SUB *AR5+0%, 1, B, B ; 16943
+ SUB *AR5+0%, 1, B ; 16944
+ SUB *AR5+0%, 2, A, A ; 16945
+ SUB *AR5+0%, 2, A, B ; 16946
+ SUB *AR5+0%, 2, A ; 16947
+ SUB *AR5+0%, 2, B, A ; 16948
+ SUB *AR5+0%, 2, B, B ; 16949
+ SUB *AR5+0%, 2, B ; 16950
+ SUB *AR5+0%, 3, A, A ; 16951
+ SUB *AR5+0%, 3, A, B ; 16952
+ SUB *AR5+0%, 3, A ; 16953
+ SUB *AR5+0%, 3, B, A ; 16954
+ SUB *AR5+0%, 3, B, B ; 16955
+ SUB *AR5+0%, 3, B ; 16956
+ SUB *AR5+0%, 4, A, A ; 16957
+ SUB *AR5+0%, 4, A, B ; 16958
+ SUB *AR5+0%, 4, A ; 16959
+ SUB *AR5+0%, 4, B, A ; 16960
+ SUB *AR5+0%, 4, B, B ; 16961
+ SUB *AR5+0%, 4, B ; 16962
+ SUB *AR5+0%, 5, A, A ; 16963
+ SUB *AR5+0%, 5, A, B ; 16964
+ SUB *AR5+0%, 5, A ; 16965
+ SUB *AR5+0%, 5, B, A ; 16966
+ SUB *AR5+0%, 5, B, B ; 16967
+ SUB *AR5+0%, 5, B ; 16968
+ SUB *AR5+0%, 6, A, A ; 16969
+ SUB *AR5+0%, 6, A, B ; 16970
+ SUB *AR5+0%, 6, A ; 16971
+ SUB *AR5+0%, 6, B, A ; 16972
+ SUB *AR5+0%, 6, B, B ; 16973
+ SUB *AR5+0%, 6, B ; 16974
+ SUB *AR5+0%, 7, A, A ; 16975
+ SUB *AR5+0%, 7, A, B ; 16976
+ SUB *AR5+0%, 7, A ; 16977
+ SUB *AR5+0%, 7, B, A ; 16978
+ SUB *AR5+0%, 7, B, B ; 16979
+ SUB *AR5+0%, 7, B ; 16980
+ SUB *AR5+0%, 8, A, A ; 16981
+ SUB *AR5+0%, 8, A, B ; 16982
+ SUB *AR5+0%, 8, A ; 16983
+ SUB *AR5+0%, 8, B, A ; 16984
+ SUB *AR5+0%, 8, B, B ; 16985
+ SUB *AR5+0%, 8, B ; 16986
+ SUB *AR5+0%, 9, A, A ; 16987
+ SUB *AR5+0%, 9, A, B ; 16988
+ SUB *AR5+0%, 9, A ; 16989
+ SUB *AR5+0%, 9, B, A ; 16990
+ SUB *AR5+0%, 9, B, B ; 16991
+ SUB *AR5+0%, 9, B ; 16992
+ SUB *AR5+0%, 10, A, A ; 16993
+ SUB *AR5+0%, 10, A, B ; 16994
+ SUB *AR5+0%, 10, A ; 16995
+ SUB *AR5+0%, 10, B, A ; 16996
+ SUB *AR5+0%, 10, B, B ; 16997
+ SUB *AR5+0%, 10, B ; 16998
+ SUB *AR5+0%, 11, A, A ; 16999
+ SUB *AR5+0%, 11, A, B ; 17000
+ SUB *AR5+0%, 11, A ; 17001
+ SUB *AR5+0%, 11, B, A ; 17002
+ SUB *AR5+0%, 11, B, B ; 17003
+ SUB *AR5+0%, 11, B ; 17004
+ SUB *AR5+0%, 12, A, A ; 17005
+ SUB *AR5+0%, 12, A, B ; 17006
+ SUB *AR5+0%, 12, A ; 17007
+ SUB *AR5+0%, 12, B, A ; 17008
+ SUB *AR5+0%, 12, B, B ; 17009
+ SUB *AR5+0%, 12, B ; 17010
+ SUB *AR5+0%, 13, A, A ; 17011
+ SUB *AR5+0%, 13, A, B ; 17012
+ SUB *AR5+0%, 13, A ; 17013
+ SUB *AR5+0%, 13, B, A ; 17014
+ SUB *AR5+0%, 13, B, B ; 17015
+ SUB *AR5+0%, 13, B ; 17016
+ SUB *AR5+0%, 14, A, A ; 17017
+ SUB *AR5+0%, 14, A, B ; 17018
+ SUB *AR5+0%, 14, A ; 17019
+ SUB *AR5+0%, 14, B, A ; 17020
+ SUB *AR5+0%, 14, B, B ; 17021
+ SUB *AR5+0%, 14, B ; 17022
+ SUB *AR5+0%, 15, A, A ; 17023
+ SUB *AR5+0%, 15, A, B ; 17024
+ SUB *AR5+0%, 15, A ; 17025
+ SUB *AR5+0%, 15, B, A ; 17026
+ SUB *AR5+0%, 15, B, B ; 17027
+ SUB *AR5+0%, 15, B ; 17028
+ SUB *AR5(05AFEh), A, A ; 17029
+ SUB *AR5(05AFFh), A, B ; 17030
+ SUB *AR5(05B00h), A ; 17031
+ SUB *AR5(05B01h), B, A ; 17032
+ SUB *AR5(05B02h), B, B ; 17033
+ SUB *AR5(05B03h), B ; 17034
+ SUB *AR5(05B04h), -16, A, A ; 17035
+ SUB *AR5(05B05h), -16, A, B ; 17036
+ SUB *AR5(05B06h), -16, A ; 17037
+ SUB *AR5(05B07h), -16, B, A ; 17038
+ SUB *AR5(05B08h), -16, B, B ; 17039
+ SUB *AR5(05B09h), -16, B ; 17040
+ SUB *AR5(05B0Ah), -15, A, A ; 17041
+ SUB *AR5(05B0Bh), -15, A, B ; 17042
+ SUB *AR5(05B0Ch), -15, A ; 17043
+ SUB *AR5(05B0Dh), -15, B, A ; 17044
+ SUB *AR5(05B0Eh), -15, B, B ; 17045
+ SUB *AR5(05B0Fh), -15, B ; 17046
+ SUB *AR5(05B10h), -14, A, A ; 17047
+ SUB *AR5(05B11h), -14, A, B ; 17048
+ SUB *AR5(05B12h), -14, A ; 17049
+ SUB *AR5(05B13h), -14, B, A ; 17050
+ SUB *AR5(05B14h), -14, B, B ; 17051
+ SUB *AR5(05B15h), -14, B ; 17052
+ SUB *AR5(05B16h), -13, A, A ; 17053
+ SUB *AR5(05B17h), -13, A, B ; 17054
+ SUB *AR5(05B18h), -13, A ; 17055
+ SUB *AR5(05B19h), -13, B, A ; 17056
+ SUB *AR5(05B1Ah), -13, B, B ; 17057
+ SUB *AR5(05B1Bh), -13, B ; 17058
+ SUB *AR5(05B1Ch), -12, A, A ; 17059
+ SUB *AR5(05B1Dh), -12, A, B ; 17060
+ SUB *AR5(05B1Eh), -12, A ; 17061
+ SUB *AR5(05B1Fh), -12, B, A ; 17062
+ SUB *AR5(05B20h), -12, B, B ; 17063
+ SUB *AR5(05B21h), -12, B ; 17064
+ SUB *AR5(05B22h), -11, A, A ; 17065
+ SUB *AR5(05B23h), -11, A, B ; 17066
+ SUB *AR5(05B24h), -11, A ; 17067
+ SUB *AR5(05B25h), -11, B, A ; 17068
+ SUB *AR5(05B26h), -11, B, B ; 17069
+ SUB *AR5(05B27h), -11, B ; 17070
+ SUB *AR5(05B28h), -10, A, A ; 17071
+ SUB *AR5(05B29h), -10, A, B ; 17072
+ SUB *AR5(05B2Ah), -10, A ; 17073
+ SUB *AR5(05B2Bh), -10, B, A ; 17074
+ SUB *AR5(05B2Ch), -10, B, B ; 17075
+ SUB *AR5(05B2Dh), -10, B ; 17076
+ SUB *AR5(05B2Eh), -9, A, A ; 17077
+ SUB *AR5(05B2Fh), -9, A, B ; 17078
+ SUB *AR5(05B30h), -9, A ; 17079
+ SUB *AR5(05B31h), -9, B, A ; 17080
+ SUB *AR5(05B32h), -9, B, B ; 17081
+ SUB *AR5(05B33h), -9, B ; 17082
+ SUB *AR5(05B34h), -8, A, A ; 17083
+ SUB *AR5(05B35h), -8, A, B ; 17084
+ SUB *AR5(05B36h), -8, A ; 17085
+ SUB *AR5(05B37h), -8, B, A ; 17086
+ SUB *AR5(05B38h), -8, B, B ; 17087
+ SUB *AR5(05B39h), -8, B ; 17088
+ SUB *AR5(05B3Ah), -7, A, A ; 17089
+ SUB *AR5(05B3Bh), -7, A, B ; 17090
+ SUB *AR5(05B3Ch), -7, A ; 17091
+ SUB *AR5(05B3Dh), -7, B, A ; 17092
+ SUB *AR5(05B3Eh), -7, B, B ; 17093
+ SUB *AR5(05B3Fh), -7, B ; 17094
+ SUB *AR5(05B40h), -6, A, A ; 17095
+ SUB *AR5(05B41h), -6, A, B ; 17096
+ SUB *AR5(05B42h), -6, A ; 17097
+ SUB *AR5(05B43h), -6, B, A ; 17098
+ SUB *AR5(05B44h), -6, B, B ; 17099
+ SUB *AR5(05B45h), -6, B ; 17100
+ SUB *AR5(05B46h), -5, A, A ; 17101
+ SUB *AR5(05B47h), -5, A, B ; 17102
+ SUB *AR5(05B48h), -5, A ; 17103
+ SUB *AR5(05B49h), -5, B, A ; 17104
+ SUB *AR5(05B4Ah), -5, B, B ; 17105
+ SUB *AR5(05B4Bh), -5, B ; 17106
+ SUB *AR5(05B4Ch), -4, A, A ; 17107
+ SUB *AR5(05B4Dh), -4, A, B ; 17108
+ SUB *AR5(05B4Eh), -4, A ; 17109
+ SUB *AR5(05B4Fh), -4, B, A ; 17110
+ SUB *AR5(05B50h), -4, B, B ; 17111
+ SUB *AR5(05B51h), -4, B ; 17112
+ SUB *AR5(05B52h), -3, A, A ; 17113
+ SUB *AR5(05B53h), -3, A, B ; 17114
+ SUB *AR5(05B54h), -3, A ; 17115
+ SUB *AR5(05B55h), -3, B, A ; 17116
+ SUB *AR5(05B56h), -3, B, B ; 17117
+ SUB *AR5(05B57h), -3, B ; 17118
+ SUB *AR5(05B58h), -2, A, A ; 17119
+ SUB *AR5(05B59h), -2, A, B ; 17120
+ SUB *AR5(05B5Ah), -2, A ; 17121
+ SUB *AR5(05B5Bh), -2, B, A ; 17122
+ SUB *AR5(05B5Ch), -2, B, B ; 17123
+ SUB *AR5(05B5Dh), -2, B ; 17124
+ SUB *AR5(05B5Eh), -1, A, A ; 17125
+ SUB *AR5(05B5Fh), -1, A, B ; 17126
+ SUB *AR5(05B60h), -1, A ; 17127
+ SUB *AR5(05B61h), -1, B, A ; 17128
+ SUB *AR5(05B62h), -1, B, B ; 17129
+ SUB *AR5(05B63h), -1, B ; 17130
+ SUB *AR5(05B64h), 0, A, A ; 17131
+ SUB *AR5(05B65h), 0, A, B ; 17132
+ SUB *AR5(05B66h), 0, A ; 17133
+ SUB *AR5(05B67h), 0, B, A ; 17134
+ SUB *AR5(05B68h), 0, B, B ; 17135
+ SUB *AR5(05B69h), 0, B ; 17136
+ SUB *AR5(05B6Ah), 1, A, A ; 17137
+ SUB *AR5(05B6Bh), 1, A, B ; 17138
+ SUB *AR5(05B6Ch), 1, A ; 17139
+ SUB *AR5(05B6Dh), 1, B, A ; 17140
+ SUB *AR5(05B6Eh), 1, B, B ; 17141
+ SUB *AR5(05B6Fh), 1, B ; 17142
+ SUB *AR5(05B70h), 2, A, A ; 17143
+ SUB *AR5(05B71h), 2, A, B ; 17144
+ SUB *AR5(05B72h), 2, A ; 17145
+ SUB *AR5(05B73h), 2, B, A ; 17146
+ SUB *AR5(05B74h), 2, B, B ; 17147
+ SUB *AR5(05B75h), 2, B ; 17148
+ SUB *AR5(05B76h), 3, A, A ; 17149
+ SUB *AR5(05B77h), 3, A, B ; 17150
+ SUB *AR5(05B78h), 3, A ; 17151
+ SUB *AR5(05B79h), 3, B, A ; 17152
+ SUB *AR5(05B7Ah), 3, B, B ; 17153
+ SUB *AR5(05B7Bh), 3, B ; 17154
+ SUB *AR5(05B7Ch), 4, A, A ; 17155
+ SUB *AR5(05B7Dh), 4, A, B ; 17156
+ SUB *AR5(05B7Eh), 4, A ; 17157
+ SUB *AR5(05B7Fh), 4, B, A ; 17158
+ SUB *AR5(05B80h), 4, B, B ; 17159
+ SUB *AR5(05B81h), 4, B ; 17160
+ SUB *AR5(05B82h), 5, A, A ; 17161
+ SUB *AR5(05B83h), 5, A, B ; 17162
+ SUB *AR5(05B84h), 5, A ; 17163
+ SUB *AR5(05B85h), 5, B, A ; 17164
+ SUB *AR5(05B86h), 5, B, B ; 17165
+ SUB *AR5(05B87h), 5, B ; 17166
+ SUB *AR5(05B88h), 6, A, A ; 17167
+ SUB *AR5(05B89h), 6, A, B ; 17168
+ SUB *AR5(05B8Ah), 6, A ; 17169
+ SUB *AR5(05B8Bh), 6, B, A ; 17170
+ SUB *AR5(05B8Ch), 6, B, B ; 17171
+ SUB *AR5(05B8Dh), 6, B ; 17172
+ SUB *AR5(05B8Eh), 7, A, A ; 17173
+ SUB *AR5(05B8Fh), 7, A, B ; 17174
+ SUB *AR5(05B90h), 7, A ; 17175
+ SUB *AR5(05B91h), 7, B, A ; 17176
+ SUB *AR5(05B92h), 7, B, B ; 17177
+ SUB *AR5(05B93h), 7, B ; 17178
+ SUB *AR5(05B94h), 8, A, A ; 17179
+ SUB *AR5(05B95h), 8, A, B ; 17180
+ SUB *AR5(05B96h), 8, A ; 17181
+ SUB *AR5(05B97h), 8, B, A ; 17182
+ SUB *AR5(05B98h), 8, B, B ; 17183
+ SUB *AR5(05B99h), 8, B ; 17184
+ SUB *AR5(05B9Ah), 9, A, A ; 17185
+ SUB *AR5(05B9Bh), 9, A, B ; 17186
+ SUB *AR5(05B9Ch), 9, A ; 17187
+ SUB *AR5(05B9Dh), 9, B, A ; 17188
+ SUB *AR5(05B9Eh), 9, B, B ; 17189
+ SUB *AR5(05B9Fh), 9, B ; 17190
+ SUB *AR5(05BA0h), 10, A, A ; 17191
+ SUB *AR5(05BA1h), 10, A, B ; 17192
+ SUB *AR5(05BA2h), 10, A ; 17193
+ SUB *AR5(05BA3h), 10, B, A ; 17194
+ SUB *AR5(05BA4h), 10, B, B ; 17195
+ SUB *AR5(05BA5h), 10, B ; 17196
+ SUB *AR5(05BA6h), 11, A, A ; 17197
+ SUB *AR5(05BA7h), 11, A, B ; 17198
+ SUB *AR5(05BA8h), 11, A ; 17199
+ SUB *AR5(05BA9h), 11, B, A ; 17200
+ SUB *AR5(05BAAh), 11, B, B ; 17201
+ SUB *AR5(05BABh), 11, B ; 17202
+ SUB *AR5(05BACh), 12, A, A ; 17203
+ SUB *AR5(05BADh), 12, A, B ; 17204
+ SUB *AR5(05BAEh), 12, A ; 17205
+ SUB *AR5(05BAFh), 12, B, A ; 17206
+ SUB *AR5(05BB0h), 12, B, B ; 17207
+ SUB *AR5(05BB1h), 12, B ; 17208
+ SUB *AR5(05BB2h), 13, A, A ; 17209
+ SUB *AR5(05BB3h), 13, A, B ; 17210
+ SUB *AR5(05BB4h), 13, A ; 17211
+ SUB *AR5(05BB5h), 13, B, A ; 17212
+ SUB *AR5(05BB6h), 13, B, B ; 17213
+ SUB *AR5(05BB7h), 13, B ; 17214
+ SUB *AR5(05BB8h), 14, A, A ; 17215
+ SUB *AR5(05BB9h), 14, A, B ; 17216
+ SUB *AR5(05BBAh), 14, A ; 17217
+ SUB *AR5(05BBBh), 14, B, A ; 17218
+ SUB *AR5(05BBCh), 14, B, B ; 17219
+ SUB *AR5(05BBDh), 14, B ; 17220
+ SUB *AR5(05BBEh), 15, A, A ; 17221
+ SUB *AR5(05BBFh), 15, A, B ; 17222
+ SUB *AR5(05BC0h), 15, A ; 17223
+ SUB *AR5(05BC1h), 15, B, A ; 17224
+ SUB *AR5(05BC2h), 15, B, B ; 17225
+ SUB *AR5(05BC3h), 15, B ; 17226
+ SUB *+AR5(05BC4h), A, A ; 17227
+ SUB *+AR5(05BC5h), A, B ; 17228
+ SUB *+AR5(05BC6h), A ; 17229
+ SUB *+AR5(05BC7h), B, A ; 17230
+ SUB *+AR5(05BC8h), B, B ; 17231
+ SUB *+AR5(05BC9h), B ; 17232
+ SUB *+AR5(05BCAh), -16, A, A ; 17233
+ SUB *+AR5(05BCBh), -16, A, B ; 17234
+ SUB *+AR5(05BCCh), -16, A ; 17235
+ SUB *+AR5(05BCDh), -16, B, A ; 17236
+ SUB *+AR5(05BCEh), -16, B, B ; 17237
+ SUB *+AR5(05BCFh), -16, B ; 17238
+ SUB *+AR5(05BD0h), -15, A, A ; 17239
+ SUB *+AR5(05BD1h), -15, A, B ; 17240
+ SUB *+AR5(05BD2h), -15, A ; 17241
+ SUB *+AR5(05BD3h), -15, B, A ; 17242
+ SUB *+AR5(05BD4h), -15, B, B ; 17243
+ SUB *+AR5(05BD5h), -15, B ; 17244
+ SUB *+AR5(05BD6h), -14, A, A ; 17245
+ SUB *+AR5(05BD7h), -14, A, B ; 17246
+ SUB *+AR5(05BD8h), -14, A ; 17247
+ SUB *+AR5(05BD9h), -14, B, A ; 17248
+ SUB *+AR5(05BDAh), -14, B, B ; 17249
+ SUB *+AR5(05BDBh), -14, B ; 17250
+ SUB *+AR5(05BDCh), -13, A, A ; 17251
+ SUB *+AR5(05BDDh), -13, A, B ; 17252
+ SUB *+AR5(05BDEh), -13, A ; 17253
+ SUB *+AR5(05BDFh), -13, B, A ; 17254
+ SUB *+AR5(05BE0h), -13, B, B ; 17255
+ SUB *+AR5(05BE1h), -13, B ; 17256
+ SUB *+AR5(05BE2h), -12, A, A ; 17257
+ SUB *+AR5(05BE3h), -12, A, B ; 17258
+ SUB *+AR5(05BE4h), -12, A ; 17259
+ SUB *+AR5(05BE5h), -12, B, A ; 17260
+ SUB *+AR5(05BE6h), -12, B, B ; 17261
+ SUB *+AR5(05BE7h), -12, B ; 17262
+ SUB *+AR5(05BE8h), -11, A, A ; 17263
+ SUB *+AR5(05BE9h), -11, A, B ; 17264
+ SUB *+AR5(05BEAh), -11, A ; 17265
+ SUB *+AR5(05BEBh), -11, B, A ; 17266
+ SUB *+AR5(05BECh), -11, B, B ; 17267
+ SUB *+AR5(05BEDh), -11, B ; 17268
+ SUB *+AR5(05BEEh), -10, A, A ; 17269
+ SUB *+AR5(05BEFh), -10, A, B ; 17270
+ SUB *+AR5(05BF0h), -10, A ; 17271
+ SUB *+AR5(05BF1h), -10, B, A ; 17272
+ SUB *+AR5(05BF2h), -10, B, B ; 17273
+ SUB *+AR5(05BF3h), -10, B ; 17274
+ SUB *+AR5(05BF4h), -9, A, A ; 17275
+ SUB *+AR5(05BF5h), -9, A, B ; 17276
+ SUB *+AR5(05BF6h), -9, A ; 17277
+ SUB *+AR5(05BF7h), -9, B, A ; 17278
+ SUB *+AR5(05BF8h), -9, B, B ; 17279
+ SUB *+AR5(05BF9h), -9, B ; 17280
+ SUB *+AR5(05BFAh), -8, A, A ; 17281
+ SUB *+AR5(05BFBh), -8, A, B ; 17282
+ SUB *+AR5(05BFCh), -8, A ; 17283
+ SUB *+AR5(05BFDh), -8, B, A ; 17284
+ SUB *+AR5(05BFEh), -8, B, B ; 17285
+ SUB *+AR5(05BFFh), -8, B ; 17286
+ SUB *+AR5(05C00h), -7, A, A ; 17287
+ SUB *+AR5(05C01h), -7, A, B ; 17288
+ SUB *+AR5(05C02h), -7, A ; 17289
+ SUB *+AR5(05C03h), -7, B, A ; 17290
+ SUB *+AR5(05C04h), -7, B, B ; 17291
+ SUB *+AR5(05C05h), -7, B ; 17292
+ SUB *+AR5(05C06h), -6, A, A ; 17293
+ SUB *+AR5(05C07h), -6, A, B ; 17294
+ SUB *+AR5(05C08h), -6, A ; 17295
+ SUB *+AR5(05C09h), -6, B, A ; 17296
+ SUB *+AR5(05C0Ah), -6, B, B ; 17297
+ SUB *+AR5(05C0Bh), -6, B ; 17298
+ SUB *+AR5(05C0Ch), -5, A, A ; 17299
+ SUB *+AR5(05C0Dh), -5, A, B ; 17300
+ SUB *+AR5(05C0Eh), -5, A ; 17301
+ SUB *+AR5(05C0Fh), -5, B, A ; 17302
+ SUB *+AR5(05C10h), -5, B, B ; 17303
+ SUB *+AR5(05C11h), -5, B ; 17304
+ SUB *+AR5(05C12h), -4, A, A ; 17305
+ SUB *+AR5(05C13h), -4, A, B ; 17306
+ SUB *+AR5(05C14h), -4, A ; 17307
+ SUB *+AR5(05C15h), -4, B, A ; 17308
+ SUB *+AR5(05C16h), -4, B, B ; 17309
+ SUB *+AR5(05C17h), -4, B ; 17310
+ SUB *+AR5(05C18h), -3, A, A ; 17311
+ SUB *+AR5(05C19h), -3, A, B ; 17312
+ SUB *+AR5(05C1Ah), -3, A ; 17313
+ SUB *+AR5(05C1Bh), -3, B, A ; 17314
+ SUB *+AR5(05C1Ch), -3, B, B ; 17315
+ SUB *+AR5(05C1Dh), -3, B ; 17316
+ SUB *+AR5(05C1Eh), -2, A, A ; 17317
+ SUB *+AR5(05C1Fh), -2, A, B ; 17318
+ SUB *+AR5(05C20h), -2, A ; 17319
+ SUB *+AR5(05C21h), -2, B, A ; 17320
+ SUB *+AR5(05C22h), -2, B, B ; 17321
+ SUB *+AR5(05C23h), -2, B ; 17322
+ SUB *+AR5(05C24h), -1, A, A ; 17323
+ SUB *+AR5(05C25h), -1, A, B ; 17324
+ SUB *+AR5(05C26h), -1, A ; 17325
+ SUB *+AR5(05C27h), -1, B, A ; 17326
+ SUB *+AR5(05C28h), -1, B, B ; 17327
+ SUB *+AR5(05C29h), -1, B ; 17328
+ SUB *+AR5(05C2Ah), 0, A, A ; 17329
+ SUB *+AR5(05C2Bh), 0, A, B ; 17330
+ SUB *+AR5(05C2Ch), 0, A ; 17331
+ SUB *+AR5(05C2Dh), 0, B, A ; 17332
+ SUB *+AR5(05C2Eh), 0, B, B ; 17333
+ SUB *+AR5(05C2Fh), 0, B ; 17334
+ SUB *+AR5(05C30h), 1, A, A ; 17335
+ SUB *+AR5(05C31h), 1, A, B ; 17336
+ SUB *+AR5(05C32h), 1, A ; 17337
+ SUB *+AR5(05C33h), 1, B, A ; 17338
+ SUB *+AR5(05C34h), 1, B, B ; 17339
+ SUB *+AR5(05C35h), 1, B ; 17340
+ SUB *+AR5(05C36h), 2, A, A ; 17341
+ SUB *+AR5(05C37h), 2, A, B ; 17342
+ SUB *+AR5(05C38h), 2, A ; 17343
+ SUB *+AR5(05C39h), 2, B, A ; 17344
+ SUB *+AR5(05C3Ah), 2, B, B ; 17345
+ SUB *+AR5(05C3Bh), 2, B ; 17346
+ SUB *+AR5(05C3Ch), 3, A, A ; 17347
+ SUB *+AR5(05C3Dh), 3, A, B ; 17348
+ SUB *+AR5(05C3Eh), 3, A ; 17349
+ SUB *+AR5(05C3Fh), 3, B, A ; 17350
+ SUB *+AR5(05C40h), 3, B, B ; 17351
+ SUB *+AR5(05C41h), 3, B ; 17352
+ SUB *+AR5(05C42h), 4, A, A ; 17353
+ SUB *+AR5(05C43h), 4, A, B ; 17354
+ SUB *+AR5(05C44h), 4, A ; 17355
+ SUB *+AR5(05C45h), 4, B, A ; 17356
+ SUB *+AR5(05C46h), 4, B, B ; 17357
+ SUB *+AR5(05C47h), 4, B ; 17358
+ SUB *+AR5(05C48h), 5, A, A ; 17359
+ SUB *+AR5(05C49h), 5, A, B ; 17360
+ SUB *+AR5(05C4Ah), 5, A ; 17361
+ SUB *+AR5(05C4Bh), 5, B, A ; 17362
+ SUB *+AR5(05C4Ch), 5, B, B ; 17363
+ SUB *+AR5(05C4Dh), 5, B ; 17364
+ SUB *+AR5(05C4Eh), 6, A, A ; 17365
+ SUB *+AR5(05C4Fh), 6, A, B ; 17366
+ SUB *+AR5(05C50h), 6, A ; 17367
+ SUB *+AR5(05C51h), 6, B, A ; 17368
+ SUB *+AR5(05C52h), 6, B, B ; 17369
+ SUB *+AR5(05C53h), 6, B ; 17370
+ SUB *+AR5(05C54h), 7, A, A ; 17371
+ SUB *+AR5(05C55h), 7, A, B ; 17372
+ SUB *+AR5(05C56h), 7, A ; 17373
+ SUB *+AR5(05C57h), 7, B, A ; 17374
+ SUB *+AR5(05C58h), 7, B, B ; 17375
+ SUB *+AR5(05C59h), 7, B ; 17376
+ SUB *+AR5(05C5Ah), 8, A, A ; 17377
+ SUB *+AR5(05C5Bh), 8, A, B ; 17378
+ SUB *+AR5(05C5Ch), 8, A ; 17379
+ SUB *+AR5(05C5Dh), 8, B, A ; 17380
+ SUB *+AR5(05C5Eh), 8, B, B ; 17381
+ SUB *+AR5(05C5Fh), 8, B ; 17382
+ SUB *+AR5(05C60h), 9, A, A ; 17383
+ SUB *+AR5(05C61h), 9, A, B ; 17384
+ SUB *+AR5(05C62h), 9, A ; 17385
+ SUB *+AR5(05C63h), 9, B, A ; 17386
+ SUB *+AR5(05C64h), 9, B, B ; 17387
+ SUB *+AR5(05C65h), 9, B ; 17388
+ SUB *+AR5(05C66h), 10, A, A ; 17389
+ SUB *+AR5(05C67h), 10, A, B ; 17390
+ SUB *+AR5(05C68h), 10, A ; 17391
+ SUB *+AR5(05C69h), 10, B, A ; 17392
+ SUB *+AR5(05C6Ah), 10, B, B ; 17393
+ SUB *+AR5(05C6Bh), 10, B ; 17394
+ SUB *+AR5(05C6Ch), 11, A, A ; 17395
+ SUB *+AR5(05C6Dh), 11, A, B ; 17396
+ SUB *+AR5(05C6Eh), 11, A ; 17397
+ SUB *+AR5(05C6Fh), 11, B, A ; 17398
+ SUB *+AR5(05C70h), 11, B, B ; 17399
+ SUB *+AR5(05C71h), 11, B ; 17400
+ SUB *+AR5(05C72h), 12, A, A ; 17401
+ SUB *+AR5(05C73h), 12, A, B ; 17402
+ SUB *+AR5(05C74h), 12, A ; 17403
+ SUB *+AR5(05C75h), 12, B, A ; 17404
+ SUB *+AR5(05C76h), 12, B, B ; 17405
+ SUB *+AR5(05C77h), 12, B ; 17406
+ SUB *+AR5(05C78h), 13, A, A ; 17407
+ SUB *+AR5(05C79h), 13, A, B ; 17408
+ SUB *+AR5(05C7Ah), 13, A ; 17409
+ SUB *+AR5(05C7Bh), 13, B, A ; 17410
+ SUB *+AR5(05C7Ch), 13, B, B ; 17411
+ SUB *+AR5(05C7Dh), 13, B ; 17412
+ SUB *+AR5(05C7Eh), 14, A, A ; 17413
+ SUB *+AR5(05C7Fh), 14, A, B ; 17414
+ SUB *+AR5(05C80h), 14, A ; 17415
+ SUB *+AR5(05C81h), 14, B, A ; 17416
+ SUB *+AR5(05C82h), 14, B, B ; 17417
+ SUB *+AR5(05C83h), 14, B ; 17418
+ SUB *+AR5(05C84h), 15, A, A ; 17419
+ SUB *+AR5(05C85h), 15, A, B ; 17420
+ SUB *+AR5(05C86h), 15, A ; 17421
+ SUB *+AR5(05C87h), 15, B, A ; 17422
+ SUB *+AR5(05C88h), 15, B, B ; 17423
+ SUB *+AR5(05C89h), 15, B ; 17424
+ SUB *+AR5(05C8Ah)%, A, A ; 17425
+ SUB *+AR5(05C8Bh)%, A, B ; 17426
+ SUB *+AR5(05C8Ch)%, A ; 17427
+ SUB *+AR5(05C8Dh)%, B, A ; 17428
+ SUB *+AR5(05C8Eh)%, B, B ; 17429
+ SUB *+AR5(05C8Fh)%, B ; 17430
+ SUB *+AR5(05C90h)%, -16, A, A ; 17431
+ SUB *+AR5(05C91h)%, -16, A, B ; 17432
+ SUB *+AR5(05C92h)%, -16, A ; 17433
+ SUB *+AR5(05C93h)%, -16, B, A ; 17434
+ SUB *+AR5(05C94h)%, -16, B, B ; 17435
+ SUB *+AR5(05C95h)%, -16, B ; 17436
+ SUB *+AR5(05C96h)%, -15, A, A ; 17437
+ SUB *+AR5(05C97h)%, -15, A, B ; 17438
+ SUB *+AR5(05C98h)%, -15, A ; 17439
+ SUB *+AR5(05C99h)%, -15, B, A ; 17440
+ SUB *+AR5(05C9Ah)%, -15, B, B ; 17441
+ SUB *+AR5(05C9Bh)%, -15, B ; 17442
+ SUB *+AR5(05C9Ch)%, -14, A, A ; 17443
+ SUB *+AR5(05C9Dh)%, -14, A, B ; 17444
+ SUB *+AR5(05C9Eh)%, -14, A ; 17445
+ SUB *+AR5(05C9Fh)%, -14, B, A ; 17446
+ SUB *+AR5(05CA0h)%, -14, B, B ; 17447
+ SUB *+AR5(05CA1h)%, -14, B ; 17448
+ SUB *+AR5(05CA2h)%, -13, A, A ; 17449
+ SUB *+AR5(05CA3h)%, -13, A, B ; 17450
+ SUB *+AR5(05CA4h)%, -13, A ; 17451
+ SUB *+AR5(05CA5h)%, -13, B, A ; 17452
+ SUB *+AR5(05CA6h)%, -13, B, B ; 17453
+ SUB *+AR5(05CA7h)%, -13, B ; 17454
+ SUB *+AR5(05CA8h)%, -12, A, A ; 17455
+ SUB *+AR5(05CA9h)%, -12, A, B ; 17456
+ SUB *+AR5(05CAAh)%, -12, A ; 17457
+ SUB *+AR5(05CABh)%, -12, B, A ; 17458
+ SUB *+AR5(05CACh)%, -12, B, B ; 17459
+ SUB *+AR5(05CADh)%, -12, B ; 17460
+ SUB *+AR5(05CAEh)%, -11, A, A ; 17461
+ SUB *+AR5(05CAFh)%, -11, A, B ; 17462
+ SUB *+AR5(05CB0h)%, -11, A ; 17463
+ SUB *+AR5(05CB1h)%, -11, B, A ; 17464
+ SUB *+AR5(05CB2h)%, -11, B, B ; 17465
+ SUB *+AR5(05CB3h)%, -11, B ; 17466
+ SUB *+AR5(05CB4h)%, -10, A, A ; 17467
+ SUB *+AR5(05CB5h)%, -10, A, B ; 17468
+ SUB *+AR5(05CB6h)%, -10, A ; 17469
+ SUB *+AR5(05CB7h)%, -10, B, A ; 17470
+ SUB *+AR5(05CB8h)%, -10, B, B ; 17471
+ SUB *+AR5(05CB9h)%, -10, B ; 17472
+ SUB *+AR5(05CBAh)%, -9, A, A ; 17473
+ SUB *+AR5(05CBBh)%, -9, A, B ; 17474
+ SUB *+AR5(05CBCh)%, -9, A ; 17475
+ SUB *+AR5(05CBDh)%, -9, B, A ; 17476
+ SUB *+AR5(05CBEh)%, -9, B, B ; 17477
+ SUB *+AR5(05CBFh)%, -9, B ; 17478
+ SUB *+AR5(05CC0h)%, -8, A, A ; 17479
+ SUB *+AR5(05CC1h)%, -8, A, B ; 17480
+ SUB *+AR5(05CC2h)%, -8, A ; 17481
+ SUB *+AR5(05CC3h)%, -8, B, A ; 17482
+ SUB *+AR5(05CC4h)%, -8, B, B ; 17483
+ SUB *+AR5(05CC5h)%, -8, B ; 17484
+ SUB *+AR5(05CC6h)%, -7, A, A ; 17485
+ SUB *+AR5(05CC7h)%, -7, A, B ; 17486
+ SUB *+AR5(05CC8h)%, -7, A ; 17487
+ SUB *+AR5(05CC9h)%, -7, B, A ; 17488
+ SUB *+AR5(05CCAh)%, -7, B, B ; 17489
+ SUB *+AR5(05CCBh)%, -7, B ; 17490
+ SUB *+AR5(05CCCh)%, -6, A, A ; 17491
+ SUB *+AR5(05CCDh)%, -6, A, B ; 17492
+ SUB *+AR5(05CCEh)%, -6, A ; 17493
+ SUB *+AR5(05CCFh)%, -6, B, A ; 17494
+ SUB *+AR5(05CD0h)%, -6, B, B ; 17495
+ SUB *+AR5(05CD1h)%, -6, B ; 17496
+ SUB *+AR5(05CD2h)%, -5, A, A ; 17497
+ SUB *+AR5(05CD3h)%, -5, A, B ; 17498
+ SUB *+AR5(05CD4h)%, -5, A ; 17499
+ SUB *+AR5(05CD5h)%, -5, B, A ; 17500
+ SUB *+AR5(05CD6h)%, -5, B, B ; 17501
+ SUB *+AR5(05CD7h)%, -5, B ; 17502
+ SUB *+AR5(05CD8h)%, -4, A, A ; 17503
+ SUB *+AR5(05CD9h)%, -4, A, B ; 17504
+ SUB *+AR5(05CDAh)%, -4, A ; 17505
+ SUB *+AR5(05CDBh)%, -4, B, A ; 17506
+ SUB *+AR5(05CDCh)%, -4, B, B ; 17507
+ SUB *+AR5(05CDDh)%, -4, B ; 17508
+ SUB *+AR5(05CDEh)%, -3, A, A ; 17509
+ SUB *+AR5(05CDFh)%, -3, A, B ; 17510
+ SUB *+AR5(05CE0h)%, -3, A ; 17511
+ SUB *+AR5(05CE1h)%, -3, B, A ; 17512
+ SUB *+AR5(05CE2h)%, -3, B, B ; 17513
+ SUB *+AR5(05CE3h)%, -3, B ; 17514
+ SUB *+AR5(05CE4h)%, -2, A, A ; 17515
+ SUB *+AR5(05CE5h)%, -2, A, B ; 17516
+ SUB *+AR5(05CE6h)%, -2, A ; 17517
+ SUB *+AR5(05CE7h)%, -2, B, A ; 17518
+ SUB *+AR5(05CE8h)%, -2, B, B ; 17519
+ SUB *+AR5(05CE9h)%, -2, B ; 17520
+ SUB *+AR5(05CEAh)%, -1, A, A ; 17521
+ SUB *+AR5(05CEBh)%, -1, A, B ; 17522
+ SUB *+AR5(05CECh)%, -1, A ; 17523
+ SUB *+AR5(05CEDh)%, -1, B, A ; 17524
+ SUB *+AR5(05CEEh)%, -1, B, B ; 17525
+ SUB *+AR5(05CEFh)%, -1, B ; 17526
+ SUB *+AR5(05CF0h)%, 0, A, A ; 17527
+ SUB *+AR5(05CF1h)%, 0, A, B ; 17528
+ SUB *+AR5(05CF2h)%, 0, A ; 17529
+ SUB *+AR5(05CF3h)%, 0, B, A ; 17530
+ SUB *+AR5(05CF4h)%, 0, B, B ; 17531
+ SUB *+AR5(05CF5h)%, 0, B ; 17532
+ SUB *+AR5(05CF6h)%, 1, A, A ; 17533
+ SUB *+AR5(05CF7h)%, 1, A, B ; 17534
+ SUB *+AR5(05CF8h)%, 1, A ; 17535
+ SUB *+AR5(05CF9h)%, 1, B, A ; 17536
+ SUB *+AR5(05CFAh)%, 1, B, B ; 17537
+ SUB *+AR5(05CFBh)%, 1, B ; 17538
+ SUB *+AR5(05CFCh)%, 2, A, A ; 17539
+ SUB *+AR5(05CFDh)%, 2, A, B ; 17540
+ SUB *+AR5(05CFEh)%, 2, A ; 17541
+ SUB *+AR5(05CFFh)%, 2, B, A ; 17542
+ SUB *+AR5(05D00h)%, 2, B, B ; 17543
+ SUB *+AR5(05D01h)%, 2, B ; 17544
+ SUB *+AR5(05D02h)%, 3, A, A ; 17545
+ SUB *+AR5(05D03h)%, 3, A, B ; 17546
+ SUB *+AR5(05D04h)%, 3, A ; 17547
+ SUB *+AR5(05D05h)%, 3, B, A ; 17548
+ SUB *+AR5(05D06h)%, 3, B, B ; 17549
+ SUB *+AR5(05D07h)%, 3, B ; 17550
+ SUB *+AR5(05D08h)%, 4, A, A ; 17551
+ SUB *+AR5(05D09h)%, 4, A, B ; 17552
+ SUB *+AR5(05D0Ah)%, 4, A ; 17553
+ SUB *+AR5(05D0Bh)%, 4, B, A ; 17554
+ SUB *+AR5(05D0Ch)%, 4, B, B ; 17555
+ SUB *+AR5(05D0Dh)%, 4, B ; 17556
+ SUB *+AR5(05D0Eh)%, 5, A, A ; 17557
+ SUB *+AR5(05D0Fh)%, 5, A, B ; 17558
+ SUB *+AR5(05D10h)%, 5, A ; 17559
+ SUB *+AR5(05D11h)%, 5, B, A ; 17560
+ SUB *+AR5(05D12h)%, 5, B, B ; 17561
+ SUB *+AR5(05D13h)%, 5, B ; 17562
+ SUB *+AR5(05D14h)%, 6, A, A ; 17563
+ SUB *+AR5(05D15h)%, 6, A, B ; 17564
+ SUB *+AR5(05D16h)%, 6, A ; 17565
+ SUB *+AR5(05D17h)%, 6, B, A ; 17566
+ SUB *+AR5(05D18h)%, 6, B, B ; 17567
+ SUB *+AR5(05D19h)%, 6, B ; 17568
+ SUB *+AR5(05D1Ah)%, 7, A, A ; 17569
+ SUB *+AR5(05D1Bh)%, 7, A, B ; 17570
+ SUB *+AR5(05D1Ch)%, 7, A ; 17571
+ SUB *+AR5(05D1Dh)%, 7, B, A ; 17572
+ SUB *+AR5(05D1Eh)%, 7, B, B ; 17573
+ SUB *+AR5(05D1Fh)%, 7, B ; 17574
+ SUB *+AR5(05D20h)%, 8, A, A ; 17575
+ SUB *+AR5(05D21h)%, 8, A, B ; 17576
+ SUB *+AR5(05D22h)%, 8, A ; 17577
+ SUB *+AR5(05D23h)%, 8, B, A ; 17578
+ SUB *+AR5(05D24h)%, 8, B, B ; 17579
+ SUB *+AR5(05D25h)%, 8, B ; 17580
+ SUB *+AR5(05D26h)%, 9, A, A ; 17581
+ SUB *+AR5(05D27h)%, 9, A, B ; 17582
+ SUB *+AR5(05D28h)%, 9, A ; 17583
+ SUB *+AR5(05D29h)%, 9, B, A ; 17584
+ SUB *+AR5(05D2Ah)%, 9, B, B ; 17585
+ SUB *+AR5(05D2Bh)%, 9, B ; 17586
+ SUB *+AR5(05D2Ch)%, 10, A, A ; 17587
+ SUB *+AR5(05D2Dh)%, 10, A, B ; 17588
+ SUB *+AR5(05D2Eh)%, 10, A ; 17589
+ SUB *+AR5(05D2Fh)%, 10, B, A ; 17590
+ SUB *+AR5(05D30h)%, 10, B, B ; 17591
+ SUB *+AR5(05D31h)%, 10, B ; 17592
+ SUB *+AR5(05D32h)%, 11, A, A ; 17593
+ SUB *+AR5(05D33h)%, 11, A, B ; 17594
+ SUB *+AR5(05D34h)%, 11, A ; 17595
+ SUB *+AR5(05D35h)%, 11, B, A ; 17596
+ SUB *+AR5(05D36h)%, 11, B, B ; 17597
+ SUB *+AR5(05D37h)%, 11, B ; 17598
+ SUB *+AR5(05D38h)%, 12, A, A ; 17599
+ SUB *+AR5(05D39h)%, 12, A, B ; 17600
+ SUB *+AR5(05D3Ah)%, 12, A ; 17601
+ SUB *+AR5(05D3Bh)%, 12, B, A ; 17602
+ SUB *+AR5(05D3Ch)%, 12, B, B ; 17603
+ SUB *+AR5(05D3Dh)%, 12, B ; 17604
+ SUB *+AR5(05D3Eh)%, 13, A, A ; 17605
+ SUB *+AR5(05D3Fh)%, 13, A, B ; 17606
+ SUB *+AR5(05D40h)%, 13, A ; 17607
+ SUB *+AR5(05D41h)%, 13, B, A ; 17608
+ SUB *+AR5(05D42h)%, 13, B, B ; 17609
+ SUB *+AR5(05D43h)%, 13, B ; 17610
+ SUB *+AR5(05D44h)%, 14, A, A ; 17611
+ SUB *+AR5(05D45h)%, 14, A, B ; 17612
+ SUB *+AR5(05D46h)%, 14, A ; 17613
+ SUB *+AR5(05D47h)%, 14, B, A ; 17614
+ SUB *+AR5(05D48h)%, 14, B, B ; 17615
+ SUB *+AR5(05D49h)%, 14, B ; 17616
+ SUB *+AR5(05D4Ah)%, 15, A, A ; 17617
+ SUB *+AR5(05D4Bh)%, 15, A, B ; 17618
+ SUB *+AR5(05D4Ch)%, 15, A ; 17619
+ SUB *+AR5(05D4Dh)%, 15, B, A ; 17620
+ SUB *+AR5(05D4Eh)%, 15, B, B ; 17621
+ SUB *+AR5(05D4Fh)%, 15, B ; 17622
+ SUB *(05D50h), A, A ; 17623
+ SUB *(05D51h), A, B ; 17624
+ SUB *(05D52h), A ; 17625
+ SUB *(05D53h), B, A ; 17626
+ SUB *(05D54h), B, B ; 17627
+ SUB *(05D55h), B ; 17628
+ SUB *(05D56h), -16, A, A ; 17629
+ SUB *(05D57h), -16, A, B ; 17630
+ SUB *(05D58h), -16, A ; 17631
+ SUB *(05D59h), -16, B, A ; 17632
+ SUB *(05D5Ah), -16, B, B ; 17633
+ SUB *(05D5Bh), -16, B ; 17634
+ SUB *(05D5Ch), -15, A, A ; 17635
+ SUB *(05D5Dh), -15, A, B ; 17636
+ SUB *(05D5Eh), -15, A ; 17637
+ SUB *(05D5Fh), -15, B, A ; 17638
+ SUB *(05D60h), -15, B, B ; 17639
+ SUB *(05D61h), -15, B ; 17640
+ SUB *(05D62h), -14, A, A ; 17641
+ SUB *(05D63h), -14, A, B ; 17642
+ SUB *(05D64h), -14, A ; 17643
+ SUB *(05D65h), -14, B, A ; 17644
+ SUB *(05D66h), -14, B, B ; 17645
+ SUB *(05D67h), -14, B ; 17646
+ SUB *(05D68h), -13, A, A ; 17647
+ SUB *(05D69h), -13, A, B ; 17648
+ SUB *(05D6Ah), -13, A ; 17649
+ SUB *(05D6Bh), -13, B, A ; 17650
+ SUB *(05D6Ch), -13, B, B ; 17651
+ SUB *(05D6Dh), -13, B ; 17652
+ SUB *(05D6Eh), -12, A, A ; 17653
+ SUB *(05D6Fh), -12, A, B ; 17654
+ SUB *(05D70h), -12, A ; 17655
+ SUB *(05D71h), -12, B, A ; 17656
+ SUB *(05D72h), -12, B, B ; 17657
+ SUB *(05D73h), -12, B ; 17658
+ SUB *(05D74h), -11, A, A ; 17659
+ SUB *(05D75h), -11, A, B ; 17660
+ SUB *(05D76h), -11, A ; 17661
+ SUB *(05D77h), -11, B, A ; 17662
+ SUB *(05D78h), -11, B, B ; 17663
+ SUB *(05D79h), -11, B ; 17664
+ SUB *(05D7Ah), -10, A, A ; 17665
+ SUB *(05D7Bh), -10, A, B ; 17666
+ SUB *(05D7Ch), -10, A ; 17667
+ SUB *(05D7Dh), -10, B, A ; 17668
+ SUB *(05D7Eh), -10, B, B ; 17669
+ SUB *(05D7Fh), -10, B ; 17670
+ SUB *(05D80h), -9, A, A ; 17671
+ SUB *(05D81h), -9, A, B ; 17672
+ SUB *(05D82h), -9, A ; 17673
+ SUB *(05D83h), -9, B, A ; 17674
+ SUB *(05D84h), -9, B, B ; 17675
+ SUB *(05D85h), -9, B ; 17676
+ SUB *(05D86h), -8, A, A ; 17677
+ SUB *(05D87h), -8, A, B ; 17678
+ SUB *(05D88h), -8, A ; 17679
+ SUB *(05D89h), -8, B, A ; 17680
+ SUB *(05D8Ah), -8, B, B ; 17681
+ SUB *(05D8Bh), -8, B ; 17682
+ SUB *(05D8Ch), -7, A, A ; 17683
+ SUB *(05D8Dh), -7, A, B ; 17684
+ SUB *(05D8Eh), -7, A ; 17685
+ SUB *(05D8Fh), -7, B, A ; 17686
+ SUB *(05D90h), -7, B, B ; 17687
+ SUB *(05D91h), -7, B ; 17688
+ SUB *(05D92h), -6, A, A ; 17689
+ SUB *(05D93h), -6, A, B ; 17690
+ SUB *(05D94h), -6, A ; 17691
+ SUB *(05D95h), -6, B, A ; 17692
+ SUB *(05D96h), -6, B, B ; 17693
+ SUB *(05D97h), -6, B ; 17694
+ SUB *(05D98h), -5, A, A ; 17695
+ SUB *(05D99h), -5, A, B ; 17696
+ SUB *(05D9Ah), -5, A ; 17697
+ SUB *(05D9Bh), -5, B, A ; 17698
+ SUB *(05D9Ch), -5, B, B ; 17699
+ SUB *(05D9Dh), -5, B ; 17700
+ SUB *(05D9Eh), -4, A, A ; 17701
+ SUB *(05D9Fh), -4, A, B ; 17702
+ SUB *(05DA0h), -4, A ; 17703
+ SUB *(05DA1h), -4, B, A ; 17704
+ SUB *(05DA2h), -4, B, B ; 17705
+ SUB *(05DA3h), -4, B ; 17706
+ SUB *(05DA4h), -3, A, A ; 17707
+ SUB *(05DA5h), -3, A, B ; 17708
+ SUB *(05DA6h), -3, A ; 17709
+ SUB *(05DA7h), -3, B, A ; 17710
+ SUB *(05DA8h), -3, B, B ; 17711
+ SUB *(05DA9h), -3, B ; 17712
+ SUB *(05DAAh), -2, A, A ; 17713
+ SUB *(05DABh), -2, A, B ; 17714
+ SUB *(05DACh), -2, A ; 17715
+ SUB *(05DADh), -2, B, A ; 17716
+ SUB *(05DAEh), -2, B, B ; 17717
+ SUB *(05DAFh), -2, B ; 17718
+ SUB *(05DB0h), -1, A, A ; 17719
+ SUB *(05DB1h), -1, A, B ; 17720
+ SUB *(05DB2h), -1, A ; 17721
+ SUB *(05DB3h), -1, B, A ; 17722
+ SUB *(05DB4h), -1, B, B ; 17723
+ SUB *(05DB5h), -1, B ; 17724
+ SUB *(05DB6h), 0, A, A ; 17725
+ SUB *(05DB7h), 0, A, B ; 17726
+ SUB *(05DB8h), 0, A ; 17727
+ SUB *(05DB9h), 0, B, A ; 17728
+ SUB *(05DBAh), 0, B, B ; 17729
+ SUB *(05DBBh), 0, B ; 17730
+ SUB *(05DBCh), 1, A, A ; 17731
+ SUB *(05DBDh), 1, A, B ; 17732
+ SUB *(05DBEh), 1, A ; 17733
+ SUB *(05DBFh), 1, B, A ; 17734
+ SUB *(05DC0h), 1, B, B ; 17735
+ SUB *(05DC1h), 1, B ; 17736
+ SUB *(05DC2h), 2, A, A ; 17737
+ SUB *(05DC3h), 2, A, B ; 17738
+ SUB *(05DC4h), 2, A ; 17739
+ SUB *(05DC5h), 2, B, A ; 17740
+ SUB *(05DC6h), 2, B, B ; 17741
+ SUB *(05DC7h), 2, B ; 17742
+ SUB *(05DC8h), 3, A, A ; 17743
+ SUB *(05DC9h), 3, A, B ; 17744
+ SUB *(05DCAh), 3, A ; 17745
+ SUB *(05DCBh), 3, B, A ; 17746
+ SUB *(05DCCh), 3, B, B ; 17747
+ SUB *(05DCDh), 3, B ; 17748
+ SUB *(05DCEh), 4, A, A ; 17749
+ SUB *(05DCFh), 4, A, B ; 17750
+ SUB *(05DD0h), 4, A ; 17751
+ SUB *(05DD1h), 4, B, A ; 17752
+ SUB *(05DD2h), 4, B, B ; 17753
+ SUB *(05DD3h), 4, B ; 17754
+ SUB *(05DD4h), 5, A, A ; 17755
+ SUB *(05DD5h), 5, A, B ; 17756
+ SUB *(05DD6h), 5, A ; 17757
+ SUB *(05DD7h), 5, B, A ; 17758
+ SUB *(05DD8h), 5, B, B ; 17759
+ SUB *(05DD9h), 5, B ; 17760
+ SUB *(05DDAh), 6, A, A ; 17761
+ SUB *(05DDBh), 6, A, B ; 17762
+ SUB *(05DDCh), 6, A ; 17763
+ SUB *(05DDDh), 6, B, A ; 17764
+ SUB *(05DDEh), 6, B, B ; 17765
+ SUB *(05DDFh), 6, B ; 17766
+ SUB *(05DE0h), 7, A, A ; 17767
+ SUB *(05DE1h), 7, A, B ; 17768
+ SUB *(05DE2h), 7, A ; 17769
+ SUB *(05DE3h), 7, B, A ; 17770
+ SUB *(05DE4h), 7, B, B ; 17771
+ SUB *(05DE5h), 7, B ; 17772
+ SUB *(05DE6h), 8, A, A ; 17773
+ SUB *(05DE7h), 8, A, B ; 17774
+ SUB *(05DE8h), 8, A ; 17775
+ SUB *(05DE9h), 8, B, A ; 17776
+ SUB *(05DEAh), 8, B, B ; 17777
+ SUB *(05DEBh), 8, B ; 17778
+ SUB *(05DECh), 9, A, A ; 17779
+ SUB *(05DEDh), 9, A, B ; 17780
+ SUB *(05DEEh), 9, A ; 17781
+ SUB *(05DEFh), 9, B, A ; 17782
+ SUB *(05DF0h), 9, B, B ; 17783
+ SUB *(05DF1h), 9, B ; 17784
+ SUB *(05DF2h), 10, A, A ; 17785
+ SUB *(05DF3h), 10, A, B ; 17786
+ SUB *(05DF4h), 10, A ; 17787
+ SUB *(05DF5h), 10, B, A ; 17788
+ SUB *(05DF6h), 10, B, B ; 17789
+ SUB *(05DF7h), 10, B ; 17790
+ SUB *(05DF8h), 11, A, A ; 17791
+ SUB *(05DF9h), 11, A, B ; 17792
+ SUB *(05DFAh), 11, A ; 17793
+ SUB *(05DFBh), 11, B, A ; 17794
+ SUB *(05DFCh), 11, B, B ; 17795
+ SUB *(05DFDh), 11, B ; 17796
+ SUB *(05DFEh), 12, A, A ; 17797
+ SUB *(05DFFh), 12, A, B ; 17798
+ SUB *(05E00h), 12, A ; 17799
+ SUB *(05E01h), 12, B, A ; 17800
+ SUB *(05E02h), 12, B, B ; 17801
+ SUB *(05E03h), 12, B ; 17802
+ SUB *(05E04h), 13, A, A ; 17803
+ SUB *(05E05h), 13, A, B ; 17804
+ SUB *(05E06h), 13, A ; 17805
+ SUB *(05E07h), 13, B, A ; 17806
+ SUB *(05E08h), 13, B, B ; 17807
+ SUB *(05E09h), 13, B ; 17808
+ SUB *(05E0Ah), 14, A, A ; 17809
+ SUB *(05E0Bh), 14, A, B ; 17810
+ SUB *(05E0Ch), 14, A ; 17811
+ SUB *(05E0Dh), 14, B, A ; 17812
+ SUB *(05E0Eh), 14, B, B ; 17813
+ SUB *(05E0Fh), 14, B ; 17814
+ SUB *(05E10h), 15, A, A ; 17815
+ SUB *(05E11h), 15, A, B ; 17816
+ SUB *(05E12h), 15, A ; 17817
+ SUB *(05E13h), 15, B, A ; 17818
+ SUB *(05E14h), 15, B, B ; 17819
+ SUB *(05E15h), 15, B ; 17820
+ SUB *AR6, A, A ; 17821
+ SUB *AR6, A, B ; 17822
+ SUB *AR6, A ; 17823
+ SUB *AR6, B, A ; 17824
+ SUB *AR6, B, B ; 17825
+ SUB *AR6, B ; 17826
+ SUB *AR6, -16, A, A ; 17827
+ SUB *AR6, -16, A, B ; 17828
+ SUB *AR6, -16, A ; 17829
+ SUB *AR6, -16, B, A ; 17830
+ SUB *AR6, -16, B, B ; 17831
+ SUB *AR6, -16, B ; 17832
+ SUB *AR6, -15, A, A ; 17833
+ SUB *AR6, -15, A, B ; 17834
+ SUB *AR6, -15, A ; 17835
+ SUB *AR6, -15, B, A ; 17836
+ SUB *AR6, -15, B, B ; 17837
+ SUB *AR6, -15, B ; 17838
+ SUB *AR6, -14, A, A ; 17839
+ SUB *AR6, -14, A, B ; 17840
+ SUB *AR6, -14, A ; 17841
+ SUB *AR6, -14, B, A ; 17842
+ SUB *AR6, -14, B, B ; 17843
+ SUB *AR6, -14, B ; 17844
+ SUB *AR6, -13, A, A ; 17845
+ SUB *AR6, -13, A, B ; 17846
+ SUB *AR6, -13, A ; 17847
+ SUB *AR6, -13, B, A ; 17848
+ SUB *AR6, -13, B, B ; 17849
+ SUB *AR6, -13, B ; 17850
+ SUB *AR6, -12, A, A ; 17851
+ SUB *AR6, -12, A, B ; 17852
+ SUB *AR6, -12, A ; 17853
+ SUB *AR6, -12, B, A ; 17854
+ SUB *AR6, -12, B, B ; 17855
+ SUB *AR6, -12, B ; 17856
+ SUB *AR6, -11, A, A ; 17857
+ SUB *AR6, -11, A, B ; 17858
+ SUB *AR6, -11, A ; 17859
+ SUB *AR6, -11, B, A ; 17860
+ SUB *AR6, -11, B, B ; 17861
+ SUB *AR6, -11, B ; 17862
+ SUB *AR6, -10, A, A ; 17863
+ SUB *AR6, -10, A, B ; 17864
+ SUB *AR6, -10, A ; 17865
+ SUB *AR6, -10, B, A ; 17866
+ SUB *AR6, -10, B, B ; 17867
+ SUB *AR6, -10, B ; 17868
+ SUB *AR6, -9, A, A ; 17869
+ SUB *AR6, -9, A, B ; 17870
+ SUB *AR6, -9, A ; 17871
+ SUB *AR6, -9, B, A ; 17872
+ SUB *AR6, -9, B, B ; 17873
+ SUB *AR6, -9, B ; 17874
+ SUB *AR6, -8, A, A ; 17875
+ SUB *AR6, -8, A, B ; 17876
+ SUB *AR6, -8, A ; 17877
+ SUB *AR6, -8, B, A ; 17878
+ SUB *AR6, -8, B, B ; 17879
+ SUB *AR6, -8, B ; 17880
+ SUB *AR6, -7, A, A ; 17881
+ SUB *AR6, -7, A, B ; 17882
+ SUB *AR6, -7, A ; 17883
+ SUB *AR6, -7, B, A ; 17884
+ SUB *AR6, -7, B, B ; 17885
+ SUB *AR6, -7, B ; 17886
+ SUB *AR6, -6, A, A ; 17887
+ SUB *AR6, -6, A, B ; 17888
+ SUB *AR6, -6, A ; 17889
+ SUB *AR6, -6, B, A ; 17890
+ SUB *AR6, -6, B, B ; 17891
+ SUB *AR6, -6, B ; 17892
+ SUB *AR6, -5, A, A ; 17893
+ SUB *AR6, -5, A, B ; 17894
+ SUB *AR6, -5, A ; 17895
+ SUB *AR6, -5, B, A ; 17896
+ SUB *AR6, -5, B, B ; 17897
+ SUB *AR6, -5, B ; 17898
+ SUB *AR6, -4, A, A ; 17899
+ SUB *AR6, -4, A, B ; 17900
+ SUB *AR6, -4, A ; 17901
+ SUB *AR6, -4, B, A ; 17902
+ SUB *AR6, -4, B, B ; 17903
+ SUB *AR6, -4, B ; 17904
+ SUB *AR6, -3, A, A ; 17905
+ SUB *AR6, -3, A, B ; 17906
+ SUB *AR6, -3, A ; 17907
+ SUB *AR6, -3, B, A ; 17908
+ SUB *AR6, -3, B, B ; 17909
+ SUB *AR6, -3, B ; 17910
+ SUB *AR6, -2, A, A ; 17911
+ SUB *AR6, -2, A, B ; 17912
+ SUB *AR6, -2, A ; 17913
+ SUB *AR6, -2, B, A ; 17914
+ SUB *AR6, -2, B, B ; 17915
+ SUB *AR6, -2, B ; 17916
+ SUB *AR6, -1, A, A ; 17917
+ SUB *AR6, -1, A, B ; 17918
+ SUB *AR6, -1, A ; 17919
+ SUB *AR6, -1, B, A ; 17920
+ SUB *AR6, -1, B, B ; 17921
+ SUB *AR6, -1, B ; 17922
+ SUB *AR6, 0, A, A ; 17923
+ SUB *AR6, 0, A, B ; 17924
+ SUB *AR6, 0, A ; 17925
+ SUB *AR6, 0, B, A ; 17926
+ SUB *AR6, 0, B, B ; 17927
+ SUB *AR6, 0, B ; 17928
+ SUB *AR6, 1, A, A ; 17929
+ SUB *AR6, 1, A, B ; 17930
+ SUB *AR6, 1, A ; 17931
+ SUB *AR6, 1, B, A ; 17932
+ SUB *AR6, 1, B, B ; 17933
+ SUB *AR6, 1, B ; 17934
+ SUB *AR6, 2, A, A ; 17935
+ SUB *AR6, 2, A, B ; 17936
+ SUB *AR6, 2, A ; 17937
+ SUB *AR6, 2, B, A ; 17938
+ SUB *AR6, 2, B, B ; 17939
+ SUB *AR6, 2, B ; 17940
+ SUB *AR6, 3, A, A ; 17941
+ SUB *AR6, 3, A, B ; 17942
+ SUB *AR6, 3, A ; 17943
+ SUB *AR6, 3, B, A ; 17944
+ SUB *AR6, 3, B, B ; 17945
+ SUB *AR6, 3, B ; 17946
+ SUB *AR6, 4, A, A ; 17947
+ SUB *AR6, 4, A, B ; 17948
+ SUB *AR6, 4, A ; 17949
+ SUB *AR6, 4, B, A ; 17950
+ SUB *AR6, 4, B, B ; 17951
+ SUB *AR6, 4, B ; 17952
+ SUB *AR6, 5, A, A ; 17953
+ SUB *AR6, 5, A, B ; 17954
+ SUB *AR6, 5, A ; 17955
+ SUB *AR6, 5, B, A ; 17956
+ SUB *AR6, 5, B, B ; 17957
+ SUB *AR6, 5, B ; 17958
+ SUB *AR6, 6, A, A ; 17959
+ SUB *AR6, 6, A, B ; 17960
+ SUB *AR6, 6, A ; 17961
+ SUB *AR6, 6, B, A ; 17962
+ SUB *AR6, 6, B, B ; 17963
+ SUB *AR6, 6, B ; 17964
+ SUB *AR6, 7, A, A ; 17965
+ SUB *AR6, 7, A, B ; 17966
+ SUB *AR6, 7, A ; 17967
+ SUB *AR6, 7, B, A ; 17968
+ SUB *AR6, 7, B, B ; 17969
+ SUB *AR6, 7, B ; 17970
+ SUB *AR6, 8, A, A ; 17971
+ SUB *AR6, 8, A, B ; 17972
+ SUB *AR6, 8, A ; 17973
+ SUB *AR6, 8, B, A ; 17974
+ SUB *AR6, 8, B, B ; 17975
+ SUB *AR6, 8, B ; 17976
+ SUB *AR6, 9, A, A ; 17977
+ SUB *AR6, 9, A, B ; 17978
+ SUB *AR6, 9, A ; 17979
+ SUB *AR6, 9, B, A ; 17980
+ SUB *AR6, 9, B, B ; 17981
+ SUB *AR6, 9, B ; 17982
+ SUB *AR6, 10, A, A ; 17983
+ SUB *AR6, 10, A, B ; 17984
+ SUB *AR6, 10, A ; 17985
+ SUB *AR6, 10, B, A ; 17986
+ SUB *AR6, 10, B, B ; 17987
+ SUB *AR6, 10, B ; 17988
+ SUB *AR6, 11, A, A ; 17989
+ SUB *AR6, 11, A, B ; 17990
+ SUB *AR6, 11, A ; 17991
+ SUB *AR6, 11, B, A ; 17992
+ SUB *AR6, 11, B, B ; 17993
+ SUB *AR6, 11, B ; 17994
+ SUB *AR6, 12, A, A ; 17995
+ SUB *AR6, 12, A, B ; 17996
+ SUB *AR6, 12, A ; 17997
+ SUB *AR6, 12, B, A ; 17998
+ SUB *AR6, 12, B, B ; 17999
+ SUB *AR6, 12, B ; 18000
+ SUB *AR6, 13, A, A ; 18001
+ SUB *AR6, 13, A, B ; 18002
+ SUB *AR6, 13, A ; 18003
+ SUB *AR6, 13, B, A ; 18004
+ SUB *AR6, 13, B, B ; 18005
+ SUB *AR6, 13, B ; 18006
+ SUB *AR6, 14, A, A ; 18007
+ SUB *AR6, 14, A, B ; 18008
+ SUB *AR6, 14, A ; 18009
+ SUB *AR6, 14, B, A ; 18010
+ SUB *AR6, 14, B, B ; 18011
+ SUB *AR6, 14, B ; 18012
+ SUB *AR6, 15, A, A ; 18013
+ SUB *AR6, 15, A, B ; 18014
+ SUB *AR6, 15, A ; 18015
+ SUB *AR6, 15, B, A ; 18016
+ SUB *AR6, 15, B, B ; 18017
+ SUB *AR6, 15, B ; 18018
+ SUB *AR6-, A, A ; 18019
+ SUB *AR6-, A, B ; 18020
+ SUB *AR6-, A ; 18021
+ SUB *AR6-, B, A ; 18022
+ SUB *AR6-, B, B ; 18023
+ SUB *AR6-, B ; 18024
+ SUB *AR6-, -16, A, A ; 18025
+ SUB *AR6-, -16, A, B ; 18026
+ SUB *AR6-, -16, A ; 18027
+ SUB *AR6-, -16, B, A ; 18028
+ SUB *AR6-, -16, B, B ; 18029
+ SUB *AR6-, -16, B ; 18030
+ SUB *AR6-, -15, A, A ; 18031
+ SUB *AR6-, -15, A, B ; 18032
+ SUB *AR6-, -15, A ; 18033
+ SUB *AR6-, -15, B, A ; 18034
+ SUB *AR6-, -15, B, B ; 18035
+ SUB *AR6-, -15, B ; 18036
+ SUB *AR6-, -14, A, A ; 18037
+ SUB *AR6-, -14, A, B ; 18038
+ SUB *AR6-, -14, A ; 18039
+ SUB *AR6-, -14, B, A ; 18040
+ SUB *AR6-, -14, B, B ; 18041
+ SUB *AR6-, -14, B ; 18042
+ SUB *AR6-, -13, A, A ; 18043
+ SUB *AR6-, -13, A, B ; 18044
+ SUB *AR6-, -13, A ; 18045
+ SUB *AR6-, -13, B, A ; 18046
+ SUB *AR6-, -13, B, B ; 18047
+ SUB *AR6-, -13, B ; 18048
+ SUB *AR6-, -12, A, A ; 18049
+ SUB *AR6-, -12, A, B ; 18050
+ SUB *AR6-, -12, A ; 18051
+ SUB *AR6-, -12, B, A ; 18052
+ SUB *AR6-, -12, B, B ; 18053
+ SUB *AR6-, -12, B ; 18054
+ SUB *AR6-, -11, A, A ; 18055
+ SUB *AR6-, -11, A, B ; 18056
+ SUB *AR6-, -11, A ; 18057
+ SUB *AR6-, -11, B, A ; 18058
+ SUB *AR6-, -11, B, B ; 18059
+ SUB *AR6-, -11, B ; 18060
+ SUB *AR6-, -10, A, A ; 18061
+ SUB *AR6-, -10, A, B ; 18062
+ SUB *AR6-, -10, A ; 18063
+ SUB *AR6-, -10, B, A ; 18064
+ SUB *AR6-, -10, B, B ; 18065
+ SUB *AR6-, -10, B ; 18066
+ SUB *AR6-, -9, A, A ; 18067
+ SUB *AR6-, -9, A, B ; 18068
+ SUB *AR6-, -9, A ; 18069
+ SUB *AR6-, -9, B, A ; 18070
+ SUB *AR6-, -9, B, B ; 18071
+ SUB *AR6-, -9, B ; 18072
+ SUB *AR6-, -8, A, A ; 18073
+ SUB *AR6-, -8, A, B ; 18074
+ SUB *AR6-, -8, A ; 18075
+ SUB *AR6-, -8, B, A ; 18076
+ SUB *AR6-, -8, B, B ; 18077
+ SUB *AR6-, -8, B ; 18078
+ SUB *AR6-, -7, A, A ; 18079
+ SUB *AR6-, -7, A, B ; 18080
+ SUB *AR6-, -7, A ; 18081
+ SUB *AR6-, -7, B, A ; 18082
+ SUB *AR6-, -7, B, B ; 18083
+ SUB *AR6-, -7, B ; 18084
+ SUB *AR6-, -6, A, A ; 18085
+ SUB *AR6-, -6, A, B ; 18086
+ SUB *AR6-, -6, A ; 18087
+ SUB *AR6-, -6, B, A ; 18088
+ SUB *AR6-, -6, B, B ; 18089
+ SUB *AR6-, -6, B ; 18090
+ SUB *AR6-, -5, A, A ; 18091
+ SUB *AR6-, -5, A, B ; 18092
+ SUB *AR6-, -5, A ; 18093
+ SUB *AR6-, -5, B, A ; 18094
+ SUB *AR6-, -5, B, B ; 18095
+ SUB *AR6-, -5, B ; 18096
+ SUB *AR6-, -4, A, A ; 18097
+ SUB *AR6-, -4, A, B ; 18098
+ SUB *AR6-, -4, A ; 18099
+ SUB *AR6-, -4, B, A ; 18100
+ SUB *AR6-, -4, B, B ; 18101
+ SUB *AR6-, -4, B ; 18102
+ SUB *AR6-, -3, A, A ; 18103
+ SUB *AR6-, -3, A, B ; 18104
+ SUB *AR6-, -3, A ; 18105
+ SUB *AR6-, -3, B, A ; 18106
+ SUB *AR6-, -3, B, B ; 18107
+ SUB *AR6-, -3, B ; 18108
+ SUB *AR6-, -2, A, A ; 18109
+ SUB *AR6-, -2, A, B ; 18110
+ SUB *AR6-, -2, A ; 18111
+ SUB *AR6-, -2, B, A ; 18112
+ SUB *AR6-, -2, B, B ; 18113
+ SUB *AR6-, -2, B ; 18114
+ SUB *AR6-, -1, A, A ; 18115
+ SUB *AR6-, -1, A, B ; 18116
+ SUB *AR6-, -1, A ; 18117
+ SUB *AR6-, -1, B, A ; 18118
+ SUB *AR6-, -1, B, B ; 18119
+ SUB *AR6-, -1, B ; 18120
+ SUB *AR6-, 0, A, A ; 18121
+ SUB *AR6-, 0, A, B ; 18122
+ SUB *AR6-, 0, A ; 18123
+ SUB *AR6-, 0, B, A ; 18124
+ SUB *AR6-, 0, B, B ; 18125
+ SUB *AR6-, 0, B ; 18126
+ SUB *AR6-, 1, A, A ; 18127
+ SUB *AR6-, 1, A, B ; 18128
+ SUB *AR6-, 1, A ; 18129
+ SUB *AR6-, 1, B, A ; 18130
+ SUB *AR6-, 1, B, B ; 18131
+ SUB *AR6-, 1, B ; 18132
+ SUB *AR6-, 2, A, A ; 18133
+ SUB *AR6-, 2, A, B ; 18134
+ SUB *AR6-, 2, A ; 18135
+ SUB *AR6-, 2, B, A ; 18136
+ SUB *AR6-, 2, B, B ; 18137
+ SUB *AR6-, 2, B ; 18138
+ SUB *AR6-, 3, A, A ; 18139
+ SUB *AR6-, 3, A, B ; 18140
+ SUB *AR6-, 3, A ; 18141
+ SUB *AR6-, 3, B, A ; 18142
+ SUB *AR6-, 3, B, B ; 18143
+ SUB *AR6-, 3, B ; 18144
+ SUB *AR6-, 4, A, A ; 18145
+ SUB *AR6-, 4, A, B ; 18146
+ SUB *AR6-, 4, A ; 18147
+ SUB *AR6-, 4, B, A ; 18148
+ SUB *AR6-, 4, B, B ; 18149
+ SUB *AR6-, 4, B ; 18150
+ SUB *AR6-, 5, A, A ; 18151
+ SUB *AR6-, 5, A, B ; 18152
+ SUB *AR6-, 5, A ; 18153
+ SUB *AR6-, 5, B, A ; 18154
+ SUB *AR6-, 5, B, B ; 18155
+ SUB *AR6-, 5, B ; 18156
+ SUB *AR6-, 6, A, A ; 18157
+ SUB *AR6-, 6, A, B ; 18158
+ SUB *AR6-, 6, A ; 18159
+ SUB *AR6-, 6, B, A ; 18160
+ SUB *AR6-, 6, B, B ; 18161
+ SUB *AR6-, 6, B ; 18162
+ SUB *AR6-, 7, A, A ; 18163
+ SUB *AR6-, 7, A, B ; 18164
+ SUB *AR6-, 7, A ; 18165
+ SUB *AR6-, 7, B, A ; 18166
+ SUB *AR6-, 7, B, B ; 18167
+ SUB *AR6-, 7, B ; 18168
+ SUB *AR6-, 8, A, A ; 18169
+ SUB *AR6-, 8, A, B ; 18170
+ SUB *AR6-, 8, A ; 18171
+ SUB *AR6-, 8, B, A ; 18172
+ SUB *AR6-, 8, B, B ; 18173
+ SUB *AR6-, 8, B ; 18174
+ SUB *AR6-, 9, A, A ; 18175
+ SUB *AR6-, 9, A, B ; 18176
+ SUB *AR6-, 9, A ; 18177
+ SUB *AR6-, 9, B, A ; 18178
+ SUB *AR6-, 9, B, B ; 18179
+ SUB *AR6-, 9, B ; 18180
+ SUB *AR6-, 10, A, A ; 18181
+ SUB *AR6-, 10, A, B ; 18182
+ SUB *AR6-, 10, A ; 18183
+ SUB *AR6-, 10, B, A ; 18184
+ SUB *AR6-, 10, B, B ; 18185
+ SUB *AR6-, 10, B ; 18186
+ SUB *AR6-, 11, A, A ; 18187
+ SUB *AR6-, 11, A, B ; 18188
+ SUB *AR6-, 11, A ; 18189
+ SUB *AR6-, 11, B, A ; 18190
+ SUB *AR6-, 11, B, B ; 18191
+ SUB *AR6-, 11, B ; 18192
+ SUB *AR6-, 12, A, A ; 18193
+ SUB *AR6-, 12, A, B ; 18194
+ SUB *AR6-, 12, A ; 18195
+ SUB *AR6-, 12, B, A ; 18196
+ SUB *AR6-, 12, B, B ; 18197
+ SUB *AR6-, 12, B ; 18198
+ SUB *AR6-, 13, A, A ; 18199
+ SUB *AR6-, 13, A, B ; 18200
+ SUB *AR6-, 13, A ; 18201
+ SUB *AR6-, 13, B, A ; 18202
+ SUB *AR6-, 13, B, B ; 18203
+ SUB *AR6-, 13, B ; 18204
+ SUB *AR6-, 14, A, A ; 18205
+ SUB *AR6-, 14, A, B ; 18206
+ SUB *AR6-, 14, A ; 18207
+ SUB *AR6-, 14, B, A ; 18208
+ SUB *AR6-, 14, B, B ; 18209
+ SUB *AR6-, 14, B ; 18210
+ SUB *AR6-, 15, A, A ; 18211
+ SUB *AR6-, 15, A, B ; 18212
+ SUB *AR6-, 15, A ; 18213
+ SUB *AR6-, 15, B, A ; 18214
+ SUB *AR6-, 15, B, B ; 18215
+ SUB *AR6-, 15, B ; 18216
+ SUB *AR6+, A, A ; 18217
+ SUB *AR6+, A, B ; 18218
+ SUB *AR6+, A ; 18219
+ SUB *AR6+, B, A ; 18220
+ SUB *AR6+, B, B ; 18221
+ SUB *AR6+, B ; 18222
+ SUB *AR6+, -16, A, A ; 18223
+ SUB *AR6+, -16, A, B ; 18224
+ SUB *AR6+, -16, A ; 18225
+ SUB *AR6+, -16, B, A ; 18226
+ SUB *AR6+, -16, B, B ; 18227
+ SUB *AR6+, -16, B ; 18228
+ SUB *AR6+, -15, A, A ; 18229
+ SUB *AR6+, -15, A, B ; 18230
+ SUB *AR6+, -15, A ; 18231
+ SUB *AR6+, -15, B, A ; 18232
+ SUB *AR6+, -15, B, B ; 18233
+ SUB *AR6+, -15, B ; 18234
+ SUB *AR6+, -14, A, A ; 18235
+ SUB *AR6+, -14, A, B ; 18236
+ SUB *AR6+, -14, A ; 18237
+ SUB *AR6+, -14, B, A ; 18238
+ SUB *AR6+, -14, B, B ; 18239
+ SUB *AR6+, -14, B ; 18240
+ SUB *AR6+, -13, A, A ; 18241
+ SUB *AR6+, -13, A, B ; 18242
+ SUB *AR6+, -13, A ; 18243
+ SUB *AR6+, -13, B, A ; 18244
+ SUB *AR6+, -13, B, B ; 18245
+ SUB *AR6+, -13, B ; 18246
+ SUB *AR6+, -12, A, A ; 18247
+ SUB *AR6+, -12, A, B ; 18248
+ SUB *AR6+, -12, A ; 18249
+ SUB *AR6+, -12, B, A ; 18250
+ SUB *AR6+, -12, B, B ; 18251
+ SUB *AR6+, -12, B ; 18252
+ SUB *AR6+, -11, A, A ; 18253
+ SUB *AR6+, -11, A, B ; 18254
+ SUB *AR6+, -11, A ; 18255
+ SUB *AR6+, -11, B, A ; 18256
+ SUB *AR6+, -11, B, B ; 18257
+ SUB *AR6+, -11, B ; 18258
+ SUB *AR6+, -10, A, A ; 18259
+ SUB *AR6+, -10, A, B ; 18260
+ SUB *AR6+, -10, A ; 18261
+ SUB *AR6+, -10, B, A ; 18262
+ SUB *AR6+, -10, B, B ; 18263
+ SUB *AR6+, -10, B ; 18264
+ SUB *AR6+, -9, A, A ; 18265
+ SUB *AR6+, -9, A, B ; 18266
+ SUB *AR6+, -9, A ; 18267
+ SUB *AR6+, -9, B, A ; 18268
+ SUB *AR6+, -9, B, B ; 18269
+ SUB *AR6+, -9, B ; 18270
+ SUB *AR6+, -8, A, A ; 18271
+ SUB *AR6+, -8, A, B ; 18272
+ SUB *AR6+, -8, A ; 18273
+ SUB *AR6+, -8, B, A ; 18274
+ SUB *AR6+, -8, B, B ; 18275
+ SUB *AR6+, -8, B ; 18276
+ SUB *AR6+, -7, A, A ; 18277
+ SUB *AR6+, -7, A, B ; 18278
+ SUB *AR6+, -7, A ; 18279
+ SUB *AR6+, -7, B, A ; 18280
+ SUB *AR6+, -7, B, B ; 18281
+ SUB *AR6+, -7, B ; 18282
+ SUB *AR6+, -6, A, A ; 18283
+ SUB *AR6+, -6, A, B ; 18284
+ SUB *AR6+, -6, A ; 18285
+ SUB *AR6+, -6, B, A ; 18286
+ SUB *AR6+, -6, B, B ; 18287
+ SUB *AR6+, -6, B ; 18288
+ SUB *AR6+, -5, A, A ; 18289
+ SUB *AR6+, -5, A, B ; 18290
+ SUB *AR6+, -5, A ; 18291
+ SUB *AR6+, -5, B, A ; 18292
+ SUB *AR6+, -5, B, B ; 18293
+ SUB *AR6+, -5, B ; 18294
+ SUB *AR6+, -4, A, A ; 18295
+ SUB *AR6+, -4, A, B ; 18296
+ SUB *AR6+, -4, A ; 18297
+ SUB *AR6+, -4, B, A ; 18298
+ SUB *AR6+, -4, B, B ; 18299
+ SUB *AR6+, -4, B ; 18300
+ SUB *AR6+, -3, A, A ; 18301
+ SUB *AR6+, -3, A, B ; 18302
+ SUB *AR6+, -3, A ; 18303
+ SUB *AR6+, -3, B, A ; 18304
+ SUB *AR6+, -3, B, B ; 18305
+ SUB *AR6+, -3, B ; 18306
+ SUB *AR6+, -2, A, A ; 18307
+ SUB *AR6+, -2, A, B ; 18308
+ SUB *AR6+, -2, A ; 18309
+ SUB *AR6+, -2, B, A ; 18310
+ SUB *AR6+, -2, B, B ; 18311
+ SUB *AR6+, -2, B ; 18312
+ SUB *AR6+, -1, A, A ; 18313
+ SUB *AR6+, -1, A, B ; 18314
+ SUB *AR6+, -1, A ; 18315
+ SUB *AR6+, -1, B, A ; 18316
+ SUB *AR6+, -1, B, B ; 18317
+ SUB *AR6+, -1, B ; 18318
+ SUB *AR6+, 0, A, A ; 18319
+ SUB *AR6+, 0, A, B ; 18320
+ SUB *AR6+, 0, A ; 18321
+ SUB *AR6+, 0, B, A ; 18322
+ SUB *AR6+, 0, B, B ; 18323
+ SUB *AR6+, 0, B ; 18324
+ SUB *AR6+, 1, A, A ; 18325
+ SUB *AR6+, 1, A, B ; 18326
+ SUB *AR6+, 1, A ; 18327
+ SUB *AR6+, 1, B, A ; 18328
+ SUB *AR6+, 1, B, B ; 18329
+ SUB *AR6+, 1, B ; 18330
+ SUB *AR6+, 2, A, A ; 18331
+ SUB *AR6+, 2, A, B ; 18332
+ SUB *AR6+, 2, A ; 18333
+ SUB *AR6+, 2, B, A ; 18334
+ SUB *AR6+, 2, B, B ; 18335
+ SUB *AR6+, 2, B ; 18336
+ SUB *AR6+, 3, A, A ; 18337
+ SUB *AR6+, 3, A, B ; 18338
+ SUB *AR6+, 3, A ; 18339
+ SUB *AR6+, 3, B, A ; 18340
+ SUB *AR6+, 3, B, B ; 18341
+ SUB *AR6+, 3, B ; 18342
+ SUB *AR6+, 4, A, A ; 18343
+ SUB *AR6+, 4, A, B ; 18344
+ SUB *AR6+, 4, A ; 18345
+ SUB *AR6+, 4, B, A ; 18346
+ SUB *AR6+, 4, B, B ; 18347
+ SUB *AR6+, 4, B ; 18348
+ SUB *AR6+, 5, A, A ; 18349
+ SUB *AR6+, 5, A, B ; 18350
+ SUB *AR6+, 5, A ; 18351
+ SUB *AR6+, 5, B, A ; 18352
+ SUB *AR6+, 5, B, B ; 18353
+ SUB *AR6+, 5, B ; 18354
+ SUB *AR6+, 6, A, A ; 18355
+ SUB *AR6+, 6, A, B ; 18356
+ SUB *AR6+, 6, A ; 18357
+ SUB *AR6+, 6, B, A ; 18358
+ SUB *AR6+, 6, B, B ; 18359
+ SUB *AR6+, 6, B ; 18360
+ SUB *AR6+, 7, A, A ; 18361
+ SUB *AR6+, 7, A, B ; 18362
+ SUB *AR6+, 7, A ; 18363
+ SUB *AR6+, 7, B, A ; 18364
+ SUB *AR6+, 7, B, B ; 18365
+ SUB *AR6+, 7, B ; 18366
+ SUB *AR6+, 8, A, A ; 18367
+ SUB *AR6+, 8, A, B ; 18368
+ SUB *AR6+, 8, A ; 18369
+ SUB *AR6+, 8, B, A ; 18370
+ SUB *AR6+, 8, B, B ; 18371
+ SUB *AR6+, 8, B ; 18372
+ SUB *AR6+, 9, A, A ; 18373
+ SUB *AR6+, 9, A, B ; 18374
+ SUB *AR6+, 9, A ; 18375
+ SUB *AR6+, 9, B, A ; 18376
+ SUB *AR6+, 9, B, B ; 18377
+ SUB *AR6+, 9, B ; 18378
+ SUB *AR6+, 10, A, A ; 18379
+ SUB *AR6+, 10, A, B ; 18380
+ SUB *AR6+, 10, A ; 18381
+ SUB *AR6+, 10, B, A ; 18382
+ SUB *AR6+, 10, B, B ; 18383
+ SUB *AR6+, 10, B ; 18384
+ SUB *AR6+, 11, A, A ; 18385
+ SUB *AR6+, 11, A, B ; 18386
+ SUB *AR6+, 11, A ; 18387
+ SUB *AR6+, 11, B, A ; 18388
+ SUB *AR6+, 11, B, B ; 18389
+ SUB *AR6+, 11, B ; 18390
+ SUB *AR6+, 12, A, A ; 18391
+ SUB *AR6+, 12, A, B ; 18392
+ SUB *AR6+, 12, A ; 18393
+ SUB *AR6+, 12, B, A ; 18394
+ SUB *AR6+, 12, B, B ; 18395
+ SUB *AR6+, 12, B ; 18396
+ SUB *AR6+, 13, A, A ; 18397
+ SUB *AR6+, 13, A, B ; 18398
+ SUB *AR6+, 13, A ; 18399
+ SUB *AR6+, 13, B, A ; 18400
+ SUB *AR6+, 13, B, B ; 18401
+ SUB *AR6+, 13, B ; 18402
+ SUB *AR6+, 14, A, A ; 18403
+ SUB *AR6+, 14, A, B ; 18404
+ SUB *AR6+, 14, A ; 18405
+ SUB *AR6+, 14, B, A ; 18406
+ SUB *AR6+, 14, B, B ; 18407
+ SUB *AR6+, 14, B ; 18408
+ SUB *AR6+, 15, A, A ; 18409
+ SUB *AR6+, 15, A, B ; 18410
+ SUB *AR6+, 15, A ; 18411
+ SUB *AR6+, 15, B, A ; 18412
+ SUB *AR6+, 15, B, B ; 18413
+ SUB *AR6+, 15, B ; 18414
+ SUB *AR6-0B, A, A ; 18415
+ SUB *AR6-0B, A, B ; 18416
+ SUB *AR6-0B, A ; 18417
+ SUB *AR6-0B, B, A ; 18418
+ SUB *AR6-0B, B, B ; 18419
+ SUB *AR6-0B, B ; 18420
+ SUB *AR6-0B, -16, A, A ; 18421
+ SUB *AR6-0B, -16, A, B ; 18422
+ SUB *AR6-0B, -16, A ; 18423
+ SUB *AR6-0B, -16, B, A ; 18424
+ SUB *AR6-0B, -16, B, B ; 18425
+ SUB *AR6-0B, -16, B ; 18426
+ SUB *AR6-0B, -15, A, A ; 18427
+ SUB *AR6-0B, -15, A, B ; 18428
+ SUB *AR6-0B, -15, A ; 18429
+ SUB *AR6-0B, -15, B, A ; 18430
+ SUB *AR6-0B, -15, B, B ; 18431
+ SUB *AR6-0B, -15, B ; 18432
+ SUB *AR6-0B, -14, A, A ; 18433
+ SUB *AR6-0B, -14, A, B ; 18434
+ SUB *AR6-0B, -14, A ; 18435
+ SUB *AR6-0B, -14, B, A ; 18436
+ SUB *AR6-0B, -14, B, B ; 18437
+ SUB *AR6-0B, -14, B ; 18438
+ SUB *AR6-0B, -13, A, A ; 18439
+ SUB *AR6-0B, -13, A, B ; 18440
+ SUB *AR6-0B, -13, A ; 18441
+ SUB *AR6-0B, -13, B, A ; 18442
+ SUB *AR6-0B, -13, B, B ; 18443
+ SUB *AR6-0B, -13, B ; 18444
+ SUB *AR6-0B, -12, A, A ; 18445
+ SUB *AR6-0B, -12, A, B ; 18446
+ SUB *AR6-0B, -12, A ; 18447
+ SUB *AR6-0B, -12, B, A ; 18448
+ SUB *AR6-0B, -12, B, B ; 18449
+ SUB *AR6-0B, -12, B ; 18450
+ SUB *AR6-0B, -11, A, A ; 18451
+ SUB *AR6-0B, -11, A, B ; 18452
+ SUB *AR6-0B, -11, A ; 18453
+ SUB *AR6-0B, -11, B, A ; 18454
+ SUB *AR6-0B, -11, B, B ; 18455
+ SUB *AR6-0B, -11, B ; 18456
+ SUB *AR6-0B, -10, A, A ; 18457
+ SUB *AR6-0B, -10, A, B ; 18458
+ SUB *AR6-0B, -10, A ; 18459
+ SUB *AR6-0B, -10, B, A ; 18460
+ SUB *AR6-0B, -10, B, B ; 18461
+ SUB *AR6-0B, -10, B ; 18462
+ SUB *AR6-0B, -9, A, A ; 18463
+ SUB *AR6-0B, -9, A, B ; 18464
+ SUB *AR6-0B, -9, A ; 18465
+ SUB *AR6-0B, -9, B, A ; 18466
+ SUB *AR6-0B, -9, B, B ; 18467
+ SUB *AR6-0B, -9, B ; 18468
+ SUB *AR6-0B, -8, A, A ; 18469
+ SUB *AR6-0B, -8, A, B ; 18470
+ SUB *AR6-0B, -8, A ; 18471
+ SUB *AR6-0B, -8, B, A ; 18472
+ SUB *AR6-0B, -8, B, B ; 18473
+ SUB *AR6-0B, -8, B ; 18474
+ SUB *AR6-0B, -7, A, A ; 18475
+ SUB *AR6-0B, -7, A, B ; 18476
+ SUB *AR6-0B, -7, A ; 18477
+ SUB *AR6-0B, -7, B, A ; 18478
+ SUB *AR6-0B, -7, B, B ; 18479
+ SUB *AR6-0B, -7, B ; 18480
+ SUB *AR6-0B, -6, A, A ; 18481
+ SUB *AR6-0B, -6, A, B ; 18482
+ SUB *AR6-0B, -6, A ; 18483
+ SUB *AR6-0B, -6, B, A ; 18484
+ SUB *AR6-0B, -6, B, B ; 18485
+ SUB *AR6-0B, -6, B ; 18486
+ SUB *AR6-0B, -5, A, A ; 18487
+ SUB *AR6-0B, -5, A, B ; 18488
+ SUB *AR6-0B, -5, A ; 18489
+ SUB *AR6-0B, -5, B, A ; 18490
+ SUB *AR6-0B, -5, B, B ; 18491
+ SUB *AR6-0B, -5, B ; 18492
+ SUB *AR6-0B, -4, A, A ; 18493
+ SUB *AR6-0B, -4, A, B ; 18494
+ SUB *AR6-0B, -4, A ; 18495
+ SUB *AR6-0B, -4, B, A ; 18496
+ SUB *AR6-0B, -4, B, B ; 18497
+ SUB *AR6-0B, -4, B ; 18498
+ SUB *AR6-0B, -3, A, A ; 18499
+ SUB *AR6-0B, -3, A, B ; 18500
+ SUB *AR6-0B, -3, A ; 18501
+ SUB *AR6-0B, -3, B, A ; 18502
+ SUB *AR6-0B, -3, B, B ; 18503
+ SUB *AR6-0B, -3, B ; 18504
+ SUB *AR6-0B, -2, A, A ; 18505
+ SUB *AR6-0B, -2, A, B ; 18506
+ SUB *AR6-0B, -2, A ; 18507
+ SUB *AR6-0B, -2, B, A ; 18508
+ SUB *AR6-0B, -2, B, B ; 18509
+ SUB *AR6-0B, -2, B ; 18510
+ SUB *AR6-0B, -1, A, A ; 18511
+ SUB *AR6-0B, -1, A, B ; 18512
+ SUB *AR6-0B, -1, A ; 18513
+ SUB *AR6-0B, -1, B, A ; 18514
+ SUB *AR6-0B, -1, B, B ; 18515
+ SUB *AR6-0B, -1, B ; 18516
+ SUB *AR6-0B, 0, A, A ; 18517
+ SUB *AR6-0B, 0, A, B ; 18518
+ SUB *AR6-0B, 0, A ; 18519
+ SUB *AR6-0B, 0, B, A ; 18520
+ SUB *AR6-0B, 0, B, B ; 18521
+ SUB *AR6-0B, 0, B ; 18522
+ SUB *AR6-0B, 1, A, A ; 18523
+ SUB *AR6-0B, 1, A, B ; 18524
+ SUB *AR6-0B, 1, A ; 18525
+ SUB *AR6-0B, 1, B, A ; 18526
+ SUB *AR6-0B, 1, B, B ; 18527
+ SUB *AR6-0B, 1, B ; 18528
+ SUB *AR6-0B, 2, A, A ; 18529
+ SUB *AR6-0B, 2, A, B ; 18530
+ SUB *AR6-0B, 2, A ; 18531
+ SUB *AR6-0B, 2, B, A ; 18532
+ SUB *AR6-0B, 2, B, B ; 18533
+ SUB *AR6-0B, 2, B ; 18534
+ SUB *AR6-0B, 3, A, A ; 18535
+ SUB *AR6-0B, 3, A, B ; 18536
+ SUB *AR6-0B, 3, A ; 18537
+ SUB *AR6-0B, 3, B, A ; 18538
+ SUB *AR6-0B, 3, B, B ; 18539
+ SUB *AR6-0B, 3, B ; 18540
+ SUB *AR6-0B, 4, A, A ; 18541
+ SUB *AR6-0B, 4, A, B ; 18542
+ SUB *AR6-0B, 4, A ; 18543
+ SUB *AR6-0B, 4, B, A ; 18544
+ SUB *AR6-0B, 4, B, B ; 18545
+ SUB *AR6-0B, 4, B ; 18546
+ SUB *AR6-0B, 5, A, A ; 18547
+ SUB *AR6-0B, 5, A, B ; 18548
+ SUB *AR6-0B, 5, A ; 18549
+ SUB *AR6-0B, 5, B, A ; 18550
+ SUB *AR6-0B, 5, B, B ; 18551
+ SUB *AR6-0B, 5, B ; 18552
+ SUB *AR6-0B, 6, A, A ; 18553
+ SUB *AR6-0B, 6, A, B ; 18554
+ SUB *AR6-0B, 6, A ; 18555
+ SUB *AR6-0B, 6, B, A ; 18556
+ SUB *AR6-0B, 6, B, B ; 18557
+ SUB *AR6-0B, 6, B ; 18558
+ SUB *AR6-0B, 7, A, A ; 18559
+ SUB *AR6-0B, 7, A, B ; 18560
+ SUB *AR6-0B, 7, A ; 18561
+ SUB *AR6-0B, 7, B, A ; 18562
+ SUB *AR6-0B, 7, B, B ; 18563
+ SUB *AR6-0B, 7, B ; 18564
+ SUB *AR6-0B, 8, A, A ; 18565
+ SUB *AR6-0B, 8, A, B ; 18566
+ SUB *AR6-0B, 8, A ; 18567
+ SUB *AR6-0B, 8, B, A ; 18568
+ SUB *AR6-0B, 8, B, B ; 18569
+ SUB *AR6-0B, 8, B ; 18570
+ SUB *AR6-0B, 9, A, A ; 18571
+ SUB *AR6-0B, 9, A, B ; 18572
+ SUB *AR6-0B, 9, A ; 18573
+ SUB *AR6-0B, 9, B, A ; 18574
+ SUB *AR6-0B, 9, B, B ; 18575
+ SUB *AR6-0B, 9, B ; 18576
+ SUB *AR6-0B, 10, A, A ; 18577
+ SUB *AR6-0B, 10, A, B ; 18578
+ SUB *AR6-0B, 10, A ; 18579
+ SUB *AR6-0B, 10, B, A ; 18580
+ SUB *AR6-0B, 10, B, B ; 18581
+ SUB *AR6-0B, 10, B ; 18582
+ SUB *AR6-0B, 11, A, A ; 18583
+ SUB *AR6-0B, 11, A, B ; 18584
+ SUB *AR6-0B, 11, A ; 18585
+ SUB *AR6-0B, 11, B, A ; 18586
+ SUB *AR6-0B, 11, B, B ; 18587
+ SUB *AR6-0B, 11, B ; 18588
+ SUB *AR6-0B, 12, A, A ; 18589
+ SUB *AR6-0B, 12, A, B ; 18590
+ SUB *AR6-0B, 12, A ; 18591
+ SUB *AR6-0B, 12, B, A ; 18592
+ SUB *AR6-0B, 12, B, B ; 18593
+ SUB *AR6-0B, 12, B ; 18594
+ SUB *AR6-0B, 13, A, A ; 18595
+ SUB *AR6-0B, 13, A, B ; 18596
+ SUB *AR6-0B, 13, A ; 18597
+ SUB *AR6-0B, 13, B, A ; 18598
+ SUB *AR6-0B, 13, B, B ; 18599
+ SUB *AR6-0B, 13, B ; 18600
+ SUB *AR6-0B, 14, A, A ; 18601
+ SUB *AR6-0B, 14, A, B ; 18602
+ SUB *AR6-0B, 14, A ; 18603
+ SUB *AR6-0B, 14, B, A ; 18604
+ SUB *AR6-0B, 14, B, B ; 18605
+ SUB *AR6-0B, 14, B ; 18606
+ SUB *AR6-0B, 15, A, A ; 18607
+ SUB *AR6-0B, 15, A, B ; 18608
+ SUB *AR6-0B, 15, A ; 18609
+ SUB *AR6-0B, 15, B, A ; 18610
+ SUB *AR6-0B, 15, B, B ; 18611
+ SUB *AR6-0B, 15, B ; 18612
+ SUB *AR6-0, A, A ; 18613
+ SUB *AR6-0, A, B ; 18614
+ SUB *AR6-0, A ; 18615
+ SUB *AR6-0, B, A ; 18616
+ SUB *AR6-0, B, B ; 18617
+ SUB *AR6-0, B ; 18618
+ SUB *AR6-0, -16, A, A ; 18619
+ SUB *AR6-0, -16, A, B ; 18620
+ SUB *AR6-0, -16, A ; 18621
+ SUB *AR6-0, -16, B, A ; 18622
+ SUB *AR6-0, -16, B, B ; 18623
+ SUB *AR6-0, -16, B ; 18624
+ SUB *AR6-0, -15, A, A ; 18625
+ SUB *AR6-0, -15, A, B ; 18626
+ SUB *AR6-0, -15, A ; 18627
+ SUB *AR6-0, -15, B, A ; 18628
+ SUB *AR6-0, -15, B, B ; 18629
+ SUB *AR6-0, -15, B ; 18630
+ SUB *AR6-0, -14, A, A ; 18631
+ SUB *AR6-0, -14, A, B ; 18632
+ SUB *AR6-0, -14, A ; 18633
+ SUB *AR6-0, -14, B, A ; 18634
+ SUB *AR6-0, -14, B, B ; 18635
+ SUB *AR6-0, -14, B ; 18636
+ SUB *AR6-0, -13, A, A ; 18637
+ SUB *AR6-0, -13, A, B ; 18638
+ SUB *AR6-0, -13, A ; 18639
+ SUB *AR6-0, -13, B, A ; 18640
+ SUB *AR6-0, -13, B, B ; 18641
+ SUB *AR6-0, -13, B ; 18642
+ SUB *AR6-0, -12, A, A ; 18643
+ SUB *AR6-0, -12, A, B ; 18644
+ SUB *AR6-0, -12, A ; 18645
+ SUB *AR6-0, -12, B, A ; 18646
+ SUB *AR6-0, -12, B, B ; 18647
+ SUB *AR6-0, -12, B ; 18648
+ SUB *AR6-0, -11, A, A ; 18649
+ SUB *AR6-0, -11, A, B ; 18650
+ SUB *AR6-0, -11, A ; 18651
+ SUB *AR6-0, -11, B, A ; 18652
+ SUB *AR6-0, -11, B, B ; 18653
+ SUB *AR6-0, -11, B ; 18654
+ SUB *AR6-0, -10, A, A ; 18655
+ SUB *AR6-0, -10, A, B ; 18656
+ SUB *AR6-0, -10, A ; 18657
+ SUB *AR6-0, -10, B, A ; 18658
+ SUB *AR6-0, -10, B, B ; 18659
+ SUB *AR6-0, -10, B ; 18660
+ SUB *AR6-0, -9, A, A ; 18661
+ SUB *AR6-0, -9, A, B ; 18662
+ SUB *AR6-0, -9, A ; 18663
+ SUB *AR6-0, -9, B, A ; 18664
+ SUB *AR6-0, -9, B, B ; 18665
+ SUB *AR6-0, -9, B ; 18666
+ SUB *AR6-0, -8, A, A ; 18667
+ SUB *AR6-0, -8, A, B ; 18668
+ SUB *AR6-0, -8, A ; 18669
+ SUB *AR6-0, -8, B, A ; 18670
+ SUB *AR6-0, -8, B, B ; 18671
+ SUB *AR6-0, -8, B ; 18672
+ SUB *AR6-0, -7, A, A ; 18673
+ SUB *AR6-0, -7, A, B ; 18674
+ SUB *AR6-0, -7, A ; 18675
+ SUB *AR6-0, -7, B, A ; 18676
+ SUB *AR6-0, -7, B, B ; 18677
+ SUB *AR6-0, -7, B ; 18678
+ SUB *AR6-0, -6, A, A ; 18679
+ SUB *AR6-0, -6, A, B ; 18680
+ SUB *AR6-0, -6, A ; 18681
+ SUB *AR6-0, -6, B, A ; 18682
+ SUB *AR6-0, -6, B, B ; 18683
+ SUB *AR6-0, -6, B ; 18684
+ SUB *AR6-0, -5, A, A ; 18685
+ SUB *AR6-0, -5, A, B ; 18686
+ SUB *AR6-0, -5, A ; 18687
+ SUB *AR6-0, -5, B, A ; 18688
+ SUB *AR6-0, -5, B, B ; 18689
+ SUB *AR6-0, -5, B ; 18690
+ SUB *AR6-0, -4, A, A ; 18691
+ SUB *AR6-0, -4, A, B ; 18692
+ SUB *AR6-0, -4, A ; 18693
+ SUB *AR6-0, -4, B, A ; 18694
+ SUB *AR6-0, -4, B, B ; 18695
+ SUB *AR6-0, -4, B ; 18696
+ SUB *AR6-0, -3, A, A ; 18697
+ SUB *AR6-0, -3, A, B ; 18698
+ SUB *AR6-0, -3, A ; 18699
+ SUB *AR6-0, -3, B, A ; 18700
+ SUB *AR6-0, -3, B, B ; 18701
+ SUB *AR6-0, -3, B ; 18702
+ SUB *AR6-0, -2, A, A ; 18703
+ SUB *AR6-0, -2, A, B ; 18704
+ SUB *AR6-0, -2, A ; 18705
+ SUB *AR6-0, -2, B, A ; 18706
+ SUB *AR6-0, -2, B, B ; 18707
+ SUB *AR6-0, -2, B ; 18708
+ SUB *AR6-0, -1, A, A ; 18709
+ SUB *AR6-0, -1, A, B ; 18710
+ SUB *AR6-0, -1, A ; 18711
+ SUB *AR6-0, -1, B, A ; 18712
+ SUB *AR6-0, -1, B, B ; 18713
+ SUB *AR6-0, -1, B ; 18714
+ SUB *AR6-0, 0, A, A ; 18715
+ SUB *AR6-0, 0, A, B ; 18716
+ SUB *AR6-0, 0, A ; 18717
+ SUB *AR6-0, 0, B, A ; 18718
+ SUB *AR6-0, 0, B, B ; 18719
+ SUB *AR6-0, 0, B ; 18720
+ SUB *AR6-0, 1, A, A ; 18721
+ SUB *AR6-0, 1, A, B ; 18722
+ SUB *AR6-0, 1, A ; 18723
+ SUB *AR6-0, 1, B, A ; 18724
+ SUB *AR6-0, 1, B, B ; 18725
+ SUB *AR6-0, 1, B ; 18726
+ SUB *AR6-0, 2, A, A ; 18727
+ SUB *AR6-0, 2, A, B ; 18728
+ SUB *AR6-0, 2, A ; 18729
+ SUB *AR6-0, 2, B, A ; 18730
+ SUB *AR6-0, 2, B, B ; 18731
+ SUB *AR6-0, 2, B ; 18732
+ SUB *AR6-0, 3, A, A ; 18733
+ SUB *AR6-0, 3, A, B ; 18734
+ SUB *AR6-0, 3, A ; 18735
+ SUB *AR6-0, 3, B, A ; 18736
+ SUB *AR6-0, 3, B, B ; 18737
+ SUB *AR6-0, 3, B ; 18738
+ SUB *AR6-0, 4, A, A ; 18739
+ SUB *AR6-0, 4, A, B ; 18740
+ SUB *AR6-0, 4, A ; 18741
+ SUB *AR6-0, 4, B, A ; 18742
+ SUB *AR6-0, 4, B, B ; 18743
+ SUB *AR6-0, 4, B ; 18744
+ SUB *AR6-0, 5, A, A ; 18745
+ SUB *AR6-0, 5, A, B ; 18746
+ SUB *AR6-0, 5, A ; 18747
+ SUB *AR6-0, 5, B, A ; 18748
+ SUB *AR6-0, 5, B, B ; 18749
+ SUB *AR6-0, 5, B ; 18750
+ SUB *AR6-0, 6, A, A ; 18751
+ SUB *AR6-0, 6, A, B ; 18752
+ SUB *AR6-0, 6, A ; 18753
+ SUB *AR6-0, 6, B, A ; 18754
+ SUB *AR6-0, 6, B, B ; 18755
+ SUB *AR6-0, 6, B ; 18756
+ SUB *AR6-0, 7, A, A ; 18757
+ SUB *AR6-0, 7, A, B ; 18758
+ SUB *AR6-0, 7, A ; 18759
+ SUB *AR6-0, 7, B, A ; 18760
+ SUB *AR6-0, 7, B, B ; 18761
+ SUB *AR6-0, 7, B ; 18762
+ SUB *AR6-0, 8, A, A ; 18763
+ SUB *AR6-0, 8, A, B ; 18764
+ SUB *AR6-0, 8, A ; 18765
+ SUB *AR6-0, 8, B, A ; 18766
+ SUB *AR6-0, 8, B, B ; 18767
+ SUB *AR6-0, 8, B ; 18768
+ SUB *AR6-0, 9, A, A ; 18769
+ SUB *AR6-0, 9, A, B ; 18770
+ SUB *AR6-0, 9, A ; 18771
+ SUB *AR6-0, 9, B, A ; 18772
+ SUB *AR6-0, 9, B, B ; 18773
+ SUB *AR6-0, 9, B ; 18774
+ SUB *AR6-0, 10, A, A ; 18775
+ SUB *AR6-0, 10, A, B ; 18776
+ SUB *AR6-0, 10, A ; 18777
+ SUB *AR6-0, 10, B, A ; 18778
+ SUB *AR6-0, 10, B, B ; 18779
+ SUB *AR6-0, 10, B ; 18780
+ SUB *AR6-0, 11, A, A ; 18781
+ SUB *AR6-0, 11, A, B ; 18782
+ SUB *AR6-0, 11, A ; 18783
+ SUB *AR6-0, 11, B, A ; 18784
+ SUB *AR6-0, 11, B, B ; 18785
+ SUB *AR6-0, 11, B ; 18786
+ SUB *AR6-0, 12, A, A ; 18787
+ SUB *AR6-0, 12, A, B ; 18788
+ SUB *AR6-0, 12, A ; 18789
+ SUB *AR6-0, 12, B, A ; 18790
+ SUB *AR6-0, 12, B, B ; 18791
+ SUB *AR6-0, 12, B ; 18792
+ SUB *AR6-0, 13, A, A ; 18793
+ SUB *AR6-0, 13, A, B ; 18794
+ SUB *AR6-0, 13, A ; 18795
+ SUB *AR6-0, 13, B, A ; 18796
+ SUB *AR6-0, 13, B, B ; 18797
+ SUB *AR6-0, 13, B ; 18798
+ SUB *AR6-0, 14, A, A ; 18799
+ SUB *AR6-0, 14, A, B ; 18800
+ SUB *AR6-0, 14, A ; 18801
+ SUB *AR6-0, 14, B, A ; 18802
+ SUB *AR6-0, 14, B, B ; 18803
+ SUB *AR6-0, 14, B ; 18804
+ SUB *AR6-0, 15, A, A ; 18805
+ SUB *AR6-0, 15, A, B ; 18806
+ SUB *AR6-0, 15, A ; 18807
+ SUB *AR6-0, 15, B, A ; 18808
+ SUB *AR6-0, 15, B, B ; 18809
+ SUB *AR6-0, 15, B ; 18810
+ SUB *AR6+0, A, A ; 18811
+ SUB *AR6+0, A, B ; 18812
+ SUB *AR6+0, A ; 18813
+ SUB *AR6+0, B, A ; 18814
+ SUB *AR6+0, B, B ; 18815
+ SUB *AR6+0, B ; 18816
+ SUB *AR6+0, -16, A, A ; 18817
+ SUB *AR6+0, -16, A, B ; 18818
+ SUB *AR6+0, -16, A ; 18819
+ SUB *AR6+0, -16, B, A ; 18820
+ SUB *AR6+0, -16, B, B ; 18821
+ SUB *AR6+0, -16, B ; 18822
+ SUB *AR6+0, -15, A, A ; 18823
+ SUB *AR6+0, -15, A, B ; 18824
+ SUB *AR6+0, -15, A ; 18825
+ SUB *AR6+0, -15, B, A ; 18826
+ SUB *AR6+0, -15, B, B ; 18827
+ SUB *AR6+0, -15, B ; 18828
+ SUB *AR6+0, -14, A, A ; 18829
+ SUB *AR6+0, -14, A, B ; 18830
+ SUB *AR6+0, -14, A ; 18831
+ SUB *AR6+0, -14, B, A ; 18832
+ SUB *AR6+0, -14, B, B ; 18833
+ SUB *AR6+0, -14, B ; 18834
+ SUB *AR6+0, -13, A, A ; 18835
+ SUB *AR6+0, -13, A, B ; 18836
+ SUB *AR6+0, -13, A ; 18837
+ SUB *AR6+0, -13, B, A ; 18838
+ SUB *AR6+0, -13, B, B ; 18839
+ SUB *AR6+0, -13, B ; 18840
+ SUB *AR6+0, -12, A, A ; 18841
+ SUB *AR6+0, -12, A, B ; 18842
+ SUB *AR6+0, -12, A ; 18843
+ SUB *AR6+0, -12, B, A ; 18844
+ SUB *AR6+0, -12, B, B ; 18845
+ SUB *AR6+0, -12, B ; 18846
+ SUB *AR6+0, -11, A, A ; 18847
+ SUB *AR6+0, -11, A, B ; 18848
+ SUB *AR6+0, -11, A ; 18849
+ SUB *AR6+0, -11, B, A ; 18850
+ SUB *AR6+0, -11, B, B ; 18851
+ SUB *AR6+0, -11, B ; 18852
+ SUB *AR6+0, -10, A, A ; 18853
+ SUB *AR6+0, -10, A, B ; 18854
+ SUB *AR6+0, -10, A ; 18855
+ SUB *AR6+0, -10, B, A ; 18856
+ SUB *AR6+0, -10, B, B ; 18857
+ SUB *AR6+0, -10, B ; 18858
+ SUB *AR6+0, -9, A, A ; 18859
+ SUB *AR6+0, -9, A, B ; 18860
+ SUB *AR6+0, -9, A ; 18861
+ SUB *AR6+0, -9, B, A ; 18862
+ SUB *AR6+0, -9, B, B ; 18863
+ SUB *AR6+0, -9, B ; 18864
+ SUB *AR6+0, -8, A, A ; 18865
+ SUB *AR6+0, -8, A, B ; 18866
+ SUB *AR6+0, -8, A ; 18867
+ SUB *AR6+0, -8, B, A ; 18868
+ SUB *AR6+0, -8, B, B ; 18869
+ SUB *AR6+0, -8, B ; 18870
+ SUB *AR6+0, -7, A, A ; 18871
+ SUB *AR6+0, -7, A, B ; 18872
+ SUB *AR6+0, -7, A ; 18873
+ SUB *AR6+0, -7, B, A ; 18874
+ SUB *AR6+0, -7, B, B ; 18875
+ SUB *AR6+0, -7, B ; 18876
+ SUB *AR6+0, -6, A, A ; 18877
+ SUB *AR6+0, -6, A, B ; 18878
+ SUB *AR6+0, -6, A ; 18879
+ SUB *AR6+0, -6, B, A ; 18880
+ SUB *AR6+0, -6, B, B ; 18881
+ SUB *AR6+0, -6, B ; 18882
+ SUB *AR6+0, -5, A, A ; 18883
+ SUB *AR6+0, -5, A, B ; 18884
+ SUB *AR6+0, -5, A ; 18885
+ SUB *AR6+0, -5, B, A ; 18886
+ SUB *AR6+0, -5, B, B ; 18887
+ SUB *AR6+0, -5, B ; 18888
+ SUB *AR6+0, -4, A, A ; 18889
+ SUB *AR6+0, -4, A, B ; 18890
+ SUB *AR6+0, -4, A ; 18891
+ SUB *AR6+0, -4, B, A ; 18892
+ SUB *AR6+0, -4, B, B ; 18893
+ SUB *AR6+0, -4, B ; 18894
+ SUB *AR6+0, -3, A, A ; 18895
+ SUB *AR6+0, -3, A, B ; 18896
+ SUB *AR6+0, -3, A ; 18897
+ SUB *AR6+0, -3, B, A ; 18898
+ SUB *AR6+0, -3, B, B ; 18899
+ SUB *AR6+0, -3, B ; 18900
+ SUB *AR6+0, -2, A, A ; 18901
+ SUB *AR6+0, -2, A, B ; 18902
+ SUB *AR6+0, -2, A ; 18903
+ SUB *AR6+0, -2, B, A ; 18904
+ SUB *AR6+0, -2, B, B ; 18905
+ SUB *AR6+0, -2, B ; 18906
+ SUB *AR6+0, -1, A, A ; 18907
+ SUB *AR6+0, -1, A, B ; 18908
+ SUB *AR6+0, -1, A ; 18909
+ SUB *AR6+0, -1, B, A ; 18910
+ SUB *AR6+0, -1, B, B ; 18911
+ SUB *AR6+0, -1, B ; 18912
+ SUB *AR6+0, 0, A, A ; 18913
+ SUB *AR6+0, 0, A, B ; 18914
+ SUB *AR6+0, 0, A ; 18915
+ SUB *AR6+0, 0, B, A ; 18916
+ SUB *AR6+0, 0, B, B ; 18917
+ SUB *AR6+0, 0, B ; 18918
+ SUB *AR6+0, 1, A, A ; 18919
+ SUB *AR6+0, 1, A, B ; 18920
+ SUB *AR6+0, 1, A ; 18921
+ SUB *AR6+0, 1, B, A ; 18922
+ SUB *AR6+0, 1, B, B ; 18923
+ SUB *AR6+0, 1, B ; 18924
+ SUB *AR6+0, 2, A, A ; 18925
+ SUB *AR6+0, 2, A, B ; 18926
+ SUB *AR6+0, 2, A ; 18927
+ SUB *AR6+0, 2, B, A ; 18928
+ SUB *AR6+0, 2, B, B ; 18929
+ SUB *AR6+0, 2, B ; 18930
+ SUB *AR6+0, 3, A, A ; 18931
+ SUB *AR6+0, 3, A, B ; 18932
+ SUB *AR6+0, 3, A ; 18933
+ SUB *AR6+0, 3, B, A ; 18934
+ SUB *AR6+0, 3, B, B ; 18935
+ SUB *AR6+0, 3, B ; 18936
+ SUB *AR6+0, 4, A, A ; 18937
+ SUB *AR6+0, 4, A, B ; 18938
+ SUB *AR6+0, 4, A ; 18939
+ SUB *AR6+0, 4, B, A ; 18940
+ SUB *AR6+0, 4, B, B ; 18941
+ SUB *AR6+0, 4, B ; 18942
+ SUB *AR6+0, 5, A, A ; 18943
+ SUB *AR6+0, 5, A, B ; 18944
+ SUB *AR6+0, 5, A ; 18945
+ SUB *AR6+0, 5, B, A ; 18946
+ SUB *AR6+0, 5, B, B ; 18947
+ SUB *AR6+0, 5, B ; 18948
+ SUB *AR6+0, 6, A, A ; 18949
+ SUB *AR6+0, 6, A, B ; 18950
+ SUB *AR6+0, 6, A ; 18951
+ SUB *AR6+0, 6, B, A ; 18952
+ SUB *AR6+0, 6, B, B ; 18953
+ SUB *AR6+0, 6, B ; 18954
+ SUB *AR6+0, 7, A, A ; 18955
+ SUB *AR6+0, 7, A, B ; 18956
+ SUB *AR6+0, 7, A ; 18957
+ SUB *AR6+0, 7, B, A ; 18958
+ SUB *AR6+0, 7, B, B ; 18959
+ SUB *AR6+0, 7, B ; 18960
+ SUB *AR6+0, 8, A, A ; 18961
+ SUB *AR6+0, 8, A, B ; 18962
+ SUB *AR6+0, 8, A ; 18963
+ SUB *AR6+0, 8, B, A ; 18964
+ SUB *AR6+0, 8, B, B ; 18965
+ SUB *AR6+0, 8, B ; 18966
+ SUB *AR6+0, 9, A, A ; 18967
+ SUB *AR6+0, 9, A, B ; 18968
+ SUB *AR6+0, 9, A ; 18969
+ SUB *AR6+0, 9, B, A ; 18970
+ SUB *AR6+0, 9, B, B ; 18971
+ SUB *AR6+0, 9, B ; 18972
+ SUB *AR6+0, 10, A, A ; 18973
+ SUB *AR6+0, 10, A, B ; 18974
+ SUB *AR6+0, 10, A ; 18975
+ SUB *AR6+0, 10, B, A ; 18976
+ SUB *AR6+0, 10, B, B ; 18977
+ SUB *AR6+0, 10, B ; 18978
+ SUB *AR6+0, 11, A, A ; 18979
+ SUB *AR6+0, 11, A, B ; 18980
+ SUB *AR6+0, 11, A ; 18981
+ SUB *AR6+0, 11, B, A ; 18982
+ SUB *AR6+0, 11, B, B ; 18983
+ SUB *AR6+0, 11, B ; 18984
+ SUB *AR6+0, 12, A, A ; 18985
+ SUB *AR6+0, 12, A, B ; 18986
+ SUB *AR6+0, 12, A ; 18987
+ SUB *AR6+0, 12, B, A ; 18988
+ SUB *AR6+0, 12, B, B ; 18989
+ SUB *AR6+0, 12, B ; 18990
+ SUB *AR6+0, 13, A, A ; 18991
+ SUB *AR6+0, 13, A, B ; 18992
+ SUB *AR6+0, 13, A ; 18993
+ SUB *AR6+0, 13, B, A ; 18994
+ SUB *AR6+0, 13, B, B ; 18995
+ SUB *AR6+0, 13, B ; 18996
+ SUB *AR6+0, 14, A, A ; 18997
+ SUB *AR6+0, 14, A, B ; 18998
+ SUB *AR6+0, 14, A ; 18999
+ SUB *AR6+0, 14, B, A ; 19000
+ SUB *AR6+0, 14, B, B ; 19001
+ SUB *AR6+0, 14, B ; 19002
+ SUB *AR6+0, 15, A, A ; 19003
+ SUB *AR6+0, 15, A, B ; 19004
+ SUB *AR6+0, 15, A ; 19005
+ SUB *AR6+0, 15, B, A ; 19006
+ SUB *AR6+0, 15, B, B ; 19007
+ SUB *AR6+0, 15, B ; 19008
+ SUB *AR6+0B, A, A ; 19009
+ SUB *AR6+0B, A, B ; 19010
+ SUB *AR6+0B, A ; 19011
+ SUB *AR6+0B, B, A ; 19012
+ SUB *AR6+0B, B, B ; 19013
+ SUB *AR6+0B, B ; 19014
+ SUB *AR6+0B, -16, A, A ; 19015
+ SUB *AR6+0B, -16, A, B ; 19016
+ SUB *AR6+0B, -16, A ; 19017
+ SUB *AR6+0B, -16, B, A ; 19018
+ SUB *AR6+0B, -16, B, B ; 19019
+ SUB *AR6+0B, -16, B ; 19020
+ SUB *AR6+0B, -15, A, A ; 19021
+ SUB *AR6+0B, -15, A, B ; 19022
+ SUB *AR6+0B, -15, A ; 19023
+ SUB *AR6+0B, -15, B, A ; 19024
+ SUB *AR6+0B, -15, B, B ; 19025
+ SUB *AR6+0B, -15, B ; 19026
+ SUB *AR6+0B, -14, A, A ; 19027
+ SUB *AR6+0B, -14, A, B ; 19028
+ SUB *AR6+0B, -14, A ; 19029
+ SUB *AR6+0B, -14, B, A ; 19030
+ SUB *AR6+0B, -14, B, B ; 19031
+ SUB *AR6+0B, -14, B ; 19032
+ SUB *AR6+0B, -13, A, A ; 19033
+ SUB *AR6+0B, -13, A, B ; 19034
+ SUB *AR6+0B, -13, A ; 19035
+ SUB *AR6+0B, -13, B, A ; 19036
+ SUB *AR6+0B, -13, B, B ; 19037
+ SUB *AR6+0B, -13, B ; 19038
+ SUB *AR6+0B, -12, A, A ; 19039
+ SUB *AR6+0B, -12, A, B ; 19040
+ SUB *AR6+0B, -12, A ; 19041
+ SUB *AR6+0B, -12, B, A ; 19042
+ SUB *AR6+0B, -12, B, B ; 19043
+ SUB *AR6+0B, -12, B ; 19044
+ SUB *AR6+0B, -11, A, A ; 19045
+ SUB *AR6+0B, -11, A, B ; 19046
+ SUB *AR6+0B, -11, A ; 19047
+ SUB *AR6+0B, -11, B, A ; 19048
+ SUB *AR6+0B, -11, B, B ; 19049
+ SUB *AR6+0B, -11, B ; 19050
+ SUB *AR6+0B, -10, A, A ; 19051
+ SUB *AR6+0B, -10, A, B ; 19052
+ SUB *AR6+0B, -10, A ; 19053
+ SUB *AR6+0B, -10, B, A ; 19054
+ SUB *AR6+0B, -10, B, B ; 19055
+ SUB *AR6+0B, -10, B ; 19056
+ SUB *AR6+0B, -9, A, A ; 19057
+ SUB *AR6+0B, -9, A, B ; 19058
+ SUB *AR6+0B, -9, A ; 19059
+ SUB *AR6+0B, -9, B, A ; 19060
+ SUB *AR6+0B, -9, B, B ; 19061
+ SUB *AR6+0B, -9, B ; 19062
+ SUB *AR6+0B, -8, A, A ; 19063
+ SUB *AR6+0B, -8, A, B ; 19064
+ SUB *AR6+0B, -8, A ; 19065
+ SUB *AR6+0B, -8, B, A ; 19066
+ SUB *AR6+0B, -8, B, B ; 19067
+ SUB *AR6+0B, -8, B ; 19068
+ SUB *AR6+0B, -7, A, A ; 19069
+ SUB *AR6+0B, -7, A, B ; 19070
+ SUB *AR6+0B, -7, A ; 19071
+ SUB *AR6+0B, -7, B, A ; 19072
+ SUB *AR6+0B, -7, B, B ; 19073
+ SUB *AR6+0B, -7, B ; 19074
+ SUB *AR6+0B, -6, A, A ; 19075
+ SUB *AR6+0B, -6, A, B ; 19076
+ SUB *AR6+0B, -6, A ; 19077
+ SUB *AR6+0B, -6, B, A ; 19078
+ SUB *AR6+0B, -6, B, B ; 19079
+ SUB *AR6+0B, -6, B ; 19080
+ SUB *AR6+0B, -5, A, A ; 19081
+ SUB *AR6+0B, -5, A, B ; 19082
+ SUB *AR6+0B, -5, A ; 19083
+ SUB *AR6+0B, -5, B, A ; 19084
+ SUB *AR6+0B, -5, B, B ; 19085
+ SUB *AR6+0B, -5, B ; 19086
+ SUB *AR6+0B, -4, A, A ; 19087
+ SUB *AR6+0B, -4, A, B ; 19088
+ SUB *AR6+0B, -4, A ; 19089
+ SUB *AR6+0B, -4, B, A ; 19090
+ SUB *AR6+0B, -4, B, B ; 19091
+ SUB *AR6+0B, -4, B ; 19092
+ SUB *AR6+0B, -3, A, A ; 19093
+ SUB *AR6+0B, -3, A, B ; 19094
+ SUB *AR6+0B, -3, A ; 19095
+ SUB *AR6+0B, -3, B, A ; 19096
+ SUB *AR6+0B, -3, B, B ; 19097
+ SUB *AR6+0B, -3, B ; 19098
+ SUB *AR6+0B, -2, A, A ; 19099
+ SUB *AR6+0B, -2, A, B ; 19100
+ SUB *AR6+0B, -2, A ; 19101
+ SUB *AR6+0B, -2, B, A ; 19102
+ SUB *AR6+0B, -2, B, B ; 19103
+ SUB *AR6+0B, -2, B ; 19104
+ SUB *AR6+0B, -1, A, A ; 19105
+ SUB *AR6+0B, -1, A, B ; 19106
+ SUB *AR6+0B, -1, A ; 19107
+ SUB *AR6+0B, -1, B, A ; 19108
+ SUB *AR6+0B, -1, B, B ; 19109
+ SUB *AR6+0B, -1, B ; 19110
+ SUB *AR6+0B, 0, A, A ; 19111
+ SUB *AR6+0B, 0, A, B ; 19112
+ SUB *AR6+0B, 0, A ; 19113
+ SUB *AR6+0B, 0, B, A ; 19114
+ SUB *AR6+0B, 0, B, B ; 19115
+ SUB *AR6+0B, 0, B ; 19116
+ SUB *AR6+0B, 1, A, A ; 19117
+ SUB *AR6+0B, 1, A, B ; 19118
+ SUB *AR6+0B, 1, A ; 19119
+ SUB *AR6+0B, 1, B, A ; 19120
+ SUB *AR6+0B, 1, B, B ; 19121
+ SUB *AR6+0B, 1, B ; 19122
+ SUB *AR6+0B, 2, A, A ; 19123
+ SUB *AR6+0B, 2, A, B ; 19124
+ SUB *AR6+0B, 2, A ; 19125
+ SUB *AR6+0B, 2, B, A ; 19126
+ SUB *AR6+0B, 2, B, B ; 19127
+ SUB *AR6+0B, 2, B ; 19128
+ SUB *AR6+0B, 3, A, A ; 19129
+ SUB *AR6+0B, 3, A, B ; 19130
+ SUB *AR6+0B, 3, A ; 19131
+ SUB *AR6+0B, 3, B, A ; 19132
+ SUB *AR6+0B, 3, B, B ; 19133
+ SUB *AR6+0B, 3, B ; 19134
+ SUB *AR6+0B, 4, A, A ; 19135
+ SUB *AR6+0B, 4, A, B ; 19136
+ SUB *AR6+0B, 4, A ; 19137
+ SUB *AR6+0B, 4, B, A ; 19138
+ SUB *AR6+0B, 4, B, B ; 19139
+ SUB *AR6+0B, 4, B ; 19140
+ SUB *AR6+0B, 5, A, A ; 19141
+ SUB *AR6+0B, 5, A, B ; 19142
+ SUB *AR6+0B, 5, A ; 19143
+ SUB *AR6+0B, 5, B, A ; 19144
+ SUB *AR6+0B, 5, B, B ; 19145
+ SUB *AR6+0B, 5, B ; 19146
+ SUB *AR6+0B, 6, A, A ; 19147
+ SUB *AR6+0B, 6, A, B ; 19148
+ SUB *AR6+0B, 6, A ; 19149
+ SUB *AR6+0B, 6, B, A ; 19150
+ SUB *AR6+0B, 6, B, B ; 19151
+ SUB *AR6+0B, 6, B ; 19152
+ SUB *AR6+0B, 7, A, A ; 19153
+ SUB *AR6+0B, 7, A, B ; 19154
+ SUB *AR6+0B, 7, A ; 19155
+ SUB *AR6+0B, 7, B, A ; 19156
+ SUB *AR6+0B, 7, B, B ; 19157
+ SUB *AR6+0B, 7, B ; 19158
+ SUB *AR6+0B, 8, A, A ; 19159
+ SUB *AR6+0B, 8, A, B ; 19160
+ SUB *AR6+0B, 8, A ; 19161
+ SUB *AR6+0B, 8, B, A ; 19162
+ SUB *AR6+0B, 8, B, B ; 19163
+ SUB *AR6+0B, 8, B ; 19164
+ SUB *AR6+0B, 9, A, A ; 19165
+ SUB *AR6+0B, 9, A, B ; 19166
+ SUB *AR6+0B, 9, A ; 19167
+ SUB *AR6+0B, 9, B, A ; 19168
+ SUB *AR6+0B, 9, B, B ; 19169
+ SUB *AR6+0B, 9, B ; 19170
+ SUB *AR6+0B, 10, A, A ; 19171
+ SUB *AR6+0B, 10, A, B ; 19172
+ SUB *AR6+0B, 10, A ; 19173
+ SUB *AR6+0B, 10, B, A ; 19174
+ SUB *AR6+0B, 10, B, B ; 19175
+ SUB *AR6+0B, 10, B ; 19176
+ SUB *AR6+0B, 11, A, A ; 19177
+ SUB *AR6+0B, 11, A, B ; 19178
+ SUB *AR6+0B, 11, A ; 19179
+ SUB *AR6+0B, 11, B, A ; 19180
+ SUB *AR6+0B, 11, B, B ; 19181
+ SUB *AR6+0B, 11, B ; 19182
+ SUB *AR6+0B, 12, A, A ; 19183
+ SUB *AR6+0B, 12, A, B ; 19184
+ SUB *AR6+0B, 12, A ; 19185
+ SUB *AR6+0B, 12, B, A ; 19186
+ SUB *AR6+0B, 12, B, B ; 19187
+ SUB *AR6+0B, 12, B ; 19188
+ SUB *AR6+0B, 13, A, A ; 19189
+ SUB *AR6+0B, 13, A, B ; 19190
+ SUB *AR6+0B, 13, A ; 19191
+ SUB *AR6+0B, 13, B, A ; 19192
+ SUB *AR6+0B, 13, B, B ; 19193
+ SUB *AR6+0B, 13, B ; 19194
+ SUB *AR6+0B, 14, A, A ; 19195
+ SUB *AR6+0B, 14, A, B ; 19196
+ SUB *AR6+0B, 14, A ; 19197
+ SUB *AR6+0B, 14, B, A ; 19198
+ SUB *AR6+0B, 14, B, B ; 19199
+ SUB *AR6+0B, 14, B ; 19200
+ SUB *AR6+0B, 15, A, A ; 19201
+ SUB *AR6+0B, 15, A, B ; 19202
+ SUB *AR6+0B, 15, A ; 19203
+ SUB *AR6+0B, 15, B, A ; 19204
+ SUB *AR6+0B, 15, B, B ; 19205
+ SUB *AR6+0B, 15, B ; 19206
+ SUB *AR6-%, A, A ; 19207
+ SUB *AR6-%, A, B ; 19208
+ SUB *AR6-%, A ; 19209
+ SUB *AR6-%, B, A ; 19210
+ SUB *AR6-%, B, B ; 19211
+ SUB *AR6-%, B ; 19212
+ SUB *AR6-%, -16, A, A ; 19213
+ SUB *AR6-%, -16, A, B ; 19214
+ SUB *AR6-%, -16, A ; 19215
+ SUB *AR6-%, -16, B, A ; 19216
+ SUB *AR6-%, -16, B, B ; 19217
+ SUB *AR6-%, -16, B ; 19218
+ SUB *AR6-%, -15, A, A ; 19219
+ SUB *AR6-%, -15, A, B ; 19220
+ SUB *AR6-%, -15, A ; 19221
+ SUB *AR6-%, -15, B, A ; 19222
+ SUB *AR6-%, -15, B, B ; 19223
+ SUB *AR6-%, -15, B ; 19224
+ SUB *AR6-%, -14, A, A ; 19225
+ SUB *AR6-%, -14, A, B ; 19226
+ SUB *AR6-%, -14, A ; 19227
+ SUB *AR6-%, -14, B, A ; 19228
+ SUB *AR6-%, -14, B, B ; 19229
+ SUB *AR6-%, -14, B ; 19230
+ SUB *AR6-%, -13, A, A ; 19231
+ SUB *AR6-%, -13, A, B ; 19232
+ SUB *AR6-%, -13, A ; 19233
+ SUB *AR6-%, -13, B, A ; 19234
+ SUB *AR6-%, -13, B, B ; 19235
+ SUB *AR6-%, -13, B ; 19236
+ SUB *AR6-%, -12, A, A ; 19237
+ SUB *AR6-%, -12, A, B ; 19238
+ SUB *AR6-%, -12, A ; 19239
+ SUB *AR6-%, -12, B, A ; 19240
+ SUB *AR6-%, -12, B, B ; 19241
+ SUB *AR6-%, -12, B ; 19242
+ SUB *AR6-%, -11, A, A ; 19243
+ SUB *AR6-%, -11, A, B ; 19244
+ SUB *AR6-%, -11, A ; 19245
+ SUB *AR6-%, -11, B, A ; 19246
+ SUB *AR6-%, -11, B, B ; 19247
+ SUB *AR6-%, -11, B ; 19248
+ SUB *AR6-%, -10, A, A ; 19249
+ SUB *AR6-%, -10, A, B ; 19250
+ SUB *AR6-%, -10, A ; 19251
+ SUB *AR6-%, -10, B, A ; 19252
+ SUB *AR6-%, -10, B, B ; 19253
+ SUB *AR6-%, -10, B ; 19254
+ SUB *AR6-%, -9, A, A ; 19255
+ SUB *AR6-%, -9, A, B ; 19256
+ SUB *AR6-%, -9, A ; 19257
+ SUB *AR6-%, -9, B, A ; 19258
+ SUB *AR6-%, -9, B, B ; 19259
+ SUB *AR6-%, -9, B ; 19260
+ SUB *AR6-%, -8, A, A ; 19261
+ SUB *AR6-%, -8, A, B ; 19262
+ SUB *AR6-%, -8, A ; 19263
+ SUB *AR6-%, -8, B, A ; 19264
+ SUB *AR6-%, -8, B, B ; 19265
+ SUB *AR6-%, -8, B ; 19266
+ SUB *AR6-%, -7, A, A ; 19267
+ SUB *AR6-%, -7, A, B ; 19268
+ SUB *AR6-%, -7, A ; 19269
+ SUB *AR6-%, -7, B, A ; 19270
+ SUB *AR6-%, -7, B, B ; 19271
+ SUB *AR6-%, -7, B ; 19272
+ SUB *AR6-%, -6, A, A ; 19273
+ SUB *AR6-%, -6, A, B ; 19274
+ SUB *AR6-%, -6, A ; 19275
+ SUB *AR6-%, -6, B, A ; 19276
+ SUB *AR6-%, -6, B, B ; 19277
+ SUB *AR6-%, -6, B ; 19278
+ SUB *AR6-%, -5, A, A ; 19279
+ SUB *AR6-%, -5, A, B ; 19280
+ SUB *AR6-%, -5, A ; 19281
+ SUB *AR6-%, -5, B, A ; 19282
+ SUB *AR6-%, -5, B, B ; 19283
+ SUB *AR6-%, -5, B ; 19284
+ SUB *AR6-%, -4, A, A ; 19285
+ SUB *AR6-%, -4, A, B ; 19286
+ SUB *AR6-%, -4, A ; 19287
+ SUB *AR6-%, -4, B, A ; 19288
+ SUB *AR6-%, -4, B, B ; 19289
+ SUB *AR6-%, -4, B ; 19290
+ SUB *AR6-%, -3, A, A ; 19291
+ SUB *AR6-%, -3, A, B ; 19292
+ SUB *AR6-%, -3, A ; 19293
+ SUB *AR6-%, -3, B, A ; 19294
+ SUB *AR6-%, -3, B, B ; 19295
+ SUB *AR6-%, -3, B ; 19296
+ SUB *AR6-%, -2, A, A ; 19297
+ SUB *AR6-%, -2, A, B ; 19298
+ SUB *AR6-%, -2, A ; 19299
+ SUB *AR6-%, -2, B, A ; 19300
+ SUB *AR6-%, -2, B, B ; 19301
+ SUB *AR6-%, -2, B ; 19302
+ SUB *AR6-%, -1, A, A ; 19303
+ SUB *AR6-%, -1, A, B ; 19304
+ SUB *AR6-%, -1, A ; 19305
+ SUB *AR6-%, -1, B, A ; 19306
+ SUB *AR6-%, -1, B, B ; 19307
+ SUB *AR6-%, -1, B ; 19308
+ SUB *AR6-%, 0, A, A ; 19309
+ SUB *AR6-%, 0, A, B ; 19310
+ SUB *AR6-%, 0, A ; 19311
+ SUB *AR6-%, 0, B, A ; 19312
+ SUB *AR6-%, 0, B, B ; 19313
+ SUB *AR6-%, 0, B ; 19314
+ SUB *AR6-%, 1, A, A ; 19315
+ SUB *AR6-%, 1, A, B ; 19316
+ SUB *AR6-%, 1, A ; 19317
+ SUB *AR6-%, 1, B, A ; 19318
+ SUB *AR6-%, 1, B, B ; 19319
+ SUB *AR6-%, 1, B ; 19320
+ SUB *AR6-%, 2, A, A ; 19321
+ SUB *AR6-%, 2, A, B ; 19322
+ SUB *AR6-%, 2, A ; 19323
+ SUB *AR6-%, 2, B, A ; 19324
+ SUB *AR6-%, 2, B, B ; 19325
+ SUB *AR6-%, 2, B ; 19326
+ SUB *AR6-%, 3, A, A ; 19327
+ SUB *AR6-%, 3, A, B ; 19328
+ SUB *AR6-%, 3, A ; 19329
+ SUB *AR6-%, 3, B, A ; 19330
+ SUB *AR6-%, 3, B, B ; 19331
+ SUB *AR6-%, 3, B ; 19332
+ SUB *AR6-%, 4, A, A ; 19333
+ SUB *AR6-%, 4, A, B ; 19334
+ SUB *AR6-%, 4, A ; 19335
+ SUB *AR6-%, 4, B, A ; 19336
+ SUB *AR6-%, 4, B, B ; 19337
+ SUB *AR6-%, 4, B ; 19338
+ SUB *AR6-%, 5, A, A ; 19339
+ SUB *AR6-%, 5, A, B ; 19340
+ SUB *AR6-%, 5, A ; 19341
+ SUB *AR6-%, 5, B, A ; 19342
+ SUB *AR6-%, 5, B, B ; 19343
+ SUB *AR6-%, 5, B ; 19344
+ SUB *AR6-%, 6, A, A ; 19345
+ SUB *AR6-%, 6, A, B ; 19346
+ SUB *AR6-%, 6, A ; 19347
+ SUB *AR6-%, 6, B, A ; 19348
+ SUB *AR6-%, 6, B, B ; 19349
+ SUB *AR6-%, 6, B ; 19350
+ SUB *AR6-%, 7, A, A ; 19351
+ SUB *AR6-%, 7, A, B ; 19352
+ SUB *AR6-%, 7, A ; 19353
+ SUB *AR6-%, 7, B, A ; 19354
+ SUB *AR6-%, 7, B, B ; 19355
+ SUB *AR6-%, 7, B ; 19356
+ SUB *AR6-%, 8, A, A ; 19357
+ SUB *AR6-%, 8, A, B ; 19358
+ SUB *AR6-%, 8, A ; 19359
+ SUB *AR6-%, 8, B, A ; 19360
+ SUB *AR6-%, 8, B, B ; 19361
+ SUB *AR6-%, 8, B ; 19362
+ SUB *AR6-%, 9, A, A ; 19363
+ SUB *AR6-%, 9, A, B ; 19364
+ SUB *AR6-%, 9, A ; 19365
+ SUB *AR6-%, 9, B, A ; 19366
+ SUB *AR6-%, 9, B, B ; 19367
+ SUB *AR6-%, 9, B ; 19368
+ SUB *AR6-%, 10, A, A ; 19369
+ SUB *AR6-%, 10, A, B ; 19370
+ SUB *AR6-%, 10, A ; 19371
+ SUB *AR6-%, 10, B, A ; 19372
+ SUB *AR6-%, 10, B, B ; 19373
+ SUB *AR6-%, 10, B ; 19374
+ SUB *AR6-%, 11, A, A ; 19375
+ SUB *AR6-%, 11, A, B ; 19376
+ SUB *AR6-%, 11, A ; 19377
+ SUB *AR6-%, 11, B, A ; 19378
+ SUB *AR6-%, 11, B, B ; 19379
+ SUB *AR6-%, 11, B ; 19380
+ SUB *AR6-%, 12, A, A ; 19381
+ SUB *AR6-%, 12, A, B ; 19382
+ SUB *AR6-%, 12, A ; 19383
+ SUB *AR6-%, 12, B, A ; 19384
+ SUB *AR6-%, 12, B, B ; 19385
+ SUB *AR6-%, 12, B ; 19386
+ SUB *AR6-%, 13, A, A ; 19387
+ SUB *AR6-%, 13, A, B ; 19388
+ SUB *AR6-%, 13, A ; 19389
+ SUB *AR6-%, 13, B, A ; 19390
+ SUB *AR6-%, 13, B, B ; 19391
+ SUB *AR6-%, 13, B ; 19392
+ SUB *AR6-%, 14, A, A ; 19393
+ SUB *AR6-%, 14, A, B ; 19394
+ SUB *AR6-%, 14, A ; 19395
+ SUB *AR6-%, 14, B, A ; 19396
+ SUB *AR6-%, 14, B, B ; 19397
+ SUB *AR6-%, 14, B ; 19398
+ SUB *AR6-%, 15, A, A ; 19399
+ SUB *AR6-%, 15, A, B ; 19400
+ SUB *AR6-%, 15, A ; 19401
+ SUB *AR6-%, 15, B, A ; 19402
+ SUB *AR6-%, 15, B, B ; 19403
+ SUB *AR6-%, 15, B ; 19404
+ SUB *AR6-0%, A, A ; 19405
+ SUB *AR6-0%, A, B ; 19406
+ SUB *AR6-0%, A ; 19407
+ SUB *AR6-0%, B, A ; 19408
+ SUB *AR6-0%, B, B ; 19409
+ SUB *AR6-0%, B ; 19410
+ SUB *AR6-0%, -16, A, A ; 19411
+ SUB *AR6-0%, -16, A, B ; 19412
+ SUB *AR6-0%, -16, A ; 19413
+ SUB *AR6-0%, -16, B, A ; 19414
+ SUB *AR6-0%, -16, B, B ; 19415
+ SUB *AR6-0%, -16, B ; 19416
+ SUB *AR6-0%, -15, A, A ; 19417
+ SUB *AR6-0%, -15, A, B ; 19418
+ SUB *AR6-0%, -15, A ; 19419
+ SUB *AR6-0%, -15, B, A ; 19420
+ SUB *AR6-0%, -15, B, B ; 19421
+ SUB *AR6-0%, -15, B ; 19422
+ SUB *AR6-0%, -14, A, A ; 19423
+ SUB *AR6-0%, -14, A, B ; 19424
+ SUB *AR6-0%, -14, A ; 19425
+ SUB *AR6-0%, -14, B, A ; 19426
+ SUB *AR6-0%, -14, B, B ; 19427
+ SUB *AR6-0%, -14, B ; 19428
+ SUB *AR6-0%, -13, A, A ; 19429
+ SUB *AR6-0%, -13, A, B ; 19430
+ SUB *AR6-0%, -13, A ; 19431
+ SUB *AR6-0%, -13, B, A ; 19432
+ SUB *AR6-0%, -13, B, B ; 19433
+ SUB *AR6-0%, -13, B ; 19434
+ SUB *AR6-0%, -12, A, A ; 19435
+ SUB *AR6-0%, -12, A, B ; 19436
+ SUB *AR6-0%, -12, A ; 19437
+ SUB *AR6-0%, -12, B, A ; 19438
+ SUB *AR6-0%, -12, B, B ; 19439
+ SUB *AR6-0%, -12, B ; 19440
+ SUB *AR6-0%, -11, A, A ; 19441
+ SUB *AR6-0%, -11, A, B ; 19442
+ SUB *AR6-0%, -11, A ; 19443
+ SUB *AR6-0%, -11, B, A ; 19444
+ SUB *AR6-0%, -11, B, B ; 19445
+ SUB *AR6-0%, -11, B ; 19446
+ SUB *AR6-0%, -10, A, A ; 19447
+ SUB *AR6-0%, -10, A, B ; 19448
+ SUB *AR6-0%, -10, A ; 19449
+ SUB *AR6-0%, -10, B, A ; 19450
+ SUB *AR6-0%, -10, B, B ; 19451
+ SUB *AR6-0%, -10, B ; 19452
+ SUB *AR6-0%, -9, A, A ; 19453
+ SUB *AR6-0%, -9, A, B ; 19454
+ SUB *AR6-0%, -9, A ; 19455
+ SUB *AR6-0%, -9, B, A ; 19456
+ SUB *AR6-0%, -9, B, B ; 19457
+ SUB *AR6-0%, -9, B ; 19458
+ SUB *AR6-0%, -8, A, A ; 19459
+ SUB *AR6-0%, -8, A, B ; 19460
+ SUB *AR6-0%, -8, A ; 19461
+ SUB *AR6-0%, -8, B, A ; 19462
+ SUB *AR6-0%, -8, B, B ; 19463
+ SUB *AR6-0%, -8, B ; 19464
+ SUB *AR6-0%, -7, A, A ; 19465
+ SUB *AR6-0%, -7, A, B ; 19466
+ SUB *AR6-0%, -7, A ; 19467
+ SUB *AR6-0%, -7, B, A ; 19468
+ SUB *AR6-0%, -7, B, B ; 19469
+ SUB *AR6-0%, -7, B ; 19470
+ SUB *AR6-0%, -6, A, A ; 19471
+ SUB *AR6-0%, -6, A, B ; 19472
+ SUB *AR6-0%, -6, A ; 19473
+ SUB *AR6-0%, -6, B, A ; 19474
+ SUB *AR6-0%, -6, B, B ; 19475
+ SUB *AR6-0%, -6, B ; 19476
+ SUB *AR6-0%, -5, A, A ; 19477
+ SUB *AR6-0%, -5, A, B ; 19478
+ SUB *AR6-0%, -5, A ; 19479
+ SUB *AR6-0%, -5, B, A ; 19480
+ SUB *AR6-0%, -5, B, B ; 19481
+ SUB *AR6-0%, -5, B ; 19482
+ SUB *AR6-0%, -4, A, A ; 19483
+ SUB *AR6-0%, -4, A, B ; 19484
+ SUB *AR6-0%, -4, A ; 19485
+ SUB *AR6-0%, -4, B, A ; 19486
+ SUB *AR6-0%, -4, B, B ; 19487
+ SUB *AR6-0%, -4, B ; 19488
+ SUB *AR6-0%, -3, A, A ; 19489
+ SUB *AR6-0%, -3, A, B ; 19490
+ SUB *AR6-0%, -3, A ; 19491
+ SUB *AR6-0%, -3, B, A ; 19492
+ SUB *AR6-0%, -3, B, B ; 19493
+ SUB *AR6-0%, -3, B ; 19494
+ SUB *AR6-0%, -2, A, A ; 19495
+ SUB *AR6-0%, -2, A, B ; 19496
+ SUB *AR6-0%, -2, A ; 19497
+ SUB *AR6-0%, -2, B, A ; 19498
+ SUB *AR6-0%, -2, B, B ; 19499
+ SUB *AR6-0%, -2, B ; 19500
+ SUB *AR6-0%, -1, A, A ; 19501
+ SUB *AR6-0%, -1, A, B ; 19502
+ SUB *AR6-0%, -1, A ; 19503
+ SUB *AR6-0%, -1, B, A ; 19504
+ SUB *AR6-0%, -1, B, B ; 19505
+ SUB *AR6-0%, -1, B ; 19506
+ SUB *AR6-0%, 0, A, A ; 19507
+ SUB *AR6-0%, 0, A, B ; 19508
+ SUB *AR6-0%, 0, A ; 19509
+ SUB *AR6-0%, 0, B, A ; 19510
+ SUB *AR6-0%, 0, B, B ; 19511
+ SUB *AR6-0%, 0, B ; 19512
+ SUB *AR6-0%, 1, A, A ; 19513
+ SUB *AR6-0%, 1, A, B ; 19514
+ SUB *AR6-0%, 1, A ; 19515
+ SUB *AR6-0%, 1, B, A ; 19516
+ SUB *AR6-0%, 1, B, B ; 19517
+ SUB *AR6-0%, 1, B ; 19518
+ SUB *AR6-0%, 2, A, A ; 19519
+ SUB *AR6-0%, 2, A, B ; 19520
+ SUB *AR6-0%, 2, A ; 19521
+ SUB *AR6-0%, 2, B, A ; 19522
+ SUB *AR6-0%, 2, B, B ; 19523
+ SUB *AR6-0%, 2, B ; 19524
+ SUB *AR6-0%, 3, A, A ; 19525
+ SUB *AR6-0%, 3, A, B ; 19526
+ SUB *AR6-0%, 3, A ; 19527
+ SUB *AR6-0%, 3, B, A ; 19528
+ SUB *AR6-0%, 3, B, B ; 19529
+ SUB *AR6-0%, 3, B ; 19530
+ SUB *AR6-0%, 4, A, A ; 19531
+ SUB *AR6-0%, 4, A, B ; 19532
+ SUB *AR6-0%, 4, A ; 19533
+ SUB *AR6-0%, 4, B, A ; 19534
+ SUB *AR6-0%, 4, B, B ; 19535
+ SUB *AR6-0%, 4, B ; 19536
+ SUB *AR6-0%, 5, A, A ; 19537
+ SUB *AR6-0%, 5, A, B ; 19538
+ SUB *AR6-0%, 5, A ; 19539
+ SUB *AR6-0%, 5, B, A ; 19540
+ SUB *AR6-0%, 5, B, B ; 19541
+ SUB *AR6-0%, 5, B ; 19542
+ SUB *AR6-0%, 6, A, A ; 19543
+ SUB *AR6-0%, 6, A, B ; 19544
+ SUB *AR6-0%, 6, A ; 19545
+ SUB *AR6-0%, 6, B, A ; 19546
+ SUB *AR6-0%, 6, B, B ; 19547
+ SUB *AR6-0%, 6, B ; 19548
+ SUB *AR6-0%, 7, A, A ; 19549
+ SUB *AR6-0%, 7, A, B ; 19550
+ SUB *AR6-0%, 7, A ; 19551
+ SUB *AR6-0%, 7, B, A ; 19552
+ SUB *AR6-0%, 7, B, B ; 19553
+ SUB *AR6-0%, 7, B ; 19554
+ SUB *AR6-0%, 8, A, A ; 19555
+ SUB *AR6-0%, 8, A, B ; 19556
+ SUB *AR6-0%, 8, A ; 19557
+ SUB *AR6-0%, 8, B, A ; 19558
+ SUB *AR6-0%, 8, B, B ; 19559
+ SUB *AR6-0%, 8, B ; 19560
+ SUB *AR6-0%, 9, A, A ; 19561
+ SUB *AR6-0%, 9, A, B ; 19562
+ SUB *AR6-0%, 9, A ; 19563
+ SUB *AR6-0%, 9, B, A ; 19564
+ SUB *AR6-0%, 9, B, B ; 19565
+ SUB *AR6-0%, 9, B ; 19566
+ SUB *AR6-0%, 10, A, A ; 19567
+ SUB *AR6-0%, 10, A, B ; 19568
+ SUB *AR6-0%, 10, A ; 19569
+ SUB *AR6-0%, 10, B, A ; 19570
+ SUB *AR6-0%, 10, B, B ; 19571
+ SUB *AR6-0%, 10, B ; 19572
+ SUB *AR6-0%, 11, A, A ; 19573
+ SUB *AR6-0%, 11, A, B ; 19574
+ SUB *AR6-0%, 11, A ; 19575
+ SUB *AR6-0%, 11, B, A ; 19576
+ SUB *AR6-0%, 11, B, B ; 19577
+ SUB *AR6-0%, 11, B ; 19578
+ SUB *AR6-0%, 12, A, A ; 19579
+ SUB *AR6-0%, 12, A, B ; 19580
+ SUB *AR6-0%, 12, A ; 19581
+ SUB *AR6-0%, 12, B, A ; 19582
+ SUB *AR6-0%, 12, B, B ; 19583
+ SUB *AR6-0%, 12, B ; 19584
+ SUB *AR6-0%, 13, A, A ; 19585
+ SUB *AR6-0%, 13, A, B ; 19586
+ SUB *AR6-0%, 13, A ; 19587
+ SUB *AR6-0%, 13, B, A ; 19588
+ SUB *AR6-0%, 13, B, B ; 19589
+ SUB *AR6-0%, 13, B ; 19590
+ SUB *AR6-0%, 14, A, A ; 19591
+ SUB *AR6-0%, 14, A, B ; 19592
+ SUB *AR6-0%, 14, A ; 19593
+ SUB *AR6-0%, 14, B, A ; 19594
+ SUB *AR6-0%, 14, B, B ; 19595
+ SUB *AR6-0%, 14, B ; 19596
+ SUB *AR6-0%, 15, A, A ; 19597
+ SUB *AR6-0%, 15, A, B ; 19598
+ SUB *AR6-0%, 15, A ; 19599
+ SUB *AR6-0%, 15, B, A ; 19600
+ SUB *AR6-0%, 15, B, B ; 19601
+ SUB *AR6-0%, 15, B ; 19602
+ SUB *AR6+%, A, A ; 19603
+ SUB *AR6+%, A, B ; 19604
+ SUB *AR6+%, A ; 19605
+ SUB *AR6+%, B, A ; 19606
+ SUB *AR6+%, B, B ; 19607
+ SUB *AR6+%, B ; 19608
+ SUB *AR6+%, -16, A, A ; 19609
+ SUB *AR6+%, -16, A, B ; 19610
+ SUB *AR6+%, -16, A ; 19611
+ SUB *AR6+%, -16, B, A ; 19612
+ SUB *AR6+%, -16, B, B ; 19613
+ SUB *AR6+%, -16, B ; 19614
+ SUB *AR6+%, -15, A, A ; 19615
+ SUB *AR6+%, -15, A, B ; 19616
+ SUB *AR6+%, -15, A ; 19617
+ SUB *AR6+%, -15, B, A ; 19618
+ SUB *AR6+%, -15, B, B ; 19619
+ SUB *AR6+%, -15, B ; 19620
+ SUB *AR6+%, -14, A, A ; 19621
+ SUB *AR6+%, -14, A, B ; 19622
+ SUB *AR6+%, -14, A ; 19623
+ SUB *AR6+%, -14, B, A ; 19624
+ SUB *AR6+%, -14, B, B ; 19625
+ SUB *AR6+%, -14, B ; 19626
+ SUB *AR6+%, -13, A, A ; 19627
+ SUB *AR6+%, -13, A, B ; 19628
+ SUB *AR6+%, -13, A ; 19629
+ SUB *AR6+%, -13, B, A ; 19630
+ SUB *AR6+%, -13, B, B ; 19631
+ SUB *AR6+%, -13, B ; 19632
+ SUB *AR6+%, -12, A, A ; 19633
+ SUB *AR6+%, -12, A, B ; 19634
+ SUB *AR6+%, -12, A ; 19635
+ SUB *AR6+%, -12, B, A ; 19636
+ SUB *AR6+%, -12, B, B ; 19637
+ SUB *AR6+%, -12, B ; 19638
+ SUB *AR6+%, -11, A, A ; 19639
+ SUB *AR6+%, -11, A, B ; 19640
+ SUB *AR6+%, -11, A ; 19641
+ SUB *AR6+%, -11, B, A ; 19642
+ SUB *AR6+%, -11, B, B ; 19643
+ SUB *AR6+%, -11, B ; 19644
+ SUB *AR6+%, -10, A, A ; 19645
+ SUB *AR6+%, -10, A, B ; 19646
+ SUB *AR6+%, -10, A ; 19647
+ SUB *AR6+%, -10, B, A ; 19648
+ SUB *AR6+%, -10, B, B ; 19649
+ SUB *AR6+%, -10, B ; 19650
+ SUB *AR6+%, -9, A, A ; 19651
+ SUB *AR6+%, -9, A, B ; 19652
+ SUB *AR6+%, -9, A ; 19653
+ SUB *AR6+%, -9, B, A ; 19654
+ SUB *AR6+%, -9, B, B ; 19655
+ SUB *AR6+%, -9, B ; 19656
+ SUB *AR6+%, -8, A, A ; 19657
+ SUB *AR6+%, -8, A, B ; 19658
+ SUB *AR6+%, -8, A ; 19659
+ SUB *AR6+%, -8, B, A ; 19660
+ SUB *AR6+%, -8, B, B ; 19661
+ SUB *AR6+%, -8, B ; 19662
+ SUB *AR6+%, -7, A, A ; 19663
+ SUB *AR6+%, -7, A, B ; 19664
+ SUB *AR6+%, -7, A ; 19665
+ SUB *AR6+%, -7, B, A ; 19666
+ SUB *AR6+%, -7, B, B ; 19667
+ SUB *AR6+%, -7, B ; 19668
+ SUB *AR6+%, -6, A, A ; 19669
+ SUB *AR6+%, -6, A, B ; 19670
+ SUB *AR6+%, -6, A ; 19671
+ SUB *AR6+%, -6, B, A ; 19672
+ SUB *AR6+%, -6, B, B ; 19673
+ SUB *AR6+%, -6, B ; 19674
+ SUB *AR6+%, -5, A, A ; 19675
+ SUB *AR6+%, -5, A, B ; 19676
+ SUB *AR6+%, -5, A ; 19677
+ SUB *AR6+%, -5, B, A ; 19678
+ SUB *AR6+%, -5, B, B ; 19679
+ SUB *AR6+%, -5, B ; 19680
+ SUB *AR6+%, -4, A, A ; 19681
+ SUB *AR6+%, -4, A, B ; 19682
+ SUB *AR6+%, -4, A ; 19683
+ SUB *AR6+%, -4, B, A ; 19684
+ SUB *AR6+%, -4, B, B ; 19685
+ SUB *AR6+%, -4, B ; 19686
+ SUB *AR6+%, -3, A, A ; 19687
+ SUB *AR6+%, -3, A, B ; 19688
+ SUB *AR6+%, -3, A ; 19689
+ SUB *AR6+%, -3, B, A ; 19690
+ SUB *AR6+%, -3, B, B ; 19691
+ SUB *AR6+%, -3, B ; 19692
+ SUB *AR6+%, -2, A, A ; 19693
+ SUB *AR6+%, -2, A, B ; 19694
+ SUB *AR6+%, -2, A ; 19695
+ SUB *AR6+%, -2, B, A ; 19696
+ SUB *AR6+%, -2, B, B ; 19697
+ SUB *AR6+%, -2, B ; 19698
+ SUB *AR6+%, -1, A, A ; 19699
+ SUB *AR6+%, -1, A, B ; 19700
+ SUB *AR6+%, -1, A ; 19701
+ SUB *AR6+%, -1, B, A ; 19702
+ SUB *AR6+%, -1, B, B ; 19703
+ SUB *AR6+%, -1, B ; 19704
+ SUB *AR6+%, 0, A, A ; 19705
+ SUB *AR6+%, 0, A, B ; 19706
+ SUB *AR6+%, 0, A ; 19707
+ SUB *AR6+%, 0, B, A ; 19708
+ SUB *AR6+%, 0, B, B ; 19709
+ SUB *AR6+%, 0, B ; 19710
+ SUB *AR6+%, 1, A, A ; 19711
+ SUB *AR6+%, 1, A, B ; 19712
+ SUB *AR6+%, 1, A ; 19713
+ SUB *AR6+%, 1, B, A ; 19714
+ SUB *AR6+%, 1, B, B ; 19715
+ SUB *AR6+%, 1, B ; 19716
+ SUB *AR6+%, 2, A, A ; 19717
+ SUB *AR6+%, 2, A, B ; 19718
+ SUB *AR6+%, 2, A ; 19719
+ SUB *AR6+%, 2, B, A ; 19720
+ SUB *AR6+%, 2, B, B ; 19721
+ SUB *AR6+%, 2, B ; 19722
+ SUB *AR6+%, 3, A, A ; 19723
+ SUB *AR6+%, 3, A, B ; 19724
+ SUB *AR6+%, 3, A ; 19725
+ SUB *AR6+%, 3, B, A ; 19726
+ SUB *AR6+%, 3, B, B ; 19727
+ SUB *AR6+%, 3, B ; 19728
+ SUB *AR6+%, 4, A, A ; 19729
+ SUB *AR6+%, 4, A, B ; 19730
+ SUB *AR6+%, 4, A ; 19731
+ SUB *AR6+%, 4, B, A ; 19732
+ SUB *AR6+%, 4, B, B ; 19733
+ SUB *AR6+%, 4, B ; 19734
+ SUB *AR6+%, 5, A, A ; 19735
+ SUB *AR6+%, 5, A, B ; 19736
+ SUB *AR6+%, 5, A ; 19737
+ SUB *AR6+%, 5, B, A ; 19738
+ SUB *AR6+%, 5, B, B ; 19739
+ SUB *AR6+%, 5, B ; 19740
+ SUB *AR6+%, 6, A, A ; 19741
+ SUB *AR6+%, 6, A, B ; 19742
+ SUB *AR6+%, 6, A ; 19743
+ SUB *AR6+%, 6, B, A ; 19744
+ SUB *AR6+%, 6, B, B ; 19745
+ SUB *AR6+%, 6, B ; 19746
+ SUB *AR6+%, 7, A, A ; 19747
+ SUB *AR6+%, 7, A, B ; 19748
+ SUB *AR6+%, 7, A ; 19749
+ SUB *AR6+%, 7, B, A ; 19750
+ SUB *AR6+%, 7, B, B ; 19751
+ SUB *AR6+%, 7, B ; 19752
+ SUB *AR6+%, 8, A, A ; 19753
+ SUB *AR6+%, 8, A, B ; 19754
+ SUB *AR6+%, 8, A ; 19755
+ SUB *AR6+%, 8, B, A ; 19756
+ SUB *AR6+%, 8, B, B ; 19757
+ SUB *AR6+%, 8, B ; 19758
+ SUB *AR6+%, 9, A, A ; 19759
+ SUB *AR6+%, 9, A, B ; 19760
+ SUB *AR6+%, 9, A ; 19761
+ SUB *AR6+%, 9, B, A ; 19762
+ SUB *AR6+%, 9, B, B ; 19763
+ SUB *AR6+%, 9, B ; 19764
+ SUB *AR6+%, 10, A, A ; 19765
+ SUB *AR6+%, 10, A, B ; 19766
+ SUB *AR6+%, 10, A ; 19767
+ SUB *AR6+%, 10, B, A ; 19768
+ SUB *AR6+%, 10, B, B ; 19769
+ SUB *AR6+%, 10, B ; 19770
+ SUB *AR6+%, 11, A, A ; 19771
+ SUB *AR6+%, 11, A, B ; 19772
+ SUB *AR6+%, 11, A ; 19773
+ SUB *AR6+%, 11, B, A ; 19774
+ SUB *AR6+%, 11, B, B ; 19775
+ SUB *AR6+%, 11, B ; 19776
+ SUB *AR6+%, 12, A, A ; 19777
+ SUB *AR6+%, 12, A, B ; 19778
+ SUB *AR6+%, 12, A ; 19779
+ SUB *AR6+%, 12, B, A ; 19780
+ SUB *AR6+%, 12, B, B ; 19781
+ SUB *AR6+%, 12, B ; 19782
+ SUB *AR6+%, 13, A, A ; 19783
+ SUB *AR6+%, 13, A, B ; 19784
+ SUB *AR6+%, 13, A ; 19785
+ SUB *AR6+%, 13, B, A ; 19786
+ SUB *AR6+%, 13, B, B ; 19787
+ SUB *AR6+%, 13, B ; 19788
+ SUB *AR6+%, 14, A, A ; 19789
+ SUB *AR6+%, 14, A, B ; 19790
+ SUB *AR6+%, 14, A ; 19791
+ SUB *AR6+%, 14, B, A ; 19792
+ SUB *AR6+%, 14, B, B ; 19793
+ SUB *AR6+%, 14, B ; 19794
+ SUB *AR6+%, 15, A, A ; 19795
+ SUB *AR6+%, 15, A, B ; 19796
+ SUB *AR6+%, 15, A ; 19797
+ SUB *AR6+%, 15, B, A ; 19798
+ SUB *AR6+%, 15, B, B ; 19799
+ SUB *AR6+%, 15, B ; 19800
+ SUB *AR6+0%, A, A ; 19801
+ SUB *AR6+0%, A, B ; 19802
+ SUB *AR6+0%, A ; 19803
+ SUB *AR6+0%, B, A ; 19804
+ SUB *AR6+0%, B, B ; 19805
+ SUB *AR6+0%, B ; 19806
+ SUB *AR6+0%, -16, A, A ; 19807
+ SUB *AR6+0%, -16, A, B ; 19808
+ SUB *AR6+0%, -16, A ; 19809
+ SUB *AR6+0%, -16, B, A ; 19810
+ SUB *AR6+0%, -16, B, B ; 19811
+ SUB *AR6+0%, -16, B ; 19812
+ SUB *AR6+0%, -15, A, A ; 19813
+ SUB *AR6+0%, -15, A, B ; 19814
+ SUB *AR6+0%, -15, A ; 19815
+ SUB *AR6+0%, -15, B, A ; 19816
+ SUB *AR6+0%, -15, B, B ; 19817
+ SUB *AR6+0%, -15, B ; 19818
+ SUB *AR6+0%, -14, A, A ; 19819
+ SUB *AR6+0%, -14, A, B ; 19820
+ SUB *AR6+0%, -14, A ; 19821
+ SUB *AR6+0%, -14, B, A ; 19822
+ SUB *AR6+0%, -14, B, B ; 19823
+ SUB *AR6+0%, -14, B ; 19824
+ SUB *AR6+0%, -13, A, A ; 19825
+ SUB *AR6+0%, -13, A, B ; 19826
+ SUB *AR6+0%, -13, A ; 19827
+ SUB *AR6+0%, -13, B, A ; 19828
+ SUB *AR6+0%, -13, B, B ; 19829
+ SUB *AR6+0%, -13, B ; 19830
+ SUB *AR6+0%, -12, A, A ; 19831
+ SUB *AR6+0%, -12, A, B ; 19832
+ SUB *AR6+0%, -12, A ; 19833
+ SUB *AR6+0%, -12, B, A ; 19834
+ SUB *AR6+0%, -12, B, B ; 19835
+ SUB *AR6+0%, -12, B ; 19836
+ SUB *AR6+0%, -11, A, A ; 19837
+ SUB *AR6+0%, -11, A, B ; 19838
+ SUB *AR6+0%, -11, A ; 19839
+ SUB *AR6+0%, -11, B, A ; 19840
+ SUB *AR6+0%, -11, B, B ; 19841
+ SUB *AR6+0%, -11, B ; 19842
+ SUB *AR6+0%, -10, A, A ; 19843
+ SUB *AR6+0%, -10, A, B ; 19844
+ SUB *AR6+0%, -10, A ; 19845
+ SUB *AR6+0%, -10, B, A ; 19846
+ SUB *AR6+0%, -10, B, B ; 19847
+ SUB *AR6+0%, -10, B ; 19848
+ SUB *AR6+0%, -9, A, A ; 19849
+ SUB *AR6+0%, -9, A, B ; 19850
+ SUB *AR6+0%, -9, A ; 19851
+ SUB *AR6+0%, -9, B, A ; 19852
+ SUB *AR6+0%, -9, B, B ; 19853
+ SUB *AR6+0%, -9, B ; 19854
+ SUB *AR6+0%, -8, A, A ; 19855
+ SUB *AR6+0%, -8, A, B ; 19856
+ SUB *AR6+0%, -8, A ; 19857
+ SUB *AR6+0%, -8, B, A ; 19858
+ SUB *AR6+0%, -8, B, B ; 19859
+ SUB *AR6+0%, -8, B ; 19860
+ SUB *AR6+0%, -7, A, A ; 19861
+ SUB *AR6+0%, -7, A, B ; 19862
+ SUB *AR6+0%, -7, A ; 19863
+ SUB *AR6+0%, -7, B, A ; 19864
+ SUB *AR6+0%, -7, B, B ; 19865
+ SUB *AR6+0%, -7, B ; 19866
+ SUB *AR6+0%, -6, A, A ; 19867
+ SUB *AR6+0%, -6, A, B ; 19868
+ SUB *AR6+0%, -6, A ; 19869
+ SUB *AR6+0%, -6, B, A ; 19870
+ SUB *AR6+0%, -6, B, B ; 19871
+ SUB *AR6+0%, -6, B ; 19872
+ SUB *AR6+0%, -5, A, A ; 19873
+ SUB *AR6+0%, -5, A, B ; 19874
+ SUB *AR6+0%, -5, A ; 19875
+ SUB *AR6+0%, -5, B, A ; 19876
+ SUB *AR6+0%, -5, B, B ; 19877
+ SUB *AR6+0%, -5, B ; 19878
+ SUB *AR6+0%, -4, A, A ; 19879
+ SUB *AR6+0%, -4, A, B ; 19880
+ SUB *AR6+0%, -4, A ; 19881
+ SUB *AR6+0%, -4, B, A ; 19882
+ SUB *AR6+0%, -4, B, B ; 19883
+ SUB *AR6+0%, -4, B ; 19884
+ SUB *AR6+0%, -3, A, A ; 19885
+ SUB *AR6+0%, -3, A, B ; 19886
+ SUB *AR6+0%, -3, A ; 19887
+ SUB *AR6+0%, -3, B, A ; 19888
+ SUB *AR6+0%, -3, B, B ; 19889
+ SUB *AR6+0%, -3, B ; 19890
+ SUB *AR6+0%, -2, A, A ; 19891
+ SUB *AR6+0%, -2, A, B ; 19892
+ SUB *AR6+0%, -2, A ; 19893
+ SUB *AR6+0%, -2, B, A ; 19894
+ SUB *AR6+0%, -2, B, B ; 19895
+ SUB *AR6+0%, -2, B ; 19896
+ SUB *AR6+0%, -1, A, A ; 19897
+ SUB *AR6+0%, -1, A, B ; 19898
+ SUB *AR6+0%, -1, A ; 19899
+ SUB *AR6+0%, -1, B, A ; 19900
+ SUB *AR6+0%, -1, B, B ; 19901
+ SUB *AR6+0%, -1, B ; 19902
+ SUB *AR6+0%, 0, A, A ; 19903
+ SUB *AR6+0%, 0, A, B ; 19904
+ SUB *AR6+0%, 0, A ; 19905
+ SUB *AR6+0%, 0, B, A ; 19906
+ SUB *AR6+0%, 0, B, B ; 19907
+ SUB *AR6+0%, 0, B ; 19908
+ SUB *AR6+0%, 1, A, A ; 19909
+ SUB *AR6+0%, 1, A, B ; 19910
+ SUB *AR6+0%, 1, A ; 19911
+ SUB *AR6+0%, 1, B, A ; 19912
+ SUB *AR6+0%, 1, B, B ; 19913
+ SUB *AR6+0%, 1, B ; 19914
+ SUB *AR6+0%, 2, A, A ; 19915
+ SUB *AR6+0%, 2, A, B ; 19916
+ SUB *AR6+0%, 2, A ; 19917
+ SUB *AR6+0%, 2, B, A ; 19918
+ SUB *AR6+0%, 2, B, B ; 19919
+ SUB *AR6+0%, 2, B ; 19920
+ SUB *AR6+0%, 3, A, A ; 19921
+ SUB *AR6+0%, 3, A, B ; 19922
+ SUB *AR6+0%, 3, A ; 19923
+ SUB *AR6+0%, 3, B, A ; 19924
+ SUB *AR6+0%, 3, B, B ; 19925
+ SUB *AR6+0%, 3, B ; 19926
+ SUB *AR6+0%, 4, A, A ; 19927
+ SUB *AR6+0%, 4, A, B ; 19928
+ SUB *AR6+0%, 4, A ; 19929
+ SUB *AR6+0%, 4, B, A ; 19930
+ SUB *AR6+0%, 4, B, B ; 19931
+ SUB *AR6+0%, 4, B ; 19932
+ SUB *AR6+0%, 5, A, A ; 19933
+ SUB *AR6+0%, 5, A, B ; 19934
+ SUB *AR6+0%, 5, A ; 19935
+ SUB *AR6+0%, 5, B, A ; 19936
+ SUB *AR6+0%, 5, B, B ; 19937
+ SUB *AR6+0%, 5, B ; 19938
+ SUB *AR6+0%, 6, A, A ; 19939
+ SUB *AR6+0%, 6, A, B ; 19940
+ SUB *AR6+0%, 6, A ; 19941
+ SUB *AR6+0%, 6, B, A ; 19942
+ SUB *AR6+0%, 6, B, B ; 19943
+ SUB *AR6+0%, 6, B ; 19944
+ SUB *AR6+0%, 7, A, A ; 19945
+ SUB *AR6+0%, 7, A, B ; 19946
+ SUB *AR6+0%, 7, A ; 19947
+ SUB *AR6+0%, 7, B, A ; 19948
+ SUB *AR6+0%, 7, B, B ; 19949
+ SUB *AR6+0%, 7, B ; 19950
+ SUB *AR6+0%, 8, A, A ; 19951
+ SUB *AR6+0%, 8, A, B ; 19952
+ SUB *AR6+0%, 8, A ; 19953
+ SUB *AR6+0%, 8, B, A ; 19954
+ SUB *AR6+0%, 8, B, B ; 19955
+ SUB *AR6+0%, 8, B ; 19956
+ SUB *AR6+0%, 9, A, A ; 19957
+ SUB *AR6+0%, 9, A, B ; 19958
+ SUB *AR6+0%, 9, A ; 19959
+ SUB *AR6+0%, 9, B, A ; 19960
+ SUB *AR6+0%, 9, B, B ; 19961
+ SUB *AR6+0%, 9, B ; 19962
+ SUB *AR6+0%, 10, A, A ; 19963
+ SUB *AR6+0%, 10, A, B ; 19964
+ SUB *AR6+0%, 10, A ; 19965
+ SUB *AR6+0%, 10, B, A ; 19966
+ SUB *AR6+0%, 10, B, B ; 19967
+ SUB *AR6+0%, 10, B ; 19968
+ SUB *AR6+0%, 11, A, A ; 19969
+ SUB *AR6+0%, 11, A, B ; 19970
+ SUB *AR6+0%, 11, A ; 19971
+ SUB *AR6+0%, 11, B, A ; 19972
+ SUB *AR6+0%, 11, B, B ; 19973
+ SUB *AR6+0%, 11, B ; 19974
+ SUB *AR6+0%, 12, A, A ; 19975
+ SUB *AR6+0%, 12, A, B ; 19976
+ SUB *AR6+0%, 12, A ; 19977
+ SUB *AR6+0%, 12, B, A ; 19978
+ SUB *AR6+0%, 12, B, B ; 19979
+ SUB *AR6+0%, 12, B ; 19980
+ SUB *AR6+0%, 13, A, A ; 19981
+ SUB *AR6+0%, 13, A, B ; 19982
+ SUB *AR6+0%, 13, A ; 19983
+ SUB *AR6+0%, 13, B, A ; 19984
+ SUB *AR6+0%, 13, B, B ; 19985
+ SUB *AR6+0%, 13, B ; 19986
+ SUB *AR6+0%, 14, A, A ; 19987
+ SUB *AR6+0%, 14, A, B ; 19988
+ SUB *AR6+0%, 14, A ; 19989
+ SUB *AR6+0%, 14, B, A ; 19990
+ SUB *AR6+0%, 14, B, B ; 19991
+ SUB *AR6+0%, 14, B ; 19992
+ SUB *AR6+0%, 15, A, A ; 19993
+ SUB *AR6+0%, 15, A, B ; 19994
+ SUB *AR6+0%, 15, A ; 19995
+ SUB *AR6+0%, 15, B, A ; 19996
+ SUB *AR6+0%, 15, B, B ; 19997
+ SUB *AR6+0%, 15, B ; 19998
+ SUB *AR6(05E16h), A, A ; 19999
+ SUB *AR6(05E17h), A, B ; 20000
+ SUB *AR6(05E18h), A ; 20001
+ SUB *AR6(05E19h), B, A ; 20002
+ SUB *AR6(05E1Ah), B, B ; 20003
+ SUB *AR6(05E1Bh), B ; 20004
+ SUB *AR6(05E1Ch), -16, A, A ; 20005
+ SUB *AR6(05E1Dh), -16, A, B ; 20006
+ SUB *AR6(05E1Eh), -16, A ; 20007
+ SUB *AR6(05E1Fh), -16, B, A ; 20008
+ SUB *AR6(05E20h), -16, B, B ; 20009
+ SUB *AR6(05E21h), -16, B ; 20010
+ SUB *AR6(05E22h), -15, A, A ; 20011
+ SUB *AR6(05E23h), -15, A, B ; 20012
+ SUB *AR6(05E24h), -15, A ; 20013
+ SUB *AR6(05E25h), -15, B, A ; 20014
+ SUB *AR6(05E26h), -15, B, B ; 20015
+ SUB *AR6(05E27h), -15, B ; 20016
+ SUB *AR6(05E28h), -14, A, A ; 20017
+ SUB *AR6(05E29h), -14, A, B ; 20018
+ SUB *AR6(05E2Ah), -14, A ; 20019
+ SUB *AR6(05E2Bh), -14, B, A ; 20020
+ SUB *AR6(05E2Ch), -14, B, B ; 20021
+ SUB *AR6(05E2Dh), -14, B ; 20022
+ SUB *AR6(05E2Eh), -13, A, A ; 20023
+ SUB *AR6(05E2Fh), -13, A, B ; 20024
+ SUB *AR6(05E30h), -13, A ; 20025
+ SUB *AR6(05E31h), -13, B, A ; 20026
+ SUB *AR6(05E32h), -13, B, B ; 20027
+ SUB *AR6(05E33h), -13, B ; 20028
+ SUB *AR6(05E34h), -12, A, A ; 20029
+ SUB *AR6(05E35h), -12, A, B ; 20030
+ SUB *AR6(05E36h), -12, A ; 20031
+ SUB *AR6(05E37h), -12, B, A ; 20032
+ SUB *AR6(05E38h), -12, B, B ; 20033
+ SUB *AR6(05E39h), -12, B ; 20034
+ SUB *AR6(05E3Ah), -11, A, A ; 20035
+ SUB *AR6(05E3Bh), -11, A, B ; 20036
+ SUB *AR6(05E3Ch), -11, A ; 20037
+ SUB *AR6(05E3Dh), -11, B, A ; 20038
+ SUB *AR6(05E3Eh), -11, B, B ; 20039
+ SUB *AR6(05E3Fh), -11, B ; 20040
+ SUB *AR6(05E40h), -10, A, A ; 20041
+ SUB *AR6(05E41h), -10, A, B ; 20042
+ SUB *AR6(05E42h), -10, A ; 20043
+ SUB *AR6(05E43h), -10, B, A ; 20044
+ SUB *AR6(05E44h), -10, B, B ; 20045
+ SUB *AR6(05E45h), -10, B ; 20046
+ SUB *AR6(05E46h), -9, A, A ; 20047
+ SUB *AR6(05E47h), -9, A, B ; 20048
+ SUB *AR6(05E48h), -9, A ; 20049
+ SUB *AR6(05E49h), -9, B, A ; 20050
+ SUB *AR6(05E4Ah), -9, B, B ; 20051
+ SUB *AR6(05E4Bh), -9, B ; 20052
+ SUB *AR6(05E4Ch), -8, A, A ; 20053
+ SUB *AR6(05E4Dh), -8, A, B ; 20054
+ SUB *AR6(05E4Eh), -8, A ; 20055
+ SUB *AR6(05E4Fh), -8, B, A ; 20056
+ SUB *AR6(05E50h), -8, B, B ; 20057
+ SUB *AR6(05E51h), -8, B ; 20058
+ SUB *AR6(05E52h), -7, A, A ; 20059
+ SUB *AR6(05E53h), -7, A, B ; 20060
+ SUB *AR6(05E54h), -7, A ; 20061
+ SUB *AR6(05E55h), -7, B, A ; 20062
+ SUB *AR6(05E56h), -7, B, B ; 20063
+ SUB *AR6(05E57h), -7, B ; 20064
+ SUB *AR6(05E58h), -6, A, A ; 20065
+ SUB *AR6(05E59h), -6, A, B ; 20066
+ SUB *AR6(05E5Ah), -6, A ; 20067
+ SUB *AR6(05E5Bh), -6, B, A ; 20068
+ SUB *AR6(05E5Ch), -6, B, B ; 20069
+ SUB *AR6(05E5Dh), -6, B ; 20070
+ SUB *AR6(05E5Eh), -5, A, A ; 20071
+ SUB *AR6(05E5Fh), -5, A, B ; 20072
+ SUB *AR6(05E60h), -5, A ; 20073
+ SUB *AR6(05E61h), -5, B, A ; 20074
+ SUB *AR6(05E62h), -5, B, B ; 20075
+ SUB *AR6(05E63h), -5, B ; 20076
+ SUB *AR6(05E64h), -4, A, A ; 20077
+ SUB *AR6(05E65h), -4, A, B ; 20078
+ SUB *AR6(05E66h), -4, A ; 20079
+ SUB *AR6(05E67h), -4, B, A ; 20080
+ SUB *AR6(05E68h), -4, B, B ; 20081
+ SUB *AR6(05E69h), -4, B ; 20082
+ SUB *AR6(05E6Ah), -3, A, A ; 20083
+ SUB *AR6(05E6Bh), -3, A, B ; 20084
+ SUB *AR6(05E6Ch), -3, A ; 20085
+ SUB *AR6(05E6Dh), -3, B, A ; 20086
+ SUB *AR6(05E6Eh), -3, B, B ; 20087
+ SUB *AR6(05E6Fh), -3, B ; 20088
+ SUB *AR6(05E70h), -2, A, A ; 20089
+ SUB *AR6(05E71h), -2, A, B ; 20090
+ SUB *AR6(05E72h), -2, A ; 20091
+ SUB *AR6(05E73h), -2, B, A ; 20092
+ SUB *AR6(05E74h), -2, B, B ; 20093
+ SUB *AR6(05E75h), -2, B ; 20094
+ SUB *AR6(05E76h), -1, A, A ; 20095
+ SUB *AR6(05E77h), -1, A, B ; 20096
+ SUB *AR6(05E78h), -1, A ; 20097
+ SUB *AR6(05E79h), -1, B, A ; 20098
+ SUB *AR6(05E7Ah), -1, B, B ; 20099
+ SUB *AR6(05E7Bh), -1, B ; 20100
+ SUB *AR6(05E7Ch), 0, A, A ; 20101
+ SUB *AR6(05E7Dh), 0, A, B ; 20102
+ SUB *AR6(05E7Eh), 0, A ; 20103
+ SUB *AR6(05E7Fh), 0, B, A ; 20104
+ SUB *AR6(05E80h), 0, B, B ; 20105
+ SUB *AR6(05E81h), 0, B ; 20106
+ SUB *AR6(05E82h), 1, A, A ; 20107
+ SUB *AR6(05E83h), 1, A, B ; 20108
+ SUB *AR6(05E84h), 1, A ; 20109
+ SUB *AR6(05E85h), 1, B, A ; 20110
+ SUB *AR6(05E86h), 1, B, B ; 20111
+ SUB *AR6(05E87h), 1, B ; 20112
+ SUB *AR6(05E88h), 2, A, A ; 20113
+ SUB *AR6(05E89h), 2, A, B ; 20114
+ SUB *AR6(05E8Ah), 2, A ; 20115
+ SUB *AR6(05E8Bh), 2, B, A ; 20116
+ SUB *AR6(05E8Ch), 2, B, B ; 20117
+ SUB *AR6(05E8Dh), 2, B ; 20118
+ SUB *AR6(05E8Eh), 3, A, A ; 20119
+ SUB *AR6(05E8Fh), 3, A, B ; 20120
+ SUB *AR6(05E90h), 3, A ; 20121
+ SUB *AR6(05E91h), 3, B, A ; 20122
+ SUB *AR6(05E92h), 3, B, B ; 20123
+ SUB *AR6(05E93h), 3, B ; 20124
+ SUB *AR6(05E94h), 4, A, A ; 20125
+ SUB *AR6(05E95h), 4, A, B ; 20126
+ SUB *AR6(05E96h), 4, A ; 20127
+ SUB *AR6(05E97h), 4, B, A ; 20128
+ SUB *AR6(05E98h), 4, B, B ; 20129
+ SUB *AR6(05E99h), 4, B ; 20130
+ SUB *AR6(05E9Ah), 5, A, A ; 20131
+ SUB *AR6(05E9Bh), 5, A, B ; 20132
+ SUB *AR6(05E9Ch), 5, A ; 20133
+ SUB *AR6(05E9Dh), 5, B, A ; 20134
+ SUB *AR6(05E9Eh), 5, B, B ; 20135
+ SUB *AR6(05E9Fh), 5, B ; 20136
+ SUB *AR6(05EA0h), 6, A, A ; 20137
+ SUB *AR6(05EA1h), 6, A, B ; 20138
+ SUB *AR6(05EA2h), 6, A ; 20139
+ SUB *AR6(05EA3h), 6, B, A ; 20140
+ SUB *AR6(05EA4h), 6, B, B ; 20141
+ SUB *AR6(05EA5h), 6, B ; 20142
+ SUB *AR6(05EA6h), 7, A, A ; 20143
+ SUB *AR6(05EA7h), 7, A, B ; 20144
+ SUB *AR6(05EA8h), 7, A ; 20145
+ SUB *AR6(05EA9h), 7, B, A ; 20146
+ SUB *AR6(05EAAh), 7, B, B ; 20147
+ SUB *AR6(05EABh), 7, B ; 20148
+ SUB *AR6(05EACh), 8, A, A ; 20149
+ SUB *AR6(05EADh), 8, A, B ; 20150
+ SUB *AR6(05EAEh), 8, A ; 20151
+ SUB *AR6(05EAFh), 8, B, A ; 20152
+ SUB *AR6(05EB0h), 8, B, B ; 20153
+ SUB *AR6(05EB1h), 8, B ; 20154
+ SUB *AR6(05EB2h), 9, A, A ; 20155
+ SUB *AR6(05EB3h), 9, A, B ; 20156
+ SUB *AR6(05EB4h), 9, A ; 20157
+ SUB *AR6(05EB5h), 9, B, A ; 20158
+ SUB *AR6(05EB6h), 9, B, B ; 20159
+ SUB *AR6(05EB7h), 9, B ; 20160
+ SUB *AR6(05EB8h), 10, A, A ; 20161
+ SUB *AR6(05EB9h), 10, A, B ; 20162
+ SUB *AR6(05EBAh), 10, A ; 20163
+ SUB *AR6(05EBBh), 10, B, A ; 20164
+ SUB *AR6(05EBCh), 10, B, B ; 20165
+ SUB *AR6(05EBDh), 10, B ; 20166
+ SUB *AR6(05EBEh), 11, A, A ; 20167
+ SUB *AR6(05EBFh), 11, A, B ; 20168
+ SUB *AR6(05EC0h), 11, A ; 20169
+ SUB *AR6(05EC1h), 11, B, A ; 20170
+ SUB *AR6(05EC2h), 11, B, B ; 20171
+ SUB *AR6(05EC3h), 11, B ; 20172
+ SUB *AR6(05EC4h), 12, A, A ; 20173
+ SUB *AR6(05EC5h), 12, A, B ; 20174
+ SUB *AR6(05EC6h), 12, A ; 20175
+ SUB *AR6(05EC7h), 12, B, A ; 20176
+ SUB *AR6(05EC8h), 12, B, B ; 20177
+ SUB *AR6(05EC9h), 12, B ; 20178
+ SUB *AR6(05ECAh), 13, A, A ; 20179
+ SUB *AR6(05ECBh), 13, A, B ; 20180
+ SUB *AR6(05ECCh), 13, A ; 20181
+ SUB *AR6(05ECDh), 13, B, A ; 20182
+ SUB *AR6(05ECEh), 13, B, B ; 20183
+ SUB *AR6(05ECFh), 13, B ; 20184
+ SUB *AR6(05ED0h), 14, A, A ; 20185
+ SUB *AR6(05ED1h), 14, A, B ; 20186
+ SUB *AR6(05ED2h), 14, A ; 20187
+ SUB *AR6(05ED3h), 14, B, A ; 20188
+ SUB *AR6(05ED4h), 14, B, B ; 20189
+ SUB *AR6(05ED5h), 14, B ; 20190
+ SUB *AR6(05ED6h), 15, A, A ; 20191
+ SUB *AR6(05ED7h), 15, A, B ; 20192
+ SUB *AR6(05ED8h), 15, A ; 20193
+ SUB *AR6(05ED9h), 15, B, A ; 20194
+ SUB *AR6(05EDAh), 15, B, B ; 20195
+ SUB *AR6(05EDBh), 15, B ; 20196
+ SUB *+AR6(05EDCh), A, A ; 20197
+ SUB *+AR6(05EDDh), A, B ; 20198
+ SUB *+AR6(05EDEh), A ; 20199
+ SUB *+AR6(05EDFh), B, A ; 20200
+ SUB *+AR6(05EE0h), B, B ; 20201
+ SUB *+AR6(05EE1h), B ; 20202
+ SUB *+AR6(05EE2h), -16, A, A ; 20203
+ SUB *+AR6(05EE3h), -16, A, B ; 20204
+ SUB *+AR6(05EE4h), -16, A ; 20205
+ SUB *+AR6(05EE5h), -16, B, A ; 20206
+ SUB *+AR6(05EE6h), -16, B, B ; 20207
+ SUB *+AR6(05EE7h), -16, B ; 20208
+ SUB *+AR6(05EE8h), -15, A, A ; 20209
+ SUB *+AR6(05EE9h), -15, A, B ; 20210
+ SUB *+AR6(05EEAh), -15, A ; 20211
+ SUB *+AR6(05EEBh), -15, B, A ; 20212
+ SUB *+AR6(05EECh), -15, B, B ; 20213
+ SUB *+AR6(05EEDh), -15, B ; 20214
+ SUB *+AR6(05EEEh), -14, A, A ; 20215
+ SUB *+AR6(05EEFh), -14, A, B ; 20216
+ SUB *+AR6(05EF0h), -14, A ; 20217
+ SUB *+AR6(05EF1h), -14, B, A ; 20218
+ SUB *+AR6(05EF2h), -14, B, B ; 20219
+ SUB *+AR6(05EF3h), -14, B ; 20220
+ SUB *+AR6(05EF4h), -13, A, A ; 20221
+ SUB *+AR6(05EF5h), -13, A, B ; 20222
+ SUB *+AR6(05EF6h), -13, A ; 20223
+ SUB *+AR6(05EF7h), -13, B, A ; 20224
+ SUB *+AR6(05EF8h), -13, B, B ; 20225
+ SUB *+AR6(05EF9h), -13, B ; 20226
+ SUB *+AR6(05EFAh), -12, A, A ; 20227
+ SUB *+AR6(05EFBh), -12, A, B ; 20228
+ SUB *+AR6(05EFCh), -12, A ; 20229
+ SUB *+AR6(05EFDh), -12, B, A ; 20230
+ SUB *+AR6(05EFEh), -12, B, B ; 20231
+ SUB *+AR6(05EFFh), -12, B ; 20232
+ SUB *+AR6(05F00h), -11, A, A ; 20233
+ SUB *+AR6(05F01h), -11, A, B ; 20234
+ SUB *+AR6(05F02h), -11, A ; 20235
+ SUB *+AR6(05F03h), -11, B, A ; 20236
+ SUB *+AR6(05F04h), -11, B, B ; 20237
+ SUB *+AR6(05F05h), -11, B ; 20238
+ SUB *+AR6(05F06h), -10, A, A ; 20239
+ SUB *+AR6(05F07h), -10, A, B ; 20240
+ SUB *+AR6(05F08h), -10, A ; 20241
+ SUB *+AR6(05F09h), -10, B, A ; 20242
+ SUB *+AR6(05F0Ah), -10, B, B ; 20243
+ SUB *+AR6(05F0Bh), -10, B ; 20244
+ SUB *+AR6(05F0Ch), -9, A, A ; 20245
+ SUB *+AR6(05F0Dh), -9, A, B ; 20246
+ SUB *+AR6(05F0Eh), -9, A ; 20247
+ SUB *+AR6(05F0Fh), -9, B, A ; 20248
+ SUB *+AR6(05F10h), -9, B, B ; 20249
+ SUB *+AR6(05F11h), -9, B ; 20250
+ SUB *+AR6(05F12h), -8, A, A ; 20251
+ SUB *+AR6(05F13h), -8, A, B ; 20252
+ SUB *+AR6(05F14h), -8, A ; 20253
+ SUB *+AR6(05F15h), -8, B, A ; 20254
+ SUB *+AR6(05F16h), -8, B, B ; 20255
+ SUB *+AR6(05F17h), -8, B ; 20256
+ SUB *+AR6(05F18h), -7, A, A ; 20257
+ SUB *+AR6(05F19h), -7, A, B ; 20258
+ SUB *+AR6(05F1Ah), -7, A ; 20259
+ SUB *+AR6(05F1Bh), -7, B, A ; 20260
+ SUB *+AR6(05F1Ch), -7, B, B ; 20261
+ SUB *+AR6(05F1Dh), -7, B ; 20262
+ SUB *+AR6(05F1Eh), -6, A, A ; 20263
+ SUB *+AR6(05F1Fh), -6, A, B ; 20264
+ SUB *+AR6(05F20h), -6, A ; 20265
+ SUB *+AR6(05F21h), -6, B, A ; 20266
+ SUB *+AR6(05F22h), -6, B, B ; 20267
+ SUB *+AR6(05F23h), -6, B ; 20268
+ SUB *+AR6(05F24h), -5, A, A ; 20269
+ SUB *+AR6(05F25h), -5, A, B ; 20270
+ SUB *+AR6(05F26h), -5, A ; 20271
+ SUB *+AR6(05F27h), -5, B, A ; 20272
+ SUB *+AR6(05F28h), -5, B, B ; 20273
+ SUB *+AR6(05F29h), -5, B ; 20274
+ SUB *+AR6(05F2Ah), -4, A, A ; 20275
+ SUB *+AR6(05F2Bh), -4, A, B ; 20276
+ SUB *+AR6(05F2Ch), -4, A ; 20277
+ SUB *+AR6(05F2Dh), -4, B, A ; 20278
+ SUB *+AR6(05F2Eh), -4, B, B ; 20279
+ SUB *+AR6(05F2Fh), -4, B ; 20280
+ SUB *+AR6(05F30h), -3, A, A ; 20281
+ SUB *+AR6(05F31h), -3, A, B ; 20282
+ SUB *+AR6(05F32h), -3, A ; 20283
+ SUB *+AR6(05F33h), -3, B, A ; 20284
+ SUB *+AR6(05F34h), -3, B, B ; 20285
+ SUB *+AR6(05F35h), -3, B ; 20286
+ SUB *+AR6(05F36h), -2, A, A ; 20287
+ SUB *+AR6(05F37h), -2, A, B ; 20288
+ SUB *+AR6(05F38h), -2, A ; 20289
+ SUB *+AR6(05F39h), -2, B, A ; 20290
+ SUB *+AR6(05F3Ah), -2, B, B ; 20291
+ SUB *+AR6(05F3Bh), -2, B ; 20292
+ SUB *+AR6(05F3Ch), -1, A, A ; 20293
+ SUB *+AR6(05F3Dh), -1, A, B ; 20294
+ SUB *+AR6(05F3Eh), -1, A ; 20295
+ SUB *+AR6(05F3Fh), -1, B, A ; 20296
+ SUB *+AR6(05F40h), -1, B, B ; 20297
+ SUB *+AR6(05F41h), -1, B ; 20298
+ SUB *+AR6(05F42h), 0, A, A ; 20299
+ SUB *+AR6(05F43h), 0, A, B ; 20300
+ SUB *+AR6(05F44h), 0, A ; 20301
+ SUB *+AR6(05F45h), 0, B, A ; 20302
+ SUB *+AR6(05F46h), 0, B, B ; 20303
+ SUB *+AR6(05F47h), 0, B ; 20304
+ SUB *+AR6(05F48h), 1, A, A ; 20305
+ SUB *+AR6(05F49h), 1, A, B ; 20306
+ SUB *+AR6(05F4Ah), 1, A ; 20307
+ SUB *+AR6(05F4Bh), 1, B, A ; 20308
+ SUB *+AR6(05F4Ch), 1, B, B ; 20309
+ SUB *+AR6(05F4Dh), 1, B ; 20310
+ SUB *+AR6(05F4Eh), 2, A, A ; 20311
+ SUB *+AR6(05F4Fh), 2, A, B ; 20312
+ SUB *+AR6(05F50h), 2, A ; 20313
+ SUB *+AR6(05F51h), 2, B, A ; 20314
+ SUB *+AR6(05F52h), 2, B, B ; 20315
+ SUB *+AR6(05F53h), 2, B ; 20316
+ SUB *+AR6(05F54h), 3, A, A ; 20317
+ SUB *+AR6(05F55h), 3, A, B ; 20318
+ SUB *+AR6(05F56h), 3, A ; 20319
+ SUB *+AR6(05F57h), 3, B, A ; 20320
+ SUB *+AR6(05F58h), 3, B, B ; 20321
+ SUB *+AR6(05F59h), 3, B ; 20322
+ SUB *+AR6(05F5Ah), 4, A, A ; 20323
+ SUB *+AR6(05F5Bh), 4, A, B ; 20324
+ SUB *+AR6(05F5Ch), 4, A ; 20325
+ SUB *+AR6(05F5Dh), 4, B, A ; 20326
+ SUB *+AR6(05F5Eh), 4, B, B ; 20327
+ SUB *+AR6(05F5Fh), 4, B ; 20328
+ SUB *+AR6(05F60h), 5, A, A ; 20329
+ SUB *+AR6(05F61h), 5, A, B ; 20330
+ SUB *+AR6(05F62h), 5, A ; 20331
+ SUB *+AR6(05F63h), 5, B, A ; 20332
+ SUB *+AR6(05F64h), 5, B, B ; 20333
+ SUB *+AR6(05F65h), 5, B ; 20334
+ SUB *+AR6(05F66h), 6, A, A ; 20335
+ SUB *+AR6(05F67h), 6, A, B ; 20336
+ SUB *+AR6(05F68h), 6, A ; 20337
+ SUB *+AR6(05F69h), 6, B, A ; 20338
+ SUB *+AR6(05F6Ah), 6, B, B ; 20339
+ SUB *+AR6(05F6Bh), 6, B ; 20340
+ SUB *+AR6(05F6Ch), 7, A, A ; 20341
+ SUB *+AR6(05F6Dh), 7, A, B ; 20342
+ SUB *+AR6(05F6Eh), 7, A ; 20343
+ SUB *+AR6(05F6Fh), 7, B, A ; 20344
+ SUB *+AR6(05F70h), 7, B, B ; 20345
+ SUB *+AR6(05F71h), 7, B ; 20346
+ SUB *+AR6(05F72h), 8, A, A ; 20347
+ SUB *+AR6(05F73h), 8, A, B ; 20348
+ SUB *+AR6(05F74h), 8, A ; 20349
+ SUB *+AR6(05F75h), 8, B, A ; 20350
+ SUB *+AR6(05F76h), 8, B, B ; 20351
+ SUB *+AR6(05F77h), 8, B ; 20352
+ SUB *+AR6(05F78h), 9, A, A ; 20353
+ SUB *+AR6(05F79h), 9, A, B ; 20354
+ SUB *+AR6(05F7Ah), 9, A ; 20355
+ SUB *+AR6(05F7Bh), 9, B, A ; 20356
+ SUB *+AR6(05F7Ch), 9, B, B ; 20357
+ SUB *+AR6(05F7Dh), 9, B ; 20358
+ SUB *+AR6(05F7Eh), 10, A, A ; 20359
+ SUB *+AR6(05F7Fh), 10, A, B ; 20360
+ SUB *+AR6(05F80h), 10, A ; 20361
+ SUB *+AR6(05F81h), 10, B, A ; 20362
+ SUB *+AR6(05F82h), 10, B, B ; 20363
+ SUB *+AR6(05F83h), 10, B ; 20364
+ SUB *+AR6(05F84h), 11, A, A ; 20365
+ SUB *+AR6(05F85h), 11, A, B ; 20366
+ SUB *+AR6(05F86h), 11, A ; 20367
+ SUB *+AR6(05F87h), 11, B, A ; 20368
+ SUB *+AR6(05F88h), 11, B, B ; 20369
+ SUB *+AR6(05F89h), 11, B ; 20370
+ SUB *+AR6(05F8Ah), 12, A, A ; 20371
+ SUB *+AR6(05F8Bh), 12, A, B ; 20372
+ SUB *+AR6(05F8Ch), 12, A ; 20373
+ SUB *+AR6(05F8Dh), 12, B, A ; 20374
+ SUB *+AR6(05F8Eh), 12, B, B ; 20375
+ SUB *+AR6(05F8Fh), 12, B ; 20376
+ SUB *+AR6(05F90h), 13, A, A ; 20377
+ SUB *+AR6(05F91h), 13, A, B ; 20378
+ SUB *+AR6(05F92h), 13, A ; 20379
+ SUB *+AR6(05F93h), 13, B, A ; 20380
+ SUB *+AR6(05F94h), 13, B, B ; 20381
+ SUB *+AR6(05F95h), 13, B ; 20382
+ SUB *+AR6(05F96h), 14, A, A ; 20383
+ SUB *+AR6(05F97h), 14, A, B ; 20384
+ SUB *+AR6(05F98h), 14, A ; 20385
+ SUB *+AR6(05F99h), 14, B, A ; 20386
+ SUB *+AR6(05F9Ah), 14, B, B ; 20387
+ SUB *+AR6(05F9Bh), 14, B ; 20388
+ SUB *+AR6(05F9Ch), 15, A, A ; 20389
+ SUB *+AR6(05F9Dh), 15, A, B ; 20390
+ SUB *+AR6(05F9Eh), 15, A ; 20391
+ SUB *+AR6(05F9Fh), 15, B, A ; 20392
+ SUB *+AR6(05FA0h), 15, B, B ; 20393
+ SUB *+AR6(05FA1h), 15, B ; 20394
+ SUB *+AR6(05FA2h)%, A, A ; 20395
+ SUB *+AR6(05FA3h)%, A, B ; 20396
+ SUB *+AR6(05FA4h)%, A ; 20397
+ SUB *+AR6(05FA5h)%, B, A ; 20398
+ SUB *+AR6(05FA6h)%, B, B ; 20399
+ SUB *+AR6(05FA7h)%, B ; 20400
+ SUB *+AR6(05FA8h)%, -16, A, A ; 20401
+ SUB *+AR6(05FA9h)%, -16, A, B ; 20402
+ SUB *+AR6(05FAAh)%, -16, A ; 20403
+ SUB *+AR6(05FABh)%, -16, B, A ; 20404
+ SUB *+AR6(05FACh)%, -16, B, B ; 20405
+ SUB *+AR6(05FADh)%, -16, B ; 20406
+ SUB *+AR6(05FAEh)%, -15, A, A ; 20407
+ SUB *+AR6(05FAFh)%, -15, A, B ; 20408
+ SUB *+AR6(05FB0h)%, -15, A ; 20409
+ SUB *+AR6(05FB1h)%, -15, B, A ; 20410
+ SUB *+AR6(05FB2h)%, -15, B, B ; 20411
+ SUB *+AR6(05FB3h)%, -15, B ; 20412
+ SUB *+AR6(05FB4h)%, -14, A, A ; 20413
+ SUB *+AR6(05FB5h)%, -14, A, B ; 20414
+ SUB *+AR6(05FB6h)%, -14, A ; 20415
+ SUB *+AR6(05FB7h)%, -14, B, A ; 20416
+ SUB *+AR6(05FB8h)%, -14, B, B ; 20417
+ SUB *+AR6(05FB9h)%, -14, B ; 20418
+ SUB *+AR6(05FBAh)%, -13, A, A ; 20419
+ SUB *+AR6(05FBBh)%, -13, A, B ; 20420
+ SUB *+AR6(05FBCh)%, -13, A ; 20421
+ SUB *+AR6(05FBDh)%, -13, B, A ; 20422
+ SUB *+AR6(05FBEh)%, -13, B, B ; 20423
+ SUB *+AR6(05FBFh)%, -13, B ; 20424
+ SUB *+AR6(05FC0h)%, -12, A, A ; 20425
+ SUB *+AR6(05FC1h)%, -12, A, B ; 20426
+ SUB *+AR6(05FC2h)%, -12, A ; 20427
+ SUB *+AR6(05FC3h)%, -12, B, A ; 20428
+ SUB *+AR6(05FC4h)%, -12, B, B ; 20429
+ SUB *+AR6(05FC5h)%, -12, B ; 20430
+ SUB *+AR6(05FC6h)%, -11, A, A ; 20431
+ SUB *+AR6(05FC7h)%, -11, A, B ; 20432
+ SUB *+AR6(05FC8h)%, -11, A ; 20433
+ SUB *+AR6(05FC9h)%, -11, B, A ; 20434
+ SUB *+AR6(05FCAh)%, -11, B, B ; 20435
+ SUB *+AR6(05FCBh)%, -11, B ; 20436
+ SUB *+AR6(05FCCh)%, -10, A, A ; 20437
+ SUB *+AR6(05FCDh)%, -10, A, B ; 20438
+ SUB *+AR6(05FCEh)%, -10, A ; 20439
+ SUB *+AR6(05FCFh)%, -10, B, A ; 20440
+ SUB *+AR6(05FD0h)%, -10, B, B ; 20441
+ SUB *+AR6(05FD1h)%, -10, B ; 20442
+ SUB *+AR6(05FD2h)%, -9, A, A ; 20443
+ SUB *+AR6(05FD3h)%, -9, A, B ; 20444
+ SUB *+AR6(05FD4h)%, -9, A ; 20445
+ SUB *+AR6(05FD5h)%, -9, B, A ; 20446
+ SUB *+AR6(05FD6h)%, -9, B, B ; 20447
+ SUB *+AR6(05FD7h)%, -9, B ; 20448
+ SUB *+AR6(05FD8h)%, -8, A, A ; 20449
+ SUB *+AR6(05FD9h)%, -8, A, B ; 20450
+ SUB *+AR6(05FDAh)%, -8, A ; 20451
+ SUB *+AR6(05FDBh)%, -8, B, A ; 20452
+ SUB *+AR6(05FDCh)%, -8, B, B ; 20453
+ SUB *+AR6(05FDDh)%, -8, B ; 20454
+ SUB *+AR6(05FDEh)%, -7, A, A ; 20455
+ SUB *+AR6(05FDFh)%, -7, A, B ; 20456
+ SUB *+AR6(05FE0h)%, -7, A ; 20457
+ SUB *+AR6(05FE1h)%, -7, B, A ; 20458
+ SUB *+AR6(05FE2h)%, -7, B, B ; 20459
+ SUB *+AR6(05FE3h)%, -7, B ; 20460
+ SUB *+AR6(05FE4h)%, -6, A, A ; 20461
+ SUB *+AR6(05FE5h)%, -6, A, B ; 20462
+ SUB *+AR6(05FE6h)%, -6, A ; 20463
+ SUB *+AR6(05FE7h)%, -6, B, A ; 20464
+ SUB *+AR6(05FE8h)%, -6, B, B ; 20465
+ SUB *+AR6(05FE9h)%, -6, B ; 20466
+ SUB *+AR6(05FEAh)%, -5, A, A ; 20467
+ SUB *+AR6(05FEBh)%, -5, A, B ; 20468
+ SUB *+AR6(05FECh)%, -5, A ; 20469
+ SUB *+AR6(05FEDh)%, -5, B, A ; 20470
+ SUB *+AR6(05FEEh)%, -5, B, B ; 20471
+ SUB *+AR6(05FEFh)%, -5, B ; 20472
+ SUB *+AR6(05FF0h)%, -4, A, A ; 20473
+ SUB *+AR6(05FF1h)%, -4, A, B ; 20474
+ SUB *+AR6(05FF2h)%, -4, A ; 20475
+ SUB *+AR6(05FF3h)%, -4, B, A ; 20476
+ SUB *+AR6(05FF4h)%, -4, B, B ; 20477
+ SUB *+AR6(05FF5h)%, -4, B ; 20478
+ SUB *+AR6(05FF6h)%, -3, A, A ; 20479
+ SUB *+AR6(05FF7h)%, -3, A, B ; 20480
+ SUB *+AR6(05FF8h)%, -3, A ; 20481
+ SUB *+AR6(05FF9h)%, -3, B, A ; 20482
+ SUB *+AR6(05FFAh)%, -3, B, B ; 20483
+ SUB *+AR6(05FFBh)%, -3, B ; 20484
+ SUB *+AR6(05FFCh)%, -2, A, A ; 20485
+ SUB *+AR6(05FFDh)%, -2, A, B ; 20486
+ SUB *+AR6(05FFEh)%, -2, A ; 20487
+ SUB *+AR6(05FFFh)%, -2, B, A ; 20488
+ SUB *+AR6(06000h)%, -2, B, B ; 20489
+ SUB *+AR6(06001h)%, -2, B ; 20490
+ SUB *+AR6(06002h)%, -1, A, A ; 20491
+ SUB *+AR6(06003h)%, -1, A, B ; 20492
+ SUB *+AR6(06004h)%, -1, A ; 20493
+ SUB *+AR6(06005h)%, -1, B, A ; 20494
+ SUB *+AR6(06006h)%, -1, B, B ; 20495
+ SUB *+AR6(06007h)%, -1, B ; 20496
+ SUB *+AR6(06008h)%, 0, A, A ; 20497
+ SUB *+AR6(06009h)%, 0, A, B ; 20498
+ SUB *+AR6(0600Ah)%, 0, A ; 20499
+ SUB *+AR6(0600Bh)%, 0, B, A ; 20500
+ SUB *+AR6(0600Ch)%, 0, B, B ; 20501
+ SUB *+AR6(0600Dh)%, 0, B ; 20502
+ SUB *+AR6(0600Eh)%, 1, A, A ; 20503
+ SUB *+AR6(0600Fh)%, 1, A, B ; 20504
+ SUB *+AR6(06010h)%, 1, A ; 20505
+ SUB *+AR6(06011h)%, 1, B, A ; 20506
+ SUB *+AR6(06012h)%, 1, B, B ; 20507
+ SUB *+AR6(06013h)%, 1, B ; 20508
+ SUB *+AR6(06014h)%, 2, A, A ; 20509
+ SUB *+AR6(06015h)%, 2, A, B ; 20510
+ SUB *+AR6(06016h)%, 2, A ; 20511
+ SUB *+AR6(06017h)%, 2, B, A ; 20512
+ SUB *+AR6(06018h)%, 2, B, B ; 20513
+ SUB *+AR6(06019h)%, 2, B ; 20514
+ SUB *+AR6(0601Ah)%, 3, A, A ; 20515
+ SUB *+AR6(0601Bh)%, 3, A, B ; 20516
+ SUB *+AR6(0601Ch)%, 3, A ; 20517
+ SUB *+AR6(0601Dh)%, 3, B, A ; 20518
+ SUB *+AR6(0601Eh)%, 3, B, B ; 20519
+ SUB *+AR6(0601Fh)%, 3, B ; 20520
+ SUB *+AR6(06020h)%, 4, A, A ; 20521
+ SUB *+AR6(06021h)%, 4, A, B ; 20522
+ SUB *+AR6(06022h)%, 4, A ; 20523
+ SUB *+AR6(06023h)%, 4, B, A ; 20524
+ SUB *+AR6(06024h)%, 4, B, B ; 20525
+ SUB *+AR6(06025h)%, 4, B ; 20526
+ SUB *+AR6(06026h)%, 5, A, A ; 20527
+ SUB *+AR6(06027h)%, 5, A, B ; 20528
+ SUB *+AR6(06028h)%, 5, A ; 20529
+ SUB *+AR6(06029h)%, 5, B, A ; 20530
+ SUB *+AR6(0602Ah)%, 5, B, B ; 20531
+ SUB *+AR6(0602Bh)%, 5, B ; 20532
+ SUB *+AR6(0602Ch)%, 6, A, A ; 20533
+ SUB *+AR6(0602Dh)%, 6, A, B ; 20534
+ SUB *+AR6(0602Eh)%, 6, A ; 20535
+ SUB *+AR6(0602Fh)%, 6, B, A ; 20536
+ SUB *+AR6(06030h)%, 6, B, B ; 20537
+ SUB *+AR6(06031h)%, 6, B ; 20538
+ SUB *+AR6(06032h)%, 7, A, A ; 20539
+ SUB *+AR6(06033h)%, 7, A, B ; 20540
+ SUB *+AR6(06034h)%, 7, A ; 20541
+ SUB *+AR6(06035h)%, 7, B, A ; 20542
+ SUB *+AR6(06036h)%, 7, B, B ; 20543
+ SUB *+AR6(06037h)%, 7, B ; 20544
+ SUB *+AR6(06038h)%, 8, A, A ; 20545
+ SUB *+AR6(06039h)%, 8, A, B ; 20546
+ SUB *+AR6(0603Ah)%, 8, A ; 20547
+ SUB *+AR6(0603Bh)%, 8, B, A ; 20548
+ SUB *+AR6(0603Ch)%, 8, B, B ; 20549
+ SUB *+AR6(0603Dh)%, 8, B ; 20550
+ SUB *+AR6(0603Eh)%, 9, A, A ; 20551
+ SUB *+AR6(0603Fh)%, 9, A, B ; 20552
+ SUB *+AR6(06040h)%, 9, A ; 20553
+ SUB *+AR6(06041h)%, 9, B, A ; 20554
+ SUB *+AR6(06042h)%, 9, B, B ; 20555
+ SUB *+AR6(06043h)%, 9, B ; 20556
+ SUB *+AR6(06044h)%, 10, A, A ; 20557
+ SUB *+AR6(06045h)%, 10, A, B ; 20558
+ SUB *+AR6(06046h)%, 10, A ; 20559
+ SUB *+AR6(06047h)%, 10, B, A ; 20560
+ SUB *+AR6(06048h)%, 10, B, B ; 20561
+ SUB *+AR6(06049h)%, 10, B ; 20562
+ SUB *+AR6(0604Ah)%, 11, A, A ; 20563
+ SUB *+AR6(0604Bh)%, 11, A, B ; 20564
+ SUB *+AR6(0604Ch)%, 11, A ; 20565
+ SUB *+AR6(0604Dh)%, 11, B, A ; 20566
+ SUB *+AR6(0604Eh)%, 11, B, B ; 20567
+ SUB *+AR6(0604Fh)%, 11, B ; 20568
+ SUB *+AR6(06050h)%, 12, A, A ; 20569
+ SUB *+AR6(06051h)%, 12, A, B ; 20570
+ SUB *+AR6(06052h)%, 12, A ; 20571
+ SUB *+AR6(06053h)%, 12, B, A ; 20572
+ SUB *+AR6(06054h)%, 12, B, B ; 20573
+ SUB *+AR6(06055h)%, 12, B ; 20574
+ SUB *+AR6(06056h)%, 13, A, A ; 20575
+ SUB *+AR6(06057h)%, 13, A, B ; 20576
+ SUB *+AR6(06058h)%, 13, A ; 20577
+ SUB *+AR6(06059h)%, 13, B, A ; 20578
+ SUB *+AR6(0605Ah)%, 13, B, B ; 20579
+ SUB *+AR6(0605Bh)%, 13, B ; 20580
+ SUB *+AR6(0605Ch)%, 14, A, A ; 20581
+ SUB *+AR6(0605Dh)%, 14, A, B ; 20582
+ SUB *+AR6(0605Eh)%, 14, A ; 20583
+ SUB *+AR6(0605Fh)%, 14, B, A ; 20584
+ SUB *+AR6(06060h)%, 14, B, B ; 20585
+ SUB *+AR6(06061h)%, 14, B ; 20586
+ SUB *+AR6(06062h)%, 15, A, A ; 20587
+ SUB *+AR6(06063h)%, 15, A, B ; 20588
+ SUB *+AR6(06064h)%, 15, A ; 20589
+ SUB *+AR6(06065h)%, 15, B, A ; 20590
+ SUB *+AR6(06066h)%, 15, B, B ; 20591
+ SUB *+AR6(06067h)%, 15, B ; 20592
+ SUB *(06068h), A, A ; 20593
+ SUB *(06069h), A, B ; 20594
+ SUB *(0606Ah), A ; 20595
+ SUB *(0606Bh), B, A ; 20596
+ SUB *(0606Ch), B, B ; 20597
+ SUB *(0606Dh), B ; 20598
+ SUB *(0606Eh), -16, A, A ; 20599
+ SUB *(0606Fh), -16, A, B ; 20600
+ SUB *(06070h), -16, A ; 20601
+ SUB *(06071h), -16, B, A ; 20602
+ SUB *(06072h), -16, B, B ; 20603
+ SUB *(06073h), -16, B ; 20604
+ SUB *(06074h), -15, A, A ; 20605
+ SUB *(06075h), -15, A, B ; 20606
+ SUB *(06076h), -15, A ; 20607
+ SUB *(06077h), -15, B, A ; 20608
+ SUB *(06078h), -15, B, B ; 20609
+ SUB *(06079h), -15, B ; 20610
+ SUB *(0607Ah), -14, A, A ; 20611
+ SUB *(0607Bh), -14, A, B ; 20612
+ SUB *(0607Ch), -14, A ; 20613
+ SUB *(0607Dh), -14, B, A ; 20614
+ SUB *(0607Eh), -14, B, B ; 20615
+ SUB *(0607Fh), -14, B ; 20616
+ SUB *(06080h), -13, A, A ; 20617
+ SUB *(06081h), -13, A, B ; 20618
+ SUB *(06082h), -13, A ; 20619
+ SUB *(06083h), -13, B, A ; 20620
+ SUB *(06084h), -13, B, B ; 20621
+ SUB *(06085h), -13, B ; 20622
+ SUB *(06086h), -12, A, A ; 20623
+ SUB *(06087h), -12, A, B ; 20624
+ SUB *(06088h), -12, A ; 20625
+ SUB *(06089h), -12, B, A ; 20626
+ SUB *(0608Ah), -12, B, B ; 20627
+ SUB *(0608Bh), -12, B ; 20628
+ SUB *(0608Ch), -11, A, A ; 20629
+ SUB *(0608Dh), -11, A, B ; 20630
+ SUB *(0608Eh), -11, A ; 20631
+ SUB *(0608Fh), -11, B, A ; 20632
+ SUB *(06090h), -11, B, B ; 20633
+ SUB *(06091h), -11, B ; 20634
+ SUB *(06092h), -10, A, A ; 20635
+ SUB *(06093h), -10, A, B ; 20636
+ SUB *(06094h), -10, A ; 20637
+ SUB *(06095h), -10, B, A ; 20638
+ SUB *(06096h), -10, B, B ; 20639
+ SUB *(06097h), -10, B ; 20640
+ SUB *(06098h), -9, A, A ; 20641
+ SUB *(06099h), -9, A, B ; 20642
+ SUB *(0609Ah), -9, A ; 20643
+ SUB *(0609Bh), -9, B, A ; 20644
+ SUB *(0609Ch), -9, B, B ; 20645
+ SUB *(0609Dh), -9, B ; 20646
+ SUB *(0609Eh), -8, A, A ; 20647
+ SUB *(0609Fh), -8, A, B ; 20648
+ SUB *(060A0h), -8, A ; 20649
+ SUB *(060A1h), -8, B, A ; 20650
+ SUB *(060A2h), -8, B, B ; 20651
+ SUB *(060A3h), -8, B ; 20652
+ SUB *(060A4h), -7, A, A ; 20653
+ SUB *(060A5h), -7, A, B ; 20654
+ SUB *(060A6h), -7, A ; 20655
+ SUB *(060A7h), -7, B, A ; 20656
+ SUB *(060A8h), -7, B, B ; 20657
+ SUB *(060A9h), -7, B ; 20658
+ SUB *(060AAh), -6, A, A ; 20659
+ SUB *(060ABh), -6, A, B ; 20660
+ SUB *(060ACh), -6, A ; 20661
+ SUB *(060ADh), -6, B, A ; 20662
+ SUB *(060AEh), -6, B, B ; 20663
+ SUB *(060AFh), -6, B ; 20664
+ SUB *(060B0h), -5, A, A ; 20665
+ SUB *(060B1h), -5, A, B ; 20666
+ SUB *(060B2h), -5, A ; 20667
+ SUB *(060B3h), -5, B, A ; 20668
+ SUB *(060B4h), -5, B, B ; 20669
+ SUB *(060B5h), -5, B ; 20670
+ SUB *(060B6h), -4, A, A ; 20671
+ SUB *(060B7h), -4, A, B ; 20672
+ SUB *(060B8h), -4, A ; 20673
+ SUB *(060B9h), -4, B, A ; 20674
+ SUB *(060BAh), -4, B, B ; 20675
+ SUB *(060BBh), -4, B ; 20676
+ SUB *(060BCh), -3, A, A ; 20677
+ SUB *(060BDh), -3, A, B ; 20678
+ SUB *(060BEh), -3, A ; 20679
+ SUB *(060BFh), -3, B, A ; 20680
+ SUB *(060C0h), -3, B, B ; 20681
+ SUB *(060C1h), -3, B ; 20682
+ SUB *(060C2h), -2, A, A ; 20683
+ SUB *(060C3h), -2, A, B ; 20684
+ SUB *(060C4h), -2, A ; 20685
+ SUB *(060C5h), -2, B, A ; 20686
+ SUB *(060C6h), -2, B, B ; 20687
+ SUB *(060C7h), -2, B ; 20688
+ SUB *(060C8h), -1, A, A ; 20689
+ SUB *(060C9h), -1, A, B ; 20690
+ SUB *(060CAh), -1, A ; 20691
+ SUB *(060CBh), -1, B, A ; 20692
+ SUB *(060CCh), -1, B, B ; 20693
+ SUB *(060CDh), -1, B ; 20694
+ SUB *(060CEh), 0, A, A ; 20695
+ SUB *(060CFh), 0, A, B ; 20696
+ SUB *(060D0h), 0, A ; 20697
+ SUB *(060D1h), 0, B, A ; 20698
+ SUB *(060D2h), 0, B, B ; 20699
+ SUB *(060D3h), 0, B ; 20700
+ SUB *(060D4h), 1, A, A ; 20701
+ SUB *(060D5h), 1, A, B ; 20702
+ SUB *(060D6h), 1, A ; 20703
+ SUB *(060D7h), 1, B, A ; 20704
+ SUB *(060D8h), 1, B, B ; 20705
+ SUB *(060D9h), 1, B ; 20706
+ SUB *(060DAh), 2, A, A ; 20707
+ SUB *(060DBh), 2, A, B ; 20708
+ SUB *(060DCh), 2, A ; 20709
+ SUB *(060DDh), 2, B, A ; 20710
+ SUB *(060DEh), 2, B, B ; 20711
+ SUB *(060DFh), 2, B ; 20712
+ SUB *(060E0h), 3, A, A ; 20713
+ SUB *(060E1h), 3, A, B ; 20714
+ SUB *(060E2h), 3, A ; 20715
+ SUB *(060E3h), 3, B, A ; 20716
+ SUB *(060E4h), 3, B, B ; 20717
+ SUB *(060E5h), 3, B ; 20718
+ SUB *(060E6h), 4, A, A ; 20719
+ SUB *(060E7h), 4, A, B ; 20720
+ SUB *(060E8h), 4, A ; 20721
+ SUB *(060E9h), 4, B, A ; 20722
+ SUB *(060EAh), 4, B, B ; 20723
+ SUB *(060EBh), 4, B ; 20724
+ SUB *(060ECh), 5, A, A ; 20725
+ SUB *(060EDh), 5, A, B ; 20726
+ SUB *(060EEh), 5, A ; 20727
+ SUB *(060EFh), 5, B, A ; 20728
+ SUB *(060F0h), 5, B, B ; 20729
+ SUB *(060F1h), 5, B ; 20730
+ SUB *(060F2h), 6, A, A ; 20731
+ SUB *(060F3h), 6, A, B ; 20732
+ SUB *(060F4h), 6, A ; 20733
+ SUB *(060F5h), 6, B, A ; 20734
+ SUB *(060F6h), 6, B, B ; 20735
+ SUB *(060F7h), 6, B ; 20736
+ SUB *(060F8h), 7, A, A ; 20737
+ SUB *(060F9h), 7, A, B ; 20738
+ SUB *(060FAh), 7, A ; 20739
+ SUB *(060FBh), 7, B, A ; 20740
+ SUB *(060FCh), 7, B, B ; 20741
+ SUB *(060FDh), 7, B ; 20742
+ SUB *(060FEh), 8, A, A ; 20743
+ SUB *(060FFh), 8, A, B ; 20744
+ SUB *(06100h), 8, A ; 20745
+ SUB *(06101h), 8, B, A ; 20746
+ SUB *(06102h), 8, B, B ; 20747
+ SUB *(06103h), 8, B ; 20748
+ SUB *(06104h), 9, A, A ; 20749
+ SUB *(06105h), 9, A, B ; 20750
+ SUB *(06106h), 9, A ; 20751
+ SUB *(06107h), 9, B, A ; 20752
+ SUB *(06108h), 9, B, B ; 20753
+ SUB *(06109h), 9, B ; 20754
+ SUB *(0610Ah), 10, A, A ; 20755
+ SUB *(0610Bh), 10, A, B ; 20756
+ SUB *(0610Ch), 10, A ; 20757
+ SUB *(0610Dh), 10, B, A ; 20758
+ SUB *(0610Eh), 10, B, B ; 20759
+ SUB *(0610Fh), 10, B ; 20760
+ SUB *(06110h), 11, A, A ; 20761
+ SUB *(06111h), 11, A, B ; 20762
+ SUB *(06112h), 11, A ; 20763
+ SUB *(06113h), 11, B, A ; 20764
+ SUB *(06114h), 11, B, B ; 20765
+ SUB *(06115h), 11, B ; 20766
+ SUB *(06116h), 12, A, A ; 20767
+ SUB *(06117h), 12, A, B ; 20768
+ SUB *(06118h), 12, A ; 20769
+ SUB *(06119h), 12, B, A ; 20770
+ SUB *(0611Ah), 12, B, B ; 20771
+ SUB *(0611Bh), 12, B ; 20772
+ SUB *(0611Ch), 13, A, A ; 20773
+ SUB *(0611Dh), 13, A, B ; 20774
+ SUB *(0611Eh), 13, A ; 20775
+ SUB *(0611Fh), 13, B, A ; 20776
+ SUB *(06120h), 13, B, B ; 20777
+ SUB *(06121h), 13, B ; 20778
+ SUB *(06122h), 14, A, A ; 20779
+ SUB *(06123h), 14, A, B ; 20780
+ SUB *(06124h), 14, A ; 20781
+ SUB *(06125h), 14, B, A ; 20782
+ SUB *(06126h), 14, B, B ; 20783
+ SUB *(06127h), 14, B ; 20784
+ SUB *(06128h), 15, A, A ; 20785
+ SUB *(06129h), 15, A, B ; 20786
+ SUB *(0612Ah), 15, A ; 20787
+ SUB *(0612Bh), 15, B, A ; 20788
+ SUB *(0612Ch), 15, B, B ; 20789
+ SUB *(0612Dh), 15, B ; 20790
+ SUB *AR7, A, A ; 20791
+ SUB *AR7, A, B ; 20792
+ SUB *AR7, A ; 20793
+ SUB *AR7, B, A ; 20794
+ SUB *AR7, B, B ; 20795
+ SUB *AR7, B ; 20796
+ SUB *AR7, -16, A, A ; 20797
+ SUB *AR7, -16, A, B ; 20798
+ SUB *AR7, -16, A ; 20799
+ SUB *AR7, -16, B, A ; 20800
+ SUB *AR7, -16, B, B ; 20801
+ SUB *AR7, -16, B ; 20802
+ SUB *AR7, -15, A, A ; 20803
+ SUB *AR7, -15, A, B ; 20804
+ SUB *AR7, -15, A ; 20805
+ SUB *AR7, -15, B, A ; 20806
+ SUB *AR7, -15, B, B ; 20807
+ SUB *AR7, -15, B ; 20808
+ SUB *AR7, -14, A, A ; 20809
+ SUB *AR7, -14, A, B ; 20810
+ SUB *AR7, -14, A ; 20811
+ SUB *AR7, -14, B, A ; 20812
+ SUB *AR7, -14, B, B ; 20813
+ SUB *AR7, -14, B ; 20814
+ SUB *AR7, -13, A, A ; 20815
+ SUB *AR7, -13, A, B ; 20816
+ SUB *AR7, -13, A ; 20817
+ SUB *AR7, -13, B, A ; 20818
+ SUB *AR7, -13, B, B ; 20819
+ SUB *AR7, -13, B ; 20820
+ SUB *AR7, -12, A, A ; 20821
+ SUB *AR7, -12, A, B ; 20822
+ SUB *AR7, -12, A ; 20823
+ SUB *AR7, -12, B, A ; 20824
+ SUB *AR7, -12, B, B ; 20825
+ SUB *AR7, -12, B ; 20826
+ SUB *AR7, -11, A, A ; 20827
+ SUB *AR7, -11, A, B ; 20828
+ SUB *AR7, -11, A ; 20829
+ SUB *AR7, -11, B, A ; 20830
+ SUB *AR7, -11, B, B ; 20831
+ SUB *AR7, -11, B ; 20832
+ SUB *AR7, -10, A, A ; 20833
+ SUB *AR7, -10, A, B ; 20834
+ SUB *AR7, -10, A ; 20835
+ SUB *AR7, -10, B, A ; 20836
+ SUB *AR7, -10, B, B ; 20837
+ SUB *AR7, -10, B ; 20838
+ SUB *AR7, -9, A, A ; 20839
+ SUB *AR7, -9, A, B ; 20840
+ SUB *AR7, -9, A ; 20841
+ SUB *AR7, -9, B, A ; 20842
+ SUB *AR7, -9, B, B ; 20843
+ SUB *AR7, -9, B ; 20844
+ SUB *AR7, -8, A, A ; 20845
+ SUB *AR7, -8, A, B ; 20846
+ SUB *AR7, -8, A ; 20847
+ SUB *AR7, -8, B, A ; 20848
+ SUB *AR7, -8, B, B ; 20849
+ SUB *AR7, -8, B ; 20850
+ SUB *AR7, -7, A, A ; 20851
+ SUB *AR7, -7, A, B ; 20852
+ SUB *AR7, -7, A ; 20853
+ SUB *AR7, -7, B, A ; 20854
+ SUB *AR7, -7, B, B ; 20855
+ SUB *AR7, -7, B ; 20856
+ SUB *AR7, -6, A, A ; 20857
+ SUB *AR7, -6, A, B ; 20858
+ SUB *AR7, -6, A ; 20859
+ SUB *AR7, -6, B, A ; 20860
+ SUB *AR7, -6, B, B ; 20861
+ SUB *AR7, -6, B ; 20862
+ SUB *AR7, -5, A, A ; 20863
+ SUB *AR7, -5, A, B ; 20864
+ SUB *AR7, -5, A ; 20865
+ SUB *AR7, -5, B, A ; 20866
+ SUB *AR7, -5, B, B ; 20867
+ SUB *AR7, -5, B ; 20868
+ SUB *AR7, -4, A, A ; 20869
+ SUB *AR7, -4, A, B ; 20870
+ SUB *AR7, -4, A ; 20871
+ SUB *AR7, -4, B, A ; 20872
+ SUB *AR7, -4, B, B ; 20873
+ SUB *AR7, -4, B ; 20874
+ SUB *AR7, -3, A, A ; 20875
+ SUB *AR7, -3, A, B ; 20876
+ SUB *AR7, -3, A ; 20877
+ SUB *AR7, -3, B, A ; 20878
+ SUB *AR7, -3, B, B ; 20879
+ SUB *AR7, -3, B ; 20880
+ SUB *AR7, -2, A, A ; 20881
+ SUB *AR7, -2, A, B ; 20882
+ SUB *AR7, -2, A ; 20883
+ SUB *AR7, -2, B, A ; 20884
+ SUB *AR7, -2, B, B ; 20885
+ SUB *AR7, -2, B ; 20886
+ SUB *AR7, -1, A, A ; 20887
+ SUB *AR7, -1, A, B ; 20888
+ SUB *AR7, -1, A ; 20889
+ SUB *AR7, -1, B, A ; 20890
+ SUB *AR7, -1, B, B ; 20891
+ SUB *AR7, -1, B ; 20892
+ SUB *AR7, 0, A, A ; 20893
+ SUB *AR7, 0, A, B ; 20894
+ SUB *AR7, 0, A ; 20895
+ SUB *AR7, 0, B, A ; 20896
+ SUB *AR7, 0, B, B ; 20897
+ SUB *AR7, 0, B ; 20898
+ SUB *AR7, 1, A, A ; 20899
+ SUB *AR7, 1, A, B ; 20900
+ SUB *AR7, 1, A ; 20901
+ SUB *AR7, 1, B, A ; 20902
+ SUB *AR7, 1, B, B ; 20903
+ SUB *AR7, 1, B ; 20904
+ SUB *AR7, 2, A, A ; 20905
+ SUB *AR7, 2, A, B ; 20906
+ SUB *AR7, 2, A ; 20907
+ SUB *AR7, 2, B, A ; 20908
+ SUB *AR7, 2, B, B ; 20909
+ SUB *AR7, 2, B ; 20910
+ SUB *AR7, 3, A, A ; 20911
+ SUB *AR7, 3, A, B ; 20912
+ SUB *AR7, 3, A ; 20913
+ SUB *AR7, 3, B, A ; 20914
+ SUB *AR7, 3, B, B ; 20915
+ SUB *AR7, 3, B ; 20916
+ SUB *AR7, 4, A, A ; 20917
+ SUB *AR7, 4, A, B ; 20918
+ SUB *AR7, 4, A ; 20919
+ SUB *AR7, 4, B, A ; 20920
+ SUB *AR7, 4, B, B ; 20921
+ SUB *AR7, 4, B ; 20922
+ SUB *AR7, 5, A, A ; 20923
+ SUB *AR7, 5, A, B ; 20924
+ SUB *AR7, 5, A ; 20925
+ SUB *AR7, 5, B, A ; 20926
+ SUB *AR7, 5, B, B ; 20927
+ SUB *AR7, 5, B ; 20928
+ SUB *AR7, 6, A, A ; 20929
+ SUB *AR7, 6, A, B ; 20930
+ SUB *AR7, 6, A ; 20931
+ SUB *AR7, 6, B, A ; 20932
+ SUB *AR7, 6, B, B ; 20933
+ SUB *AR7, 6, B ; 20934
+ SUB *AR7, 7, A, A ; 20935
+ SUB *AR7, 7, A, B ; 20936
+ SUB *AR7, 7, A ; 20937
+ SUB *AR7, 7, B, A ; 20938
+ SUB *AR7, 7, B, B ; 20939
+ SUB *AR7, 7, B ; 20940
+ SUB *AR7, 8, A, A ; 20941
+ SUB *AR7, 8, A, B ; 20942
+ SUB *AR7, 8, A ; 20943
+ SUB *AR7, 8, B, A ; 20944
+ SUB *AR7, 8, B, B ; 20945
+ SUB *AR7, 8, B ; 20946
+ SUB *AR7, 9, A, A ; 20947
+ SUB *AR7, 9, A, B ; 20948
+ SUB *AR7, 9, A ; 20949
+ SUB *AR7, 9, B, A ; 20950
+ SUB *AR7, 9, B, B ; 20951
+ SUB *AR7, 9, B ; 20952
+ SUB *AR7, 10, A, A ; 20953
+ SUB *AR7, 10, A, B ; 20954
+ SUB *AR7, 10, A ; 20955
+ SUB *AR7, 10, B, A ; 20956
+ SUB *AR7, 10, B, B ; 20957
+ SUB *AR7, 10, B ; 20958
+ SUB *AR7, 11, A, A ; 20959
+ SUB *AR7, 11, A, B ; 20960
+ SUB *AR7, 11, A ; 20961
+ SUB *AR7, 11, B, A ; 20962
+ SUB *AR7, 11, B, B ; 20963
+ SUB *AR7, 11, B ; 20964
+ SUB *AR7, 12, A, A ; 20965
+ SUB *AR7, 12, A, B ; 20966
+ SUB *AR7, 12, A ; 20967
+ SUB *AR7, 12, B, A ; 20968
+ SUB *AR7, 12, B, B ; 20969
+ SUB *AR7, 12, B ; 20970
+ SUB *AR7, 13, A, A ; 20971
+ SUB *AR7, 13, A, B ; 20972
+ SUB *AR7, 13, A ; 20973
+ SUB *AR7, 13, B, A ; 20974
+ SUB *AR7, 13, B, B ; 20975
+ SUB *AR7, 13, B ; 20976
+ SUB *AR7, 14, A, A ; 20977
+ SUB *AR7, 14, A, B ; 20978
+ SUB *AR7, 14, A ; 20979
+ SUB *AR7, 14, B, A ; 20980
+ SUB *AR7, 14, B, B ; 20981
+ SUB *AR7, 14, B ; 20982
+ SUB *AR7, 15, A, A ; 20983
+ SUB *AR7, 15, A, B ; 20984
+ SUB *AR7, 15, A ; 20985
+ SUB *AR7, 15, B, A ; 20986
+ SUB *AR7, 15, B, B ; 20987
+ SUB *AR7, 15, B ; 20988
+ SUB *AR7-, A, A ; 20989
+ SUB *AR7-, A, B ; 20990
+ SUB *AR7-, A ; 20991
+ SUB *AR7-, B, A ; 20992
+ SUB *AR7-, B, B ; 20993
+ SUB *AR7-, B ; 20994
+ SUB *AR7-, -16, A, A ; 20995
+ SUB *AR7-, -16, A, B ; 20996
+ SUB *AR7-, -16, A ; 20997
+ SUB *AR7-, -16, B, A ; 20998
+ SUB *AR7-, -16, B, B ; 20999
+ SUB *AR7-, -16, B ; 21000
+ SUB *AR7-, -15, A, A ; 21001
+ SUB *AR7-, -15, A, B ; 21002
+ SUB *AR7-, -15, A ; 21003
+ SUB *AR7-, -15, B, A ; 21004
+ SUB *AR7-, -15, B, B ; 21005
+ SUB *AR7-, -15, B ; 21006
+ SUB *AR7-, -14, A, A ; 21007
+ SUB *AR7-, -14, A, B ; 21008
+ SUB *AR7-, -14, A ; 21009
+ SUB *AR7-, -14, B, A ; 21010
+ SUB *AR7-, -14, B, B ; 21011
+ SUB *AR7-, -14, B ; 21012
+ SUB *AR7-, -13, A, A ; 21013
+ SUB *AR7-, -13, A, B ; 21014
+ SUB *AR7-, -13, A ; 21015
+ SUB *AR7-, -13, B, A ; 21016
+ SUB *AR7-, -13, B, B ; 21017
+ SUB *AR7-, -13, B ; 21018
+ SUB *AR7-, -12, A, A ; 21019
+ SUB *AR7-, -12, A, B ; 21020
+ SUB *AR7-, -12, A ; 21021
+ SUB *AR7-, -12, B, A ; 21022
+ SUB *AR7-, -12, B, B ; 21023
+ SUB *AR7-, -12, B ; 21024
+ SUB *AR7-, -11, A, A ; 21025
+ SUB *AR7-, -11, A, B ; 21026
+ SUB *AR7-, -11, A ; 21027
+ SUB *AR7-, -11, B, A ; 21028
+ SUB *AR7-, -11, B, B ; 21029
+ SUB *AR7-, -11, B ; 21030
+ SUB *AR7-, -10, A, A ; 21031
+ SUB *AR7-, -10, A, B ; 21032
+ SUB *AR7-, -10, A ; 21033
+ SUB *AR7-, -10, B, A ; 21034
+ SUB *AR7-, -10, B, B ; 21035
+ SUB *AR7-, -10, B ; 21036
+ SUB *AR7-, -9, A, A ; 21037
+ SUB *AR7-, -9, A, B ; 21038
+ SUB *AR7-, -9, A ; 21039
+ SUB *AR7-, -9, B, A ; 21040
+ SUB *AR7-, -9, B, B ; 21041
+ SUB *AR7-, -9, B ; 21042
+ SUB *AR7-, -8, A, A ; 21043
+ SUB *AR7-, -8, A, B ; 21044
+ SUB *AR7-, -8, A ; 21045
+ SUB *AR7-, -8, B, A ; 21046
+ SUB *AR7-, -8, B, B ; 21047
+ SUB *AR7-, -8, B ; 21048
+ SUB *AR7-, -7, A, A ; 21049
+ SUB *AR7-, -7, A, B ; 21050
+ SUB *AR7-, -7, A ; 21051
+ SUB *AR7-, -7, B, A ; 21052
+ SUB *AR7-, -7, B, B ; 21053
+ SUB *AR7-, -7, B ; 21054
+ SUB *AR7-, -6, A, A ; 21055
+ SUB *AR7-, -6, A, B ; 21056
+ SUB *AR7-, -6, A ; 21057
+ SUB *AR7-, -6, B, A ; 21058
+ SUB *AR7-, -6, B, B ; 21059
+ SUB *AR7-, -6, B ; 21060
+ SUB *AR7-, -5, A, A ; 21061
+ SUB *AR7-, -5, A, B ; 21062
+ SUB *AR7-, -5, A ; 21063
+ SUB *AR7-, -5, B, A ; 21064
+ SUB *AR7-, -5, B, B ; 21065
+ SUB *AR7-, -5, B ; 21066
+ SUB *AR7-, -4, A, A ; 21067
+ SUB *AR7-, -4, A, B ; 21068
+ SUB *AR7-, -4, A ; 21069
+ SUB *AR7-, -4, B, A ; 21070
+ SUB *AR7-, -4, B, B ; 21071
+ SUB *AR7-, -4, B ; 21072
+ SUB *AR7-, -3, A, A ; 21073
+ SUB *AR7-, -3, A, B ; 21074
+ SUB *AR7-, -3, A ; 21075
+ SUB *AR7-, -3, B, A ; 21076
+ SUB *AR7-, -3, B, B ; 21077
+ SUB *AR7-, -3, B ; 21078
+ SUB *AR7-, -2, A, A ; 21079
+ SUB *AR7-, -2, A, B ; 21080
+ SUB *AR7-, -2, A ; 21081
+ SUB *AR7-, -2, B, A ; 21082
+ SUB *AR7-, -2, B, B ; 21083
+ SUB *AR7-, -2, B ; 21084
+ SUB *AR7-, -1, A, A ; 21085
+ SUB *AR7-, -1, A, B ; 21086
+ SUB *AR7-, -1, A ; 21087
+ SUB *AR7-, -1, B, A ; 21088
+ SUB *AR7-, -1, B, B ; 21089
+ SUB *AR7-, -1, B ; 21090
+ SUB *AR7-, 0, A, A ; 21091
+ SUB *AR7-, 0, A, B ; 21092
+ SUB *AR7-, 0, A ; 21093
+ SUB *AR7-, 0, B, A ; 21094
+ SUB *AR7-, 0, B, B ; 21095
+ SUB *AR7-, 0, B ; 21096
+ SUB *AR7-, 1, A, A ; 21097
+ SUB *AR7-, 1, A, B ; 21098
+ SUB *AR7-, 1, A ; 21099
+ SUB *AR7-, 1, B, A ; 21100
+ SUB *AR7-, 1, B, B ; 21101
+ SUB *AR7-, 1, B ; 21102
+ SUB *AR7-, 2, A, A ; 21103
+ SUB *AR7-, 2, A, B ; 21104
+ SUB *AR7-, 2, A ; 21105
+ SUB *AR7-, 2, B, A ; 21106
+ SUB *AR7-, 2, B, B ; 21107
+ SUB *AR7-, 2, B ; 21108
+ SUB *AR7-, 3, A, A ; 21109
+ SUB *AR7-, 3, A, B ; 21110
+ SUB *AR7-, 3, A ; 21111
+ SUB *AR7-, 3, B, A ; 21112
+ SUB *AR7-, 3, B, B ; 21113
+ SUB *AR7-, 3, B ; 21114
+ SUB *AR7-, 4, A, A ; 21115
+ SUB *AR7-, 4, A, B ; 21116
+ SUB *AR7-, 4, A ; 21117
+ SUB *AR7-, 4, B, A ; 21118
+ SUB *AR7-, 4, B, B ; 21119
+ SUB *AR7-, 4, B ; 21120
+ SUB *AR7-, 5, A, A ; 21121
+ SUB *AR7-, 5, A, B ; 21122
+ SUB *AR7-, 5, A ; 21123
+ SUB *AR7-, 5, B, A ; 21124
+ SUB *AR7-, 5, B, B ; 21125
+ SUB *AR7-, 5, B ; 21126
+ SUB *AR7-, 6, A, A ; 21127
+ SUB *AR7-, 6, A, B ; 21128
+ SUB *AR7-, 6, A ; 21129
+ SUB *AR7-, 6, B, A ; 21130
+ SUB *AR7-, 6, B, B ; 21131
+ SUB *AR7-, 6, B ; 21132
+ SUB *AR7-, 7, A, A ; 21133
+ SUB *AR7-, 7, A, B ; 21134
+ SUB *AR7-, 7, A ; 21135
+ SUB *AR7-, 7, B, A ; 21136
+ SUB *AR7-, 7, B, B ; 21137
+ SUB *AR7-, 7, B ; 21138
+ SUB *AR7-, 8, A, A ; 21139
+ SUB *AR7-, 8, A, B ; 21140
+ SUB *AR7-, 8, A ; 21141
+ SUB *AR7-, 8, B, A ; 21142
+ SUB *AR7-, 8, B, B ; 21143
+ SUB *AR7-, 8, B ; 21144
+ SUB *AR7-, 9, A, A ; 21145
+ SUB *AR7-, 9, A, B ; 21146
+ SUB *AR7-, 9, A ; 21147
+ SUB *AR7-, 9, B, A ; 21148
+ SUB *AR7-, 9, B, B ; 21149
+ SUB *AR7-, 9, B ; 21150
+ SUB *AR7-, 10, A, A ; 21151
+ SUB *AR7-, 10, A, B ; 21152
+ SUB *AR7-, 10, A ; 21153
+ SUB *AR7-, 10, B, A ; 21154
+ SUB *AR7-, 10, B, B ; 21155
+ SUB *AR7-, 10, B ; 21156
+ SUB *AR7-, 11, A, A ; 21157
+ SUB *AR7-, 11, A, B ; 21158
+ SUB *AR7-, 11, A ; 21159
+ SUB *AR7-, 11, B, A ; 21160
+ SUB *AR7-, 11, B, B ; 21161
+ SUB *AR7-, 11, B ; 21162
+ SUB *AR7-, 12, A, A ; 21163
+ SUB *AR7-, 12, A, B ; 21164
+ SUB *AR7-, 12, A ; 21165
+ SUB *AR7-, 12, B, A ; 21166
+ SUB *AR7-, 12, B, B ; 21167
+ SUB *AR7-, 12, B ; 21168
+ SUB *AR7-, 13, A, A ; 21169
+ SUB *AR7-, 13, A, B ; 21170
+ SUB *AR7-, 13, A ; 21171
+ SUB *AR7-, 13, B, A ; 21172
+ SUB *AR7-, 13, B, B ; 21173
+ SUB *AR7-, 13, B ; 21174
+ SUB *AR7-, 14, A, A ; 21175
+ SUB *AR7-, 14, A, B ; 21176
+ SUB *AR7-, 14, A ; 21177
+ SUB *AR7-, 14, B, A ; 21178
+ SUB *AR7-, 14, B, B ; 21179
+ SUB *AR7-, 14, B ; 21180
+ SUB *AR7-, 15, A, A ; 21181
+ SUB *AR7-, 15, A, B ; 21182
+ SUB *AR7-, 15, A ; 21183
+ SUB *AR7-, 15, B, A ; 21184
+ SUB *AR7-, 15, B, B ; 21185
+ SUB *AR7-, 15, B ; 21186
+ SUB *AR7+, A, A ; 21187
+ SUB *AR7+, A, B ; 21188
+ SUB *AR7+, A ; 21189
+ SUB *AR7+, B, A ; 21190
+ SUB *AR7+, B, B ; 21191
+ SUB *AR7+, B ; 21192
+ SUB *AR7+, -16, A, A ; 21193
+ SUB *AR7+, -16, A, B ; 21194
+ SUB *AR7+, -16, A ; 21195
+ SUB *AR7+, -16, B, A ; 21196
+ SUB *AR7+, -16, B, B ; 21197
+ SUB *AR7+, -16, B ; 21198
+ SUB *AR7+, -15, A, A ; 21199
+ SUB *AR7+, -15, A, B ; 21200
+ SUB *AR7+, -15, A ; 21201
+ SUB *AR7+, -15, B, A ; 21202
+ SUB *AR7+, -15, B, B ; 21203
+ SUB *AR7+, -15, B ; 21204
+ SUB *AR7+, -14, A, A ; 21205
+ SUB *AR7+, -14, A, B ; 21206
+ SUB *AR7+, -14, A ; 21207
+ SUB *AR7+, -14, B, A ; 21208
+ SUB *AR7+, -14, B, B ; 21209
+ SUB *AR7+, -14, B ; 21210
+ SUB *AR7+, -13, A, A ; 21211
+ SUB *AR7+, -13, A, B ; 21212
+ SUB *AR7+, -13, A ; 21213
+ SUB *AR7+, -13, B, A ; 21214
+ SUB *AR7+, -13, B, B ; 21215
+ SUB *AR7+, -13, B ; 21216
+ SUB *AR7+, -12, A, A ; 21217
+ SUB *AR7+, -12, A, B ; 21218
+ SUB *AR7+, -12, A ; 21219
+ SUB *AR7+, -12, B, A ; 21220
+ SUB *AR7+, -12, B, B ; 21221
+ SUB *AR7+, -12, B ; 21222
+ SUB *AR7+, -11, A, A ; 21223
+ SUB *AR7+, -11, A, B ; 21224
+ SUB *AR7+, -11, A ; 21225
+ SUB *AR7+, -11, B, A ; 21226
+ SUB *AR7+, -11, B, B ; 21227
+ SUB *AR7+, -11, B ; 21228
+ SUB *AR7+, -10, A, A ; 21229
+ SUB *AR7+, -10, A, B ; 21230
+ SUB *AR7+, -10, A ; 21231
+ SUB *AR7+, -10, B, A ; 21232
+ SUB *AR7+, -10, B, B ; 21233
+ SUB *AR7+, -10, B ; 21234
+ SUB *AR7+, -9, A, A ; 21235
+ SUB *AR7+, -9, A, B ; 21236
+ SUB *AR7+, -9, A ; 21237
+ SUB *AR7+, -9, B, A ; 21238
+ SUB *AR7+, -9, B, B ; 21239
+ SUB *AR7+, -9, B ; 21240
+ SUB *AR7+, -8, A, A ; 21241
+ SUB *AR7+, -8, A, B ; 21242
+ SUB *AR7+, -8, A ; 21243
+ SUB *AR7+, -8, B, A ; 21244
+ SUB *AR7+, -8, B, B ; 21245
+ SUB *AR7+, -8, B ; 21246
+ SUB *AR7+, -7, A, A ; 21247
+ SUB *AR7+, -7, A, B ; 21248
+ SUB *AR7+, -7, A ; 21249
+ SUB *AR7+, -7, B, A ; 21250
+ SUB *AR7+, -7, B, B ; 21251
+ SUB *AR7+, -7, B ; 21252
+ SUB *AR7+, -6, A, A ; 21253
+ SUB *AR7+, -6, A, B ; 21254
+ SUB *AR7+, -6, A ; 21255
+ SUB *AR7+, -6, B, A ; 21256
+ SUB *AR7+, -6, B, B ; 21257
+ SUB *AR7+, -6, B ; 21258
+ SUB *AR7+, -5, A, A ; 21259
+ SUB *AR7+, -5, A, B ; 21260
+ SUB *AR7+, -5, A ; 21261
+ SUB *AR7+, -5, B, A ; 21262
+ SUB *AR7+, -5, B, B ; 21263
+ SUB *AR7+, -5, B ; 21264
+ SUB *AR7+, -4, A, A ; 21265
+ SUB *AR7+, -4, A, B ; 21266
+ SUB *AR7+, -4, A ; 21267
+ SUB *AR7+, -4, B, A ; 21268
+ SUB *AR7+, -4, B, B ; 21269
+ SUB *AR7+, -4, B ; 21270
+ SUB *AR7+, -3, A, A ; 21271
+ SUB *AR7+, -3, A, B ; 21272
+ SUB *AR7+, -3, A ; 21273
+ SUB *AR7+, -3, B, A ; 21274
+ SUB *AR7+, -3, B, B ; 21275
+ SUB *AR7+, -3, B ; 21276
+ SUB *AR7+, -2, A, A ; 21277
+ SUB *AR7+, -2, A, B ; 21278
+ SUB *AR7+, -2, A ; 21279
+ SUB *AR7+, -2, B, A ; 21280
+ SUB *AR7+, -2, B, B ; 21281
+ SUB *AR7+, -2, B ; 21282
+ SUB *AR7+, -1, A, A ; 21283
+ SUB *AR7+, -1, A, B ; 21284
+ SUB *AR7+, -1, A ; 21285
+ SUB *AR7+, -1, B, A ; 21286
+ SUB *AR7+, -1, B, B ; 21287
+ SUB *AR7+, -1, B ; 21288
+ SUB *AR7+, 0, A, A ; 21289
+ SUB *AR7+, 0, A, B ; 21290
+ SUB *AR7+, 0, A ; 21291
+ SUB *AR7+, 0, B, A ; 21292
+ SUB *AR7+, 0, B, B ; 21293
+ SUB *AR7+, 0, B ; 21294
+ SUB *AR7+, 1, A, A ; 21295
+ SUB *AR7+, 1, A, B ; 21296
+ SUB *AR7+, 1, A ; 21297
+ SUB *AR7+, 1, B, A ; 21298
+ SUB *AR7+, 1, B, B ; 21299
+ SUB *AR7+, 1, B ; 21300
+ SUB *AR7+, 2, A, A ; 21301
+ SUB *AR7+, 2, A, B ; 21302
+ SUB *AR7+, 2, A ; 21303
+ SUB *AR7+, 2, B, A ; 21304
+ SUB *AR7+, 2, B, B ; 21305
+ SUB *AR7+, 2, B ; 21306
+ SUB *AR7+, 3, A, A ; 21307
+ SUB *AR7+, 3, A, B ; 21308
+ SUB *AR7+, 3, A ; 21309
+ SUB *AR7+, 3, B, A ; 21310
+ SUB *AR7+, 3, B, B ; 21311
+ SUB *AR7+, 3, B ; 21312
+ SUB *AR7+, 4, A, A ; 21313
+ SUB *AR7+, 4, A, B ; 21314
+ SUB *AR7+, 4, A ; 21315
+ SUB *AR7+, 4, B, A ; 21316
+ SUB *AR7+, 4, B, B ; 21317
+ SUB *AR7+, 4, B ; 21318
+ SUB *AR7+, 5, A, A ; 21319
+ SUB *AR7+, 5, A, B ; 21320
+ SUB *AR7+, 5, A ; 21321
+ SUB *AR7+, 5, B, A ; 21322
+ SUB *AR7+, 5, B, B ; 21323
+ SUB *AR7+, 5, B ; 21324
+ SUB *AR7+, 6, A, A ; 21325
+ SUB *AR7+, 6, A, B ; 21326
+ SUB *AR7+, 6, A ; 21327
+ SUB *AR7+, 6, B, A ; 21328
+ SUB *AR7+, 6, B, B ; 21329
+ SUB *AR7+, 6, B ; 21330
+ SUB *AR7+, 7, A, A ; 21331
+ SUB *AR7+, 7, A, B ; 21332
+ SUB *AR7+, 7, A ; 21333
+ SUB *AR7+, 7, B, A ; 21334
+ SUB *AR7+, 7, B, B ; 21335
+ SUB *AR7+, 7, B ; 21336
+ SUB *AR7+, 8, A, A ; 21337
+ SUB *AR7+, 8, A, B ; 21338
+ SUB *AR7+, 8, A ; 21339
+ SUB *AR7+, 8, B, A ; 21340
+ SUB *AR7+, 8, B, B ; 21341
+ SUB *AR7+, 8, B ; 21342
+ SUB *AR7+, 9, A, A ; 21343
+ SUB *AR7+, 9, A, B ; 21344
+ SUB *AR7+, 9, A ; 21345
+ SUB *AR7+, 9, B, A ; 21346
+ SUB *AR7+, 9, B, B ; 21347
+ SUB *AR7+, 9, B ; 21348
+ SUB *AR7+, 10, A, A ; 21349
+ SUB *AR7+, 10, A, B ; 21350
+ SUB *AR7+, 10, A ; 21351
+ SUB *AR7+, 10, B, A ; 21352
+ SUB *AR7+, 10, B, B ; 21353
+ SUB *AR7+, 10, B ; 21354
+ SUB *AR7+, 11, A, A ; 21355
+ SUB *AR7+, 11, A, B ; 21356
+ SUB *AR7+, 11, A ; 21357
+ SUB *AR7+, 11, B, A ; 21358
+ SUB *AR7+, 11, B, B ; 21359
+ SUB *AR7+, 11, B ; 21360
+ SUB *AR7+, 12, A, A ; 21361
+ SUB *AR7+, 12, A, B ; 21362
+ SUB *AR7+, 12, A ; 21363
+ SUB *AR7+, 12, B, A ; 21364
+ SUB *AR7+, 12, B, B ; 21365
+ SUB *AR7+, 12, B ; 21366
+ SUB *AR7+, 13, A, A ; 21367
+ SUB *AR7+, 13, A, B ; 21368
+ SUB *AR7+, 13, A ; 21369
+ SUB *AR7+, 13, B, A ; 21370
+ SUB *AR7+, 13, B, B ; 21371
+ SUB *AR7+, 13, B ; 21372
+ SUB *AR7+, 14, A, A ; 21373
+ SUB *AR7+, 14, A, B ; 21374
+ SUB *AR7+, 14, A ; 21375
+ SUB *AR7+, 14, B, A ; 21376
+ SUB *AR7+, 14, B, B ; 21377
+ SUB *AR7+, 14, B ; 21378
+ SUB *AR7+, 15, A, A ; 21379
+ SUB *AR7+, 15, A, B ; 21380
+ SUB *AR7+, 15, A ; 21381
+ SUB *AR7+, 15, B, A ; 21382
+ SUB *AR7+, 15, B, B ; 21383
+ SUB *AR7+, 15, B ; 21384
+ SUB *AR7-0B, A, A ; 21385
+ SUB *AR7-0B, A, B ; 21386
+ SUB *AR7-0B, A ; 21387
+ SUB *AR7-0B, B, A ; 21388
+ SUB *AR7-0B, B, B ; 21389
+ SUB *AR7-0B, B ; 21390
+ SUB *AR7-0B, -16, A, A ; 21391
+ SUB *AR7-0B, -16, A, B ; 21392
+ SUB *AR7-0B, -16, A ; 21393
+ SUB *AR7-0B, -16, B, A ; 21394
+ SUB *AR7-0B, -16, B, B ; 21395
+ SUB *AR7-0B, -16, B ; 21396
+ SUB *AR7-0B, -15, A, A ; 21397
+ SUB *AR7-0B, -15, A, B ; 21398
+ SUB *AR7-0B, -15, A ; 21399
+ SUB *AR7-0B, -15, B, A ; 21400
+ SUB *AR7-0B, -15, B, B ; 21401
+ SUB *AR7-0B, -15, B ; 21402
+ SUB *AR7-0B, -14, A, A ; 21403
+ SUB *AR7-0B, -14, A, B ; 21404
+ SUB *AR7-0B, -14, A ; 21405
+ SUB *AR7-0B, -14, B, A ; 21406
+ SUB *AR7-0B, -14, B, B ; 21407
+ SUB *AR7-0B, -14, B ; 21408
+ SUB *AR7-0B, -13, A, A ; 21409
+ SUB *AR7-0B, -13, A, B ; 21410
+ SUB *AR7-0B, -13, A ; 21411
+ SUB *AR7-0B, -13, B, A ; 21412
+ SUB *AR7-0B, -13, B, B ; 21413
+ SUB *AR7-0B, -13, B ; 21414
+ SUB *AR7-0B, -12, A, A ; 21415
+ SUB *AR7-0B, -12, A, B ; 21416
+ SUB *AR7-0B, -12, A ; 21417
+ SUB *AR7-0B, -12, B, A ; 21418
+ SUB *AR7-0B, -12, B, B ; 21419
+ SUB *AR7-0B, -12, B ; 21420
+ SUB *AR7-0B, -11, A, A ; 21421
+ SUB *AR7-0B, -11, A, B ; 21422
+ SUB *AR7-0B, -11, A ; 21423
+ SUB *AR7-0B, -11, B, A ; 21424
+ SUB *AR7-0B, -11, B, B ; 21425
+ SUB *AR7-0B, -11, B ; 21426
+ SUB *AR7-0B, -10, A, A ; 21427
+ SUB *AR7-0B, -10, A, B ; 21428
+ SUB *AR7-0B, -10, A ; 21429
+ SUB *AR7-0B, -10, B, A ; 21430
+ SUB *AR7-0B, -10, B, B ; 21431
+ SUB *AR7-0B, -10, B ; 21432
+ SUB *AR7-0B, -9, A, A ; 21433
+ SUB *AR7-0B, -9, A, B ; 21434
+ SUB *AR7-0B, -9, A ; 21435
+ SUB *AR7-0B, -9, B, A ; 21436
+ SUB *AR7-0B, -9, B, B ; 21437
+ SUB *AR7-0B, -9, B ; 21438
+ SUB *AR7-0B, -8, A, A ; 21439
+ SUB *AR7-0B, -8, A, B ; 21440
+ SUB *AR7-0B, -8, A ; 21441
+ SUB *AR7-0B, -8, B, A ; 21442
+ SUB *AR7-0B, -8, B, B ; 21443
+ SUB *AR7-0B, -8, B ; 21444
+ SUB *AR7-0B, -7, A, A ; 21445
+ SUB *AR7-0B, -7, A, B ; 21446
+ SUB *AR7-0B, -7, A ; 21447
+ SUB *AR7-0B, -7, B, A ; 21448
+ SUB *AR7-0B, -7, B, B ; 21449
+ SUB *AR7-0B, -7, B ; 21450
+ SUB *AR7-0B, -6, A, A ; 21451
+ SUB *AR7-0B, -6, A, B ; 21452
+ SUB *AR7-0B, -6, A ; 21453
+ SUB *AR7-0B, -6, B, A ; 21454
+ SUB *AR7-0B, -6, B, B ; 21455
+ SUB *AR7-0B, -6, B ; 21456
+ SUB *AR7-0B, -5, A, A ; 21457
+ SUB *AR7-0B, -5, A, B ; 21458
+ SUB *AR7-0B, -5, A ; 21459
+ SUB *AR7-0B, -5, B, A ; 21460
+ SUB *AR7-0B, -5, B, B ; 21461
+ SUB *AR7-0B, -5, B ; 21462
+ SUB *AR7-0B, -4, A, A ; 21463
+ SUB *AR7-0B, -4, A, B ; 21464
+ SUB *AR7-0B, -4, A ; 21465
+ SUB *AR7-0B, -4, B, A ; 21466
+ SUB *AR7-0B, -4, B, B ; 21467
+ SUB *AR7-0B, -4, B ; 21468
+ SUB *AR7-0B, -3, A, A ; 21469
+ SUB *AR7-0B, -3, A, B ; 21470
+ SUB *AR7-0B, -3, A ; 21471
+ SUB *AR7-0B, -3, B, A ; 21472
+ SUB *AR7-0B, -3, B, B ; 21473
+ SUB *AR7-0B, -3, B ; 21474
+ SUB *AR7-0B, -2, A, A ; 21475
+ SUB *AR7-0B, -2, A, B ; 21476
+ SUB *AR7-0B, -2, A ; 21477
+ SUB *AR7-0B, -2, B, A ; 21478
+ SUB *AR7-0B, -2, B, B ; 21479
+ SUB *AR7-0B, -2, B ; 21480
+ SUB *AR7-0B, -1, A, A ; 21481
+ SUB *AR7-0B, -1, A, B ; 21482
+ SUB *AR7-0B, -1, A ; 21483
+ SUB *AR7-0B, -1, B, A ; 21484
+ SUB *AR7-0B, -1, B, B ; 21485
+ SUB *AR7-0B, -1, B ; 21486
+ SUB *AR7-0B, 0, A, A ; 21487
+ SUB *AR7-0B, 0, A, B ; 21488
+ SUB *AR7-0B, 0, A ; 21489
+ SUB *AR7-0B, 0, B, A ; 21490
+ SUB *AR7-0B, 0, B, B ; 21491
+ SUB *AR7-0B, 0, B ; 21492
+ SUB *AR7-0B, 1, A, A ; 21493
+ SUB *AR7-0B, 1, A, B ; 21494
+ SUB *AR7-0B, 1, A ; 21495
+ SUB *AR7-0B, 1, B, A ; 21496
+ SUB *AR7-0B, 1, B, B ; 21497
+ SUB *AR7-0B, 1, B ; 21498
+ SUB *AR7-0B, 2, A, A ; 21499
+ SUB *AR7-0B, 2, A, B ; 21500
+ SUB *AR7-0B, 2, A ; 21501
+ SUB *AR7-0B, 2, B, A ; 21502
+ SUB *AR7-0B, 2, B, B ; 21503
+ SUB *AR7-0B, 2, B ; 21504
+ SUB *AR7-0B, 3, A, A ; 21505
+ SUB *AR7-0B, 3, A, B ; 21506
+ SUB *AR7-0B, 3, A ; 21507
+ SUB *AR7-0B, 3, B, A ; 21508
+ SUB *AR7-0B, 3, B, B ; 21509
+ SUB *AR7-0B, 3, B ; 21510
+ SUB *AR7-0B, 4, A, A ; 21511
+ SUB *AR7-0B, 4, A, B ; 21512
+ SUB *AR7-0B, 4, A ; 21513
+ SUB *AR7-0B, 4, B, A ; 21514
+ SUB *AR7-0B, 4, B, B ; 21515
+ SUB *AR7-0B, 4, B ; 21516
+ SUB *AR7-0B, 5, A, A ; 21517
+ SUB *AR7-0B, 5, A, B ; 21518
+ SUB *AR7-0B, 5, A ; 21519
+ SUB *AR7-0B, 5, B, A ; 21520
+ SUB *AR7-0B, 5, B, B ; 21521
+ SUB *AR7-0B, 5, B ; 21522
+ SUB *AR7-0B, 6, A, A ; 21523
+ SUB *AR7-0B, 6, A, B ; 21524
+ SUB *AR7-0B, 6, A ; 21525
+ SUB *AR7-0B, 6, B, A ; 21526
+ SUB *AR7-0B, 6, B, B ; 21527
+ SUB *AR7-0B, 6, B ; 21528
+ SUB *AR7-0B, 7, A, A ; 21529
+ SUB *AR7-0B, 7, A, B ; 21530
+ SUB *AR7-0B, 7, A ; 21531
+ SUB *AR7-0B, 7, B, A ; 21532
+ SUB *AR7-0B, 7, B, B ; 21533
+ SUB *AR7-0B, 7, B ; 21534
+ SUB *AR7-0B, 8, A, A ; 21535
+ SUB *AR7-0B, 8, A, B ; 21536
+ SUB *AR7-0B, 8, A ; 21537
+ SUB *AR7-0B, 8, B, A ; 21538
+ SUB *AR7-0B, 8, B, B ; 21539
+ SUB *AR7-0B, 8, B ; 21540
+ SUB *AR7-0B, 9, A, A ; 21541
+ SUB *AR7-0B, 9, A, B ; 21542
+ SUB *AR7-0B, 9, A ; 21543
+ SUB *AR7-0B, 9, B, A ; 21544
+ SUB *AR7-0B, 9, B, B ; 21545
+ SUB *AR7-0B, 9, B ; 21546
+ SUB *AR7-0B, 10, A, A ; 21547
+ SUB *AR7-0B, 10, A, B ; 21548
+ SUB *AR7-0B, 10, A ; 21549
+ SUB *AR7-0B, 10, B, A ; 21550
+ SUB *AR7-0B, 10, B, B ; 21551
+ SUB *AR7-0B, 10, B ; 21552
+ SUB *AR7-0B, 11, A, A ; 21553
+ SUB *AR7-0B, 11, A, B ; 21554
+ SUB *AR7-0B, 11, A ; 21555
+ SUB *AR7-0B, 11, B, A ; 21556
+ SUB *AR7-0B, 11, B, B ; 21557
+ SUB *AR7-0B, 11, B ; 21558
+ SUB *AR7-0B, 12, A, A ; 21559
+ SUB *AR7-0B, 12, A, B ; 21560
+ SUB *AR7-0B, 12, A ; 21561
+ SUB *AR7-0B, 12, B, A ; 21562
+ SUB *AR7-0B, 12, B, B ; 21563
+ SUB *AR7-0B, 12, B ; 21564
+ SUB *AR7-0B, 13, A, A ; 21565
+ SUB *AR7-0B, 13, A, B ; 21566
+ SUB *AR7-0B, 13, A ; 21567
+ SUB *AR7-0B, 13, B, A ; 21568
+ SUB *AR7-0B, 13, B, B ; 21569
+ SUB *AR7-0B, 13, B ; 21570
+ SUB *AR7-0B, 14, A, A ; 21571
+ SUB *AR7-0B, 14, A, B ; 21572
+ SUB *AR7-0B, 14, A ; 21573
+ SUB *AR7-0B, 14, B, A ; 21574
+ SUB *AR7-0B, 14, B, B ; 21575
+ SUB *AR7-0B, 14, B ; 21576
+ SUB *AR7-0B, 15, A, A ; 21577
+ SUB *AR7-0B, 15, A, B ; 21578
+ SUB *AR7-0B, 15, A ; 21579
+ SUB *AR7-0B, 15, B, A ; 21580
+ SUB *AR7-0B, 15, B, B ; 21581
+ SUB *AR7-0B, 15, B ; 21582
+ SUB *AR7-0, A, A ; 21583
+ SUB *AR7-0, A, B ; 21584
+ SUB *AR7-0, A ; 21585
+ SUB *AR7-0, B, A ; 21586
+ SUB *AR7-0, B, B ; 21587
+ SUB *AR7-0, B ; 21588
+ SUB *AR7-0, -16, A, A ; 21589
+ SUB *AR7-0, -16, A, B ; 21590
+ SUB *AR7-0, -16, A ; 21591
+ SUB *AR7-0, -16, B, A ; 21592
+ SUB *AR7-0, -16, B, B ; 21593
+ SUB *AR7-0, -16, B ; 21594
+ SUB *AR7-0, -15, A, A ; 21595
+ SUB *AR7-0, -15, A, B ; 21596
+ SUB *AR7-0, -15, A ; 21597
+ SUB *AR7-0, -15, B, A ; 21598
+ SUB *AR7-0, -15, B, B ; 21599
+ SUB *AR7-0, -15, B ; 21600
+ SUB *AR7-0, -14, A, A ; 21601
+ SUB *AR7-0, -14, A, B ; 21602
+ SUB *AR7-0, -14, A ; 21603
+ SUB *AR7-0, -14, B, A ; 21604
+ SUB *AR7-0, -14, B, B ; 21605
+ SUB *AR7-0, -14, B ; 21606
+ SUB *AR7-0, -13, A, A ; 21607
+ SUB *AR7-0, -13, A, B ; 21608
+ SUB *AR7-0, -13, A ; 21609
+ SUB *AR7-0, -13, B, A ; 21610
+ SUB *AR7-0, -13, B, B ; 21611
+ SUB *AR7-0, -13, B ; 21612
+ SUB *AR7-0, -12, A, A ; 21613
+ SUB *AR7-0, -12, A, B ; 21614
+ SUB *AR7-0, -12, A ; 21615
+ SUB *AR7-0, -12, B, A ; 21616
+ SUB *AR7-0, -12, B, B ; 21617
+ SUB *AR7-0, -12, B ; 21618
+ SUB *AR7-0, -11, A, A ; 21619
+ SUB *AR7-0, -11, A, B ; 21620
+ SUB *AR7-0, -11, A ; 21621
+ SUB *AR7-0, -11, B, A ; 21622
+ SUB *AR7-0, -11, B, B ; 21623
+ SUB *AR7-0, -11, B ; 21624
+ SUB *AR7-0, -10, A, A ; 21625
+ SUB *AR7-0, -10, A, B ; 21626
+ SUB *AR7-0, -10, A ; 21627
+ SUB *AR7-0, -10, B, A ; 21628
+ SUB *AR7-0, -10, B, B ; 21629
+ SUB *AR7-0, -10, B ; 21630
+ SUB *AR7-0, -9, A, A ; 21631
+ SUB *AR7-0, -9, A, B ; 21632
+ SUB *AR7-0, -9, A ; 21633
+ SUB *AR7-0, -9, B, A ; 21634
+ SUB *AR7-0, -9, B, B ; 21635
+ SUB *AR7-0, -9, B ; 21636
+ SUB *AR7-0, -8, A, A ; 21637
+ SUB *AR7-0, -8, A, B ; 21638
+ SUB *AR7-0, -8, A ; 21639
+ SUB *AR7-0, -8, B, A ; 21640
+ SUB *AR7-0, -8, B, B ; 21641
+ SUB *AR7-0, -8, B ; 21642
+ SUB *AR7-0, -7, A, A ; 21643
+ SUB *AR7-0, -7, A, B ; 21644
+ SUB *AR7-0, -7, A ; 21645
+ SUB *AR7-0, -7, B, A ; 21646
+ SUB *AR7-0, -7, B, B ; 21647
+ SUB *AR7-0, -7, B ; 21648
+ SUB *AR7-0, -6, A, A ; 21649
+ SUB *AR7-0, -6, A, B ; 21650
+ SUB *AR7-0, -6, A ; 21651
+ SUB *AR7-0, -6, B, A ; 21652
+ SUB *AR7-0, -6, B, B ; 21653
+ SUB *AR7-0, -6, B ; 21654
+ SUB *AR7-0, -5, A, A ; 21655
+ SUB *AR7-0, -5, A, B ; 21656
+ SUB *AR7-0, -5, A ; 21657
+ SUB *AR7-0, -5, B, A ; 21658
+ SUB *AR7-0, -5, B, B ; 21659
+ SUB *AR7-0, -5, B ; 21660
+ SUB *AR7-0, -4, A, A ; 21661
+ SUB *AR7-0, -4, A, B ; 21662
+ SUB *AR7-0, -4, A ; 21663
+ SUB *AR7-0, -4, B, A ; 21664
+ SUB *AR7-0, -4, B, B ; 21665
+ SUB *AR7-0, -4, B ; 21666
+ SUB *AR7-0, -3, A, A ; 21667
+ SUB *AR7-0, -3, A, B ; 21668
+ SUB *AR7-0, -3, A ; 21669
+ SUB *AR7-0, -3, B, A ; 21670
+ SUB *AR7-0, -3, B, B ; 21671
+ SUB *AR7-0, -3, B ; 21672
+ SUB *AR7-0, -2, A, A ; 21673
+ SUB *AR7-0, -2, A, B ; 21674
+ SUB *AR7-0, -2, A ; 21675
+ SUB *AR7-0, -2, B, A ; 21676
+ SUB *AR7-0, -2, B, B ; 21677
+ SUB *AR7-0, -2, B ; 21678
+ SUB *AR7-0, -1, A, A ; 21679
+ SUB *AR7-0, -1, A, B ; 21680
+ SUB *AR7-0, -1, A ; 21681
+ SUB *AR7-0, -1, B, A ; 21682
+ SUB *AR7-0, -1, B, B ; 21683
+ SUB *AR7-0, -1, B ; 21684
+ SUB *AR7-0, 0, A, A ; 21685
+ SUB *AR7-0, 0, A, B ; 21686
+ SUB *AR7-0, 0, A ; 21687
+ SUB *AR7-0, 0, B, A ; 21688
+ SUB *AR7-0, 0, B, B ; 21689
+ SUB *AR7-0, 0, B ; 21690
+ SUB *AR7-0, 1, A, A ; 21691
+ SUB *AR7-0, 1, A, B ; 21692
+ SUB *AR7-0, 1, A ; 21693
+ SUB *AR7-0, 1, B, A ; 21694
+ SUB *AR7-0, 1, B, B ; 21695
+ SUB *AR7-0, 1, B ; 21696
+ SUB *AR7-0, 2, A, A ; 21697
+ SUB *AR7-0, 2, A, B ; 21698
+ SUB *AR7-0, 2, A ; 21699
+ SUB *AR7-0, 2, B, A ; 21700
+ SUB *AR7-0, 2, B, B ; 21701
+ SUB *AR7-0, 2, B ; 21702
+ SUB *AR7-0, 3, A, A ; 21703
+ SUB *AR7-0, 3, A, B ; 21704
+ SUB *AR7-0, 3, A ; 21705
+ SUB *AR7-0, 3, B, A ; 21706
+ SUB *AR7-0, 3, B, B ; 21707
+ SUB *AR7-0, 3, B ; 21708
+ SUB *AR7-0, 4, A, A ; 21709
+ SUB *AR7-0, 4, A, B ; 21710
+ SUB *AR7-0, 4, A ; 21711
+ SUB *AR7-0, 4, B, A ; 21712
+ SUB *AR7-0, 4, B, B ; 21713
+ SUB *AR7-0, 4, B ; 21714
+ SUB *AR7-0, 5, A, A ; 21715
+ SUB *AR7-0, 5, A, B ; 21716
+ SUB *AR7-0, 5, A ; 21717
+ SUB *AR7-0, 5, B, A ; 21718
+ SUB *AR7-0, 5, B, B ; 21719
+ SUB *AR7-0, 5, B ; 21720
+ SUB *AR7-0, 6, A, A ; 21721
+ SUB *AR7-0, 6, A, B ; 21722
+ SUB *AR7-0, 6, A ; 21723
+ SUB *AR7-0, 6, B, A ; 21724
+ SUB *AR7-0, 6, B, B ; 21725
+ SUB *AR7-0, 6, B ; 21726
+ SUB *AR7-0, 7, A, A ; 21727
+ SUB *AR7-0, 7, A, B ; 21728
+ SUB *AR7-0, 7, A ; 21729
+ SUB *AR7-0, 7, B, A ; 21730
+ SUB *AR7-0, 7, B, B ; 21731
+ SUB *AR7-0, 7, B ; 21732
+ SUB *AR7-0, 8, A, A ; 21733
+ SUB *AR7-0, 8, A, B ; 21734
+ SUB *AR7-0, 8, A ; 21735
+ SUB *AR7-0, 8, B, A ; 21736
+ SUB *AR7-0, 8, B, B ; 21737
+ SUB *AR7-0, 8, B ; 21738
+ SUB *AR7-0, 9, A, A ; 21739
+ SUB *AR7-0, 9, A, B ; 21740
+ SUB *AR7-0, 9, A ; 21741
+ SUB *AR7-0, 9, B, A ; 21742
+ SUB *AR7-0, 9, B, B ; 21743
+ SUB *AR7-0, 9, B ; 21744
+ SUB *AR7-0, 10, A, A ; 21745
+ SUB *AR7-0, 10, A, B ; 21746
+ SUB *AR7-0, 10, A ; 21747
+ SUB *AR7-0, 10, B, A ; 21748
+ SUB *AR7-0, 10, B, B ; 21749
+ SUB *AR7-0, 10, B ; 21750
+ SUB *AR7-0, 11, A, A ; 21751
+ SUB *AR7-0, 11, A, B ; 21752
+ SUB *AR7-0, 11, A ; 21753
+ SUB *AR7-0, 11, B, A ; 21754
+ SUB *AR7-0, 11, B, B ; 21755
+ SUB *AR7-0, 11, B ; 21756
+ SUB *AR7-0, 12, A, A ; 21757
+ SUB *AR7-0, 12, A, B ; 21758
+ SUB *AR7-0, 12, A ; 21759
+ SUB *AR7-0, 12, B, A ; 21760
+ SUB *AR7-0, 12, B, B ; 21761
+ SUB *AR7-0, 12, B ; 21762
+ SUB *AR7-0, 13, A, A ; 21763
+ SUB *AR7-0, 13, A, B ; 21764
+ SUB *AR7-0, 13, A ; 21765
+ SUB *AR7-0, 13, B, A ; 21766
+ SUB *AR7-0, 13, B, B ; 21767
+ SUB *AR7-0, 13, B ; 21768
+ SUB *AR7-0, 14, A, A ; 21769
+ SUB *AR7-0, 14, A, B ; 21770
+ SUB *AR7-0, 14, A ; 21771
+ SUB *AR7-0, 14, B, A ; 21772
+ SUB *AR7-0, 14, B, B ; 21773
+ SUB *AR7-0, 14, B ; 21774
+ SUB *AR7-0, 15, A, A ; 21775
+ SUB *AR7-0, 15, A, B ; 21776
+ SUB *AR7-0, 15, A ; 21777
+ SUB *AR7-0, 15, B, A ; 21778
+ SUB *AR7-0, 15, B, B ; 21779
+ SUB *AR7-0, 15, B ; 21780
+ SUB *AR7+0, A, A ; 21781
+ SUB *AR7+0, A, B ; 21782
+ SUB *AR7+0, A ; 21783
+ SUB *AR7+0, B, A ; 21784
+ SUB *AR7+0, B, B ; 21785
+ SUB *AR7+0, B ; 21786
+ SUB *AR7+0, -16, A, A ; 21787
+ SUB *AR7+0, -16, A, B ; 21788
+ SUB *AR7+0, -16, A ; 21789
+ SUB *AR7+0, -16, B, A ; 21790
+ SUB *AR7+0, -16, B, B ; 21791
+ SUB *AR7+0, -16, B ; 21792
+ SUB *AR7+0, -15, A, A ; 21793
+ SUB *AR7+0, -15, A, B ; 21794
+ SUB *AR7+0, -15, A ; 21795
+ SUB *AR7+0, -15, B, A ; 21796
+ SUB *AR7+0, -15, B, B ; 21797
+ SUB *AR7+0, -15, B ; 21798
+ SUB *AR7+0, -14, A, A ; 21799
+ SUB *AR7+0, -14, A, B ; 21800
+ SUB *AR7+0, -14, A ; 21801
+ SUB *AR7+0, -14, B, A ; 21802
+ SUB *AR7+0, -14, B, B ; 21803
+ SUB *AR7+0, -14, B ; 21804
+ SUB *AR7+0, -13, A, A ; 21805
+ SUB *AR7+0, -13, A, B ; 21806
+ SUB *AR7+0, -13, A ; 21807
+ SUB *AR7+0, -13, B, A ; 21808
+ SUB *AR7+0, -13, B, B ; 21809
+ SUB *AR7+0, -13, B ; 21810
+ SUB *AR7+0, -12, A, A ; 21811
+ SUB *AR7+0, -12, A, B ; 21812
+ SUB *AR7+0, -12, A ; 21813
+ SUB *AR7+0, -12, B, A ; 21814
+ SUB *AR7+0, -12, B, B ; 21815
+ SUB *AR7+0, -12, B ; 21816
+ SUB *AR7+0, -11, A, A ; 21817
+ SUB *AR7+0, -11, A, B ; 21818
+ SUB *AR7+0, -11, A ; 21819
+ SUB *AR7+0, -11, B, A ; 21820
+ SUB *AR7+0, -11, B, B ; 21821
+ SUB *AR7+0, -11, B ; 21822
+ SUB *AR7+0, -10, A, A ; 21823
+ SUB *AR7+0, -10, A, B ; 21824
+ SUB *AR7+0, -10, A ; 21825
+ SUB *AR7+0, -10, B, A ; 21826
+ SUB *AR7+0, -10, B, B ; 21827
+ SUB *AR7+0, -10, B ; 21828
+ SUB *AR7+0, -9, A, A ; 21829
+ SUB *AR7+0, -9, A, B ; 21830
+ SUB *AR7+0, -9, A ; 21831
+ SUB *AR7+0, -9, B, A ; 21832
+ SUB *AR7+0, -9, B, B ; 21833
+ SUB *AR7+0, -9, B ; 21834
+ SUB *AR7+0, -8, A, A ; 21835
+ SUB *AR7+0, -8, A, B ; 21836
+ SUB *AR7+0, -8, A ; 21837
+ SUB *AR7+0, -8, B, A ; 21838
+ SUB *AR7+0, -8, B, B ; 21839
+ SUB *AR7+0, -8, B ; 21840
+ SUB *AR7+0, -7, A, A ; 21841
+ SUB *AR7+0, -7, A, B ; 21842
+ SUB *AR7+0, -7, A ; 21843
+ SUB *AR7+0, -7, B, A ; 21844
+ SUB *AR7+0, -7, B, B ; 21845
+ SUB *AR7+0, -7, B ; 21846
+ SUB *AR7+0, -6, A, A ; 21847
+ SUB *AR7+0, -6, A, B ; 21848
+ SUB *AR7+0, -6, A ; 21849
+ SUB *AR7+0, -6, B, A ; 21850
+ SUB *AR7+0, -6, B, B ; 21851
+ SUB *AR7+0, -6, B ; 21852
+ SUB *AR7+0, -5, A, A ; 21853
+ SUB *AR7+0, -5, A, B ; 21854
+ SUB *AR7+0, -5, A ; 21855
+ SUB *AR7+0, -5, B, A ; 21856
+ SUB *AR7+0, -5, B, B ; 21857
+ SUB *AR7+0, -5, B ; 21858
+ SUB *AR7+0, -4, A, A ; 21859
+ SUB *AR7+0, -4, A, B ; 21860
+ SUB *AR7+0, -4, A ; 21861
+ SUB *AR7+0, -4, B, A ; 21862
+ SUB *AR7+0, -4, B, B ; 21863
+ SUB *AR7+0, -4, B ; 21864
+ SUB *AR7+0, -3, A, A ; 21865
+ SUB *AR7+0, -3, A, B ; 21866
+ SUB *AR7+0, -3, A ; 21867
+ SUB *AR7+0, -3, B, A ; 21868
+ SUB *AR7+0, -3, B, B ; 21869
+ SUB *AR7+0, -3, B ; 21870
+ SUB *AR7+0, -2, A, A ; 21871
+ SUB *AR7+0, -2, A, B ; 21872
+ SUB *AR7+0, -2, A ; 21873
+ SUB *AR7+0, -2, B, A ; 21874
+ SUB *AR7+0, -2, B, B ; 21875
+ SUB *AR7+0, -2, B ; 21876
+ SUB *AR7+0, -1, A, A ; 21877
+ SUB *AR7+0, -1, A, B ; 21878
+ SUB *AR7+0, -1, A ; 21879
+ SUB *AR7+0, -1, B, A ; 21880
+ SUB *AR7+0, -1, B, B ; 21881
+ SUB *AR7+0, -1, B ; 21882
+ SUB *AR7+0, 0, A, A ; 21883
+ SUB *AR7+0, 0, A, B ; 21884
+ SUB *AR7+0, 0, A ; 21885
+ SUB *AR7+0, 0, B, A ; 21886
+ SUB *AR7+0, 0, B, B ; 21887
+ SUB *AR7+0, 0, B ; 21888
+ SUB *AR7+0, 1, A, A ; 21889
+ SUB *AR7+0, 1, A, B ; 21890
+ SUB *AR7+0, 1, A ; 21891
+ SUB *AR7+0, 1, B, A ; 21892
+ SUB *AR7+0, 1, B, B ; 21893
+ SUB *AR7+0, 1, B ; 21894
+ SUB *AR7+0, 2, A, A ; 21895
+ SUB *AR7+0, 2, A, B ; 21896
+ SUB *AR7+0, 2, A ; 21897
+ SUB *AR7+0, 2, B, A ; 21898
+ SUB *AR7+0, 2, B, B ; 21899
+ SUB *AR7+0, 2, B ; 21900
+ SUB *AR7+0, 3, A, A ; 21901
+ SUB *AR7+0, 3, A, B ; 21902
+ SUB *AR7+0, 3, A ; 21903
+ SUB *AR7+0, 3, B, A ; 21904
+ SUB *AR7+0, 3, B, B ; 21905
+ SUB *AR7+0, 3, B ; 21906
+ SUB *AR7+0, 4, A, A ; 21907
+ SUB *AR7+0, 4, A, B ; 21908
+ SUB *AR7+0, 4, A ; 21909
+ SUB *AR7+0, 4, B, A ; 21910
+ SUB *AR7+0, 4, B, B ; 21911
+ SUB *AR7+0, 4, B ; 21912
+ SUB *AR7+0, 5, A, A ; 21913
+ SUB *AR7+0, 5, A, B ; 21914
+ SUB *AR7+0, 5, A ; 21915
+ SUB *AR7+0, 5, B, A ; 21916
+ SUB *AR7+0, 5, B, B ; 21917
+ SUB *AR7+0, 5, B ; 21918
+ SUB *AR7+0, 6, A, A ; 21919
+ SUB *AR7+0, 6, A, B ; 21920
+ SUB *AR7+0, 6, A ; 21921
+ SUB *AR7+0, 6, B, A ; 21922
+ SUB *AR7+0, 6, B, B ; 21923
+ SUB *AR7+0, 6, B ; 21924
+ SUB *AR7+0, 7, A, A ; 21925
+ SUB *AR7+0, 7, A, B ; 21926
+ SUB *AR7+0, 7, A ; 21927
+ SUB *AR7+0, 7, B, A ; 21928
+ SUB *AR7+0, 7, B, B ; 21929
+ SUB *AR7+0, 7, B ; 21930
+ SUB *AR7+0, 8, A, A ; 21931
+ SUB *AR7+0, 8, A, B ; 21932
+ SUB *AR7+0, 8, A ; 21933
+ SUB *AR7+0, 8, B, A ; 21934
+ SUB *AR7+0, 8, B, B ; 21935
+ SUB *AR7+0, 8, B ; 21936
+ SUB *AR7+0, 9, A, A ; 21937
+ SUB *AR7+0, 9, A, B ; 21938
+ SUB *AR7+0, 9, A ; 21939
+ SUB *AR7+0, 9, B, A ; 21940
+ SUB *AR7+0, 9, B, B ; 21941
+ SUB *AR7+0, 9, B ; 21942
+ SUB *AR7+0, 10, A, A ; 21943
+ SUB *AR7+0, 10, A, B ; 21944
+ SUB *AR7+0, 10, A ; 21945
+ SUB *AR7+0, 10, B, A ; 21946
+ SUB *AR7+0, 10, B, B ; 21947
+ SUB *AR7+0, 10, B ; 21948
+ SUB *AR7+0, 11, A, A ; 21949
+ SUB *AR7+0, 11, A, B ; 21950
+ SUB *AR7+0, 11, A ; 21951
+ SUB *AR7+0, 11, B, A ; 21952
+ SUB *AR7+0, 11, B, B ; 21953
+ SUB *AR7+0, 11, B ; 21954
+ SUB *AR7+0, 12, A, A ; 21955
+ SUB *AR7+0, 12, A, B ; 21956
+ SUB *AR7+0, 12, A ; 21957
+ SUB *AR7+0, 12, B, A ; 21958
+ SUB *AR7+0, 12, B, B ; 21959
+ SUB *AR7+0, 12, B ; 21960
+ SUB *AR7+0, 13, A, A ; 21961
+ SUB *AR7+0, 13, A, B ; 21962
+ SUB *AR7+0, 13, A ; 21963
+ SUB *AR7+0, 13, B, A ; 21964
+ SUB *AR7+0, 13, B, B ; 21965
+ SUB *AR7+0, 13, B ; 21966
+ SUB *AR7+0, 14, A, A ; 21967
+ SUB *AR7+0, 14, A, B ; 21968
+ SUB *AR7+0, 14, A ; 21969
+ SUB *AR7+0, 14, B, A ; 21970
+ SUB *AR7+0, 14, B, B ; 21971
+ SUB *AR7+0, 14, B ; 21972
+ SUB *AR7+0, 15, A, A ; 21973
+ SUB *AR7+0, 15, A, B ; 21974
+ SUB *AR7+0, 15, A ; 21975
+ SUB *AR7+0, 15, B, A ; 21976
+ SUB *AR7+0, 15, B, B ; 21977
+ SUB *AR7+0, 15, B ; 21978
+ SUB *AR7+0B, A, A ; 21979
+ SUB *AR7+0B, A, B ; 21980
+ SUB *AR7+0B, A ; 21981
+ SUB *AR7+0B, B, A ; 21982
+ SUB *AR7+0B, B, B ; 21983
+ SUB *AR7+0B, B ; 21984
+ SUB *AR7+0B, -16, A, A ; 21985
+ SUB *AR7+0B, -16, A, B ; 21986
+ SUB *AR7+0B, -16, A ; 21987
+ SUB *AR7+0B, -16, B, A ; 21988
+ SUB *AR7+0B, -16, B, B ; 21989
+ SUB *AR7+0B, -16, B ; 21990
+ SUB *AR7+0B, -15, A, A ; 21991
+ SUB *AR7+0B, -15, A, B ; 21992
+ SUB *AR7+0B, -15, A ; 21993
+ SUB *AR7+0B, -15, B, A ; 21994
+ SUB *AR7+0B, -15, B, B ; 21995
+ SUB *AR7+0B, -15, B ; 21996
+ SUB *AR7+0B, -14, A, A ; 21997
+ SUB *AR7+0B, -14, A, B ; 21998
+ SUB *AR7+0B, -14, A ; 21999
+ SUB *AR7+0B, -14, B, A ; 22000
+ SUB *AR7+0B, -14, B, B ; 22001
+ SUB *AR7+0B, -14, B ; 22002
+ SUB *AR7+0B, -13, A, A ; 22003
+ SUB *AR7+0B, -13, A, B ; 22004
+ SUB *AR7+0B, -13, A ; 22005
+ SUB *AR7+0B, -13, B, A ; 22006
+ SUB *AR7+0B, -13, B, B ; 22007
+ SUB *AR7+0B, -13, B ; 22008
+ SUB *AR7+0B, -12, A, A ; 22009
+ SUB *AR7+0B, -12, A, B ; 22010
+ SUB *AR7+0B, -12, A ; 22011
+ SUB *AR7+0B, -12, B, A ; 22012
+ SUB *AR7+0B, -12, B, B ; 22013
+ SUB *AR7+0B, -12, B ; 22014
+ SUB *AR7+0B, -11, A, A ; 22015
+ SUB *AR7+0B, -11, A, B ; 22016
+ SUB *AR7+0B, -11, A ; 22017
+ SUB *AR7+0B, -11, B, A ; 22018
+ SUB *AR7+0B, -11, B, B ; 22019
+ SUB *AR7+0B, -11, B ; 22020
+ SUB *AR7+0B, -10, A, A ; 22021
+ SUB *AR7+0B, -10, A, B ; 22022
+ SUB *AR7+0B, -10, A ; 22023
+ SUB *AR7+0B, -10, B, A ; 22024
+ SUB *AR7+0B, -10, B, B ; 22025
+ SUB *AR7+0B, -10, B ; 22026
+ SUB *AR7+0B, -9, A, A ; 22027
+ SUB *AR7+0B, -9, A, B ; 22028
+ SUB *AR7+0B, -9, A ; 22029
+ SUB *AR7+0B, -9, B, A ; 22030
+ SUB *AR7+0B, -9, B, B ; 22031
+ SUB *AR7+0B, -9, B ; 22032
+ SUB *AR7+0B, -8, A, A ; 22033
+ SUB *AR7+0B, -8, A, B ; 22034
+ SUB *AR7+0B, -8, A ; 22035
+ SUB *AR7+0B, -8, B, A ; 22036
+ SUB *AR7+0B, -8, B, B ; 22037
+ SUB *AR7+0B, -8, B ; 22038
+ SUB *AR7+0B, -7, A, A ; 22039
+ SUB *AR7+0B, -7, A, B ; 22040
+ SUB *AR7+0B, -7, A ; 22041
+ SUB *AR7+0B, -7, B, A ; 22042
+ SUB *AR7+0B, -7, B, B ; 22043
+ SUB *AR7+0B, -7, B ; 22044
+ SUB *AR7+0B, -6, A, A ; 22045
+ SUB *AR7+0B, -6, A, B ; 22046
+ SUB *AR7+0B, -6, A ; 22047
+ SUB *AR7+0B, -6, B, A ; 22048
+ SUB *AR7+0B, -6, B, B ; 22049
+ SUB *AR7+0B, -6, B ; 22050
+ SUB *AR7+0B, -5, A, A ; 22051
+ SUB *AR7+0B, -5, A, B ; 22052
+ SUB *AR7+0B, -5, A ; 22053
+ SUB *AR7+0B, -5, B, A ; 22054
+ SUB *AR7+0B, -5, B, B ; 22055
+ SUB *AR7+0B, -5, B ; 22056
+ SUB *AR7+0B, -4, A, A ; 22057
+ SUB *AR7+0B, -4, A, B ; 22058
+ SUB *AR7+0B, -4, A ; 22059
+ SUB *AR7+0B, -4, B, A ; 22060
+ SUB *AR7+0B, -4, B, B ; 22061
+ SUB *AR7+0B, -4, B ; 22062
+ SUB *AR7+0B, -3, A, A ; 22063
+ SUB *AR7+0B, -3, A, B ; 22064
+ SUB *AR7+0B, -3, A ; 22065
+ SUB *AR7+0B, -3, B, A ; 22066
+ SUB *AR7+0B, -3, B, B ; 22067
+ SUB *AR7+0B, -3, B ; 22068
+ SUB *AR7+0B, -2, A, A ; 22069
+ SUB *AR7+0B, -2, A, B ; 22070
+ SUB *AR7+0B, -2, A ; 22071
+ SUB *AR7+0B, -2, B, A ; 22072
+ SUB *AR7+0B, -2, B, B ; 22073
+ SUB *AR7+0B, -2, B ; 22074
+ SUB *AR7+0B, -1, A, A ; 22075
+ SUB *AR7+0B, -1, A, B ; 22076
+ SUB *AR7+0B, -1, A ; 22077
+ SUB *AR7+0B, -1, B, A ; 22078
+ SUB *AR7+0B, -1, B, B ; 22079
+ SUB *AR7+0B, -1, B ; 22080
+ SUB *AR7+0B, 0, A, A ; 22081
+ SUB *AR7+0B, 0, A, B ; 22082
+ SUB *AR7+0B, 0, A ; 22083
+ SUB *AR7+0B, 0, B, A ; 22084
+ SUB *AR7+0B, 0, B, B ; 22085
+ SUB *AR7+0B, 0, B ; 22086
+ SUB *AR7+0B, 1, A, A ; 22087
+ SUB *AR7+0B, 1, A, B ; 22088
+ SUB *AR7+0B, 1, A ; 22089
+ SUB *AR7+0B, 1, B, A ; 22090
+ SUB *AR7+0B, 1, B, B ; 22091
+ SUB *AR7+0B, 1, B ; 22092
+ SUB *AR7+0B, 2, A, A ; 22093
+ SUB *AR7+0B, 2, A, B ; 22094
+ SUB *AR7+0B, 2, A ; 22095
+ SUB *AR7+0B, 2, B, A ; 22096
+ SUB *AR7+0B, 2, B, B ; 22097
+ SUB *AR7+0B, 2, B ; 22098
+ SUB *AR7+0B, 3, A, A ; 22099
+ SUB *AR7+0B, 3, A, B ; 22100
+ SUB *AR7+0B, 3, A ; 22101
+ SUB *AR7+0B, 3, B, A ; 22102
+ SUB *AR7+0B, 3, B, B ; 22103
+ SUB *AR7+0B, 3, B ; 22104
+ SUB *AR7+0B, 4, A, A ; 22105
+ SUB *AR7+0B, 4, A, B ; 22106
+ SUB *AR7+0B, 4, A ; 22107
+ SUB *AR7+0B, 4, B, A ; 22108
+ SUB *AR7+0B, 4, B, B ; 22109
+ SUB *AR7+0B, 4, B ; 22110
+ SUB *AR7+0B, 5, A, A ; 22111
+ SUB *AR7+0B, 5, A, B ; 22112
+ SUB *AR7+0B, 5, A ; 22113
+ SUB *AR7+0B, 5, B, A ; 22114
+ SUB *AR7+0B, 5, B, B ; 22115
+ SUB *AR7+0B, 5, B ; 22116
+ SUB *AR7+0B, 6, A, A ; 22117
+ SUB *AR7+0B, 6, A, B ; 22118
+ SUB *AR7+0B, 6, A ; 22119
+ SUB *AR7+0B, 6, B, A ; 22120
+ SUB *AR7+0B, 6, B, B ; 22121
+ SUB *AR7+0B, 6, B ; 22122
+ SUB *AR7+0B, 7, A, A ; 22123
+ SUB *AR7+0B, 7, A, B ; 22124
+ SUB *AR7+0B, 7, A ; 22125
+ SUB *AR7+0B, 7, B, A ; 22126
+ SUB *AR7+0B, 7, B, B ; 22127
+ SUB *AR7+0B, 7, B ; 22128
+ SUB *AR7+0B, 8, A, A ; 22129
+ SUB *AR7+0B, 8, A, B ; 22130
+ SUB *AR7+0B, 8, A ; 22131
+ SUB *AR7+0B, 8, B, A ; 22132
+ SUB *AR7+0B, 8, B, B ; 22133
+ SUB *AR7+0B, 8, B ; 22134
+ SUB *AR7+0B, 9, A, A ; 22135
+ SUB *AR7+0B, 9, A, B ; 22136
+ SUB *AR7+0B, 9, A ; 22137
+ SUB *AR7+0B, 9, B, A ; 22138
+ SUB *AR7+0B, 9, B, B ; 22139
+ SUB *AR7+0B, 9, B ; 22140
+ SUB *AR7+0B, 10, A, A ; 22141
+ SUB *AR7+0B, 10, A, B ; 22142
+ SUB *AR7+0B, 10, A ; 22143
+ SUB *AR7+0B, 10, B, A ; 22144
+ SUB *AR7+0B, 10, B, B ; 22145
+ SUB *AR7+0B, 10, B ; 22146
+ SUB *AR7+0B, 11, A, A ; 22147
+ SUB *AR7+0B, 11, A, B ; 22148
+ SUB *AR7+0B, 11, A ; 22149
+ SUB *AR7+0B, 11, B, A ; 22150
+ SUB *AR7+0B, 11, B, B ; 22151
+ SUB *AR7+0B, 11, B ; 22152
+ SUB *AR7+0B, 12, A, A ; 22153
+ SUB *AR7+0B, 12, A, B ; 22154
+ SUB *AR7+0B, 12, A ; 22155
+ SUB *AR7+0B, 12, B, A ; 22156
+ SUB *AR7+0B, 12, B, B ; 22157
+ SUB *AR7+0B, 12, B ; 22158
+ SUB *AR7+0B, 13, A, A ; 22159
+ SUB *AR7+0B, 13, A, B ; 22160
+ SUB *AR7+0B, 13, A ; 22161
+ SUB *AR7+0B, 13, B, A ; 22162
+ SUB *AR7+0B, 13, B, B ; 22163
+ SUB *AR7+0B, 13, B ; 22164
+ SUB *AR7+0B, 14, A, A ; 22165
+ SUB *AR7+0B, 14, A, B ; 22166
+ SUB *AR7+0B, 14, A ; 22167
+ SUB *AR7+0B, 14, B, A ; 22168
+ SUB *AR7+0B, 14, B, B ; 22169
+ SUB *AR7+0B, 14, B ; 22170
+ SUB *AR7+0B, 15, A, A ; 22171
+ SUB *AR7+0B, 15, A, B ; 22172
+ SUB *AR7+0B, 15, A ; 22173
+ SUB *AR7+0B, 15, B, A ; 22174
+ SUB *AR7+0B, 15, B, B ; 22175
+ SUB *AR7+0B, 15, B ; 22176
+ SUB *AR7-%, A, A ; 22177
+ SUB *AR7-%, A, B ; 22178
+ SUB *AR7-%, A ; 22179
+ SUB *AR7-%, B, A ; 22180
+ SUB *AR7-%, B, B ; 22181
+ SUB *AR7-%, B ; 22182
+ SUB *AR7-%, -16, A, A ; 22183
+ SUB *AR7-%, -16, A, B ; 22184
+ SUB *AR7-%, -16, A ; 22185
+ SUB *AR7-%, -16, B, A ; 22186
+ SUB *AR7-%, -16, B, B ; 22187
+ SUB *AR7-%, -16, B ; 22188
+ SUB *AR7-%, -15, A, A ; 22189
+ SUB *AR7-%, -15, A, B ; 22190
+ SUB *AR7-%, -15, A ; 22191
+ SUB *AR7-%, -15, B, A ; 22192
+ SUB *AR7-%, -15, B, B ; 22193
+ SUB *AR7-%, -15, B ; 22194
+ SUB *AR7-%, -14, A, A ; 22195
+ SUB *AR7-%, -14, A, B ; 22196
+ SUB *AR7-%, -14, A ; 22197
+ SUB *AR7-%, -14, B, A ; 22198
+ SUB *AR7-%, -14, B, B ; 22199
+ SUB *AR7-%, -14, B ; 22200
+ SUB *AR7-%, -13, A, A ; 22201
+ SUB *AR7-%, -13, A, B ; 22202
+ SUB *AR7-%, -13, A ; 22203
+ SUB *AR7-%, -13, B, A ; 22204
+ SUB *AR7-%, -13, B, B ; 22205
+ SUB *AR7-%, -13, B ; 22206
+ SUB *AR7-%, -12, A, A ; 22207
+ SUB *AR7-%, -12, A, B ; 22208
+ SUB *AR7-%, -12, A ; 22209
+ SUB *AR7-%, -12, B, A ; 22210
+ SUB *AR7-%, -12, B, B ; 22211
+ SUB *AR7-%, -12, B ; 22212
+ SUB *AR7-%, -11, A, A ; 22213
+ SUB *AR7-%, -11, A, B ; 22214
+ SUB *AR7-%, -11, A ; 22215
+ SUB *AR7-%, -11, B, A ; 22216
+ SUB *AR7-%, -11, B, B ; 22217
+ SUB *AR7-%, -11, B ; 22218
+ SUB *AR7-%, -10, A, A ; 22219
+ SUB *AR7-%, -10, A, B ; 22220
+ SUB *AR7-%, -10, A ; 22221
+ SUB *AR7-%, -10, B, A ; 22222
+ SUB *AR7-%, -10, B, B ; 22223
+ SUB *AR7-%, -10, B ; 22224
+ SUB *AR7-%, -9, A, A ; 22225
+ SUB *AR7-%, -9, A, B ; 22226
+ SUB *AR7-%, -9, A ; 22227
+ SUB *AR7-%, -9, B, A ; 22228
+ SUB *AR7-%, -9, B, B ; 22229
+ SUB *AR7-%, -9, B ; 22230
+ SUB *AR7-%, -8, A, A ; 22231
+ SUB *AR7-%, -8, A, B ; 22232
+ SUB *AR7-%, -8, A ; 22233
+ SUB *AR7-%, -8, B, A ; 22234
+ SUB *AR7-%, -8, B, B ; 22235
+ SUB *AR7-%, -8, B ; 22236
+ SUB *AR7-%, -7, A, A ; 22237
+ SUB *AR7-%, -7, A, B ; 22238
+ SUB *AR7-%, -7, A ; 22239
+ SUB *AR7-%, -7, B, A ; 22240
+ SUB *AR7-%, -7, B, B ; 22241
+ SUB *AR7-%, -7, B ; 22242
+ SUB *AR7-%, -6, A, A ; 22243
+ SUB *AR7-%, -6, A, B ; 22244
+ SUB *AR7-%, -6, A ; 22245
+ SUB *AR7-%, -6, B, A ; 22246
+ SUB *AR7-%, -6, B, B ; 22247
+ SUB *AR7-%, -6, B ; 22248
+ SUB *AR7-%, -5, A, A ; 22249
+ SUB *AR7-%, -5, A, B ; 22250
+ SUB *AR7-%, -5, A ; 22251
+ SUB *AR7-%, -5, B, A ; 22252
+ SUB *AR7-%, -5, B, B ; 22253
+ SUB *AR7-%, -5, B ; 22254
+ SUB *AR7-%, -4, A, A ; 22255
+ SUB *AR7-%, -4, A, B ; 22256
+ SUB *AR7-%, -4, A ; 22257
+ SUB *AR7-%, -4, B, A ; 22258
+ SUB *AR7-%, -4, B, B ; 22259
+ SUB *AR7-%, -4, B ; 22260
+ SUB *AR7-%, -3, A, A ; 22261
+ SUB *AR7-%, -3, A, B ; 22262
+ SUB *AR7-%, -3, A ; 22263
+ SUB *AR7-%, -3, B, A ; 22264
+ SUB *AR7-%, -3, B, B ; 22265
+ SUB *AR7-%, -3, B ; 22266
+ SUB *AR7-%, -2, A, A ; 22267
+ SUB *AR7-%, -2, A, B ; 22268
+ SUB *AR7-%, -2, A ; 22269
+ SUB *AR7-%, -2, B, A ; 22270
+ SUB *AR7-%, -2, B, B ; 22271
+ SUB *AR7-%, -2, B ; 22272
+ SUB *AR7-%, -1, A, A ; 22273
+ SUB *AR7-%, -1, A, B ; 22274
+ SUB *AR7-%, -1, A ; 22275
+ SUB *AR7-%, -1, B, A ; 22276
+ SUB *AR7-%, -1, B, B ; 22277
+ SUB *AR7-%, -1, B ; 22278
+ SUB *AR7-%, 0, A, A ; 22279
+ SUB *AR7-%, 0, A, B ; 22280
+ SUB *AR7-%, 0, A ; 22281
+ SUB *AR7-%, 0, B, A ; 22282
+ SUB *AR7-%, 0, B, B ; 22283
+ SUB *AR7-%, 0, B ; 22284
+ SUB *AR7-%, 1, A, A ; 22285
+ SUB *AR7-%, 1, A, B ; 22286
+ SUB *AR7-%, 1, A ; 22287
+ SUB *AR7-%, 1, B, A ; 22288
+ SUB *AR7-%, 1, B, B ; 22289
+ SUB *AR7-%, 1, B ; 22290
+ SUB *AR7-%, 2, A, A ; 22291
+ SUB *AR7-%, 2, A, B ; 22292
+ SUB *AR7-%, 2, A ; 22293
+ SUB *AR7-%, 2, B, A ; 22294
+ SUB *AR7-%, 2, B, B ; 22295
+ SUB *AR7-%, 2, B ; 22296
+ SUB *AR7-%, 3, A, A ; 22297
+ SUB *AR7-%, 3, A, B ; 22298
+ SUB *AR7-%, 3, A ; 22299
+ SUB *AR7-%, 3, B, A ; 22300
+ SUB *AR7-%, 3, B, B ; 22301
+ SUB *AR7-%, 3, B ; 22302
+ SUB *AR7-%, 4, A, A ; 22303
+ SUB *AR7-%, 4, A, B ; 22304
+ SUB *AR7-%, 4, A ; 22305
+ SUB *AR7-%, 4, B, A ; 22306
+ SUB *AR7-%, 4, B, B ; 22307
+ SUB *AR7-%, 4, B ; 22308
+ SUB *AR7-%, 5, A, A ; 22309
+ SUB *AR7-%, 5, A, B ; 22310
+ SUB *AR7-%, 5, A ; 22311
+ SUB *AR7-%, 5, B, A ; 22312
+ SUB *AR7-%, 5, B, B ; 22313
+ SUB *AR7-%, 5, B ; 22314
+ SUB *AR7-%, 6, A, A ; 22315
+ SUB *AR7-%, 6, A, B ; 22316
+ SUB *AR7-%, 6, A ; 22317
+ SUB *AR7-%, 6, B, A ; 22318
+ SUB *AR7-%, 6, B, B ; 22319
+ SUB *AR7-%, 6, B ; 22320
+ SUB *AR7-%, 7, A, A ; 22321
+ SUB *AR7-%, 7, A, B ; 22322
+ SUB *AR7-%, 7, A ; 22323
+ SUB *AR7-%, 7, B, A ; 22324
+ SUB *AR7-%, 7, B, B ; 22325
+ SUB *AR7-%, 7, B ; 22326
+ SUB *AR7-%, 8, A, A ; 22327
+ SUB *AR7-%, 8, A, B ; 22328
+ SUB *AR7-%, 8, A ; 22329
+ SUB *AR7-%, 8, B, A ; 22330
+ SUB *AR7-%, 8, B, B ; 22331
+ SUB *AR7-%, 8, B ; 22332
+ SUB *AR7-%, 9, A, A ; 22333
+ SUB *AR7-%, 9, A, B ; 22334
+ SUB *AR7-%, 9, A ; 22335
+ SUB *AR7-%, 9, B, A ; 22336
+ SUB *AR7-%, 9, B, B ; 22337
+ SUB *AR7-%, 9, B ; 22338
+ SUB *AR7-%, 10, A, A ; 22339
+ SUB *AR7-%, 10, A, B ; 22340
+ SUB *AR7-%, 10, A ; 22341
+ SUB *AR7-%, 10, B, A ; 22342
+ SUB *AR7-%, 10, B, B ; 22343
+ SUB *AR7-%, 10, B ; 22344
+ SUB *AR7-%, 11, A, A ; 22345
+ SUB *AR7-%, 11, A, B ; 22346
+ SUB *AR7-%, 11, A ; 22347
+ SUB *AR7-%, 11, B, A ; 22348
+ SUB *AR7-%, 11, B, B ; 22349
+ SUB *AR7-%, 11, B ; 22350
+ SUB *AR7-%, 12, A, A ; 22351
+ SUB *AR7-%, 12, A, B ; 22352
+ SUB *AR7-%, 12, A ; 22353
+ SUB *AR7-%, 12, B, A ; 22354
+ SUB *AR7-%, 12, B, B ; 22355
+ SUB *AR7-%, 12, B ; 22356
+ SUB *AR7-%, 13, A, A ; 22357
+ SUB *AR7-%, 13, A, B ; 22358
+ SUB *AR7-%, 13, A ; 22359
+ SUB *AR7-%, 13, B, A ; 22360
+ SUB *AR7-%, 13, B, B ; 22361
+ SUB *AR7-%, 13, B ; 22362
+ SUB *AR7-%, 14, A, A ; 22363
+ SUB *AR7-%, 14, A, B ; 22364
+ SUB *AR7-%, 14, A ; 22365
+ SUB *AR7-%, 14, B, A ; 22366
+ SUB *AR7-%, 14, B, B ; 22367
+ SUB *AR7-%, 14, B ; 22368
+ SUB *AR7-%, 15, A, A ; 22369
+ SUB *AR7-%, 15, A, B ; 22370
+ SUB *AR7-%, 15, A ; 22371
+ SUB *AR7-%, 15, B, A ; 22372
+ SUB *AR7-%, 15, B, B ; 22373
+ SUB *AR7-%, 15, B ; 22374
+ SUB *AR7-0%, A, A ; 22375
+ SUB *AR7-0%, A, B ; 22376
+ SUB *AR7-0%, A ; 22377
+ SUB *AR7-0%, B, A ; 22378
+ SUB *AR7-0%, B, B ; 22379
+ SUB *AR7-0%, B ; 22380
+ SUB *AR7-0%, -16, A, A ; 22381
+ SUB *AR7-0%, -16, A, B ; 22382
+ SUB *AR7-0%, -16, A ; 22383
+ SUB *AR7-0%, -16, B, A ; 22384
+ SUB *AR7-0%, -16, B, B ; 22385
+ SUB *AR7-0%, -16, B ; 22386
+ SUB *AR7-0%, -15, A, A ; 22387
+ SUB *AR7-0%, -15, A, B ; 22388
+ SUB *AR7-0%, -15, A ; 22389
+ SUB *AR7-0%, -15, B, A ; 22390
+ SUB *AR7-0%, -15, B, B ; 22391
+ SUB *AR7-0%, -15, B ; 22392
+ SUB *AR7-0%, -14, A, A ; 22393
+ SUB *AR7-0%, -14, A, B ; 22394
+ SUB *AR7-0%, -14, A ; 22395
+ SUB *AR7-0%, -14, B, A ; 22396
+ SUB *AR7-0%, -14, B, B ; 22397
+ SUB *AR7-0%, -14, B ; 22398
+ SUB *AR7-0%, -13, A, A ; 22399
+ SUB *AR7-0%, -13, A, B ; 22400
+ SUB *AR7-0%, -13, A ; 22401
+ SUB *AR7-0%, -13, B, A ; 22402
+ SUB *AR7-0%, -13, B, B ; 22403
+ SUB *AR7-0%, -13, B ; 22404
+ SUB *AR7-0%, -12, A, A ; 22405
+ SUB *AR7-0%, -12, A, B ; 22406
+ SUB *AR7-0%, -12, A ; 22407
+ SUB *AR7-0%, -12, B, A ; 22408
+ SUB *AR7-0%, -12, B, B ; 22409
+ SUB *AR7-0%, -12, B ; 22410
+ SUB *AR7-0%, -11, A, A ; 22411
+ SUB *AR7-0%, -11, A, B ; 22412
+ SUB *AR7-0%, -11, A ; 22413
+ SUB *AR7-0%, -11, B, A ; 22414
+ SUB *AR7-0%, -11, B, B ; 22415
+ SUB *AR7-0%, -11, B ; 22416
+ SUB *AR7-0%, -10, A, A ; 22417
+ SUB *AR7-0%, -10, A, B ; 22418
+ SUB *AR7-0%, -10, A ; 22419
+ SUB *AR7-0%, -10, B, A ; 22420
+ SUB *AR7-0%, -10, B, B ; 22421
+ SUB *AR7-0%, -10, B ; 22422
+ SUB *AR7-0%, -9, A, A ; 22423
+ SUB *AR7-0%, -9, A, B ; 22424
+ SUB *AR7-0%, -9, A ; 22425
+ SUB *AR7-0%, -9, B, A ; 22426
+ SUB *AR7-0%, -9, B, B ; 22427
+ SUB *AR7-0%, -9, B ; 22428
+ SUB *AR7-0%, -8, A, A ; 22429
+ SUB *AR7-0%, -8, A, B ; 22430
+ SUB *AR7-0%, -8, A ; 22431
+ SUB *AR7-0%, -8, B, A ; 22432
+ SUB *AR7-0%, -8, B, B ; 22433
+ SUB *AR7-0%, -8, B ; 22434
+ SUB *AR7-0%, -7, A, A ; 22435
+ SUB *AR7-0%, -7, A, B ; 22436
+ SUB *AR7-0%, -7, A ; 22437
+ SUB *AR7-0%, -7, B, A ; 22438
+ SUB *AR7-0%, -7, B, B ; 22439
+ SUB *AR7-0%, -7, B ; 22440
+ SUB *AR7-0%, -6, A, A ; 22441
+ SUB *AR7-0%, -6, A, B ; 22442
+ SUB *AR7-0%, -6, A ; 22443
+ SUB *AR7-0%, -6, B, A ; 22444
+ SUB *AR7-0%, -6, B, B ; 22445
+ SUB *AR7-0%, -6, B ; 22446
+ SUB *AR7-0%, -5, A, A ; 22447
+ SUB *AR7-0%, -5, A, B ; 22448
+ SUB *AR7-0%, -5, A ; 22449
+ SUB *AR7-0%, -5, B, A ; 22450
+ SUB *AR7-0%, -5, B, B ; 22451
+ SUB *AR7-0%, -5, B ; 22452
+ SUB *AR7-0%, -4, A, A ; 22453
+ SUB *AR7-0%, -4, A, B ; 22454
+ SUB *AR7-0%, -4, A ; 22455
+ SUB *AR7-0%, -4, B, A ; 22456
+ SUB *AR7-0%, -4, B, B ; 22457
+ SUB *AR7-0%, -4, B ; 22458
+ SUB *AR7-0%, -3, A, A ; 22459
+ SUB *AR7-0%, -3, A, B ; 22460
+ SUB *AR7-0%, -3, A ; 22461
+ SUB *AR7-0%, -3, B, A ; 22462
+ SUB *AR7-0%, -3, B, B ; 22463
+ SUB *AR7-0%, -3, B ; 22464
+ SUB *AR7-0%, -2, A, A ; 22465
+ SUB *AR7-0%, -2, A, B ; 22466
+ SUB *AR7-0%, -2, A ; 22467
+ SUB *AR7-0%, -2, B, A ; 22468
+ SUB *AR7-0%, -2, B, B ; 22469
+ SUB *AR7-0%, -2, B ; 22470
+ SUB *AR7-0%, -1, A, A ; 22471
+ SUB *AR7-0%, -1, A, B ; 22472
+ SUB *AR7-0%, -1, A ; 22473
+ SUB *AR7-0%, -1, B, A ; 22474
+ SUB *AR7-0%, -1, B, B ; 22475
+ SUB *AR7-0%, -1, B ; 22476
+ SUB *AR7-0%, 0, A, A ; 22477
+ SUB *AR7-0%, 0, A, B ; 22478
+ SUB *AR7-0%, 0, A ; 22479
+ SUB *AR7-0%, 0, B, A ; 22480
+ SUB *AR7-0%, 0, B, B ; 22481
+ SUB *AR7-0%, 0, B ; 22482
+ SUB *AR7-0%, 1, A, A ; 22483
+ SUB *AR7-0%, 1, A, B ; 22484
+ SUB *AR7-0%, 1, A ; 22485
+ SUB *AR7-0%, 1, B, A ; 22486
+ SUB *AR7-0%, 1, B, B ; 22487
+ SUB *AR7-0%, 1, B ; 22488
+ SUB *AR7-0%, 2, A, A ; 22489
+ SUB *AR7-0%, 2, A, B ; 22490
+ SUB *AR7-0%, 2, A ; 22491
+ SUB *AR7-0%, 2, B, A ; 22492
+ SUB *AR7-0%, 2, B, B ; 22493
+ SUB *AR7-0%, 2, B ; 22494
+ SUB *AR7-0%, 3, A, A ; 22495
+ SUB *AR7-0%, 3, A, B ; 22496
+ SUB *AR7-0%, 3, A ; 22497
+ SUB *AR7-0%, 3, B, A ; 22498
+ SUB *AR7-0%, 3, B, B ; 22499
+ SUB *AR7-0%, 3, B ; 22500
+ SUB *AR7-0%, 4, A, A ; 22501
+ SUB *AR7-0%, 4, A, B ; 22502
+ SUB *AR7-0%, 4, A ; 22503
+ SUB *AR7-0%, 4, B, A ; 22504
+ SUB *AR7-0%, 4, B, B ; 22505
+ SUB *AR7-0%, 4, B ; 22506
+ SUB *AR7-0%, 5, A, A ; 22507
+ SUB *AR7-0%, 5, A, B ; 22508
+ SUB *AR7-0%, 5, A ; 22509
+ SUB *AR7-0%, 5, B, A ; 22510
+ SUB *AR7-0%, 5, B, B ; 22511
+ SUB *AR7-0%, 5, B ; 22512
+ SUB *AR7-0%, 6, A, A ; 22513
+ SUB *AR7-0%, 6, A, B ; 22514
+ SUB *AR7-0%, 6, A ; 22515
+ SUB *AR7-0%, 6, B, A ; 22516
+ SUB *AR7-0%, 6, B, B ; 22517
+ SUB *AR7-0%, 6, B ; 22518
+ SUB *AR7-0%, 7, A, A ; 22519
+ SUB *AR7-0%, 7, A, B ; 22520
+ SUB *AR7-0%, 7, A ; 22521
+ SUB *AR7-0%, 7, B, A ; 22522
+ SUB *AR7-0%, 7, B, B ; 22523
+ SUB *AR7-0%, 7, B ; 22524
+ SUB *AR7-0%, 8, A, A ; 22525
+ SUB *AR7-0%, 8, A, B ; 22526
+ SUB *AR7-0%, 8, A ; 22527
+ SUB *AR7-0%, 8, B, A ; 22528
+ SUB *AR7-0%, 8, B, B ; 22529
+ SUB *AR7-0%, 8, B ; 22530
+ SUB *AR7-0%, 9, A, A ; 22531
+ SUB *AR7-0%, 9, A, B ; 22532
+ SUB *AR7-0%, 9, A ; 22533
+ SUB *AR7-0%, 9, B, A ; 22534
+ SUB *AR7-0%, 9, B, B ; 22535
+ SUB *AR7-0%, 9, B ; 22536
+ SUB *AR7-0%, 10, A, A ; 22537
+ SUB *AR7-0%, 10, A, B ; 22538
+ SUB *AR7-0%, 10, A ; 22539
+ SUB *AR7-0%, 10, B, A ; 22540
+ SUB *AR7-0%, 10, B, B ; 22541
+ SUB *AR7-0%, 10, B ; 22542
+ SUB *AR7-0%, 11, A, A ; 22543
+ SUB *AR7-0%, 11, A, B ; 22544
+ SUB *AR7-0%, 11, A ; 22545
+ SUB *AR7-0%, 11, B, A ; 22546
+ SUB *AR7-0%, 11, B, B ; 22547
+ SUB *AR7-0%, 11, B ; 22548
+ SUB *AR7-0%, 12, A, A ; 22549
+ SUB *AR7-0%, 12, A, B ; 22550
+ SUB *AR7-0%, 12, A ; 22551
+ SUB *AR7-0%, 12, B, A ; 22552
+ SUB *AR7-0%, 12, B, B ; 22553
+ SUB *AR7-0%, 12, B ; 22554
+ SUB *AR7-0%, 13, A, A ; 22555
+ SUB *AR7-0%, 13, A, B ; 22556
+ SUB *AR7-0%, 13, A ; 22557
+ SUB *AR7-0%, 13, B, A ; 22558
+ SUB *AR7-0%, 13, B, B ; 22559
+ SUB *AR7-0%, 13, B ; 22560
+ SUB *AR7-0%, 14, A, A ; 22561
+ SUB *AR7-0%, 14, A, B ; 22562
+ SUB *AR7-0%, 14, A ; 22563
+ SUB *AR7-0%, 14, B, A ; 22564
+ SUB *AR7-0%, 14, B, B ; 22565
+ SUB *AR7-0%, 14, B ; 22566
+ SUB *AR7-0%, 15, A, A ; 22567
+ SUB *AR7-0%, 15, A, B ; 22568
+ SUB *AR7-0%, 15, A ; 22569
+ SUB *AR7-0%, 15, B, A ; 22570
+ SUB *AR7-0%, 15, B, B ; 22571
+ SUB *AR7-0%, 15, B ; 22572
+ SUB *AR7+%, A, A ; 22573
+ SUB *AR7+%, A, B ; 22574
+ SUB *AR7+%, A ; 22575
+ SUB *AR7+%, B, A ; 22576
+ SUB *AR7+%, B, B ; 22577
+ SUB *AR7+%, B ; 22578
+ SUB *AR7+%, -16, A, A ; 22579
+ SUB *AR7+%, -16, A, B ; 22580
+ SUB *AR7+%, -16, A ; 22581
+ SUB *AR7+%, -16, B, A ; 22582
+ SUB *AR7+%, -16, B, B ; 22583
+ SUB *AR7+%, -16, B ; 22584
+ SUB *AR7+%, -15, A, A ; 22585
+ SUB *AR7+%, -15, A, B ; 22586
+ SUB *AR7+%, -15, A ; 22587
+ SUB *AR7+%, -15, B, A ; 22588
+ SUB *AR7+%, -15, B, B ; 22589
+ SUB *AR7+%, -15, B ; 22590
+ SUB *AR7+%, -14, A, A ; 22591
+ SUB *AR7+%, -14, A, B ; 22592
+ SUB *AR7+%, -14, A ; 22593
+ SUB *AR7+%, -14, B, A ; 22594
+ SUB *AR7+%, -14, B, B ; 22595
+ SUB *AR7+%, -14, B ; 22596
+ SUB *AR7+%, -13, A, A ; 22597
+ SUB *AR7+%, -13, A, B ; 22598
+ SUB *AR7+%, -13, A ; 22599
+ SUB *AR7+%, -13, B, A ; 22600
+ SUB *AR7+%, -13, B, B ; 22601
+ SUB *AR7+%, -13, B ; 22602
+ SUB *AR7+%, -12, A, A ; 22603
+ SUB *AR7+%, -12, A, B ; 22604
+ SUB *AR7+%, -12, A ; 22605
+ SUB *AR7+%, -12, B, A ; 22606
+ SUB *AR7+%, -12, B, B ; 22607
+ SUB *AR7+%, -12, B ; 22608
+ SUB *AR7+%, -11, A, A ; 22609
+ SUB *AR7+%, -11, A, B ; 22610
+ SUB *AR7+%, -11, A ; 22611
+ SUB *AR7+%, -11, B, A ; 22612
+ SUB *AR7+%, -11, B, B ; 22613
+ SUB *AR7+%, -11, B ; 22614
+ SUB *AR7+%, -10, A, A ; 22615
+ SUB *AR7+%, -10, A, B ; 22616
+ SUB *AR7+%, -10, A ; 22617
+ SUB *AR7+%, -10, B, A ; 22618
+ SUB *AR7+%, -10, B, B ; 22619
+ SUB *AR7+%, -10, B ; 22620
+ SUB *AR7+%, -9, A, A ; 22621
+ SUB *AR7+%, -9, A, B ; 22622
+ SUB *AR7+%, -9, A ; 22623
+ SUB *AR7+%, -9, B, A ; 22624
+ SUB *AR7+%, -9, B, B ; 22625
+ SUB *AR7+%, -9, B ; 22626
+ SUB *AR7+%, -8, A, A ; 22627
+ SUB *AR7+%, -8, A, B ; 22628
+ SUB *AR7+%, -8, A ; 22629
+ SUB *AR7+%, -8, B, A ; 22630
+ SUB *AR7+%, -8, B, B ; 22631
+ SUB *AR7+%, -8, B ; 22632
+ SUB *AR7+%, -7, A, A ; 22633
+ SUB *AR7+%, -7, A, B ; 22634
+ SUB *AR7+%, -7, A ; 22635
+ SUB *AR7+%, -7, B, A ; 22636
+ SUB *AR7+%, -7, B, B ; 22637
+ SUB *AR7+%, -7, B ; 22638
+ SUB *AR7+%, -6, A, A ; 22639
+ SUB *AR7+%, -6, A, B ; 22640
+ SUB *AR7+%, -6, A ; 22641
+ SUB *AR7+%, -6, B, A ; 22642
+ SUB *AR7+%, -6, B, B ; 22643
+ SUB *AR7+%, -6, B ; 22644
+ SUB *AR7+%, -5, A, A ; 22645
+ SUB *AR7+%, -5, A, B ; 22646
+ SUB *AR7+%, -5, A ; 22647
+ SUB *AR7+%, -5, B, A ; 22648
+ SUB *AR7+%, -5, B, B ; 22649
+ SUB *AR7+%, -5, B ; 22650
+ SUB *AR7+%, -4, A, A ; 22651
+ SUB *AR7+%, -4, A, B ; 22652
+ SUB *AR7+%, -4, A ; 22653
+ SUB *AR7+%, -4, B, A ; 22654
+ SUB *AR7+%, -4, B, B ; 22655
+ SUB *AR7+%, -4, B ; 22656
+ SUB *AR7+%, -3, A, A ; 22657
+ SUB *AR7+%, -3, A, B ; 22658
+ SUB *AR7+%, -3, A ; 22659
+ SUB *AR7+%, -3, B, A ; 22660
+ SUB *AR7+%, -3, B, B ; 22661
+ SUB *AR7+%, -3, B ; 22662
+ SUB *AR7+%, -2, A, A ; 22663
+ SUB *AR7+%, -2, A, B ; 22664
+ SUB *AR7+%, -2, A ; 22665
+ SUB *AR7+%, -2, B, A ; 22666
+ SUB *AR7+%, -2, B, B ; 22667
+ SUB *AR7+%, -2, B ; 22668
+ SUB *AR7+%, -1, A, A ; 22669
+ SUB *AR7+%, -1, A, B ; 22670
+ SUB *AR7+%, -1, A ; 22671
+ SUB *AR7+%, -1, B, A ; 22672
+ SUB *AR7+%, -1, B, B ; 22673
+ SUB *AR7+%, -1, B ; 22674
+ SUB *AR7+%, 0, A, A ; 22675
+ SUB *AR7+%, 0, A, B ; 22676
+ SUB *AR7+%, 0, A ; 22677
+ SUB *AR7+%, 0, B, A ; 22678
+ SUB *AR7+%, 0, B, B ; 22679
+ SUB *AR7+%, 0, B ; 22680
+ SUB *AR7+%, 1, A, A ; 22681
+ SUB *AR7+%, 1, A, B ; 22682
+ SUB *AR7+%, 1, A ; 22683
+ SUB *AR7+%, 1, B, A ; 22684
+ SUB *AR7+%, 1, B, B ; 22685
+ SUB *AR7+%, 1, B ; 22686
+ SUB *AR7+%, 2, A, A ; 22687
+ SUB *AR7+%, 2, A, B ; 22688
+ SUB *AR7+%, 2, A ; 22689
+ SUB *AR7+%, 2, B, A ; 22690
+ SUB *AR7+%, 2, B, B ; 22691
+ SUB *AR7+%, 2, B ; 22692
+ SUB *AR7+%, 3, A, A ; 22693
+ SUB *AR7+%, 3, A, B ; 22694
+ SUB *AR7+%, 3, A ; 22695
+ SUB *AR7+%, 3, B, A ; 22696
+ SUB *AR7+%, 3, B, B ; 22697
+ SUB *AR7+%, 3, B ; 22698
+ SUB *AR7+%, 4, A, A ; 22699
+ SUB *AR7+%, 4, A, B ; 22700
+ SUB *AR7+%, 4, A ; 22701
+ SUB *AR7+%, 4, B, A ; 22702
+ SUB *AR7+%, 4, B, B ; 22703
+ SUB *AR7+%, 4, B ; 22704
+ SUB *AR7+%, 5, A, A ; 22705
+ SUB *AR7+%, 5, A, B ; 22706
+ SUB *AR7+%, 5, A ; 22707
+ SUB *AR7+%, 5, B, A ; 22708
+ SUB *AR7+%, 5, B, B ; 22709
+ SUB *AR7+%, 5, B ; 22710
+ SUB *AR7+%, 6, A, A ; 22711
+ SUB *AR7+%, 6, A, B ; 22712
+ SUB *AR7+%, 6, A ; 22713
+ SUB *AR7+%, 6, B, A ; 22714
+ SUB *AR7+%, 6, B, B ; 22715
+ SUB *AR7+%, 6, B ; 22716
+ SUB *AR7+%, 7, A, A ; 22717
+ SUB *AR7+%, 7, A, B ; 22718
+ SUB *AR7+%, 7, A ; 22719
+ SUB *AR7+%, 7, B, A ; 22720
+ SUB *AR7+%, 7, B, B ; 22721
+ SUB *AR7+%, 7, B ; 22722
+ SUB *AR7+%, 8, A, A ; 22723
+ SUB *AR7+%, 8, A, B ; 22724
+ SUB *AR7+%, 8, A ; 22725
+ SUB *AR7+%, 8, B, A ; 22726
+ SUB *AR7+%, 8, B, B ; 22727
+ SUB *AR7+%, 8, B ; 22728
+ SUB *AR7+%, 9, A, A ; 22729
+ SUB *AR7+%, 9, A, B ; 22730
+ SUB *AR7+%, 9, A ; 22731
+ SUB *AR7+%, 9, B, A ; 22732
+ SUB *AR7+%, 9, B, B ; 22733
+ SUB *AR7+%, 9, B ; 22734
+ SUB *AR7+%, 10, A, A ; 22735
+ SUB *AR7+%, 10, A, B ; 22736
+ SUB *AR7+%, 10, A ; 22737
+ SUB *AR7+%, 10, B, A ; 22738
+ SUB *AR7+%, 10, B, B ; 22739
+ SUB *AR7+%, 10, B ; 22740
+ SUB *AR7+%, 11, A, A ; 22741
+ SUB *AR7+%, 11, A, B ; 22742
+ SUB *AR7+%, 11, A ; 22743
+ SUB *AR7+%, 11, B, A ; 22744
+ SUB *AR7+%, 11, B, B ; 22745
+ SUB *AR7+%, 11, B ; 22746
+ SUB *AR7+%, 12, A, A ; 22747
+ SUB *AR7+%, 12, A, B ; 22748
+ SUB *AR7+%, 12, A ; 22749
+ SUB *AR7+%, 12, B, A ; 22750
+ SUB *AR7+%, 12, B, B ; 22751
+ SUB *AR7+%, 12, B ; 22752
+ SUB *AR7+%, 13, A, A ; 22753
+ SUB *AR7+%, 13, A, B ; 22754
+ SUB *AR7+%, 13, A ; 22755
+ SUB *AR7+%, 13, B, A ; 22756
+ SUB *AR7+%, 13, B, B ; 22757
+ SUB *AR7+%, 13, B ; 22758
+ SUB *AR7+%, 14, A, A ; 22759
+ SUB *AR7+%, 14, A, B ; 22760
+ SUB *AR7+%, 14, A ; 22761
+ SUB *AR7+%, 14, B, A ; 22762
+ SUB *AR7+%, 14, B, B ; 22763
+ SUB *AR7+%, 14, B ; 22764
+ SUB *AR7+%, 15, A, A ; 22765
+ SUB *AR7+%, 15, A, B ; 22766
+ SUB *AR7+%, 15, A ; 22767
+ SUB *AR7+%, 15, B, A ; 22768
+ SUB *AR7+%, 15, B, B ; 22769
+ SUB *AR7+%, 15, B ; 22770
+ SUB *AR7+0%, A, A ; 22771
+ SUB *AR7+0%, A, B ; 22772
+ SUB *AR7+0%, A ; 22773
+ SUB *AR7+0%, B, A ; 22774
+ SUB *AR7+0%, B, B ; 22775
+ SUB *AR7+0%, B ; 22776
+ SUB *AR7+0%, -16, A, A ; 22777
+ SUB *AR7+0%, -16, A, B ; 22778
+ SUB *AR7+0%, -16, A ; 22779
+ SUB *AR7+0%, -16, B, A ; 22780
+ SUB *AR7+0%, -16, B, B ; 22781
+ SUB *AR7+0%, -16, B ; 22782
+ SUB *AR7+0%, -15, A, A ; 22783
+ SUB *AR7+0%, -15, A, B ; 22784
+ SUB *AR7+0%, -15, A ; 22785
+ SUB *AR7+0%, -15, B, A ; 22786
+ SUB *AR7+0%, -15, B, B ; 22787
+ SUB *AR7+0%, -15, B ; 22788
+ SUB *AR7+0%, -14, A, A ; 22789
+ SUB *AR7+0%, -14, A, B ; 22790
+ SUB *AR7+0%, -14, A ; 22791
+ SUB *AR7+0%, -14, B, A ; 22792
+ SUB *AR7+0%, -14, B, B ; 22793
+ SUB *AR7+0%, -14, B ; 22794
+ SUB *AR7+0%, -13, A, A ; 22795
+ SUB *AR7+0%, -13, A, B ; 22796
+ SUB *AR7+0%, -13, A ; 22797
+ SUB *AR7+0%, -13, B, A ; 22798
+ SUB *AR7+0%, -13, B, B ; 22799
+ SUB *AR7+0%, -13, B ; 22800
+ SUB *AR7+0%, -12, A, A ; 22801
+ SUB *AR7+0%, -12, A, B ; 22802
+ SUB *AR7+0%, -12, A ; 22803
+ SUB *AR7+0%, -12, B, A ; 22804
+ SUB *AR7+0%, -12, B, B ; 22805
+ SUB *AR7+0%, -12, B ; 22806
+ SUB *AR7+0%, -11, A, A ; 22807
+ SUB *AR7+0%, -11, A, B ; 22808
+ SUB *AR7+0%, -11, A ; 22809
+ SUB *AR7+0%, -11, B, A ; 22810
+ SUB *AR7+0%, -11, B, B ; 22811
+ SUB *AR7+0%, -11, B ; 22812
+ SUB *AR7+0%, -10, A, A ; 22813
+ SUB *AR7+0%, -10, A, B ; 22814
+ SUB *AR7+0%, -10, A ; 22815
+ SUB *AR7+0%, -10, B, A ; 22816
+ SUB *AR7+0%, -10, B, B ; 22817
+ SUB *AR7+0%, -10, B ; 22818
+ SUB *AR7+0%, -9, A, A ; 22819
+ SUB *AR7+0%, -9, A, B ; 22820
+ SUB *AR7+0%, -9, A ; 22821
+ SUB *AR7+0%, -9, B, A ; 22822
+ SUB *AR7+0%, -9, B, B ; 22823
+ SUB *AR7+0%, -9, B ; 22824
+ SUB *AR7+0%, -8, A, A ; 22825
+ SUB *AR7+0%, -8, A, B ; 22826
+ SUB *AR7+0%, -8, A ; 22827
+ SUB *AR7+0%, -8, B, A ; 22828
+ SUB *AR7+0%, -8, B, B ; 22829
+ SUB *AR7+0%, -8, B ; 22830
+ SUB *AR7+0%, -7, A, A ; 22831
+ SUB *AR7+0%, -7, A, B ; 22832
+ SUB *AR7+0%, -7, A ; 22833
+ SUB *AR7+0%, -7, B, A ; 22834
+ SUB *AR7+0%, -7, B, B ; 22835
+ SUB *AR7+0%, -7, B ; 22836
+ SUB *AR7+0%, -6, A, A ; 22837
+ SUB *AR7+0%, -6, A, B ; 22838
+ SUB *AR7+0%, -6, A ; 22839
+ SUB *AR7+0%, -6, B, A ; 22840
+ SUB *AR7+0%, -6, B, B ; 22841
+ SUB *AR7+0%, -6, B ; 22842
+ SUB *AR7+0%, -5, A, A ; 22843
+ SUB *AR7+0%, -5, A, B ; 22844
+ SUB *AR7+0%, -5, A ; 22845
+ SUB *AR7+0%, -5, B, A ; 22846
+ SUB *AR7+0%, -5, B, B ; 22847
+ SUB *AR7+0%, -5, B ; 22848
+ SUB *AR7+0%, -4, A, A ; 22849
+ SUB *AR7+0%, -4, A, B ; 22850
+ SUB *AR7+0%, -4, A ; 22851
+ SUB *AR7+0%, -4, B, A ; 22852
+ SUB *AR7+0%, -4, B, B ; 22853
+ SUB *AR7+0%, -4, B ; 22854
+ SUB *AR7+0%, -3, A, A ; 22855
+ SUB *AR7+0%, -3, A, B ; 22856
+ SUB *AR7+0%, -3, A ; 22857
+ SUB *AR7+0%, -3, B, A ; 22858
+ SUB *AR7+0%, -3, B, B ; 22859
+ SUB *AR7+0%, -3, B ; 22860
+ SUB *AR7+0%, -2, A, A ; 22861
+ SUB *AR7+0%, -2, A, B ; 22862
+ SUB *AR7+0%, -2, A ; 22863
+ SUB *AR7+0%, -2, B, A ; 22864
+ SUB *AR7+0%, -2, B, B ; 22865
+ SUB *AR7+0%, -2, B ; 22866
+ SUB *AR7+0%, -1, A, A ; 22867
+ SUB *AR7+0%, -1, A, B ; 22868
+ SUB *AR7+0%, -1, A ; 22869
+ SUB *AR7+0%, -1, B, A ; 22870
+ SUB *AR7+0%, -1, B, B ; 22871
+ SUB *AR7+0%, -1, B ; 22872
+ SUB *AR7+0%, 0, A, A ; 22873
+ SUB *AR7+0%, 0, A, B ; 22874
+ SUB *AR7+0%, 0, A ; 22875
+ SUB *AR7+0%, 0, B, A ; 22876
+ SUB *AR7+0%, 0, B, B ; 22877
+ SUB *AR7+0%, 0, B ; 22878
+ SUB *AR7+0%, 1, A, A ; 22879
+ SUB *AR7+0%, 1, A, B ; 22880
+ SUB *AR7+0%, 1, A ; 22881
+ SUB *AR7+0%, 1, B, A ; 22882
+ SUB *AR7+0%, 1, B, B ; 22883
+ SUB *AR7+0%, 1, B ; 22884
+ SUB *AR7+0%, 2, A, A ; 22885
+ SUB *AR7+0%, 2, A, B ; 22886
+ SUB *AR7+0%, 2, A ; 22887
+ SUB *AR7+0%, 2, B, A ; 22888
+ SUB *AR7+0%, 2, B, B ; 22889
+ SUB *AR7+0%, 2, B ; 22890
+ SUB *AR7+0%, 3, A, A ; 22891
+ SUB *AR7+0%, 3, A, B ; 22892
+ SUB *AR7+0%, 3, A ; 22893
+ SUB *AR7+0%, 3, B, A ; 22894
+ SUB *AR7+0%, 3, B, B ; 22895
+ SUB *AR7+0%, 3, B ; 22896
+ SUB *AR7+0%, 4, A, A ; 22897
+ SUB *AR7+0%, 4, A, B ; 22898
+ SUB *AR7+0%, 4, A ; 22899
+ SUB *AR7+0%, 4, B, A ; 22900
+ SUB *AR7+0%, 4, B, B ; 22901
+ SUB *AR7+0%, 4, B ; 22902
+ SUB *AR7+0%, 5, A, A ; 22903
+ SUB *AR7+0%, 5, A, B ; 22904
+ SUB *AR7+0%, 5, A ; 22905
+ SUB *AR7+0%, 5, B, A ; 22906
+ SUB *AR7+0%, 5, B, B ; 22907
+ SUB *AR7+0%, 5, B ; 22908
+ SUB *AR7+0%, 6, A, A ; 22909
+ SUB *AR7+0%, 6, A, B ; 22910
+ SUB *AR7+0%, 6, A ; 22911
+ SUB *AR7+0%, 6, B, A ; 22912
+ SUB *AR7+0%, 6, B, B ; 22913
+ SUB *AR7+0%, 6, B ; 22914
+ SUB *AR7+0%, 7, A, A ; 22915
+ SUB *AR7+0%, 7, A, B ; 22916
+ SUB *AR7+0%, 7, A ; 22917
+ SUB *AR7+0%, 7, B, A ; 22918
+ SUB *AR7+0%, 7, B, B ; 22919
+ SUB *AR7+0%, 7, B ; 22920
+ SUB *AR7+0%, 8, A, A ; 22921
+ SUB *AR7+0%, 8, A, B ; 22922
+ SUB *AR7+0%, 8, A ; 22923
+ SUB *AR7+0%, 8, B, A ; 22924
+ SUB *AR7+0%, 8, B, B ; 22925
+ SUB *AR7+0%, 8, B ; 22926
+ SUB *AR7+0%, 9, A, A ; 22927
+ SUB *AR7+0%, 9, A, B ; 22928
+ SUB *AR7+0%, 9, A ; 22929
+ SUB *AR7+0%, 9, B, A ; 22930
+ SUB *AR7+0%, 9, B, B ; 22931
+ SUB *AR7+0%, 9, B ; 22932
+ SUB *AR7+0%, 10, A, A ; 22933
+ SUB *AR7+0%, 10, A, B ; 22934
+ SUB *AR7+0%, 10, A ; 22935
+ SUB *AR7+0%, 10, B, A ; 22936
+ SUB *AR7+0%, 10, B, B ; 22937
+ SUB *AR7+0%, 10, B ; 22938
+ SUB *AR7+0%, 11, A, A ; 22939
+ SUB *AR7+0%, 11, A, B ; 22940
+ SUB *AR7+0%, 11, A ; 22941
+ SUB *AR7+0%, 11, B, A ; 22942
+ SUB *AR7+0%, 11, B, B ; 22943
+ SUB *AR7+0%, 11, B ; 22944
+ SUB *AR7+0%, 12, A, A ; 22945
+ SUB *AR7+0%, 12, A, B ; 22946
+ SUB *AR7+0%, 12, A ; 22947
+ SUB *AR7+0%, 12, B, A ; 22948
+ SUB *AR7+0%, 12, B, B ; 22949
+ SUB *AR7+0%, 12, B ; 22950
+ SUB *AR7+0%, 13, A, A ; 22951
+ SUB *AR7+0%, 13, A, B ; 22952
+ SUB *AR7+0%, 13, A ; 22953
+ SUB *AR7+0%, 13, B, A ; 22954
+ SUB *AR7+0%, 13, B, B ; 22955
+ SUB *AR7+0%, 13, B ; 22956
+ SUB *AR7+0%, 14, A, A ; 22957
+ SUB *AR7+0%, 14, A, B ; 22958
+ SUB *AR7+0%, 14, A ; 22959
+ SUB *AR7+0%, 14, B, A ; 22960
+ SUB *AR7+0%, 14, B, B ; 22961
+ SUB *AR7+0%, 14, B ; 22962
+ SUB *AR7+0%, 15, A, A ; 22963
+ SUB *AR7+0%, 15, A, B ; 22964
+ SUB *AR7+0%, 15, A ; 22965
+ SUB *AR7+0%, 15, B, A ; 22966
+ SUB *AR7+0%, 15, B, B ; 22967
+ SUB *AR7+0%, 15, B ; 22968
+ SUB *AR7(0612Eh), A, A ; 22969
+ SUB *AR7(0612Fh), A, B ; 22970
+ SUB *AR7(06130h), A ; 22971
+ SUB *AR7(06131h), B, A ; 22972
+ SUB *AR7(06132h), B, B ; 22973
+ SUB *AR7(06133h), B ; 22974
+ SUB *AR7(06134h), -16, A, A ; 22975
+ SUB *AR7(06135h), -16, A, B ; 22976
+ SUB *AR7(06136h), -16, A ; 22977
+ SUB *AR7(06137h), -16, B, A ; 22978
+ SUB *AR7(06138h), -16, B, B ; 22979
+ SUB *AR7(06139h), -16, B ; 22980
+ SUB *AR7(0613Ah), -15, A, A ; 22981
+ SUB *AR7(0613Bh), -15, A, B ; 22982
+ SUB *AR7(0613Ch), -15, A ; 22983
+ SUB *AR7(0613Dh), -15, B, A ; 22984
+ SUB *AR7(0613Eh), -15, B, B ; 22985
+ SUB *AR7(0613Fh), -15, B ; 22986
+ SUB *AR7(06140h), -14, A, A ; 22987
+ SUB *AR7(06141h), -14, A, B ; 22988
+ SUB *AR7(06142h), -14, A ; 22989
+ SUB *AR7(06143h), -14, B, A ; 22990
+ SUB *AR7(06144h), -14, B, B ; 22991
+ SUB *AR7(06145h), -14, B ; 22992
+ SUB *AR7(06146h), -13, A, A ; 22993
+ SUB *AR7(06147h), -13, A, B ; 22994
+ SUB *AR7(06148h), -13, A ; 22995
+ SUB *AR7(06149h), -13, B, A ; 22996
+ SUB *AR7(0614Ah), -13, B, B ; 22997
+ SUB *AR7(0614Bh), -13, B ; 22998
+ SUB *AR7(0614Ch), -12, A, A ; 22999
+ SUB *AR7(0614Dh), -12, A, B ; 23000
+ SUB *AR7(0614Eh), -12, A ; 23001
+ SUB *AR7(0614Fh), -12, B, A ; 23002
+ SUB *AR7(06150h), -12, B, B ; 23003
+ SUB *AR7(06151h), -12, B ; 23004
+ SUB *AR7(06152h), -11, A, A ; 23005
+ SUB *AR7(06153h), -11, A, B ; 23006
+ SUB *AR7(06154h), -11, A ; 23007
+ SUB *AR7(06155h), -11, B, A ; 23008
+ SUB *AR7(06156h), -11, B, B ; 23009
+ SUB *AR7(06157h), -11, B ; 23010
+ SUB *AR7(06158h), -10, A, A ; 23011
+ SUB *AR7(06159h), -10, A, B ; 23012
+ SUB *AR7(0615Ah), -10, A ; 23013
+ SUB *AR7(0615Bh), -10, B, A ; 23014
+ SUB *AR7(0615Ch), -10, B, B ; 23015
+ SUB *AR7(0615Dh), -10, B ; 23016
+ SUB *AR7(0615Eh), -9, A, A ; 23017
+ SUB *AR7(0615Fh), -9, A, B ; 23018
+ SUB *AR7(06160h), -9, A ; 23019
+ SUB *AR7(06161h), -9, B, A ; 23020
+ SUB *AR7(06162h), -9, B, B ; 23021
+ SUB *AR7(06163h), -9, B ; 23022
+ SUB *AR7(06164h), -8, A, A ; 23023
+ SUB *AR7(06165h), -8, A, B ; 23024
+ SUB *AR7(06166h), -8, A ; 23025
+ SUB *AR7(06167h), -8, B, A ; 23026
+ SUB *AR7(06168h), -8, B, B ; 23027
+ SUB *AR7(06169h), -8, B ; 23028
+ SUB *AR7(0616Ah), -7, A, A ; 23029
+ SUB *AR7(0616Bh), -7, A, B ; 23030
+ SUB *AR7(0616Ch), -7, A ; 23031
+ SUB *AR7(0616Dh), -7, B, A ; 23032
+ SUB *AR7(0616Eh), -7, B, B ; 23033
+ SUB *AR7(0616Fh), -7, B ; 23034
+ SUB *AR7(06170h), -6, A, A ; 23035
+ SUB *AR7(06171h), -6, A, B ; 23036
+ SUB *AR7(06172h), -6, A ; 23037
+ SUB *AR7(06173h), -6, B, A ; 23038
+ SUB *AR7(06174h), -6, B, B ; 23039
+ SUB *AR7(06175h), -6, B ; 23040
+ SUB *AR7(06176h), -5, A, A ; 23041
+ SUB *AR7(06177h), -5, A, B ; 23042
+ SUB *AR7(06178h), -5, A ; 23043
+ SUB *AR7(06179h), -5, B, A ; 23044
+ SUB *AR7(0617Ah), -5, B, B ; 23045
+ SUB *AR7(0617Bh), -5, B ; 23046
+ SUB *AR7(0617Ch), -4, A, A ; 23047
+ SUB *AR7(0617Dh), -4, A, B ; 23048
+ SUB *AR7(0617Eh), -4, A ; 23049
+ SUB *AR7(0617Fh), -4, B, A ; 23050
+ SUB *AR7(06180h), -4, B, B ; 23051
+ SUB *AR7(06181h), -4, B ; 23052
+ SUB *AR7(06182h), -3, A, A ; 23053
+ SUB *AR7(06183h), -3, A, B ; 23054
+ SUB *AR7(06184h), -3, A ; 23055
+ SUB *AR7(06185h), -3, B, A ; 23056
+ SUB *AR7(06186h), -3, B, B ; 23057
+ SUB *AR7(06187h), -3, B ; 23058
+ SUB *AR7(06188h), -2, A, A ; 23059
+ SUB *AR7(06189h), -2, A, B ; 23060
+ SUB *AR7(0618Ah), -2, A ; 23061
+ SUB *AR7(0618Bh), -2, B, A ; 23062
+ SUB *AR7(0618Ch), -2, B, B ; 23063
+ SUB *AR7(0618Dh), -2, B ; 23064
+ SUB *AR7(0618Eh), -1, A, A ; 23065
+ SUB *AR7(0618Fh), -1, A, B ; 23066
+ SUB *AR7(06190h), -1, A ; 23067
+ SUB *AR7(06191h), -1, B, A ; 23068
+ SUB *AR7(06192h), -1, B, B ; 23069
+ SUB *AR7(06193h), -1, B ; 23070
+ SUB *AR7(06194h), 0, A, A ; 23071
+ SUB *AR7(06195h), 0, A, B ; 23072
+ SUB *AR7(06196h), 0, A ; 23073
+ SUB *AR7(06197h), 0, B, A ; 23074
+ SUB *AR7(06198h), 0, B, B ; 23075
+ SUB *AR7(06199h), 0, B ; 23076
+ SUB *AR7(0619Ah), 1, A, A ; 23077
+ SUB *AR7(0619Bh), 1, A, B ; 23078
+ SUB *AR7(0619Ch), 1, A ; 23079
+ SUB *AR7(0619Dh), 1, B, A ; 23080
+ SUB *AR7(0619Eh), 1, B, B ; 23081
+ SUB *AR7(0619Fh), 1, B ; 23082
+ SUB *AR7(061A0h), 2, A, A ; 23083
+ SUB *AR7(061A1h), 2, A, B ; 23084
+ SUB *AR7(061A2h), 2, A ; 23085
+ SUB *AR7(061A3h), 2, B, A ; 23086
+ SUB *AR7(061A4h), 2, B, B ; 23087
+ SUB *AR7(061A5h), 2, B ; 23088
+ SUB *AR7(061A6h), 3, A, A ; 23089
+ SUB *AR7(061A7h), 3, A, B ; 23090
+ SUB *AR7(061A8h), 3, A ; 23091
+ SUB *AR7(061A9h), 3, B, A ; 23092
+ SUB *AR7(061AAh), 3, B, B ; 23093
+ SUB *AR7(061ABh), 3, B ; 23094
+ SUB *AR7(061ACh), 4, A, A ; 23095
+ SUB *AR7(061ADh), 4, A, B ; 23096
+ SUB *AR7(061AEh), 4, A ; 23097
+ SUB *AR7(061AFh), 4, B, A ; 23098
+ SUB *AR7(061B0h), 4, B, B ; 23099
+ SUB *AR7(061B1h), 4, B ; 23100
+ SUB *AR7(061B2h), 5, A, A ; 23101
+ SUB *AR7(061B3h), 5, A, B ; 23102
+ SUB *AR7(061B4h), 5, A ; 23103
+ SUB *AR7(061B5h), 5, B, A ; 23104
+ SUB *AR7(061B6h), 5, B, B ; 23105
+ SUB *AR7(061B7h), 5, B ; 23106
+ SUB *AR7(061B8h), 6, A, A ; 23107
+ SUB *AR7(061B9h), 6, A, B ; 23108
+ SUB *AR7(061BAh), 6, A ; 23109
+ SUB *AR7(061BBh), 6, B, A ; 23110
+ SUB *AR7(061BCh), 6, B, B ; 23111
+ SUB *AR7(061BDh), 6, B ; 23112
+ SUB *AR7(061BEh), 7, A, A ; 23113
+ SUB *AR7(061BFh), 7, A, B ; 23114
+ SUB *AR7(061C0h), 7, A ; 23115
+ SUB *AR7(061C1h), 7, B, A ; 23116
+ SUB *AR7(061C2h), 7, B, B ; 23117
+ SUB *AR7(061C3h), 7, B ; 23118
+ SUB *AR7(061C4h), 8, A, A ; 23119
+ SUB *AR7(061C5h), 8, A, B ; 23120
+ SUB *AR7(061C6h), 8, A ; 23121
+ SUB *AR7(061C7h), 8, B, A ; 23122
+ SUB *AR7(061C8h), 8, B, B ; 23123
+ SUB *AR7(061C9h), 8, B ; 23124
+ SUB *AR7(061CAh), 9, A, A ; 23125
+ SUB *AR7(061CBh), 9, A, B ; 23126
+ SUB *AR7(061CCh), 9, A ; 23127
+ SUB *AR7(061CDh), 9, B, A ; 23128
+ SUB *AR7(061CEh), 9, B, B ; 23129
+ SUB *AR7(061CFh), 9, B ; 23130
+ SUB *AR7(061D0h), 10, A, A ; 23131
+ SUB *AR7(061D1h), 10, A, B ; 23132
+ SUB *AR7(061D2h), 10, A ; 23133
+ SUB *AR7(061D3h), 10, B, A ; 23134
+ SUB *AR7(061D4h), 10, B, B ; 23135
+ SUB *AR7(061D5h), 10, B ; 23136
+ SUB *AR7(061D6h), 11, A, A ; 23137
+ SUB *AR7(061D7h), 11, A, B ; 23138
+ SUB *AR7(061D8h), 11, A ; 23139
+ SUB *AR7(061D9h), 11, B, A ; 23140
+ SUB *AR7(061DAh), 11, B, B ; 23141
+ SUB *AR7(061DBh), 11, B ; 23142
+ SUB *AR7(061DCh), 12, A, A ; 23143
+ SUB *AR7(061DDh), 12, A, B ; 23144
+ SUB *AR7(061DEh), 12, A ; 23145
+ SUB *AR7(061DFh), 12, B, A ; 23146
+ SUB *AR7(061E0h), 12, B, B ; 23147
+ SUB *AR7(061E1h), 12, B ; 23148
+ SUB *AR7(061E2h), 13, A, A ; 23149
+ SUB *AR7(061E3h), 13, A, B ; 23150
+ SUB *AR7(061E4h), 13, A ; 23151
+ SUB *AR7(061E5h), 13, B, A ; 23152
+ SUB *AR7(061E6h), 13, B, B ; 23153
+ SUB *AR7(061E7h), 13, B ; 23154
+ SUB *AR7(061E8h), 14, A, A ; 23155
+ SUB *AR7(061E9h), 14, A, B ; 23156
+ SUB *AR7(061EAh), 14, A ; 23157
+ SUB *AR7(061EBh), 14, B, A ; 23158
+ SUB *AR7(061ECh), 14, B, B ; 23159
+ SUB *AR7(061EDh), 14, B ; 23160
+ SUB *AR7(061EEh), 15, A, A ; 23161
+ SUB *AR7(061EFh), 15, A, B ; 23162
+ SUB *AR7(061F0h), 15, A ; 23163
+ SUB *AR7(061F1h), 15, B, A ; 23164
+ SUB *AR7(061F2h), 15, B, B ; 23165
+ SUB *AR7(061F3h), 15, B ; 23166
+ SUB *+AR7(061F4h), A, A ; 23167
+ SUB *+AR7(061F5h), A, B ; 23168
+ SUB *+AR7(061F6h), A ; 23169
+ SUB *+AR7(061F7h), B, A ; 23170
+ SUB *+AR7(061F8h), B, B ; 23171
+ SUB *+AR7(061F9h), B ; 23172
+ SUB *+AR7(061FAh), -16, A, A ; 23173
+ SUB *+AR7(061FBh), -16, A, B ; 23174
+ SUB *+AR7(061FCh), -16, A ; 23175
+ SUB *+AR7(061FDh), -16, B, A ; 23176
+ SUB *+AR7(061FEh), -16, B, B ; 23177
+ SUB *+AR7(061FFh), -16, B ; 23178
+ SUB *+AR7(06200h), -15, A, A ; 23179
+ SUB *+AR7(06201h), -15, A, B ; 23180
+ SUB *+AR7(06202h), -15, A ; 23181
+ SUB *+AR7(06203h), -15, B, A ; 23182
+ SUB *+AR7(06204h), -15, B, B ; 23183
+ SUB *+AR7(06205h), -15, B ; 23184
+ SUB *+AR7(06206h), -14, A, A ; 23185
+ SUB *+AR7(06207h), -14, A, B ; 23186
+ SUB *+AR7(06208h), -14, A ; 23187
+ SUB *+AR7(06209h), -14, B, A ; 23188
+ SUB *+AR7(0620Ah), -14, B, B ; 23189
+ SUB *+AR7(0620Bh), -14, B ; 23190
+ SUB *+AR7(0620Ch), -13, A, A ; 23191
+ SUB *+AR7(0620Dh), -13, A, B ; 23192
+ SUB *+AR7(0620Eh), -13, A ; 23193
+ SUB *+AR7(0620Fh), -13, B, A ; 23194
+ SUB *+AR7(06210h), -13, B, B ; 23195
+ SUB *+AR7(06211h), -13, B ; 23196
+ SUB *+AR7(06212h), -12, A, A ; 23197
+ SUB *+AR7(06213h), -12, A, B ; 23198
+ SUB *+AR7(06214h), -12, A ; 23199
+ SUB *+AR7(06215h), -12, B, A ; 23200
+ SUB *+AR7(06216h), -12, B, B ; 23201
+ SUB *+AR7(06217h), -12, B ; 23202
+ SUB *+AR7(06218h), -11, A, A ; 23203
+ SUB *+AR7(06219h), -11, A, B ; 23204
+ SUB *+AR7(0621Ah), -11, A ; 23205
+ SUB *+AR7(0621Bh), -11, B, A ; 23206
+ SUB *+AR7(0621Ch), -11, B, B ; 23207
+ SUB *+AR7(0621Dh), -11, B ; 23208
+ SUB *+AR7(0621Eh), -10, A, A ; 23209
+ SUB *+AR7(0621Fh), -10, A, B ; 23210
+ SUB *+AR7(06220h), -10, A ; 23211
+ SUB *+AR7(06221h), -10, B, A ; 23212
+ SUB *+AR7(06222h), -10, B, B ; 23213
+ SUB *+AR7(06223h), -10, B ; 23214
+ SUB *+AR7(06224h), -9, A, A ; 23215
+ SUB *+AR7(06225h), -9, A, B ; 23216
+ SUB *+AR7(06226h), -9, A ; 23217
+ SUB *+AR7(06227h), -9, B, A ; 23218
+ SUB *+AR7(06228h), -9, B, B ; 23219
+ SUB *+AR7(06229h), -9, B ; 23220
+ SUB *+AR7(0622Ah), -8, A, A ; 23221
+ SUB *+AR7(0622Bh), -8, A, B ; 23222
+ SUB *+AR7(0622Ch), -8, A ; 23223
+ SUB *+AR7(0622Dh), -8, B, A ; 23224
+ SUB *+AR7(0622Eh), -8, B, B ; 23225
+ SUB *+AR7(0622Fh), -8, B ; 23226
+ SUB *+AR7(06230h), -7, A, A ; 23227
+ SUB *+AR7(06231h), -7, A, B ; 23228
+ SUB *+AR7(06232h), -7, A ; 23229
+ SUB *+AR7(06233h), -7, B, A ; 23230
+ SUB *+AR7(06234h), -7, B, B ; 23231
+ SUB *+AR7(06235h), -7, B ; 23232
+ SUB *+AR7(06236h), -6, A, A ; 23233
+ SUB *+AR7(06237h), -6, A, B ; 23234
+ SUB *+AR7(06238h), -6, A ; 23235
+ SUB *+AR7(06239h), -6, B, A ; 23236
+ SUB *+AR7(0623Ah), -6, B, B ; 23237
+ SUB *+AR7(0623Bh), -6, B ; 23238
+ SUB *+AR7(0623Ch), -5, A, A ; 23239
+ SUB *+AR7(0623Dh), -5, A, B ; 23240
+ SUB *+AR7(0623Eh), -5, A ; 23241
+ SUB *+AR7(0623Fh), -5, B, A ; 23242
+ SUB *+AR7(06240h), -5, B, B ; 23243
+ SUB *+AR7(06241h), -5, B ; 23244
+ SUB *+AR7(06242h), -4, A, A ; 23245
+ SUB *+AR7(06243h), -4, A, B ; 23246
+ SUB *+AR7(06244h), -4, A ; 23247
+ SUB *+AR7(06245h), -4, B, A ; 23248
+ SUB *+AR7(06246h), -4, B, B ; 23249
+ SUB *+AR7(06247h), -4, B ; 23250
+ SUB *+AR7(06248h), -3, A, A ; 23251
+ SUB *+AR7(06249h), -3, A, B ; 23252
+ SUB *+AR7(0624Ah), -3, A ; 23253
+ SUB *+AR7(0624Bh), -3, B, A ; 23254
+ SUB *+AR7(0624Ch), -3, B, B ; 23255
+ SUB *+AR7(0624Dh), -3, B ; 23256
+ SUB *+AR7(0624Eh), -2, A, A ; 23257
+ SUB *+AR7(0624Fh), -2, A, B ; 23258
+ SUB *+AR7(06250h), -2, A ; 23259
+ SUB *+AR7(06251h), -2, B, A ; 23260
+ SUB *+AR7(06252h), -2, B, B ; 23261
+ SUB *+AR7(06253h), -2, B ; 23262
+ SUB *+AR7(06254h), -1, A, A ; 23263
+ SUB *+AR7(06255h), -1, A, B ; 23264
+ SUB *+AR7(06256h), -1, A ; 23265
+ SUB *+AR7(06257h), -1, B, A ; 23266
+ SUB *+AR7(06258h), -1, B, B ; 23267
+ SUB *+AR7(06259h), -1, B ; 23268
+ SUB *+AR7(0625Ah), 0, A, A ; 23269
+ SUB *+AR7(0625Bh), 0, A, B ; 23270
+ SUB *+AR7(0625Ch), 0, A ; 23271
+ SUB *+AR7(0625Dh), 0, B, A ; 23272
+ SUB *+AR7(0625Eh), 0, B, B ; 23273
+ SUB *+AR7(0625Fh), 0, B ; 23274
+ SUB *+AR7(06260h), 1, A, A ; 23275
+ SUB *+AR7(06261h), 1, A, B ; 23276
+ SUB *+AR7(06262h), 1, A ; 23277
+ SUB *+AR7(06263h), 1, B, A ; 23278
+ SUB *+AR7(06264h), 1, B, B ; 23279
+ SUB *+AR7(06265h), 1, B ; 23280
+ SUB *+AR7(06266h), 2, A, A ; 23281
+ SUB *+AR7(06267h), 2, A, B ; 23282
+ SUB *+AR7(06268h), 2, A ; 23283
+ SUB *+AR7(06269h), 2, B, A ; 23284
+ SUB *+AR7(0626Ah), 2, B, B ; 23285
+ SUB *+AR7(0626Bh), 2, B ; 23286
+ SUB *+AR7(0626Ch), 3, A, A ; 23287
+ SUB *+AR7(0626Dh), 3, A, B ; 23288
+ SUB *+AR7(0626Eh), 3, A ; 23289
+ SUB *+AR7(0626Fh), 3, B, A ; 23290
+ SUB *+AR7(06270h), 3, B, B ; 23291
+ SUB *+AR7(06271h), 3, B ; 23292
+ SUB *+AR7(06272h), 4, A, A ; 23293
+ SUB *+AR7(06273h), 4, A, B ; 23294
+ SUB *+AR7(06274h), 4, A ; 23295
+ SUB *+AR7(06275h), 4, B, A ; 23296
+ SUB *+AR7(06276h), 4, B, B ; 23297
+ SUB *+AR7(06277h), 4, B ; 23298
+ SUB *+AR7(06278h), 5, A, A ; 23299
+ SUB *+AR7(06279h), 5, A, B ; 23300
+ SUB *+AR7(0627Ah), 5, A ; 23301
+ SUB *+AR7(0627Bh), 5, B, A ; 23302
+ SUB *+AR7(0627Ch), 5, B, B ; 23303
+ SUB *+AR7(0627Dh), 5, B ; 23304
+ SUB *+AR7(0627Eh), 6, A, A ; 23305
+ SUB *+AR7(0627Fh), 6, A, B ; 23306
+ SUB *+AR7(06280h), 6, A ; 23307
+ SUB *+AR7(06281h), 6, B, A ; 23308
+ SUB *+AR7(06282h), 6, B, B ; 23309
+ SUB *+AR7(06283h), 6, B ; 23310
+ SUB *+AR7(06284h), 7, A, A ; 23311
+ SUB *+AR7(06285h), 7, A, B ; 23312
+ SUB *+AR7(06286h), 7, A ; 23313
+ SUB *+AR7(06287h), 7, B, A ; 23314
+ SUB *+AR7(06288h), 7, B, B ; 23315
+ SUB *+AR7(06289h), 7, B ; 23316
+ SUB *+AR7(0628Ah), 8, A, A ; 23317
+ SUB *+AR7(0628Bh), 8, A, B ; 23318
+ SUB *+AR7(0628Ch), 8, A ; 23319
+ SUB *+AR7(0628Dh), 8, B, A ; 23320
+ SUB *+AR7(0628Eh), 8, B, B ; 23321
+ SUB *+AR7(0628Fh), 8, B ; 23322
+ SUB *+AR7(06290h), 9, A, A ; 23323
+ SUB *+AR7(06291h), 9, A, B ; 23324
+ SUB *+AR7(06292h), 9, A ; 23325
+ SUB *+AR7(06293h), 9, B, A ; 23326
+ SUB *+AR7(06294h), 9, B, B ; 23327
+ SUB *+AR7(06295h), 9, B ; 23328
+ SUB *+AR7(06296h), 10, A, A ; 23329
+ SUB *+AR7(06297h), 10, A, B ; 23330
+ SUB *+AR7(06298h), 10, A ; 23331
+ SUB *+AR7(06299h), 10, B, A ; 23332
+ SUB *+AR7(0629Ah), 10, B, B ; 23333
+ SUB *+AR7(0629Bh), 10, B ; 23334
+ SUB *+AR7(0629Ch), 11, A, A ; 23335
+ SUB *+AR7(0629Dh), 11, A, B ; 23336
+ SUB *+AR7(0629Eh), 11, A ; 23337
+ SUB *+AR7(0629Fh), 11, B, A ; 23338
+ SUB *+AR7(062A0h), 11, B, B ; 23339
+ SUB *+AR7(062A1h), 11, B ; 23340
+ SUB *+AR7(062A2h), 12, A, A ; 23341
+ SUB *+AR7(062A3h), 12, A, B ; 23342
+ SUB *+AR7(062A4h), 12, A ; 23343
+ SUB *+AR7(062A5h), 12, B, A ; 23344
+ SUB *+AR7(062A6h), 12, B, B ; 23345
+ SUB *+AR7(062A7h), 12, B ; 23346
+ SUB *+AR7(062A8h), 13, A, A ; 23347
+ SUB *+AR7(062A9h), 13, A, B ; 23348
+ SUB *+AR7(062AAh), 13, A ; 23349
+ SUB *+AR7(062ABh), 13, B, A ; 23350
+ SUB *+AR7(062ACh), 13, B, B ; 23351
+ SUB *+AR7(062ADh), 13, B ; 23352
+ SUB *+AR7(062AEh), 14, A, A ; 23353
+ SUB *+AR7(062AFh), 14, A, B ; 23354
+ SUB *+AR7(062B0h), 14, A ; 23355
+ SUB *+AR7(062B1h), 14, B, A ; 23356
+ SUB *+AR7(062B2h), 14, B, B ; 23357
+ SUB *+AR7(062B3h), 14, B ; 23358
+ SUB *+AR7(062B4h), 15, A, A ; 23359
+ SUB *+AR7(062B5h), 15, A, B ; 23360
+ SUB *+AR7(062B6h), 15, A ; 23361
+ SUB *+AR7(062B7h), 15, B, A ; 23362
+ SUB *+AR7(062B8h), 15, B, B ; 23363
+ SUB *+AR7(062B9h), 15, B ; 23364
+ SUB *+AR7(062BAh)%, A, A ; 23365
+ SUB *+AR7(062BBh)%, A, B ; 23366
+ SUB *+AR7(062BCh)%, A ; 23367
+ SUB *+AR7(062BDh)%, B, A ; 23368
+ SUB *+AR7(062BEh)%, B, B ; 23369
+ SUB *+AR7(062BFh)%, B ; 23370
+ SUB *+AR7(062C0h)%, -16, A, A ; 23371
+ SUB *+AR7(062C1h)%, -16, A, B ; 23372
+ SUB *+AR7(062C2h)%, -16, A ; 23373
+ SUB *+AR7(062C3h)%, -16, B, A ; 23374
+ SUB *+AR7(062C4h)%, -16, B, B ; 23375
+ SUB *+AR7(062C5h)%, -16, B ; 23376
+ SUB *+AR7(062C6h)%, -15, A, A ; 23377
+ SUB *+AR7(062C7h)%, -15, A, B ; 23378
+ SUB *+AR7(062C8h)%, -15, A ; 23379
+ SUB *+AR7(062C9h)%, -15, B, A ; 23380
+ SUB *+AR7(062CAh)%, -15, B, B ; 23381
+ SUB *+AR7(062CBh)%, -15, B ; 23382
+ SUB *+AR7(062CCh)%, -14, A, A ; 23383
+ SUB *+AR7(062CDh)%, -14, A, B ; 23384
+ SUB *+AR7(062CEh)%, -14, A ; 23385
+ SUB *+AR7(062CFh)%, -14, B, A ; 23386
+ SUB *+AR7(062D0h)%, -14, B, B ; 23387
+ SUB *+AR7(062D1h)%, -14, B ; 23388
+ SUB *+AR7(062D2h)%, -13, A, A ; 23389
+ SUB *+AR7(062D3h)%, -13, A, B ; 23390
+ SUB *+AR7(062D4h)%, -13, A ; 23391
+ SUB *+AR7(062D5h)%, -13, B, A ; 23392
+ SUB *+AR7(062D6h)%, -13, B, B ; 23393
+ SUB *+AR7(062D7h)%, -13, B ; 23394
+ SUB *+AR7(062D8h)%, -12, A, A ; 23395
+ SUB *+AR7(062D9h)%, -12, A, B ; 23396
+ SUB *+AR7(062DAh)%, -12, A ; 23397
+ SUB *+AR7(062DBh)%, -12, B, A ; 23398
+ SUB *+AR7(062DCh)%, -12, B, B ; 23399
+ SUB *+AR7(062DDh)%, -12, B ; 23400
+ SUB *+AR7(062DEh)%, -11, A, A ; 23401
+ SUB *+AR7(062DFh)%, -11, A, B ; 23402
+ SUB *+AR7(062E0h)%, -11, A ; 23403
+ SUB *+AR7(062E1h)%, -11, B, A ; 23404
+ SUB *+AR7(062E2h)%, -11, B, B ; 23405
+ SUB *+AR7(062E3h)%, -11, B ; 23406
+ SUB *+AR7(062E4h)%, -10, A, A ; 23407
+ SUB *+AR7(062E5h)%, -10, A, B ; 23408
+ SUB *+AR7(062E6h)%, -10, A ; 23409
+ SUB *+AR7(062E7h)%, -10, B, A ; 23410
+ SUB *+AR7(062E8h)%, -10, B, B ; 23411
+ SUB *+AR7(062E9h)%, -10, B ; 23412
+ SUB *+AR7(062EAh)%, -9, A, A ; 23413
+ SUB *+AR7(062EBh)%, -9, A, B ; 23414
+ SUB *+AR7(062ECh)%, -9, A ; 23415
+ SUB *+AR7(062EDh)%, -9, B, A ; 23416
+ SUB *+AR7(062EEh)%, -9, B, B ; 23417
+ SUB *+AR7(062EFh)%, -9, B ; 23418
+ SUB *+AR7(062F0h)%, -8, A, A ; 23419
+ SUB *+AR7(062F1h)%, -8, A, B ; 23420
+ SUB *+AR7(062F2h)%, -8, A ; 23421
+ SUB *+AR7(062F3h)%, -8, B, A ; 23422
+ SUB *+AR7(062F4h)%, -8, B, B ; 23423
+ SUB *+AR7(062F5h)%, -8, B ; 23424
+ SUB *+AR7(062F6h)%, -7, A, A ; 23425
+ SUB *+AR7(062F7h)%, -7, A, B ; 23426
+ SUB *+AR7(062F8h)%, -7, A ; 23427
+ SUB *+AR7(062F9h)%, -7, B, A ; 23428
+ SUB *+AR7(062FAh)%, -7, B, B ; 23429
+ SUB *+AR7(062FBh)%, -7, B ; 23430
+ SUB *+AR7(062FCh)%, -6, A, A ; 23431
+ SUB *+AR7(062FDh)%, -6, A, B ; 23432
+ SUB *+AR7(062FEh)%, -6, A ; 23433
+ SUB *+AR7(062FFh)%, -6, B, A ; 23434
+ SUB *+AR7(06300h)%, -6, B, B ; 23435
+ SUB *+AR7(06301h)%, -6, B ; 23436
+ SUB *+AR7(06302h)%, -5, A, A ; 23437
+ SUB *+AR7(06303h)%, -5, A, B ; 23438
+ SUB *+AR7(06304h)%, -5, A ; 23439
+ SUB *+AR7(06305h)%, -5, B, A ; 23440
+ SUB *+AR7(06306h)%, -5, B, B ; 23441
+ SUB *+AR7(06307h)%, -5, B ; 23442
+ SUB *+AR7(06308h)%, -4, A, A ; 23443
+ SUB *+AR7(06309h)%, -4, A, B ; 23444
+ SUB *+AR7(0630Ah)%, -4, A ; 23445
+ SUB *+AR7(0630Bh)%, -4, B, A ; 23446
+ SUB *+AR7(0630Ch)%, -4, B, B ; 23447
+ SUB *+AR7(0630Dh)%, -4, B ; 23448
+ SUB *+AR7(0630Eh)%, -3, A, A ; 23449
+ SUB *+AR7(0630Fh)%, -3, A, B ; 23450
+ SUB *+AR7(06310h)%, -3, A ; 23451
+ SUB *+AR7(06311h)%, -3, B, A ; 23452
+ SUB *+AR7(06312h)%, -3, B, B ; 23453
+ SUB *+AR7(06313h)%, -3, B ; 23454
+ SUB *+AR7(06314h)%, -2, A, A ; 23455
+ SUB *+AR7(06315h)%, -2, A, B ; 23456
+ SUB *+AR7(06316h)%, -2, A ; 23457
+ SUB *+AR7(06317h)%, -2, B, A ; 23458
+ SUB *+AR7(06318h)%, -2, B, B ; 23459
+ SUB *+AR7(06319h)%, -2, B ; 23460
+ SUB *+AR7(0631Ah)%, -1, A, A ; 23461
+ SUB *+AR7(0631Bh)%, -1, A, B ; 23462
+ SUB *+AR7(0631Ch)%, -1, A ; 23463
+ SUB *+AR7(0631Dh)%, -1, B, A ; 23464
+ SUB *+AR7(0631Eh)%, -1, B, B ; 23465
+ SUB *+AR7(0631Fh)%, -1, B ; 23466
+ SUB *+AR7(06320h)%, 0, A, A ; 23467
+ SUB *+AR7(06321h)%, 0, A, B ; 23468
+ SUB *+AR7(06322h)%, 0, A ; 23469
+ SUB *+AR7(06323h)%, 0, B, A ; 23470
+ SUB *+AR7(06324h)%, 0, B, B ; 23471
+ SUB *+AR7(06325h)%, 0, B ; 23472
+ SUB *+AR7(06326h)%, 1, A, A ; 23473
+ SUB *+AR7(06327h)%, 1, A, B ; 23474
+ SUB *+AR7(06328h)%, 1, A ; 23475
+ SUB *+AR7(06329h)%, 1, B, A ; 23476
+ SUB *+AR7(0632Ah)%, 1, B, B ; 23477
+ SUB *+AR7(0632Bh)%, 1, B ; 23478
+ SUB *+AR7(0632Ch)%, 2, A, A ; 23479
+ SUB *+AR7(0632Dh)%, 2, A, B ; 23480
+ SUB *+AR7(0632Eh)%, 2, A ; 23481
+ SUB *+AR7(0632Fh)%, 2, B, A ; 23482
+ SUB *+AR7(06330h)%, 2, B, B ; 23483
+ SUB *+AR7(06331h)%, 2, B ; 23484
+ SUB *+AR7(06332h)%, 3, A, A ; 23485
+ SUB *+AR7(06333h)%, 3, A, B ; 23486
+ SUB *+AR7(06334h)%, 3, A ; 23487
+ SUB *+AR7(06335h)%, 3, B, A ; 23488
+ SUB *+AR7(06336h)%, 3, B, B ; 23489
+ SUB *+AR7(06337h)%, 3, B ; 23490
+ SUB *+AR7(06338h)%, 4, A, A ; 23491
+ SUB *+AR7(06339h)%, 4, A, B ; 23492
+ SUB *+AR7(0633Ah)%, 4, A ; 23493
+ SUB *+AR7(0633Bh)%, 4, B, A ; 23494
+ SUB *+AR7(0633Ch)%, 4, B, B ; 23495
+ SUB *+AR7(0633Dh)%, 4, B ; 23496
+ SUB *+AR7(0633Eh)%, 5, A, A ; 23497
+ SUB *+AR7(0633Fh)%, 5, A, B ; 23498
+ SUB *+AR7(06340h)%, 5, A ; 23499
+ SUB *+AR7(06341h)%, 5, B, A ; 23500
+ SUB *+AR7(06342h)%, 5, B, B ; 23501
+ SUB *+AR7(06343h)%, 5, B ; 23502
+ SUB *+AR7(06344h)%, 6, A, A ; 23503
+ SUB *+AR7(06345h)%, 6, A, B ; 23504
+ SUB *+AR7(06346h)%, 6, A ; 23505
+ SUB *+AR7(06347h)%, 6, B, A ; 23506
+ SUB *+AR7(06348h)%, 6, B, B ; 23507
+ SUB *+AR7(06349h)%, 6, B ; 23508
+ SUB *+AR7(0634Ah)%, 7, A, A ; 23509
+ SUB *+AR7(0634Bh)%, 7, A, B ; 23510
+ SUB *+AR7(0634Ch)%, 7, A ; 23511
+ SUB *+AR7(0634Dh)%, 7, B, A ; 23512
+ SUB *+AR7(0634Eh)%, 7, B, B ; 23513
+ SUB *+AR7(0634Fh)%, 7, B ; 23514
+ SUB *+AR7(06350h)%, 8, A, A ; 23515
+ SUB *+AR7(06351h)%, 8, A, B ; 23516
+ SUB *+AR7(06352h)%, 8, A ; 23517
+ SUB *+AR7(06353h)%, 8, B, A ; 23518
+ SUB *+AR7(06354h)%, 8, B, B ; 23519
+ SUB *+AR7(06355h)%, 8, B ; 23520
+ SUB *+AR7(06356h)%, 9, A, A ; 23521
+ SUB *+AR7(06357h)%, 9, A, B ; 23522
+ SUB *+AR7(06358h)%, 9, A ; 23523
+ SUB *+AR7(06359h)%, 9, B, A ; 23524
+ SUB *+AR7(0635Ah)%, 9, B, B ; 23525
+ SUB *+AR7(0635Bh)%, 9, B ; 23526
+ SUB *+AR7(0635Ch)%, 10, A, A ; 23527
+ SUB *+AR7(0635Dh)%, 10, A, B ; 23528
+ SUB *+AR7(0635Eh)%, 10, A ; 23529
+ SUB *+AR7(0635Fh)%, 10, B, A ; 23530
+ SUB *+AR7(06360h)%, 10, B, B ; 23531
+ SUB *+AR7(06361h)%, 10, B ; 23532
+ SUB *+AR7(06362h)%, 11, A, A ; 23533
+ SUB *+AR7(06363h)%, 11, A, B ; 23534
+ SUB *+AR7(06364h)%, 11, A ; 23535
+ SUB *+AR7(06365h)%, 11, B, A ; 23536
+ SUB *+AR7(06366h)%, 11, B, B ; 23537
+ SUB *+AR7(06367h)%, 11, B ; 23538
+ SUB *+AR7(06368h)%, 12, A, A ; 23539
+ SUB *+AR7(06369h)%, 12, A, B ; 23540
+ SUB *+AR7(0636Ah)%, 12, A ; 23541
+ SUB *+AR7(0636Bh)%, 12, B, A ; 23542
+ SUB *+AR7(0636Ch)%, 12, B, B ; 23543
+ SUB *+AR7(0636Dh)%, 12, B ; 23544
+ SUB *+AR7(0636Eh)%, 13, A, A ; 23545
+ SUB *+AR7(0636Fh)%, 13, A, B ; 23546
+ SUB *+AR7(06370h)%, 13, A ; 23547
+ SUB *+AR7(06371h)%, 13, B, A ; 23548
+ SUB *+AR7(06372h)%, 13, B, B ; 23549
+ SUB *+AR7(06373h)%, 13, B ; 23550
+ SUB *+AR7(06374h)%, 14, A, A ; 23551
+ SUB *+AR7(06375h)%, 14, A, B ; 23552
+ SUB *+AR7(06376h)%, 14, A ; 23553
+ SUB *+AR7(06377h)%, 14, B, A ; 23554
+ SUB *+AR7(06378h)%, 14, B, B ; 23555
+ SUB *+AR7(06379h)%, 14, B ; 23556
+ SUB *+AR7(0637Ah)%, 15, A, A ; 23557
+ SUB *+AR7(0637Bh)%, 15, A, B ; 23558
+ SUB *+AR7(0637Ch)%, 15, A ; 23559
+ SUB *+AR7(0637Dh)%, 15, B, A ; 23560
+ SUB *+AR7(0637Eh)%, 15, B, B ; 23561
+ SUB *+AR7(0637Fh)%, 15, B ; 23562
+ SUB *(06380h), A, A ; 23563
+ SUB *(06381h), A, B ; 23564
+ SUB *(06382h), A ; 23565
+ SUB *(06383h), B, A ; 23566
+ SUB *(06384h), B, B ; 23567
+ SUB *(06385h), B ; 23568
+ SUB *(06386h), -16, A, A ; 23569
+ SUB *(06387h), -16, A, B ; 23570
+ SUB *(06388h), -16, A ; 23571
+ SUB *(06389h), -16, B, A ; 23572
+ SUB *(0638Ah), -16, B, B ; 23573
+ SUB *(0638Bh), -16, B ; 23574
+ SUB *(0638Ch), -15, A, A ; 23575
+ SUB *(0638Dh), -15, A, B ; 23576
+ SUB *(0638Eh), -15, A ; 23577
+ SUB *(0638Fh), -15, B, A ; 23578
+ SUB *(06390h), -15, B, B ; 23579
+ SUB *(06391h), -15, B ; 23580
+ SUB *(06392h), -14, A, A ; 23581
+ SUB *(06393h), -14, A, B ; 23582
+ SUB *(06394h), -14, A ; 23583
+ SUB *(06395h), -14, B, A ; 23584
+ SUB *(06396h), -14, B, B ; 23585
+ SUB *(06397h), -14, B ; 23586
+ SUB *(06398h), -13, A, A ; 23587
+ SUB *(06399h), -13, A, B ; 23588
+ SUB *(0639Ah), -13, A ; 23589
+ SUB *(0639Bh), -13, B, A ; 23590
+ SUB *(0639Ch), -13, B, B ; 23591
+ SUB *(0639Dh), -13, B ; 23592
+ SUB *(0639Eh), -12, A, A ; 23593
+ SUB *(0639Fh), -12, A, B ; 23594
+ SUB *(063A0h), -12, A ; 23595
+ SUB *(063A1h), -12, B, A ; 23596
+ SUB *(063A2h), -12, B, B ; 23597
+ SUB *(063A3h), -12, B ; 23598
+ SUB *(063A4h), -11, A, A ; 23599
+ SUB *(063A5h), -11, A, B ; 23600
+ SUB *(063A6h), -11, A ; 23601
+ SUB *(063A7h), -11, B, A ; 23602
+ SUB *(063A8h), -11, B, B ; 23603
+ SUB *(063A9h), -11, B ; 23604
+ SUB *(063AAh), -10, A, A ; 23605
+ SUB *(063ABh), -10, A, B ; 23606
+ SUB *(063ACh), -10, A ; 23607
+ SUB *(063ADh), -10, B, A ; 23608
+ SUB *(063AEh), -10, B, B ; 23609
+ SUB *(063AFh), -10, B ; 23610
+ SUB *(063B0h), -9, A, A ; 23611
+ SUB *(063B1h), -9, A, B ; 23612
+ SUB *(063B2h), -9, A ; 23613
+ SUB *(063B3h), -9, B, A ; 23614
+ SUB *(063B4h), -9, B, B ; 23615
+ SUB *(063B5h), -9, B ; 23616
+ SUB *(063B6h), -8, A, A ; 23617
+ SUB *(063B7h), -8, A, B ; 23618
+ SUB *(063B8h), -8, A ; 23619
+ SUB *(063B9h), -8, B, A ; 23620
+ SUB *(063BAh), -8, B, B ; 23621
+ SUB *(063BBh), -8, B ; 23622
+ SUB *(063BCh), -7, A, A ; 23623
+ SUB *(063BDh), -7, A, B ; 23624
+ SUB *(063BEh), -7, A ; 23625
+ SUB *(063BFh), -7, B, A ; 23626
+ SUB *(063C0h), -7, B, B ; 23627
+ SUB *(063C1h), -7, B ; 23628
+ SUB *(063C2h), -6, A, A ; 23629
+ SUB *(063C3h), -6, A, B ; 23630
+ SUB *(063C4h), -6, A ; 23631
+ SUB *(063C5h), -6, B, A ; 23632
+ SUB *(063C6h), -6, B, B ; 23633
+ SUB *(063C7h), -6, B ; 23634
+ SUB *(063C8h), -5, A, A ; 23635
+ SUB *(063C9h), -5, A, B ; 23636
+ SUB *(063CAh), -5, A ; 23637
+ SUB *(063CBh), -5, B, A ; 23638
+ SUB *(063CCh), -5, B, B ; 23639
+ SUB *(063CDh), -5, B ; 23640
+ SUB *(063CEh), -4, A, A ; 23641
+ SUB *(063CFh), -4, A, B ; 23642
+ SUB *(063D0h), -4, A ; 23643
+ SUB *(063D1h), -4, B, A ; 23644
+ SUB *(063D2h), -4, B, B ; 23645
+ SUB *(063D3h), -4, B ; 23646
+ SUB *(063D4h), -3, A, A ; 23647
+ SUB *(063D5h), -3, A, B ; 23648
+ SUB *(063D6h), -3, A ; 23649
+ SUB *(063D7h), -3, B, A ; 23650
+ SUB *(063D8h), -3, B, B ; 23651
+ SUB *(063D9h), -3, B ; 23652
+ SUB *(063DAh), -2, A, A ; 23653
+ SUB *(063DBh), -2, A, B ; 23654
+ SUB *(063DCh), -2, A ; 23655
+ SUB *(063DDh), -2, B, A ; 23656
+ SUB *(063DEh), -2, B, B ; 23657
+ SUB *(063DFh), -2, B ; 23658
+ SUB *(063E0h), -1, A, A ; 23659
+ SUB *(063E1h), -1, A, B ; 23660
+ SUB *(063E2h), -1, A ; 23661
+ SUB *(063E3h), -1, B, A ; 23662
+ SUB *(063E4h), -1, B, B ; 23663
+ SUB *(063E5h), -1, B ; 23664
+ SUB *(063E6h), 0, A, A ; 23665
+ SUB *(063E7h), 0, A, B ; 23666
+ SUB *(063E8h), 0, A ; 23667
+ SUB *(063E9h), 0, B, A ; 23668
+ SUB *(063EAh), 0, B, B ; 23669
+ SUB *(063EBh), 0, B ; 23670
+ SUB *(063ECh), 1, A, A ; 23671
+ SUB *(063EDh), 1, A, B ; 23672
+ SUB *(063EEh), 1, A ; 23673
+ SUB *(063EFh), 1, B, A ; 23674
+ SUB *(063F0h), 1, B, B ; 23675
+ SUB *(063F1h), 1, B ; 23676
+ SUB *(063F2h), 2, A, A ; 23677
+ SUB *(063F3h), 2, A, B ; 23678
+ SUB *(063F4h), 2, A ; 23679
+ SUB *(063F5h), 2, B, A ; 23680
+ SUB *(063F6h), 2, B, B ; 23681
+ SUB *(063F7h), 2, B ; 23682
+ SUB *(063F8h), 3, A, A ; 23683
+ SUB *(063F9h), 3, A, B ; 23684
+ SUB *(063FAh), 3, A ; 23685
+ SUB *(063FBh), 3, B, A ; 23686
+ SUB *(063FCh), 3, B, B ; 23687
+ SUB *(063FDh), 3, B ; 23688
+ SUB *(063FEh), 4, A, A ; 23689
+ SUB *(063FFh), 4, A, B ; 23690
+ SUB *(06400h), 4, A ; 23691
+ SUB *(06401h), 4, B, A ; 23692
+ SUB *(06402h), 4, B, B ; 23693
+ SUB *(06403h), 4, B ; 23694
+ SUB *(06404h), 5, A, A ; 23695
+ SUB *(06405h), 5, A, B ; 23696
+ SUB *(06406h), 5, A ; 23697
+ SUB *(06407h), 5, B, A ; 23698
+ SUB *(06408h), 5, B, B ; 23699
+ SUB *(06409h), 5, B ; 23700
+ SUB *(0640Ah), 6, A, A ; 23701
+ SUB *(0640Bh), 6, A, B ; 23702
+ SUB *(0640Ch), 6, A ; 23703
+ SUB *(0640Dh), 6, B, A ; 23704
+ SUB *(0640Eh), 6, B, B ; 23705
+ SUB *(0640Fh), 6, B ; 23706
+ SUB *(06410h), 7, A, A ; 23707
+ SUB *(06411h), 7, A, B ; 23708
+ SUB *(06412h), 7, A ; 23709
+ SUB *(06413h), 7, B, A ; 23710
+ SUB *(06414h), 7, B, B ; 23711
+ SUB *(06415h), 7, B ; 23712
+ SUB *(06416h), 8, A, A ; 23713
+ SUB *(06417h), 8, A, B ; 23714
+ SUB *(06418h), 8, A ; 23715
+ SUB *(06419h), 8, B, A ; 23716
+ SUB *(0641Ah), 8, B, B ; 23717
+ SUB *(0641Bh), 8, B ; 23718
+ SUB *(0641Ch), 9, A, A ; 23719
+ SUB *(0641Dh), 9, A, B ; 23720
+ SUB *(0641Eh), 9, A ; 23721
+ SUB *(0641Fh), 9, B, A ; 23722
+ SUB *(06420h), 9, B, B ; 23723
+ SUB *(06421h), 9, B ; 23724
+ SUB *(06422h), 10, A, A ; 23725
+ SUB *(06423h), 10, A, B ; 23726
+ SUB *(06424h), 10, A ; 23727
+ SUB *(06425h), 10, B, A ; 23728
+ SUB *(06426h), 10, B, B ; 23729
+ SUB *(06427h), 10, B ; 23730
+ SUB *(06428h), 11, A, A ; 23731
+ SUB *(06429h), 11, A, B ; 23732
+ SUB *(0642Ah), 11, A ; 23733
+ SUB *(0642Bh), 11, B, A ; 23734
+ SUB *(0642Ch), 11, B, B ; 23735
+ SUB *(0642Dh), 11, B ; 23736
+ SUB *(0642Eh), 12, A, A ; 23737
+ SUB *(0642Fh), 12, A, B ; 23738
+ SUB *(06430h), 12, A ; 23739
+ SUB *(06431h), 12, B, A ; 23740
+ SUB *(06432h), 12, B, B ; 23741
+ SUB *(06433h), 12, B ; 23742
+ SUB *(06434h), 13, A, A ; 23743
+ SUB *(06435h), 13, A, B ; 23744
+ SUB *(06436h), 13, A ; 23745
+ SUB *(06437h), 13, B, A ; 23746
+ SUB *(06438h), 13, B, B ; 23747
+ SUB *(06439h), 13, B ; 23748
+ SUB *(0643Ah), 14, A, A ; 23749
+ SUB *(0643Bh), 14, A, B ; 23750
+ SUB *(0643Ch), 14, A ; 23751
+ SUB *(0643Dh), 14, B, A ; 23752
+ SUB *(0643Eh), 14, B, B ; 23753
+ SUB *(0643Fh), 14, B ; 23754
+ SUB *(06440h), 15, A, A ; 23755
+ SUB *(06441h), 15, A, B ; 23756
+ SUB *(06442h), 15, A ; 23757
+ SUB *(06443h), 15, B, A ; 23758
+ SUB *(06444h), 15, B, B ; 23759
+ SUB *(06445h), 15, B ; 23760
+ ; SUBsmem_I : SUB Smem_I, AB : 240
+ SUB *AR0, A ; 1
+ SUB *AR0, B ; 2
+ SUB *AR0-, A ; 3
+ SUB *AR0-, B ; 4
+ SUB *AR0+, A ; 5
+ SUB *AR0+, B ; 6
+ SUB *AR0-0B, A ; 7
+ SUB *AR0-0B, B ; 8
+ SUB *AR0-0, A ; 9
+ SUB *AR0-0, B ; 10
+ SUB *AR0+0, A ; 11
+ SUB *AR0+0, B ; 12
+ SUB *AR0+0B, A ; 13
+ SUB *AR0+0B, B ; 14
+ SUB *AR0-%, A ; 15
+ SUB *AR0-%, B ; 16
+ SUB *AR0-0%, A ; 17
+ SUB *AR0-0%, B ; 18
+ SUB *AR0+%, A ; 19
+ SUB *AR0+%, B ; 20
+ SUB *AR0+0%, A ; 21
+ SUB *AR0+0%, B ; 22
+ SUB *AR0(06446h), A ; 23
+ SUB *AR0(06447h), B ; 24
+ SUB *+AR0(06448h), A ; 25
+ SUB *+AR0(06449h), B ; 26
+ SUB *+AR0(0644Ah)%, A ; 27
+ SUB *+AR0(0644Bh)%, B ; 28
+ SUB *(0644Ch), A ; 29
+ SUB *(0644Dh), B ; 30
+ SUB *AR1, A ; 31
+ SUB *AR1, B ; 32
+ SUB *AR1-, A ; 33
+ SUB *AR1-, B ; 34
+ SUB *AR1+, A ; 35
+ SUB *AR1+, B ; 36
+ SUB *AR1-0B, A ; 37
+ SUB *AR1-0B, B ; 38
+ SUB *AR1-0, A ; 39
+ SUB *AR1-0, B ; 40
+ SUB *AR1+0, A ; 41
+ SUB *AR1+0, B ; 42
+ SUB *AR1+0B, A ; 43
+ SUB *AR1+0B, B ; 44
+ SUB *AR1-%, A ; 45
+ SUB *AR1-%, B ; 46
+ SUB *AR1-0%, A ; 47
+ SUB *AR1-0%, B ; 48
+ SUB *AR1+%, A ; 49
+ SUB *AR1+%, B ; 50
+ SUB *AR1+0%, A ; 51
+ SUB *AR1+0%, B ; 52
+ SUB *AR1(0644Eh), A ; 53
+ SUB *AR1(0644Fh), B ; 54
+ SUB *+AR1(06450h), A ; 55
+ SUB *+AR1(06451h), B ; 56
+ SUB *+AR1(06452h)%, A ; 57
+ SUB *+AR1(06453h)%, B ; 58
+ SUB *(06454h), A ; 59
+ SUB *(06455h), B ; 60
+ SUB *AR2, A ; 61
+ SUB *AR2, B ; 62
+ SUB *AR2-, A ; 63
+ SUB *AR2-, B ; 64
+ SUB *AR2+, A ; 65
+ SUB *AR2+, B ; 66
+ SUB *AR2-0B, A ; 67
+ SUB *AR2-0B, B ; 68
+ SUB *AR2-0, A ; 69
+ SUB *AR2-0, B ; 70
+ SUB *AR2+0, A ; 71
+ SUB *AR2+0, B ; 72
+ SUB *AR2+0B, A ; 73
+ SUB *AR2+0B, B ; 74
+ SUB *AR2-%, A ; 75
+ SUB *AR2-%, B ; 76
+ SUB *AR2-0%, A ; 77
+ SUB *AR2-0%, B ; 78
+ SUB *AR2+%, A ; 79
+ SUB *AR2+%, B ; 80
+ SUB *AR2+0%, A ; 81
+ SUB *AR2+0%, B ; 82
+ SUB *AR2(06456h), A ; 83
+ SUB *AR2(06457h), B ; 84
+ SUB *+AR2(06458h), A ; 85
+ SUB *+AR2(06459h), B ; 86
+ SUB *+AR2(0645Ah)%, A ; 87
+ SUB *+AR2(0645Bh)%, B ; 88
+ SUB *(0645Ch), A ; 89
+ SUB *(0645Dh), B ; 90
+ SUB *AR3, A ; 91
+ SUB *AR3, B ; 92
+ SUB *AR3-, A ; 93
+ SUB *AR3-, B ; 94
+ SUB *AR3+, A ; 95
+ SUB *AR3+, B ; 96
+ SUB *AR3-0B, A ; 97
+ SUB *AR3-0B, B ; 98
+ SUB *AR3-0, A ; 99
+ SUB *AR3-0, B ; 100
+ SUB *AR3+0, A ; 101
+ SUB *AR3+0, B ; 102
+ SUB *AR3+0B, A ; 103
+ SUB *AR3+0B, B ; 104
+ SUB *AR3-%, A ; 105
+ SUB *AR3-%, B ; 106
+ SUB *AR3-0%, A ; 107
+ SUB *AR3-0%, B ; 108
+ SUB *AR3+%, A ; 109
+ SUB *AR3+%, B ; 110
+ SUB *AR3+0%, A ; 111
+ SUB *AR3+0%, B ; 112
+ SUB *AR3(0645Eh), A ; 113
+ SUB *AR3(0645Fh), B ; 114
+ SUB *+AR3(06460h), A ; 115
+ SUB *+AR3(06461h), B ; 116
+ SUB *+AR3(06462h)%, A ; 117
+ SUB *+AR3(06463h)%, B ; 118
+ SUB *(06464h), A ; 119
+ SUB *(06465h), B ; 120
+ SUB *AR4, A ; 121
+ SUB *AR4, B ; 122
+ SUB *AR4-, A ; 123
+ SUB *AR4-, B ; 124
+ SUB *AR4+, A ; 125
+ SUB *AR4+, B ; 126
+ SUB *AR4-0B, A ; 127
+ SUB *AR4-0B, B ; 128
+ SUB *AR4-0, A ; 129
+ SUB *AR4-0, B ; 130
+ SUB *AR4+0, A ; 131
+ SUB *AR4+0, B ; 132
+ SUB *AR4+0B, A ; 133
+ SUB *AR4+0B, B ; 134
+ SUB *AR4-%, A ; 135
+ SUB *AR4-%, B ; 136
+ SUB *AR4-0%, A ; 137
+ SUB *AR4-0%, B ; 138
+ SUB *AR4+%, A ; 139
+ SUB *AR4+%, B ; 140
+ SUB *AR4+0%, A ; 141
+ SUB *AR4+0%, B ; 142
+ SUB *AR4(06466h), A ; 143
+ SUB *AR4(06467h), B ; 144
+ SUB *+AR4(06468h), A ; 145
+ SUB *+AR4(06469h), B ; 146
+ SUB *+AR4(0646Ah)%, A ; 147
+ SUB *+AR4(0646Bh)%, B ; 148
+ SUB *(0646Ch), A ; 149
+ SUB *(0646Dh), B ; 150
+ SUB *AR5, A ; 151
+ SUB *AR5, B ; 152
+ SUB *AR5-, A ; 153
+ SUB *AR5-, B ; 154
+ SUB *AR5+, A ; 155
+ SUB *AR5+, B ; 156
+ SUB *AR5-0B, A ; 157
+ SUB *AR5-0B, B ; 158
+ SUB *AR5-0, A ; 159
+ SUB *AR5-0, B ; 160
+ SUB *AR5+0, A ; 161
+ SUB *AR5+0, B ; 162
+ SUB *AR5+0B, A ; 163
+ SUB *AR5+0B, B ; 164
+ SUB *AR5-%, A ; 165
+ SUB *AR5-%, B ; 166
+ SUB *AR5-0%, A ; 167
+ SUB *AR5-0%, B ; 168
+ SUB *AR5+%, A ; 169
+ SUB *AR5+%, B ; 170
+ SUB *AR5+0%, A ; 171
+ SUB *AR5+0%, B ; 172
+ SUB *AR5(0646Eh), A ; 173
+ SUB *AR5(0646Fh), B ; 174
+ SUB *+AR5(06470h), A ; 175
+ SUB *+AR5(06471h), B ; 176
+ SUB *+AR5(06472h)%, A ; 177
+ SUB *+AR5(06473h)%, B ; 178
+ SUB *(06474h), A ; 179
+ SUB *(06475h), B ; 180
+ SUB *AR6, A ; 181
+ SUB *AR6, B ; 182
+ SUB *AR6-, A ; 183
+ SUB *AR6-, B ; 184
+ SUB *AR6+, A ; 185
+ SUB *AR6+, B ; 186
+ SUB *AR6-0B, A ; 187
+ SUB *AR6-0B, B ; 188
+ SUB *AR6-0, A ; 189
+ SUB *AR6-0, B ; 190
+ SUB *AR6+0, A ; 191
+ SUB *AR6+0, B ; 192
+ SUB *AR6+0B, A ; 193
+ SUB *AR6+0B, B ; 194
+ SUB *AR6-%, A ; 195
+ SUB *AR6-%, B ; 196
+ SUB *AR6-0%, A ; 197
+ SUB *AR6-0%, B ; 198
+ SUB *AR6+%, A ; 199
+ SUB *AR6+%, B ; 200
+ SUB *AR6+0%, A ; 201
+ SUB *AR6+0%, B ; 202
+ SUB *AR6(06476h), A ; 203
+ SUB *AR6(06477h), B ; 204
+ SUB *+AR6(06478h), A ; 205
+ SUB *+AR6(06479h), B ; 206
+ SUB *+AR6(0647Ah)%, A ; 207
+ SUB *+AR6(0647Bh)%, B ; 208
+ SUB *(0647Ch), A ; 209
+ SUB *(0647Dh), B ; 210
+ SUB *AR7, A ; 211
+ SUB *AR7, B ; 212
+ SUB *AR7-, A ; 213
+ SUB *AR7-, B ; 214
+ SUB *AR7+, A ; 215
+ SUB *AR7+, B ; 216
+ SUB *AR7-0B, A ; 217
+ SUB *AR7-0B, B ; 218
+ SUB *AR7-0, A ; 219
+ SUB *AR7-0, B ; 220
+ SUB *AR7+0, A ; 221
+ SUB *AR7+0, B ; 222
+ SUB *AR7+0B, A ; 223
+ SUB *AR7+0B, B ; 224
+ SUB *AR7-%, A ; 225
+ SUB *AR7-%, B ; 226
+ SUB *AR7-0%, A ; 227
+ SUB *AR7-0%, B ; 228
+ SUB *AR7+%, A ; 229
+ SUB *AR7+%, B ; 230
+ SUB *AR7+0%, A ; 231
+ SUB *AR7+0%, B ; 232
+ SUB *AR7(0647Eh), A ; 233
+ SUB *AR7(0647Fh), B ; 234
+ SUB *+AR7(06480h), A ; 235
+ SUB *+AR7(06481h), B ; 236
+ SUB *+AR7(06482h)%, A ; 237
+ SUB *+AR7(06483h)%, B ; 238
+ SUB *(06484h), A ; 239
+ SUB *(06485h), B ; 240
+ ; SUBts : SUB Smem, TS, AB : 2
+ SUB 64h, TS, A ; 1
+ SUB 65h, TS, B ; 2
+ ; SUBts_I : SUB Smem_I, TS, AB : 240
+ SUB *AR0, TS, A ; 1
+ SUB *AR0, TS, B ; 2
+ SUB *AR0-, TS, A ; 3
+ SUB *AR0-, TS, B ; 4
+ SUB *AR0+, TS, A ; 5
+ SUB *AR0+, TS, B ; 6
+ SUB *AR0-0B, TS, A ; 7
+ SUB *AR0-0B, TS, B ; 8
+ SUB *AR0-0, TS, A ; 9
+ SUB *AR0-0, TS, B ; 10
+ SUB *AR0+0, TS, A ; 11
+ SUB *AR0+0, TS, B ; 12
+ SUB *AR0+0B, TS, A ; 13
+ SUB *AR0+0B, TS, B ; 14
+ SUB *AR0-%, TS, A ; 15
+ SUB *AR0-%, TS, B ; 16
+ SUB *AR0-0%, TS, A ; 17
+ SUB *AR0-0%, TS, B ; 18
+ SUB *AR0+%, TS, A ; 19
+ SUB *AR0+%, TS, B ; 20
+ SUB *AR0+0%, TS, A ; 21
+ SUB *AR0+0%, TS, B ; 22
+ SUB *AR0(06486h), TS, A ; 23
+ SUB *AR0(06487h), TS, B ; 24
+ SUB *+AR0(06488h), TS, A ; 25
+ SUB *+AR0(06489h), TS, B ; 26
+ SUB *+AR0(0648Ah)%, TS, A ; 27
+ SUB *+AR0(0648Bh)%, TS, B ; 28
+ SUB *(0648Ch), TS, A ; 29
+ SUB *(0648Dh), TS, B ; 30
+ SUB *AR1, TS, A ; 31
+ SUB *AR1, TS, B ; 32
+ SUB *AR1-, TS, A ; 33
+ SUB *AR1-, TS, B ; 34
+ SUB *AR1+, TS, A ; 35
+ SUB *AR1+, TS, B ; 36
+ SUB *AR1-0B, TS, A ; 37
+ SUB *AR1-0B, TS, B ; 38
+ SUB *AR1-0, TS, A ; 39
+ SUB *AR1-0, TS, B ; 40
+ SUB *AR1+0, TS, A ; 41
+ SUB *AR1+0, TS, B ; 42
+ SUB *AR1+0B, TS, A ; 43
+ SUB *AR1+0B, TS, B ; 44
+ SUB *AR1-%, TS, A ; 45
+ SUB *AR1-%, TS, B ; 46
+ SUB *AR1-0%, TS, A ; 47
+ SUB *AR1-0%, TS, B ; 48
+ SUB *AR1+%, TS, A ; 49
+ SUB *AR1+%, TS, B ; 50
+ SUB *AR1+0%, TS, A ; 51
+ SUB *AR1+0%, TS, B ; 52
+ SUB *AR1(0648Eh), TS, A ; 53
+ SUB *AR1(0648Fh), TS, B ; 54
+ SUB *+AR1(06490h), TS, A ; 55
+ SUB *+AR1(06491h), TS, B ; 56
+ SUB *+AR1(06492h)%, TS, A ; 57
+ SUB *+AR1(06493h)%, TS, B ; 58
+ SUB *(06494h), TS, A ; 59
+ SUB *(06495h), TS, B ; 60
+ SUB *AR2, TS, A ; 61
+ SUB *AR2, TS, B ; 62
+ SUB *AR2-, TS, A ; 63
+ SUB *AR2-, TS, B ; 64
+ SUB *AR2+, TS, A ; 65
+ SUB *AR2+, TS, B ; 66
+ SUB *AR2-0B, TS, A ; 67
+ SUB *AR2-0B, TS, B ; 68
+ SUB *AR2-0, TS, A ; 69
+ SUB *AR2-0, TS, B ; 70
+ SUB *AR2+0, TS, A ; 71
+ SUB *AR2+0, TS, B ; 72
+ SUB *AR2+0B, TS, A ; 73
+ SUB *AR2+0B, TS, B ; 74
+ SUB *AR2-%, TS, A ; 75
+ SUB *AR2-%, TS, B ; 76
+ SUB *AR2-0%, TS, A ; 77
+ SUB *AR2-0%, TS, B ; 78
+ SUB *AR2+%, TS, A ; 79
+ SUB *AR2+%, TS, B ; 80
+ SUB *AR2+0%, TS, A ; 81
+ SUB *AR2+0%, TS, B ; 82
+ SUB *AR2(06496h), TS, A ; 83
+ SUB *AR2(06497h), TS, B ; 84
+ SUB *+AR2(06498h), TS, A ; 85
+ SUB *+AR2(06499h), TS, B ; 86
+ SUB *+AR2(0649Ah)%, TS, A ; 87
+ SUB *+AR2(0649Bh)%, TS, B ; 88
+ SUB *(0649Ch), TS, A ; 89
+ SUB *(0649Dh), TS, B ; 90
+ SUB *AR3, TS, A ; 91
+ SUB *AR3, TS, B ; 92
+ SUB *AR3-, TS, A ; 93
+ SUB *AR3-, TS, B ; 94
+ SUB *AR3+, TS, A ; 95
+ SUB *AR3+, TS, B ; 96
+ SUB *AR3-0B, TS, A ; 97
+ SUB *AR3-0B, TS, B ; 98
+ SUB *AR3-0, TS, A ; 99
+ SUB *AR3-0, TS, B ; 100
+ SUB *AR3+0, TS, A ; 101
+ SUB *AR3+0, TS, B ; 102
+ SUB *AR3+0B, TS, A ; 103
+ SUB *AR3+0B, TS, B ; 104
+ SUB *AR3-%, TS, A ; 105
+ SUB *AR3-%, TS, B ; 106
+ SUB *AR3-0%, TS, A ; 107
+ SUB *AR3-0%, TS, B ; 108
+ SUB *AR3+%, TS, A ; 109
+ SUB *AR3+%, TS, B ; 110
+ SUB *AR3+0%, TS, A ; 111
+ SUB *AR3+0%, TS, B ; 112
+ SUB *AR3(0649Eh), TS, A ; 113
+ SUB *AR3(0649Fh), TS, B ; 114
+ SUB *+AR3(064A0h), TS, A ; 115
+ SUB *+AR3(064A1h), TS, B ; 116
+ SUB *+AR3(064A2h)%, TS, A ; 117
+ SUB *+AR3(064A3h)%, TS, B ; 118
+ SUB *(064A4h), TS, A ; 119
+ SUB *(064A5h), TS, B ; 120
+ SUB *AR4, TS, A ; 121
+ SUB *AR4, TS, B ; 122
+ SUB *AR4-, TS, A ; 123
+ SUB *AR4-, TS, B ; 124
+ SUB *AR4+, TS, A ; 125
+ SUB *AR4+, TS, B ; 126
+ SUB *AR4-0B, TS, A ; 127
+ SUB *AR4-0B, TS, B ; 128
+ SUB *AR4-0, TS, A ; 129
+ SUB *AR4-0, TS, B ; 130
+ SUB *AR4+0, TS, A ; 131
+ SUB *AR4+0, TS, B ; 132
+ SUB *AR4+0B, TS, A ; 133
+ SUB *AR4+0B, TS, B ; 134
+ SUB *AR4-%, TS, A ; 135
+ SUB *AR4-%, TS, B ; 136
+ SUB *AR4-0%, TS, A ; 137
+ SUB *AR4-0%, TS, B ; 138
+ SUB *AR4+%, TS, A ; 139
+ SUB *AR4+%, TS, B ; 140
+ SUB *AR4+0%, TS, A ; 141
+ SUB *AR4+0%, TS, B ; 142
+ SUB *AR4(064A6h), TS, A ; 143
+ SUB *AR4(064A7h), TS, B ; 144
+ SUB *+AR4(064A8h), TS, A ; 145
+ SUB *+AR4(064A9h), TS, B ; 146
+ SUB *+AR4(064AAh)%, TS, A ; 147
+ SUB *+AR4(064ABh)%, TS, B ; 148
+ SUB *(064ACh), TS, A ; 149
+ SUB *(064ADh), TS, B ; 150
+ SUB *AR5, TS, A ; 151
+ SUB *AR5, TS, B ; 152
+ SUB *AR5-, TS, A ; 153
+ SUB *AR5-, TS, B ; 154
+ SUB *AR5+, TS, A ; 155
+ SUB *AR5+, TS, B ; 156
+ SUB *AR5-0B, TS, A ; 157
+ SUB *AR5-0B, TS, B ; 158
+ SUB *AR5-0, TS, A ; 159
+ SUB *AR5-0, TS, B ; 160
+ SUB *AR5+0, TS, A ; 161
+ SUB *AR5+0, TS, B ; 162
+ SUB *AR5+0B, TS, A ; 163
+ SUB *AR5+0B, TS, B ; 164
+ SUB *AR5-%, TS, A ; 165
+ SUB *AR5-%, TS, B ; 166
+ SUB *AR5-0%, TS, A ; 167
+ SUB *AR5-0%, TS, B ; 168
+ SUB *AR5+%, TS, A ; 169
+ SUB *AR5+%, TS, B ; 170
+ SUB *AR5+0%, TS, A ; 171
+ SUB *AR5+0%, TS, B ; 172
+ SUB *AR5(064AEh), TS, A ; 173
+ SUB *AR5(064AFh), TS, B ; 174
+ SUB *+AR5(064B0h), TS, A ; 175
+ SUB *+AR5(064B1h), TS, B ; 176
+ SUB *+AR5(064B2h)%, TS, A ; 177
+ SUB *+AR5(064B3h)%, TS, B ; 178
+ SUB *(064B4h), TS, A ; 179
+ SUB *(064B5h), TS, B ; 180
+ SUB *AR6, TS, A ; 181
+ SUB *AR6, TS, B ; 182
+ SUB *AR6-, TS, A ; 183
+ SUB *AR6-, TS, B ; 184
+ SUB *AR6+, TS, A ; 185
+ SUB *AR6+, TS, B ; 186
+ SUB *AR6-0B, TS, A ; 187
+ SUB *AR6-0B, TS, B ; 188
+ SUB *AR6-0, TS, A ; 189
+ SUB *AR6-0, TS, B ; 190
+ SUB *AR6+0, TS, A ; 191
+ SUB *AR6+0, TS, B ; 192
+ SUB *AR6+0B, TS, A ; 193
+ SUB *AR6+0B, TS, B ; 194
+ SUB *AR6-%, TS, A ; 195
+ SUB *AR6-%, TS, B ; 196
+ SUB *AR6-0%, TS, A ; 197
+ SUB *AR6-0%, TS, B ; 198
+ SUB *AR6+%, TS, A ; 199
+ SUB *AR6+%, TS, B ; 200
+ SUB *AR6+0%, TS, A ; 201
+ SUB *AR6+0%, TS, B ; 202
+ SUB *AR6(064B6h), TS, A ; 203
+ SUB *AR6(064B7h), TS, B ; 204
+ SUB *+AR6(064B8h), TS, A ; 205
+ SUB *+AR6(064B9h), TS, B ; 206
+ SUB *+AR6(064BAh)%, TS, A ; 207
+ SUB *+AR6(064BBh)%, TS, B ; 208
+ SUB *(064BCh), TS, A ; 209
+ SUB *(064BDh), TS, B ; 210
+ SUB *AR7, TS, A ; 211
+ SUB *AR7, TS, B ; 212
+ SUB *AR7-, TS, A ; 213
+ SUB *AR7-, TS, B ; 214
+ SUB *AR7+, TS, A ; 215
+ SUB *AR7+, TS, B ; 216
+ SUB *AR7-0B, TS, A ; 217
+ SUB *AR7-0B, TS, B ; 218
+ SUB *AR7-0, TS, A ; 219
+ SUB *AR7-0, TS, B ; 220
+ SUB *AR7+0, TS, A ; 221
+ SUB *AR7+0, TS, B ; 222
+ SUB *AR7+0B, TS, A ; 223
+ SUB *AR7+0B, TS, B ; 224
+ SUB *AR7-%, TS, A ; 225
+ SUB *AR7-%, TS, B ; 226
+ SUB *AR7-0%, TS, A ; 227
+ SUB *AR7-0%, TS, B ; 228
+ SUB *AR7+%, TS, A ; 229
+ SUB *AR7+%, TS, B ; 230
+ SUB *AR7+0%, TS, A ; 231
+ SUB *AR7+0%, TS, B ; 232
+ SUB *AR7(064BEh), TS, A ; 233
+ SUB *AR7(064BFh), TS, B ; 234
+ SUB *+AR7(064C0h), TS, A ; 235
+ SUB *+AR7(064C1h), TS, B ; 236
+ SUB *+AR7(064C2h)%, TS, A ; 237
+ SUB *+AR7(064C3h)%, TS, B ; 238
+ SUB *(064C4h), TS, A ; 239
+ SUB *(064C5h), TS, B ; 240
+ ; SUBxmShift : SUB Xmem, SHFT, AB : 512
+ SUB *AR2, 0, A ; 1
+ SUB *AR2, 0, B ; 2
+ SUB *AR2, 1, A ; 3
+ SUB *AR2, 1, B ; 4
+ SUB *AR2, 2, A ; 5
+ SUB *AR2, 2, B ; 6
+ SUB *AR2, 3, A ; 7
+ SUB *AR2, 3, B ; 8
+ SUB *AR2, 4, A ; 9
+ SUB *AR2, 4, B ; 10
+ SUB *AR2, 5, A ; 11
+ SUB *AR2, 5, B ; 12
+ SUB *AR2, 6, A ; 13
+ SUB *AR2, 6, B ; 14
+ SUB *AR2, 7, A ; 15
+ SUB *AR2, 7, B ; 16
+ SUB *AR2, 8, A ; 17
+ SUB *AR2, 8, B ; 18
+ SUB *AR2, 9, A ; 19
+ SUB *AR2, 9, B ; 20
+ SUB *AR2, 10, A ; 21
+ SUB *AR2, 10, B ; 22
+ SUB *AR2, 11, A ; 23
+ SUB *AR2, 11, B ; 24
+ SUB *AR2, 12, A ; 25
+ SUB *AR2, 12, B ; 26
+ SUB *AR2, 13, A ; 27
+ SUB *AR2, 13, B ; 28
+ SUB *AR2, 14, A ; 29
+ SUB *AR2, 14, B ; 30
+ SUB *AR2, 15, A ; 31
+ SUB *AR2, 15, B ; 32
+ SUB *AR2-, 0, A ; 33
+ SUB *AR2-, 0, B ; 34
+ SUB *AR2-, 1, A ; 35
+ SUB *AR2-, 1, B ; 36
+ SUB *AR2-, 2, A ; 37
+ SUB *AR2-, 2, B ; 38
+ SUB *AR2-, 3, A ; 39
+ SUB *AR2-, 3, B ; 40
+ SUB *AR2-, 4, A ; 41
+ SUB *AR2-, 4, B ; 42
+ SUB *AR2-, 5, A ; 43
+ SUB *AR2-, 5, B ; 44
+ SUB *AR2-, 6, A ; 45
+ SUB *AR2-, 6, B ; 46
+ SUB *AR2-, 7, A ; 47
+ SUB *AR2-, 7, B ; 48
+ SUB *AR2-, 8, A ; 49
+ SUB *AR2-, 8, B ; 50
+ SUB *AR2-, 9, A ; 51
+ SUB *AR2-, 9, B ; 52
+ SUB *AR2-, 10, A ; 53
+ SUB *AR2-, 10, B ; 54
+ SUB *AR2-, 11, A ; 55
+ SUB *AR2-, 11, B ; 56
+ SUB *AR2-, 12, A ; 57
+ SUB *AR2-, 12, B ; 58
+ SUB *AR2-, 13, A ; 59
+ SUB *AR2-, 13, B ; 60
+ SUB *AR2-, 14, A ; 61
+ SUB *AR2-, 14, B ; 62
+ SUB *AR2-, 15, A ; 63
+ SUB *AR2-, 15, B ; 64
+ SUB *AR2+, 0, A ; 65
+ SUB *AR2+, 0, B ; 66
+ SUB *AR2+, 1, A ; 67
+ SUB *AR2+, 1, B ; 68
+ SUB *AR2+, 2, A ; 69
+ SUB *AR2+, 2, B ; 70
+ SUB *AR2+, 3, A ; 71
+ SUB *AR2+, 3, B ; 72
+ SUB *AR2+, 4, A ; 73
+ SUB *AR2+, 4, B ; 74
+ SUB *AR2+, 5, A ; 75
+ SUB *AR2+, 5, B ; 76
+ SUB *AR2+, 6, A ; 77
+ SUB *AR2+, 6, B ; 78
+ SUB *AR2+, 7, A ; 79
+ SUB *AR2+, 7, B ; 80
+ SUB *AR2+, 8, A ; 81
+ SUB *AR2+, 8, B ; 82
+ SUB *AR2+, 9, A ; 83
+ SUB *AR2+, 9, B ; 84
+ SUB *AR2+, 10, A ; 85
+ SUB *AR2+, 10, B ; 86
+ SUB *AR2+, 11, A ; 87
+ SUB *AR2+, 11, B ; 88
+ SUB *AR2+, 12, A ; 89
+ SUB *AR2+, 12, B ; 90
+ SUB *AR2+, 13, A ; 91
+ SUB *AR2+, 13, B ; 92
+ SUB *AR2+, 14, A ; 93
+ SUB *AR2+, 14, B ; 94
+ SUB *AR2+, 15, A ; 95
+ SUB *AR2+, 15, B ; 96
+ SUB *AR2+0%, 0, A ; 97
+ SUB *AR2+0%, 0, B ; 98
+ SUB *AR2+0%, 1, A ; 99
+ SUB *AR2+0%, 1, B ; 100
+ SUB *AR2+0%, 2, A ; 101
+ SUB *AR2+0%, 2, B ; 102
+ SUB *AR2+0%, 3, A ; 103
+ SUB *AR2+0%, 3, B ; 104
+ SUB *AR2+0%, 4, A ; 105
+ SUB *AR2+0%, 4, B ; 106
+ SUB *AR2+0%, 5, A ; 107
+ SUB *AR2+0%, 5, B ; 108
+ SUB *AR2+0%, 6, A ; 109
+ SUB *AR2+0%, 6, B ; 110
+ SUB *AR2+0%, 7, A ; 111
+ SUB *AR2+0%, 7, B ; 112
+ SUB *AR2+0%, 8, A ; 113
+ SUB *AR2+0%, 8, B ; 114
+ SUB *AR2+0%, 9, A ; 115
+ SUB *AR2+0%, 9, B ; 116
+ SUB *AR2+0%, 10, A ; 117
+ SUB *AR2+0%, 10, B ; 118
+ SUB *AR2+0%, 11, A ; 119
+ SUB *AR2+0%, 11, B ; 120
+ SUB *AR2+0%, 12, A ; 121
+ SUB *AR2+0%, 12, B ; 122
+ SUB *AR2+0%, 13, A ; 123
+ SUB *AR2+0%, 13, B ; 124
+ SUB *AR2+0%, 14, A ; 125
+ SUB *AR2+0%, 14, B ; 126
+ SUB *AR2+0%, 15, A ; 127
+ SUB *AR2+0%, 15, B ; 128
+ SUB *AR3, 0, A ; 129
+ SUB *AR3, 0, B ; 130
+ SUB *AR3, 1, A ; 131
+ SUB *AR3, 1, B ; 132
+ SUB *AR3, 2, A ; 133
+ SUB *AR3, 2, B ; 134
+ SUB *AR3, 3, A ; 135
+ SUB *AR3, 3, B ; 136
+ SUB *AR3, 4, A ; 137
+ SUB *AR3, 4, B ; 138
+ SUB *AR3, 5, A ; 139
+ SUB *AR3, 5, B ; 140
+ SUB *AR3, 6, A ; 141
+ SUB *AR3, 6, B ; 142
+ SUB *AR3, 7, A ; 143
+ SUB *AR3, 7, B ; 144
+ SUB *AR3, 8, A ; 145
+ SUB *AR3, 8, B ; 146
+ SUB *AR3, 9, A ; 147
+ SUB *AR3, 9, B ; 148
+ SUB *AR3, 10, A ; 149
+ SUB *AR3, 10, B ; 150
+ SUB *AR3, 11, A ; 151
+ SUB *AR3, 11, B ; 152
+ SUB *AR3, 12, A ; 153
+ SUB *AR3, 12, B ; 154
+ SUB *AR3, 13, A ; 155
+ SUB *AR3, 13, B ; 156
+ SUB *AR3, 14, A ; 157
+ SUB *AR3, 14, B ; 158
+ SUB *AR3, 15, A ; 159
+ SUB *AR3, 15, B ; 160
+ SUB *AR3-, 0, A ; 161
+ SUB *AR3-, 0, B ; 162
+ SUB *AR3-, 1, A ; 163
+ SUB *AR3-, 1, B ; 164
+ SUB *AR3-, 2, A ; 165
+ SUB *AR3-, 2, B ; 166
+ SUB *AR3-, 3, A ; 167
+ SUB *AR3-, 3, B ; 168
+ SUB *AR3-, 4, A ; 169
+ SUB *AR3-, 4, B ; 170
+ SUB *AR3-, 5, A ; 171
+ SUB *AR3-, 5, B ; 172
+ SUB *AR3-, 6, A ; 173
+ SUB *AR3-, 6, B ; 174
+ SUB *AR3-, 7, A ; 175
+ SUB *AR3-, 7, B ; 176
+ SUB *AR3-, 8, A ; 177
+ SUB *AR3-, 8, B ; 178
+ SUB *AR3-, 9, A ; 179
+ SUB *AR3-, 9, B ; 180
+ SUB *AR3-, 10, A ; 181
+ SUB *AR3-, 10, B ; 182
+ SUB *AR3-, 11, A ; 183
+ SUB *AR3-, 11, B ; 184
+ SUB *AR3-, 12, A ; 185
+ SUB *AR3-, 12, B ; 186
+ SUB *AR3-, 13, A ; 187
+ SUB *AR3-, 13, B ; 188
+ SUB *AR3-, 14, A ; 189
+ SUB *AR3-, 14, B ; 190
+ SUB *AR3-, 15, A ; 191
+ SUB *AR3-, 15, B ; 192
+ SUB *AR3+, 0, A ; 193
+ SUB *AR3+, 0, B ; 194
+ SUB *AR3+, 1, A ; 195
+ SUB *AR3+, 1, B ; 196
+ SUB *AR3+, 2, A ; 197
+ SUB *AR3+, 2, B ; 198
+ SUB *AR3+, 3, A ; 199
+ SUB *AR3+, 3, B ; 200
+ SUB *AR3+, 4, A ; 201
+ SUB *AR3+, 4, B ; 202
+ SUB *AR3+, 5, A ; 203
+ SUB *AR3+, 5, B ; 204
+ SUB *AR3+, 6, A ; 205
+ SUB *AR3+, 6, B ; 206
+ SUB *AR3+, 7, A ; 207
+ SUB *AR3+, 7, B ; 208
+ SUB *AR3+, 8, A ; 209
+ SUB *AR3+, 8, B ; 210
+ SUB *AR3+, 9, A ; 211
+ SUB *AR3+, 9, B ; 212
+ SUB *AR3+, 10, A ; 213
+ SUB *AR3+, 10, B ; 214
+ SUB *AR3+, 11, A ; 215
+ SUB *AR3+, 11, B ; 216
+ SUB *AR3+, 12, A ; 217
+ SUB *AR3+, 12, B ; 218
+ SUB *AR3+, 13, A ; 219
+ SUB *AR3+, 13, B ; 220
+ SUB *AR3+, 14, A ; 221
+ SUB *AR3+, 14, B ; 222
+ SUB *AR3+, 15, A ; 223
+ SUB *AR3+, 15, B ; 224
+ SUB *AR3+0%, 0, A ; 225
+ SUB *AR3+0%, 0, B ; 226
+ SUB *AR3+0%, 1, A ; 227
+ SUB *AR3+0%, 1, B ; 228
+ SUB *AR3+0%, 2, A ; 229
+ SUB *AR3+0%, 2, B ; 230
+ SUB *AR3+0%, 3, A ; 231
+ SUB *AR3+0%, 3, B ; 232
+ SUB *AR3+0%, 4, A ; 233
+ SUB *AR3+0%, 4, B ; 234
+ SUB *AR3+0%, 5, A ; 235
+ SUB *AR3+0%, 5, B ; 236
+ SUB *AR3+0%, 6, A ; 237
+ SUB *AR3+0%, 6, B ; 238
+ SUB *AR3+0%, 7, A ; 239
+ SUB *AR3+0%, 7, B ; 240
+ SUB *AR3+0%, 8, A ; 241
+ SUB *AR3+0%, 8, B ; 242
+ SUB *AR3+0%, 9, A ; 243
+ SUB *AR3+0%, 9, B ; 244
+ SUB *AR3+0%, 10, A ; 245
+ SUB *AR3+0%, 10, B ; 246
+ SUB *AR3+0%, 11, A ; 247
+ SUB *AR3+0%, 11, B ; 248
+ SUB *AR3+0%, 12, A ; 249
+ SUB *AR3+0%, 12, B ; 250
+ SUB *AR3+0%, 13, A ; 251
+ SUB *AR3+0%, 13, B ; 252
+ SUB *AR3+0%, 14, A ; 253
+ SUB *AR3+0%, 14, B ; 254
+ SUB *AR3+0%, 15, A ; 255
+ SUB *AR3+0%, 15, B ; 256
+ SUB *AR4, 0, A ; 257
+ SUB *AR4, 0, B ; 258
+ SUB *AR4, 1, A ; 259
+ SUB *AR4, 1, B ; 260
+ SUB *AR4, 2, A ; 261
+ SUB *AR4, 2, B ; 262
+ SUB *AR4, 3, A ; 263
+ SUB *AR4, 3, B ; 264
+ SUB *AR4, 4, A ; 265
+ SUB *AR4, 4, B ; 266
+ SUB *AR4, 5, A ; 267
+ SUB *AR4, 5, B ; 268
+ SUB *AR4, 6, A ; 269
+ SUB *AR4, 6, B ; 270
+ SUB *AR4, 7, A ; 271
+ SUB *AR4, 7, B ; 272
+ SUB *AR4, 8, A ; 273
+ SUB *AR4, 8, B ; 274
+ SUB *AR4, 9, A ; 275
+ SUB *AR4, 9, B ; 276
+ SUB *AR4, 10, A ; 277
+ SUB *AR4, 10, B ; 278
+ SUB *AR4, 11, A ; 279
+ SUB *AR4, 11, B ; 280
+ SUB *AR4, 12, A ; 281
+ SUB *AR4, 12, B ; 282
+ SUB *AR4, 13, A ; 283
+ SUB *AR4, 13, B ; 284
+ SUB *AR4, 14, A ; 285
+ SUB *AR4, 14, B ; 286
+ SUB *AR4, 15, A ; 287
+ SUB *AR4, 15, B ; 288
+ SUB *AR4-, 0, A ; 289
+ SUB *AR4-, 0, B ; 290
+ SUB *AR4-, 1, A ; 291
+ SUB *AR4-, 1, B ; 292
+ SUB *AR4-, 2, A ; 293
+ SUB *AR4-, 2, B ; 294
+ SUB *AR4-, 3, A ; 295
+ SUB *AR4-, 3, B ; 296
+ SUB *AR4-, 4, A ; 297
+ SUB *AR4-, 4, B ; 298
+ SUB *AR4-, 5, A ; 299
+ SUB *AR4-, 5, B ; 300
+ SUB *AR4-, 6, A ; 301
+ SUB *AR4-, 6, B ; 302
+ SUB *AR4-, 7, A ; 303
+ SUB *AR4-, 7, B ; 304
+ SUB *AR4-, 8, A ; 305
+ SUB *AR4-, 8, B ; 306
+ SUB *AR4-, 9, A ; 307
+ SUB *AR4-, 9, B ; 308
+ SUB *AR4-, 10, A ; 309
+ SUB *AR4-, 10, B ; 310
+ SUB *AR4-, 11, A ; 311
+ SUB *AR4-, 11, B ; 312
+ SUB *AR4-, 12, A ; 313
+ SUB *AR4-, 12, B ; 314
+ SUB *AR4-, 13, A ; 315
+ SUB *AR4-, 13, B ; 316
+ SUB *AR4-, 14, A ; 317
+ SUB *AR4-, 14, B ; 318
+ SUB *AR4-, 15, A ; 319
+ SUB *AR4-, 15, B ; 320
+ SUB *AR4+, 0, A ; 321
+ SUB *AR4+, 0, B ; 322
+ SUB *AR4+, 1, A ; 323
+ SUB *AR4+, 1, B ; 324
+ SUB *AR4+, 2, A ; 325
+ SUB *AR4+, 2, B ; 326
+ SUB *AR4+, 3, A ; 327
+ SUB *AR4+, 3, B ; 328
+ SUB *AR4+, 4, A ; 329
+ SUB *AR4+, 4, B ; 330
+ SUB *AR4+, 5, A ; 331
+ SUB *AR4+, 5, B ; 332
+ SUB *AR4+, 6, A ; 333
+ SUB *AR4+, 6, B ; 334
+ SUB *AR4+, 7, A ; 335
+ SUB *AR4+, 7, B ; 336
+ SUB *AR4+, 8, A ; 337
+ SUB *AR4+, 8, B ; 338
+ SUB *AR4+, 9, A ; 339
+ SUB *AR4+, 9, B ; 340
+ SUB *AR4+, 10, A ; 341
+ SUB *AR4+, 10, B ; 342
+ SUB *AR4+, 11, A ; 343
+ SUB *AR4+, 11, B ; 344
+ SUB *AR4+, 12, A ; 345
+ SUB *AR4+, 12, B ; 346
+ SUB *AR4+, 13, A ; 347
+ SUB *AR4+, 13, B ; 348
+ SUB *AR4+, 14, A ; 349
+ SUB *AR4+, 14, B ; 350
+ SUB *AR4+, 15, A ; 351
+ SUB *AR4+, 15, B ; 352
+ SUB *AR4+0%, 0, A ; 353
+ SUB *AR4+0%, 0, B ; 354
+ SUB *AR4+0%, 1, A ; 355
+ SUB *AR4+0%, 1, B ; 356
+ SUB *AR4+0%, 2, A ; 357
+ SUB *AR4+0%, 2, B ; 358
+ SUB *AR4+0%, 3, A ; 359
+ SUB *AR4+0%, 3, B ; 360
+ SUB *AR4+0%, 4, A ; 361
+ SUB *AR4+0%, 4, B ; 362
+ SUB *AR4+0%, 5, A ; 363
+ SUB *AR4+0%, 5, B ; 364
+ SUB *AR4+0%, 6, A ; 365
+ SUB *AR4+0%, 6, B ; 366
+ SUB *AR4+0%, 7, A ; 367
+ SUB *AR4+0%, 7, B ; 368
+ SUB *AR4+0%, 8, A ; 369
+ SUB *AR4+0%, 8, B ; 370
+ SUB *AR4+0%, 9, A ; 371
+ SUB *AR4+0%, 9, B ; 372
+ SUB *AR4+0%, 10, A ; 373
+ SUB *AR4+0%, 10, B ; 374
+ SUB *AR4+0%, 11, A ; 375
+ SUB *AR4+0%, 11, B ; 376
+ SUB *AR4+0%, 12, A ; 377
+ SUB *AR4+0%, 12, B ; 378
+ SUB *AR4+0%, 13, A ; 379
+ SUB *AR4+0%, 13, B ; 380
+ SUB *AR4+0%, 14, A ; 381
+ SUB *AR4+0%, 14, B ; 382
+ SUB *AR4+0%, 15, A ; 383
+ SUB *AR4+0%, 15, B ; 384
+ SUB *AR5, 0, A ; 385
+ SUB *AR5, 0, B ; 386
+ SUB *AR5, 1, A ; 387
+ SUB *AR5, 1, B ; 388
+ SUB *AR5, 2, A ; 389
+ SUB *AR5, 2, B ; 390
+ SUB *AR5, 3, A ; 391
+ SUB *AR5, 3, B ; 392
+ SUB *AR5, 4, A ; 393
+ SUB *AR5, 4, B ; 394
+ SUB *AR5, 5, A ; 395
+ SUB *AR5, 5, B ; 396
+ SUB *AR5, 6, A ; 397
+ SUB *AR5, 6, B ; 398
+ SUB *AR5, 7, A ; 399
+ SUB *AR5, 7, B ; 400
+ SUB *AR5, 8, A ; 401
+ SUB *AR5, 8, B ; 402
+ SUB *AR5, 9, A ; 403
+ SUB *AR5, 9, B ; 404
+ SUB *AR5, 10, A ; 405
+ SUB *AR5, 10, B ; 406
+ SUB *AR5, 11, A ; 407
+ SUB *AR5, 11, B ; 408
+ SUB *AR5, 12, A ; 409
+ SUB *AR5, 12, B ; 410
+ SUB *AR5, 13, A ; 411
+ SUB *AR5, 13, B ; 412
+ SUB *AR5, 14, A ; 413
+ SUB *AR5, 14, B ; 414
+ SUB *AR5, 15, A ; 415
+ SUB *AR5, 15, B ; 416
+ SUB *AR5-, 0, A ; 417
+ SUB *AR5-, 0, B ; 418
+ SUB *AR5-, 1, A ; 419
+ SUB *AR5-, 1, B ; 420
+ SUB *AR5-, 2, A ; 421
+ SUB *AR5-, 2, B ; 422
+ SUB *AR5-, 3, A ; 423
+ SUB *AR5-, 3, B ; 424
+ SUB *AR5-, 4, A ; 425
+ SUB *AR5-, 4, B ; 426
+ SUB *AR5-, 5, A ; 427
+ SUB *AR5-, 5, B ; 428
+ SUB *AR5-, 6, A ; 429
+ SUB *AR5-, 6, B ; 430
+ SUB *AR5-, 7, A ; 431
+ SUB *AR5-, 7, B ; 432
+ SUB *AR5-, 8, A ; 433
+ SUB *AR5-, 8, B ; 434
+ SUB *AR5-, 9, A ; 435
+ SUB *AR5-, 9, B ; 436
+ SUB *AR5-, 10, A ; 437
+ SUB *AR5-, 10, B ; 438
+ SUB *AR5-, 11, A ; 439
+ SUB *AR5-, 11, B ; 440
+ SUB *AR5-, 12, A ; 441
+ SUB *AR5-, 12, B ; 442
+ SUB *AR5-, 13, A ; 443
+ SUB *AR5-, 13, B ; 444
+ SUB *AR5-, 14, A ; 445
+ SUB *AR5-, 14, B ; 446
+ SUB *AR5-, 15, A ; 447
+ SUB *AR5-, 15, B ; 448
+ SUB *AR5+, 0, A ; 449
+ SUB *AR5+, 0, B ; 450
+ SUB *AR5+, 1, A ; 451
+ SUB *AR5+, 1, B ; 452
+ SUB *AR5+, 2, A ; 453
+ SUB *AR5+, 2, B ; 454
+ SUB *AR5+, 3, A ; 455
+ SUB *AR5+, 3, B ; 456
+ SUB *AR5+, 4, A ; 457
+ SUB *AR5+, 4, B ; 458
+ SUB *AR5+, 5, A ; 459
+ SUB *AR5+, 5, B ; 460
+ SUB *AR5+, 6, A ; 461
+ SUB *AR5+, 6, B ; 462
+ SUB *AR5+, 7, A ; 463
+ SUB *AR5+, 7, B ; 464
+ SUB *AR5+, 8, A ; 465
+ SUB *AR5+, 8, B ; 466
+ SUB *AR5+, 9, A ; 467
+ SUB *AR5+, 9, B ; 468
+ SUB *AR5+, 10, A ; 469
+ SUB *AR5+, 10, B ; 470
+ SUB *AR5+, 11, A ; 471
+ SUB *AR5+, 11, B ; 472
+ SUB *AR5+, 12, A ; 473
+ SUB *AR5+, 12, B ; 474
+ SUB *AR5+, 13, A ; 475
+ SUB *AR5+, 13, B ; 476
+ SUB *AR5+, 14, A ; 477
+ SUB *AR5+, 14, B ; 478
+ SUB *AR5+, 15, A ; 479
+ SUB *AR5+, 15, B ; 480
+ SUB *AR5+0%, 0, A ; 481
+ SUB *AR5+0%, 0, B ; 482
+ SUB *AR5+0%, 1, A ; 483
+ SUB *AR5+0%, 1, B ; 484
+ SUB *AR5+0%, 2, A ; 485
+ SUB *AR5+0%, 2, B ; 486
+ SUB *AR5+0%, 3, A ; 487
+ SUB *AR5+0%, 3, B ; 488
+ SUB *AR5+0%, 4, A ; 489
+ SUB *AR5+0%, 4, B ; 490
+ SUB *AR5+0%, 5, A ; 491
+ SUB *AR5+0%, 5, B ; 492
+ SUB *AR5+0%, 6, A ; 493
+ SUB *AR5+0%, 6, B ; 494
+ SUB *AR5+0%, 7, A ; 495
+ SUB *AR5+0%, 7, B ; 496
+ SUB *AR5+0%, 8, A ; 497
+ SUB *AR5+0%, 8, B ; 498
+ SUB *AR5+0%, 9, A ; 499
+ SUB *AR5+0%, 9, B ; 500
+ SUB *AR5+0%, 10, A ; 501
+ SUB *AR5+0%, 10, B ; 502
+ SUB *AR5+0%, 11, A ; 503
+ SUB *AR5+0%, 11, B ; 504
+ SUB *AR5+0%, 12, A ; 505
+ SUB *AR5+0%, 12, B ; 506
+ SUB *AR5+0%, 13, A ; 507
+ SUB *AR5+0%, 13, B ; 508
+ SUB *AR5+0%, 14, A ; 509
+ SUB *AR5+0%, 14, B ; 510
+ SUB *AR5+0%, 15, A ; 511
+ SUB *AR5+0%, 15, B ; 512
+ ; SUBxmym : SUB Xmem, Ymem, AB : 512
+ SUB *AR2, *AR2, A ; 1
+ SUB *AR2, *AR2, B ; 2
+ SUB *AR2, *AR2-, A ; 3
+ SUB *AR2, *AR2-, B ; 4
+ SUB *AR2, *AR2+, A ; 5
+ SUB *AR2, *AR2+, B ; 6
+ SUB *AR2, *AR2+0%, A ; 7
+ SUB *AR2, *AR2+0%, B ; 8
+ SUB *AR2, *AR3, A ; 9
+ SUB *AR2, *AR3, B ; 10
+ SUB *AR2, *AR3-, A ; 11
+ SUB *AR2, *AR3-, B ; 12
+ SUB *AR2, *AR3+, A ; 13
+ SUB *AR2, *AR3+, B ; 14
+ SUB *AR2, *AR3+0%, A ; 15
+ SUB *AR2, *AR3+0%, B ; 16
+ SUB *AR2, *AR4, A ; 17
+ SUB *AR2, *AR4, B ; 18
+ SUB *AR2, *AR4-, A ; 19
+ SUB *AR2, *AR4-, B ; 20
+ SUB *AR2, *AR4+, A ; 21
+ SUB *AR2, *AR4+, B ; 22
+ SUB *AR2, *AR4+0%, A ; 23
+ SUB *AR2, *AR4+0%, B ; 24
+ SUB *AR2, *AR5, A ; 25
+ SUB *AR2, *AR5, B ; 26
+ SUB *AR2, *AR5-, A ; 27
+ SUB *AR2, *AR5-, B ; 28
+ SUB *AR2, *AR5+, A ; 29
+ SUB *AR2, *AR5+, B ; 30
+ SUB *AR2, *AR5+0%, A ; 31
+ SUB *AR2, *AR5+0%, B ; 32
+ SUB *AR2-, *AR2, A ; 33
+ SUB *AR2-, *AR2, B ; 34
+ SUB *AR2-, *AR2-, A ; 35
+ SUB *AR2-, *AR2-, B ; 36
+ SUB *AR2-, *AR2+, A ; 37
+ SUB *AR2-, *AR2+, B ; 38
+ SUB *AR2-, *AR2+0%, A ; 39
+ SUB *AR2-, *AR2+0%, B ; 40
+ SUB *AR2-, *AR3, A ; 41
+ SUB *AR2-, *AR3, B ; 42
+ SUB *AR2-, *AR3-, A ; 43
+ SUB *AR2-, *AR3-, B ; 44
+ SUB *AR2-, *AR3+, A ; 45
+ SUB *AR2-, *AR3+, B ; 46
+ SUB *AR2-, *AR3+0%, A ; 47
+ SUB *AR2-, *AR3+0%, B ; 48
+ SUB *AR2-, *AR4, A ; 49
+ SUB *AR2-, *AR4, B ; 50
+ SUB *AR2-, *AR4-, A ; 51
+ SUB *AR2-, *AR4-, B ; 52
+ SUB *AR2-, *AR4+, A ; 53
+ SUB *AR2-, *AR4+, B ; 54
+ SUB *AR2-, *AR4+0%, A ; 55
+ SUB *AR2-, *AR4+0%, B ; 56
+ SUB *AR2-, *AR5, A ; 57
+ SUB *AR2-, *AR5, B ; 58
+ SUB *AR2-, *AR5-, A ; 59
+ SUB *AR2-, *AR5-, B ; 60
+ SUB *AR2-, *AR5+, A ; 61
+ SUB *AR2-, *AR5+, B ; 62
+ SUB *AR2-, *AR5+0%, A ; 63
+ SUB *AR2-, *AR5+0%, B ; 64
+ SUB *AR2+, *AR2, A ; 65
+ SUB *AR2+, *AR2, B ; 66
+ SUB *AR2+, *AR2-, A ; 67
+ SUB *AR2+, *AR2-, B ; 68
+ SUB *AR2+, *AR2+, A ; 69
+ SUB *AR2+, *AR2+, B ; 70
+ SUB *AR2+, *AR2+0%, A ; 71
+ SUB *AR2+, *AR2+0%, B ; 72
+ SUB *AR2+, *AR3, A ; 73
+ SUB *AR2+, *AR3, B ; 74
+ SUB *AR2+, *AR3-, A ; 75
+ SUB *AR2+, *AR3-, B ; 76
+ SUB *AR2+, *AR3+, A ; 77
+ SUB *AR2+, *AR3+, B ; 78
+ SUB *AR2+, *AR3+0%, A ; 79
+ SUB *AR2+, *AR3+0%, B ; 80
+ SUB *AR2+, *AR4, A ; 81
+ SUB *AR2+, *AR4, B ; 82
+ SUB *AR2+, *AR4-, A ; 83
+ SUB *AR2+, *AR4-, B ; 84
+ SUB *AR2+, *AR4+, A ; 85
+ SUB *AR2+, *AR4+, B ; 86
+ SUB *AR2+, *AR4+0%, A ; 87
+ SUB *AR2+, *AR4+0%, B ; 88
+ SUB *AR2+, *AR5, A ; 89
+ SUB *AR2+, *AR5, B ; 90
+ SUB *AR2+, *AR5-, A ; 91
+ SUB *AR2+, *AR5-, B ; 92
+ SUB *AR2+, *AR5+, A ; 93
+ SUB *AR2+, *AR5+, B ; 94
+ SUB *AR2+, *AR5+0%, A ; 95
+ SUB *AR2+, *AR5+0%, B ; 96
+ SUB *AR2+0%, *AR2, A ; 97
+ SUB *AR2+0%, *AR2, B ; 98
+ SUB *AR2+0%, *AR2-, A ; 99
+ SUB *AR2+0%, *AR2-, B ; 100
+ SUB *AR2+0%, *AR2+, A ; 101
+ SUB *AR2+0%, *AR2+, B ; 102
+ SUB *AR2+0%, *AR2+0%, A ; 103
+ SUB *AR2+0%, *AR2+0%, B ; 104
+ SUB *AR2+0%, *AR3, A ; 105
+ SUB *AR2+0%, *AR3, B ; 106
+ SUB *AR2+0%, *AR3-, A ; 107
+ SUB *AR2+0%, *AR3-, B ; 108
+ SUB *AR2+0%, *AR3+, A ; 109
+ SUB *AR2+0%, *AR3+, B ; 110
+ SUB *AR2+0%, *AR3+0%, A ; 111
+ SUB *AR2+0%, *AR3+0%, B ; 112
+ SUB *AR2+0%, *AR4, A ; 113
+ SUB *AR2+0%, *AR4, B ; 114
+ SUB *AR2+0%, *AR4-, A ; 115
+ SUB *AR2+0%, *AR4-, B ; 116
+ SUB *AR2+0%, *AR4+, A ; 117
+ SUB *AR2+0%, *AR4+, B ; 118
+ SUB *AR2+0%, *AR4+0%, A ; 119
+ SUB *AR2+0%, *AR4+0%, B ; 120
+ SUB *AR2+0%, *AR5, A ; 121
+ SUB *AR2+0%, *AR5, B ; 122
+ SUB *AR2+0%, *AR5-, A ; 123
+ SUB *AR2+0%, *AR5-, B ; 124
+ SUB *AR2+0%, *AR5+, A ; 125
+ SUB *AR2+0%, *AR5+, B ; 126
+ SUB *AR2+0%, *AR5+0%, A ; 127
+ SUB *AR2+0%, *AR5+0%, B ; 128
+ SUB *AR3, *AR2, A ; 129
+ SUB *AR3, *AR2, B ; 130
+ SUB *AR3, *AR2-, A ; 131
+ SUB *AR3, *AR2-, B ; 132
+ SUB *AR3, *AR2+, A ; 133
+ SUB *AR3, *AR2+, B ; 134
+ SUB *AR3, *AR2+0%, A ; 135
+ SUB *AR3, *AR2+0%, B ; 136
+ SUB *AR3, *AR3, A ; 137
+ SUB *AR3, *AR3, B ; 138
+ SUB *AR3, *AR3-, A ; 139
+ SUB *AR3, *AR3-, B ; 140
+ SUB *AR3, *AR3+, A ; 141
+ SUB *AR3, *AR3+, B ; 142
+ SUB *AR3, *AR3+0%, A ; 143
+ SUB *AR3, *AR3+0%, B ; 144
+ SUB *AR3, *AR4, A ; 145
+ SUB *AR3, *AR4, B ; 146
+ SUB *AR3, *AR4-, A ; 147
+ SUB *AR3, *AR4-, B ; 148
+ SUB *AR3, *AR4+, A ; 149
+ SUB *AR3, *AR4+, B ; 150
+ SUB *AR3, *AR4+0%, A ; 151
+ SUB *AR3, *AR4+0%, B ; 152
+ SUB *AR3, *AR5, A ; 153
+ SUB *AR3, *AR5, B ; 154
+ SUB *AR3, *AR5-, A ; 155
+ SUB *AR3, *AR5-, B ; 156
+ SUB *AR3, *AR5+, A ; 157
+ SUB *AR3, *AR5+, B ; 158
+ SUB *AR3, *AR5+0%, A ; 159
+ SUB *AR3, *AR5+0%, B ; 160
+ SUB *AR3-, *AR2, A ; 161
+ SUB *AR3-, *AR2, B ; 162
+ SUB *AR3-, *AR2-, A ; 163
+ SUB *AR3-, *AR2-, B ; 164
+ SUB *AR3-, *AR2+, A ; 165
+ SUB *AR3-, *AR2+, B ; 166
+ SUB *AR3-, *AR2+0%, A ; 167
+ SUB *AR3-, *AR2+0%, B ; 168
+ SUB *AR3-, *AR3, A ; 169
+ SUB *AR3-, *AR3, B ; 170
+ SUB *AR3-, *AR3-, A ; 171
+ SUB *AR3-, *AR3-, B ; 172
+ SUB *AR3-, *AR3+, A ; 173
+ SUB *AR3-, *AR3+, B ; 174
+ SUB *AR3-, *AR3+0%, A ; 175
+ SUB *AR3-, *AR3+0%, B ; 176
+ SUB *AR3-, *AR4, A ; 177
+ SUB *AR3-, *AR4, B ; 178
+ SUB *AR3-, *AR4-, A ; 179
+ SUB *AR3-, *AR4-, B ; 180
+ SUB *AR3-, *AR4+, A ; 181
+ SUB *AR3-, *AR4+, B ; 182
+ SUB *AR3-, *AR4+0%, A ; 183
+ SUB *AR3-, *AR4+0%, B ; 184
+ SUB *AR3-, *AR5, A ; 185
+ SUB *AR3-, *AR5, B ; 186
+ SUB *AR3-, *AR5-, A ; 187
+ SUB *AR3-, *AR5-, B ; 188
+ SUB *AR3-, *AR5+, A ; 189
+ SUB *AR3-, *AR5+, B ; 190
+ SUB *AR3-, *AR5+0%, A ; 191
+ SUB *AR3-, *AR5+0%, B ; 192
+ SUB *AR3+, *AR2, A ; 193
+ SUB *AR3+, *AR2, B ; 194
+ SUB *AR3+, *AR2-, A ; 195
+ SUB *AR3+, *AR2-, B ; 196
+ SUB *AR3+, *AR2+, A ; 197
+ SUB *AR3+, *AR2+, B ; 198
+ SUB *AR3+, *AR2+0%, A ; 199
+ SUB *AR3+, *AR2+0%, B ; 200
+ SUB *AR3+, *AR3, A ; 201
+ SUB *AR3+, *AR3, B ; 202
+ SUB *AR3+, *AR3-, A ; 203
+ SUB *AR3+, *AR3-, B ; 204
+ SUB *AR3+, *AR3+, A ; 205
+ SUB *AR3+, *AR3+, B ; 206
+ SUB *AR3+, *AR3+0%, A ; 207
+ SUB *AR3+, *AR3+0%, B ; 208
+ SUB *AR3+, *AR4, A ; 209
+ SUB *AR3+, *AR4, B ; 210
+ SUB *AR3+, *AR4-, A ; 211
+ SUB *AR3+, *AR4-, B ; 212
+ SUB *AR3+, *AR4+, A ; 213
+ SUB *AR3+, *AR4+, B ; 214
+ SUB *AR3+, *AR4+0%, A ; 215
+ SUB *AR3+, *AR4+0%, B ; 216
+ SUB *AR3+, *AR5, A ; 217
+ SUB *AR3+, *AR5, B ; 218
+ SUB *AR3+, *AR5-, A ; 219
+ SUB *AR3+, *AR5-, B ; 220
+ SUB *AR3+, *AR5+, A ; 221
+ SUB *AR3+, *AR5+, B ; 222
+ SUB *AR3+, *AR5+0%, A ; 223
+ SUB *AR3+, *AR5+0%, B ; 224
+ SUB *AR3+0%, *AR2, A ; 225
+ SUB *AR3+0%, *AR2, B ; 226
+ SUB *AR3+0%, *AR2-, A ; 227
+ SUB *AR3+0%, *AR2-, B ; 228
+ SUB *AR3+0%, *AR2+, A ; 229
+ SUB *AR3+0%, *AR2+, B ; 230
+ SUB *AR3+0%, *AR2+0%, A ; 231
+ SUB *AR3+0%, *AR2+0%, B ; 232
+ SUB *AR3+0%, *AR3, A ; 233
+ SUB *AR3+0%, *AR3, B ; 234
+ SUB *AR3+0%, *AR3-, A ; 235
+ SUB *AR3+0%, *AR3-, B ; 236
+ SUB *AR3+0%, *AR3+, A ; 237
+ SUB *AR3+0%, *AR3+, B ; 238
+ SUB *AR3+0%, *AR3+0%, A ; 239
+ SUB *AR3+0%, *AR3+0%, B ; 240
+ SUB *AR3+0%, *AR4, A ; 241
+ SUB *AR3+0%, *AR4, B ; 242
+ SUB *AR3+0%, *AR4-, A ; 243
+ SUB *AR3+0%, *AR4-, B ; 244
+ SUB *AR3+0%, *AR4+, A ; 245
+ SUB *AR3+0%, *AR4+, B ; 246
+ SUB *AR3+0%, *AR4+0%, A ; 247
+ SUB *AR3+0%, *AR4+0%, B ; 248
+ SUB *AR3+0%, *AR5, A ; 249
+ SUB *AR3+0%, *AR5, B ; 250
+ SUB *AR3+0%, *AR5-, A ; 251
+ SUB *AR3+0%, *AR5-, B ; 252
+ SUB *AR3+0%, *AR5+, A ; 253
+ SUB *AR3+0%, *AR5+, B ; 254
+ SUB *AR3+0%, *AR5+0%, A ; 255
+ SUB *AR3+0%, *AR5+0%, B ; 256
+ SUB *AR4, *AR2, A ; 257
+ SUB *AR4, *AR2, B ; 258
+ SUB *AR4, *AR2-, A ; 259
+ SUB *AR4, *AR2-, B ; 260
+ SUB *AR4, *AR2+, A ; 261
+ SUB *AR4, *AR2+, B ; 262
+ SUB *AR4, *AR2+0%, A ; 263
+ SUB *AR4, *AR2+0%, B ; 264
+ SUB *AR4, *AR3, A ; 265
+ SUB *AR4, *AR3, B ; 266
+ SUB *AR4, *AR3-, A ; 267
+ SUB *AR4, *AR3-, B ; 268
+ SUB *AR4, *AR3+, A ; 269
+ SUB *AR4, *AR3+, B ; 270
+ SUB *AR4, *AR3+0%, A ; 271
+ SUB *AR4, *AR3+0%, B ; 272
+ SUB *AR4, *AR4, A ; 273
+ SUB *AR4, *AR4, B ; 274
+ SUB *AR4, *AR4-, A ; 275
+ SUB *AR4, *AR4-, B ; 276
+ SUB *AR4, *AR4+, A ; 277
+ SUB *AR4, *AR4+, B ; 278
+ SUB *AR4, *AR4+0%, A ; 279
+ SUB *AR4, *AR4+0%, B ; 280
+ SUB *AR4, *AR5, A ; 281
+ SUB *AR4, *AR5, B ; 282
+ SUB *AR4, *AR5-, A ; 283
+ SUB *AR4, *AR5-, B ; 284
+ SUB *AR4, *AR5+, A ; 285
+ SUB *AR4, *AR5+, B ; 286
+ SUB *AR4, *AR5+0%, A ; 287
+ SUB *AR4, *AR5+0%, B ; 288
+ SUB *AR4-, *AR2, A ; 289
+ SUB *AR4-, *AR2, B ; 290
+ SUB *AR4-, *AR2-, A ; 291
+ SUB *AR4-, *AR2-, B ; 292
+ SUB *AR4-, *AR2+, A ; 293
+ SUB *AR4-, *AR2+, B ; 294
+ SUB *AR4-, *AR2+0%, A ; 295
+ SUB *AR4-, *AR2+0%, B ; 296
+ SUB *AR4-, *AR3, A ; 297
+ SUB *AR4-, *AR3, B ; 298
+ SUB *AR4-, *AR3-, A ; 299
+ SUB *AR4-, *AR3-, B ; 300
+ SUB *AR4-, *AR3+, A ; 301
+ SUB *AR4-, *AR3+, B ; 302
+ SUB *AR4-, *AR3+0%, A ; 303
+ SUB *AR4-, *AR3+0%, B ; 304
+ SUB *AR4-, *AR4, A ; 305
+ SUB *AR4-, *AR4, B ; 306
+ SUB *AR4-, *AR4-, A ; 307
+ SUB *AR4-, *AR4-, B ; 308
+ SUB *AR4-, *AR4+, A ; 309
+ SUB *AR4-, *AR4+, B ; 310
+ SUB *AR4-, *AR4+0%, A ; 311
+ SUB *AR4-, *AR4+0%, B ; 312
+ SUB *AR4-, *AR5, A ; 313
+ SUB *AR4-, *AR5, B ; 314
+ SUB *AR4-, *AR5-, A ; 315
+ SUB *AR4-, *AR5-, B ; 316
+ SUB *AR4-, *AR5+, A ; 317
+ SUB *AR4-, *AR5+, B ; 318
+ SUB *AR4-, *AR5+0%, A ; 319
+ SUB *AR4-, *AR5+0%, B ; 320
+ SUB *AR4+, *AR2, A ; 321
+ SUB *AR4+, *AR2, B ; 322
+ SUB *AR4+, *AR2-, A ; 323
+ SUB *AR4+, *AR2-, B ; 324
+ SUB *AR4+, *AR2+, A ; 325
+ SUB *AR4+, *AR2+, B ; 326
+ SUB *AR4+, *AR2+0%, A ; 327
+ SUB *AR4+, *AR2+0%, B ; 328
+ SUB *AR4+, *AR3, A ; 329
+ SUB *AR4+, *AR3, B ; 330
+ SUB *AR4+, *AR3-, A ; 331
+ SUB *AR4+, *AR3-, B ; 332
+ SUB *AR4+, *AR3+, A ; 333
+ SUB *AR4+, *AR3+, B ; 334
+ SUB *AR4+, *AR3+0%, A ; 335
+ SUB *AR4+, *AR3+0%, B ; 336
+ SUB *AR4+, *AR4, A ; 337
+ SUB *AR4+, *AR4, B ; 338
+ SUB *AR4+, *AR4-, A ; 339
+ SUB *AR4+, *AR4-, B ; 340
+ SUB *AR4+, *AR4+, A ; 341
+ SUB *AR4+, *AR4+, B ; 342
+ SUB *AR4+, *AR4+0%, A ; 343
+ SUB *AR4+, *AR4+0%, B ; 344
+ SUB *AR4+, *AR5, A ; 345
+ SUB *AR4+, *AR5, B ; 346
+ SUB *AR4+, *AR5-, A ; 347
+ SUB *AR4+, *AR5-, B ; 348
+ SUB *AR4+, *AR5+, A ; 349
+ SUB *AR4+, *AR5+, B ; 350
+ SUB *AR4+, *AR5+0%, A ; 351
+ SUB *AR4+, *AR5+0%, B ; 352
+ SUB *AR4+0%, *AR2, A ; 353
+ SUB *AR4+0%, *AR2, B ; 354
+ SUB *AR4+0%, *AR2-, A ; 355
+ SUB *AR4+0%, *AR2-, B ; 356
+ SUB *AR4+0%, *AR2+, A ; 357
+ SUB *AR4+0%, *AR2+, B ; 358
+ SUB *AR4+0%, *AR2+0%, A ; 359
+ SUB *AR4+0%, *AR2+0%, B ; 360
+ SUB *AR4+0%, *AR3, A ; 361
+ SUB *AR4+0%, *AR3, B ; 362
+ SUB *AR4+0%, *AR3-, A ; 363
+ SUB *AR4+0%, *AR3-, B ; 364
+ SUB *AR4+0%, *AR3+, A ; 365
+ SUB *AR4+0%, *AR3+, B ; 366
+ SUB *AR4+0%, *AR3+0%, A ; 367
+ SUB *AR4+0%, *AR3+0%, B ; 368
+ SUB *AR4+0%, *AR4, A ; 369
+ SUB *AR4+0%, *AR4, B ; 370
+ SUB *AR4+0%, *AR4-, A ; 371
+ SUB *AR4+0%, *AR4-, B ; 372
+ SUB *AR4+0%, *AR4+, A ; 373
+ SUB *AR4+0%, *AR4+, B ; 374
+ SUB *AR4+0%, *AR4+0%, A ; 375
+ SUB *AR4+0%, *AR4+0%, B ; 376
+ SUB *AR4+0%, *AR5, A ; 377
+ SUB *AR4+0%, *AR5, B ; 378
+ SUB *AR4+0%, *AR5-, A ; 379
+ SUB *AR4+0%, *AR5-, B ; 380
+ SUB *AR4+0%, *AR5+, A ; 381
+ SUB *AR4+0%, *AR5+, B ; 382
+ SUB *AR4+0%, *AR5+0%, A ; 383
+ SUB *AR4+0%, *AR5+0%, B ; 384
+ SUB *AR5, *AR2, A ; 385
+ SUB *AR5, *AR2, B ; 386
+ SUB *AR5, *AR2-, A ; 387
+ SUB *AR5, *AR2-, B ; 388
+ SUB *AR5, *AR2+, A ; 389
+ SUB *AR5, *AR2+, B ; 390
+ SUB *AR5, *AR2+0%, A ; 391
+ SUB *AR5, *AR2+0%, B ; 392
+ SUB *AR5, *AR3, A ; 393
+ SUB *AR5, *AR3, B ; 394
+ SUB *AR5, *AR3-, A ; 395
+ SUB *AR5, *AR3-, B ; 396
+ SUB *AR5, *AR3+, A ; 397
+ SUB *AR5, *AR3+, B ; 398
+ SUB *AR5, *AR3+0%, A ; 399
+ SUB *AR5, *AR3+0%, B ; 400
+ SUB *AR5, *AR4, A ; 401
+ SUB *AR5, *AR4, B ; 402
+ SUB *AR5, *AR4-, A ; 403
+ SUB *AR5, *AR4-, B ; 404
+ SUB *AR5, *AR4+, A ; 405
+ SUB *AR5, *AR4+, B ; 406
+ SUB *AR5, *AR4+0%, A ; 407
+ SUB *AR5, *AR4+0%, B ; 408
+ SUB *AR5, *AR5, A ; 409
+ SUB *AR5, *AR5, B ; 410
+ SUB *AR5, *AR5-, A ; 411
+ SUB *AR5, *AR5-, B ; 412
+ SUB *AR5, *AR5+, A ; 413
+ SUB *AR5, *AR5+, B ; 414
+ SUB *AR5, *AR5+0%, A ; 415
+ SUB *AR5, *AR5+0%, B ; 416
+ SUB *AR5-, *AR2, A ; 417
+ SUB *AR5-, *AR2, B ; 418
+ SUB *AR5-, *AR2-, A ; 419
+ SUB *AR5-, *AR2-, B ; 420
+ SUB *AR5-, *AR2+, A ; 421
+ SUB *AR5-, *AR2+, B ; 422
+ SUB *AR5-, *AR2+0%, A ; 423
+ SUB *AR5-, *AR2+0%, B ; 424
+ SUB *AR5-, *AR3, A ; 425
+ SUB *AR5-, *AR3, B ; 426
+ SUB *AR5-, *AR3-, A ; 427
+ SUB *AR5-, *AR3-, B ; 428
+ SUB *AR5-, *AR3+, A ; 429
+ SUB *AR5-, *AR3+, B ; 430
+ SUB *AR5-, *AR3+0%, A ; 431
+ SUB *AR5-, *AR3+0%, B ; 432
+ SUB *AR5-, *AR4, A ; 433
+ SUB *AR5-, *AR4, B ; 434
+ SUB *AR5-, *AR4-, A ; 435
+ SUB *AR5-, *AR4-, B ; 436
+ SUB *AR5-, *AR4+, A ; 437
+ SUB *AR5-, *AR4+, B ; 438
+ SUB *AR5-, *AR4+0%, A ; 439
+ SUB *AR5-, *AR4+0%, B ; 440
+ SUB *AR5-, *AR5, A ; 441
+ SUB *AR5-, *AR5, B ; 442
+ SUB *AR5-, *AR5-, A ; 443
+ SUB *AR5-, *AR5-, B ; 444
+ SUB *AR5-, *AR5+, A ; 445
+ SUB *AR5-, *AR5+, B ; 446
+ SUB *AR5-, *AR5+0%, A ; 447
+ SUB *AR5-, *AR5+0%, B ; 448
+ SUB *AR5+, *AR2, A ; 449
+ SUB *AR5+, *AR2, B ; 450
+ SUB *AR5+, *AR2-, A ; 451
+ SUB *AR5+, *AR2-, B ; 452
+ SUB *AR5+, *AR2+, A ; 453
+ SUB *AR5+, *AR2+, B ; 454
+ SUB *AR5+, *AR2+0%, A ; 455
+ SUB *AR5+, *AR2+0%, B ; 456
+ SUB *AR5+, *AR3, A ; 457
+ SUB *AR5+, *AR3, B ; 458
+ SUB *AR5+, *AR3-, A ; 459
+ SUB *AR5+, *AR3-, B ; 460
+ SUB *AR5+, *AR3+, A ; 461
+ SUB *AR5+, *AR3+, B ; 462
+ SUB *AR5+, *AR3+0%, A ; 463
+ SUB *AR5+, *AR3+0%, B ; 464
+ SUB *AR5+, *AR4, A ; 465
+ SUB *AR5+, *AR4, B ; 466
+ SUB *AR5+, *AR4-, A ; 467
+ SUB *AR5+, *AR4-, B ; 468
+ SUB *AR5+, *AR4+, A ; 469
+ SUB *AR5+, *AR4+, B ; 470
+ SUB *AR5+, *AR4+0%, A ; 471
+ SUB *AR5+, *AR4+0%, B ; 472
+ SUB *AR5+, *AR5, A ; 473
+ SUB *AR5+, *AR5, B ; 474
+ SUB *AR5+, *AR5-, A ; 475
+ SUB *AR5+, *AR5-, B ; 476
+ SUB *AR5+, *AR5+, A ; 477
+ SUB *AR5+, *AR5+, B ; 478
+ SUB *AR5+, *AR5+0%, A ; 479
+ SUB *AR5+, *AR5+0%, B ; 480
+ SUB *AR5+0%, *AR2, A ; 481
+ SUB *AR5+0%, *AR2, B ; 482
+ SUB *AR5+0%, *AR2-, A ; 483
+ SUB *AR5+0%, *AR2-, B ; 484
+ SUB *AR5+0%, *AR2+, A ; 485
+ SUB *AR5+0%, *AR2+, B ; 486
+ SUB *AR5+0%, *AR2+0%, A ; 487
+ SUB *AR5+0%, *AR2+0%, B ; 488
+ SUB *AR5+0%, *AR3, A ; 489
+ SUB *AR5+0%, *AR3, B ; 490
+ SUB *AR5+0%, *AR3-, A ; 491
+ SUB *AR5+0%, *AR3-, B ; 492
+ SUB *AR5+0%, *AR3+, A ; 493
+ SUB *AR5+0%, *AR3+, B ; 494
+ SUB *AR5+0%, *AR3+0%, A ; 495
+ SUB *AR5+0%, *AR3+0%, B ; 496
+ SUB *AR5+0%, *AR4, A ; 497
+ SUB *AR5+0%, *AR4, B ; 498
+ SUB *AR5+0%, *AR4-, A ; 499
+ SUB *AR5+0%, *AR4-, B ; 500
+ SUB *AR5+0%, *AR4+, A ; 501
+ SUB *AR5+0%, *AR4+, B ; 502
+ SUB *AR5+0%, *AR4+0%, A ; 503
+ SUB *AR5+0%, *AR4+0%, B ; 504
+ SUB *AR5+0%, *AR5, A ; 505
+ SUB *AR5+0%, *AR5, B ; 506
+ SUB *AR5+0%, *AR5-, A ; 507
+ SUB *AR5+0%, *AR5-, B ; 508
+ SUB *AR5+0%, *AR5+, A ; 509
+ SUB *AR5+0%, *AR5+, B ; 510
+ SUB *AR5+0%, *AR5+0%, A ; 511
+ SUB *AR5+0%, *AR5+0%, B ; 512
+ ; TRAP : TRAP Kintr : 32
+ TRAP 0 ; 1
+ TRAP 1 ; 2
+ TRAP 2 ; 3
+ TRAP 3 ; 4
+ TRAP 4 ; 5
+ TRAP 5 ; 6
+ TRAP 6 ; 7
+ TRAP 7 ; 8
+ TRAP 8 ; 9
+ TRAP 9 ; 10
+ TRAP 10 ; 11
+ TRAP 11 ; 12
+ TRAP 12 ; 13
+ TRAP 13 ; 14
+ TRAP 14 ; 15
+ TRAP 15 ; 16
+ TRAP 16 ; 17
+ TRAP 17 ; 18
+ TRAP 18 ; 19
+ TRAP 19 ; 20
+ TRAP 20 ; 21
+ TRAP 21 ; 22
+ TRAP 22 ; 23
+ TRAP 23 ; 24
+ TRAP 24 ; 25
+ TRAP 25 ; 26
+ TRAP 26 ; 27
+ TRAP 27 ; 28
+ TRAP 28 ; 29
+ TRAP 29 ; 30
+ TRAP 30 ; 31
+ TRAP 31 ; 32
+ ; WRITA : WRITA Smem : 1
+ WRITA 66h ; 1
+ ; WRITA_I : WRITA Smem_I : 120
+ WRITA *AR0 ; 1
+ WRITA *AR0- ; 2
+ WRITA *AR0+ ; 3
+ WRITA *AR0-0B ; 4
+ WRITA *AR0-0 ; 5
+ WRITA *AR0+0 ; 6
+ WRITA *AR0+0B ; 7
+ WRITA *AR0-% ; 8
+ WRITA *AR0-0% ; 9
+ WRITA *AR0+% ; 10
+ WRITA *AR0+0% ; 11
+ WRITA *AR0(064C6h) ; 12
+ WRITA *+AR0(064C7h) ; 13
+ WRITA *+AR0(064C8h)% ; 14
+ WRITA *(064C9h) ; 15
+ WRITA *AR1 ; 16
+ WRITA *AR1- ; 17
+ WRITA *AR1+ ; 18
+ WRITA *AR1-0B ; 19
+ WRITA *AR1-0 ; 20
+ WRITA *AR1+0 ; 21
+ WRITA *AR1+0B ; 22
+ WRITA *AR1-% ; 23
+ WRITA *AR1-0% ; 24
+ WRITA *AR1+% ; 25
+ WRITA *AR1+0% ; 26
+ WRITA *AR1(064CAh) ; 27
+ WRITA *+AR1(064CBh) ; 28
+ WRITA *+AR1(064CCh)% ; 29
+ WRITA *(064CDh) ; 30
+ WRITA *AR2 ; 31
+ WRITA *AR2- ; 32
+ WRITA *AR2+ ; 33
+ WRITA *AR2-0B ; 34
+ WRITA *AR2-0 ; 35
+ WRITA *AR2+0 ; 36
+ WRITA *AR2+0B ; 37
+ WRITA *AR2-% ; 38
+ WRITA *AR2-0% ; 39
+ WRITA *AR2+% ; 40
+ WRITA *AR2+0% ; 41
+ WRITA *AR2(064CEh) ; 42
+ WRITA *+AR2(064CFh) ; 43
+ WRITA *+AR2(064D0h)% ; 44
+ WRITA *(064D1h) ; 45
+ WRITA *AR3 ; 46
+ WRITA *AR3- ; 47
+ WRITA *AR3+ ; 48
+ WRITA *AR3-0B ; 49
+ WRITA *AR3-0 ; 50
+ WRITA *AR3+0 ; 51
+ WRITA *AR3+0B ; 52
+ WRITA *AR3-% ; 53
+ WRITA *AR3-0% ; 54
+ WRITA *AR3+% ; 55
+ WRITA *AR3+0% ; 56
+ WRITA *AR3(064D2h) ; 57
+ WRITA *+AR3(064D3h) ; 58
+ WRITA *+AR3(064D4h)% ; 59
+ WRITA *(064D5h) ; 60
+ WRITA *AR4 ; 61
+ WRITA *AR4- ; 62
+ WRITA *AR4+ ; 63
+ WRITA *AR4-0B ; 64
+ WRITA *AR4-0 ; 65
+ WRITA *AR4+0 ; 66
+ WRITA *AR4+0B ; 67
+ WRITA *AR4-% ; 68
+ WRITA *AR4-0% ; 69
+ WRITA *AR4+% ; 70
+ WRITA *AR4+0% ; 71
+ WRITA *AR4(064D6h) ; 72
+ WRITA *+AR4(064D7h) ; 73
+ WRITA *+AR4(064D8h)% ; 74
+ WRITA *(064D9h) ; 75
+ WRITA *AR5 ; 76
+ WRITA *AR5- ; 77
+ WRITA *AR5+ ; 78
+ WRITA *AR5-0B ; 79
+ WRITA *AR5-0 ; 80
+ WRITA *AR5+0 ; 81
+ WRITA *AR5+0B ; 82
+ WRITA *AR5-% ; 83
+ WRITA *AR5-0% ; 84
+ WRITA *AR5+% ; 85
+ WRITA *AR5+0% ; 86
+ WRITA *AR5(064DAh) ; 87
+ WRITA *+AR5(064DBh) ; 88
+ WRITA *+AR5(064DCh)% ; 89
+ WRITA *(064DDh) ; 90
+ WRITA *AR6 ; 91
+ WRITA *AR6- ; 92
+ WRITA *AR6+ ; 93
+ WRITA *AR6-0B ; 94
+ WRITA *AR6-0 ; 95
+ WRITA *AR6+0 ; 96
+ WRITA *AR6+0B ; 97
+ WRITA *AR6-% ; 98
+ WRITA *AR6-0% ; 99
+ WRITA *AR6+% ; 100
+ WRITA *AR6+0% ; 101
+ WRITA *AR6(064DEh) ; 102
+ WRITA *+AR6(064DFh) ; 103
+ WRITA *+AR6(064E0h)% ; 104
+ WRITA *(064E1h) ; 105
+ WRITA *AR7 ; 106
+ WRITA *AR7- ; 107
+ WRITA *AR7+ ; 108
+ WRITA *AR7-0B ; 109
+ WRITA *AR7-0 ; 110
+ WRITA *AR7+0 ; 111
+ WRITA *AR7+0B ; 112
+ WRITA *AR7-% ; 113
+ WRITA *AR7-0% ; 114
+ WRITA *AR7+% ; 115
+ WRITA *AR7+0% ; 116
+ WRITA *AR7(064E2h) ; 117
+ WRITA *+AR7(064E3h) ; 118
+ WRITA *+AR7(064E4h)% ; 119
+ WRITA *(064E5h) ; 120
+ ; XC : XC N1, cond7 : 318
+ XC 1, UNC ; 1
+ XC 1, ALT ; 2
+ XC 1, BLT ; 3
+ XC 1, ALEQ ; 4
+ XC 1, BLEQ ; 5
+ XC 1, AGT ; 6
+ XC 1, BGT ; 7
+ XC 1, ANEQ ; 8
+ XC 1, BNEQ ; 9
+ XC 1, AGEQ ; 10
+ XC 1, BGEQ ; 11
+ XC 1, AEQ ; 12
+ XC 1, BEQ ; 13
+ XC 1, ANOV ; 14
+ XC 1, BNOV ; 15
+ XC 1, AOV ; 16
+ XC 1, BOV ; 17
+ XC 1, NTC ; 18
+ XC 1, TC ; 19
+ XC 1, NC ; 20
+ XC 1, C ; 21
+ XC 1, NBIO ; 22
+ XC 1, BIO ; 23
+ XC 1, ALT, ANOV ; 24
+ XC 1, ANOV, ALT ; 25
+ XC 1, BLT, BNOV ; 26
+ XC 1, BNOV, BLT ; 27
+ XC 1, ALT, AOV ; 28
+ XC 1, AOV, ALT ; 29
+ XC 1, BLT, BOV ; 30
+ XC 1, BOV, BLT ; 31
+ XC 1, ALEQ, ANOV ; 32
+ XC 1, ANOV, ALEQ ; 33
+ XC 1, BLEQ, BNOV ; 34
+ XC 1, BNOV, BLEQ ; 35
+ XC 1, ALEQ, AOV ; 36
+ XC 1, AOV, ALEQ ; 37
+ XC 1, BLEQ, BOV ; 38
+ XC 1, BOV, BLEQ ; 39
+ XC 1, AGT, ANOV ; 40
+ XC 1, ANOV, AGT ; 41
+ XC 1, BGT, BNOV ; 42
+ XC 1, BNOV, BGT ; 43
+ XC 1, AGT, AOV ; 44
+ XC 1, AOV, AGT ; 45
+ XC 1, BGT, BOV ; 46
+ XC 1, BOV, BGT ; 47
+ XC 1, ANEQ, ANOV ; 48
+ XC 1, ANOV, ANEQ ; 49
+ XC 1, BNEQ, BNOV ; 50
+ XC 1, BNOV, BNEQ ; 51
+ XC 1, ANEQ, AOV ; 52
+ XC 1, AOV, ANEQ ; 53
+ XC 1, BNEQ, BOV ; 54
+ XC 1, BOV, BNEQ ; 55
+ XC 1, AGEQ, ANOV ; 56
+ XC 1, ANOV, AGEQ ; 57
+ XC 1, BGEQ, BNOV ; 58
+ XC 1, BNOV, BGEQ ; 59
+ XC 1, AGEQ, AOV ; 60
+ XC 1, AOV, AGEQ ; 61
+ XC 1, BGEQ, BOV ; 62
+ XC 1, BOV, BGEQ ; 63
+ XC 1, AEQ, ANOV ; 64
+ XC 1, ANOV, AEQ ; 65
+ XC 1, BEQ, BNOV ; 66
+ XC 1, BNOV, BEQ ; 67
+ XC 1, AEQ, AOV ; 68
+ XC 1, AOV, AEQ ; 69
+ XC 1, BEQ, BOV ; 70
+ XC 1, BOV, BEQ ; 71
+ XC 1, NTC, NC, NBIO ; 72
+ XC 1, NTC, NBIO, NC ; 73
+ XC 1, NC, NTC, NBIO ; 74
+ XC 1, NC, NBIO, NTC ; 75
+ XC 1, NBIO, NTC, NC ; 76
+ XC 1, NBIO, NC, NTC ; 77
+ XC 1, NC, NTC ; 78
+ XC 1, NTC, NBIO ; 79
+ XC 1, NBIO, NTC ; 80
+ XC 1, NC, NBIO ; 81
+ XC 1, NBIO, NC ; 82
+ XC 1, NTC, NC, BIO ; 83
+ XC 1, NTC, BIO, NC ; 84
+ XC 1, NC, NTC, BIO ; 85
+ XC 1, NC, BIO, NTC ; 86
+ XC 1, BIO, NTC, NC ; 87
+ XC 1, BIO, NC, NTC ; 88
+ XC 1, NC, NTC ; 89
+ XC 1, NTC, BIO ; 90
+ XC 1, BIO, NTC ; 91
+ XC 1, NC, BIO ; 92
+ XC 1, BIO, NC ; 93
+ XC 1, NTC, C, NBIO ; 94
+ XC 1, NTC, NBIO, C ; 95
+ XC 1, C, NTC, NBIO ; 96
+ XC 1, C, NBIO, NTC ; 97
+ XC 1, NBIO, NTC, C ; 98
+ XC 1, NBIO, C, NTC ; 99
+ XC 1, C, NTC ; 100
+ XC 1, NTC, NBIO ; 101
+ XC 1, NBIO, NTC ; 102
+ XC 1, C, NBIO ; 103
+ XC 1, NBIO, C ; 104
+ XC 1, NTC, C, BIO ; 105
+ XC 1, NTC, BIO, C ; 106
+ XC 1, C, NTC, BIO ; 107
+ XC 1, C, BIO, NTC ; 108
+ XC 1, BIO, NTC, C ; 109
+ XC 1, BIO, C, NTC ; 110
+ XC 1, C, NTC ; 111
+ XC 1, NTC, BIO ; 112
+ XC 1, BIO, NTC ; 113
+ XC 1, C, BIO ; 114
+ XC 1, BIO, C ; 115
+ XC 1, TC, NC, NBIO ; 116
+ XC 1, TC, NBIO, NC ; 117
+ XC 1, NC, TC, NBIO ; 118
+ XC 1, NC, NBIO, TC ; 119
+ XC 1, NBIO, TC, NC ; 120
+ XC 1, NBIO, NC, TC ; 121
+ XC 1, NC, TC ; 122
+ XC 1, TC, NBIO ; 123
+ XC 1, NBIO, TC ; 124
+ XC 1, NC, NBIO ; 125
+ XC 1, NBIO, NC ; 126
+ XC 1, TC, NC, BIO ; 127
+ XC 1, TC, BIO, NC ; 128
+ XC 1, NC, TC, BIO ; 129
+ XC 1, NC, BIO, TC ; 130
+ XC 1, BIO, TC, NC ; 131
+ XC 1, BIO, NC, TC ; 132
+ XC 1, NC, TC ; 133
+ XC 1, TC, BIO ; 134
+ XC 1, BIO, TC ; 135
+ XC 1, NC, BIO ; 136
+ XC 1, BIO, NC ; 137
+ XC 1, TC, C, NBIO ; 138
+ XC 1, TC, NBIO, C ; 139
+ XC 1, C, TC, NBIO ; 140
+ XC 1, C, NBIO, TC ; 141
+ XC 1, NBIO, TC, C ; 142
+ XC 1, NBIO, C, TC ; 143
+ XC 1, C, TC ; 144
+ XC 1, TC, NBIO ; 145
+ XC 1, NBIO, TC ; 146
+ XC 1, C, NBIO ; 147
+ XC 1, NBIO, C ; 148
+ XC 1, TC, C, BIO ; 149
+ XC 1, TC, BIO, C ; 150
+ XC 1, C, TC, BIO ; 151
+ XC 1, C, BIO, TC ; 152
+ XC 1, BIO, TC, C ; 153
+ XC 1, BIO, C, TC ; 154
+ XC 1, C, TC ; 155
+ XC 1, TC, BIO ; 156
+ XC 1, BIO, TC ; 157
+ XC 1, C, BIO ; 158
+ XC 1, BIO, C ; 159
+ XC 2, UNC ; 160
+ XC 2, ALT ; 161
+ XC 2, BLT ; 162
+ XC 2, ALEQ ; 163
+ XC 2, BLEQ ; 164
+ XC 2, AGT ; 165
+ XC 2, BGT ; 166
+ XC 2, ANEQ ; 167
+ XC 2, BNEQ ; 168
+ XC 2, AGEQ ; 169
+ XC 2, BGEQ ; 170
+ XC 2, AEQ ; 171
+ XC 2, BEQ ; 172
+ XC 2, ANOV ; 173
+ XC 2, BNOV ; 174
+ XC 2, AOV ; 175
+ XC 2, BOV ; 176
+ XC 2, NTC ; 177
+ XC 2, TC ; 178
+ XC 2, NC ; 179
+ XC 2, C ; 180
+ XC 2, NBIO ; 181
+ XC 2, BIO ; 182
+ XC 2, ALT, ANOV ; 183
+ XC 2, ANOV, ALT ; 184
+ XC 2, BLT, BNOV ; 185
+ XC 2, BNOV, BLT ; 186
+ XC 2, ALT, AOV ; 187
+ XC 2, AOV, ALT ; 188
+ XC 2, BLT, BOV ; 189
+ XC 2, BOV, BLT ; 190
+ XC 2, ALEQ, ANOV ; 191
+ XC 2, ANOV, ALEQ ; 192
+ XC 2, BLEQ, BNOV ; 193
+ XC 2, BNOV, BLEQ ; 194
+ XC 2, ALEQ, AOV ; 195
+ XC 2, AOV, ALEQ ; 196
+ XC 2, BLEQ, BOV ; 197
+ XC 2, BOV, BLEQ ; 198
+ XC 2, AGT, ANOV ; 199
+ XC 2, ANOV, AGT ; 200
+ XC 2, BGT, BNOV ; 201
+ XC 2, BNOV, BGT ; 202
+ XC 2, AGT, AOV ; 203
+ XC 2, AOV, AGT ; 204
+ XC 2, BGT, BOV ; 205
+ XC 2, BOV, BGT ; 206
+ XC 2, ANEQ, ANOV ; 207
+ XC 2, ANOV, ANEQ ; 208
+ XC 2, BNEQ, BNOV ; 209
+ XC 2, BNOV, BNEQ ; 210
+ XC 2, ANEQ, AOV ; 211
+ XC 2, AOV, ANEQ ; 212
+ XC 2, BNEQ, BOV ; 213
+ XC 2, BOV, BNEQ ; 214
+ XC 2, AGEQ, ANOV ; 215
+ XC 2, ANOV, AGEQ ; 216
+ XC 2, BGEQ, BNOV ; 217
+ XC 2, BNOV, BGEQ ; 218
+ XC 2, AGEQ, AOV ; 219
+ XC 2, AOV, AGEQ ; 220
+ XC 2, BGEQ, BOV ; 221
+ XC 2, BOV, BGEQ ; 222
+ XC 2, AEQ, ANOV ; 223
+ XC 2, ANOV, AEQ ; 224
+ XC 2, BEQ, BNOV ; 225
+ XC 2, BNOV, BEQ ; 226
+ XC 2, AEQ, AOV ; 227
+ XC 2, AOV, AEQ ; 228
+ XC 2, BEQ, BOV ; 229
+ XC 2, BOV, BEQ ; 230
+ XC 2, NTC, NC, NBIO ; 231
+ XC 2, NTC, NBIO, NC ; 232
+ XC 2, NC, NTC, NBIO ; 233
+ XC 2, NC, NBIO, NTC ; 234
+ XC 2, NBIO, NTC, NC ; 235
+ XC 2, NBIO, NC, NTC ; 236
+ XC 2, NC, NTC ; 237
+ XC 2, NTC, NBIO ; 238
+ XC 2, NBIO, NTC ; 239
+ XC 2, NC, NBIO ; 240
+ XC 2, NBIO, NC ; 241
+ XC 2, NTC, NC, BIO ; 242
+ XC 2, NTC, BIO, NC ; 243
+ XC 2, NC, NTC, BIO ; 244
+ XC 2, NC, BIO, NTC ; 245
+ XC 2, BIO, NTC, NC ; 246
+ XC 2, BIO, NC, NTC ; 247
+ XC 2, NC, NTC ; 248
+ XC 2, NTC, BIO ; 249
+ XC 2, BIO, NTC ; 250
+ XC 2, NC, BIO ; 251
+ XC 2, BIO, NC ; 252
+ XC 2, NTC, C, NBIO ; 253
+ XC 2, NTC, NBIO, C ; 254
+ XC 2, C, NTC, NBIO ; 255
+ XC 2, C, NBIO, NTC ; 256
+ XC 2, NBIO, NTC, C ; 257
+ XC 2, NBIO, C, NTC ; 258
+ XC 2, C, NTC ; 259
+ XC 2, NTC, NBIO ; 260
+ XC 2, NBIO, NTC ; 261
+ XC 2, C, NBIO ; 262
+ XC 2, NBIO, C ; 263
+ XC 2, NTC, C, BIO ; 264
+ XC 2, NTC, BIO, C ; 265
+ XC 2, C, NTC, BIO ; 266
+ XC 2, C, BIO, NTC ; 267
+ XC 2, BIO, NTC, C ; 268
+ XC 2, BIO, C, NTC ; 269
+ XC 2, C, NTC ; 270
+ XC 2, NTC, BIO ; 271
+ XC 2, BIO, NTC ; 272
+ XC 2, C, BIO ; 273
+ XC 2, BIO, C ; 274
+ XC 2, TC, NC, NBIO ; 275
+ XC 2, TC, NBIO, NC ; 276
+ XC 2, NC, TC, NBIO ; 277
+ XC 2, NC, NBIO, TC ; 278
+ XC 2, NBIO, TC, NC ; 279
+ XC 2, NBIO, NC, TC ; 280
+ XC 2, NC, TC ; 281
+ XC 2, TC, NBIO ; 282
+ XC 2, NBIO, TC ; 283
+ XC 2, NC, NBIO ; 284
+ XC 2, NBIO, NC ; 285
+ XC 2, TC, NC, BIO ; 286
+ XC 2, TC, BIO, NC ; 287
+ XC 2, NC, TC, BIO ; 288
+ XC 2, NC, BIO, TC ; 289
+ XC 2, BIO, TC, NC ; 290
+ XC 2, BIO, NC, TC ; 291
+ XC 2, NC, TC ; 292
+ XC 2, TC, BIO ; 293
+ XC 2, BIO, TC ; 294
+ XC 2, NC, BIO ; 295
+ XC 2, BIO, NC ; 296
+ XC 2, TC, C, NBIO ; 297
+ XC 2, TC, NBIO, C ; 298
+ XC 2, C, TC, NBIO ; 299
+ XC 2, C, NBIO, TC ; 300
+ XC 2, NBIO, TC, C ; 301
+ XC 2, NBIO, C, TC ; 302
+ XC 2, C, TC ; 303
+ XC 2, TC, NBIO ; 304
+ XC 2, NBIO, TC ; 305
+ XC 2, C, NBIO ; 306
+ XC 2, NBIO, C ; 307
+ XC 2, TC, C, BIO ; 308
+ XC 2, TC, BIO, C ; 309
+ XC 2, C, TC, BIO ; 310
+ XC 2, C, BIO, TC ; 311
+ XC 2, BIO, TC, C ; 312
+ XC 2, BIO, C, TC ; 313
+ XC 2, C, TC ; 314
+ XC 2, TC, BIO ; 315
+ XC 2, BIO, TC ; 316
+ XC 2, C, BIO ; 317
+ XC 2, BIO, C ; 318
+ ; XOR16lk : XOR lk, 16, AB, AB2 : 6
+ XOR #064E6h, 16, A, A ; 1
+ XOR #064E7h, 16, A, B ; 2
+ XOR #064E8h, 16, A ; 3
+ XOR #064E9h, 16, B, A ; 4
+ XOR #064EAh, 16, B, B ; 5
+ XOR #064EBh, 16, B ; 6
+ ; XORM : XORM lk, Smem : 1
+ XORM #064ECh, 67h ; 1
+ ; XORM_I : XORM lk, Smem_I : 120
+ XORM #064EDh, *AR0 ; 1
+ XORM #064EEh, *AR0- ; 2
+ XORM #064EFh, *AR0+ ; 3
+ XORM #064F0h, *AR0-0B ; 4
+ XORM #064F1h, *AR0-0 ; 5
+ XORM #064F2h, *AR0+0 ; 6
+ XORM #064F3h, *AR0+0B ; 7
+ XORM #064F4h, *AR0-% ; 8
+ XORM #064F5h, *AR0-0% ; 9
+ XORM #064F6h, *AR0+% ; 10
+ XORM #064F7h, *AR0+0% ; 11
+ XORM #064F9h, *AR0(064F8h) ; 12
+ XORM #064FBh, *+AR0(064FAh) ; 13
+ XORM #064FDh, *+AR0(064FCh)% ; 14
+ XORM #064FFh, *(064FEh) ; 15
+ XORM #06500h, *AR1 ; 16
+ XORM #06501h, *AR1- ; 17
+ XORM #06502h, *AR1+ ; 18
+ XORM #06503h, *AR1-0B ; 19
+ XORM #06504h, *AR1-0 ; 20
+ XORM #06505h, *AR1+0 ; 21
+ XORM #06506h, *AR1+0B ; 22
+ XORM #06507h, *AR1-% ; 23
+ XORM #06508h, *AR1-0% ; 24
+ XORM #06509h, *AR1+% ; 25
+ XORM #0650Ah, *AR1+0% ; 26
+ XORM #0650Ch, *AR1(0650Bh) ; 27
+ XORM #0650Eh, *+AR1(0650Dh) ; 28
+ XORM #06510h, *+AR1(0650Fh)% ; 29
+ XORM #06512h, *(06511h) ; 30
+ XORM #06513h, *AR2 ; 31
+ XORM #06514h, *AR2- ; 32
+ XORM #06515h, *AR2+ ; 33
+ XORM #06516h, *AR2-0B ; 34
+ XORM #06517h, *AR2-0 ; 35
+ XORM #06518h, *AR2+0 ; 36
+ XORM #06519h, *AR2+0B ; 37
+ XORM #0651Ah, *AR2-% ; 38
+ XORM #0651Bh, *AR2-0% ; 39
+ XORM #0651Ch, *AR2+% ; 40
+ XORM #0651Dh, *AR2+0% ; 41
+ XORM #0651Fh, *AR2(0651Eh) ; 42
+ XORM #06521h, *+AR2(06520h) ; 43
+ XORM #06523h, *+AR2(06522h)% ; 44
+ XORM #06525h, *(06524h) ; 45
+ XORM #06526h, *AR3 ; 46
+ XORM #06527h, *AR3- ; 47
+ XORM #06528h, *AR3+ ; 48
+ XORM #06529h, *AR3-0B ; 49
+ XORM #0652Ah, *AR3-0 ; 50
+ XORM #0652Bh, *AR3+0 ; 51
+ XORM #0652Ch, *AR3+0B ; 52
+ XORM #0652Dh, *AR3-% ; 53
+ XORM #0652Eh, *AR3-0% ; 54
+ XORM #0652Fh, *AR3+% ; 55
+ XORM #06530h, *AR3+0% ; 56
+ XORM #06532h, *AR3(06531h) ; 57
+ XORM #06534h, *+AR3(06533h) ; 58
+ XORM #06536h, *+AR3(06535h)% ; 59
+ XORM #06538h, *(06537h) ; 60
+ XORM #06539h, *AR4 ; 61
+ XORM #0653Ah, *AR4- ; 62
+ XORM #0653Bh, *AR4+ ; 63
+ XORM #0653Ch, *AR4-0B ; 64
+ XORM #0653Dh, *AR4-0 ; 65
+ XORM #0653Eh, *AR4+0 ; 66
+ XORM #0653Fh, *AR4+0B ; 67
+ XORM #06540h, *AR4-% ; 68
+ XORM #06541h, *AR4-0% ; 69
+ XORM #06542h, *AR4+% ; 70
+ XORM #06543h, *AR4+0% ; 71
+ XORM #06545h, *AR4(06544h) ; 72
+ XORM #06547h, *+AR4(06546h) ; 73
+ XORM #06549h, *+AR4(06548h)% ; 74
+ XORM #0654Bh, *(0654Ah) ; 75
+ XORM #0654Ch, *AR5 ; 76
+ XORM #0654Dh, *AR5- ; 77
+ XORM #0654Eh, *AR5+ ; 78
+ XORM #0654Fh, *AR5-0B ; 79
+ XORM #06550h, *AR5-0 ; 80
+ XORM #06551h, *AR5+0 ; 81
+ XORM #06552h, *AR5+0B ; 82
+ XORM #06553h, *AR5-% ; 83
+ XORM #06554h, *AR5-0% ; 84
+ XORM #06555h, *AR5+% ; 85
+ XORM #06556h, *AR5+0% ; 86
+ XORM #06558h, *AR5(06557h) ; 87
+ XORM #0655Ah, *+AR5(06559h) ; 88
+ XORM #0655Ch, *+AR5(0655Bh)% ; 89
+ XORM #0655Eh, *(0655Dh) ; 90
+ XORM #0655Fh, *AR6 ; 91
+ XORM #06560h, *AR6- ; 92
+ XORM #06561h, *AR6+ ; 93
+ XORM #06562h, *AR6-0B ; 94
+ XORM #06563h, *AR6-0 ; 95
+ XORM #06564h, *AR6+0 ; 96
+ XORM #06565h, *AR6+0B ; 97
+ XORM #06566h, *AR6-% ; 98
+ XORM #06567h, *AR6-0% ; 99
+ XORM #06568h, *AR6+% ; 100
+ XORM #06569h, *AR6+0% ; 101
+ XORM #0656Bh, *AR6(0656Ah) ; 102
+ XORM #0656Dh, *+AR6(0656Ch) ; 103
+ XORM #0656Fh, *+AR6(0656Eh)% ; 104
+ XORM #06571h, *(06570h) ; 105
+ XORM #06572h, *AR7 ; 106
+ XORM #06573h, *AR7- ; 107
+ XORM #06574h, *AR7+ ; 108
+ XORM #06575h, *AR7-0B ; 109
+ XORM #06576h, *AR7-0 ; 110
+ XORM #06577h, *AR7+0 ; 111
+ XORM #06578h, *AR7+0B ; 112
+ XORM #06579h, *AR7-% ; 113
+ XORM #0657Ah, *AR7-0% ; 114
+ XORM #0657Bh, *AR7+% ; 115
+ XORM #0657Ch, *AR7+0% ; 116
+ XORM #0657Eh, *AR7(0657Dh) ; 117
+ XORM #06580h, *+AR7(0657Fh) ; 118
+ XORM #06582h, *+AR7(06581h)% ; 119
+ XORM #06584h, *(06583h) ; 120
+ ; XORlkShift : XOR lk, SHFT2, AB, AB2 : 102
+ XOR #06585h, A, A ; 1
+ XOR #06586h, A, B ; 2
+ XOR #06587h, A ; 3
+ XOR #06588h, B, A ; 4
+ XOR #06589h, B, B ; 5
+ XOR #0658Ah, B ; 6
+ XOR #0658Bh, 0, A, A ; 7
+ XOR #0658Ch, 0, A, B ; 8
+ XOR #0658Dh, 0, A ; 9
+ XOR #0658Eh, 0, B, A ; 10
+ XOR #0658Fh, 0, B, B ; 11
+ XOR #06590h, 0, B ; 12
+ XOR #06591h, 1, A, A ; 13
+ XOR #06592h, 1, A, B ; 14
+ XOR #06593h, 1, A ; 15
+ XOR #06594h, 1, B, A ; 16
+ XOR #06595h, 1, B, B ; 17
+ XOR #06596h, 1, B ; 18
+ XOR #06597h, 2, A, A ; 19
+ XOR #06598h, 2, A, B ; 20
+ XOR #06599h, 2, A ; 21
+ XOR #0659Ah, 2, B, A ; 22
+ XOR #0659Bh, 2, B, B ; 23
+ XOR #0659Ch, 2, B ; 24
+ XOR #0659Dh, 3, A, A ; 25
+ XOR #0659Eh, 3, A, B ; 26
+ XOR #0659Fh, 3, A ; 27
+ XOR #065A0h, 3, B, A ; 28
+ XOR #065A1h, 3, B, B ; 29
+ XOR #065A2h, 3, B ; 30
+ XOR #065A3h, 4, A, A ; 31
+ XOR #065A4h, 4, A, B ; 32
+ XOR #065A5h, 4, A ; 33
+ XOR #065A6h, 4, B, A ; 34
+ XOR #065A7h, 4, B, B ; 35
+ XOR #065A8h, 4, B ; 36
+ XOR #065A9h, 5, A, A ; 37
+ XOR #065AAh, 5, A, B ; 38
+ XOR #065ABh, 5, A ; 39
+ XOR #065ACh, 5, B, A ; 40
+ XOR #065ADh, 5, B, B ; 41
+ XOR #065AEh, 5, B ; 42
+ XOR #065AFh, 6, A, A ; 43
+ XOR #065B0h, 6, A, B ; 44
+ XOR #065B1h, 6, A ; 45
+ XOR #065B2h, 6, B, A ; 46
+ XOR #065B3h, 6, B, B ; 47
+ XOR #065B4h, 6, B ; 48
+ XOR #065B5h, 7, A, A ; 49
+ XOR #065B6h, 7, A, B ; 50
+ XOR #065B7h, 7, A ; 51
+ XOR #065B8h, 7, B, A ; 52
+ XOR #065B9h, 7, B, B ; 53
+ XOR #065BAh, 7, B ; 54
+ XOR #065BBh, 8, A, A ; 55
+ XOR #065BCh, 8, A, B ; 56
+ XOR #065BDh, 8, A ; 57
+ XOR #065BEh, 8, B, A ; 58
+ XOR #065BFh, 8, B, B ; 59
+ XOR #065C0h, 8, B ; 60
+ XOR #065C1h, 9, A, A ; 61
+ XOR #065C2h, 9, A, B ; 62
+ XOR #065C3h, 9, A ; 63
+ XOR #065C4h, 9, B, A ; 64
+ XOR #065C5h, 9, B, B ; 65
+ XOR #065C6h, 9, B ; 66
+ XOR #065C7h, 10, A, A ; 67
+ XOR #065C8h, 10, A, B ; 68
+ XOR #065C9h, 10, A ; 69
+ XOR #065CAh, 10, B, A ; 70
+ XOR #065CBh, 10, B, B ; 71
+ XOR #065CCh, 10, B ; 72
+ XOR #065CDh, 11, A, A ; 73
+ XOR #065CEh, 11, A, B ; 74
+ XOR #065CFh, 11, A ; 75
+ XOR #065D0h, 11, B, A ; 76
+ XOR #065D1h, 11, B, B ; 77
+ XOR #065D2h, 11, B ; 78
+ XOR #065D3h, 12, A, A ; 79
+ XOR #065D4h, 12, A, B ; 80
+ XOR #065D5h, 12, A ; 81
+ XOR #065D6h, 12, B, A ; 82
+ XOR #065D7h, 12, B, B ; 83
+ XOR #065D8h, 12, B ; 84
+ XOR #065D9h, 13, A, A ; 85
+ XOR #065DAh, 13, A, B ; 86
+ XOR #065DBh, 13, A ; 87
+ XOR #065DCh, 13, B, A ; 88
+ XOR #065DDh, 13, B, B ; 89
+ XOR #065DEh, 13, B ; 90
+ XOR #065DFh, 14, A, A ; 91
+ XOR #065E0h, 14, A, B ; 92
+ XOR #065E1h, 14, A ; 93
+ XOR #065E2h, 14, B, A ; 94
+ XOR #065E3h, 14, B, B ; 95
+ XOR #065E4h, 14, B ; 96
+ XOR #065E5h, 15, A, A ; 97
+ XOR #065E6h, 15, A, B ; 98
+ XOR #065E7h, 15, A ; 99
+ XOR #065E8h, 15, B, A ; 100
+ XOR #065E9h, 15, B, B ; 101
+ XOR #065EAh, 15, B ; 102
+ ; XORsdShift : XOR AB, SHIFT, AB2 : 198
+ XOR A, A ; 1
+ XOR A, B ; 2
+ XOR A ; 3
+ XOR A, -16, A ; 4
+ XOR A, -16, B ; 5
+ XOR A, -16 ; 6
+ XOR A, -15, A ; 7
+ XOR A, -15, B ; 8
+ XOR A, -15 ; 9
+ XOR A, -14, A ; 10
+ XOR A, -14, B ; 11
+ XOR A, -14 ; 12
+ XOR A, -13, A ; 13
+ XOR A, -13, B ; 14
+ XOR A, -13 ; 15
+ XOR A, -12, A ; 16
+ XOR A, -12, B ; 17
+ XOR A, -12 ; 18
+ XOR A, -11, A ; 19
+ XOR A, -11, B ; 20
+ XOR A, -11 ; 21
+ XOR A, -10, A ; 22
+ XOR A, -10, B ; 23
+ XOR A, -10 ; 24
+ XOR A, -9, A ; 25
+ XOR A, -9, B ; 26
+ XOR A, -9 ; 27
+ XOR A, -8, A ; 28
+ XOR A, -8, B ; 29
+ XOR A, -8 ; 30
+ XOR A, -7, A ; 31
+ XOR A, -7, B ; 32
+ XOR A, -7 ; 33
+ XOR A, -6, A ; 34
+ XOR A, -6, B ; 35
+ XOR A, -6 ; 36
+ XOR A, -5, A ; 37
+ XOR A, -5, B ; 38
+ XOR A, -5 ; 39
+ XOR A, -4, A ; 40
+ XOR A, -4, B ; 41
+ XOR A, -4 ; 42
+ XOR A, -3, A ; 43
+ XOR A, -3, B ; 44
+ XOR A, -3 ; 45
+ XOR A, -2, A ; 46
+ XOR A, -2, B ; 47
+ XOR A, -2 ; 48
+ XOR A, -1, A ; 49
+ XOR A, -1, B ; 50
+ XOR A, -1 ; 51
+ XOR A, 0, A ; 52
+ XOR A, 0, B ; 53
+ XOR A, 0 ; 54
+ XOR A, 1, A ; 55
+ XOR A, 1, B ; 56
+ XOR A, 1 ; 57
+ XOR A, 2, A ; 58
+ XOR A, 2, B ; 59
+ XOR A, 2 ; 60
+ XOR A, 3, A ; 61
+ XOR A, 3, B ; 62
+ XOR A, 3 ; 63
+ XOR A, 4, A ; 64
+ XOR A, 4, B ; 65
+ XOR A, 4 ; 66
+ XOR A, 5, A ; 67
+ XOR A, 5, B ; 68
+ XOR A, 5 ; 69
+ XOR A, 6, A ; 70
+ XOR A, 6, B ; 71
+ XOR A, 6 ; 72
+ XOR A, 7, A ; 73
+ XOR A, 7, B ; 74
+ XOR A, 7 ; 75
+ XOR A, 8, A ; 76
+ XOR A, 8, B ; 77
+ XOR A, 8 ; 78
+ XOR A, 9, A ; 79
+ XOR A, 9, B ; 80
+ XOR A, 9 ; 81
+ XOR A, 10, A ; 82
+ XOR A, 10, B ; 83
+ XOR A, 10 ; 84
+ XOR A, 11, A ; 85
+ XOR A, 11, B ; 86
+ XOR A, 11 ; 87
+ XOR A, 12, A ; 88
+ XOR A, 12, B ; 89
+ XOR A, 12 ; 90
+ XOR A, 13, A ; 91
+ XOR A, 13, B ; 92
+ XOR A, 13 ; 93
+ XOR A, 14, A ; 94
+ XOR A, 14, B ; 95
+ XOR A, 14 ; 96
+ XOR A, 15, A ; 97
+ XOR A, 15, B ; 98
+ XOR A, 15 ; 99
+ XOR B, A ; 100
+ XOR B, B ; 101
+ XOR B ; 102
+ XOR B, -16, A ; 103
+ XOR B, -16, B ; 104
+ XOR B, -16 ; 105
+ XOR B, -15, A ; 106
+ XOR B, -15, B ; 107
+ XOR B, -15 ; 108
+ XOR B, -14, A ; 109
+ XOR B, -14, B ; 110
+ XOR B, -14 ; 111
+ XOR B, -13, A ; 112
+ XOR B, -13, B ; 113
+ XOR B, -13 ; 114
+ XOR B, -12, A ; 115
+ XOR B, -12, B ; 116
+ XOR B, -12 ; 117
+ XOR B, -11, A ; 118
+ XOR B, -11, B ; 119
+ XOR B, -11 ; 120
+ XOR B, -10, A ; 121
+ XOR B, -10, B ; 122
+ XOR B, -10 ; 123
+ XOR B, -9, A ; 124
+ XOR B, -9, B ; 125
+ XOR B, -9 ; 126
+ XOR B, -8, A ; 127
+ XOR B, -8, B ; 128
+ XOR B, -8 ; 129
+ XOR B, -7, A ; 130
+ XOR B, -7, B ; 131
+ XOR B, -7 ; 132
+ XOR B, -6, A ; 133
+ XOR B, -6, B ; 134
+ XOR B, -6 ; 135
+ XOR B, -5, A ; 136
+ XOR B, -5, B ; 137
+ XOR B, -5 ; 138
+ XOR B, -4, A ; 139
+ XOR B, -4, B ; 140
+ XOR B, -4 ; 141
+ XOR B, -3, A ; 142
+ XOR B, -3, B ; 143
+ XOR B, -3 ; 144
+ XOR B, -2, A ; 145
+ XOR B, -2, B ; 146
+ XOR B, -2 ; 147
+ XOR B, -1, A ; 148
+ XOR B, -1, B ; 149
+ XOR B, -1 ; 150
+ XOR B, 0, A ; 151
+ XOR B, 0, B ; 152
+ XOR B, 0 ; 153
+ XOR B, 1, A ; 154
+ XOR B, 1, B ; 155
+ XOR B, 1 ; 156
+ XOR B, 2, A ; 157
+ XOR B, 2, B ; 158
+ XOR B, 2 ; 159
+ XOR B, 3, A ; 160
+ XOR B, 3, B ; 161
+ XOR B, 3 ; 162
+ XOR B, 4, A ; 163
+ XOR B, 4, B ; 164
+ XOR B, 4 ; 165
+ XOR B, 5, A ; 166
+ XOR B, 5, B ; 167
+ XOR B, 5 ; 168
+ XOR B, 6, A ; 169
+ XOR B, 6, B ; 170
+ XOR B, 6 ; 171
+ XOR B, 7, A ; 172
+ XOR B, 7, B ; 173
+ XOR B, 7 ; 174
+ XOR B, 8, A ; 175
+ XOR B, 8, B ; 176
+ XOR B, 8 ; 177
+ XOR B, 9, A ; 178
+ XOR B, 9, B ; 179
+ XOR B, 9 ; 180
+ XOR B, 10, A ; 181
+ XOR B, 10, B ; 182
+ XOR B, 10 ; 183
+ XOR B, 11, A ; 184
+ XOR B, 11, B ; 185
+ XOR B, 11 ; 186
+ XOR B, 12, A ; 187
+ XOR B, 12, B ; 188
+ XOR B, 12 ; 189
+ XOR B, 13, A ; 190
+ XOR B, 13, B ; 191
+ XOR B, 13 ; 192
+ XOR B, 14, A ; 193
+ XOR B, 14, B ; 194
+ XOR B, 14 ; 195
+ XOR B, 15, A ; 196
+ XOR B, 15, B ; 197
+ XOR B, 15 ; 198
+ ; XORsmem : XOR Smem, AB : 2
+ XOR 68h, A ; 1
+ XOR 69h, B ; 2
+ ; XORsmem_I : XOR Smem_I, AB : 240
+ XOR *AR0, A ; 1
+ XOR *AR0, B ; 2
+ XOR *AR0-, A ; 3
+ XOR *AR0-, B ; 4
+ XOR *AR0+, A ; 5
+ XOR *AR0+, B ; 6
+ XOR *AR0-0B, A ; 7
+ XOR *AR0-0B, B ; 8
+ XOR *AR0-0, A ; 9
+ XOR *AR0-0, B ; 10
+ XOR *AR0+0, A ; 11
+ XOR *AR0+0, B ; 12
+ XOR *AR0+0B, A ; 13
+ XOR *AR0+0B, B ; 14
+ XOR *AR0-%, A ; 15
+ XOR *AR0-%, B ; 16
+ XOR *AR0-0%, A ; 17
+ XOR *AR0-0%, B ; 18
+ XOR *AR0+%, A ; 19
+ XOR *AR0+%, B ; 20
+ XOR *AR0+0%, A ; 21
+ XOR *AR0+0%, B ; 22
+ XOR *AR0(065EBh), A ; 23
+ XOR *AR0(065ECh), B ; 24
+ XOR *+AR0(065EDh), A ; 25
+ XOR *+AR0(065EEh), B ; 26
+ XOR *+AR0(065EFh)%, A ; 27
+ XOR *+AR0(065F0h)%, B ; 28
+ XOR *(065F1h), A ; 29
+ XOR *(065F2h), B ; 30
+ XOR *AR1, A ; 31
+ XOR *AR1, B ; 32
+ XOR *AR1-, A ; 33
+ XOR *AR1-, B ; 34
+ XOR *AR1+, A ; 35
+ XOR *AR1+, B ; 36
+ XOR *AR1-0B, A ; 37
+ XOR *AR1-0B, B ; 38
+ XOR *AR1-0, A ; 39
+ XOR *AR1-0, B ; 40
+ XOR *AR1+0, A ; 41
+ XOR *AR1+0, B ; 42
+ XOR *AR1+0B, A ; 43
+ XOR *AR1+0B, B ; 44
+ XOR *AR1-%, A ; 45
+ XOR *AR1-%, B ; 46
+ XOR *AR1-0%, A ; 47
+ XOR *AR1-0%, B ; 48
+ XOR *AR1+%, A ; 49
+ XOR *AR1+%, B ; 50
+ XOR *AR1+0%, A ; 51
+ XOR *AR1+0%, B ; 52
+ XOR *AR1(065F3h), A ; 53
+ XOR *AR1(065F4h), B ; 54
+ XOR *+AR1(065F5h), A ; 55
+ XOR *+AR1(065F6h), B ; 56
+ XOR *+AR1(065F7h)%, A ; 57
+ XOR *+AR1(065F8h)%, B ; 58
+ XOR *(065F9h), A ; 59
+ XOR *(065FAh), B ; 60
+ XOR *AR2, A ; 61
+ XOR *AR2, B ; 62
+ XOR *AR2-, A ; 63
+ XOR *AR2-, B ; 64
+ XOR *AR2+, A ; 65
+ XOR *AR2+, B ; 66
+ XOR *AR2-0B, A ; 67
+ XOR *AR2-0B, B ; 68
+ XOR *AR2-0, A ; 69
+ XOR *AR2-0, B ; 70
+ XOR *AR2+0, A ; 71
+ XOR *AR2+0, B ; 72
+ XOR *AR2+0B, A ; 73
+ XOR *AR2+0B, B ; 74
+ XOR *AR2-%, A ; 75
+ XOR *AR2-%, B ; 76
+ XOR *AR2-0%, A ; 77
+ XOR *AR2-0%, B ; 78
+ XOR *AR2+%, A ; 79
+ XOR *AR2+%, B ; 80
+ XOR *AR2+0%, A ; 81
+ XOR *AR2+0%, B ; 82
+ XOR *AR2(065FBh), A ; 83
+ XOR *AR2(065FCh), B ; 84
+ XOR *+AR2(065FDh), A ; 85
+ XOR *+AR2(065FEh), B ; 86
+ XOR *+AR2(065FFh)%, A ; 87
+ XOR *+AR2(06600h)%, B ; 88
+ XOR *(06601h), A ; 89
+ XOR *(06602h), B ; 90
+ XOR *AR3, A ; 91
+ XOR *AR3, B ; 92
+ XOR *AR3-, A ; 93
+ XOR *AR3-, B ; 94
+ XOR *AR3+, A ; 95
+ XOR *AR3+, B ; 96
+ XOR *AR3-0B, A ; 97
+ XOR *AR3-0B, B ; 98
+ XOR *AR3-0, A ; 99
+ XOR *AR3-0, B ; 100
+ XOR *AR3+0, A ; 101
+ XOR *AR3+0, B ; 102
+ XOR *AR3+0B, A ; 103
+ XOR *AR3+0B, B ; 104
+ XOR *AR3-%, A ; 105
+ XOR *AR3-%, B ; 106
+ XOR *AR3-0%, A ; 107
+ XOR *AR3-0%, B ; 108
+ XOR *AR3+%, A ; 109
+ XOR *AR3+%, B ; 110
+ XOR *AR3+0%, A ; 111
+ XOR *AR3+0%, B ; 112
+ XOR *AR3(06603h), A ; 113
+ XOR *AR3(06604h), B ; 114
+ XOR *+AR3(06605h), A ; 115
+ XOR *+AR3(06606h), B ; 116
+ XOR *+AR3(06607h)%, A ; 117
+ XOR *+AR3(06608h)%, B ; 118
+ XOR *(06609h), A ; 119
+ XOR *(0660Ah), B ; 120
+ XOR *AR4, A ; 121
+ XOR *AR4, B ; 122
+ XOR *AR4-, A ; 123
+ XOR *AR4-, B ; 124
+ XOR *AR4+, A ; 125
+ XOR *AR4+, B ; 126
+ XOR *AR4-0B, A ; 127
+ XOR *AR4-0B, B ; 128
+ XOR *AR4-0, A ; 129
+ XOR *AR4-0, B ; 130
+ XOR *AR4+0, A ; 131
+ XOR *AR4+0, B ; 132
+ XOR *AR4+0B, A ; 133
+ XOR *AR4+0B, B ; 134
+ XOR *AR4-%, A ; 135
+ XOR *AR4-%, B ; 136
+ XOR *AR4-0%, A ; 137
+ XOR *AR4-0%, B ; 138
+ XOR *AR4+%, A ; 139
+ XOR *AR4+%, B ; 140
+ XOR *AR4+0%, A ; 141
+ XOR *AR4+0%, B ; 142
+ XOR *AR4(0660Bh), A ; 143
+ XOR *AR4(0660Ch), B ; 144
+ XOR *+AR4(0660Dh), A ; 145
+ XOR *+AR4(0660Eh), B ; 146
+ XOR *+AR4(0660Fh)%, A ; 147
+ XOR *+AR4(06610h)%, B ; 148
+ XOR *(06611h), A ; 149
+ XOR *(06612h), B ; 150
+ XOR *AR5, A ; 151
+ XOR *AR5, B ; 152
+ XOR *AR5-, A ; 153
+ XOR *AR5-, B ; 154
+ XOR *AR5+, A ; 155
+ XOR *AR5+, B ; 156
+ XOR *AR5-0B, A ; 157
+ XOR *AR5-0B, B ; 158
+ XOR *AR5-0, A ; 159
+ XOR *AR5-0, B ; 160
+ XOR *AR5+0, A ; 161
+ XOR *AR5+0, B ; 162
+ XOR *AR5+0B, A ; 163
+ XOR *AR5+0B, B ; 164
+ XOR *AR5-%, A ; 165
+ XOR *AR5-%, B ; 166
+ XOR *AR5-0%, A ; 167
+ XOR *AR5-0%, B ; 168
+ XOR *AR5+%, A ; 169
+ XOR *AR5+%, B ; 170
+ XOR *AR5+0%, A ; 171
+ XOR *AR5+0%, B ; 172
+ XOR *AR5(06613h), A ; 173
+ XOR *AR5(06614h), B ; 174
+ XOR *+AR5(06615h), A ; 175
+ XOR *+AR5(06616h), B ; 176
+ XOR *+AR5(06617h)%, A ; 177
+ XOR *+AR5(06618h)%, B ; 178
+ XOR *(06619h), A ; 179
+ XOR *(0661Ah), B ; 180
+ XOR *AR6, A ; 181
+ XOR *AR6, B ; 182
+ XOR *AR6-, A ; 183
+ XOR *AR6-, B ; 184
+ XOR *AR6+, A ; 185
+ XOR *AR6+, B ; 186
+ XOR *AR6-0B, A ; 187
+ XOR *AR6-0B, B ; 188
+ XOR *AR6-0, A ; 189
+ XOR *AR6-0, B ; 190
+ XOR *AR6+0, A ; 191
+ XOR *AR6+0, B ; 192
+ XOR *AR6+0B, A ; 193
+ XOR *AR6+0B, B ; 194
+ XOR *AR6-%, A ; 195
+ XOR *AR6-%, B ; 196
+ XOR *AR6-0%, A ; 197
+ XOR *AR6-0%, B ; 198
+ XOR *AR6+%, A ; 199
+ XOR *AR6+%, B ; 200
+ XOR *AR6+0%, A ; 201
+ XOR *AR6+0%, B ; 202
+ XOR *AR6(0661Bh), A ; 203
+ XOR *AR6(0661Ch), B ; 204
+ XOR *+AR6(0661Dh), A ; 205
+ XOR *+AR6(0661Eh), B ; 206
+ XOR *+AR6(0661Fh)%, A ; 207
+ XOR *+AR6(06620h)%, B ; 208
+ XOR *(06621h), A ; 209
+ XOR *(06622h), B ; 210
+ XOR *AR7, A ; 211
+ XOR *AR7, B ; 212
+ XOR *AR7-, A ; 213
+ XOR *AR7-, B ; 214
+ XOR *AR7+, A ; 215
+ XOR *AR7+, B ; 216
+ XOR *AR7-0B, A ; 217
+ XOR *AR7-0B, B ; 218
+ XOR *AR7-0, A ; 219
+ XOR *AR7-0, B ; 220
+ XOR *AR7+0, A ; 221
+ XOR *AR7+0, B ; 222
+ XOR *AR7+0B, A ; 223
+ XOR *AR7+0B, B ; 224
+ XOR *AR7-%, A ; 225
+ XOR *AR7-%, B ; 226
+ XOR *AR7-0%, A ; 227
+ XOR *AR7-0%, B ; 228
+ XOR *AR7+%, A ; 229
+ XOR *AR7+%, B ; 230
+ XOR *AR7+0%, A ; 231
+ XOR *AR7+0%, B ; 232
+ XOR *AR7(06623h), A ; 233
+ XOR *AR7(06624h), B ; 234
+ XOR *+AR7(06625h), A ; 235
+ XOR *+AR7(06626h), B ; 236
+ XOR *+AR7(06627h)%, A ; 237
+ XOR *+AR7(06628h)%, B ; 238
+ XOR *(06629h), A ; 239
+ XOR *(0662Ah), B ; 240
+ ; Assembler under test ends
+testend:
+ B testend ; end test by looping to self
+ .end
diff --git a/gas/testsuite/gas/tic54x/asg.d b/gas/testsuite/gas/tic54x/asg.d
new file mode 100644
index 0000000..d49762a
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/asg.d
@@ -0,0 +1,131 @@
+#objdump: -d
+#name: c54x subsym assignment/use
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <L1>:
+ 0: f000.*
+ 1: 0064.*
+
+00000002 <L2>:
+ 2: 1090.*
+
+00000003 <L3>:
+ 3: 1090.*
+
+00000004 <newlabel>:
+ 4: f000.*
+ 5: 0000.*
+ 6: f000.*
+ 7: 0001.*
+ 8: 0001.*
+ 9: 0002.*
+ a: 0003.*
+ b: 0004.*
+ c: 0005.*
+ d: 0000.*
+ e: 0001.*
+ f: 0002.*
+ 10: 0003.*
+ 11: 0004.*
+ 12: 0005.*
+ 13: 0006.*
+ 14: 0007.*
+ 15: 0008.*
+ 16: 0009.*
+ 17: 000a.*
+ 18: 000b.*
+ 19: 000c.*
+ 1a: 000d.*
+ 1b: 000e.*
+ 1c: 000f.*
+ 1d: 0010.*
+ 1e: 0011.*
+ 1f: 0012.*
+ 20: 0013.*
+ 21: 0014.*
+ 22: 0015.*
+ 23: 0016.*
+ 24: 0017.*
+ 25: 0018.*
+ 26: 0019.*
+ 27: 001a.*
+ 28: 001b.*
+ 29: 001c.*
+ 2a: 001d.*
+ 2b: 001e.*
+ 2c: 001f.*
+ 2d: 0020.*
+ 2e: 0021.*
+ 2f: 0022.*
+ 30: 0023.*
+ 31: 0024.*
+ 32: 0025.*
+ 33: 0026.*
+ 34: 0027.*
+ 35: 0028.*
+ 36: 0029.*
+ 37: 002a.*
+ 38: 002b.*
+ 39: 002c.*
+ 3a: 002d.*
+ 3b: 002e.*
+ 3c: 002f.*
+ 3d: 0030.*
+ 3e: 0031.*
+ 3f: 0032.*
+ 40: 0033.*
+ 41: 0034.*
+ 42: 0035.*
+ 43: 0036.*
+ 44: 0037.*
+ 45: 0038.*
+ 46: 0039.*
+ 47: 003a.*
+ 48: 003b.*
+ 49: 003c.*
+ 4a: 003d.*
+ 4b: 003e.*
+ 4c: 003f.*
+ 4d: 0040.*
+ 4e: 0041.*
+ 4f: 0042.*
+ 50: 0043.*
+ 51: 0044.*
+ 52: 0045.*
+ 53: 0046.*
+ 54: 0047.*
+ 55: 0048.*
+ 56: 0049.*
+ 57: 004a.*
+ 58: 004b.*
+ 59: 004c.*
+ 5a: 004d.*
+ 5b: 004e.*
+ 5c: 004f.*
+ 5d: 0050.*
+ 5e: 0051.*
+ 5f: 0052.*
+ 60: 0053.*
+ 61: 0054.*
+ 62: 0055.*
+ 63: 0056.*
+ 64: 0057.*
+ 65: 0058.*
+ 66: 0059.*
+ 67: 005a.*
+ 68: 005b.*
+ 69: 005c.*
+ 6a: 005d.*
+ 6b: 005e.*
+ 6c: 005f.*
+ 6d: 0060.*
+ 6e: 0061.*
+ 6f: 0062.*
+ 70: 0063.*
+ 71: 0064.*
+
+00000072 <end>:
+ 72: 0100.*
diff --git a/gas/testsuite/gas/tic54x/asg.s b/gas/testsuite/gas/tic54x/asg.s
new file mode 100644
index 0000000..aefa999
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/asg.s
@@ -0,0 +1,39 @@
+* asg/eval test substitution symbols
+* .eval value, symbol
+* .asg "string", symbol
+* .asg string, symbol
+ .global L1,L2,L3,newlabel,end
+ .sslist ; list line substitutions
+ .text
+ .asg *ar0+, INC ; replace a complete operand
+ .asg ar0, FP ; replace a sub-operand
+ .asg "add #1,a", doit ; macro-style
+ .asg newlabel, LABEL ; replace a label
+ .asg .word 0, PSEUDO ; replace with a directive
+
+L1: add #100,a
+L2: ld *FP+,a
+L3: ld INC,a
+ .asg 0,L2
+LABEL: add #L2,a
+ doit
+ .asg 0, x
+ .loop 5
+ .eval x+1,x
+ .word x
+ .endloop
+ PSEUDO
+
+* Tests from 5.3.2
+ .asg AR0,FP
+ .asg *AR1+,Ind
+ .asg *AR1+0b,Rc_Prop
+ .asg "string",strng ; NOTE: """string""" not supported
+ .asg "a,b,c",parms
+ .asg 1,counter
+ .loop 100
+ .word counter
+ .eval counter + 1, counter
+ .endloop
+end: .word 0x100
+ .end
diff --git a/gas/testsuite/gas/tic54x/cons.d b/gas/testsuite/gas/tic54x/cons.d
new file mode 100644
index 0000000..ebd20a8
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/cons.d
@@ -0,0 +1,103 @@
+#objdump: -d
+#name: c54x cons tests
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <binary>:
+ 0: 0003.*
+ 1: 0004.*
+
+00000002 <octal>:
+ 2: 0009.*
+ 3: 000a.*
+ 4: 000b.*
+
+00000005 <hex>:
+ 5: 000f.*
+ 6: 0010.*
+
+00000007 <field>:
+ 7: 6440.*
+ 8: 0123.*
+ 9: 4000.*
+ a: 0000.*
+ b: 1234.*
+
+0000000c <byte>:
+ c: 00aa.*
+ d: 00bb.*
+
+0000000e <word>:
+ e: 0ccc.*
+
+0000000f <xlong>:
+ f: 0eee.*
+ 10: efff.*
+ ...
+
+00000012 <long>:
+ 12: eeee.*
+ 13: ffff.*
+
+00000014 <int>:
+ 14: dddd.*
+
+00000015 <xfloat>:
+ 15: 3fff.*
+ 16: ffac.*
+ ...
+
+00000018 <float>:
+ 18: 3fff.*
+ 19: ffac.*
+
+0000001a <string>:
+ 1a: 0061.*
+ 1b: 0062.*
+ 1c: 0063.*
+ 1d: 0064.*
+ 1e: 0061.*
+ 1f: 0062.*
+ 20: 0063.*
+ 21: 0064.*
+ 22: 0065.*
+ 23: 0066.*
+ 24: 0067.*
+ 25: 0030.*
+
+00000026 <pstring>:
+ 26: 6162.*
+ 27: 6364.*
+ 28: 6162.*
+ 29: 6364.*
+ 2a: 6566.*
+ 2b: 6700.*
+
+0000002c <DAT1>:
+ 2c: 0000.*
+ 2d: abcd.*
+ 2e: 0000.*
+ 2f: 0141.*
+ 30: 0000.*
+ 31: 0067.*
+ 32: 0000.*
+ 33: 006f.*
+
+00000034 <xlong.0>:
+ 34: 0000.*
+ 35: 002c.*
+ 36: aabb.*
+ 37: ccdd.*
+
+00000038 <DAT2>:
+ 38: 0000.*
+ ...
+
+0000003a <DAT3>:
+ 3a: 1234.*
+ 3b: 5678.*
+ 3c: 0000.*
+ 3d: aabb.*
+ 3e: ccdd.*
diff --git a/gas/testsuite/gas/tic54x/cons.s b/gas/testsuite/gas/tic54x/cons.s
new file mode 100644
index 0000000..8eace91
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/cons.s
@@ -0,0 +1,38 @@
+*
+* Constants initialization
+* See also long.s, space.s, field.s
+*
+ .global binary, octal, hex, field
+binary: .word 11b, 0100B
+octal: .word 011q, 12q, 013Q
+hex: .word 0Fh, 10H
+field: .field 3, 3
+ .field 8, 6
+ .field 16, 5
+ .field 01234h,20
+ .field 01234h,32
+ .global byte, word, xlong, long, int
+byte: .byte 0AAh, 0BBh
+word: .word 0CCCh
+xlong: .xlong 0EEEEFFFh
+long: .long 0EEEEFFFFh
+int: .int 0DDDDh
+ .global xfloat, float
+xfloat: .xfloat 1.99999
+float: .float 1.99999
+ .global string, pstring
+string .string "abcd"
+ .string "abc","defg"
+ .string 36 + 12
+pstring .pstring "abcd"
+ .pstring "abc","defg"
+
+ .global DAT1, DAT2, DAT3, DAT4
+DAT1: .long 0ABCDh, 'A' + 100h, 'g', 'o'
+xlong?: .xlong DAT1, 0AABBCCDDh
+DAT2: .word 0
+DAT3: .long 012345678h
+ .word 0
+ .xlong 0AABBCCDDh
+DAT4:
+ .end
diff --git a/gas/testsuite/gas/tic54x/consfar.d b/gas/testsuite/gas/tic54x/consfar.d
new file mode 100644
index 0000000..8c8a532
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/consfar.d
@@ -0,0 +1,106 @@
+#as: -mcpu=548 -mfar-mode
+#objdump: -d -r
+#name: c54x cons tests, w/extended addressing
+#source: cons.s
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <binary>:
+ 0: 0003.*
+ 1: 0004.*
+
+00000002 <octal>:
+ 2: 0009.*
+ 3: 000a.*
+ 4: 000b.*
+
+00000005 <hex>:
+ 5: 000f.*
+ 6: 0010.*
+
+00000007 <field>:
+ 7: 6440.*
+ 8: 0123.*
+ 9: 4000.*
+ a: 0000.*
+ b: 1234.*
+
+0000000c <byte>:
+ c: 00aa.*
+ d: 00bb.*
+
+0000000e <word>:
+ e: 0ccc.*
+
+0000000f <xlong>:
+ f: 0eee.*
+ 10: efff.*
+ ...
+
+00000012 <long>:
+ 12: eeee.*
+ 13: ffff.*
+
+00000014 <int>:
+ 14: dddd.*
+
+00000015 <xfloat>:
+ 15: 3fff.*
+ 16: ffac.*
+ ...
+
+00000018 <float>:
+ 18: 3fff.*
+ 19: ffac.*
+
+0000001a <string>:
+ 1a: 0061.*
+ 1b: 0062.*
+ 1c: 0063.*
+ 1d: 0064.*
+ 1e: 0061.*
+ 1f: 0062.*
+ 20: 0063.*
+ 21: 0064.*
+ 22: 0065.*
+ 23: 0066.*
+ 24: 0067.*
+ 25: 0030.*
+
+00000026 <pstring>:
+ 26: 6162.*
+ 27: 6364.*
+ 28: 6162.*
+ 29: 6364.*
+ 2a: 6566.*
+ 2b: 6700.*
+
+0000002c <DAT1>:
+ 2c: 0000.*
+ 2d: abcd.*
+ 2e: 0000.*
+ 2f: 0141.*
+ 30: 0000.*
+ 31: 0067.*
+ 32: 0000.*
+ 33: 006f.*
+
+00000034 <xlong.0>:
+ 34: 0000.*
+.*34: ARELEXT.*
+ 35: 002c.*
+ 36: aabb.*
+ 37: ccdd.*
+
+00000038 <DAT2>:
+ 38: 0000.*
+ ...
+
+0000003a <DAT3>:
+ 3a: 1234.*
+ 3b: 5678.*
+ 3c: 0000.*
+ 3d: aabb.*
+ 3e: ccdd.*
diff --git a/gas/testsuite/gas/tic54x/extaddr.d b/gas/testsuite/gas/tic54x/extaddr.d
new file mode 100644
index 0000000..ceee627
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/extaddr.d
@@ -0,0 +1,56 @@
+#objdump: -d -r
+#name: c54x extended addressing
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: f062.*
+ 1: 0000.*
+.*1: RELEXTMS7.*
+ 2: f040.*
+ 3: 0000.*
+.*3: RELEXT16.*
+ 4: f4e2.*
+
+00000005 <start>:
+ 5: f881.*
+ 6: 0080.*
+.*5: ARELEXT.*
+ 7: fa81.*
+ 8: 0080.*
+.*7: ARELEXT.*
+ 9: f495.*
+ a: f495.*
+ b: f4e6.*
+ c: f6e6.*
+ d: f495.*
+ e: f495.*
+ f: f4e7.*
+ 10: f7e7.*
+ 11: f495.*
+ 12: f495.*
+ 13: f981.*
+ 14: 0080.*
+.*13: ARELEXT.*
+ 15: fb81.*
+ 16: 0080.*
+.*15: ARELEXT.*
+ 17: f495.*
+ 18: f495.*
+ 19: f4e4.*
+ 1a: f6e4.*
+ 1b: f495.*
+ 1c: f495.*
+ 1d: f4e5.*
+ 1e: f6e5.*
+ 1f: f495.*
+ 20: f495.*
+ ...
+
+00010080 <end>:
+ 10080: f881.*
+ 10081: 0080.*
+.*10080: ARELEXT.*
+
diff --git a/gas/testsuite/gas/tic54x/extaddr.s b/gas/testsuite/gas/tic54x/extaddr.s
new file mode 100644
index 0000000..b39479b
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/extaddr.s
@@ -0,0 +1,45 @@
+*
+* Extended addressing support
+*
+ .version 548
+ .far_mode
+ .global F1, start, end
+ ; LDX pseudo-op
+ ldx #F1,16,a ; load upper 8 bits of extended address
+ or #F1,a,a ; load remaining bits
+ bacc a
+ ; extended addressing functions
+start:
+ fb end
+
+ fbd end
+ nop
+ nop
+
+ fbacc a
+ fbaccd a
+ nop
+ nop
+ fcala a
+ fcalad b
+ nop
+ nop
+ fcall end
+
+ fcalld end
+ nop
+ nop
+
+ fret
+ fretd
+ nop
+ nop
+ frete
+ freted
+ nop
+ nop
+ .space 16*0xFFFF
+ .align 0x80
+end:
+ fb end
+ .end
diff --git a/gas/testsuite/gas/tic54x/field.d b/gas/testsuite/gas/tic54x/field.d
new file mode 100644
index 0000000..618cb4a
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/field.d
@@ -0,0 +1,30 @@
+#objdump: -d
+#name: c54x field directive
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <f1>:
+ 0: 2af0.*
+ 1: 5600.*
+
+00000001 <f2>:
+ 1: 5600.*
+
+00000002 <f4>:
+ 2: 0001.*
+
+00000003 <f5>:
+ 3: 0000.*
+ 4: 4321.*
+
+00000005 <f6>:
+ 5: 000f.*
+
+00000006 <f7>:
+ 6: 6000.*
+ 7: 008a.*
+
+00000007 <f8>:
+ 7: 008a.*
diff --git a/gas/testsuite/gas/tic54x/field.s b/gas/testsuite/gas/tic54x/field.s
new file mode 100644
index 0000000..1edfdaf
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/field.s
@@ -0,0 +1,14 @@
+*
+* test .field directive
+*
+ .global X
+ .global f1,f2,f3,f4,f5,f6,f7,f8
+f1: .field 0ABCh, 14 ; f1=0x0
+f2: .field 0Ah, 5 ; should align to next word, f2=0x1
+f3: .field 0Ch, 4 ; should be packed in previous word, f3=0x1
+f4: .field f3 ; align at word 0x2
+f5: .field 04321h, 32 ;
+f6: .field 01111b ; default to 16-bit field
+f7: .field 3,3
+f8: .field 69,15 ; align at next word
+ .end
diff --git a/gas/testsuite/gas/tic54x/in_mlib.asm b/gas/testsuite/gas/tic54x/in_mlib.asm
new file mode 100644
index 0000000..d55e593
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/in_mlib.asm
@@ -0,0 +1,5 @@
+IN_MLIB .macro AA,BB,CC
+ ADD #AA,a
+ ADD #BB,b
+ ADD #CC,a
+ .endm
diff --git a/gas/testsuite/gas/tic54x/labels.d b/gas/testsuite/gas/tic54x/labels.d
new file mode 100644
index 0000000..42e2160
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/labels.d
@@ -0,0 +1,74 @@
+#objdump: -d
+#name: c54x local labels
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <label1>:
+ 0: 1000.*
+ 1: 0800.*
+ 2: f843.*
+ 3: 0007.*
+ 4: 1000.*
+ 5: f073.*
+ 6: 0008.*
+
+00000007 <\$1.*>:
+ 7: 1000.*
+
+00000008 <\$2.*>:
+ 8: 0000.*
+ 9: f843.*
+ a: 000c.*
+ b: 8000.*
+
+0000000c <\$1.*>:
+ c: f495.*
+ d: f495.*
+
+0000000e <lab.*>:
+ e: f000.*
+ f: 0001.*
+ 10: f073.*
+ 11: 000e.*
+
+00000012 <lab.*>:
+ 12: f845.*
+ 13: 0012.*
+
+00000014 <lab.*>:
+ 14: f000.*
+ 15: 0003.*
+ 16: f073.*
+ 17: 0014.*
+
+00000018 <lab.*>:
+ 18: f000.*
+ 19: 0004.*
+ 1a: f073.*
+ 1b: 0018.*
+
+0000001c <after_macro>:
+ 1c: f073.*
+ 1d: 0014.*
+Disassembly of section new_sect:
+
+00000000 <new_section>:
+ 0: f495.*
+
+00000001 <lab.7>:
+ 1: f000.*
+ 2: 0005.*
+ 3: f495.*
+ 4: f495.*
+ 5: f073.*
+ 6: 0001.*
+
+00000007 <lab.8>:
+ 7: f000.*
+ 8: 0006.*
+ 9: f495.*
+ a: f495.*
+ b: f073.*
+ c: 0007.*
diff --git a/gas/testsuite/gas/tic54x/labels.inc b/gas/testsuite/gas/tic54x/labels.inc
new file mode 100644
index 0000000..2e63297
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/labels.inc
@@ -0,0 +1,3 @@
+* simple include file to test local label scope
+lab? bc lab?,aeq
+* end
diff --git a/gas/testsuite/gas/tic54x/labels.s b/gas/testsuite/gas/tic54x/labels.s
new file mode 100644
index 0000000..ac47213
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/labels.s
@@ -0,0 +1,55 @@
+* local labels
+* two forms, $[0-9] and label? are allowed
+* Local labels are undefined/reset in one of four ways:
+* .newblock
+* changing sections
+* entering an include file
+* leaving an include file
+ .global addra, addrb, addrc
+label1: ld addra,a
+ sub addrb,a
+ bc $1, alt ; generates frag!
+ ld addrb, a
+ b $2
+$1: ld addra,a
+$2 add addrc,a
+ .newblock
+ bc $1,alt
+ stl a, addrc
+$1 nop
+
+* #1, First definition of local label 'lab'
+ nop
+lab? add #1,a ; reports as line 17?
+ b lab?
+* #2, Included file also defines local label 'lab'
+ .copy labels.inc
+* #3, Next definition; exit from .copy clears all locals
+lab? add #3,a ; reports as line 22?
+ b lab?
+* #4, Next definition is within macro; supersedes previous definition while
+* within the macro
+mac .macro
+lab? add #4,a ; line 31?
+ b lab?
+ .endm
+* Macro invocation
+ mac
+* This reference should resolve to definition #3
+after_macro:
+ b lab?
+* Section change clears all definitions
+ .sect new_section
+ nop
+lab? add #5,a
+ nop
+ nop
+ b lab?
+* Newblock directive clears local labels
+ .newblock
+lab? add #6,a
+ nop
+ nop
+ b lab?
+ .end
+
diff --git a/gas/testsuite/gas/tic54x/loop.d b/gas/testsuite/gas/tic54x/loop.d
new file mode 100644
index 0000000..f067524
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/loop.d
@@ -0,0 +1,18 @@
+#objdump: -d
+#name: c54x loop directive
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ ...
+
+00000001 <label>:
+ 1: 0000.*
+ 2: 0001.*
+ 3: 0002.*
+ 4: 0003.*
+ 5: 0004.*
+ 6: 0005.*
+ 7: 0007.*
diff --git a/gas/testsuite/gas/tic54x/loop.s b/gas/testsuite/gas/tic54x/loop.s
new file mode 100644
index 0000000..c2a6d40
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/loop.s
@@ -0,0 +1,18 @@
+* Test loop directive handling
+* .loop [well-defined expression]
+* .break [well-defined expression]
+* .endloop
+ .global label
+ .asg label,COEF
+ .word 0
+ .eval 0,x
+COEF .loop 10
+ .word x
+ .eval x+1,x
+ .if x == 6
+ .break
+ .endif
+ .break x == 6
+ .endloop
+ .word x+1
+ .end
diff --git a/gas/testsuite/gas/tic54x/lp.d b/gas/testsuite/gas/tic54x/lp.d
new file mode 100644
index 0000000..7eb6186
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/lp.d
@@ -0,0 +1,10 @@
+#objdump: -d
+#name: c54x LP device/instruction
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: f49f.*
+
diff --git a/gas/testsuite/gas/tic54x/lp.s b/gas/testsuite/gas/tic54x/lp.s
new file mode 100644
index 0000000..71b9af2
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/lp.s
@@ -0,0 +1,3 @@
+ .version 545lp
+ rnd a
+ .end
diff --git a/gas/testsuite/gas/tic54x/macro.d b/gas/testsuite/gas/tic54x/macro.d
new file mode 100644
index 0000000..5313891
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/macro.d
@@ -0,0 +1,20 @@
+#objdump: -d
+#name: c54x macros
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: f000.*
+ 1: 0000.*
+ 2: f300.*
+ 3: 0000.*
+ 4: f000.*
+ 5: 0000.*
+ 6: 1000.*
+ 7: 0000.*
+ 8: 0000.*
+ 9: 8000.*
+ a: f000.*
+ b: 0064.*
diff --git a/gas/testsuite/gas/tic54x/macro.s b/gas/testsuite/gas/tic54x/macro.s
new file mode 100644
index 0000000..0bed366
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/macro.s
@@ -0,0 +1,40 @@
+* Macro test
+ .sslist
+ .text
+ .global abc, def, ghi, adr
+
+* Macro library; load and use a macro in macros.lib
+ .mlib "macros.lib"
+
+ IN_MLIB abc,def,ghi
+
+add3 .macro P1,P2,P3,ADDRP
+ ld P1,a
+ add P2,a
+ add P3,a
+ stl a,ADDRP
+ .endm
+ add3 abc, def, ghi, adr
+
+* Forced substitution within a macro
+force .macro x
+ .asg 0, x
+ .loop 8
+AUX:x: .set x
+ .eval x+1,x
+ .endloop
+ .endm
+ force
+
+* Subsripted substitution symbols
+ADDX .macro ABC
+ .var TMP
+ .asg :ABC(1):,TMP
+ .if $symcmp(TMP,"#") == 0
+ ADD ABC,A
+ .else
+ .emsg "Bad macro parameter 'ABC'"
+ .endif
+ .endm
+ ADDX #100 ; ADD #100,A
+ .end
diff --git a/gas/testsuite/gas/tic54x/macro1.s b/gas/testsuite/gas/tic54x/macro1.s
new file mode 100644
index 0000000..d92ee54
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/macro1.s
@@ -0,0 +1,12 @@
+* Subsripted substitution symbols
+ADDX .macro ABC
+ .var TMP
+ .asg :ABC(1):,TMP
+ .if $symcmp(TMP,"#") == 0
+ ADD ABC,A
+ .else
+ .emsg "Bad macro parameter 'ABC'"
+ .endif
+ .endm
+ ADDX *AR1 ; should produce an error msg
+ .end
diff --git a/gas/testsuite/gas/tic54x/macros.lib b/gas/testsuite/gas/tic54x/macros.lib
new file mode 100644
index 0000000..505ca4f
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/macros.lib
@@ -0,0 +1,7 @@
+!<arch>
+in_mlib.asm/ 934653484 500 100 100664 92 `
+IN_MLIB .macro AA,BB,CC
+ ADD #AA,a
+ ADD #BB,b
+ ADD #CC,a
+ .endm
diff --git a/gas/testsuite/gas/tic54x/math.d b/gas/testsuite/gas/tic54x/math.d
new file mode 100644
index 0000000..d2aadf8
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/math.d
@@ -0,0 +1,58 @@
+#objdump: -d
+#name: c54x math builtins
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 3fc9.*
+ 1: 0fd8.*
+ ...
+ 6: 3eed.*
+ 7: 6345.*
+ 8: 4000.*
+ 9: 0000.*
+ a: 3f80.*
+ b: 0000.*
+ c: 3f80.*
+ d: 0000.*
+ e: 3f80.*
+ f: 0000.*
+ 10: 0002.*
+ 11: fffe.*
+ 12: 402d.*
+ 13: f855.*
+ 14: 4020.*
+ 15: 0000.*
+ 16: 4040.*
+ 17: 0000.*
+ 18: 4000.*
+ 19: 0000.*
+ 1a: 0000.*
+ 1b: 0000.*
+ 1c: 4080.*
+ ...
+ 21: 0000.*
+ 22: 4000.*
+ 23: 0000.*
+ 24: 3f80.*
+ 25: 0000.*
+ 26: 4100.*
+ 27: 0000.*
+ 28: 40a0.*
+ 29: 0000.*
+ 2a: c0a0.*
+ 2b: 0000.*
+ 2c: ffff.*
+ 2d: 0000.*
+ 2e: 0001.*
+ ...
+ 33: 0000.*
+ 34: 3f80.*
+ ...
+ 39: 0000.*
+ 3a: bf80.*
+ 3b: 0000.*
+ 3c: 3f80.*
+ ...
diff --git a/gas/testsuite/gas/tic54x/math.s b/gas/testsuite/gas/tic54x/math.s
new file mode 100644
index 0000000..3238e52
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/math.s
@@ -0,0 +1,37 @@
+*
+* Math built-in functions
+*
+ .float $acos(0.0)
+ .float $asin(0.0)
+ .float $atan(0.0)
+ .float $atan2(1.0,2.0)
+ .float $ceil(1.5)
+ .float $cosh(0.0)
+ .float $cos(0.0)
+ .float $cvf(1)
+ .int $cvi(2.5)
+ .int $cvi(-2.5)
+ .float $exp(1.0)
+ .float $fabs(-2.5)
+ .float $floor(3.4)
+ .float $fmod(10,4)
+ .int $int(1.2)
+ .float $ldexp(1,2)
+ .float $log10(1.0)
+ .float $log(1.0)
+ .float $max(1.0,2.0)
+ .float $min(1.0,2.0)
+ .float $pow(2.0,3.0)
+ .float $round(4.5)
+ .float $round(-4.5)
+ .int $sgn(-1.0)
+ .int $sgn(0)
+ .int $sgn(1)
+ .float $sin(0.0)
+ .float $sinh(0.0)
+ .float $sqrt(1.0)
+ .float $tan(0.0)
+ .float $tanh(0.0)
+ .float $trunc(-1.5)
+ .float $trunc(1.5)
+ .end
diff --git a/gas/testsuite/gas/tic54x/opcodes.d b/gas/testsuite/gas/tic54x/opcodes.d
new file mode 100644
index 0000000..d3496bf
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/opcodes.d
@@ -0,0 +1,292 @@
+#objdump: -d
+#name: c54x opcode list
+
+.*: file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <_opcodes>:
+ 0: e39a abdst \*ar3\+,\*ar4\+
+ 1: f485 abs a
+ 2: f585 abs a,b
+ 3: 0090 add \*ar0\+,a
+ 4: 0491 add \*ar1\+,ts,a
+ 5: 3c92 add \*ar2\+,16,a
+ 6: 6f93 add \*ar3\+,a,b
+ 7: 0d00
+ 8: 90a1 add \*ar4\+,1,a
+ 9: a09a add \*ar3\+,\*ar4\+,a
+ a: f000 add #-32768,a
+ b: 8000
+ c: f160 add #0,16,a,b
+ d: 0000
+ e: f510 add a,-16,b
+ f: f580 add a,asm,b
+ 10: 0690 addc \*ar0\+,a
+ 11: 6b91 addm #1,\*ar1\+
+ 12: 0001
+ 13: 0292 adds \*ar2\+,a
+ 14: 1893 and \*ar3\+,a
+ 15: f131 and #1,1,a,b
+ 16: 0001
+ 17: f163 and #1,16,a,b
+ 18: 0001
+ 19: f080 and a
+ 1a: 6890 andm #1,\*ar0\+
+ 1b: 0001
+ 1c: f073 b 11c <_opcodes_end>
+ 1d: 011c
+ 1e: f273 bd 11c <_opcodes_end>
+ 1f: 011c
+ 20: f495 nop
+ 21: f495 nop
+ 22: f4e2 bacc a
+ 23: f7e2 baccd b
+ 24: f495 nop
+ 25: f495 nop
+ 26: 6c91 banz 11c <_opcodes_end>,\*ar1\+
+ 27: 011c
+ 28: 6e92 banzd 11c <_opcodes_end>,\*ar2\+
+ 29: 011c
+ 2a: f495 nop
+ 2b: f495 nop
+ 2c: f875 bc 11c <_opcodes_end>,aeq, aov
+ 2d: 011c
+ 2e: fa3f bcd 11c <_opcodes_end>,tc, c, bio
+ 2f: 011c
+ 30: f495 nop
+ 31: f495 nop
+ 32: 9691 bit \*ar3\+,1
+ 33: 6194 bitf \*ar4\+,#-1
+ 34: ffff
+ 35: 3495 bitt \*ar5\+
+ 36: f4e3 cala a
+ 37: f7e3 calad b
+ 38: f495 nop
+ 39: f495 nop
+ 3a: f074 call 11c <_opcodes_end>
+ 3b: 011c
+ 3c: f274 calld 11c <_opcodes_end>
+ 3d: 011c
+ 3e: f495 nop
+ 3f: f495 nop
+ 40: f930 cc 11c <_opcodes_end>,tc
+ 41: 011c
+ 42: fb45 ccd 11c <_opcodes_end>,aeq
+ 43: 011c
+ 44: f495 nop
+ 45: f495 nop
+ 46: f693 cmpl b,a
+ 47: 6090 cmpm \*ar0\+,#1
+ 48: 0001
+ 49: f5a9 cmpr lt,ar1
+ 4a: 8e92 cmps a,\*ar2\+
+ 4b: 518b dadd \*ar3-,a,b
+ 4c: 5a8c dadst \*ar4-,a
+ 4d: 4d95 delay \*ar5\+
+ 4e: 568e dld \*ar6-,a
+ 4f: 598f drsub \*ar7-,b
+ 50: 5e88 dsadt \*ar0-,a
+ 51: 4e89 dst a,\*ar1-
+ 52: 558a dsub \*ar2-,b
+ 53: 5c8b dsubt \*ar3-,a
+ 54: f48e exp a
+ 55: e09a firs \*ar3\+,\*ar4\+,11c <_opcodes_end>
+ 56: 011c
+ 57: ee80 frame -128
+ 58: f6e1 idle 2
+ 59: f7cf intr 15
+ 5a: 1090 ld \*ar0\+,a
+ 5b: 1491 ld \*ar1\+,ts,a
+ 5c: 4492 ld \*ar2\+,16,a
+ 5d: 9491 ld \*ar3\+,1,a
+ 5e: 94a1 ld \*ar4\+,1,a
+ 5f: e901 ld #1,b
+ 60: f021 ld #32767,1,a
+ 61: 7fff
+ 62: f062 ld #32767,16,a
+ 63: 7fff
+ 64: f582 ld a,asm,b
+ 65: f541 ld a,1,b
+ 66: 3090 ld \*ar0\+,t
+ 67: 4691 ld \*ar1\+,dp
+ 68: ea02 ld #2,dp
+ 69: ed0f ld #15,asm
+ 6a: f4a7 ld #7,arp
+ 6b: 3292 ld \*ar2\+,asm
+ 6c: 4813 ldm ar3,a
+ 6d: a889 ld \*ar2\+,a || mac \*ar3\+,a
+ 6e: abab ld \*ar4\+,b || macr \*ar5\+,b
+ 6f: ac89 ld \*ar2\+,a || mas \*ar3\+,a
+ 70: afab ld \*ar4\+,b || masr \*ar5\+,b
+ 71: 1696 ldr \*ar6\+,a
+ 72: 1297 ldu \*ar7\+,a
+ 73: e19a lms \*ar3\+,\*ar4\+
+ 74: 4c90 ltd \*ar0\+
+ 75: 2891 mac \*ar1\+,a
+ 76: 2a92 macr \*ar2\+,a
+ 77: b189 mac \*ar2\+,\*ar3\+,a,b
+ 78: b5ab macr \*ar4\+,\*ar5\+,a,b
+ 79: f167 mac #1,a,b
+ 7a: 0001
+ 7b: 6490 mac \*ar0\+,#1,a
+ 7c: 0001
+ 7d: 3591 maca \*ar1\+,b
+ 7e: f588 maca t,a,b
+ 7f: 7a92 macd \*ar2\+,11c <_opcodes_end>,a
+ 80: 011c
+ 81: 7893 macp \*ar3\+,11c <_opcodes_end>,a
+ 82: 011c
+ 83: a6ab macsu \*ar4\+,\*ar5\+,a
+ 84: 6d96 mar \*ar6\+
+ 85: 2c97 mas \*ar7\+,a
+ 86: 2e90 masr \*ar0\+,a
+ 87: b99a mas \*ar3\+,\*ar4\+,a,b
+ 88: bd8b masr \*ar2\+,\*ar5\+,a,b
+ 89: 3396 masa \*ar6\+,b
+ 8a: f58a masa t,a,b
+ 8b: f48b masar t,a
+ 8c: f486 max a
+ 8d: f587 min b
+ 8e: 2097 mpy \*ar7\+,a
+ 8f: a59a mpy \*ar3\+,\*ar4\+,b
+ 90: 6280 mpy \*ar0,#1,a
+ 91: 0001
+ 92: f066 mpy #1,a
+ 93: 0001
+ 94: 3190 mpya \*ar0\+
+ 95: f58c mpya b
+ 96: 2591 mpyu \*ar1\+,b
+ 97: e589 mvdd \*ar2\+,\*ar3\+
+ 98: 7194 mvdk \*ar4\+,0 <_opcodes>
+ 99: 0000
+ 9a: 7215 mvdm 0 <_opcodes>,ar5
+ 9b: 0000
+ 9c: 7d96 mvdp \*ar6\+,11c <_opcodes_end>
+ 9d: 011c
+ 9e: 7097 mvkd 0 <_opcodes>,\*ar7\+
+ 9f: 0000
+ a0: 7310 mvmd ar0,0 <_opcodes>
+ a1: 0000
+ a2: e712 mvmm ar1,ar2
+ a3: 7c93 mvpd 11c <_opcodes_end>,\*ar3\+
+ a4: 011c
+ a5: f584 neg a,b
+ a6: f495 nop
+ a7: f48f norm a
+ a8: 1b90 or \*ar0\+,b
+ a9: f340 or #7,b
+ aa: 0007
+ ab: f364 or #1,16,b
+ ac: 0001
+ ad: f3a0 or b
+ ae: 6991 orm #1,\*ar1\+
+ af: 0001
+ b0: 3692 poly \*ar2\+
+ b1: 8b93 popd \*ar3\+
+ b2: 8a14 popm ar4
+ b3: 7495 portr pa0,\*ar5\+
+ b4: 0000
+ b5: 7596 portw \*ar6\+,pa0
+ b6: 0000
+ b7: 4b97 pshd \*ar7\+
+ b8: 4a10 pshm ar0
+ b9: fc44 rc aneq
+ ba: fe46 rcd agt
+ bb: 7e91 reada \*ar1\+
+ bc: f7e0 reset
+ bd: fc00 ret
+ be: fe00 retd
+ bf: f495 nop
+ c0: f495 nop
+ c1: f4eb rete
+ c2: f6eb reted
+ c3: f495 nop
+ c4: f495 nop
+ c5: f49b retf
+ c6: f69b retfd
+ c7: f491 rol a
+ c8: f492 roltc a
+ c9: f590 ror b
+ ca: 4790 rpt \*ar0\+
+ cb: f495 nop
+ cc: ec20 rpt #32
+ cd: f495 nop
+ ce: f070 rpt #65535
+ cf: ffff
+ d0: f495 nop
+ d1: f072 rptb 11b <_opcodes\+0x11b>
+ d2: 011b
+ d3: f495 nop
+ d4: f272 rptbd 11b <_opcodes\+0x11b>
+ d5: 011b
+ d6: f495 nop
+ d7: f495 nop
+ d8: f071 rptz a,#32767
+ d9: 7fff
+ da: f495 nop
+ db: f6bf rsbx st1,braf
+ dc: 9e93 saccd a,\*ar3\+,alt
+ dd: f483 sat a
+ de: f56f sfta a,15,b
+ df: f494 sftc a
+ e0: f0ef sftl a,15
+ e1: e289 sqdst \*ar2\+,\*ar3\+
+ e2: 2794 squr \*ar4\+,b
+ e3: f48d squr a,a
+ e4: 3895 squra \*ar5\+,a
+ e5: 3a96 squrs \*ar6\+,a
+ e6: 9d87 srccd \*ar2\+,aleq
+ e7: f7bf ssbx st1,braf
+ e8: 8c90 st t,\*ar0\+
+ e9: 8d91 st trn,\*ar1\+
+ ea: 7692 st #32767,\*ar2\+
+ eb: 7fff
+ ec: 8293 sth a,\*ar3\+
+ ed: 8694 sth a,asm,\*ar4\+
+ ee: 9abf sth a,15,\*ar5\+
+ ef: 6f96 sth a,-16,\*ar6\+
+ f0: 0c70
+ f1: 8097 stl a,\*ar7\+
+ f2: 8490 stl a,asm,\*ar0\+
+ f3: 6f91 stl a,15,\*ar1\+
+ f4: 0c8f
+ f5: 988f stl a,15,\*ar2\+
+ f6: 8813 stlm a,ar3
+ f7: 7714 stm #32767,ar4
+ f8: 7fff
+ f9: c1ab st a,\*ar5\+ || add \*ar4\+,b
+ fa: c989 st a,\*ar3\+ || ld \*ar2\+,b
+ fb: e4a9 st a,\*ar3\+ || ld \*ar4\+,t
+ fc: d18b st a,\*ar5\+ || mac \*ar2\+,b
+ fd: dda9 st a,\*ar3\+ || masr \*ar4\+,b
+ fe: cda9 st a,\*ar3\+ || mpy \*ar4\+,b
+ ff: c5a9 st a,\*ar3\+ || sub \*ar4\+,b
+ 100: 9cbd strcd \*ar5\+,beq
+ 101: 0890 sub \*ar0\+,a
+ 102: 0c91 sub \*ar1\+,ts,a
+ 103: 4192 sub \*ar2\+,16,a,b
+ 104: 6f93 sub \*ar3\+,a,b
+ 105: 0d20
+ 106: 92af sub \*ar4\+,15,a
+ 107: a3ba sub \*ar5\+,\*ar4\+,b
+ 108: f11f sub #1,15,a,b
+ 109: 0001
+ 10a: f161 sub #1,16,a,b
+ 10b: 0001
+ 10c: f530 sub a,-16,b
+ 10d: f581 sub a,asm,b
+ 10e: 0e90 subb \*ar0\+,a
+ 10f: 1e91 subc \*ar1\+,a
+ 110: 0a92 subs \*ar2\+,a
+ 111: f4cf trap 15
+ 112: 7f93 writa \*ar3\+
+ 113: fd70 xc 1,aov
+ 114: 1c94 xor \*ar4\+,a
+ 115: f050 xor #1,a
+ 116: 0001
+ 117: f065 xor #1,16,a
+ 118: 0001
+ 119: f1c1 xor a,1,b
+ 11a: 6a95 xorm #1,\*ar5\+
+ 11b: 0001
diff --git a/gas/testsuite/gas/tic54x/opcodes.s b/gas/testsuite/gas/tic54x/opcodes.s
new file mode 100644
index 0000000..3e1e84b
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/opcodes.s
@@ -0,0 +1,309 @@
+ ;; opcodes tests
+ .text
+ .mmregs
+ .global X, Y, Z
+ .global _opcodes, _opcodes_end
+ .label _opcodes_load
+_opcodes:
+ abdst *ar3+, *ar4+
+ abs a
+ abs a,b
+ add *ar0+, a ; Smem, src
+ add *ar1+, ts, a ; Smem, TS, src
+ add *ar2+, 16, a ; Smem, 16, src [,dst]
+ add *ar3+, a, b ; Smem [,SHIFT], src [,dst] (-16<=SHIFT<=15)
+
+ add *ar4+, 1, a ; Xmem, SHFT, src (0<=SHFT<=15)
+ add *ar3+, *ar4+, a ; Xmem, Ymem, dst
+ add #-32768, a ; #lk [,SHFT], src [,dst] (-32768<=lk<=32767)
+
+ add #0,16,a,b ; #lk, 16, src, [,dst]
+
+ add a,-16,b ; src [,SHIFT][,dst]
+ add a,asm,b ; src, ASM [,dst]
+ addc *ar0+,a
+ addm #1,*ar1+
+
+ adds *ar2+,a
+ and *ar3+,a ; Smem,src
+ and #1,1,a,b ; #lk[,SHFT],src[,dst]
+
+ and #1,#16,a,b ; #lk,16,src[,dst]
+
+ and a ; src[,SHIFT][,dst]
+ andm #1,*ar0+
+
+ b _opcodes_end
+
+ bd #_opcodes_end
+ nop
+ nop
+
+ bacc a
+ baccd b
+ nop
+ nop
+
+ banz _opcodes_end,*ar1+
+
+ banzd _opcodes_end,*ar2+
+ nop
+ nop
+
+ bc _opcodes_end, AEQ,AOV
+
+ bcd _opcodes_end, BIO,C,TC
+ nop
+ nop
+
+ bit *ar3+,1
+ bitf *ar4+,#-1
+
+ bitt *ar5+
+ cala a
+ calad b
+ nop
+ nop
+
+ call _opcodes_end
+
+ calld _opcodes_end
+ nop
+ nop
+
+ cc _opcodes_end, tc
+
+ ccd _opcodes_end, aeq
+ nop
+ nop
+
+ cmpl b,a
+ cmpm *ar0+,#1
+
+ cmpr 1,ar1
+ cmps a,*ar2+
+ dadd *ar3-, a, b
+ dadst *ar4-, a
+ delay *ar5+
+ dld *ar6-, a
+ drsub *ar7-, b
+ dsadt *ar0-, a
+ dst a, *ar1-
+ dsub *ar2-, b
+ dsubt *ar3-, a
+ exp a
+ firs *ar3+,*ar4+,_opcodes_end
+
+ frame -128
+ idle 2
+ intr 15
+ ld *ar0+,a ; Smem,dst
+ ld *ar1+,ts,a ; Smem,TS,dst
+ ld *ar2+,16,a ; Smem,16,dst
+ ld *ar3+,1,a ; Smem[,SHIFT],dst
+
+ ld *ar4+,1,a ; Xmem,SHFT,dst
+ ld #1,b ; #K,dst
+ ld #32767,1,a ; #lk,[,SHFT],dst
+
+ ld #32767,16,a ; #lk,16,dst
+
+ ld a,asm,b ; src,ASM[,dst]
+ ld a,1,b ; src[,SHIFT],dst
+ ld *ar0+,t
+ ld *ar1+,dp
+ ld #_opcodes_end,dp ; FIXME try to print label on disasm
+ ; note: TI assembler doesn't shift
+ ; the address encoding.
+ ld #15,asm
+ ld #7,arp
+ ld *ar2+,asm
+ ldm ar3,a
+ ld *ar2+,a || mac *ar3+,b ; single-line parallell
+ ld *ar4+,b || macr *ar5+,a ; with optional DST_ specified
+ ld *ar2+,a ; double-line parallel
+ || mas *ar3+
+ ld *ar4+,b ; parallel spans
+ ; inserted line
+ || masr *ar5+
+ ldr *ar6+,a
+ ldu *ar7+,a
+ lms *ar3+,*ar4+
+ ltd *ar0+
+ mac *ar1+,a
+ macr *ar2+,a
+ mac *ar2+,*ar3+,a,b
+ macr *ar4+,*ar5+,a,b
+ mac #1,a,b
+
+ mac *ar0+,#1,a
+
+ maca *ar1+ ; *ar6+,b (valid)
+ maca t,a,b
+ macd *ar2+,_opcodes_end,a
+
+ macp *ar3+,_opcodes_end,a
+
+ macsu *ar4+,*ar5+,a
+ mar *ar6+
+ mas *ar7+,a
+ masr *ar0+,a
+ mas *ar3+,*ar4+,a,b
+ masr *ar2+,*ar5+,a,b
+ masa *ar6+ ; *ar6+,b (valid)
+ masa t,a,b
+ masar t,a
+ max a
+ min b
+ mpy *ar7+,a
+ mpy *ar3+,*ar4+,b
+ mpy *ar0,#1,a
+
+ mpy #1,a
+
+ mpya *ar0+
+ mpya b
+ mpyu *ar1+,b
+ mvdd *ar2+,*ar3+
+ mvdk *ar4+,X
+
+ mvdm X,ar5
+
+ mvdp *ar6+,_opcodes_end
+
+ mvkd X,*ar7+
+
+ mvmd ar0,X
+
+ mvmm ar1,ar2
+ mvpd _opcodes_end,*ar3+
+
+ neg a,b
+
+ nop
+ norm a
+ or *ar0+,b
+ or #(3+4),b
+
+ or #1,16,b
+
+ or b
+ orm #1,*ar1+
+
+ poly *ar2+
+ popd *ar3+
+ popm ar4
+ portr 0,*ar5+
+
+ portw *ar6+,0
+
+ pshd *ar7+
+ pshm ar0
+ rc ANEQ
+ rcd AGT
+ reada *ar1+
+ reset
+ ret
+ retd
+ nop
+ nop
+ rete
+ reted
+ nop
+ nop
+ retf
+ retfd
+ rol a
+ roltc a
+ ror b
+ rpt *ar0+
+ nop
+ rpt #32
+ nop
+ rpt #65535
+ nop
+ rptb _opcodes_end-1
+ nop
+ rptbd _opcodes_end-1
+ nop
+ nop
+ rptz a,#32767
+ nop
+ rsbx 1,15
+ saccd a,*ar3+,ALT
+ sat a
+ sfta a,15,b
+ sftc a
+ sftl a,15
+ sqdst *ar2+,*ar3+
+ squr *ar4+,b
+ squr a,a
+ squra *ar5+,a
+ squrs *ar6+,a
+ srccd *ar2+,ALEQ
+ ssbx 1,15
+ st t,*ar0+
+ st trn,*ar1+
+ st #32767,*ar2+
+
+ sth a,*ar3+
+ sth a,asm,*ar4+
+ sth a,15,*ar5+
+ sth a,-16,*ar6+
+
+ stl a,*ar7+
+ stl a,asm,*ar0+
+ stl a,15,*ar1+
+ stl a,15,*ar2+
+
+ stlm a,ar3
+ stm #32767,ar4
+
+ st a,*ar5+
+ || add *ar4+,b
+ st a,*ar3+
+ || ld *ar2+,b
+ st a,*ar3+
+ || ld *ar4+,t
+ st a,*ar5+
+ || mac *ar2+,b
+ st a,*ar3+
+ || masr *ar4+,b
+ st a,*ar3+
+ || mpy *ar4+,b
+ st a,*ar3+
+ || sub *ar4+,b
+ strcd *ar5+,BEQ
+ sub *ar0+,a
+ sub *ar1+,ts,a
+ sub *ar2+,16,a,b
+ sub *ar3+,a,b
+
+ sub *ar4+,15,a
+ sub *ar5+,*ar4+,b
+ sub #1,15,a,b
+
+ sub #1,16,a,b
+
+ sub a,-16,b
+ sub a,asm,b
+ subb *ar0+,a
+ subc *ar1+,a
+ subs *ar2+,a
+ trap 15
+ writa *ar3+
+ xc 1,AOV
+ xor *ar4+,a
+ xor #1,a
+
+ xor #1,16,a
+
+ xor a,1,b
+ xorm #1,*ar5+
+_opcodes_end:
+ .data
+X: .word 0
+Y: .word 1
+* .word Z
+ .end
+
diff --git a/gas/testsuite/gas/tic54x/sections.d b/gas/testsuite/gas/tic54x/sections.d
new file mode 100644
index 0000000..b3f1344
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/sections.d
@@ -0,0 +1,86 @@
+#objdump: -d --headers
+#name: c54x sections
+
+.*: +file format .*c54x.*
+
+Sections:
+Idx Name Size VMA LMA File off Algn
+ 0 .text 0000001b 00000000 00000000 0000.... 2..0
+ CONTENTS, ALLOC, LOAD, RELOC, CODE
+ 1 .data 00000007 00000000 00000000 0000.... 2..0
+ CONTENTS, ALLOC, LOAD, DATA
+ 2 .bss 00000014 00000000 00000000 0000.... 2..0
+ ALLOC
+ 3 newvars 00000017 00000000 00000000 0000.... 2..1
+ ALLOC, BLOCK
+ 4 vectors 00000002 00000000 00000000 0000.... 2..0
+ CONTENTS, ALLOC, LOAD, CODE, BLOCK
+ 5 clink 00000002 00000000 00000000 0000.... 2..0
+ CONTENTS, ALLOC, LOAD, CODE, CLINK
+ 6 blksect 00000002 00000000 00000000 0000.... 2..0
+ CONTENTS, ALLOC, LOAD, CODE, BLOCK
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 1234.*
+
+00000001 <add>:
+ 1: 100f.*
+
+00000002 <aloop>:
+ 2: f010.*
+ 3: 0001.*
+ 4: f842.*
+ 5: 0002.*
+
+00000006 <mpy>:
+ 6: 110a.*
+
+00000007 <mloop>:
+ 7: f166.*
+ 8: 000a.*
+ 9: f868.*
+ a: 0007.*
+
+0000000b <space>:
+ ...
+
+00000012 <bes>:
+ ...
+
+00000013 <spacep>:
+ 13: 000b.*
+
+00000014 <besp>:
+ 14: 0012.*
+
+00000015 <pk1>:
+ ...
+
+00000016 <endpk1>:
+ 16: 0000.*
+ ...
+
+00000018 <endpk2>:
+ ...
+
+00000019 <pk3>:
+ ...
+
+0000001a <endpk3>:
+ ...
+Disassembly of section vectors:
+
+00000000 <vectors>:
+ 0: 0011.*
+ 1: 0033.*
+Disassembly of section clink:
+
+00000000 <clink>:
+ 0: 0022.*
+ 1: 0044.*
+Disassembly of section blksect:
+
+00000000 <blksect>:
+ 0: 1234.*
+ 1: 4321.*
diff --git a/gas/testsuite/gas/tic54x/sections.s b/gas/testsuite/gas/tic54x/sections.s
new file mode 100644
index 0000000..dbe42e1
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/sections.s
@@ -0,0 +1,72 @@
+*
+* Various sections directives
+* .bss, .data, .sect, .text, .usect
+* .align, .space, .bes
+*
+ ; default section (should be .text)
+ .word 0x1234 ; this should be put in .text
+
+ ; initialized data
+ .data
+ .global coeff
+coeff .word 011h,022h,033h
+
+ ; uninitialized data
+ .global B1, buffer
+ .bss buffer, 10
+B1: .usect ".bss", 10 ; alocate 10 words
+
+ ; more initialized data in .data
+ .global ptr
+ptr .word 0123h
+
+ ; .text section
+ .text
+ .global add, aloop
+add: ld 0fh,a
+aloop: sub #1,a
+ bc aloop,ageq
+
+ ; more initialized data into .data
+ .data
+ .global ivals
+ivals .word 0aah, 0bbh, 0cch
+
+ ; define another section for more variables
+ .global var2, inbuf, align2
+var2 .usect "newvars", 1 ; with quotes
+inbuf .usect newvars, 7, 1 ; w/o quotes, block 7 words
+align2 .usect newvars, 15, ,1 ; 15 words aligned
+
+ ; more code
+ .text
+ .global mpy, mloop
+mpy: ld 0ah,b
+mloop: mpy #0ah,b
+ bc mloop,bnov
+ .global space, bes, spacep, besp
+space: .space 64 ; points to first word of block
+bes: .bes 64 ; points to last word of block
+spacep: .word space
+besp: .word bes
+ .global pk1, pk2, pk3, endpk1, endpk2, endpk3
+pk1: .space 20
+endpk1: .space 12
+pk2: .bes 20
+endpk2 .bes 12
+pk3: .space 20
+endpk3: .bes 12
+ ; named initialized section (TI assembler marks this as DATA, not CODE)
+ .sect "vectors"
+ .word 011h, 033h
+
+ ; named, initialized section, no quotes (TI assembler marks as DATA)
+ .sect clink
+ .clink ; mark section clink as STYP_CLINK
+ .word 022h, 044h
+
+ .sect "blksect" ; (TI assembler marks this as DATA)
+ .word 0x1234,0x4321
+ .sblock "blksect", vectors ; set block flag on blksect and vectors
+
+ .end
diff --git a/gas/testsuite/gas/tic54x/set.d b/gas/testsuite/gas/tic54x/set.d
new file mode 100644
index 0000000..da6c99c
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/set.d
@@ -0,0 +1,16 @@
+#objdump: -d
+#name: c54x set/equ directive
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 7711.*
+ 1: 0056.*
+ 2: f000.*
+ 3: 0035.*
+
+00000004 <LABEL>:
+ 4: 000a.*
+ 5: 0035.*
diff --git a/gas/testsuite/gas/tic54x/set.s b/gas/testsuite/gas/tic54x/set.s
new file mode 100644
index 0000000..9912614
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/set.s
@@ -0,0 +1,13 @@
+* symbol .set value
+* symbol .equ value
+* These two are completely interchangeable
+ .global AUX_R1, INDEX, LABEL, SYMTAB, NSYMS
+AUX_R1 .set AR1
+ STM #56h, AUX_R1
+INDEX .equ 100/2 +3
+ ADD #INDEX,A
+LABEL .word 10
+SYMTAB .set LABEL + 1
+NSYMS .set INDEX
+ .word NSYMS
+ .end
diff --git a/gas/testsuite/gas/tic54x/struct.d b/gas/testsuite/gas/tic54x/struct.d
new file mode 100644
index 0000000..2cdd334
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/struct.d
@@ -0,0 +1,15 @@
+#objdump: -d
+#name: c54x struct/union directive
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 0001.*
+ 1: 0002.*
+ 2: 8002.*
+ 3: 0104.*
+ 4: 0046.*
+ 5: f030.*
+ 6: 007f.*
diff --git a/gas/testsuite/gas/tic54x/struct.s b/gas/testsuite/gas/tic54x/struct.s
new file mode 100644
index 0000000..d08c834
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/struct.s
@@ -0,0 +1,44 @@
+* .struct
+* .union
+* .tag
+REAL_REC .struct ; stag = REAL_REC
+NUM .int ; NUM = 0
+DEN .int ; DEN = 1
+REAL_LEN .endstruct ; REAL_LEN = 2
+
+ add REAL + REAL_REC.DEN, a ; 000000 0001
+ .bss REAL, REAL_LEN ; 000000 0000 (len = 2)
+
+CPLX_REC .struct
+REALI .tag REAL_REC
+IMAGI .tag REAL_REC
+CPLX_LEN .endstruct
+ ; apply the CPLX_REC structure format to .bss var COMPLEX
+
+ .bss COMPLEX, CPLX_LEN ; 000002 0000 (len = 4)
+COMPLEX .tag CPLX_REC
+ add COMPLEX.REALI, a ; 000001 0002
+ stl a, COMPLEX.REALI ; 000002 8002
+ add COMPLEX.IMAGI, b ; 000003 0104
+
+ ; anonymous struct; symbols become global
+ .struct
+X .int
+Y .int
+Z .int
+ .endstruct
+
+BIT_REC .struct
+STREAM .string 64 ;
+BIT7 .field 7 ; bit7 = 64
+BIT9 .field 9 ; bit9 = 64
+BIT10 .field 10 ; bit10 = 65
+X_INT .int ; x_int = 66
+BIT_LEN .endstruct ; bit_len = 67
+
+ .bss BITS, BIT_LEN ; 000006 0000 (len = 67)
+BITS .tag BIT_REC
+ add BITS.BIT7,a ; 000004 0046
+ and #007Fh, a ; 000005 f030
+ ; 000006 007f
+ .end
diff --git a/gas/testsuite/gas/tic54x/subsym.d b/gas/testsuite/gas/tic54x/subsym.d
new file mode 100644
index 0000000..a3385ae
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/subsym.d
@@ -0,0 +1,39 @@
+#objdump: -d
+#name: c54x subsyms
+
+.*: +file format .*c54x.*
+
+Disassembly of section .text:
+
+00000000 <.text>:
+ 0: 0018.*
+
+00000001 <label>:
+ 1: 0005.*
+ 2: 0005.*
+ 3: 0006.*
+ 4: 0000.*
+ 5: 0002.*
+ 6: 0002.*
+ 7: 0000.*
+ 8: 0001.*
+ 9: 0000.*
+ a: 0001.*
+ b: 0001.*
+ c: 0002.*
+ d: 0003.*
+ e: 0001.*
+ f: 0001.*
+ 10: 0002.*
+ 11: 0003.*
+ 12: 0004.*
+ 13: 0005.*
+ 14: 0000.*
+ 15: 0000.*
+ 16: 0000.*
+ 17: 0001.*
+
+00000018 <x>:
+ 18: 0001.*
+ 19: 0001.*
+ ...
diff --git a/gas/testsuite/gas/tic54x/subsym.s b/gas/testsuite/gas/tic54x/subsym.s
new file mode 100644
index 0000000..aeded97
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/subsym.s
@@ -0,0 +1,55 @@
+*
+* String substitution symbols
+*
+ ; if no quotes, interpret as subsymbol
+ ; if quotes, interpret as string, and do forced substitution
+ .sslist
+ .asg value,SYMBOL
+ .asg SYMBOL,SYMBOL1
+
+ .global label, x
+ .word x
+
+* Substitution symbol functions
+label: .word $symlen(SYMBOL) ; 5, substitutes string for symbol
+ .word $symlen(":SYMBOL:") ; 5, forced substitution
+ .word $symlen("SYMBOL") ; 6, uses string directly
+
+ .word $symcmp(SYMBOL,"value") ; 0
+
+ ; requires 2nd arg to be a character; zero if not found
+ .word $firstch(":SYMBOL:",'a') ; 2
+ .word $lastch(SYMBOL,'a') ; 2
+
+ .word $isdefed(SYMBOL) ; 0 (value not in symtab)
+ .word $isdefed("label") ; 1 (string contents in symtab)
+ .word $isdefed("unknown") ; 0
+
+ .asg "1,2,3", list
+ ; both args must be identifiers
+ .word $ismember(SYMBOL,list) ; 1
+ .word SYMBOL ; now 1
+ .word list ; now 2,3
+
+ .word $iscons("010b") ; 1
+ .word $iscons("11111111B") ; 1
+ .word $iscons("011") ; 2 (5 -- TI bug)
+ .word $iscons("0x10") ; 3 (0 -- TI bug)
+ .word $iscons("'a'") ; 4
+ .word $iscons(SYMBOL) ; 5 ("1")
+ .word $iscons("SYMBOL") ; 0
+
+ .word $isname(SYMBOL) ; 0
+
+ .word $isreg(SYMBOL) ; 0
+ .word $isreg("AR0") ;
+; .word $isreg("AG") ; should be 0, but we always
+ ; use mmregs
+ .mmregs
+x .word $isreg("AG") ; 1 if .mmregs, 0 otherwise
+tag .struct 10
+ .word 1
+ .endstruct
+ .word $structsz(tag)
+ .word $structacc(tag) ; this op is unspecified
+ .end
diff --git a/gas/testsuite/gas/tic54x/subsym1.s b/gas/testsuite/gas/tic54x/subsym1.s
new file mode 100644
index 0000000..3682a0e
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/subsym1.s
@@ -0,0 +1,10 @@
+*
+* String substitution symbol recursion
+*
+* Recursive substitution symbols
+ ; recursion should stop at x
+ .asg "x",z
+ .asg "z",y
+ .asg "y",x
+ add x, A ; add x, A
+ .end
diff --git a/gas/testsuite/gas/tic54x/tic54x.exp b/gas/testsuite/gas/tic54x/tic54x.exp
new file mode 100644
index 0000000..708c901
--- /dev/null
+++ b/gas/testsuite/gas/tic54x/tic54x.exp
@@ -0,0 +1,30 @@
+#
+# TI TMS320C54X tests.
+#
+if [istarget *c54x*-*-*] then {
+ run_dump_test "address"
+ run_dump_test "addrfar"
+ run_dump_test "align"
+ run_dump_test "asg"
+ run_dump_test "cons"
+ run_dump_test "consfar"
+ run_dump_test "extaddr"
+ run_dump_test "field"
+ run_dump_test "labels"
+ run_dump_test "loop"
+ run_dump_test "lp"
+ run_dump_test "macro"
+ run_dump_test "math"
+ run_dump_test "opcodes"
+ run_dump_test "sections"
+ run_dump_test "set"
+ run_dump_test "struct"
+ run_dump_test "subsym"
+
+ gas_test_error "macro1.s" "" "c54x macro argument manipulation"
+ gas_test_error "subsym1.s" "" "c54x subsym recursion"
+
+ # The longest one, run it last
+ run_dump_test "all-opcodes"
+}
+